>SECCE1Rv1G0002710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:10022137:10022430:-1 gene:SECCE1Rv1G0002710 transcript:SECCE1Rv1G0002710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKKKALGVAVLVAALVVTQLLVAAPTAMARSPQALEEEEEMVGRSAKIAMERLILKTTVGDSACGGETCYSGLCFRSGCRCQYPYCWKRPTPPA >SECCE6Rv1G0385650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:83286068:83287328:1 gene:SECCE6Rv1G0385650 transcript:SECCE6Rv1G0385650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVSRPLASWSQIPNDITWNILVLVSPHPVTIARIVAVCRTWRSIVRTSKFLRRLMEHHGVTPLMGFFTNVSSSDRFLPFDRHPGVVGVPRFTMPILYPDSRFPTLSTSHWEVLACRHGKVLLLGGGVPMLLVWDPITGKQTLIHTYTELTYVHGTVVCVAHHHHGNCHSSPWAVVWIVTTGREIKVRRWSSVARAWNIPQAYVWFNGLVDFRPSTLIGDVLYWHLTNNFLIAFDMAENLLHPIELPEAMPSLKFRRNVHVVPLDDDVLGLAVLNTSVLEIWSRVGGISEGSSWAMNYIIPLLSIIPIQDDHTIIHHRPQGRIVCVMEDRKVILIRAVDGVYEVDYQRKTSTKIMGETPRGTMFAYESFYIAGVAGVDEP >SECCE1Rv1G0046450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:617419371:617423549:1 gene:SECCE1Rv1G0046450 transcript:SECCE1Rv1G0046450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQKKQTALKGRSKSESDIVPDTPQVLDHNGRPTAGPDISKFAIPANFSVLNLDLKNLADLQHNMKDCIGSHRFWTYANTDKIYKSPHGSMSWQVPKSGTYFQYIKYRNNWMCLVGIASQSWMKGVITKKSVAEFQDSQSDDKKATYILTDRPKAVLKSKGNYMPNVEKTKLGPWWLRRAFDSTYNHVIGESGDHGDIDEAFTIFVVHLWDTVRNDEVKDLVLGSYDPSVLATCYLGTGPVGLMKKWQRCSVRAINSIEESEPYQTQHDTTPTSASELLQVVRVLYRDDQDCTPVNDDSMPIKLNGVDELRHGDQEREESAPDGDMHASGSSPSNPKYPNWVLDSSSELNKHQQQEQQQQHHGQGQRSYAWTTKMASTVSAEDLSYTRANDPSSSTGGFFTEEKTENTNLKRTERLPPLPFFGHLKEVQLHSDELKERIKHQCANHVNYTAYGGSSRECDGDFTIARSAKEPIAWRAKSLVGSHVAFCLMENFTDLQNTGTNVLILPALLLDHAREFLLVEAEKPCDVSEDKSKRVSDGAAKWYPPQLQNTVAVALVESGRRPHLAGSDTAVAVGELAGEAETGAVVTDTGRTRMGRGSFIQDGCSCWPDAADCDASRKESTQGEEAGGAEAMMTHLVEMIRQEGKTDTANAVSPAAAARMWGLLLLATPRLLELDLVSMKRNMNLGFGHGVGESMGWTRSC >SECCE7Rv1G0523940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884205048:884205599:-1 gene:SECCE7Rv1G0523940 transcript:SECCE7Rv1G0523940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRVRVDIPVFICLRCRAGVDRRVSYTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNEPQEEHEEWLHMLPRTAVAATRAPKEEMEGKAHTDRELVVELRMLKKKVRKLEDQAQIAIPICNYFWAVVGMVIALVVMLKMYGKA >SECCE7Rv1G0478880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:242673680:242675871:1 gene:SECCE7Rv1G0478880 transcript:SECCE7Rv1G0478880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLVSGKFLARRPPLALAPRCSRGSPDKRGRDEGDTSSTDWDKAWSTFKKKGKKTLFSEFTPNKYVTWNPPRSEYPLSEEVDPIKRAERSNLMLWTSPRFTLVGAIIIVSALLIYTLVVPPK >SECCE5Rv1G0316430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:320655418:320655900:-1 gene:SECCE5Rv1G0316430 transcript:SECCE5Rv1G0316430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAALAATPASPLPSPTPHHYRGRLRSPLANGGTKGNFELRHWRTPLKRAPSCPPPGIEIPSNDDDGSGNRYTSLRDILASPRYAGAGGSPAACVIGGAGSCGDIHMIRHPLVKHAAYAYLQMTPSAREDPTRRNRRWRGPLCRLLFGCLSFIGALFRP >SECCE3Rv1G0200610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:855173386:855174592:-1 gene:SECCE3Rv1G0200610 transcript:SECCE3Rv1G0200610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFDVYGMKFFNNHISSSHMCVIGRGKDFSSHNAAIAGWMVSPSEYGDSETHFFTRWTVDGYKSTGCYDLKCDGFVPVQNAPITPGDTLDHKNGKLKITIKIFKKKDDGDWWLYFGYDNQSLRAVGFWPKSIFNNMADHANLVEWGGYTFSYRGSASPAMGSGHWPGIHSATVRDVRFVDDTGRGYKIDPWPGGLVASISHKKCYGAVLSVDEMFYYGGPGGCTM >SECCE6Rv1G0439910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:800166274:800168347:1 gene:SECCE6Rv1G0439910 transcript:SECCE6Rv1G0439910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVIRHRTVEVNGISMHVAETGPEVDAKGAVLFLHGFPELWYSWRHQMDHLGAHGYRCVVPDLRGYGGTTAPPDVASYTAFHIVGDLVALLDTFGLAKVFVVGHDWGAIIAWYMCLFRPQRVTALINTSVAFMRHIMIRTGPDFVNPIEYFNRAYGPNYYKCRFQEPGVAEKQFAPAHAKRLMRQILCHCFSHGVFCDEEMDDNKYPTSPLPAWLTEDDIDYFVTSFEKTGFTGAINYYRNFDKNCELAAPWADAKVQVPTKYIVGTGDITYNFEGVQEYIHGGGFKEDVPLLDEVVVIPGAGHFIQQERAQEVSDHIYDFIIKF >SECCE3Rv1G0168390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:220095140:220096262:-1 gene:SECCE3Rv1G0168390 transcript:SECCE3Rv1G0168390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTTSDSDVTSLATTSPSRSPKRAAYYVVSPSRDSRDDGDKSSSTQATPVYNSPLDSPSHQSSIGPHSRASSASRFSGNLTGGARAAAARKRPHGHGKGWHEVDVIDEEDAEDAGVDQERELSRSCVAAFWFSVLVLAFTLVCLVVWGAARRDKPSVLVKSLRVENFYAGEGTDGTGVPTKFVTMNCSIQIDVHNPSTMFGIYVSSTSIQLYYSQIPIASGQLEKFYQPKKSRHVASVTLHGEKTPLYGAGATFVLTDTDGVPLTVDLAVKTRGYVIGRLVRVTHAKRVRCPVLVSSLTDKPIMIAQTACSYA >SECCE6Rv1G0449390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:861882010:861882662:-1 gene:SECCE6Rv1G0449390 transcript:SECCE6Rv1G0449390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRSTMLTTLLLVLLAFSGPIKGEDDSGGSDGSDLAPPPDRGSSHLAPPPHPSPKQSNPPPPPKTDLAPPPPPPSPKNNPPPPLPTQPPPGPCAEVRLYKGPCVNMLCAAACLAELHQGGHCRGNIFSGGCYCFVCSVAKSSPQLH >SECCE3Rv1G0152910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:51225439:51228759:1 gene:SECCE3Rv1G0152910 transcript:SECCE3Rv1G0152910.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCSLARIMRLQHLAMYRRKLCSLVFRSLPRLHLGFPPSSVRKFFRDEHVHAALTETKMAATLPELPQDILMVIFAALEIPDLVRAGSVCSSWHSAYAELRTLGKYKQGQTPCLVYASESDPDDVLSLYSLAEKRSYKLTLPQPPIRSRYLIGSSYGWLVTVDERSEMHLLNPITCEQIALPSVSTIEHVKPKFDEYGDVCNYEMSWHTGTRSSRKPPSIFALAELRDILQRKAFVFPDTTTGSYIVVLIHNPQSQLSFARAGDDKWTWLPPHPIYLKTTRGVSSSYLHDDCIYKDVILYAVNLKGEFHTFDLSGPVVTVKTITMIPEHYDCCSRYIVQAPWGRLLLVFRIVHDHDLEPQPGSSEYWKTTEIKICEIDALWNKTEVINCLRDHVLFLGHNLSLCLSADEYPALKANRSYFTDDNFLWTVGHKNNHRDMGILSLDDNSKEELLSPQLWSNCPAPMWIIPDLRKINAMGSMSQQL >SECCE6Rv1G0386940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:103634070:103636057:1 gene:SECCE6Rv1G0386940 transcript:SECCE6Rv1G0386940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGARFHGMVGGGGKGMQENEFNGFFSMPYYQKIGEGSHMSVDSTDNYNLAGGSVTMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPNHPTEILSKYQQWAIDLGRLDMGVPFAQGAFGKLYRGTYIGEDVAIKLLEKPDNDIERAQSLEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLAKRQNKSVPLRLAVKQALDVARGMAYVHALGFIHRDLKSDNLLIAADRSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPAIPHDCLPSLTHIMTRCWDANPEVRPPFTEIVCMLENAEMEVVSHVRKARFRCCVAEPMTTD >SECCE6Rv1G0450670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:869245884:869246234:-1 gene:SECCE6Rv1G0450670 transcript:SECCE6Rv1G0450670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLYATRDEYLFFGEENRLKMFQPNTFNFKPKPHIKLDEAQRCILDNFWYQYTLKREEKGYFLSILNSLAEYFNELNKNSPKPEKIEIPKGETLYLIFDGNKPGIYLEWEKYND >SECCE6Rv1G0400040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:445850365:445853648:-1 gene:SECCE6Rv1G0400040 transcript:SECCE6Rv1G0400040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRGLLAVSGHLVGRHAPPSSACSSPHSVLFARALQILSQPEPVRLHRLSAPDSGIVELRLERPEARNAIGKEMLKGLRSAMDKVGADPTANVVLVASSVPKVFCAGADLKERRSMGPCEVREFVNSLRATFSSFEALPIPTIAVVEGAAFGGGLELALSCDIRICGASATFSLPETGLAIIPGAGGTQRLPRIVGRSRAKELIFTGRRFDATEAVNIGVVNYCVPGGEAYQKALEIAREINQKGPLAIRMAKKAINEGMEVDLSSALAVEEECYEQVLHTQDRLEGLAAFAEKRKPLYTGK >SECCE3Rv1G0153850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:57502541:57504481:-1 gene:SECCE3Rv1G0153850 transcript:SECCE3Rv1G0153850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTSSALCLPPLLLVLLLLAATSEATKFSVINRCSYTVWPAAVPVGGGVKLDQGETWEMDVPAGTMGGRIWARTDCSFQGEGNGSCRTGDCGGLLACKGNGQPPNTLGEFTLGQNQTKDFFDISLLDGFNVPMELLPVQVQGRSGCSKGLRCAANITSQCPGDLKAPGGCNNACTVFKQDMYCFNGSETSNPTNYSKFFKRMCPDAYSYPDDGFTRTFNCPSGTNYQVIFCPLHNQALSPTQVTPPPPSTLPAAIGPTSMKPKSSAPRRVVAILAPVGGFILLTIVFLITFFICKRRTQRQHGMEEEEEFGELQGTPMRFTFQQLKAATEQFADKIGEGGFGSVFKGQFGDQRIAVKRLDRTGQGKSEFSAEVQTIGSIHHIHLVRLIGFCAEKSHRLLVYEYMPKGSLDRWIYCRHDNDAPLLDWSTRCKIITHIAKGLSYLHEECTKRIAHLDVKPQNILLDDNFDAKLSDFGLCKLIDRDMSQVVTRMRGTPGYLAPEWLTSQITEKADIYSFGIVVMEIISGRKNLDTSRSEESIHLITLLEEKVKKDQLVDLIDNNSNDMQAHKQDVMQMMMLAMWCLQIDFKKRPKMSEVVRVLEGTMKADLNIAHEFVVTTPTNFSSTGNVSSSAPPLASDVSGPR >SECCE5Rv1G0317980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:356225794:356226986:1 gene:SECCE5Rv1G0317980 transcript:SECCE5Rv1G0317980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAATSPPASPRPGEQFAEVVVVRHGETSWNASRTIQGQMDPELNETGRRQALVVARRLSREAKPAAVYSSDLKRAAETAQTIATACGVSSLVLDPALRERHMGDLHGLVFDDAVRRSPEIFSSYSRTHEIPGGGESLDQLSKRCVSYLNTVAHKHKGERVIVVTHGASTEELCIHADPTSPVRGKLYNTSICVFRIGGGEWILEKAGDVGHLDQGEFLEDAFGGDGVSA >SECCE3Rv1G0198500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:832873888:832875448:-1 gene:SECCE3Rv1G0198500 transcript:SECCE3Rv1G0198500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCDSARSACVSRTFLRSWRCHPKLIFTEETLDLKQTEARDFTSRVNQILKNHSDTGVKILKFVIGDRNNVNTCHLNSWLQKAVTPGIEKITLFLPWRNREEYNFPCSILLDGRGNSIWYLDLTNCAFRPMVGFDCLRSLTKLRLYGVCITGDELGRLISNCFALEELQVWCCMELICLTIPFWLQRLSCLTVSECDMLRVIESTAPNLTTLDFFGEPVRLVLKESLKVKDLKVGYSYKPNAVSYAITKLPSIAPHLQTLDIYSSSEMVNTPMVADKFLAIKHLNIHLGEDYDDAVTPTYDYLSLASFLDACPVLESFTLSVDQSDMQHDSVFEDPSLGLRQILQHKHETMRGSRSMVELTCDILKNATSLESITLDCIYGAGTGAIGDSVRCGPSRSGKCWIRSQIMILEAHKAVGAIKRYILERVPPAVKLNVGGPCSRCQAMDVMLP >SECCE4Rv1G0265620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726776731:726781769:-1 gene:SECCE4Rv1G0265620 transcript:SECCE4Rv1G0265620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVLSVGKSAVKGALGYAQSAIAAEVALQLGVQRDQAFIRDELDMMQSFLMAAHGEQDDGKVVGTWVKQVRDLGYDVEDCLQDLAVRLEKPSWWRFLRTLLDRRHVAKQMKDLREKVEDVSQRNLRYRLIRSPAAAADSKHVATAANQNFTGSATMFGIDEARRAMKRDRPRVDLAQLINWEGKDLRVIAVWGTCGVLGQASVIRKVYDAANKFECRAWVKLTHPFDPIMFMRNIMRQFYGCSFPELAAGGQSCQERASTVGAHVLRKMMTMKQEDLVDEFNQHVNNKSYLIVLNDLCTIEDWDWIKTYFPLNDNGNRIIVATQQVEVASLCVGKRCRVSELKQLSADQTLYVFYEKGPQGGTYSIKPESSSNTSIATSNSSKEPSSEILAGDHTIDASGGKNTMPRRSLARIMSRTAAMDESEVVGRGTEKTDLQLILDAPSKEFQVITVWGMGGLGKTALVKDIYQSQELGGAFKKRACVTVMKPFNHEEFLENLVTQLVVETSEENDTMHSLGGVKTSLSRSLEELKDELKRLLKEKRCLIVLDDLSSTTEWDLIRGSLPQTENASRIIVTTREVSIANYCSKKQENVYRLKSLKKKDALGLFMKKVFKENAYLHRQYPELVEQAEQILKKCNGLPLAIVAIGGFLANQPKTTMEWRKLNENLSSELEMIPELDTIRTVLLKSYNGLPYHLKACFLYLAIFPEDYKISLTRLVRRWIAEGYSREVRGKSAHEVAESYFMDLISRSMILPSQRSSHSRKEIDSCQLHDIIRDIGISKSAEENLVFRLEEGCSLNSQGTTRHLSVSSNWKGSQSEFESAVDLSHVRSITIFGKWRQFFISDKMRLLRVLDLEGTSGLVDHHLKHIGKLFHLRYLSLRECHGIYHLPSSLGNLRQLQSLDVTGTNIIKLPKTTVKLRKLQHLRARGVGNNDDCQEYPEEVPEIMRNKLCILTSSSVGFCVACCAPHLLKECMGIDGDPNRRDVCTACCCSYLPMQVTRRSRRGVAMPRGIHRLKALHTLGIVNVSRRKATLREIARLTGLRKLGVTGINESNGGKLCSALSNLSSLETLLVQSEGKPGLFGCLDGLSTPPKSLESLKLYGNLVRLPAWIYGGLLTNLAKLKLRSSRISDHDAAIQLLGSLPNLAILRLRKDSFEGEEVHLHFHWGASFPSLMVLELSSPGKLKSVKFQKGATPKLELLQYYDQPDQNQEDRVSKQNDDQFEEAPSAWLFSGLACLGRLKKVVLEGGNYERDFVRNLRSQLQSNLNRPVLKMD >SECCE2Rv1G0126990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:849995101:849997949:1 gene:SECCE2Rv1G0126990 transcript:SECCE2Rv1G0126990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSAAILLAAVVALLLVAAVRGDDDCGSPESAAQDRARANPLKIAAFFSILVCGALGCSLPVLGRRVPALRPEGDVFFLVKAFAAGVILATGFIHILPDAFENLTSDCLPSDGPWKDFPFAGLGAMVGAIGTLVVDTVATGYFTRAHLNKDGGHGAISSSAAVVDEEKQAAAAASEEARRRDGGEHDVHVHTHATHGHAHGSAALVAAVGGAEDEKDTIRHRVISQVLELGIVVHSVIIGISLGASQDPDTIKPLVVALSFHQMFEGMGLGGCIVQAKFKARSIVTMILFFCLTTPVGIAIGYGISRVYNENSPTALVVEGSLNSVAAGILIYMALVDLLAEDFMNPKVQSRGKLQLGINVSMLVGAGLMSMLAKWA >SECCE4Rv1G0281060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825057215:825058325:-1 gene:SECCE4Rv1G0281060 transcript:SECCE4Rv1G0281060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWHRTVSLFVLVLVLLLRWPALSSAWAPVSRTITVDSKGQGDFRTIQSAVNLVPDGNREWVRIHVRAGGYREKVTIPKEKGYILLEGDSHKNTVIYFDDYAHGNASDPTRRHDAMQTYETATFTVYADDFVARDIAFTNTHKSANKSRVTQALAALVDGDRIAFHRCAFNGFEDTLCDNTGRHYFRECSIKGGVDFIFGYARSIYDGCTIVSNIPLRYSRRHAGWVTAHARQHAGDLGGFVFKGGELRGTGRQYLGRAWNKYATVVYYHVNMSSIVVPRGWAPWYAGTETNDVLFAEVGCTGPGSNMAGRVPWEKHLTEAEVEKFVNMSFIDDGWLSKQP >SECCE7Rv1G0497250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:622006229:622008085:1 gene:SECCE7Rv1G0497250 transcript:SECCE7Rv1G0497250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVGPFRSRGGAADTGMRGAPEEVQMGETFIFSETIKDLKTLRLQLYSAAEYFELAYTQEDVKQAVVSNLKEYAVKALVNTVDHLGSISFKVSSLVGQRFDEVAEANLRVSCIQQRTQTSQACMNREGLTQQSLVITAPKYHKRYILPGNDSIPDAVPNFSEMNKAKNRTTQMHPAFTATSAAQTKSKDKQASFRKLRSIARAPSQRARSSSPAQHTRFVPPSDTAIPTKRDKRSDSPISSTTPLTRSGSLSKKPSLLKTSSVRVQTTSDPKRLAPLRSYADRYKDDPKENEQTPKKSKKFLKSLLSRRKSRKEEPLPCYFDDY >SECCE2Rv1G0117000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:769045699:769046884:1 gene:SECCE2Rv1G0117000 transcript:SECCE2Rv1G0117000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIGALIPLATHQFPQYPRRVYAAGRGRSNFCQLVMPFGQAYLLQYKVKVAPRASSPLQTVTAVNKNQDYSIRKDKYFEVEMKVHDTELDKYGVVNNASYSSYIQTGLDKLFESLGIRVASMVSKGNALALSEVQLKYIAPLRSGDRFVVKVKLGQIKGVRLILEHKIETLPDHKLVLEAKGTLVCLDKDYHPTRIFPELSTKFLQFFSSKDD >SECCE4Rv1G0262860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:711396793:711397907:1 gene:SECCE4Rv1G0262860 transcript:SECCE4Rv1G0262860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFQDGHHVRLRSRERGMYLHADEDGHGVSLHHRRASMNAAWVVHLYHGHGEYVLLHSAAYGRYLAATEAPAPLGHRGLRVELRDYAQPEVQAIMWKADGADSGNDVLLWQFTGRCLRANGRYLSWNNGVSVEDIEDTYIVSTMMHWVVEPIPAREGMPPLPSPTGLPFRGILDALLPSRLIVYVRAGADGTRINHGALLFRGRSVVRLRNKLVRRLDVSNLVMCVEAGTFGRPTPLVVDLPRSFRDLHIVVFAAGTPA >SECCE6Rv1G0394970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:312956097:312956342:-1 gene:SECCE6Rv1G0394970 transcript:SECCE6Rv1G0394970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRRVGVQRKSDYLSGAVDGGDDPGVAHLKQRRPVGPGVDADLALELPHLQGQAANMKNQRRSSQHEEDEAALLWTRRF >SECCE7Rv1G0459250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:24978741:24982556:-1 gene:SECCE7Rv1G0459250 transcript:SECCE7Rv1G0459250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAVHLHGDLEVWIMEARRLPNMDITSERMRSCFTACVSGEGGGGSTGGRSKKKEKKKRLITSASYAYVSVCLAGATVAQTRVIPNSGAPRWDERFRVEVAHAAATLDLHVKDNHVFGARLIGAASVPARRLAAGALIHGWFPVLHGHHHHQTSASAELRFSLRYTPVAEQQPLCAAVPNAYFPLRRGGRVTLYQDAHVADGQLPGIELDGGATYAHGRCWEDISRAVVDAHHLVYVVGWSIHHPIRLVREPATAGTTGTAKTLGELLKGKVHEGVRVVMLIWDDKTSHDRFLLKTDGVMHTHDEESRKFFRHSGVHCVLVPRYGSNKLSIFKQHVVGTLFTHHQKCVIVDSQAAGNNRKITAFLGGLDLCDGRYDTPEHRLFSDLDTVFHKDFHNPTFPANSYGPRQPWHDLHCKVEGPAAYDILTNFEQRWRKATKWRVNLKKVVIWHYDTLIKIKRMPWIVSPSTDEAKARVCDEQDTENWHVQVFRSIDSGSVKGFPKLVQEAQSQNLVCAKNLKIDRSIHSAYVKAIRSAQHFIYIENQYFIGSSFCWHSHKNTGADNLIPVELALKIASKIKANQRFAVYIVIPMWPEGNPTTAAVQQILFWQGQTMTMMYKIIADALGSQGLVDSHPQDYLNFYCLGRRELAATPEASLCNDNSALGMAQKHRRFMIYVHSKGMVVDDEYVVIGSANINQRSMEGSRDTEIAMGAYQPHHTSAGNHGGPPRGQVYGYRMSLWAEHLGGRAEEWFRRPESEECVRRVNAAAEENWRAYVSPDETTRGHLMRYPVKVDRDGGVGPLPGHECFPDVGGKVLGAQSSLPDALTT >SECCE1Rv1G0060990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:713282401:713283198:1 gene:SECCE1Rv1G0060990 transcript:SECCE1Rv1G0060990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRLLAARRSAAASAVAASERRDGEGEDEGPFFDLDFASCSLRDSSFSSSSGALSGSDSDSDEELDFVISLQRSRSASEPLKFCASEPPCKAKAAGRKRGLGSLRTLSFGARKAAPLYGVGRRSFARSSSASARSLRLFMASPHPEQDEHERASVPSSSRRAPSRDVIRRCLAKISRRLRTAASPRAAAGEARGLRRLRKCRSASSAVASSAPRRDDDSAVEKQDGIAGAIAHCKESIHRASMSDCDSPLLRSRSDPGKCEAA >SECCEUnv1G0535530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:59046037:59048177:1 gene:SECCEUnv1G0535530 transcript:SECCEUnv1G0535530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRGGRGGLFSFGDPFPAFGGFVPPGNLMSSFFGGSNPFDDPFFTNPSGSMIGPSLFEQSIFGSSMFRPHRAPNVGGFQQQGPEPSRPKGPIIEELSSDDEDGADANEHDEKKRTNSMKHPRISKEPYLEDSGDEVQDNKRPRHEKFGKEYVRAGTSYQQPQTYMFQSSTVTYGGSNGACYMSSTTRSGGDGVTMEESKEADTTSGKATHRIARGIGNKGHALTRKLNCDGKVNTMQTLQNLSEDELAGFEESWQRNAGPCLPGWDPRLNMLNSGTLSPGIQEDNGMSALLAPNEMFALPAPNEMFALPAPKQYRGSVSSRMKRRPLNGSSQGSPRP >SECCE3Rv1G0191340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747910155:747911738:-1 gene:SECCE3Rv1G0191340 transcript:SECCE3Rv1G0191340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSQCFDAMHGAANNGAAPAVADTRPQCPNVLDADVFRRQGHQVIDFIAEYYGGMGDYPVRPSVTPGFLRNALPAEAPSRPEPDAFSSALRDVRDLILPGMTHWQSPRHFAHFPASSSTVGALGEALIAGINVVPFTWAASPAATELEMVVVDWLGKALHLPESLLFAGGGGGTLLGTSCEAILCALVAARDKKLAEIGERRIGDLVVYCSDQTHFAFRKAARIAGIPRDHCRAIHTCREGKFALSPAELQAAMQADVDAGLVPLFLCATVGTTQTTAVDPIGELCAVAASHGVWVHVDAAYAGSALVCPEFRHVIDGVEAVDSFSMNAHKWLLANNDCCAMWVKKPSELIAALGTEQEYILKDAASEGHDVVDYKDWTMTLTRRFRALKVWLVLRCYGVDGLRDHIRAHVRMAEAFEDMVRADERFEVVTDRQFALVCFRLRSPERFGGEKTANELNRGLLEEVNAVGPGPYMSSANVGGIYMLRCAVGSTLTEEHHVADAWKVVQDRASVILRKMEIIYSVLG >SECCE2Rv1G0084510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:175837800:175839641:1 gene:SECCE2Rv1G0084510 transcript:SECCE2Rv1G0084510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSVAKPLSSKPNPFDSDSDSEFSSRPARAPSSSSVDPGTNGRYKNGFRDSGGFDNQSVQELESYAAYKAEETTQKVNVCLRLAENIKEDATNTLIALHKQGQQINRTHETAANIDQDLSRSETLLGSLGGFFSKTWKPKKTRQIKGPAVISRDDSFKRRANHLEQREKLGLSSSPVGKSNPQKYSDPTNGMEKVQVEKDKQDNALSDLSDVLGQLKGMALDMGSEIDRQNKAMDGLQDDVEELNSRVKGANQRARRLLGK >SECCE5Rv1G0301640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:32728369:32730239:-1 gene:SECCE5Rv1G0301640 transcript:SECCE5Rv1G0301640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDKKFGKGPRELTGAVDLISQYKLQPHHDFFCKRPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLVQNAYLRDKPASIKPFDIETLGQAFQLRETAPVDLPSAEKGVPTISGKPKSESKDKEKKHKKHKDKDKDREHKKHKHRHKDRSKDKDKDKDKKKDKHHEKKRKHEGTEDSADVHKHKKSKHKSSKTDEMGNGLS >SECCE2Rv1G0084150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172881263:172882072:1 gene:SECCE2Rv1G0084150 transcript:SECCE2Rv1G0084150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGIASMSSSLVVIFLGVLLLSRGGVGNAARHMQEAEYPPVPPNLPAPLPKPDVLPPLPAPEVLPKPGLPPLPTPEEQPKPELPPLPAPDVLPKPELPPLPTPDEQPKPELPPLPKPEVPPTVPEVPKPDVEPKPELPPVPKGEEPPKTELPPLPTGEIPPKPVLPPLPTGELPPKPEPELLPKTDEPPKPELPPLPTGEIPPKPEVELPPKPEVELPPKPEEAPKPELPPLPTGDLPPKPELPPLPTGELPPKPAQPPMPKPEEPKP >SECCE7Rv1G0471250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:128845893:128847906:-1 gene:SECCE7Rv1G0471250 transcript:SECCE7Rv1G0471250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFLAAEEVQESADRMESVYKIWVQERSGGDSALGGEVGAVELRRELHTALGTAKWQLDELERAIRSNDEVVSAGKDTRALHSNFVAAIGYRILEVENNLNASNVAEGRGTLSWIHLDESERDDLAAFLSASPPQHQDKVVTIPSAGDIQVGSNPTRVRKNVSADSSNDSSGSADLSSARAKEDAHRGHRRSVSASADIGSSPMSFPNEREGAAEQSSVGPHRAPLLNIVKACGLPSALKPKPAIKYKKGAVRWAHADKQDLEEGLPLTNPQLGQGLDGYSERSIGYLNTCDGVTYNKKLYGWLGALRKKLQRSQYQIRHGRPAQLIVFALAVLIIFVFVFKTIW >SECCE1Rv1G0050520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:647353443:647356867:1 gene:SECCE1Rv1G0050520 transcript:SECCE1Rv1G0050520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAARRLLSTRACAAAAARASPVASRAPSCYSAAQSKSRRPPPRFPTPKEIRRGLDQYVVGQDKAKKVLCVAVHNHYKRIYSEPSTKSSSQASAGRDAGTAGDDDTELEKSNILLLGPTGSGKTLLAKTLARYANVPFVIADATAITQAGYSGEDVESIVYKLLVAANFNVEAAERGIVYIDEVDKLAKKVGCQEGRRDVSGEGVQQALLKLFEGTVISVPRKRSQNGMSHGCVEVDTTNILFICGGAFSDLGKIISERLHRCPFGFGTPIRRELGDYALPNALEQSSLLEEIENDDLIAYGLTPEFIGRLPIIVGLTHLTEDQLVQVLMEPKNAIGKQYKKLFKMNDVKLHITENALRLIAKKAAVRETGARGLRSIMEGILTEAMFEIPDDAGEGKEKIIVVLVDEESVGTPTHRGCGAKIFRDDGALELYVYQNNIRVSGLIQRPKCSIICRLCLLVAFSAAKLWVYHTFDCFSSIYAWIVLILCKANIFIE >SECCE1Rv1G0028050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:392830157:392830606:1 gene:SECCE1Rv1G0028050 transcript:SECCE1Rv1G0028050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSLCLMALLLLLSTASTEPSRASPAAGGVDGWLHDHEAVPATAPGGSEGDAAPAPADGIAVPPPPPGRQRFRTPPHPFALSPEARRGLEHEARCGPRVPVRPGSPWPKWKPRCRGDDDGDAADGSATGAAGAPAPAWLPLDVNEP >SECCE1Rv1G0059080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703783974:703795180:-1 gene:SECCE1Rv1G0059080 transcript:SECCE1Rv1G0059080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MPVDPSSEDVAQQIEYLWDLKAALTRNVAIAVIVSLLEDPLDHLERTSFTEDDWKLVQLVLTLFRNVLAIQEITLPQKASGEATQLLYLADSFLELMFKENMMDLILVLAQHIDEPSGYLKHENLLLLEIFHYLFLGRDPELIAKVRPKGSKEQVNGDIDTSVDSLRLMMEKEEKEKRMFRQRNAENHALNGIFTCLAVDGSKSLCKGNPSSAMSSANSLRKIRNVHRGPQKRIAWDNELLYIPKEGIMEMLRTFMDQFLSGGYNVLMQSVCDDIVKQHHSIEKSDNITFFKVVCFVLAFQHEKASNAQKSNAGPQLSETSPGNECDDLPFRGDICGPVAATLNEDMFNIVLSRWREAYEDLKHSKDYKTLSAAGSLMKNMISMIYLVVKVHPEDSRESQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDTHKQPKSALADLLETVHIMLQLMEKLQARGALRVAKRTRKGRKRKTSDDKHESTKPETENVEQSNIDPTDGTKATSDSLPDLRSEDPLAEPTLLDQGKVDSDGTDLPDTIVDTAVNLESTTQLGGDPSSAGSGEKERNPINEEEDTCTTQLGGDPSSAGSGEKKTNPINEEEDTCTTQLGGDPSSAGSGEKKTNPINEEEDTCTTQLGGDPCSAGSAEKKRNTINEEEDASDSSSDDCPPATSEVDFNVSRLIYNLANNSVVQNICWLLKYYKTNSFRTNHYIICMLRRFCEDLDVSPMLYQLSLLTTFYDILAEQKSSSSKEYANIVNFLSKIVRKLVRAMKKQPLLFVDTLFWKTRKECHCIDADYLLNEFKGDVNNKGGEVGSSKGWGGPVNIADSLGDDEADYDIPHEPYDGDKNGDSSSGEREGDTQKSMGARDKRSILLSLSDSEAEDNDRTTISRGSQNKEAPKRRGRSIFNEEQEKLIRDLHEKYKDDRKCSHLIAEALDPSGKISSAQISRKLTQLGLRSVTRRTKVPEASLSSDDLVTQPQNDVLDDPKPESTRHRRKRLHRLSSKDDNNDNHPVSSDEETLQSLKGRTKNKELPSVDLSPSKSQHQEASQGTDSDDETIGSLLSRGKKKRLSTSDVTENKQEHLDSSKNIGLGVKTIGSNSITKNKGLPSVDLAPSISQHQETSQGTDSDDETIGSLLGRGKKKRLSTSDITENKQEGLDSPKNIGLGVETIGSNAITKNKALPSVDLVPSISQHQATSQGTDSDDETIGSLLSRGKKKRLSTSDITENKQEDLDSSKNTGLGVETIGSNIIPKNKELASVDLPSSISEHQEASQGTDSDDETIGSLLSRGKKKRLSTSDITENIQEDLDSSKNTGLGVETIGSNIIPKNKELASVDLPPSISEHQEASRGTDSDDETIGSLLRGKKRRLSTSDVTENRQEHQDSSKNIIPDNETIGSNVMDAPLHPELNPSNDNGGDAGEAELLDDLSEPELDDHEDAEQRIVGDRDMPESGDMTGSNASQKSGLKRRHRMVIDDDDDE >SECCEUnv1G0558040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:329532846:329534378:-1 gene:SECCEUnv1G0558040 transcript:SECCEUnv1G0558040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVAWFLLPLLARLLTHHAAGKPKLPPGPAAVPGLGSLLLLRNSLVDAEHLLRRLVARHGPVVSLRVGSRLSIFVADRRVAHALLVERGAALADRPDLVVNSGCTVSGASYGPAWRLLRRNLVSETLHPSRARLFAPARALARRVLLDKLREREPGPDGGGVLVMDAFRHAMFFLLALMCFGQKFDEAAVRAVGAAQRDWLMFAARKTSVFAFFPAITKRLFRGRLKTALALRQRQKELFLPMIHARRERTIKPVPTEEGTTLEHSYVDTLLDIKLPGEEESSRALTDDDMVSLCSEFLNAGTDTTSTALEWIMAELTKNPRVQEKLYDEITKSQQQRGDGDGDDEEVSEEETRGMPYLKAVVLEGLRKHPPGHFVLPHRAEEDMEVGGYLIPKGASVNFMVAEMGRDEREWERPMEFVPERFLPGGDGEGVDVTGSREIRMMPFGVGRRICAGLGVAMLHLEYLVANLVKEFEWQEVAGDEVELAEKPEFTVVMAKPLRARLVTRTG >SECCE7Rv1G0473110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:153936344:153938816:1 gene:SECCE7Rv1G0473110 transcript:SECCE7Rv1G0473110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVALLVPPPPTLGWLPAPRSRHRAFLQRSCELGSSRVTAAMSSSSSSDPSLATEAPRAAVTSERKLNPDLQEQLAKPYLARAMAAVDPSHPEGSKGRDTKGMSVLQQHAAFFDRNGDGVIHPWETFQSLRAIGLGSPSAFGTSILLHLVLTYPTQPGWMPSPLLSIHIKNIHRGKHGSDSETYDTEGRFEPAKFDAIFSKFGKTRPNALSEDEINAMLKHNRNMYDFLGWAAANLEWKLLHKVAKDNEGFLQREIVRGAFDGSLFERLQESKKST >SECCE6Rv1G0432560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:752553112:752554220:1 gene:SECCE6Rv1G0432560 transcript:SECCE6Rv1G0432560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRRFIFLFAAAILAGLASASAPTFLSDSVFQASTGSTGRSLLQTNNGCPISFESQDYTIITSRCKAPKYPPTECCDAFKDFACPFAVYINNQSTNCADAMFSYINLHGSYPAGLFGTECVKGKEGVSCEGVPGKGTGVPSGGRRAQGSFRSLVPIMCGLGALLFH >SECCE2Rv1G0076540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:91559403:91562357:1 gene:SECCE2Rv1G0076540 transcript:SECCE2Rv1G0076540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVKAVVGNVSKLAVWETSLLCGVTGEVGFLKDELKRLKCYLRSADAKRKSGDECVATWLSQIRDVTYESENVIEAADYMEKRNRLKNGFLGAISRYARLPSDTVILHKVGVEIQRIRRKIGEIFDSANRLKIDLDTSVLGKCFAEDEFPRGNDLMDQNFEDDVVIVGFEDECQEITDRLVDKENNTLSAISIVAMGGAGKTSLVRKIYTSSRVKEHFDTVAWVTVSQKFKADDLLTSIMEQIMGARVGFRIRECEVGKEMHNFLLQKRFLIVLDDVWETDTWEQINKMVKAFPDVANGSRVLLTTRKQDVANHVQMPTHVYHLKNLDEEKSWELFSSKALPSYNRCAVSDVDEFEELGKKLAKKCNGLPLALAVFGGYLSKNLNKETWIDILLSWPSTKDGQMMRDILARSYNDLPDHYLRSCFLYVGAFPEDYEISVSDLIELWIAECFIPHTPKHTLEEIARKYVTELAQRSLVQVVSRSRAHGWIERIRIHDILRDWCIEEAREDGFLDMIDKTACWDSASSSSDSMISYRASFQNFSGQILQATTPNLRTLVGFELSSISLPKMRFLRVLHIANSDLENFSQAIGGCIHLRCLRLRRCGNVTLPSTIGELLYLQIIDLRKTNLDSTVPNSLWNIPTLRHVYLSSGFSSPRSVGHKELQTLWLTCASVGTKYRYHDMVTFLRKMRQLTTLFLVMKPMHAKIMNIFAYMPHLVDIHLASFGVLDTLPESNHFPQSLRHLYLEADVIELDPMPILEKLPCLVVLELSGYKGRTMSFSAQGFPRLQELKLDNFSVEKWRMEAGTMPKLSHLTLWLCKKMSKLPKGLLNLPSLKNLKLISKPLISRDDSTLKELEQRGCRVEL >SECCE3Rv1G0190770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:743497041:743500750:-1 gene:SECCE3Rv1G0190770 transcript:SECCE3Rv1G0190770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl phosphate kinase [Source:Projected from Arabidopsis thaliana (AT1G26640) UniProtKB/Swiss-Prot;Acc:Q8H1F7] MAEAARKQRSPAAPRPVRCIVKLGGAAITNKGELESIDEESLRSACAQLRQAMSDGTAPEKVRGMDWSRRHDDPADPVVDAEGFAGMAGLGLDSNFVVVHGAGSFGHFQASRSGVHKGGLHSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWSTQRRNLASANASQIIESLHAGFVPVLHGDAVLDESLDCTILSGDVIIRHLAQLLTPKYVVFLTDVHGVYDRPPTDPNAVLLKEIEVDDNGGWSIVKPALQGNRKGVEISVAAHDTTGGMETKILEAAAIARLGVDVYITKVDTEHSLRALKGDVNTSSDDWLGTVIRSAK >SECCE5Rv1G0354390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:723092874:723095165:-1 gene:SECCE5Rv1G0354390 transcript:SECCE5Rv1G0354390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHGRRSALFAVALVAAIVAAAAAEAGHDGRRTYIVHCSHAAMPSEFAAHGDWYASSLQSVSGGAAEVIYTYDTLLHGYSARLTRAEAKALEAQPGVLLVNPETRYELHTTRTPEFLGLDRAEALFPESNTASDVIVGMLDTGVWPERPSYDDAGLGPVPAGWKGKCEGGSDFNSSACNRKLIGARYFLAGYEASKGPVDTSKESRSPRDNDGHGTHTSSTAAGSAVRGADLLGYASGTAKGMAPRARVATYKVCWVGGCFSSDILKGMEVAVADGVDVLSLSLGGGTSDYYRDSIAVGAYSAMERGIFVSCSAGNAGPGAATLTNGAPWITTVGAGTLDRDFPAHVTLGNGNKYDGVSLYSGKQLPTTPVPFIYAGNASNSSMGALCMTGTLIPAKVAGKIVLCDRGTNARVQKGFVVRDAGGAGMVLANTAANGEELVADAHILPGAGVGEKAGNAMRTYASSDPKPTANIVFAGTKVGIQPSPVVAAFSSRGPNTVTPGILKPDLIAPGVNILAAWSGSVGPSGIAGDDRRTSFNIISGTSMSCPHVSGLAALLRSAHQDWSPAAIRSALMTTAYAAYPNGDGLLDVATERAATPLDMGAGHVDPSKAVDPGLVYDLTAADYLDFLCAIEYEPAQIAALTKHSSDHCSANRTYSVAELNYPSFSATFPAAGGTEKHTRTLTNVGKPGTYKVTAAAAAGGTAIKVSVEPSTLSFSKVGEKKSYTVSFAAGGKPSGTNGFGRLVWSSEHHVVASPILATWA >SECCE5Rv1G0372630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853892474:853903143:1 gene:SECCE5Rv1G0372630 transcript:SECCE5Rv1G0372630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 12 [Source:Projected from Arabidopsis thaliana (AT4G00450) UniProtKB/Swiss-Prot;Acc:H3K2Y6] MQRYAGAGNSNSSGFSGGPASAGGRDSSRLDVSPYAPPNYPLNPRRQQQLAPYRLKCDREPLNNKLGPPDFYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSHISYFCKPDIVGKCKEALKKRLRAINESRAQKRKAGQVYGVPLSGSLLIKPGVYPEQRPCNEDSRRKWAEALAQPNKRLRLLSEHVPHGYRRKSLFDVLTRCNVPLLRATWFVKVTYLNQVRPTSSSISTGASDNQRSNQWTKDVVEYLQQLLDEFSLKEAHPSFKDQPSAGLISGATQVKLKNEAPSAGGDTEEPLVHFRWWYMVRLVQWHLTEELLVPSVLIEWLCYQLQERDSVEALELLLPIVLGLVETITLSQTYVRMFVEILVRRLSDASAVDNQKRSSISSVIAELLRYMVLAVPDTFVSLDCFPLPSFVAPDVYGRGALLKITGGGEMSSSKRRDVYRYLSCGYAVCSIQRRASDLATLASPNVHARGAAKVVQALDKALVTGNLTMAYSSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVELSLICSIFFLCEWATCDYRDCRTSPSQNVKFTGRRDLSQIHLAVSILKNKMAEMNKLSRSKSSSRIAMNNIGKGSSLNDASMAAAKVGDSSGLRSNGKNDEKKERKDIFESPGPLHDIIVCWLDQHEVSSAAGFKRVDVLIIELIRSGVFYPQAYVRQLIISGVTDKNGTLLDMERKRRHHRILKQLPGSSLFDILEENVVAEEQQLHEVMSTYSSERRLVLSELSSAQSFDANNRGEYTSSSYLRIPSGGGTNHGGVPEQVEDVKVLVSSLLCFIYPHSAESEHNETKMNFQGSSTSTLTQVDTGEAKNGCEDCMRINGQKLDEGASPFQAFPLIQSDEEDVWWVRKGTELQESFKAEPALKSIKQTSRGRAKVVRKTQNLAQLATAKIEGGQGEASTSHLCESKLSCPHHKPSTDGDNGKDADHTRMTNLAEVGKSLKKLRLLERRSISVWLLKSVRQLVEGNETTACKASNSISSFSSQPDDKTVSKWRLGDEELMSILYILDTCCDLASAARFLVWLLAKIRGGMGMLGQVGRSAAHMKNRDNQVCQVGEAFVFSSLLRYENILLATDLLPEVLNASTNRNFVLGTARQPAPAAFPYTRYFLRKYRDVASVVRWEKIFRTTCDQRLLADLDNGRSIDGDFVSSSGVLGGEEIDDQVRQKLSGRGSRIIPNMKEIVQRQAEEFQRNLKEKKIPAAPKSPSFEKDDSYQIAHDTVLGLVECIRQNGGATPDGDPSAVASAVSAVVVNAGHVIAKHLDFAGGNYHGVASIGNSLSFVRHTLRIHINSLCLLKEALGDRFSRVFEVALAVEASSAVTAAFAPPKVQRNQFQPSSETHDAYGNHTNEPLSTSGKGFVGRAGKVAAAISALVVGAVVHGAVSLERMIAVLKVRDGLEIQQVLRGLRPSTNGASRSAVTFKMDNSIEVLVHWFRILLGNCRTVYDGLIADILGESYVLALLRLQQKLPLSVVFPPAYSIFAIVRWRQYILSREDMQVYQSISNAINDITRHQPFRDICFRNTHQLYDLLAADVGDSEFAAMLETHCSDKNVRQLFMPLRGRLFLNALVDCKTPAVIQVDGSEPGEAKENELKILSERLVQSLDTLQPAKFHWQWVELRLLLDEQALAEKLDKAEKSKIPMPILMTLADGLRKLSPNSESFTLSESEKGFTEIILSRLVARPDAAPLYSEVVHLLGKLQESLVVDVKWILQGQDAVLGRKSTRQQLLTIATRRGVPIKAQVWKPWGWSSLLTDVMANRSAKRKLEAAPIEEGEVVDDPADAKRPSKSTPNNVDRSVEAARSNINKYVTEKAFAELMLPCIDRSSPEFRSIFAGELIKQMGTVSEHIKAISRNGAKHVGLVPSGSDVSSNKSSGRKGIRGGSPNIGRRGTVGNDPTPPSASALQAIVWLRLQFIIRLLQVILADRGMRHTLAPAILSLLASRIIYEDADSPLPPASLIASRREVDSLLEPPMDVLLDRPSESLFERLLCVFHALLGNCKPSWMKSKPVSKPTVRASRDIPAFDNEAAVALQSALDHMELPGAIRRRIQAAMPILPPARHPSIQCQPPQLSLAALSPLQSTPSTSGGPQQKSTSHSWVPTNMSSRSKAVLPPQDPEMEVDPWTLLEDGTSCPSASSGSNSASGMAPDHSNLKACSWLKGAVRVRRTELTYIGSLDDDS >SECCE5Rv1G0318050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:357219998:357236641:-1 gene:SECCE5Rv1G0318050 transcript:SECCE5Rv1G0318050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSAAPAAPTDAEREDALDRMLTRLALAEDARLAPLLVRVLPYAITSFASTSTSVRKLAMEILSHINKRVKHRPEISLPMLDLWKIYTESASTSIVRNFCIVYIEMAFERLPSEEKGNIAPDLLINISKVPAQHQGIILRLVSKAIGECNTRKVDDNIALKYRSISGSDAGSAFADFCFHTILYQTPSQGIGCPAGLSVAQADRVTGKLPLKGDTLTSRKLGILNVLEAMQLASEIVYPIYLAGASDSQESVAKRGDELLKRKASTANLEDSKLINRLFTLFNGTASAENIAAELKVAPAPSSLRVRLMSVFCRSIAAANAFPYTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHAVTDQLKLIGPIILTGILRSLDGSSSTEADSTARDVKIFAYQAIGLLASRMPNLFSNKTEMAMRLFTALRLEDQSLRLTIQEAATSLATAYKGASVVVLKDLEALLLENSQVEQIEVRFSAVRWATTLYDMQHCPSRYICMLGASDVKLDIREMALTGLNLLNDERQSPAMTIDFKYPDIVEMLNYIYSQQPKLLQSNDQSDGKLLFPSKTFFAMIKFLMKCFEASDIPDLSQEDPSHSPVAKMCVVLEHAMSYEGSSELHALALKSLVDISSRQPKLVSSRYANRLHWLRTLLSHVDSDAREAAARLLGIASSALSSSAALNLLSELTSELDPNKPSRFEIYHGLLCAIGYVTACCLKESYIPEELVQKVVDILVKVVESEGSTLASIAMESLGHIGLRCALPSISRNSSAAGVLTVLHERLTKLLSENDTKAIQKILVSLGHISWNELSFSHLKIALDLIFSLARSKVEDVLFASGEALSFIWGEVPVTADGILETNFVSLSQATNFLTGDAPLLDSKNFGKRSSCEEARTTAQEEIINKLFDTLIYSSRKEERCAGTVCLVSLTMYCGRHPKILELLPQIQEAFSHLIGDSNELTQDLASQGMSIVYELGDASMKGQLVHALVNTLTGAAKKKKAIKLMEDSEVFQEGTIGSNPAGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPHLHTLIPRLVRYQYDPDKNIQDSMGHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLIKIWTTTFRAMDDIKETVRNAGDSLCRAVSSLTVRLCDVSLTTTSDAKETMNIVLPFLLSEGILSKVASVQKASINLVMKLAKGAGIALRPHLSELVSCMLECLSSLEDQRLNYVEMHAGNAGIQTEKLDSLRVAVAKDSPMWETLDICIKVVDTNSLELLIPRLAQMVRSAVGLNTRVGVASFITLLVQKVMINIKPYTAMLLKLLYTAVLEERSSAAKRAFASSCAAVLKYASQSQAQKLIEDTASLHLGEKSSQLSGAVLIKSYLSNAADVISGYNSVVIPVIFSSRFDDDKETSALYGELWEDIPSSERVTLQLYLPEIVSLLCDCMSSSSWAGKRKSAKATKSLCDALGEPVSAHHHNILKSLLKELPGRFWEGKDAVLDALASLCLCCHAAITADEPTMPSVILNAVCAACSRKPKLYREAAFSCLQQVITAFKDPGFFNSVFPMLYEVSNESVIFKTTRGSSSLSTSAAAEQDESASVSVSLDKVLNCAASSITIALPQDIIHQKKNMLEVLLNSLSPEEGWQVKLSSFLCIKELCYKISDSGGSTAWPEGTDDFVQEMFHSVASKVVDSIRLVKIAQVHIAASECLLELIKLYRDFPLEERREAKFEGELIQLCESEKSEQAKALLKQCLAALKELTGVTMTMG >SECCE7Rv1G0502390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:696097979:696100715:1 gene:SECCE7Rv1G0502390 transcript:SECCE7Rv1G0502390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASSVAPVLVICLLSLAIFFHRCRSCDVVERERTLAMIKPDGLSGKYTEKIKEAILESGFDIIEEAVVQLDVERASLFYAEHADRSFFNSLVKYMTSGPVHAMVLESPDAISRWRNLIGPTDARKAKTSHPDSIRAMCGLDSEKNCVHGSDSPQSAAREISFFFGVDKSEALEHDEL >SECCE6Rv1G0415130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:639279365:639281610:-1 gene:SECCE6Rv1G0415130 transcript:SECCE6Rv1G0415130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGHLDGLMRHGAFSGAGLSGRQPLEPSPATILDILENKLAVQTAEAEKLIRENQRLADSHAALRKDIIDTETEMQMIRTHLGDVQAETDMHMRDLAERIRLMEADIQAGDAVKKELHQVHMEAKRLITERQMLTNDLEATTKELQKYSGENSNLTELVAELDGLRKEHHSLRSAFEYEKNTNIKQVEQMRTMEVNLITMTKEADKLRADLANAANRAHAAQVTPPQPGTGQAAAASAATNPYASAYTNHPSAYQQGTSQAAAYQQGNPQAAAYQQGNPQAAAYQQGAPQAAAYQQGAPQAGAYQQGAPQAGAYQQGTYGYPTAYDSATAYQLQANAYASYSGYPVAGYAQPSYPGAYAAPQQHPVASGAATDTTSAYGAAGSTGYPAAPVQASSGAANAGQAAPPAPYPAAYDPTKAAQR >SECCE3Rv1G0187180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:696271381:696273842:1 gene:SECCE3Rv1G0187180 transcript:SECCE3Rv1G0187180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKDKSRKSSSAASMAALAAAAAAGDVARADGMSGEEDQKLKLVNVPVVSVGGSSSSAAAVAVRRGSGAAGAVATGAAGAGGPSCQAERCGADLTEAKRYHRRHKVCEAHAKAAVVVVAGLRQRFCQQCSRFHELLEFDDQKRSCRRRLAGHNERRRKSSAEANGGDGCRHADQDGRSHPGNPPLNHFQIR >SECCE5Rv1G0309740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:158732056:158736109:-1 gene:SECCE5Rv1G0309740 transcript:SECCE5Rv1G0309740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRPLEGGGGGDSPSPARSPALKKRCRSFDLEIRGCRHLEELVTNCVQRVEAAVESAISRIPEVVTKAITGYLSRAPSLCRMLVDQNQPPRYKLRFLNGLSNEIFTKKGICAENGDPLKICLEGNNQQENNSRRLLSAKIKIVVLDGDFNIDNKDFWALEDFSRHIVRPRDKVGAVLTGELELRLKDGKADLHDATFIDNSKFTRSGKFRLGVMVVDELGERTLEGVTEPFTVKDRRGEGSQKHAIPSLDDDVWRLQKISKDGVFHEALKASGIFSVKDFLTSYYKDEHTLRKVLNKATKLVWTTIVDHAKKCDPGKELYSFIVEGHDVVLFFNCFYRIVGVTSGDQYTPFKDLDQPMQGRVEQWSKVAYENWTNLQPDYVMNNGKPRPVSQSISQGSIIPEPKFMQGHQQNCAERNVHEADGHQGTSGSHPKQCTLKRLGSIRVTPNEEDASFDISVYLGSGSEQYHGSTDANDIPGSVTLHCPAADGFSGSVLLKQASLTMVDEDYDIPFVTSDASFHLFDASALGAFTDEPIYSRHVSFRESDCHEMLALGAEPAV >SECCE7Rv1G0455560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:7874113:7877538:1 gene:SECCE7Rv1G0455560 transcript:SECCE7Rv1G0455560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVVSASLGALGPVLAKLAGLLAREYGRLKGVRREIMSLRCELASMDAAVRKYAMLEDPDVQVKQWISMVRELAYDIEDCIDNFIYRIGSGGRHSGFKKFFRKTTRQLKAFGARRGIADQIDELKTRIKQVKELKNSYKLDATTCSVSSHIAVDPRLCALFADEANLVGIDGPRDDLAKWIVDEKDIHSRVLSIVGFGGLGKTTLANEVCRKVQGHFDCRAFVSISQKPNIRKIINDLIYKLPHPDGFANDSDIWDEMTSIAKLRELLQDKRYLIIIDDIWCTQAWSTISCAFPENKCSSRIIATTRIRDVARSCCPSYDDRVYEMEALNEKHSKILFHKRIFGSENCCPNMLMEVSDKILKKCGGLPLAIITISSLLANKPVVKVEWEKVNRSIGSTLEINKRQKGMNSILCLSYNDLSPNLKTCLLYLSVFPEDYVIDRDKLVRRWIAEGFISEERGQCRQEVAENFFYELINKSLVQPVFIGYDCKATSCRVHDMMLDIIISKSAEDNFITVVNGAGGEMCLPNRHGFIRRLSIQHIDQDLAYALAREDLSHVRSLTATSSDCIKHLPSLAEFKAVRVLDFEDCEGLEEYDMQNMGKLFNLKYLSYRCTGIPMLPSGIVMLGELETLDLRDTCVQELPSGIVQLSKLQHLLVAVGTKILNGIGVMRNLQVISGFNITRSPVIAVEDLGNLTGLSELDIYLDRGGPEEYKRHEEMLLSSLCKLSNCKLMSLRITRYGGSLGFLDSWSPLPYSLQIFYMSSDCYFVNVPKWIAPTLSSLTYLDINLTELTEKGLLTVGELPALLCLELWLKKGPENRLITVQDIGFPSLKEFSISGEAEVYVTFVKGAMPKLEKLGIPCPVSVAITYGFYIGIEHLTCLKQVIAVLGTDGCTPSESKAVAAAIRKEAGVSLNHPTITIFGEPTDTQ >SECCE7Rv1G0512690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:813730135:813731055:-1 gene:SECCE7Rv1G0512690 transcript:SECCE7Rv1G0512690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQRAIKRCKHFEIGGDKKGKGTSLF >SECCE4Rv1G0228290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:133475719:133476447:1 gene:SECCE4Rv1G0228290 transcript:SECCE4Rv1G0228290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRGGDICRFASPGWCTALSISPEMETQLLFLMNNVKWGSQKRYQLWFARKHLMVPGGEERIPDIVRFICCGYHPTNEVIQSGVIARWAVIGWLLTSCSKGYIVANAKLALFYDWLFFDESKGNVMNIEPAMLLMLNSISQYVDITNMLLEFLFLLIENYEVRRKEAIEQCVRCAFTVLVKKGVLPSLELLTCCEKLSPLLRQKLVAFLSSTTPVAAVEACLKPINEVSKAAELKKRVCSN >SECCE2Rv1G0067440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18860063:18861352:-1 gene:SECCE2Rv1G0067440 transcript:SECCE2Rv1G0067440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFDSVAAIAGDDEALTHSPFGIPDGYNAEGSQPGHGMHRGHRFATSYSSFGTALSEDDLAGIAGEGFGGGYGAYSMAPDSNGSGYIGVEEVLMGSLLHGGIGGSIDDDVFVGAVGDGAVLPPPEAMREEGILRREWRRQNRLMLEEKERKERERRGEIIAEAEEFKKSFLEKRKLNCQRKRTHNRDREKAFMANQEKFHKDADQQYWRAIAELVPHEIPGLEKRGDAGRRRKELEKKPNIVVVQGPKPGKPTDLSRMRQALAKLKQNPPPHMVPPAKEEKKDGDRDAKKDGGKEPKRVEEKKDGKQGAGEGEKKAAPVAGGKAATGGGNAAAAAAPSDKAPEPPAAKK >SECCE1Rv1G0031060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:438516775:438517704:1 gene:SECCE1Rv1G0031060 transcript:SECCE1Rv1G0031060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVCSMASAGSRVIVLLVFLFAAVSSPASACDRCVRRSKAAYRASSPALDNAGSCGYGSLAATFNGGLLAAASPSLYRGGFGCGACYQVRCTDAELCSAAGATVVVTDQAPTATTNRTDLLLSGAAYAAMALGGGGTAAARQLRERRAVGVEYKRVPCEYARRRNLSVRVEERAPPGGLTIRFLYQGGQTDIVAVDVAAAGSSSWRSMTRERGGPAWSTGQAPAGPLQLRMVVTGGYDGKWVWADGEVLPRRWAAGRVYDTGVQIADVALEGCSPCDTREWK >SECCE3Rv1G0154470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:60898976:60899339:1 gene:SECCE3Rv1G0154470 transcript:SECCE3Rv1G0154470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRKNTSSLSFVVAALMVVMAATLLLPSCDAREVKDKLTAFPVPAKCYSRFFPNCTEQRCKKFCFQPLPGAVCTDKNTCCCPIA >SECCE3Rv1G0200470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:853875933:853877636:-1 gene:SECCE3Rv1G0200470 transcript:SECCE3Rv1G0200470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTRRTIPFLFVRGDGVILVSPPLRTA >SECCE4Rv1G0265020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724214445:724215268:1 gene:SECCE4Rv1G0265020 transcript:SECCE4Rv1G0265020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQPSEKKPPPPEKKAPLPKVVTLNKALKLAQTWVDGMSALEPDELNDKDFEGRPSGLGLGAKVAPNAKRAAPTDPVERRLLGKVNAQKRKSAEEEKINTQEANEASDDDSGEPQGRTSACSKKRELPSVTSMPLGKKAK >SECCE6Rv1G0429140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:733561616:733562872:1 gene:SECCE6Rv1G0429140 transcript:SECCE6Rv1G0429140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTGAALLVASLLALAAIASSNTEGDILYSQRQAWKDTNNMLQSWDPTLVNPCTWFHVTCNNVNSVVRVDLGNAGLSGALVPELGQLKNLQYLELFGNNISGPIPATLGNLTRLVSLDLHQNRLTGAIPASLGSIGTLRFLRLHGNKLAGVIPALLGNLTKLQTLELQENMLTGTVPLEVLSLVLVGDLTELNVAKNSLAGTVKSSKPRVATIIQDTLKTTR >SECCE2Rv1G0126030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844450977:844451609:1 gene:SECCE2Rv1G0126030 transcript:SECCE2Rv1G0126030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGSSSRRTTTRSMTANERAAKEAAPAGDERAQKRHQRGTAKKKAPRPLKVRNSKRKAAAAAGAGIGFSELYEGARASGKKLARLPQEEVDWILAQDHDDDPDHDPPEVKALRRLSRNQWPSLEEEEKTDMYGPRAQIETQEEAGRFQAWVRSEYAKRGYVEVAEEYIVGRDQTRAWMDEARVEAMNSIDFSGGDEDLKRFFDKTWP >SECCE3Rv1G0201260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:861664110:861665036:1 gene:SECCE3Rv1G0201260 transcript:SECCE3Rv1G0201260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIGYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDDDELIIKLHALLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLNRGMDPHTHRPISAAAAASGLTTSTAAPVFPSSPAPASRLANVPFAFQSSAVSFARPSPSDDGHSSSGGSSDAPRCPDLNLDLDLDLSMSLPCSPPKTAPPAKSTPTSQQQQGICLCYRLGVRNGEACTCKTASPAGPRVFRFLRPLEEGQYI >SECCEUnv1G0557400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:323467746:323469797:-1 gene:SECCEUnv1G0557400 transcript:SECCEUnv1G0557400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQYKHQQLQIGLVYPQQIKAWANKNLPNGEVVGEVTRPSTFHYKTDKPEKDGLFCERIFGPIKSGICACGNSRASGAENEDERFCQKCGVEFVDSRIRRYQMGYIKLACPVTHVWYLKGLPSYIANLLDKPLKKLEGLVYGDFSFARPSTKKPTFLRLRGLFEEEIASCNHSISPFFSTPCFATFRNREIATGAGAIREQLADLDLRIIIENSLVEWKELEDEGYSGDEWEDRKRRIRKVFLIRRMQLAKHFIQTNVEPEWMVLCLLPVLPPELRPIVYRSGDKVVTSDINELYKRVIRRNNNLAYLLKRSELAPADLVMCQEKLVQEAVDTLLDSGSRGQPARDGHNKVYRSLSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHQCGLPLEIAIKLFQLFVIRDLITKRATSNVRIAKRKIWEKEPIVWEILQEVMRGHPVLLNRAPTLHRLGIQAFQPTLVEGRTISLHPLVCKGFNADFDGDQMAVHLPLSLEAQAEARLLMFSHMNLLSPAIGDPICVPTQDMLIGLYVLTIGKRRGICANRYNSCRNYPNLKVNYNNNNNSKYRKDKEPHFSSSYDALGSYRQKLISLDSPLWLRWNLDQRVIGSREVPIEVQYESLGTYHEIYAHYLIMGNRKKEIRSIYIRTTLGHISFYREIEEAIQGFSQAYSYTT >SECCE3Rv1G0168000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:213474640:213483025:-1 gene:SECCE3Rv1G0168000 transcript:SECCE3Rv1G0168000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MITHHPSLLRFRAPLPAGLRHGTSHALLFTAAAYSPPPPPLRLLPLRVAAGGASPVGSDGGNRATPPPLGAAALDFARSNFLPLALIGGMTLGLLDPTLGCLAHKYSLSKYSTFGIFVISGLTLRTKELGAALQAWPAGLFGLGSILLFTPFVAQFLVQIQFLPREFITGLAMFCCMPTTLSSGVTLTQLAGGNSALALAMTVVSNLLGIMFVPLSLAKYIGTGAGVSLPTKELFRSLVNTLLIPLILGKVARETSKGIAEFVDGNRQGFSVLSAVLLSLVPWIQVSRSRSLLLSVKPKAFAIIVTIGVLLHLALLAFNATALQVLSCLKQKEESVFSRKEYARAVILVASQKTLPVLVAVVEQLGGALGESGLLVIPCVAAHINQIIIDSILVNWWRQRDQRSTSAK >SECCE6Rv1G0398160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:414197530:414202046:-1 gene:SECCE6Rv1G0398160 transcript:SECCE6Rv1G0398160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLCSKKTTKGNNKPGKEGNRFWKSVGLGFKTPKEAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHYVKKYQRYEKRHSNIPAHISPCFRVREGDHVIIGQCRPLSKTVRFNVLKVVPAGSKSGAVKKAFTGA >SECCE5Rv1G0355160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:729852493:729854544:-1 gene:SECCE5Rv1G0355160 transcript:SECCE5Rv1G0355160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLRRPLLAALLLVYFGFSAAVQGDGDGERFVYSGFAGANATLALDGTAVVQPSGRLELTNGTAQLSSHAIHRTALRLRRSPGDGVRSFSASFVFGIIPAYSDLSGHGIVFFVGKDNFSAALPSQYLGLLNSANNGNATNHIFGVELDTIQSKEFNDPNDNHVGIDVNSLDSLAARPAAYYDDKTGAFHDLLLISGKAMQVWVDYESESTQINVFLAPLKNGAKPSTPLVSAKKNLSDVLVEPAYAGFSSSTGTVRSRHYLLGWSFAMDGPAPPIDIGSLPKLPFVGTKPRSRVLDIVLPIATAAFVLGVVAVVILLVRRRSRYAEVREDWEVEFGPHRFTYKDLFRATEGFKNKTLLGFGGFGRVYKGVLPKSKLEVAVKKVSHESRQGIKEFVAEVVTIGRLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKCLYGGSKEKPALDWAQRFRIIKGVASGLLYIHEDFEQVIIHRDIKASNVLLDADMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARTGKASPLTDVFAFGAFILEVACGRRPVEQAMNDSRLMLVDWVLEHWQKETHLEVVDARLDGEYDAGEVVLALKLGLMCSHPMPGARPSMRQVMQYLEGDMPIPELTPTQMSFSMLALMQSQGFDSFVLSSASDPSSATNTMMTMGTISGLSGGR >SECCE1Rv1G0027550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:383817144:383820037:-1 gene:SECCE1Rv1G0027550 transcript:SECCE1Rv1G0027550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSDLLDVDPPELQFPFELDKQISCPLKMINKTDRTVAFKVKTTSPKKYCVRPNNGVVRPRSTCEVVVTMQAQTVAPPDLQCKDKFLVQSVVIADRLSAKDITPQMFMKQGGNAVEEVRMRVTYVMPTESPSEIAEESDGPQRILVPMQRIADHGRSASELSSGSVSLRSAELGTEVGSPTGPVVRTEELLRAAGHAAETKTYTGPDAQSLELLALITKLTKEKDSAIEQNKKLRRELGLVRRDASKQGGFSLLFVLVCGLLSVILGYLVKK >SECCE3Rv1G0151510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:39311160:39312570:-1 gene:SECCE3Rv1G0151510 transcript:SECCE3Rv1G0151510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPDPDVILPRVLIVSRRTVRKNKFVDFVGEYHLDLVVGYGAVPVIVPRVAGVHAMLDSFEPIHGVLLCEGEDIDPGLYDAGRNESDGDALSPEQLEAVRRLHPSDAAVDHEKDSIELLLARRCIERNVPFLGICRGSQVLNVACGGSLYQDVEHELHPATADAAVCHMDYANYDGHRHPVRVLPGTPLRDWFADSLLNGDQLMVNSYHHQGVRRLAERFVPMAYAPDGLVEGFYDPDAYNPGEGKFIMGLQFHPERMRKEGSDEFDFPGCAKAYQEFVRAVAAYQGKLAAAHVHVRSAVTTPPKLNREVEKQRKVIVRSVSLAKNMYVFGKNTGAQLPAEHRDGDLDAGPEFLESNTALSVQQEKRLKQMGATVRNASGYMNRLKVSEEREAAARALMAKMSVAQLASLAAFYRAMGNVCSEVLDTKLQPPSPTPHE >SECCE2Rv1G0081420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:139602955:139605029:-1 gene:SECCE2Rv1G0081420 transcript:SECCE2Rv1G0081420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVDAPAVVTEKEVVAENMLGDKKVTVVFVLGGPGSGKGTQCTNIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQQAMIKNENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFNCSEEEMERRLLGRNEGRVDDNIETIRKRFKVFVESSLPVIEYYDAKDKVKKIDAAKPIPEVFEDVKAIFAPYAKAA >SECCE7Rv1G0523770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883691342:883698660:-1 gene:SECCE7Rv1G0523770 transcript:SECCE7Rv1G0523770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGASLGAVTTFILPKIWEACCWQKPDLRDAVDSLKMQHKHILGKIREYRAMRERSRDIGGTLDEHIADLRRVANEMEDCIDSYHIAETTRTRAKLLRKIPRLKERSEKLEVDYKGPGKSTGGETTTSRKEESTAASGHGQSYSGGDLRVVRPSCAHPVDMDDPIKELLDLVKVSDSEPESKQLKVISISGFGGLGKTLLADKVYFHEDVCPQFTVRARVEAAGKSHDEVLKEILEQLPGTSANDQEIWQNGEQSVNDKGKNVHMDGVTRQNGEPGHGQATQPGTSERLRSSLKNKRVNDKGKNVHMDGVTRQNGEPGHGQATQPGTSERLRSSLKNKRVNDKGKNVHMDGVTRQNGEPGHGQATQPGISERLRSSLKNKRFLIVIVDIQENRGEIAIASVLKGLGEVHSRVIVTTTIQSIATSWASPNNHLYPMSSLNKVYWEELFFREFDEGKCKKPSDMGQLSSLKSLLEKCDGLPLALISTAKVLSGTELDNKACTEAWKKLCDIKHDETSTLQKMQRVLASTCAGLSGTNVPPTLIDCLLYFSMFPPNHHVRKNSLIRRWLAEGMKQNVDRKEFNLEEHIERLIDRSIIQPMEVSTRGDVKGCKASSLMYEYIFHRSKSEEFMAVLSDVMDNGFERKGYVRRLSIHATETGIAEERLPDDLSHLHTLAVFGTEKSEVTKDLANGLFGKKKFANCLFGNKGVLAKYKVLRVLDLKECAGLKGKHLQTICDMLLLKYLSLGDSIVQVPRKIANLKQLETLDLSRTNVVTVYMEVLGLPSLTHLLGKIRLSKWDCIFGVEKLKMFVRNKCKLDTLGGFTTGKSEAFPQLIGHMRQLNKVKIWIDPTADTRNLEHLTKGIKEFVRKSRFESNVGRSLSVDFSECVQEHAERSLRFLTLSQSQKVEETVNIPDNNTQGARIEQEGEANQCLGSNGGSTSNQIMNMQDNIQEHQAEEIEDAKQGAPSDDAYSSNETEMMQSLQNIREGYDKCPNKLSSLKLCGDLPKFPLQFVTEISGIEKLCLSSTRLSRENIAAALSELPDLFYLKLREDDLRHLDLKNKQFPSLRRICFSVKDGNLPRPTIIQHFRNLREVYLYANLNYEARRSWKVASESHPERPRVYFAESKCKGTSSEAVTQNQ >SECCE4Rv1G0244510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:515855967:515862046:1 gene:SECCE4Rv1G0244510 transcript:SECCE4Rv1G0244510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEPTGTAAAAAGGEKRAMLLRQITEEGGFAFVASVEKAAAGDLRAAEAAREMAWEQLHSGPWSEVEPAWQHAYALACLHVASLRAGDDRRAALRALDMGLIMGGDLLRAELEAAIEQVPANGNREGEGDGAGDAGRNVERWREGLSRNRDLGDALKVLPVKSLSCKRIERRTCISLEAFIHDYFLRESPVILSGCIDHWPARTKWKDIKYLERIAGDRTIPVEVGKSYVCNEWRQDLITFSHFLERMSSPDCSGNLTYLAQHPLFDQIKELREDIVVPEYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNLFAQVLGRKYIRLYHASISEDLYPHMETMLSNTSQVDLDNIDVKEFPRTEDLEFMDNILEEGDLLYIPPKWWHYVTSLSTSFSVSFWWSTSILPSQGS >SECCE7Rv1G0514310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:832047258:832047719:1 gene:SECCE7Rv1G0514310 transcript:SECCE7Rv1G0514310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE7Rv1G0482040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:298656416:298657703:1 gene:SECCE7Rv1G0482040 transcript:SECCE7Rv1G0482040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELAGKMTAKAAMAAAKPATKAYVTFLAGAGDYWMGVVGLAKGLRKVGSAYPLVVAVLPDVPELHRKILVSQGCIVREIAPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDELFDLPKGHFYAVMDCFCEKTWSHTPQYQISYCQQCPDKVTWPAAEMGPPPALYFNAGMFVHEPSMATAKALLDTLRVTPATPFAEQDFLNMFFRQQYKPIPLVYNLVLAMLWRHPENVQLEKVKVVHYCAAGSKPWRFTGKEENMDREDIKILVRNWWDIYNDENLDFKGLAADADELEAAAKKPLRAALAEAGTVKYVTAPSAA >SECCE7Rv1G0526770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:898514258:898527975:-1 gene:SECCE7Rv1G0526770 transcript:SECCE7Rv1G0526770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKIPYQVIKRITNNYDKTRILGKGGFGTVYKAVDEKGETIAVKVFDMPRFDDGEFQKEFDNLKRLKHENVVQLVGFCNDTEEVLYTTNNGTHVLAEKLHMALCLEYVQNGDLGDYISDEAKGLDWHTRYKIIKGISEGLKYLREGINPPILHLDLKPQNILLDKDMIPKVADFGLSRLFGEEKTTKTTRNFGTIGYVPPEYTDFQVISDKFDIYSLGVIMAKIITGRDNYFKIAYVPRKQFIDEVLDNWKKQLGQNLTFGRSLEVYCQQVRRCTELALDCMKKNRYERPTIKYILSSLTETETMIGDLELNMEQLPQEEFIRRKIETSENPLPENDADSSRHESQDRMTSLLKKLSFHFLEKITRGFSRDQEIYKYPFGTVYKGVLEDGQVIAVLKFEPDSFVGRDRNFINKVQFLFAHNHENKVRILAYCRELQRKFVNIPGSNKHMAVDTTETLLCYEYFAAGSLADYFEGEAGVLDWDTRFKIIVGVCRGLYFLHEKLNVIHMDLRPENIFLGNQLEPKILDYGQSKRCAEKETWIHRQVQVESNGYMAPEYRYNGELSDRTDIYNLGSIILKIVTGERNQHRTDGKSSWRFINKVPENLTLEYLESAYSSLDECHIGHVKICIEIGMKCVEEDQRKRPSIVEIIEKLNNLSKEGSYEQLAMYELLEVHPRELCFSSEPNKSISCSLQLRNKGDDRVAFMLVPEKPSMYLTKVPLCGVVPHRCTYTHAITMFERPQQKPGEFTLNTVVVGKYDLQDVDKDSAAEDYGNFFNKAKEDGHGDQEVQVVTLSVNCNPTVQEPTRPNIEIITTEKAREVSSIDIHSTEPWIMTTSKGNLQVWNSKTMETLSVFAKYIEESVHVAKFIERQKWLVVGDANGNIHVYRYDQHQEITRLNAHLSCIASLAVHPTRPFLLSASNDQDHLIKLWDWDNWQCTRIFQGHADKVTQVIFNSNIMGTFTSASLDGTVKIWGIDKDDADIVTLNEQDGSLLCVDNFERYEEQHLIAGCIDGTAQIWNMEIERCVGKLEGHAQRITAVSLHTELSFLITGSFDGTVRLWNSSTYKLEDIIDFNLGQVYAFGFMKDIRRMVVGCEQGMATMIIPMPR >SECCE1Rv1G0051900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:657467026:657467361:1 gene:SECCE1Rv1G0051900 transcript:SECCE1Rv1G0051900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSGTFRRSGSSGMVWDDDSHLSGEIKPVRVERSRSTGHAGYRASAGRVSPALDPPSPRVAACGFCACFRAGEAKAPKKSNGGKAARSTARTAAGSPRPKVRRASG >SECCE3Rv1G0205940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:903843543:903845979:-1 gene:SECCE3Rv1G0205940 transcript:SECCE3Rv1G0205940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTNIVACRNPTSLDASGDEEATWSHAWGLISGFAVSITIKTAIELGLIDALTNAAGRALTADELAAQLPAPDKAGSAASVDRLLRLLAAFDVVRCSTETGPDGEAVRKYTPAPVCRWLTSNRSDGSLAPLALFAVDQDYLPTWNQLGAAVVCGVPPPFERAHGVPLFEYMGKNPRLSGVFNQAMFHMSVTVISKMVERFDGFDGVEVLVDVGGGTGAALEMITARHKHIKGINFDLPYVITQAPPLPGVENIAGNMFENVPTGDAIFLKWILHLQNDDDCIKILKNCHRALPANGKVIVVEIVLPATTEATREAQDMFLLDVIMFNNLQGGKERTEQDFLKMARASGFDGAFRSTYIFGNFWALEFTK >SECCE3Rv1G0167830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:208745236:208750247:1 gene:SECCE3Rv1G0167830 transcript:SECCE3Rv1G0167830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSWGQRLLLSAVVLIAAGAAAAAAAGDVSLSLTSAPPRVSTSASAVFAFRAVQSSGWTCGDCAITCKLDGERRSDCGGNGNGTEVVTFAGLKDGNHTFAACATPRSGSGSGAGPTCATYAWDVDTVPPTATVAAESAFTAAPNVSVLVSLSEPCPGGGGFTCNATYCDLIAYGPGRVEPSTMEAVVPGLRYSVAVSPSPDVEYGRMILVMRRGFCTDVAGHRFRRSSNSTFTLRFDKRSDSMNITASIPEKLLQIQGAMRVVEATNDDRKLRVYMSFAEPVMNSSAEILAALTVTGAVLTPTNRSTLGNRRFGFVVNKISSTAVVTVACDTSSVISRQGTPVYSSQPFTFLYDTRRPSVKLATSTVRTSSHTIPVLIKFEKPVFNFTSSAVQLSGGKLLSFHEASKSIYTMQILAVDKLVSVQVAENTAQDVAGNPNLPSDRLQVRHYSVPASSSSIATIATVIFAATAIVATLLTISTSSLIASGAMARPSSYSISEPSRNLLRMACHIQIFALSRWLSINLPVEYYELAKGIEWTIPYIRLPWEGPSADPFVGYSTMPAIAYSELVDRSDVVQADPYYPGAAPGGQQQIMPMQIPVEGKPAAIPLHIPAMDGKPLTAMEYRSFFENQDMKPEAQIIMKLQDLDGWKYFGRNMLWLGAIGGGLMLLHLLLLLYMRLRYRGGTGKYGALVLPRFEIMLAVLALPCISQASAALIRGGTTGGLAVGIVLIGVLTAFLVALLLFLSLGITTGRLLQYKEVHQEGQEHRWYQEIVRRTLGPGKRGQWTWKDPGRAARLVKLGPLFEDLRGPPKYMLTQIVVGGGGKRAAADQRIMASEDENEDAEAPVIQKVFGILRIYYTFLESVKRVALGIVAGAHASSGRSSRAHAVVVLAVASFQLFFMLLKKPFIKKRVQLVEIVAVASQVFVFAACLVLIDRNSGDGSGELEEVEESRGVGIAMLGVFSLAFAAQVCNEWNALYRQVQFLSPDRSSFLEGAKAASGGLLLLVLPSSVLGDPLAGQQQEPSPDSGGATPGEAQRSSNERSWLGQLREMAKASFSRDGEDPSSSAAYKGKRSRSSSVASQSADSKAKGEWRPKSKALHKDLEAIFSNR >SECCE7Rv1G0502470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:696527401:696532759:-1 gene:SECCE7Rv1G0502470 transcript:SECCE7Rv1G0502470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDPKPSYNSAYGYGNSSTGSNSRYATAPPGFSPWYASSAGNNVQQPEAQATLQRKYSRIGDDYRTVTQVTEALAQAGLESSNLIVGIDFTKSNQWTGKNSYNRRCLHDIGRTPNPYEQAISIIGRTLSDFDEDNLIPCFGFGDASTHDKDVFSFYPENRPCTGFEEALERYRQIVPALRLSGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRNVDTQSGQLSPQEWDTINAIVKASHFPLSIVLVGVGDGPWDMMHKFDDNIPARSFDNFQFVNFTEIMSKSIAAGRKEAEFALSALMEIPTQYKATLDLQLLGRRQGIPPRVPLPPPTSTAYSRSTSFDQQSGVYSRSSGFQQSDSFKQRQHAAARRPGSYSSGSSQPAATRIPDTSSESSESTHSCAICMDKSKDLAFGCGHQTCFDCGVKLVRCPMCQQHITTRIRLY >SECCE4Rv1G0240860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448168222:448168635:1 gene:SECCE4Rv1G0240860 transcript:SECCE4Rv1G0240860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLYVLTPKRIIWDCEVKEIILSTNSGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQKALEIAEAYLSKAEGTKDLVEAKLALRRARIRIETVNWIPPSN >SECCE3Rv1G0187430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:699323125:699328778:1 gene:SECCE3Rv1G0187430 transcript:SECCE3Rv1G0187430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELESGPISMAAGGGGGRLRNALSGVLCAFTLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLSKSGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPVFSANASWATYLLTKEAKGHGAGLMAATILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVLTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTFVLTVGLAICFAVVAILVTLVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMAINVLAFLVPAGIISCFLPLSDASSFLVLYLVTSVYFSGVMVRLMLVLAPAACILSGIALSEAFNVLTRSMKFQRPVSYDALPTAGDSTPGSSSTAATITTSTKNANIKKEKTENISKERSSKKSQKEKEFVGSSLVRPEKEEKLCVLPSEASAMGILFLIILCGLYVVHCVWAAAEAYSAPSIVLTSRSHDGLHVYDDFRESYAWLRHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKGAWEIFNSLDVKYVLVVFGGLIGYPSDDINKFLWMVRIGGGEFPHIKEQDYLRDGNYRVDAQGTPTMLNCLMYKLCYYRFAETDGKGFDRARRYEIGRKHFKLTHFEEVFTTQHWMVRIYKLKPQKNRVRGKLKLKLKSSSKTSLMRKWAGKKNPWR >SECCE2Rv1G0081040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:135360135:135360341:1 gene:SECCE2Rv1G0081040 transcript:SECCE2Rv1G0081040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPCDGAKTEWPELVGRTIKEAEEKIKADRPDLKVVIVPVGSIVTQEVDPSRVRVWVDTVAEVPKIG >SECCE3Rv1G0194220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:778349978:778352043:-1 gene:SECCE3Rv1G0194220 transcript:SECCE3Rv1G0194220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLISTLPAFTLLLVAPASCKPWKLVRELGLVALLLARELLRHSASAAAGRKEQGARMPPSKPKAPPPALAEGDADALPVLDLPELALERVLEELSPASLAAMACVCAGLRDRCSMDGLWARHVGRKWGRVLGAAARREWEAELAARAAAAALPRPARRRGWADSLACAWPFSWIGRRWLKEDAAARSAPAPPADTVAAWYRALECGDFWFPAQVYNREDGHVGFLLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEEEGVQWCRVRAAPLSTPAQDLHASACLEDLRPGDHFEIQWRKNKDFPYGWWYGVVGHLEPCNANEHLCRCHEDDTIMLEFKHYAAGSRWRQTTVSRKDHREKGDESDGFYGGIRKLQTTDEISTWRRFWPVDVLS >SECCE2Rv1G0139500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:927676240:927677562:-1 gene:SECCE2Rv1G0139500 transcript:SECCE2Rv1G0139500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLPLPLAGILINFNGHRFTELFARPSPVRVTVSSKFHDYLPDAARDFYDAIIMDHCNGLLLLSGGYVVNPATRQWAKLPSSRTPCRYGKEHFMYHEYIMFDPAVSPHYEVLVVPEGPYRRETLDSGVAAWEWPPSPMLLQIFSSRTNEWEERSFQRQGESFSTVADLPWSGDPESAVYWRGHLYVHHYFVMRISLSSGKYQVIKPPQDINSRRTPKLQLGRSEKGVYLASIASHAERLQVWILDESSARIEWVLKYEADIRHILSHRGYNPKVQGSWVMEDINYHSYHDRFSDYKEQAPPENGFEWDSDNEDVLDTKDKVEGEYRKYVDIIGFHPQKEVVFLSESLERGFAYHLSTSKLQDLGYMYPTQYDWFAEGDKFIESSFPYTPCWTAELPTNN >SECCE5Rv1G0361850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:781247028:781247534:-1 gene:SECCE5Rv1G0361850 transcript:SECCE5Rv1G0361850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSRFAYVMAILFIGCIAGIAECRLEAGRTTDLDHHTKTSAPGDMDENKLNVKFCVRKRCGSPDSPFGVVCYCCLNTPDAPCFATSDDCQKKCPNCNPCALSDAKEFHP >SECCE6Rv1G0387180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:107368160:107370534:1 gene:SECCE6Rv1G0387180 transcript:SECCE6Rv1G0387180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:URED [Source:Projected from Arabidopsis thaliana (AT2G35035) UniProtKB/TrEMBL;Acc:A0A178VPQ5] MELEAVADGGPATAAEATPMPTGVVRVEKVRGRSAVTRCFAKYPLKIIVPSKVGPASSGAVWLYVLTYGGGIVSGDKISCAVTVGDGCTAAMTTQASTKVYKAVGSKCSEQVLEATIGKDALLAVIPDPVTCFSTARYYQKQVFHVSGDSNLVIVDWFTSGRYESGEKWDFNSYKSVNHILLEEYQPLFIDSVLLEQGSNCTIAERMQEYNVVAMIVLLGPKLKQIQDQMQDEVKNMMSVQLRPPTSGGGRYAARPQPVHPQRPPLVASCSPFGRTGTGMVARIVAVSTESVYTFLRHHLAALEPFLGVAPYSSS >SECCE6Rv1G0412450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614649565:614653997:-1 gene:SECCE6Rv1G0412450 transcript:SECCE6Rv1G0412450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAAEAMDVETPARPPSATTAPKPRSPHDLLAETRASVEEVAARILAIKKDGAPKSELRELVAQMSLLLITLRQVNREILMEEDKVKGETEAAKAPVDSTTLRLHNLLYEKNHYVKAIRSCLDFQTKHPGIELVPEEEFHRAAPADIRDKTLAADTAHDLMLKRLNFELVQRKELCERHEKLEQQKNSLLGTIANQKKFLSSLPSHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPSPLYITYTQLLGQKEAFGENIEVEVNGSTKDAQTFAQQQAKQEMGTLANDDNNRMDDDAIDEEEDAQRRRSRSRKSSKDASNPALAYQLHPLKVVLHVYDTEDSGTKRRKLITLRFEYLAKLNVVCVGSEDSDGMDSNILCNLFPDDTGLELPHQMAKLYAGETPNFSEKDSRPYKWAQHLAGIDFLPEVPQSVGDSSNRASRNADFSSGLALYRQQNRAQTILQRIRSRKIAQMALTWQLNYLTKLRWPQIEHENTPWASRTPSCSIHSWSLTGVFPEPSARSALVVSGPAGSVDSDLERRSVTNGQETEGNREDGELPIVVHAEDMPDDSKVSQAEVSPEVLNHSRGLSLISKGSAPSKLSISHSFGRNEDDLDFLMDSDSELEELACADQETEQGSLTIDKSWEDYASREFTMVLSKTLKTGSKVMLEAKIKISMEYPLRPPLFRLQLVSEKTEDLKWHNDLRAMEAEVNLHILRILPRSYEDYILTHQVLCLAMLFDMHLDEDHEKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRGDVCSSYL >SECCE5Rv1G0308530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:133331939:133332646:-1 gene:SECCE5Rv1G0308530 transcript:SECCE5Rv1G0308530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGERLAIGTLLAAAFLVASAAGTTLTLHNLCPYPVWPLVTPNTGFASICDNNLRLEGNGHGLVSFTFPPTFWSGKVVARTGCTSPSQCESGSAWPAGMVQLTVHSAEGAPRPDLAVYSVSLVDGFNVPAVVSPQAIGGDGPCPALGCAADLNAGCPPAQRVVGAGGRVVACKGPPGYFKQRCPLTRTTPIDREPVQQHCYAPGELKVVFCQPTMVDADADADAQLGIVVAEN >SECCE6Rv1G0423660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:696947810:696948367:-1 gene:SECCE6Rv1G0423660 transcript:SECCE6Rv1G0423660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDEGRREEGGRRTTRSSGDGDEDRQRRHGGDDDDDEGTRQPYNCAFCRRGFPTAQALGGHMNVHRKDRPATKAAPRGVAGVCGQESPAVIDALLAYRVLVHPDASGAAGGSSRIRAQRPPRELRLFGHVDDAGRGKEDQGDRGARDRGGCYDASDDGGDHGDQVEKEEGELDLELRLGAAGQ >SECCE6Rv1G0408270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:570004898:570006721:1 gene:SECCE6Rv1G0408270 transcript:SECCE6Rv1G0408270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNW/SKI-interacting protein [Source:Projected from Arabidopsis thaliana (AT1G77180) UniProtKB/Swiss-Prot;Acc:O80653] MGTLREILPSPKTSSSTFYDHSSDPWFKERYGAEPADAAAGKLAGPAKPVPPYGKRTGFVPRRPEDFGDGGAFPEILLAQYPLGMGRRDDKGGSKILALTVDAQGSVAFDAVVKQGENAKKIVYSKHSDIVPKIATADSEAVADEEYEKLVEETTERTVAALQKIVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMASDPLDPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELMLKEKERKEQELRALAQKARMERSGAPPPSTGMPVGGGRERERERVDDGDADMDLEQPREQRRETREEREARIERDRIREERRRERERERRLEAKEAAGTHKKSKLTRDRDRDVGEKVALGMAHTGAKTGEVMYDQRLFNQDKGMDSGFAADDQYNVYSKGLFTAQSSMSSLYRPKKDGDSEVYGVDADEQLEKVMKTERFKPDKAFTGAPERAGKRDRPVEFDKQEEADPFGLDQFLTEVKKGKKAVDKIGGGGTMKASGGSSRDDYEGGGSGRSRINFERGGR >SECCE4Rv1G0231340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:182399771:182410040:1 gene:SECCE4Rv1G0231340 transcript:SECCE4Rv1G0231340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESDRYICVRETSPQNSVVIIDMAMPSQPLRRPITADSALMNPNTRILALKAQIAGTTQDHLQIFNIEAKTKVKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPTKMFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKVPGNENPSTLICFASKATNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLVYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPLDYNTITDLFLQRNMIREATAFLLDVLKPNLEEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYLRALQHYAELPDIKRVIVNTHAIEPQALVEFFGTLSKEWALECMKDLLLVNLRGNLQIVVQAAKEYSEQLGVDGCIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIESAARTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELISVTNKNSLFKLQARYVVERMDGDLWDKVLLPENEYRRQFIDQVVSTALPESKSPEQVSAAVKAFMEADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVDVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATHFLDVIRAAEEADVYHDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVAVKVANVEIYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWTNNMLDFAFPYLLQFIREYTSKVDDLVKDRIESQKEEKAKEQEEKDVVAQQNMYAQLLPLALPAPPGMGGPPPMGGMGMPPMGGMGMPPMGGMGMPPMGPGPMPAYGMPPMGNY >SECCE2Rv1G0103260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:588155698:588159518:1 gene:SECCE2Rv1G0103260 transcript:SECCE2Rv1G0103260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLEHGNLLLSLSQGHWHGYSLTAVAVALGVGVAGLCRALNSSLGVQWLLRKFSSESERLYYTGGLQNLGNNCFLNVILQALASCDHFVSSLDDLLGTDDVLPEEQSERMPLILALSSLLKDLSTVRDQKIVLNPESVMHPLSCYVSHFNLTRQQDASEAFVHLLTSLRDEFSHCYVPYKSSLADITMFHSKVYKQREGNQPECKRWKQNIFGPFDGTIGSTLSCRNCSSVLSLDFENFHCLPLSPVADINGDIINGCSLVDCLKHFTVLEHLDNYRCDHCWHNAAAKYFSLQSEVNEEKVNKLRTCVDYDSCDCKHLFGPEKTTWSVSSKATKQLAITRCPKILCIHLLRASISFDGELVKRQGHVSFPLLLNLSPFAGGTFTAEQGPGASAMNVQRYDTPSLHFYRQLNAHMPINMFPTGGNSSSQPPMDQAINGGVHSLNEGNVDVATSSLSSASSSSRMELYRLSAVVEHYGVCGGGHYAAYRRVPSNPDANDQVGPRRKHWVYVSDDHVSQVSEADVLGAEATLLFYERL >SECCE5Rv1G0374140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861963737:861967051:1 gene:SECCE5Rv1G0374140 transcript:SECCE5Rv1G0374140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAYAHLLLLLLLAATAPAVTAAPTQAGALLAWKASLAHPAALSSWTLATPVCSSWLGVACDAARSITSLTLRGFGVGLTGRLDALDAAALPALTALDLNNNNLTGSIPASFSRLRSLDLGSNMFNGSIPLQFGDLSGLVDLRLYNNTLIGDIPHQLSRLPRIAHLDLGSNYLTNPDYSKFLPMPTVTFLSLYLNRLNGSFPEFILKSSSITYLDLSRNTLSGPIPDSLPESLPNLMYLNLSSNAFSEQIPAPLSKLGKLQDLRIGNNELTGGIPEFLGSMSELRALELGGNPLGGSIPPVLGRLEMLQHLDIGNASLVFTIPPELGNLGNLKFVDLSMNQLTGGLPAELARLRKMQELSVADNKLTGQIPPALFMRWPELISFQVQSNSFSGKIQPDLGKATKLKVLNLFRNNLVGSIPAELGELVNLEELDLSDNSLTGAIPSSFGNLKQLTSLVLSSNRLSATIPPEIGNMTALRVLDVNTNSLEGELPATITSLRNLQNLALHNNYFNGTLPPDLGKGISLTDLIFENNSFSGELPQKLCDGLALKYFMANDNNFSGRLPSCLKNCTELSWVWLRGNQFTGDISEVFGVHPNLENVDVSGNQLTWKLSSDWSQRTNLQHLNMSGNRISGKIDATFCALTSLLTLDLSNNQFTGELPSCWWEFKLLLTMVLSNNSFSGELPISTSLDLKLESLFLANNSFLGIFPSVIQTCRDLIILDLGNNMFFGDIPSWVGTSVPLLGVLSLPSNNFSGVVPPELSQLSNLQVLDLSSNSFAGEILMALPNQNCSLESVHLAGNGFAGTFPQFLQGCKSLVNLDIGNNRFFGGIPTWIGRQLPLLKILRLRSNNFTGDIPPELSRLSQLQLLDMAKNSLTGSIPVAFGNLTSMRHNLPRLVEPSWDFQLSVSISWKGCEQTFKDGIRLITGIDLSCNLLTENIPDELTHLKGLRFLNLSRNNLSGSIPEKIGSLELLEFLDLSCNELSGGIPPSFSNLPSLSMLNLSSNHLEGHIPTGNQLQTLADPSIYGNNQGLCGFPLSACEPTLAEGTEDHTKVGDLGLCYSVILGIVSGFWLWFGALFFLEQWRFYFLCFVDRLGIKIAVRR >SECCE7Rv1G0460280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:30825476:30827805:1 gene:SECCE7Rv1G0460280 transcript:SECCE7Rv1G0460280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAASSSPVPPEDDVCSVCHDRFRIPCQANCSHWFCGECIIRVWNHGAAVQPCKCPICRRLINLLVPANVSDDQNDDPQLQRVLGEIQHYNHIFGGAPRSLTQRLQDLPFFIRRLFRELMDPQRTLPLVFRARMILMVILSGVYVLSPVDILPESVLGLFGFFDDFLILVIVFLHLAAVYRSLLLYRHGGH >SECCE2Rv1G0123000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:820760959:820761240:-1 gene:SECCE2Rv1G0123000 transcript:SECCE2Rv1G0123000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFFFDAEPVGEPSMPALEACALCAKPLARDSDIFMYRGDTPFCSDECRLEQMHLDAACARQAARRQKQFASSGAESGRARPESREVSVTS >SECCE1Rv1G0049560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642075043:642078821:1 gene:SECCE1Rv1G0049560 transcript:SECCE1Rv1G0049560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYYDVDDILMEEELISVVFQVTANGVGMLDPGAERNSVEKGAKIDLPFWLAHGMLSLEQAVSINVPACFTQKTRKEIQADAACVDLRVRCPYFYELGCKIVPLVGDKSIGQFLRYAFTSRYKEVLSKAHSSSTMTVPKFATRLTKEEAQVFESARESMSAFRKWRVGGARLQKASILGRKRKTNMPDGPSTP >SECCE5Rv1G0336180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:582823325:582824876:-1 gene:SECCE5Rv1G0336180 transcript:SECCE5Rv1G0336180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSQDIYRHIHALMPLGDAARAACVSHTFLQSWRCFRNLILSKETLVLNGNGISKDKMTRDLISKVDGILKNHSGVGMKKLELNLHTCRKVDHCYLNSWLPIAVTAGIEELTMLLPHISEVEYNFPCSLLFSGNEKSIGYLKLSSCVFRPTAELDCWRRLKELSLINVLITGDELECLLSNSSMLELLWLSRCNEIVRVKIPCQLQRLSFLRVGQCIELQEIESNAPNISTFDVSGSNLVKISFASALQVKNMRIMCSYQPNVIWYTRTKLMPSVPNVETLRISSCNEMISTPTLPSKFLHLKYLHITLIGCEAISPAYDYLSLVSFLVASPCLETFIFEVQQTDMKHDSIVGDISHLGFCSAKSLVELTLHIIKNASSLQCLTLDTSFGSYGCSVDKPGRCNPMGRDIIKEAHRALLAIRTHVEGIIPSRVKLNVSGPCSRCHAVECDYNHIKL >SECCE4Rv1G0229460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:149411881:149418252:-1 gene:SECCE4Rv1G0229460 transcript:SECCE4Rv1G0229460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDAPERIREEVGSRSRDGLPQGHATGAGPDANRYGGDRVLTKRLKDESPDTSEPPPSRLKKAVELEPHPAQNRDEQFVWPWMGVLVNVPTEWKGGRQVGESGNRLKEQLSAFCPHKVIPLWNHRGHTGNAIVEFGKDYASFCNAFKFENHFEAEGYGKRDWEQHKHRGPEMFGWVARADDQRAPGPIGEHLQKNGDLKTVAELENEGTRKTDKLVANLASAIEVKTKHAQELECKYNETTTSLDKVMEEKELVLQRYNDEIRNMQQLARRHSQMILNENQKLRSELETKMQDLEFKSRQLDELAVRSDSDRRNLEKEKEKNEIKAKYLKMATSEQQRADENVLKLVAKHKREKKAALDEIIKLEQKLDAKQKLELEIKQLQGKLEVMKHMPGEEDSESKRKIDELSAELQDKYDEMDAVEALHRTLLMKERISNDELQDARKKLIDGLRDVTTARATIGVKRMGDLDLKSFAKACKGKMSEEDAEVTASILCSKWEEEIKNPEWHPFKVIMVEGREKEILREDDEKLRELKEEHGEEVYGLVTKALLEVNEYNPSGRYSVPELWNYKEKRKATLKEVVQYVLRQWRAQKRKR >SECCE5Rv1G0329000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:511478217:511480925:-1 gene:SECCE5Rv1G0329000 transcript:SECCE5Rv1G0329000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIACGACAAAFPSSSHARSPPPPSGSFRKLVSPTPRRLGHRLAAPMASTVDSPGSSSDFAKRMDRAWLISKQPSPTSCSSCQSTGDVECRWCAGTGFFILGNNMLCEVPSKNTRCVICSGKGFSRCADCKGTGFRAKWLEEPPVDK >SECCE5Rv1G0319450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:375948936:375951265:1 gene:SECCE5Rv1G0319450 transcript:SECCE5Rv1G0319450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTVTAATATTSSSVGHGAGGGAARVLLLPVPGAQGHTNPMLQLGRRLAYHGLRPTLVATRYVLSTTPAPGEPFGVAAISDGFDAGGMASCPDMAEYFSRLAAVGSETLRELLLSEARAGRPVRVLVYDAHLAWARRVAQASGVAAAAFFSQPCAVDVVYGELWAGRLALPATDGRALLARGALGVELGPEDMPPFAAVPESQPVFLKVLVGQFEGLEDADDVLVNSFRDLEPKEVEYMELTWRAKMVGPTLPSFYLDDDRLPSNKSYGFDLFNSDALCMDWLEKQSNSSVVLVSYGTVSNYDATQLEELGNGLCNSGKPFLWVVRSNEEHKLSKELKEKCEKIGLIVSWCPQLEVLAHRAIGCFVTHCGWNSTLEALVNGVPLVGIPHWADQPTIAKYVESTWSMGVRVRKDDNGCLKMEEVERCIRDVMDAERKDEYKRNAVKWMLKSKKAMQEGGSSDKNIAEFAIKYSSI >SECCE3Rv1G0166780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:193314337:193316596:1 gene:SECCE3Rv1G0166780 transcript:SECCE3Rv1G0166780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTETSWVRRTKFSHTVYTRVGSHGVHVAPLGRDVEQRLQRFASMGKSVTMPIDRGNDETVAALKHTASLPSLRASLQPNERKARKASKQKMSLEIPLSPPAKSEKSKAPRARSLVKSPSSMMLLSYLNNAHTSQGSNLHQKANGSHHKPRSKSPLPSVVPSDTFREARASSQRFTSPPPKRVGSDKSVYGKSLGREGCDIGPSPDWCSTPVVSDKHNSQKDSSWTRRYFDNGGRRRVSAVESVRSRTVSMAQAVQSTVDWTLDPTKLLVGHSFAAGAHSRLYRGLYDDKPVAIKFIRRPAGDVGGIMAAKLDRQYNTEINALSHLHHKNVIKLVAAYTCEPVYYIITELLPGGSLRSYLHNPAHHPLPLERTISIALEIARGLEYIHSQGVVHRDIKPENILFDEKFEVKIADFGIACEETLCDLLVEDEGTYRWMAPEMLKRKPYNRKVDVYSFGLLLWEMVTGRIPFENLSPVQVAYAVANNNKKAMEPEDYCPAAVRPLIEACCALQPEKRPDFWQIVKTLEKIQSVMSQGGCPNALRSSGHKKGLKHWIQKLKPSHGA >SECCE1Rv1G0004320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:17266872:17269645:-1 gene:SECCE1Rv1G0004320 transcript:SECCE1Rv1G0004320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGGGDGDGEVEIRDWAGMPSDALFAVFGRLDVADILTGAGRACRAWRRLADGDPALWRRLDMTHHGDILETEEAEAMARAAVDRAAGTLHSFCADTFVTDALLAYISARAPSLKSLELSLCDEVSNEALAEAVKGFPQLEELEITFCSLNSNVCESVGSACPQLKSFRLNERWTILQRGFAAFEGMDDDTGALGIASSMPELLDLQLIGNNLTNAGLAAILDHCPRLESLDVRRCCNLQMDDAMRSKCARIGNLRLPQDPISDFKYRAYLAGSVDYPGGSDIEVDMYDDLLDVVTDDDEDAEFDDMADYIDGTGSGADMYDGVFDDVFDDV >SECCEUnv1G0555690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:302818068:302820412:-1 gene:SECCEUnv1G0555690 transcript:SECCEUnv1G0555690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCSVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGEALTVQKPGTQTRSFCYVADMVNGLMKLMNGDNTGPINIGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLDWEPKVVLRDGLVLMEDDFRERLAVPKKAKA >SECCE2Rv1G0075390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:83891563:83902293:-1 gene:SECCE2Rv1G0075390 transcript:SECCE2Rv1G0075390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLMLARLACVFSATILLQSLAWPCSNGERGFSYPQSPKVPSIVSERYRTAYHFQPPKNWMNDPCGPMYYNGVYHEFYQYNPDGAFDPNDSLMNMVWGHSVSTDLINWIGLEPAIEPDTPSDICGCWTGSATILFGQQPVIIYTGLIDRKANQVQNIALPKNRSDPYLREWAKVGNNPVIKHVIPGLNSSHFRDPTTGWIGPDGLWRIAVGAEVNGIGTALLYKSADFMNWTRIERPLYSNNALNMWECLDFFAVLPGSNNGLDMSSEIPSGVKHVLKVSINSCDMYIVGVYDLKRDEFVPDTVQDDKRLWSRIDYGTFYASKSFYDAKQGRRIIWAWSNETDSTSDDIAKGWAGIHSMPRTIWLDGDGKQLIQWPVEEIESLRINGINHQGLELKKGDLFEIKGIDALQADIEMDFEPTSIDDAEPFDPSWLFDPRKHCREADASVHGGIGPFGLVILASDNMEEHTAVHFRVYKSQEKYIVLMCSDLRRSSVRPGLYAPAYGGFFEFDLENEKKISLRTLIDRSVVESFGSGGRLCITARVYPVALVDDGATHMYAFNNGSTTIGVPQLRAWSMKRAQVNVMKGGSAIDA >SECCE1Rv1G0008760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:49408218:49410522:-1 gene:SECCE1Rv1G0008760 transcript:SECCE1Rv1G0008760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTKTVSRPGMDQQVPSMNLLTAFLLLVAAAAAAAAATADAETPERLAAAHGCQTSCVGVDIPYPFGIGGRNCFRQGFEIDCVYDGPVLANTSLRVVQLSADPAESLVMLPVGRMCYNATDPRHAEEYSHGETLMNKRGVYRFSNARNMLVVLGCNTMGTIGSVKTTRLVDDYSYYMGCMSFCNSSASAQDGQCASLGCCHVDIPPGLTHTYFRFREYDHTSMMDYSPCDYAFLVDRNNYTFRRSDLLRDTLRTSPVWLDWAIRGAGDDSASLSCAQANKTKEYACLSNQDYCVDATNGPGYNCNCSKGYQGNAYLVDGCTNIDECADPATYPCKGVCRDTKGSYECTCRQGFWSDDPIRQRCTPKFPPAAQICIGVIGGILVIAFVAFIIIIRKEKRKTRELYEKNGGLTLEKAKVIKLFKVEELKPILKSSNLIGKGGFGEVYKGVLDNVFVAVKKPIGRNVQENKQFANENIVRLIGCCLEVDNPLLVYEFISKGSMDGILHEFREPLNLDVRLSIVLESAQGLAYMHSQAHTIILHGDVKPANILLDDNFVPKISDFGISRLIAIGKEHTANVIGDMTYMDPVYLQTGLLTEKSDVYSFGVVILEVISRKKATHSDNNSLVTSFLECHKEGKTATELFDQEIAAAGNLELLDTLAGIAVECLSLDVDQRPSMTDVVARLLTLNRSRVL >SECCEUnv1G0563540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:375614166:375615255:1 gene:SECCEUnv1G0563540 transcript:SECCEUnv1G0563540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAKLQVLMACALLLLAVGCQASPFWPLEIGYYHDKCPQAEAVVKGVMEKAISQNPGNGAAMIRMLFHDCFVEGCDASVLLDPTPFSPTPEKLSPPNDPTLRGFELIDAIKDALEAACPGVVSCADIIAFAARDASCILSRGKVNFQMPSGRRDGTFSNASEPLKFLVPPTSNLSDLVASFVIKGLNTEDLVVLSGAHTIGRSHCSSFVSDRLNTPSDINGFLATFLRGQCPADATPGGKDPTVMQDVVTPNKLDRQYYKNVLSHTVLFTSDAALMTSAETARMVVENAKIPGWWENRFEKAMVKMAGIEVKTGYQGQIRKNCRSINHY >SECCE3Rv1G0210770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:945566480:945568549:1 gene:SECCE3Rv1G0210770 transcript:SECCE3Rv1G0210770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSMAATMFCAVVLAALAAAAGGDAAVVEHTFVVHEMNQTHLCNTTKIYVVNGQLPGPTIDITDGDTVVVHVVNRLPHGLTIHWHGVRQMRSCWSDGAGFVTECPIPPGGEHMYRFNVTGQVGTLWWHAHVTCLRATVAGAFIIRPKDGRYPFPTPAKDVPIIIGEWWQLDLVELDRRMHDGNFDDNPLSATINGKLGDLSNCSGKPEESFVLDVVRGKTYLLRIVNTALFSEYYFKVAGHTFTVVGADGYYLTPYKTDMVTVAPGEAIDVLMAADAPPAHYHMVALANQPPEPDPQIPGFVSRGLVRYAGSSHNNNGLPVPTPLMPSQHNTMPSYYFHSNLTGLAHPDRHRVPMHVDERLFFTLGLGSICRGTNKTCQRGRSPETIVVATMNNVSFRHPTNASLLERYYDGRTSGLYTEDLPDHPPRPYNYTDRALIPPGPLEKALEPTFKATKLRRFRYNTSVEIIFQSTALLQSDSNPMHLHGYDFFVLATGLGNYNPKTDPKKFNYHNPQLRNTVQVPRTGWAAVRFVTDNPGMWYLHCHFEFHIIMGMATAFIVENGPTPETSLPPPPPEFKRCGANGLTQP >SECCE2Rv1G0134810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:903149117:903152311:-1 gene:SECCE2Rv1G0134810 transcript:SECCE2Rv1G0134810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADEAQGFRPLDEASLVAYIRATPALAASLGGRVDDLAVKEVGDGNLNFVYIVTSDAGSIVVKQALPYIRCVGDSWPMTRERAYFEASALREHGRLCPDHVPEVYHFDRAMSLIGMRYIKPPHIILRKGLIAGVEYPLLADHMADYMAKTLFFTSLLYNSTTDHKKQVARYCENVEMCRLTEQVVFSDPYMVSKYNRWNSPLLDKDAEAVRQDDGLKLEIAELKSMFIERAQALIHGDLHTGSIMVTPESTQVIDPEFAFYAPMGYDIGAFLGNLILAYFAQDGHADQTNDRKAYKQWILKTIEESWNLFQQKFLGLWNKHKDGNGEAYLPAIYNNPELLSVVQKKYMTGLLHDSLGFGSAKMIRRIVGIAHVEDFDSIEDASKRASCERRALDCAKAILKGRRQFESIEQVIAHVQSVTHD >SECCE6Rv1G0379230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:12911396:12914392:-1 gene:SECCE6Rv1G0379230 transcript:SECCE6Rv1G0379230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os02g0496100)] MEGSGAAGARGGGGGGPAPFLLKTYEMVDDPATDAVVSWSDASDTSFVVWNSPEFAARLLPAYFKHSNFSSFIRQLNTYGFRKIDPERWEFGNEYFVKGQKHLLKNIYRRKPIHSHSHQPGALPDNERSFFEDEIDRLAREKANLQAELWKSKQQESGTMFQIEALERRAVDMEQRQGKMIAFLQQASKNPHFVGKLVKMAEASSMFADALHKKRRLSGLDYAVEATETASFCDDHSATSRQETGNLLNQHFSDKLKLGLCSAATESNLVTLSTQSSHEDNCSPHGRHPPGHEGMGTGCLPLVPQMMELSDTGTSICPSKSSFFTPAVNDEGLSPCHLSLTLASCSMDVDRGQASNGDGSATVDEGSDSPPEATAAAIGGNQKASVDAGTADAPTPREDTRVAAEPAAPVKANDNFWEQFLTERPGCSGAEDASSGPKDDPSMDKGQTEGGDKDVREDTEQLKL >SECCE1Rv1G0007440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:40507352:40508404:1 gene:SECCE1Rv1G0007440 transcript:SECCE1Rv1G0007440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCYALESLELKENSGFRRLSISSQTLKSVGFCTNWFTESVPLQELVIEDAPCLERLLSLELDPQVGPRTIRVISAPKLNILGVLSTSITELQLATTVFRSMSAVGLKTKMQSVRVLVLDYTNLDIVVNFLKCFPCLEKLHVFFQRMSYINPREYDPLEPIECLELHLKEVLLKNYDGNVPLCIDFAKFFVLNAKVLKEMKITLPYHRQYNWFAHQRRLLRTEDRISQDARIELRCGNHVYFRDNRNTHDLSMADPFDVPSSGCKKCSGSPF >SECCE5Rv1G0348570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:674215330:674216232:1 gene:SECCE5Rv1G0348570 transcript:SECCE5Rv1G0348570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMPRDSSASGVLVPSDSAAMAAAATAATTTAGKLPFPLLTRTNYAAWAMRMKYLLRANGAWAAVDRGDSSKEVDAGKEEMAMTIISQSIDDMTLLRVAEKETAADVWAALRSMHVGVERVREARIQSLRSEFDGLKMGDAESVDDFAARFTTLVGRIRELGDAMEEKYVVKKLLRAVSNKFIHVASSIALFGDTNKMAMEEAIGSLKAHEELVKGRETAREEEQLLMARGHDSSRGRGRGGRGRGHGHGRGGGRRDKSEVQCYNCDDFGHFAWECPEKKKDNEEKALLGVEDEPALL >SECCE2Rv1G0125160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:839465490:839466635:1 gene:SECCE2Rv1G0125160 transcript:SECCE2Rv1G0125160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETMLEDLPEWLVADEILVRLPPKDVLRCRAVRKSWRAATSTDSFILDNRRRQPSLPIIEQHDEGISCLAAAAAGDHKIRPVLRYTRHSVSNIAACDGLLILSHQSGFYICNPATRKCAPLPRPPLQPGFRAPDVVAFYRHDASPREYRVLWVFAAQMARRTTFEPPRYFVLPVGSDQPRCIQWPTVLHSYPASSCFPPVHHRGALHWGLSLGITVLDTVTETFRHMSRPAQLQGVNFSLFGLGGDLALRHTSGDCLTQDIWVLQDYDAETWAFRYRIDLRAMEVSPPLDLSVKYVSMMAVINGRELLIQHGPFRLLHCGIDGVFLGNVESKDHENILSQFAKPLTLTRHRLQESMISLPLFEMRQEDAVHEEPPFTILL >SECCE7Rv1G0500300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:665388942:665394379:-1 gene:SECCE7Rv1G0500300 transcript:SECCE7Rv1G0500300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARKRDRLRWSKLYTFSCFRHPHTDEAAGPAAVSGSPVGGPGFSRIVHCNNSILHRRKPLKYPTNYISTTKYNVLTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSPVSMIAPLAFVVGLSMIKEALEDWRRFMQDMKVNNRKVSVHKGDGEFEFRHWEDLCVGDVVRVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKLKRSLEVTLPLEEDDTFKDFRGIIRCEDPNPSLYTFIGNLEYERQVYALDPSQILLRDSKLRNTAFIYAVVVFTGHDSKVMQNSTESPSKRSRIEKKMDLIIYILFTVLVLISLISSIGFAVRIKLDLPRWWYLQPQNSNKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIEVVKVAQAHFINQDIHMFDEETGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVRASEVERAAAKQMASGAADQDIPVEDVWESNEDEIQLVEGVTFSVGKTQKSSIKGFSFEDDRLMQGHWTNEPNSSTILLFFRILAICHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQASVFLKEKYTSSNGTTEREFKILNLLEFNSKRKRMTVIMRDEDNRIVLLCKGADTIIFDRLAKNGRLYEPDTTKHLNEYGEAGLRTLALSYRMLEESEYESWNAEFLKAKTSIGPDRELQLERVADLIEKELILVGATAVEDKLQTGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQISLSTTAGDQVAQDAQKAAKESLMLQIANASQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAVECASVICCRVSPRQKALVTRLVKEGLGKTTLAVGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNITFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSAEICLQFPALYQQGPNNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMASVGTTMFSCIIWAVNIQIALTMSHFTWIQHLFVWGSIGTWYVFIITYGMALKSRDNFQIMTEVLGPAPIYWAATLLVTAACNIPYLIHISYQRSCNPLDHHVIQEIKYLRKDVEDETMWKRERSKARQRTKIGFTARVDAKIKQLKGRLHKKSPSLTIHTVA >SECCE2Rv1G0097040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:452023072:452023647:-1 gene:SECCE2Rv1G0097040 transcript:SECCE2Rv1G0097040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCMSSGGAVRSEGLSASTSMVLLPTGELREYPRPATAARALHDSVEAGDGSAGWFLCDADAMGFQGPVTAVGGGEELRPGQIYFVLPAEVKRNGLRREDVAALAVRASAALLNKANTNVSGSGGRRRRAGSVSPLVFAPPPEVDETLAYKTVPALVVKRRPVPRVKSAGRMQPRFAPDLTAIPECEMNE >SECCE6Rv1G0436670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778801860:778802300:1 gene:SECCE6Rv1G0436670 transcript:SECCE6Rv1G0436670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVMLVAALLCAAAAAAAAQQATNVRATYHYYRPAQNNWDLGAPAVSAYCATWDASKPLSWRSKYGWTAFCGPAGPRGQASCGKCLRVTNPATGAQITARIVDQCANGGLDLDWDTVFTKIDTNGIGYQQGHLNVNYQFVDCRD >SECCE1Rv1G0033970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:474227946:474232027:1 gene:SECCE1Rv1G0033970 transcript:SECCE1Rv1G0033970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLSSLLQGLARSMAGKERKVEEPQGTVLRTSGTLRGEGSETLAAVWSRRGEKGTNQDCSVVWEGFGCQDDTIFCGIFDGHGQWGHYVSKAVRDSLPPSLLCRWQEAVALASLVDGEKKLGDCQFDLWKQSYLAAAAAVDEELRRSRRLDAVNSGSTALSIIKKGDTMVIANVGDSRAVLGTTAADGSIAAVQLTVDFKPNLPQEMARIVQCKGRVHCHEDEPGVHRVWLPGREAPGLAMSRAFGDYCVKDHGVISAPEVTQRKVTARDQFVILATDGVWDVVSNDEAVQIVADTPEREKAAKRLVQCAVRAWRRKRRGYAVDDCSAVCLFLHSPSS >SECCE7Rv1G0491100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:517237733:517240612:-1 gene:SECCE7Rv1G0491100 transcript:SECCE7Rv1G0491100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSDESSELSDTDIDDYADKAYADLKSGKLVARFGADRFRCPFCPGKKKQDYRYNELLQHAIGVGASNRAAKVKANHQALANHLKKDHADAAGSLPPRQAEALINPPRPVQDQELFVWPWMGILANVPVEQTQRGGAIIMQQLAGFKPIRFNAVHCPDGGYTGFAIVRFNKDWIGFKDALGFHNYYKSHHLGKTDWEANKRDKYMFAWLAKEEDYKADDPVGKFLSENGDLKTVAELQQEMSRKTDTLITSLTSQISAKSKYLMELECKCNQMDLALQRAMEDNDSLDQRYNEEMRKMQSTAREHSLKIFHETDQLRKQLDEKEKDIKRRSKQLSEIVAQTDMERRKLENERKKNDGQNDSLNMARIEQEKANEGVRILVEKHKKEKEAALNKILLLEKQLDEKHQLELDIQQLRGKLEVVKHMEGEGVDVKKRTEELNKDLQDRIDAMEDLEELNQALIIKERMTNDELQDAKKELISGLADLLGPRSNIGIRRMGQVDEKPFVEACKPKYGVEADTKALEFCSMWQDNLRDANWHPFKIVTTGEKSEQIIDEGDEKLVGLKEELGEEVYKAVTTALVEMNEYNASGSYVVSELWNNKENRKASMGEVVEHILKQWKAKRKR >SECCE7Rv1G0505860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:741666021:741670079:1 gene:SECCE7Rv1G0505860 transcript:SECCE7Rv1G0505860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELPARASSSQAKARETPPPSPAASATAASPAEDAPLLPGEGVVRRRAVRERFAARSLSFRRDVGHAASETFLLTRLTLSLLRYLGVGYRWIRQFLALCCYALLLMPGFIQVLYYYFFSSQVHRSVVYGEQPRNRLDLYIPAGTTGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGILVACIDYRNFPQGTIGDMVEDVSRGISFVCNNIASYGGDPERIYLVGQSAGAHIAACTLINQAIRECGEDTSTWSVAQLKAYFGISGGYNLLNLVDHFHRRGLYRSVFLSIMEGEESLRKFSPEVVVKEVAVRSAVSLLPRIILFHGTTDCSMPSAESEAFLDALQQRGARADLFLYEGKTHTDLFLQDPLRGGRDKMLEEIVAVIQNDDPGLSAQHLPVPVARRLVPEFMLRLAGRVSPF >SECCE3Rv1G0200500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:854032934:854036993:1 gene:SECCE3Rv1G0200500 transcript:SECCE3Rv1G0200500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARSLRRASVPPSLLSDPSPGCLQPTRLAVHVNGDGGSCSAYFASGCRVYKLEISMEEEMLSKGKESLLIPISAQVISSSVVDRCPHRSEIQSVVLAEGEGDSCLILGTVDSYGHLIVSRLDTVAEDIDRASYSVPPHDCGVGEGSWAGLCFSPTHQSTVAVARELCKSIDIYDQDIHIRSLRTLWYPSSVRFPQCFPQGSESSSILAITEGPQLSIWDFRMHNNGGCVQRVSGPTGGILYSVCTSPSGMIAVGGTDRAVTIFDPRRWSALSRWVGCSKYEITGLSFSSVDQSFIYVQGVDYEITCGRWRESKRAFSFRGDSNWLGFSKCANTDVVAGWCESGSIFVADVRQDLLSAIGV >SECCE1Rv1G0013490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:106147583:106149424:1 gene:SECCE1Rv1G0013490 transcript:SECCE1Rv1G0013490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g05340 [Source:Projected from Arabidopsis thaliana (AT3G05340) UniProtKB/Swiss-Prot;Acc:Q9MA85] MAVPALLNYAYLSGLLARCGRAADHRLGAALHATVVKNPAHFLLCPLHPSLRHVLVAWNALVSMYARCGRHDDAARVFDEMRVRDSISWNSLISSAAASSSGADDALLQFRRMLRSGLSCDHATFTTLLSVCARAPSLPACAMVHGLVISGGFEGEVSVGNALVTAYFECGSPGSAKKAFFGMAERNVITWTAMISGMARAELYEDSILLFRQMRHTVEANNATYSSSLLACAGSLAAKEGKQIHGLIVKVGLATDLHVESGLMDVYSKCGLMEDALSVFRACRQPDEVFLTVILVGFAQNGLEEKAFELFAEMAGEGICIDTNMVSAVLGAFGASAPFALGKQIHALVIKKCYGRNIYVCNGLINMYSKCGELQESVQVFDETPSKNSISWNSIIAAFARHGQGSEVFQLFESMKASGANPTDVTFLSLLHGCSHVGSAKKGLEILNSMSSQYRVLPRVEHYACVVDMLGRAGQLDDAKSFIEDGPFKDSAILWQALMGACSFHRNLEIGKYAAEKLLLVDPESPASYVLLSNIYSAEGRWDDRAKVMKKMREMGLRKDTGKSWIELEKEVHSFVVGSLTSRPDSAPVDDVLLQLSAVAGDHQHDVMEGNAL >SECCE1Rv1G0029280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:411789505:411792332:-1 gene:SECCE1Rv1G0029280 transcript:SECCE1Rv1G0029280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSGSCFHGIVCHWLVLVLIWLCGCQHVLSQQTTLEPKDKFLLSDPPIGLFDPIEISPSVLPHNTNPVEPLSPMYPNYTSYDPVLTGKCHVNFSELSFIMDKTASDCSVPLAPLVADVICCPQVSSLMNIFQAAYGGGNNTLVLNQASANACFSDIMSILASKGANTNIPELCTLRPSNLTDASCPVKDISSFERMVNVSKLLDACSSVDPLKECCRPVCQPAIVEAAVHISSGGASMFGSTSIPGSAAGINAVSDCKGVVQSYLSVKLSSEVANTAFRVLSGCKVNKVCPLEFDDPSSVVKACRKASSRPSCCAALQSYIATRQKQIFVTNLQAINCATMFGSMLQKAGVGNDIYGLCDIDLKDFSLQAFGQQGCLLRSLPTDIVFDNITGISFTCDLSDNIAAPWPSSSSLQSLSLCAPEMSLPALPVSPLSGSSAGISRTGIGILLPVVLLTTTISI >SECCE6Rv1G0438460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:789831280:789833307:1 gene:SECCE6Rv1G0438460 transcript:SECCE6Rv1G0438460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMGEESSRYPWQDYDLGFGEELMRELLDESTTAPTPSPTPTAAGGASADNSSSSEKGIGDEEEGAAGRRESMVNRLMSTVYSGPTLSDIESALSFTGAGAGDLLDGRSKYHYSPSSPVVFSPEKVLGKMENKYTMKIKSCGNGLADDGYKWRKYGQKAIKNSPNPRSYYRCTNPRCNAKKQVERAVDEPDTLVVTYEGLHLHYTYSHFLQQQTTPPPAAATAATSSKKPKLHPAPGAGAITDSHHHGSTPVTTTSPPSAAVVPAGAGDSSGDSGGNVTADAGFLLEHAVPNCSPYLFDGGLFGDAGEERRMTSDAGGLLEDMVPLMVRRPSCNSAATTASSSTTVGSPAAPVSSPSPSTSSVSWTPASPYIDMAILSNIF >SECCE6Rv1G0418470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:663323202:663324272:-1 gene:SECCE6Rv1G0418470 transcript:SECCE6Rv1G0418470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGTSGKPSRSAIVADTASGHHFLTIHGYSCTKDLPTGEKISSRPFTVGGHRWRIDYYPNGLNSAVADYVSLSLILDEDVPAAVKAKYSFCLAGESEKRQVAELASAFLNTFASRKGSSLSWFVAFKKRAELQSSKNLKNDSFTVRCDIMVVHGYHAEDKAVGFVSVPSCDLRRDLGKLLQTEKGADVVFDVGGETVAAHRCVLAARSAVFAAELFGPMKEGKADDGIVRVEDMEVEVFKTLLHFAYTGSLPEMCKEEEDVTCQHLLVAADMFDMGRLKLICEEKLCEYIDVGAAANILALAEQHRCEGLKKACFDFLAAPEILRAVAATDGFQHLSVSCPSLMIELVAMSPVH >SECCE4Rv1G0229470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:149521291:149523378:-1 gene:SECCE4Rv1G0229470 transcript:SECCE4Rv1G0229470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTTNTTTPPAAAASKRRRKAALPLGDLTNLLPSTPAPRNPSAKQPLRPPRSDASLCSSSASATPVSKAASAAAVEEPGLLKSPISTIYTSRGTRGRRNPTSVKAPFPTGAAASCPPLGKATRASSHSTKKAPAAQDPRPISSSAPCHQAKKKKRHLGVENSSSGRPKLPDDFVEKQRAYFQEIDAFELPVEEASETD >SECCE3Rv1G0188800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:719056571:719058078:1 gene:SECCE3Rv1G0188800 transcript:SECCE3Rv1G0188800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSGYVAIPRCPVIFDGANYAEFVAFMRIHMCGIRLWGVLSGEVPCPPRPVPPVAPIPPPTPPALGADASDDDRAAARVAADDADAAYDQEVLDYSNALSVYRDDLAAYTQWCDDDARAATVLTSSVLPQFASEFIGLGTVFEMWTHLRQRYQPSGDALYLSVVRQEHALQQADSTIDEFYTQSSAIWRQLDSLRTTVCGTCMCCQTVRSDLEFQRVYEFLSRLRGEFEPRRAQLFARGRVPISEVLSELRAEETRLRGAGLLQVPSVLAARGATPPVSSRSSAPPLLPTPPGSEVRSREGRSRPRTHCDYCNRDGHPESDCFKKKRDMRNRERSSSSGTRASSSTPSTVSLTEQDIVKLKRLLAASGSSTGTAGSVTGASSAERPLSTQSGSSHEGSGWGWPTPP >SECCE4Rv1G0243230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:498758463:498759842:-1 gene:SECCE4Rv1G0243230 transcript:SECCE4Rv1G0243230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSISAAVLRRLGDRLGLRRLATLPDYAAGAEDLPQHPTSKDAYFAAVNHLSTIVRRDFYLERTLNRLRLPSPFPPDLALRVIRAAAPSEPLHAARFLAWLRAKPNFSPSADHFDALLLPLARARLFTHLWTQASDMRALGLPLSPATFSAVISSYGHSRLAEQAVEVFNRLPHFGCPQTTEVYNALLDALCVNGNFAGAYKLLRRMARKGVAPDRATFSTLVDAWCASGKLREAQAFLDDMSSRGFRPPVRGRDLLVDGLVRAGRLEEAKAFALRITKEGVLPDVATFNSLAQALCDAGDVEFAVGLLADASSRGMCPDISTYKVMLPAVAKAGRIEEAFRLFYAAIEDGHRPFPSLYAAIVKALCKAGRFADAFAFFGDMKSKGHPPNRPVYVMLVKMCVRGGRFLDAANYLLEMSEAGFAPRAPTFNVVVDGLRHLGKHDLARRMEQLEMSLKGN >SECCE1Rv1G0009740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:56769899:56773120:1 gene:SECCE1Rv1G0009740 transcript:SECCE1Rv1G0009740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWLGAVKKVFSPESKEKKEEKLRKKLAARDSNPLDLTPSTSLEVNVSMPPPPPAVPSPHQTEEVQVRDIELEQEQEQSKHVTVEAAPDAPAQASSALPPGVSREELAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGNSVKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELDSLRMGEHWDDTTQSKEKIEASLISRQEAAIRRERALAYAFSHQWKSSSRSSNPMFVDPNNPHWGWSWLERWMAAKPSEAGRTGTGKESNIDQGSVKSTSLNLGEGEITKAFNRRGSKPDKSSPTTPKLTRPASRLSPSTPTAKVTPIVVKKKSATPKNGISQVDDDARSVLSVQSERPRRHSIATSTVRDDESLASSPSVPSYMAATKSARAKSRLQGSPLIDSAETTPEKGGSVSVGSAKKRLSFPAGGVPPSPMRRHSGPPKVAESMVKDIPETPQPEALAISG >SECCE7Rv1G0471170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:128440007:128441182:1 gene:SECCE7Rv1G0471170 transcript:SECCE7Rv1G0471170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRPAAVVLGAGRATTTRCKRSRINNIRSSADYEEETCLGEGSFGCVLLARHRATGKIVAIKYLNWADGSAEPPDPAELLREAGFLEACDGNQYVVGFEGLVRDPANGAYGLVMEYVAAPTLHEFLWKRRHGPPLPESTVRAIMWKLFTGAKKMHDRHVVHRDIKPANILLGQDGELVKICDFGLAISLSELPPYTQAGTAFYLAPEMLLGKEDYDALVDTWSLGCVMAEMLTGKTLFLGDDDDDDDDDTNNEIIQLWSIFRLLGTPDERTWPEFTSLPHTAKALRLLPPGHKQNRLRDLFPQERLSDEGFQVLQGLLTCNPDKRLTAAAALKHRWFAAPRPAPAAAKVGALAFQVKKAPRIKFIPPAMPQKNLLKIPLGVWNAAQQV >SECCE6Rv1G0446570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:845171294:845174918:1 gene:SECCE6Rv1G0446570 transcript:SECCE6Rv1G0446570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPAAAAAAGPEAEERKRLRSLAFSKGLLQRGEPAAPRAALPPSGAVARLQGRDIVRPRGQRRSRFLFSFPGLLAPAAGAGGRVGELADLGTKNPVLYLEFPQGRMKLLGTHVYPKNKYLTLQMTRSAKGVACEDVFESMIVFSEAWWVGTKEENPDELKLEFPKDMQNAAEDVDFKGGAGAASGEVVTVNKPRKQAAVPRTAEPRTPEPHTPEYESDADSEDSDVKDEIGTQTTSDTPVRKSARTAGKTFKFAELAGGDSAESDTEIEFAEDLDEKMEAAEIKEEIPGEDIKEEIPGEDIKEEIPTEDIKPADSPAESLSIKKQPLVQANLSTMFKKAEEKKRPTTTRSPKGSPATKGPAAKKQRATPTKKQSPANKEASGSLKKQKAKVDEDEIEVLSDGSSQEITCHHGLRVNESKD >SECCE3Rv1G0143930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2614592:2615716:1 gene:SECCE3Rv1G0143930 transcript:SECCE3Rv1G0143930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKTDGVCCAASVVNLDLDGALESMQSIVDNMMEFVVLLGGFDRMVRRPVDSYLYYENIMFGRHAERQTLLNFLLQQNQPGDEPAVLPIIGGRTVGKKTLVAHVCRDERVRSRFSSVLHLSGENLLKILEHESTILGEMLVVAEFATDVDDNDWRTFHSFVKRLATGSKVIIISKLQRSARFGSVKPIFLNNLSYEEFSYLFKTLAFGTANPKEHPRLVPIAQEYAKVLHMEESLLIVNTFASVLRNNLNARFWLCLFNKSRRMIERNLSLYGVDLKIHMEQGYPLHLTDYALNPLHAIPYSATVPRKKELPKVTLRELLEDPSVRPKGEFNLVTWESMIPPYNSSSYFVPDWVHDTPEGTPLSGKKRRGLHV >SECCE6Rv1G0385050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:71623444:71628567:-1 gene:SECCE6Rv1G0385050 transcript:SECCE6Rv1G0385050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVSSSHHHCARRLPAPTPRLHLAAADLCASPYRRRVGSLRAMGAEAPSSVAPVGGRKAAAVQAEMVEAAAMWCAMHGLVVGDRGNPRSGTVPGVGLVHAPFSLLPTRFPASFWKQACELAPIFNELVDRVSLDGKFLQGSLSRTKQVDDFTARLLEIHAKMMAVNKKEDIRLGLHRSDYMLDSETNSLLQIELNTISTSFPGLGSLVSELHRTLLNQYGEVLGLDSERIPRNWAAIQFAEAFGKAWVEYNNESAVVMMVVQAEERNMYDQYWLINHLKESYPFVMKTLAQVEAEGLVLPDGTLVVDGRPVAVVYFRAGYAPTDYPSEVEWSARLLIEQSSAIKCPSISYHLVGTKKIQQELAKPSVLERFLDNEEDIAKLRKCFAGLWSLDNEEIVKLAIEKPDLFVLKPQREGGGNNLYGHDLRETLIRLKNEQGEALAAYILMQRIFPRASLTQLVQGGVCFEDLSISELGIFGSYLRNKDKVVINNQCGYLMRTKVSSSNEGGVAAGFAVLDSILLTDE >SECCE4Rv1G0246440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:544598840:544599817:-1 gene:SECCE4Rv1G0246440 transcript:SECCE4Rv1G0246440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPGAGEPRGASHYLDLLLAQQQQSTPFCPSAHVKAEQHSMASPVRSPAPGAVAEQHGGGADQQQPSSSAMVLADGGGGPARPMRRPRGRPPGSKNKPKPPIIITRDSPNAFHSHILEVTAGADVVECLAEYARRRGRGVCVLSGAGVVTNVALRQPGASSPGGQVATLQGQFEILSLTGTVLPPPAPPAASSLAVYLAGWQGQVVGGSVVGQLVAAGPVFLMAASFANAVYERLPLEGEAEEAGMATAATGAQGAGAAAQSPGAALPQQPAASQSSEVTGGEVVGGSGGPSMPLCNLEGNVGSYHLAGPGDNLGSWSGVRP >SECCE4Rv1G0294550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:891591959:891592780:1 gene:SECCE4Rv1G0294550 transcript:SECCE4Rv1G0294550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDQDMATDELMEMKGLKVLAVDDDPIYLHYMTQMLRRCGYEVTAKPSPAEAVREVEKNPDGTDFIMTVVQTRGRGMDGLGLLKRLGKRYPVVLVFSGDESMETRRSGNQGGACYLLEKPLRDTQIYYIWQHVVRWRRAVAGIATTNANPHRSQGAHLDDTPRKGGPTVNDSGKGACDGGPQLGITKKKKFEWTPEMHALFVNAVTQLKTTEDA >SECCE7Rv1G0471910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:134488810:134493169:1 gene:SECCE7Rv1G0471910 transcript:SECCE7Rv1G0471910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRLLSAAVRRRSSAAAAAAGNAREASTAVAAGPGAMAPDAPAVRAPVMPYDRIADAVNARVRRLEHPDPRFLRYASPVPAHVDHTAILEAPETKVTTLDNGLRVATESSLSSRTATVGVWIDAGSRYETEEAAGVAHFVEHMLFKGTGTRSAGQLEQEIEDMGGHLNAYTSREQTTYYAKVLAKDVPRAMNVLADILQDSKLEDNRIERERGVILREMEEVQGQSEEVIFDHLHATAFQYTSLGRIILGSADNVKSITKKDLINYIQKHYTASRMVITAAGAVKHDDIVQQAKELFKSLPTDPTTTNMLVAEQPAIFTGSEVRIIDDDMPLAQFAVAFNGASWTDPDSIALMVMQTMLGSWNKSAGGGKHMGSELVQRVAINEIAESIMAFNTNYKDTGLFGVYAVAKADCLDDLAFAIMQEMSKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQQLIYGRRIPIPELFARIDAVDPSTIRRVANRFIFDQDIAIAAMGPIKSLPDYNWFRRRTYMLRY >SECCE6Rv1G0414990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:638423287:638427096:-1 gene:SECCE6Rv1G0414990 transcript:SECCE6Rv1G0414990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRLCAYATCSLAASPVPTSPSPPPRCRPRRLASSMGQSASLPSGSGRSRGDSRWPALRLDLGLPSGRKQRPAGERLDLGSRLRRALSRPSPRNTDVEVEIEAEEEGRDAGNRVEVEAGGADADHLVVMVNGLYGSSADWKFAAEQFVKRLPGKVYVHRSECNHSKLTYDGVDIMGERLAEEVHQVVQRKGNLRKISIVAHSLGGLISRYAIGRLYEESTSEEPCLNMEKHSDQESMYRGGKIAGLEPMNFIASATPHLGSRWNKQLPFLFGVPLLEQTAAETAHLIVGRTGKHLFLSDRDDGKPPLLVRMVEDCDDGKFMSALRSFKRRVAYANITYDHIVGWSTSSIRRQHELPKLELEANNEKYPHVIHVDTSNSECPQQEDSVDTELTDSLEEEMIRGLTQVTWERVDVCFHESRLKYNAHYNIQVRTPMNQEGEDVIHHMIDNFLV >SECCE5Rv1G0319900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:379775965:379776459:1 gene:SECCE5Rv1G0319900 transcript:SECCE5Rv1G0319900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAVAQASSVFAAFDKDGDGKVSAAELRGSMTAALGEEVSEEEAAAILATVDADGDGLLDQEEFSRLGLGAAGDDGGAAGGEDEEEEVRRRCLREAFAMYATGGGEDGARITPASLRRMLGKLLGSEKMGLEECRAMICRFDLNGDGVLSFDEFKVMMMANL >SECCE5Rv1G0354130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722033039:722036960:-1 gene:SECCE5Rv1G0354130 transcript:SECCE5Rv1G0354130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVEQLNICDLKGPKNLPTSGPLSFTSRQFPQDYYDVKSTKVKLICNFGGSFLPRPSDGELRYIGGDRHLMKISRDMSWQELVSKTTRLIRRAHTIKYHLPGEQLSMLISITSDDDLRHMIDECIVLEENREMINMYLFSRKDDERHVRFVVQGSSDAEKEAQFIALVNGIITTPGYEPRAHSVGRSSANDLDQLMFGISVEAGPPAGRTEEASVSIRSKPLQSIVVPPVTASGQLERTPPSTQRRMINQFYKAQGNEESMISAARKTSKASPGASAPSESTAQHQQTTTDTYRTTGAQEKISPRKQIQIPFENSSVMTQPSNSPRKNTNFQPRNRVEISEPSHESTSPGGNISANLQYPERSVATNSIQKYQPTGPAASVLPFASTPLDKRINLQPNTLVRASSDTIQERPSSPTTDEHASEITRFRSVGTGTINPQIRAPLHEAEDNAAPSIPEAELRETKSSEKTLPANAVLGRDLMSNVQIISNDDLEDLREMGAGAFGTVFHGKWRGTDVAIKRINNSCFSYQSSQADKLITEFWREAAIISKLHHPNILALYGVVNNGPGGTLATVTEFMVNGSLKKVLSRKDKYLDWRKRVLVAMDAAIGMEYLHSKDIVHFDLKCDNLLVNVKDPSRPICKVADFGLSKMKQATMVSGGMRGTLPWMAPELLTMSGTKVSEKVDVYSFGVVMWEILTGEDPYDGMHYGGVIGGILSDTLRPPVPTSCNPEWRKLMEQCWSTEPERRPSFTEVATCLRCMLQAQK >SECCE3Rv1G0180250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:569294819:569295775:-1 gene:SECCE3Rv1G0180250 transcript:SECCE3Rv1G0180250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRTSRSVSHEGCRSRVSPYKLRSGSRRSEEAAAAAAAAAAKQAAEWEEVRCPVCMDHPHNAVMLVCSSHEKGCRPFICDTSYRHSNCLDQYRKASKESSKDSGTAECAECQQPVKLACPLCRGPVSHWTKDYDARKFMNSKDRACTMESCEFKGAYNQLRKHAREDHPAVRPTEVDPNRQRDWHRMEQQRDLGDLFSMLRSGISGREDGVGVSEGEEGVSERSLHATSITMVFIVRSGRSILHYTDGEIPGRRSRTILLVGEPRGEASRAGGASGNGDAEATTTDNEEADDLAMSTQASAGSQEDAGEADGDPVQ >SECCE6Rv1G0401420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:465699519:465701852:1 gene:SECCE6Rv1G0401420 transcript:SECCE6Rv1G0401420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLPRFGPFGPLPAYDEFGIKETRPRLPGGRTGGYDLVERMEYLYVRVVKARELKWGGGEFDPLAEVKLGSYSCATRHIEKTVGPEWNDVFAFSRERLQASFLEVFVRGRGFAKDEYVGRARFDLGDVPVRVPPDSALAPMWHHVFNGNGERCGEVMVALWIGTQADECFPLAVHADAAFAVDAKLAAHIRCKQYTVPRLWYVRVNVIEARDVTFADKARVGELFVRSRLSTQVLRTKTCVSRLPSYGWNEDHLFVAAEPFEDHLIISVEDRVKVDKEEAIGHVHIPFTDFERRWDTRPIRPRWYNLVQPEGATKIDKFSCKVCVRLCLEGGYRVLSEPIHYLSDVRPAARELWHGRPPIGLVELGIHNAFGLSALRARNGRGSCDAYCVAKYGSKWFRTQTVIDSLAPRFHQQCFWEVHDHCTVLTVAVFHNCQIGEKGGLATGDPVKDVLLGKVRIRLSTLETGRIHTHAYPLISLHAGGIKKMGELHLAVRFSSTSTLGLLQTYSQPHLPPMHYHCPLSVVQQERLRREAVAVIAHRLGRMDLPLRRECVEHLCEAHGHRWSMRRSKAHFFRIMSALAPLFAAIKWFVDVCHWRNPVTTVAVHIIYAMLVCCPNLILPTFFLYKFCLGLWNYRRRPRHPWHVDTKVSHAVTAHLDELDEEFDEFPTARPHEVVRMRYDRLRSLAARIQEMVGDVAAHVERARCVMTWRDPRATTMYLLVCLCLAVVTFVAPFQAVALLSGFYLMRHPSLRQRLPDLPANFFRRLPCKVDCLL >SECCE6Rv1G0397250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:387428226:387438558:1 gene:SECCE6Rv1G0397250 transcript:SECCE6Rv1G0397250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDTRDSADEDFYSGGEAGLALSDDGDADYDFADRDSDDSDDLISHRQQQNYSVLSETDIKQHQADDMNRVSTVLSISKSEACALLRSYNWSVSKVHDEWFVDEARVRTAVGLPEKQNEMPNERELTCGICFESCPRESMGAASCGHPFCGVCWRGYISTAINDGPGCLMLRCPDPSCSAAVGQDMVNLFASDEDKGKYERYLCRSYIEDNRKTKWCPAPGCEYAVEFVVGSGSYDVSCGCSYGFCWNCTEEAHRPVDCSTVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSDHGERTGGFYACNRYEAARQEGAFDDSERRREMAKNSLERYTHYYERWAANQSSRQKALGDLQSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPDHEHAKRQFFEYVQGEAESGLERLHQCAEKELQIYLDADCPSKDFNDFRTKLAGLTSVTRNYFENLVRALESGLNDVGAHSGQGACSKAVSSKNLGGKSKSGKNKAPVGASKSGSSTRGMDDGNIWACDQCTFANPRSARSCQVCDHQQHR >SECCE3Rv1G0145890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8620153:8627139:-1 gene:SECCE3Rv1G0145890 transcript:SECCE3Rv1G0145890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTRLLQRVSEDAASQASFGGGASQEPRVASVVATRMDGPKPYDGAASANPRGVSASHQNGVSESGGDPLMHEQARHSKYLDSGQANGAFRRELAGMESGGPSHFSTPSSRSISPTRRRKEGDYDSRINLAGQGLLPASEMNSNMAWKQDLTVKVKEHEEEITQLRKHLDNYIIKEAQILKEKSVLEKRIAYMRVAFDHQQQDLVDAASKALAYRQDIIEENIRLAYALQTAHQERSMFISSLLPILSEYENLRPSVPDAQTIVGNLKVLFRHLQEQLMLTEEKVKESQYQITPWQNELPNKTSLPVQSPNNPLGKVSYKSGLDIVPQTPYPQVQSPMSSPSPVQVRGTNWRNRQVIPSEVPARNMDQDYGGRTTLSSSNQFRKDVPVQSSQRDSDAVQFDFTTRNSSLPLEGISTRYVLDDSVGAEDQHVREPSAQWGPGDSPNLESGLEEANPSYPYLPTVPEEPGSSFSEAAEDDPLPGIEGLRITGEPFPGRDLQASGFSINGTTSCNFEWVRHLDDGSVKFIEGARQPTYLVTADDVDNLLAIEVQPLDDRKRKGDIVKVYANNQAKITCDPETKELIKKTLDVGHVSYQVQLPVRFLDMWEPAVLAIKREGYSIKCNGQRGVVLTEKFQKATAINIPYERQTEFSVVSADGEEYNLQPADNNMSRDTIVLVLRLFRSMAVEKRRGRKKGLFFK >SECCE4Rv1G0292920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884194681:884197563:-1 gene:SECCE4Rv1G0292920 transcript:SECCE4Rv1G0292920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMETRDRGSLPAPVARSYAQLPDDDEAQAGRAPPDRTGPVCAAVLLTLAAVLLAGAALAGVRLASELPASGIVMSGHPTEVDAAPTSTSSRGPESGVSEKTSGAGPHGGMLGADASGNAFPWSNAMLQWQRTGFHFQPEKNWMNDPNGPVYYKGWYHLFYQYNPDGAIWGNKIAWGHAASRDLLRWRHLPVAMSPDQWYDKNGVWSGSATVLPDGRIVMLYTGSTNASVQVQCLAFPTDPSDPLLINWTKYENNPVMYPPPGVGEKDFRDPTTAWFDGSDDTWRLVIGSKDDRHAGMVMTYKTKDFIDYELVPGLLHRVPGTGMWECIDLYPVGGARGIDMTDAVEAASMNGGDDVLHVMKESSDDDRHDYYALGRYDAAKNMWTPLDTDADVGVGLRYDWGKFYASKTFYDPAKKRRVLWGWVGETDSERADVAKGWASLQSIPRTVVLDTKTRSNLLQWPVQEVETLRINSTDLGRVTIDHGSVFPLSLHRATQLDIQASFHIDSLDLAAANEADIGYNCSTSGGAAGRGALGPFGLLVLADARHHGGDTEQTAIYFYVSRGLDGGLRTHFCHDETRSSRANDIVKRVVGNTVLVLNDEDLSVRVLVDHSIIESFAMGGRSTVTSRVYPTEAIYANAGVYLFNNATGVRVTATSLIVHEMDSSYNQVYMASM >SECCE5Rv1G0347180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666104326:666105604:-1 gene:SECCE5Rv1G0347180 transcript:SECCE5Rv1G0347180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVAADGDNAREDDLVAADGSGLGTAVDPVLVANGDGGAACEEGSVVGAADGVDEIASAGGGGSSAAGLGQGAEVAGGYVAVVARDSGQGTAKPASTGASDQGMARLASATRVCPDGMVPNSSYLLRIKLFGNPKKSRKDILCFCFEKEVDCDLTNYKGLVESIVKQYPPRYMEVAHMQYYDADLKTCPEVKSDQDLMLMFEKHSETKVLDMIIAYRDPSEPYEPITEWQSDVHSEPDNNIEHEDDTTNKRYAKGGKRATRTMELTKKDQNGPKVPFDSPAMGTRSKKSYLASPAMSTRSKRRLSS >SECCE6Rv1G0453060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:880031159:880034245:1 gene:SECCE6Rv1G0453060 transcript:SECCE6Rv1G0453060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLALLVRATGLLLLCLLISQAASTSHGQASVSEACLSSDRDALLSFKASLFDPAGRLSSWRHGDDCCQWKGVRCSNRTGHVIKLNLRNIDTDGYPLDMSRSLSLSAGEMSSSLATLQHLRYLDLSCNDFNGTSIPAFMGSFGNLRYLNLSWAFFSGMIPSQLGNISKLQYLDVSGYYNGLQAVDLAWLPRLSLLTHLDMRFVYLSFVRDWVHTINMLPSLKVLSLADCGLNTTVSASNLHSKSNLTHLEVLDMSGNNFFGTSFKHNWFWNLTSLKELNLLYCGWIGSIPNDLRNMKSLQVLNLIGNNLEGLLPTNLEDLCDLKVLMLSGINVNASMDEFMNRLPRCSRNTLHKLSVSETKMTGNLPVWIGNMTNLSVLEASYNMLTGPLPVGVGALGNLKTLDLSYNNFNGVLMKEHFTSLGNLESLHLSNNNFSGVLFKGHFASLGNLEFLDLSYNNFSGVLFKKHSASLGNLKYLDLSYNKLNSVLTEEDFAGLLNLEHLDLSYNSLKLAINQKWVPPFRLKVAGFRGCHLGPHFPEWLKWQTNIDVLVLGNANLDDVIPDWFWVTFSRASFLHASGNKLHGSLPENLQHMAADHIYLGSNNLTGQVPLLPINIVRLNLSSNSFSGALSSDLKAPFLEELLLANNQIGGMMPSSLCRLTSLKRLDLSGNKFTGDVLQCFKEYGTNSANQFGSQMFSLALNNNNLSGEFPKILQSASQLKFLDLSYNSFSGGLPMWLPKKMPLLQILRLRSNMFSGHILDNLTSLARLHYLDISGNNISGSIPWSLLNLKAMMTIISDDTTEDYNFEESIPVITKDQKRDYSFQIYKLLVNLDLSSNSLTGEIPEEISLLIGLTNLNLSSNHLMGAIPNQIGNLKHLESLDLSYNEFSGAIPSSLSDLTSLSHLNLSYNNLSGAIPSGPQLQILDNQMYIYSGNPGLCGLPLSRNCSENDTQQSGLEDMNHISSVYLGMSIGFVMGLWIVFCTMLMKRTWRAAYFQFIDIIYDKVYVQVVLRWARLMKNAQDDAP >SECCE1Rv1G0045340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609424655:609425814:1 gene:SECCE1Rv1G0045340 transcript:SECCE1Rv1G0045340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAATMVALLLAAVAATCARAQLHEKFYGESCPSVENVVRKEMVRALSLAPSLAGPLLRMHFHDCFVRGCDGSVLLDSANKTAEKDAQPNQTLRGFGFVERVKAAVEKACPDTVSCADILTLIARDAVWLSKGPFWTVPLGRRDGSVSISNETDALPPPTSNFTVLTQLFAAVNLDAKDLVVLSAGHTIGTSHCFSFSDRLYNFTGKENPSDIDPTLEPHYMMRLKSKCASLNDNTTLVEMDPGSFKTFDTDYFKLVSKRRGLFHSDGALLTDPFTRAYVQRHATGAFKDEFFADFAASMIKMGNANPLTGSQGEIRKKCNVVNH >SECCE3Rv1G0188080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:709142791:709144206:-1 gene:SECCE3Rv1G0188080 transcript:SECCE3Rv1G0188080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVVLYTWMVRGHLHPMTQLANRLAGHGVPVTVAVADVPSTGDSSQTIACLSASYPAVSFHLLPPATTRSEDAADPNADPFITLIADIRATNAALLAFLRSLPSVKALIADFFCAYGLDAAAELGIPAYVFFTLCVSALATFLHIPVMRSAVSFGEMGRSLLHFPGVHPIPASDLPEVLLDRDNRQYSTTLGLFKQLPRAKGILSNTFEWLEPRAVKAIKEGIPRPGESLPKLFCVGPLVGEERGSNANHECLVWLDKQPAGSVVFVCFGSASSVPAEQLNEIAVGLEKSGHAFLWAVRAPVAPDADSTKRFEGRGEAAVDALLPDGFLDRTRGRGMVLSSWAPQVEVLRHPATGAFVTHCGWNSTLEAVMAGVPMLCWPMYAEQRMNKVFVVEEMKLGVAMNGYDEAMVKAEEVEAKVRLIMESEQGNEIRQRMTKAQGIAANALEIGGSSAAAIVELLDNLKISTSD >SECCE1Rv1G0013230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:101660585:101664810:1 gene:SECCE1Rv1G0013230 transcript:SECCE1Rv1G0013230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKEEQKSRKEKRKEARSEKHKLRFLSWVQHQGGKNKKTAVVSMEPSPAEEVKPKKEPKKRRREPEDSHKSKSKFQEYLEMEMGGAAVGREEDLEMERKLAKKLRVKKGKLGGPDDGMDDLFGDLGFVGDYSSDGEAKDTKPEKKKRSRKNKNVKDDAMEEGSGKVVDDSKVDKKKKKRKNKKVKDDALEETGAGAVEMAEESDVSVHESEGEELNVVETPSVSTVKYVPPSLRAISSSESEEISLLRRRVRGLLNRLSESNVESITQEVAAIFRSVPRGVGCQLIGDEVLASCSRGPRGNEQYAAVFAAFIAGMTCLVGMDFSAKILSSLAKSFEDEYSKDDGLSVRNLMLLFSYLCIFDVIASDLVYDLLSVLSERLTELDVSTVLTILECCGMKLRGDDPGAMKDFVLSIQNSVRQSKLCSAGQEGGKADLHSKRMEFMLETICDIKNNKKRSKEDPSQHTRVKKWLQKLKSEDILLRGLKWSKLLDPEKKGQWWLSGDVSSTAGNVEDVAAVISKEVVEAQKLVQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFDKILRLDLSGKQDREIMRVIVDCCLQEKTFNKYYTVLASKLCSHDKNHKFSLQYCIWDRFKELDEMELNRSMNLAKLVAEMLASFSLSLATLKVVNLANPVEMTPKKTMHFRMMFETLMQKEDSLMWNVFTRIAGNPELELLRDGIVMFVRQHVIAKDAGKELAGKFKIAKKALDNSAGVLM >SECCE3Rv1G0146130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:10444786:10451520:1 gene:SECCE3Rv1G0146130 transcript:SECCE3Rv1G0146130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPLLPALVMLAVACAPVPFTVADPQATQLNLGCSQYNATPTAAFLAALNSTFAELRANLSAGGGFATCRPYVTGRDCVACFDAAAARIHAACGAANGGRAILDGCVIRYESAAFFDQSTLPGNTQRCNGSAVPGAAFDGAVQALIGDLAAAVPRAPRLAAAAAGAGVYAMAQCVETVGPDGCAQCLQVAASNIGRCPPNSDGRAVDAGCFMRYSDKPFFPANATADLTPYLRSGKSRGKGAIVGAILGGLAFLLLLGLLALLWTWRSMKLKKPRRGDILGATELQGPTSFNYHDLKAATNNFSEKSKIGEGGFGDVFKGLLKNGKIVAVKRLLVLQTSRAKEDFESEVKLISNVQHRNLVRLLGCSRKGSECLLVYEYMANSSLDKFLYGERRGTLNWKQRFNIIVGMARGLAYLHQEFHVCIIHRDIKSSNVLLDDDFQPKIADFGLARLLPDDHSHLSTRFAGTLGYTAPEYAIHGQLSEKVDTYSFGIVILEIISGRKINDTRVEAETQYLLESAWKLYENENVIKLVDGSLDHEEYMPEEVKRIIEIALLCTQSAVASRPTMSEVVVLLLSKNSPEILPTRPTFIDSISRVRGETSTSISSSASKATVSISHLSAR >SECCE3Rv1G0156250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70833702:70837423:1 gene:SECCE3Rv1G0156250 transcript:SECCE3Rv1G0156250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQSPLLGGGGVSPDVSSPRRRVRRPCAALGIAVALLAVAGVLLLLLGSGVGPDRGSRVSVGGVVRESRHEVESGAAAVAADDGRCSEVGAAALRAGGHAVDAAVAAALCLGVVHPMSSGLGGGAFIVVRDAASGDAVAFDGRETAPAAATPTMYAADPTTKFKGALAMGVPGELAGLHAAWSRYGRLPWKSLFAPAIALARDGYTIVSYVANALKDEEVDVLADPGLRAVFAPGGRLLRDGELCRNPALADALETLAEDGIAAFYGGAVGERLAEDVRRAGGIVTLEDLKGYRVGVSKAMEADAMGFTFLGMPPPSSGTVGLALVLNVLGGYKSTEFLKGFLGVHRLIEAVKHMLAVRMDLGDPGFVNVAGKVSEMMSPEFADKIRRRIADNTTFPAAYYLAKWSQVRDNGTSHLCVVDGDRNAVAMTTTVNSYFGAHVLSPSTGIVLNNEMDDFSVPSSNPTPDQLPPAPANFIAPGKRPLSSMTPAIILRDGQLAGVVGASGGTNIITAVTQVFLNHFVVGMSPLAAVQSPRVYHKLVPNVVRYEDATTADGELIELSAEAMEFLRRRGHVLESTSPGAVCQLIVQDLLARVSGGGGGGENVFHGMLTAVSDPRKDGSPAGV >SECCEUnv1G0533980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35495824:35499812:1 gene:SECCEUnv1G0533980 transcript:SECCEUnv1G0533980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARGGVAALLVAALLLGAFAPPAAAASYPAKVVSGFISNAASAVMKRLWSLKSAGKTASGAKSMVKYEGGYTVETVFDGSKLGIEPYTVEVTQGGELLVMDSMNSNIYRIALPLSRYSRPKLVAGSPEGIPGHVDGKLREAKMNHPKGFTVDGRGNIYVADAMNMAVRKISDTGVTTIAGGKSSRGGHVDGPSDDAKFSTDFEVRYIASSCSLLVIDRGNQAIREIQLNFDDCVYQYEAGFPLGVALLLAAAFFGYMLALLQRRVLGMVSTEDELQTPMKASIASVPPYQIQKPLKPSLRPPLIPNEDESEKQEVEEGFFTSLGKLIGGAKSSVAEIVGAAFSRKKRPSVHHYQQGRASPWPVQESYAIPRDETPPVVDTRTPTPRKNYAFMSKEPEKIHHIRQGRAPYNGWNSGESPQQQQQQQQQQVHHQQYLQHNRQYSLGPQTFYEPSCEAANEIVFGAVQEVDSARRAVEMKPVSYGDAAAVYEQNGLRYRSSSGYMG >SECCE2Rv1G0098860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:499126244:499126900:-1 gene:SECCE2Rv1G0098860 transcript:SECCE2Rv1G0098860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVTVVPRVKLGSQGMEVLAQGLGCMGMSAFYGPPKPEPDMVALIHHAVAAGVTLLDSSDIYGPHTNEILLGKALLGGVRESVELATKFGISFADGKREVRGDPAYVRAACEGSLNRLGVSCIDLYYQHRVDTGVPIEVTRSQIS >SECCE1Rv1G0007680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:41871446:41871844:-1 gene:SECCE1Rv1G0007680 transcript:SECCE1Rv1G0007680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMEQGVPEGGEPKSVADVVANILTKECPSSTFLQNVGLESSSKKKFNRSASALDAHVQELEYKLEKERQASELMREELVEVKKKSEETEAARATEYQLLLQRVEATDARAAASDARFARLMDLFEGKIV >SECCE1Rv1G0011800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:82610402:82612865:-1 gene:SECCE1Rv1G0011800 transcript:SECCE1Rv1G0011800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGAVRPSSRFQNDTSTSGDADRLPNEMGNMSIRDDRDPEDIVVNGNGTEPGHIIVTSIEGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVALKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRSLAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPIFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPSLRSTALEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGVPMDILVKLIPEHARKNCAFVGW >SECCE3Rv1G0206440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:908983769:908988867:1 gene:SECCE3Rv1G0206440 transcript:SECCE3Rv1G0206440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA activating complex family protein [Source:Projected from Arabidopsis thaliana (AT1G28560) TAIR;Acc:AT1G28560] MAAAGEEAESSAAAEERPREPFARGGPVFIPYMVGPVCTVPEFISSTLREVQSLRDELGDPGDEFDDELCIDELRVLSEEELVERALREAMEEGWDCGAPSQSVDQSSDTPSQPLDQQQLDQRLDGGMSASSTPGNETVTSSASAETQSSVSAPSDMAIGLHEPQGSNGKTRGGKRKIRERIGNNGALTSVSTAERETSGSPVELAIVPHEPEGSKGKPRGRKGKNGTSSTPSIESETPVLPSEDMSVVPHDPEGTDGQTKRKKLKKRGRHFDREVRAHILQGSYLTKAEKMAEIMVKQEDDKRAARLHSFSGDSVMSKGSKASAEKIDLAKSLKYNGAHSAPWKNKASKSDEHIPIVYPEAILCVEIYERRHGSVKNQEFLVLGSQLLTDLKDNIYCSTNKLMELNKLHNHSGFFLIEDTFYNDTRHYSAVDYSKPILDWLDNSSDEVAEKWDAISSGVLKKRQKDLLKGLNISNVPEFKSANMQSTCFSDLHFRLGAGYLYCHQGNCKHTFVIRDMRLIHPEDTQNQAEYPLMTFHMQRRFQKCSVCQIYLATKMTVDDKWAPNNPCYFCKQCYYLLHYKEDDSLLYHHTVYDYFQE >SECCE1Rv1G0062590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:720444827:720446280:1 gene:SECCE1Rv1G0062590 transcript:SECCE1Rv1G0062590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTSRDSGIEKLCESMQRMISQLMEQAQTKTSSTSEILKTLEPNPVRLTGPGDFFSWSRNATLILESHGLQKFLKDDEKKPTDVTQEQWDQNQKRVMVWLLGSMEKTVREQVEGFQSAAEVWTSIEKQFSGKSNKMQVSRIFHELRQIKQEQKTVTEFAGEIKKLYRDLEYFRPFKAHDPRDVPLLREWFEPLLVQTFLDGLNSEFNLRSQLIQATADWPTLDQAISSILEEETRLANQITTSQTNVDSRAALSSVKQIQSPGTSRNEQANATRFDYTRKPKMVCDHCKKPGHLKKSCFDIVGYPPGWQPKQFNRFTSGGSNARRPDRAHLTLFGGERSAVTAQALEEFKGKLMANTSEGPAEATSDAHSGKGSEDKKKSWDWN >SECCE4Rv1G0282330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829050093:829050820:1 gene:SECCE4Rv1G0282330 transcript:SECCE4Rv1G0282330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDHLSDFCSIIETKEALKLRKKRPLQTVNIKVKMDCEGCERRVKNAVKSIRGVTSMAVNPKMSKVTVTGHVEPRKVLERVKSTGKAAEMWPYVPYTLAAYPYVGGAYDKKAPPGFIRSAPQAMADPAAPEVHYMNMFNDEDVNACTVM >SECCE3Rv1G0204620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:895658216:895660169:1 gene:SECCE3Rv1G0204620 transcript:SECCE3Rv1G0204620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G21450) UniProtKB/Swiss-Prot;Acc:Q9SDQ3] MSFVGRVDPSTTYADNIYVHKFGAPNSNFAARRFGSDTQLFRYGPEPFNSEDYGHMGFPEAPSAAFQNSFYNQQASLTPCSDAAKDSPVFSNVSQQNSQSISDNQSSGLEVEFDDEIRLKLQELEHALLDDGDDILFEISQAGCISDEWADPMKDVLLATSPKESESSISCAGSNSGEARTPKQLLFECATALAEYNVDEAQAIITDLRQMVSIQGDPSHRIAAYLVEGLAARIVASGTGIYKALTCKDPPTLYQLSAMQILFEICPCYRFGFMAANYAILEACKGEERMHIIDFDINQGSQYITLMQFMKDDANKPRHLRITGVDDHETVQRTVGGLKVIGQRLEKLAEDCGISFEFRAVGADIGDVTPAMLDCRPGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKGLQPKLVTLVEQDANTNTAPFQTRFREVYDYYSALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMTMAGFAPCPFSSNVIGGIRSLLSSYCDRYKFEEDHGGLHFGWGEKTLIVASAWQ >SECCE2Rv1G0083900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172272485:172272967:1 gene:SECCE2Rv1G0083900 transcript:SECCE2Rv1G0083900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTMAPKNSAVFFLELLLSCLAMSSAARILEETVPSKEEHQTEVPQVPKVELPPFPEVHLPPKPELPKVELPPVPEVHLPPKPELPKVELPPVPEVHLPPKPELPKVELPTFPEVHLPPKPEMPKVELPPKPEMPKVEFPPKPEMPIVPVFLPKPEAKP >SECCE2Rv1G0133540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:897423038:897426117:-1 gene:SECCE2Rv1G0133540 transcript:SECCE2Rv1G0133540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNLRAMLVSAVLFVSLGTCSAAGVSSRDTLNDGRRNITDGETLVSAGGSFTLGFFSPGDPSRRYVGIWFSASTDAVVWVANRDSPLNDTAGVLKVDGAGRLLLLDSSGRTAWSSLNTTTGGATSSSLSVEARLLVSGNLVVVHDDGALLWQSFDHPSNTLIAGMRLGRNPQTGAEWSLRSWRSPEDPATGDCRRAMDTKGLPDCVSWRGDAKKYRTGPWNGLWFSGVPEMVSYSDMFTNQVVVRPDEVAYVFNATAAAPFSRLVLSDAGVIQRLVWDGGSRVWNVFAQAPMDVCDDYAKCGAFGLCNVNTASTLFCGCVQGYVPVSPAHWSMREAAAGCRRNAPLDCRDGGATTDGFVVVSGVKLPDTDNATVDAGATLEGCRARCLANCSCVAFAAADIRGGGGGSGCVIWVGGIVDVRYVDKGQDLYVRLAKSELLAANKKRGSMLKILLPVTACLLVLMCIFLVWTCKFRGNCRNKDIQAKSILGGASNRLIGDENIELPLVSFKDIVTATNDFSNENMLGQGGFGKVYKGMLEDDKEVAIKRLSKTSGQGAEEFRNEVVLIAKLQHRNLVRLLGYCIHEDERLLIYEYLPNKSLDVFIFDAANKYVLDWPTRSQIIRGIARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGRDQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVLLLEIISGLKISLPHLSEFPNLLAYAWNLWNDGKPMDMVDSSIVDNCSATEVLRCIHIGLLCVQDNPYNRPLMSSVVFMLENQTTELSTPRQPVYFAYRNSEAKETGENTSSSINNMIVTMFEGR >SECCE1Rv1G0058540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701071979:701072245:1 gene:SECCE1Rv1G0058540 transcript:SECCE1Rv1G0058540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSSSRSNSPSSDSEWSKKENKMFEEALAYYGVGAPNLCEKVASAMGGTKSTEEVRRHFQFLVDDVNNIEHGRIPFPKYKTQGFWT >SECCE3Rv1G0190080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:734911753:734912328:-1 gene:SECCE3Rv1G0190080 transcript:SECCE3Rv1G0190080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIRCCIACILPCGALDVVRIVHSNGRVEEISEPVLAGEIMKAYPKHVLRKPPSTCPADGGGGIVVQKPVILPPNAELQKGKIYFLMPVMAPAPDKAAPKPKAPAPAPTAPAPAPAARRRRRRKESGEAGGGNNAPAATQSAEGEKERLLANERYLSEIMKEKASTARDRRRGRVAVWRPHLESITEDDL >SECCE4Rv1G0273840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:780000778:780002887:1 gene:SECCE4Rv1G0273840 transcript:SECCE4Rv1G0273840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVAYEEQRRRQVEENRRKLDQLNLRHLSAALRDSAVAPKPSPVKSAKRKPRGPPPTPGDAPPRRSGRVAGLPEQPKYRYEDTYADIDKKIRRGGSTTRSDLIDRVYATEEARTYATDMAEELQAKLDSRHPSFVKPMTQSHVTGGFWLGLPKQFCTTYLPKRDEWITLVDEKGAESDSYYLARKWGLSAQWKAFAINHKLVDGDCLVFERIDRAKFKVHIIRQSSYYK >SECCE5Rv1G0361280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:778509920:778511014:1 gene:SECCE5Rv1G0361280 transcript:SECCE5Rv1G0361280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRTLSTCSPEAAEGTHVFDILGYSKHRGMSHDGGGNYIRSGTFSVGGHSWAIRFYPDGLKRENREYITVYLELMTKNAKVRASCELRLVDQYTGLRSLVSKTGPRIFNSEDSTRYAPRTPHFKRRSEIEGSAYLRDDRLMIECTVTVFEKPRVTKTSEAKLLPKIDRPPSDMAQHVGKLLEEKEGLDVSFIVGGETIEAHRLVLAMRSPVLKAELYGPMREARPGQCITIKDMQPAVFRALLHFIYTDSLPGREDFEGEENTEMIRLLLVAADRYAMERLKMICQSILCEDLNVDTVAITLALADQYNCDKLKHACLEFIKISDDNAMDAMVATQDFSYLKVTCPSLIVDALENRRKLRKA >SECCE3Rv1G0144170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3248947:3251246:1 gene:SECCE3Rv1G0144170 transcript:SECCE3Rv1G0144170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEALVLALLFLDLDYGISRATATSEGDFFNNCPPSRCIEGGTEIRFPFRLATSTPSCGAPGLELACSREADTILLHPILGLCKVTAIDYRFGGLNVIPLEESWTRCPLQKISVTNLSTSVYIPDSYGGETANLVRCSRELIPMEKAGTRPGNVKSIVGPISCLSNTSQFMYLMDGSKPMYILPLDCTVVSNSISTPWDYDTKTVVLFAEKAKRVIAFGETTLTWSVPNITDICLECERNGHPCGFSSQRRQAFCKRQGSRVKVIAATSSVATFVVLLLTAATALYRSLKSKVDEEVRLKIEMFLKAYGTSKPTRYTFSEVKKVTRRFKDKLGQGGFGSVYKGQLANGVPVAVKMLENSKSDGEEFMNEVATIGRIHHANVVRLLGFSSDGTRRALIYEFMPNGSLEKYIFAHESDICRELLAPNKMLEIASGIARGIEYLHQGCNQRILHFDIKPHNILLDYSFSPKISDFGLAKLCARDHSIVTLTAARGTMGYIAPELYSRNFGRISSKSDVYSFGMLVLEMVSGRRNSDPWIENQNEVYIPEWIYEKISTEQELEPSRDMTQGEKDTVRKLAIVALWCIQWNPKNRPSMPKVLNMLTGALRSLTMPPKPFVSSPGHPMPQII >SECCE6Rv1G0418040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:660780667:660780996:1 gene:SECCE6Rv1G0418040 transcript:SECCE6Rv1G0418040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSSRYLTLAAVVILILVVMAAREVEAIRLDAEVRASLNSSGDNSMSSMQKPIGTNVVNVVKGSASSIRETKKSVDVTAGEVRAVAHKMPEFHEDYYGPSDHSPRHH >SECCE1Rv1G0060500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710408398:710408776:-1 gene:SECCE1Rv1G0060500 transcript:SECCE1Rv1G0060500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSMSAAGRAGWTPKQNKLFEQALAVHDRDTPDRWHNVARAVGGGKSADDVKRYYELLVHDIARIEAGKVAFPAYRSPCPGPGHNAGYEADRLKHLKI >SECCE5Rv1G0300440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:26207334:26209446:-1 gene:SECCE5Rv1G0300440 transcript:SECCE5Rv1G0300440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSERFSDLLWMPHSQHKISKSWENPNICLNVRCHRVGIPVDPLCSAALQNLGFSQIAKMKKINVDKYLISALVERWRPETNSFHLPVGEMTVTLQDVSCLWGLPIHGEPLIGKADAAWSGLIERLLGIPIDEQHMKQKRRRKDNDNIAVKNSQYSLNLGKLRERFQVMPDNPVDREIHWHARAIVLEILGSTVFTDTSGDGVPAMYLQFMQNAVQPTEYNWGAAVLAMLYRQLSMGAEKERLGISGPLLLLQWSWSHLPLGRPKNIIEKPKEREEPGDEEDEEVDLDYNPVFGAKWCAAHEFDVPHNAGTEYYRNQIDLIREGVIEWQPYDDLLDAMPVEVHDDTDWWFARVPLIHFWVVEFHYPNRVMRQFGLRQAIPPSPPRGEVEVRKLRKIKHSAGKPHNWEEVHAKYVQEYVQEYDRFRVGVVPEDIRFDVASLPDYRHWFQQNGMYTVFFDSQCLGGLDKPIPYPRDSIEWTGYMPSGPPLARISLREIKNAAWGIKCATTSGCKKIGKSILRSCVGNLMDLNLEPRLQSMLAEARLPLKIEDIPSDDDVSDIAQPPSPPKDSNSDVFNDWIYSGRGFTTYLNVGEAMVDGRWTTEDATQAPIFQSRSSSEVLN >SECCE5Rv1G0300740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27816265:27819507:-1 gene:SECCE5Rv1G0300740 transcript:SECCE5Rv1G0300740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10830) UniProtKB/Swiss-Prot;Acc:Q9SAC0] MASHLRLHLAAPPPPALHHHHQHLPRIRLLRLPLLSLPSTAALSSLRPRLLSSAPARARVGGADAEGSDGEGEGDAGGAGGLVGEDSAVFRLADQRVASWAYFAGILSVVLYGLNVLWIDPATGVGTRFLDAVAAVSDSPEVVLLLLTLIFALVHSGMASLRETGEKIIGERAYRVLFAGISLPLAVSTIVYFINHRYGGIQLWDVKGISGVHELVWLSNFISFLFLYPSTFNLLEVAAVDKPKLHMWETGIMRITRHPQFVGQVIWCLAHTLWIGNSVAVAASVGLIGHHAFGVWNGDRRLASRYGEAFDVLKKRTSVVPFAAIIDGRQKLPEDYYKEFIRLPYITIVALTLGAYFAHPLMQAASYRLPW >SECCE2Rv1G0073240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:66643577:66644935:-1 gene:SECCE2Rv1G0073240 transcript:SECCE2Rv1G0073240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLCFAVVAAAAFSGASGQELTVDYYDEACPQALSAIKLVVGAAIVKEPRMGASLVRLHFHDCFVNGCDGSILLDDTEDMVGEKTSKANNMSVRGFGVVDSIKTAVNTACLGNVVSCADILAVAARDSIVALGGSSYDVLLGRRDATTASMDDADDSIPNPFMDQPELLANFQAQGLSLRDLVVLSGAHTLGYSRCVFYRDRLYNETDTLDPSYAAALDERCPPAGDDEALASLDDTPTTVDTDYYQGITQGRALLHSDQQLYLGDGGDSDALVQYYAENPDKFWEDLGAAMLKLGGLSPLTGEDGEVREDCRVVNRG >SECCEUnv1G0539510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75898800:75899960:1 gene:SECCEUnv1G0539510 transcript:SECCEUnv1G0539510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRESILSRILSSPSKAAFFPLRCLLSATAAPPISPNPSGFAVEDYLVATCGLTRPQALKASTKLSHLNSPAKPNAVLAFLSGLGLSGADAAAVVAKDPQFLCAKVERTLAPVVAGLAGLGLSSPEIGRLVLLAHHNFRCRSIVSKMHYYLPLFGSCHTFFRVLQRSSYLLSSDLDKLVKPNVVFLRECGLGDCDIAKLCIREPRMLTTNPERVRAMVACAERLGMPRGSGMLRQALQAIAFLNEDKIADKVDYLKNTFSWSAAEVVIALSKAPMLLKISKDMLQRKSEFLLSEVGLEPVYVAHRSEILGLSLEGRLRPRYYVLNFLKENRLVDRDLSFYTAVIRNEKYFIEKYICPHMEAAPCLAEDYATACNGEVPTNFRFT >SECCE7Rv1G0481450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:283953559:283955402:1 gene:SECCE7Rv1G0481450 transcript:SECCE7Rv1G0481450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKERLPLTLERYHSFFVDPYGTRLTSVHLNNIIYMHGFTRLHRTTKSQIMDRTVGQVDLQPPRRSTLHGGAALAPPSAARIAAAQAAADVDAIGWAECPIGCITAFSAFADTPDPVEPMPPPAHHMLALAVRRPRSKRTRASPYQRPAASNTAKVEEEEEELMEEEGEDMSLATPSPPRAMRSPTPPPPPPSPTPRPQTVVPPPLWMRSPTPPPPPPSPTPRPQTVVPPPSPPCRGQPELEPSLSPPPGFGSPPEPCRSRPTLDPILPVPPPPGFGSPREPCRSRPTLEPILPTPPPPPPPGFGPPAQPCWSRPTLAPPPTPPPGFGTRQVAPPPPQLSWGLPMPRPSGPGAPTFTQHLQPAGPAPLWRPPMSPSYPAPCWGAPTGLPPAQAPWRWPHPPPRWAPPHTLRPPPPPWDCMRPFAPPMPPQHPPRFEMQHTPPAPGACSGRQVIYF >SECCE5Rv1G0344940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:648535377:648537928:-1 gene:SECCE5Rv1G0344940 transcript:SECCE5Rv1G0344940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPMNCDLVLELQNVSLDTLFLIILQAVVVIALGKFIHLSLRRHNQPSAISQILAGIMVGSLGLHEVIVHVDVVNVEDTYGWYVSQARIFYMFYVGLDADLASLWNDKHRCTIASYASVATCLLLAAFVSGGLYGSMMHTPVRSPELLAAVLMLTLANTSSVDVSRMAAELGLTATATGRLVVGAAIATNVICIVGEGVFSCMKLASGRTPGYSASERLGLGVLALFKVGLALALLRPAVEFMNRRNAGRHRIGNWELALILIAVSYIGDFPRRVGFDGMPASLVLGLAFPREGPVARTVTHALAYPLHALALPFYFGTMGMRLNFSAMSGAVVVPAVLLTILGLIGKCAGTMGAARFLHMPLGDAARLGVLLNIKGHVNMIDMSFASSEGIWAEQALMAMVVGSMISTVIAGPVFAVVYRREREAYLCNGHRTLERLVPDQEEELRMLACVHGARAAPAMLSLVELLASNPAVQPAVHVLHFYDATSKHERAGTGGAKRYHERVQLDSDKHWDRMNDAATQVNWTVDLFASITGLVIRQVDKGDRGPVTNLKTIRRCTEEVHADVLIVPYHKEQHYDGKMFCRWEDRRQLNLNVLERAPCTTGILADRPFRRGGTSFQLPTKISTSEEMMGNSRDDRAAAPTHVAVVFLGGPDDREAVALACRLAKNETVHLTVIRFVRPGKHDDGRVQTARDDDHADGEVSVVVDDPDECCMAALQREYVAKELASYVEKVVGSAADVVEALRRMAGAYALIVVGRGGRQPAELVAGLECGEMGPIGDILASDESLEMGSVIVLQQKKAVSTAFGLDPPPAAGV >SECCE1Rv1G0054380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:674764367:674765400:-1 gene:SECCE1Rv1G0054380 transcript:SECCE1Rv1G0054380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKARVKRGPWSPEEDEQLRAYVRAHGIGGNWIALPHKAGLNRCGKSCRLRWLNYLRPDIRHGGYTEQEDRVICSLYASIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMPMRGPHYHHHHPGLGLGAGAGAFSAPATPSASGPAPSWSQCVPSTLQPAALSPGASGSSSVDTTSSGGDMCFAAAGMYQPTHHMQGFVHVDSSPQTVLAPMPLDVPAAAGIWASPMTAASDVGGVALEDAFLADLGAYGDLLLGGFGGQLLQDHKATAAMELPGACYFPNMAEMWAADHAHAHAKPPQGLCNTFT >SECCE3Rv1G0150030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30266649:30267532:-1 gene:SECCE3Rv1G0150030 transcript:SECCE3Rv1G0150030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTMKRCTATILLLILSLVSLASAGDVDTTIRLPSDGKGVADEAAGMPLMGAREKQGGEERPWDCCDRTICTRSIPPICTCVDEVEGCTDACKRCEPADTDPSRHVCRDQYFGDPEPRCTQDVGGDVVPVGDADHNQMMPAGAAKEAKARMKAGGEERPWKCCDEPIRTSSMPPICICMDRVKRCADACRNCKKDDQDPSLRVCIDFYFGRDPGPRCTQDARVAAAGGNLVG >SECCE6Rv1G0387590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:117187608:117194184:1 gene:SECCE6Rv1G0387590 transcript:SECCE6Rv1G0387590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVARPEPAQRSAPMRLCVHVLEARGLPAIYLNGSSDPYVRLQLGRRRAKTTVVKRSLSPVWDEEFGFLVADVDEDLVVSVLNENRFFSTDFLGRVKVPLSAILETVDHSLGTAWYQLQPKSSKFRSKKRGEICLKIYLSVREGHCNESQNILMQLINDTPCSSTRSIETNASSISAVTSSLDQSACASMDHAFYRSGVDQLTQSITDQKVPSSIERLPCGTTQQAVILEPEEDDGDATGNASSVVEVLARYFRKGADTGPSLTSDPEPVDQFQETEIAGSCENGKNGMPEASLDELLKTMESKDQGCGMPGNLPGGILLEQSYIIKPAELNAMLFSANSDFWPAVAEVQGLSGFQIDPWKYENNENSVKRTLTYTKAASKLVKSVKATEKQTYLKAAGNSFAVLSSVSTPEVPCGNCFKVEILYCIIPGTPLPSEEQTSQLTISWRLNFVQSTMLKGMIENGTRQGLREGYAQFTEALSKKIKVAELDDAKSSKDKILASLQTHEQSNWKLVARFLGSFAFIFSFTVAVYGIAHLRLVKPSKMVHGGLEYFGIDLPDSVGEVVFCALLILQGKNIFKVGQRFLHAWRKRGSDHGVKAHGDGWLLTVALIEGSGIVGTGTPGLADPYVVFMCNGKRKTSSVKFQTSEPKWNEIFEFDAMDDPPSRLDVVVHNSDGPPDDNAIGRTEINFVKNNLSDLDDMWLPLDGRFAQGSEPKLHLRIFLNNSQGTEVVMNYLEKMGKEVGKKMHLRSAQTNSSFRKLFSLPPEEFLIDDFTCHLKRKMPLQGRLFLSPRITGFYSNIFGRKTKFFFLWEDIDDIQVVPPSLSTVGSPSLMIILQKDRGLEARHGAKTQDPQGRLRFHFQTFVSFNDAHRVIMALWKMRSSGLEQKGEMIDKEPEPKQLPCEEVSLLGNEDVKMSEVYSAVLSVDVNALMEMFSGGPLEHKVMQKAGCVDYSPTEWEPVNRNIYQRQISFRLSKYGGEATTKQQKYNLQNRDGWVLEEVMTLQGVLHEDYSSIQLKYHMMSMSLKPNSCSIQVMLGIVWLKGTRHQKKATKNVMSNSTNRLKEIFSEVEKELSSRKGVS >SECCE3Rv1G0162010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:125653355:125653843:1 gene:SECCE3Rv1G0162010 transcript:SECCE3Rv1G0162010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMFGLETPLMTALQHLLDIPDGEAGGPGNAGGEKQGPTRAYVRDARAMAATPADVKELPGAYDFVVDMPGLGSGDIKVQVEDERVLVISGERRREEKEDARYLRMERRMGKLMRKFVLPDNADMEKISAACRDGVLTVTVDKLPPPEPKKPKTIQVQVA >SECCE5Rv1G0317190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:338510048:338511574:-1 gene:SECCE5Rv1G0317190 transcript:SECCE5Rv1G0317190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLLAVHIMHTALVSGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITDSWGGWSISGGTVTNPGIWSYEGVAGTHIVFSGLCFLAAIWHWVYWDLEIFSDERTGKPSLDLPKIFGIHLFLAGVAFFGFGAFHVTGLYGPGIWVSDPYGLTGKVQAVNPAWGAEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYYQQEIYRRVSNGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPVFRDKEGRELFVRRMPTFFETFPVVLVDEEGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARHSQLGEIFELDRATLKSDGVFRSSPRGWFTFGHATFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGTFQKVGDPTTRKQAV >SECCE7Rv1G0520050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:867006877:867008491:-1 gene:SECCE7Rv1G0520050 transcript:SECCE7Rv1G0520050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEPSAGTLVFLTLASLVILASLLSRSRLKNQRRPPGPWRLPLVGSLHHVLTSQPQIALRDLAKKHGPVMYLRFGQIDTVVISSAAAAQEVLRDKDLAFASRPSILASEIFCYGGRDIVLAPYGPYWRTTRKLCTVELLSDRKVRQFAPFRDSQTMSLVEKVRAAGGGPMNLSRLLVSCTNTITAKATFGQVCDGDLQEVFIAGVSLALKTAGGSSIGDLFPSLWFVDALTGLRSRLWRAHRQLDAILDKIIADECSQGQRGDHLLGVLLRTRDEGKLEFPIDMTHIKAIILDMFTAGTDTTSAVAEWAMSELIRNPNVMAKAQAEVRRTFENKSPIDHEELIDHLSYIKMVVKETMRLHPVVPLLVPHLCRETCDVGGFEVKEGTRVLVNTWAMARSPEYWENAEDFRPERFDDGTATYKGSRFEYLPFGTGRRSCPGYTFGLATLELVVARLLYYFDWSLPAGTRVDELNMEASVGLTTRRKYPLHLVATRYKGAC >SECCE4Rv1G0264430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:720606452:720607978:-1 gene:SECCE4Rv1G0264430 transcript:SECCE4Rv1G0264430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFVGVLSLLCCFVLYYHHLQSKKTCKEEPTEWPLVGHLFGMIADLRRSNYHDWATVVLAGTRYNFSAHAGLIGVRFFVTCDPSNVRHIFTSNFPNYPKGEEFAQIFDVLGNGIFNADGESWQRQRAKSQLLMAGPRFRSFSARCSRDKVEKSLLPFLSHAADIGARCDLHDMFLRLTFDMTCNLVFGVDPGCLQIGLPVVPFARAMDDVLATVILRHIIPPACWRLMYWYEVGPEKKMAVARRTIDQFAADMIAKRKSDHKLCGESVSESSDMLSSFISNGDASDEFLRDTAVNLLLAGRDATGTALSWFFYLLCENPRVEQRILDELAPIAATKVVAAANDMMMFDVGELSSIVYLHAALCECLRLYPPVPFQHKAAAASDVLPSGHEIKAGDKILIYSYSMGRMEAVWGKDCMEFRPERWLADDGKLSYEPSYKFMAFNAGPRTCLGKEVAFTQMKVVAAAVLWNFAVEAVPGHVVEPKLSIILHMKNGLAVAVKRRNFPGVHG >SECCE1Rv1G0039240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:546780165:546781731:-1 gene:SECCE1Rv1G0039240 transcript:SECCE1Rv1G0039240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSESLLYDLNTLRAATDNFCEKNKLGEGGFGPVYKGTLQDGQEIAVKRLSKSSQQGLVEMRNEVVLVAKLQHKNLVRLLGCCIQEEEKLLVYEYLCNKSLDKILFDPARRQELTWGHRYRIIQGIGRGLLYLHEDSRLTIIHRDLKASNILLDPDMNPKISDFGLAKLFSVDASVGNTSHIAGTYGYMAPEYALHGIFSAKSDVYSFGVLVLEIVAGRRNNFGQYPGTDGEDLLTTVWRHWSRGSVSGLLDGCSADGLQPPEMLRCIHVGLLCVQEDAHLRPGMAAVVVMLNSRSITLPVPTPPAYVVPGRAGALGRNMTHEAQAPAGAVSGPSVNDSSVSDLESR >SECCE4Rv1G0230020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:157601458:157604179:1 gene:SECCE4Rv1G0230020 transcript:SECCE4Rv1G0230020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAGAQPQWLLAGAALLLATVAFLKVLLGSGGRGKRAPPTIPGAPVVGGLLRFLRGPIPLIRAEYARLGPVFTVPILTRRITFLIGPDVSAHFFKSNESDMSQQEVYRFNVPTFGPGVVFDVDYQVRQEQFRFFTEALRANKLRSYVDQMVAEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMLPISVIFPYLPIPAHRRRDQARARLAEIFATIIKSRKASGQAEEDMLQCFIDSKYKNGRQTTESEVTGLLIAALFAGQHTSSITSTWTGAYLLKFQQYFAEAVEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHSDFSVTTREGKEFDIPKGHIVATSPAFANRLPHIFKNPDSYDPDRFAAGREEDKVAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPENDWNAMVVGIKGEVMVNYKRRKLVVDN >SECCE3Rv1G0152440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:47442393:47443391:1 gene:SECCE3Rv1G0152440 transcript:SECCE3Rv1G0152440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQNKEVAALVEKITGLHAAIAKLPSLSPSPDVDALFTELVTACVPPSPVDVTKLGPEAQEMREGLIRLCSEAEGKLEAHYSDMLAAFDNPLDHLGVFPYYSNYINLSKLEYELLSRYVPGGIAPARVAFIGSGPLPFSSFVLAAHHLPDTVFDNYDLCGAANERACKLFRADTDVGARMTFHTADVADLAGELAKYDVVFLAALVGMAAEDKAKVIAHLGAHMADGAALVVRSAHGARGFLYPIVDPQDIGRGGFKVLAVCHPDDDVVNSVIIAQKTKDVHASGLRSGRAGDGQYARGMVPVVSPPCRFGKMVADVNQKREEFAKAEVAF >SECCE5Rv1G0356430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:739419529:739421608:-1 gene:SECCE5Rv1G0356430 transcript:SECCE5Rv1G0356430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLLSLVVVLISCLLYNTGASGVDPEAELHAPRFHSIFSFGSSYSDTGNFVLLSAGFPFSPFNSTPYGETFFRRPTGRPSDGRLIIDFIAEALELPLVPPFMSKAGNFTQGANFAIVGGTALDVGFFLRHNSGSVPPFRSSLRAQIAWFRKVARQSLSCNTTAQCRESLARSLFVVGELGLTDYSYILSGGKSLQEAKSFVPAVVQAITRGVERLVEEGARYVVVSGTPPMGCTPMALTKYAVAANATEYDRRTGCLRRLNGLSQYHNWMLQESVRHTRVKYPGTTLVYADYHKPVARLIRRPGKLGFTGEPLRACCGGGGRYNYNTQGSACGMPGATACSDPSTHLFWDGIHYTEAANEYIAHGWLTGLYAHPAILSLPQ >SECCE3Rv1G0179870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:554055976:554060326:-1 gene:SECCE3Rv1G0179870 transcript:SECCE3Rv1G0179870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSGGGGDIGADSERRLKKAMDKLYHFPKPKPSPSPNPGSKPSSSSTPSSWRAGKAADAGRRFGVVRGSRLPPQMAAMSAMSPPPPCRPWDRRDLVRRLSSFKAMTWFAKPKVVSPVNCARRGWTNVEQDIITCEACGARLLFSSPSSWTPQQVEKAASVFSLKLDTGHKLLCPWIDNICDESLALFPPTPPPVLVENYYECFSSLLRLSSLPRISPSSLDIMRKWSPQLEQFLLEPFSSSVILKGGFMLTEDSTIRDLDGTFQDAGIYYQALKIISLCGWEPRLLHYAVDCATKSHSGASSTSIFAQPEQMNNTLEDRVVIYSHKEVDGSPAIPDANQGDQHYDPSSVVLDCQFCGACVALWRFSLVERPLQLFKLASDSNTQDEQNRGHANLVSGVESSKPANVGFNFTIAGGPPPTRQSFRPRVSFPVVSRHLKADLNSRGKSFSSGSDREIVSVALHASGPMKRKRSMDKLHMLEGINTISTDVDTSAKGAHHDHGGHNSEKQIANLGVNTDQIQGCSPSDTTKDINMEEVVNGEQESGVATSRSMTSMNLELDQHGIDPKFSPAEDTREVPSNDRNSIQTHTNMSKPVEVGTITKSSTNTEKGVQPSGKKQGLYDQMTEFDPIKQHRTFCPWISPDDSDALPGWRLTLSALLAQDKRVDGDSQLETKLSLLNEEEDPVTSVRKLFMTPPSKKPTIHQAQKN >SECCE3Rv1G0211370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:949910694:949911212:-1 gene:SECCE3Rv1G0211370 transcript:SECCE3Rv1G0211370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-4 [Source:Projected from Arabidopsis thaliana (AT1G09030) UniProtKB/Swiss-Prot;Acc:O04027] MTNREDFIRFSGFTQQPGRLSIPRAPSTSGSSSGDPNGQEGLLPIANVGRIMKDVLPPEAKVSKHAKEVIQECATEFIGFVTGEASERCRRERRKTVNGDDICHAMKTLGLDNYAGAMRRYLQRYREGEEIAAALNNHSRSPAPPPPDDGMIQIDIWGELSNSRSNEKHGRD >SECCE5Rv1G0305740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:76139402:76143469:-1 gene:SECCE5Rv1G0305740 transcript:SECCE5Rv1G0305740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSAIPAVAIIPSPLFLWRVKVILFLLWGLCCCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYAKVFDLSQTHLSHREIWRCATWLTLVVPTSMTAYLYLYSHGEVSLAASQPVLLYAILLMILISPFDMFYLSSRFFFLRTMWRIALPLQAITFPDFFMADIFTSMSKVFSDLERSGCRMVHRQVATIAWFEADSICGSHSVAIPLVLVLPYLCRFFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPDVWISFYRPLWLISSVVNSLYSFYWDIKRDWDLSILTRIFMFKNPSAWSNLLYGRNWVFYWVLGSNLILRCTWTYKLSAHLRHNYLTVFAITALEMVRRFQWVFFRVENEWNKMTAKQNFEMSSDLLPSEADRLLDSNSHTV >SECCE6Rv1G0453400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:882751560:882753600:-1 gene:SECCE6Rv1G0453400 transcript:SECCE6Rv1G0453400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTAPWFADLFTDARVRTLSQQVTTLGDRVWELEHKITQLICEKGKLEKQLEETKAISSHKEEVERSFKAENDKLRSEVSIAEEKCGKSEAEVERLRKELGALAEAKEAAAKEFNDERATIRLESENLKRRLEEIQAIKDLAESENDKLRSEALIAKEKQNMSEAEIERLKIELGGLAEAMEAAANAFDLKNAELTEELEEHKRKLKEIQTNKDLVDGENDKLRSEVFTAEEKCRQSEAEVKCLKQVVGALVEAKEAAAKAFEADKVEIMKEMDNLKRTIEEIQANKDSVESQNHELQSKILIAEQENSVFEAEVKSLKMELGAVEEAKEVLAKEFDAEKAEILKELEDLKGNLEEFQVNKDLLEAKNDKLRLEVFAAEQKQSMSEAEAKSLKMELVALVEAKEAATKAFDAEKAKITKDLEVLKRKVEEIQTKKDLVEGEKDKLRLEILIVEQKHAMSELEVKRLKMDLAALAEAKEAAVKSFDAEKAKFMKEVERLKRKIEEIHASKEAAEEAGRNKDVEADRLKDELVKIRVSVSQLQASCIELDGKHSRLNDEMNSVQKVLVSEKVEGNKLKLKIEELENYIAEKDGENGKLKAALEEKKSEIDALSKDNEQLHLIVAEAHEKNKCDILSFLSPCGSK >SECCE4Rv1G0221720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:51735733:51738961:1 gene:SECCE4Rv1G0221720 transcript:SECCE4Rv1G0221720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQGAASSLLLLLLLFATASAAAPMELYFSRAELARIAGYGEEPVSTVLVSGQVACQLCLWPGSHLLTFDLPGCKVAVTCTTEGPNTMANSAFATANEYGNFTIELPSRLHATPSLENACSVKVLELPPDSACRVGGSRRSSHGLRLSSSDGSVRTYTTGVIRLQHDGTPANKCVQEEDTSDRR >SECCEUnv1G0537970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:70721858:70722533:-1 gene:SECCEUnv1G0537970 transcript:SECCEUnv1G0537970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASLIASRFTRSGHGHVLPAAAAAAISQAPRAQHATSPLLSGFGPVTRAFSSRPLWKGAFVDAFLQRIKNKKENLNGKKIWSRRSSILPEFVGSSVLIYNGKTHVRCKITEGKVGHKLGEFAFTRRRRPHRAITAKGGQGKGKKK >SECCE6Rv1G0421330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683389930:683392969:-1 gene:SECCE6Rv1G0421330 transcript:SECCE6Rv1G0421330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGPLTRWPWHDMGSYKYALVAPWAAYSTYRFAAATARGEEGDLLSFFVLPTLLFRLLYTQLWISVSRHQTARSKHRIVNKSLDFDQVDRERNWDDQIILTALLFYAVNSVAPMTQGLPWWNPKGLVLTALLHLGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPVTSVIHPFAEEAVYFGLFAIPLLSMMATGMASVAVANGYLIYIDFMNYLGHCNFELVPKLLFDLFPPLKYLMYTPSFHSLHHTQFRTNYSLFVPFYDYVYGTMDKSSDDLYERTLHGREEAPDVVHLTHLTAPGSLLHLRLGFASLAAAPLRSSPSAALAVVERPLAALASLLGTTAFRCEANRMGKLSTETWVVPRYTSQYTSKKDGHAVSRVVERAVADAEASGAAVLTLGLLNQGYELNRNGEPYVIRKPDLRTKIVDGTSLAVAAVLHMIPRGARDVLLLGKECKVVAVLAQALCERDIQVRVVDADLHEALGRQISPELRGRLALSCSYSSKVWLVGDGLTAEEQQKAAPGTHFVPYSQFPVTGGAGDARADCVHHSTPALVAPESYENLHACENWLPRRVVSAWRAAGVVHALEKWPGHECGDAVTGVDKAWRAALAHGFRPYDAAVAVRHAVAEATKPGQARADAK >SECCE7Rv1G0475090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:182402817:182404943:1 gene:SECCE7Rv1G0475090 transcript:SECCE7Rv1G0475090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSCIVGVSDIDPVNQILPEPLDDMNLVNHSENGRFRSKVWMDFTPVYVEGRIQGADCVHCHTRLSAEKGTGDLNQHTQTCPAQAGTSVNHQKGGLFPSSVPIFKSRVKDELLPALTNGKVQIAEYASRFHLSYNSGDKTCQNQHILALPANNMTPMEQDKSSARTPNIKIRKFDQEASYQELTRMIIMHGYPLSIVEHEEMKRFAKGLNPVFNMASSLDMEEYSTLLFQKEKSDLKEKITLSSRRVSLSASVWVPHGAEPTIKYLCLTAHFIDAEWKLQRRIIKFGVLWSSPSDLERMIHCKEACVLESEVGAYNVIWEAIREWNLDRKLFCLTSVSEIRNSASISKLKDMLIQRNCLPIRGELYNIACVDDMLDSVLSKGQPLLYRVGDLLERFIQARASSSLTQQQLLEVANDVGMKCPSEDAKWWHKIYFRLEVLLHFKKLLLSEEFVSVEEMKIVEPIYKILRVFYRVVEVLSGPVCLTANMYFHEVWKVRTILQEEACTEHSDVASMIREMQEAFNEYWAKSYLWLSVPVVLDPRFKITFIEFRLKRAFGTDATKYVNDVAEIVRELFHEYCTPVDQPIGKTSNCEVHNVEMDGFDSDLLEDWDQHLTAQTRSQLLSELDSYLEDGLLPRKDDFDILNWWMGHSSKFPTLSKMVQDVLAMPSSAVHCQAAFSSESPVIHKQWSTLNIKTIEALVCTRDWIS >SECCE2Rv1G0120720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:800606719:800610345:-1 gene:SECCE2Rv1G0120720 transcript:SECCE2Rv1G0120720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVPTASPSVYSLDLVSFGRGVLDRGLVFLDARISLPVDTFEILRIDDSIVHKSAGDIRVIDRSHLHSGQVVGSASDVGGQIGIVTGVTTVLDLVEFDKSGMAARVIKGVSPSSLRRVRSLNLGDFVVSGPWLGRVVEVSIDVDVLFDDGAVCRVIDAESKKLQRVDDDMDSNRMYRQQMNSRFYPGVSVTAPDPCSLFKAALWLHGYWNLNRIEGTVVKVKTSTVLVCWIASMHCGTDKGLIDASAPPAYQNADDLTFFCAASNCCWGVADACFFCEPGSTKNGDANGDAACAHDQENDEEEEEEEEGEDVIDDECEEPTAHVLPSTRQTDVWFYQKQLRKVFFQGHRRGRRPQVRRHVEVELRMVVAKTHTSVDVLWQDGTRQHGRRSTTVIPFGILNEHEFFPGEQVVGNVLPIDAAGDHSSMSSSIVNNHIVDDRIRSTMRAGVVRSMHSKDQTVHVSWFKASVRPGKAREVECDDTVSAYDLKRDYNHSVYYGDIVIRLLRSRSPNGKRAPLRGDTDLSWVGHVIDVPSGYVQVKWGDGNISMVLPNEIFVVKEEHYMDLWTEMGHWVEDDGVDDAPEEPAAVNMLPVAAKDDSIGAAATETTNAAVARNVVELNGDGHNFDERTKATGATSCCNKSLCFPHFDVLQITPPDHHYLDIRDEGGSRGKDWAKAVQKEWKILENNLPETIYVQAFEDRMDLLRLVMVGASGTPYNHGLFFFDLQLPPSYPVAPPQVYYHSFGLRLNPNLYESGTVCLSLLGTFDGEGTELWSPATSSLLQVVVSIQGLVLNAQPYYNEAGYEALVGKREGQRNALPYSENAYLLTLRTMLHLMRRPPQGFEKFIKEHFRCHGRFVLRTCNTWLQGCVVGDAHDTESSRERPCSVGLRLALANVVPSLIAAFTEIGAEGCD >SECCE5Rv1G0335670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:577537303:577538319:1 gene:SECCE5Rv1G0335670 transcript:SECCE5Rv1G0335670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHALVSIAVLLAVCAASGALAQTVQLWSLCSAANYTAWSTYGASVRGVLRDVVATAGRSRDGYATVFRSQQVKDGAPYGLALCYADASPPEVCRLCLRMAAGNVTLACPRAASATMLYNNCLLRYADPAAGGRELARPEPDTVQRFSFYNGNMTSAGEADRYGAALNRLMDRLAPATAEAGANGRTRPLAAFGQTNITTDESLYGFAQCVAGLSPAGCRLCLERIAASLPMTKGGRAYSLTCYTRFEVVPFYMPPSTTRIVVAPAPAPSSSSTSSSQPAADSSSSRGELNVN >SECCE6Rv1G0384580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:64956787:64959362:1 gene:SECCE6Rv1G0384580 transcript:SECCE6Rv1G0384580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVVRRVIPSDNSCLFNAVGYVMENNKSKASELRQVIAAAVASDPEKYNEAFLGKPNEAYCAWILDPEKWGGAIELSILSEYYGREIAAYDIQTTRCDLYGQGKNYNERAMLIYDGLHYDALAMSPAEGTAEEFDQTIYVVDQNRSIGPVEGLALNLAKEAHRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >SECCE1Rv1G0052750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:664279855:664280640:1 gene:SECCE1Rv1G0052750 transcript:SECCE1Rv1G0052750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLSPPSSFLPPTPSSQFSTMGHQVLEFVSQDVPEQWLFHDGMMSKNVDAELWPMGSTLPRSPQLSELPPCLQANPQCHAKRRGRKPGPRSDGRHNVGHVQAERQRRDKLNRRFCDLRAVVPNVSRMDKASLLADAATYIAELRSRVASLEEETNKALEGSPAAASRGGPASKFLQVDETMEVRMMGRDAAAVRMTTAASHAPARLMGALQSLDLQVQHGCVSRVQGVTLQDVIVDVPASVQDADGIRSALLQVLQDGD >SECCE4Rv1G0266870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:733840658:733841641:-1 gene:SECCE4Rv1G0266870 transcript:SECCE4Rv1G0266870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAPAFKFHFKGGRRTMILSVLSSPALVSGLMVVRAKNPVHSVLFPILVFCDTSGLLILLGLDFSAMISPVVHIGAIAVSFLFVVMMFNIQIAEIHEEVLRYLPVSGIIGLIFWWEMFFILDNETIPLLPTHRNTTSLKYTVYAGKVRSWTNLETLGNLLYTYYSVWFLVSSLILLVAMIGAIVLTMHGTTKVKRQDVFRRNALDSRRNIMNMTISPFGHSHIMNRTISPFGHSHRRSFSSGAGGPPDNYKETFRRWILCSEYQDFPGLKCKIDDLLSFLEPGEILFMVHTFPRDFPLLEILEPKDIRNIIAHSHKQWKPPKKGG >SECCE3Rv1G0198920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:837890301:837892371:1 gene:SECCE3Rv1G0198920 transcript:SECCE3Rv1G0198920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGNMLLNQEMAAVAAMSADEYGRFERYTLSPAHGFPHFQQAGTAPTGFLETGLLVSGFGMPPSNFIMPDGTHAAAGYGAEVAPVEIPVVRRQRPAARNAGATPFRGPWTEEEDELLKRLVDEHGEHKWATISEHLPGRIGKQCRERWTNHVRPGIKKEHIWTEADDILLIDAHKVHGNRWSSIARCLPGRSENAVKNHWNATKRSLKSKRRFKKKTSQQAAPGQFTLLEEYIRDKMMADENVAPPSPSAGVGYDGQIVPAAAAMLAVSSPPGMGQYLHPANPAGSSSQAGMMNLSAPLPDLNAYSGEMLERYYYPASFPTYSNNNLLHHGPEPAFPQMFSAQGRMHAACTNLNLFPLPQHLSAGYYGSETGRRSAGGSSDQDEDVVQMASREFQTSEDEATLDLTGFN >SECCE4Rv1G0246130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:541091606:541092324:1 gene:SECCE4Rv1G0246130 transcript:SECCE4Rv1G0246130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDERGNGGGGASHHHGYEWKLPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLATPGELRSLAGDSSCDAGPEVARSLLVLEVVAFSSFLFSSLVAQGLKLAINLINSKDPDDTHAHIDARLLRLGMLASAVGSVVGCVFLMASMVMVVQIRLGTLGCASNRAAAKAAAGLVGLVSTALVVYISTVFYTFTH >SECCE6Rv1G0446790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846191872:846193702:1 gene:SECCE6Rv1G0446790 transcript:SECCE6Rv1G0446790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSKRIRAAEDDRLSDLPDCLIKSILSFLESRQVVRSSVLSRRWRHIWRSVPCLDIDFTVFRRQARRCRSRKCCVTDYGRMKVCAKEWRPFEEFSDKLLLLHSAPSLDRLRIHVPSVNYDDRQACSRWISSGVQRSPTVIDIHMDSSCGWEKWFLPDLGSKSYRLTTLLLHGVQLDDSFAEQLRCGYPVLEHLSLVSCFFRFGDIASGSLTHLTIDDCVRLHGFSDGIVVAAPRLTSLRTSLSTIGWPDGIYVRDTPSFIKASLCVISWYQTKASFLDNLYRMFNIPHLELFGFNMMVNLQKISYKLPQFNNVRSLLINQCDLKMIANIQTLDRFLQSVPRLEKLTLQNCEDIKGQVANCVALESQNLMSFKCDNLEVIEIKHCKDDNIDELFELLMGLWQNQDKTTIKLTKV >SECCE6Rv1G0404100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:511616762:511617268:-1 gene:SECCE6Rv1G0404100 transcript:SECCE6Rv1G0404100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKTKMLICAAVAQWFINMIALVVQSRKIKRRETITYAPIDERDRMRREYFDNKVWKNDTTSVNMLRLRRAPFFRFCQVFRDRNLLKDTVHMSIEQQVAMFLHTVGHNVRNRVIGGNFGRSGEVVSRYFHRVLHAIGELRDDLIRKPSLETQTKVEGNNRWDPYFKV >SECCE4Rv1G0266820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:733799917:733804363:1 gene:SECCE4Rv1G0266820 transcript:SECCE4Rv1G0266820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYGLVKDELINGIVAAGGGGGGVRYCEAARRAAPVIDDGVKISVKRRKREPPAGSGVSGVTGNGKDGAGDKSAGGNAAAKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEEAAARAYDLAALKYWGPTTYTNFPVVDYEKELKVMQGVSREEYLASIRRKSNGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPGAAPAEAMSGAPSPSSTTTATTPATPRDMGGLRLLPPSRNSLSLLGDVGSMVFGGGPSPSPSPSSTTTALSLLLRSSVFQELVAQQHQPAVDADADQAAVPALENEPGEVLYGGSGGEVGEDAAFSCSMYELDDSFARIEESLWDCM >SECCE4Rv1G0245840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:536917837:536918079:-1 gene:SECCE4Rv1G0245840 transcript:SECCE4Rv1G0245840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTFKQNKVFEVALTKYDKDAPDFFQNVAREVGDGKSVEDVKRHYERLIKDVDEIHTNGAGSSSSNTKGGGSSDGQR >SECCE6Rv1G0443560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:823257828:823258145:1 gene:SECCE6Rv1G0443560 transcript:SECCE6Rv1G0443560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVESSSPPSLRLKLRTTVCGCFGSPSSPVSGGERPQGGGRARWRRRVPAAGEFRYDPLSYALNFDHGGNDEGAEAEDEAFRYRNINSRLPSSPVPASRAVAIA >SECCE1Rv1G0012470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:92755245:92756269:-1 gene:SECCE1Rv1G0012470 transcript:SECCE1Rv1G0012470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPFRPSPSSTAPAPRGCGGERCASGREAWPLHHVRHNDVFCRLCSSCVLLYHPASFCSACLLLLSTDAAAAAAAGQDPHFDPAVAAPGPTAECSNCGLFVAHVACVPDPVSFVCPPCAAEAEGRTFSYAPAGRRVMDEPAARILLVAARLAHDSISRAAAAAREEAERRVQEAAVARKRSREMLDAAFRALEEEARAAKKKEEEEAAREAKTKKEKPAAAAAQPPKKKTPKSSESSRDRDKMLKFNAMQQPALAFAAAAAAAASSMPLSTPSSTPLSTPPPKEDKKPMKQEQQGSSDTVPDDDAKGLFGTLQS >SECCE7Rv1G0473620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:159495370:159499966:-1 gene:SECCE7Rv1G0473620 transcript:SECCE7Rv1G0473620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDAEEVSQPASSPEYEQDDDDEDCYLSDQEDDALEESVLQVLEDGNLEDCHWSSSSVITKEYLLAAQREDLRKVMELLGLKEHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGIPLGTANNAGSASATEVTCNVCYDDVPLSAASHMDCGDNYCNECWTEYFIVKINEGQSRRVKCMAPKCNAICDEALVRKLVSAKRPDIAERFERFLLESYIEDNDTVKWCPSTPHCGNAIRVKGDIHCEVECTCGRQFCFNCSSEAHSPCSCVMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSISGHSCGRFTEDQSTRTEQARRDLYRYMHYHNRYKAHTDSLKQEAKLKRDIQWKISISENKDSKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTPEERELKQNLFEDQQQQLEFNVERLSGFLEKDFQNFSDEEVMDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELHICLDSAEQSSPSMKCSQDEHKSQPGLCGEPGSSLTGKRPIIQLHGSSSDNSGRPSHKRERRDAHGGGALFDLNVPAEVADKI >SECCE6Rv1G0437860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786474800:786475297:-1 gene:SECCE6Rv1G0437860 transcript:SECCE6Rv1G0437860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLAAMLLLALASAVIVTAQNGADDMLNAHNEVRAAVGVGPVTWDPIVAAYAQSYAEKRRTDCKLVLSPEVRPYGENLFHAPGTDWKAVDAVIYWASGKQYYDHATNTCSAPTGESCDEYLQLVWRDTKAIGCGAVLCDGNAGVFIICSYSPPPMVGQVPY >SECCE5Rv1G0302760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:41786107:41789550:1 gene:SECCE5Rv1G0302760 transcript:SECCE5Rv1G0302760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MAGATMATATVPAALPLRGRVTGRPRRVRPRCATASGATETPAAPGVRLSADCVIVGAGISGLCTAQALATRYGVSDLLVTEARDRPGGNITTVERPDEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKLGDLPFFSLMSIPGKLRAGLGALGVRPSPPGREESVEEFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEEIGGSIIGGTIKAIQDKGKNPKPPRDPRLPAPKGQTVASFRKGLAMLPNAIASRLGSKVKLSWKLTTITKADNQGYVLGYETPEGLVSVQAKSVIMTIPSYVASDILRPLSIDAADALSKFYYPPVAAVTVSYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESDLVGAVDRDLRKMLINPRAADPLALGVRVWPQAIPQFLIGHLDRLAAAKSALGRGGYDGLFLGGNYVAGVALGRCIEGAYESASQVSDFLTKYAYK >SECCE6Rv1G0432900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:755145666:755147210:-1 gene:SECCE6Rv1G0432900 transcript:SECCE6Rv1G0432900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGQSSGDSHVVDMVQLANELRGKLDALNSPQQAQVGGGLPPCPIIIAKVRDHTRNVASGEYDPHHVAIGPYNYPLPQSKSRLLAMEHDKLMSLKEVLTAAKARRPGMTVEVDLYVNELARLEESVRRCYANTFGYIKSKEFVCMLLLDGCYILSRYVNLQLPAQPMDDVSGTANAGALSAQKAEDLAVVRDVFYLAENQIPFFVLMKIGELTGLDGNDRVIADIAKCAVDLMRSHKYAEPAPDMAPMEPGNLLHLLHMHLKPVPPSMDSASPVSGDDPVRRWRSATEYYTAGVMFKRRDMSETGQTRCILDVKLSSGSGTLEVPCLDIDAETWRLLRNLMELEQQNSEMVGSHVTAYCVFMSQLACTTKDVELLTKRRVIVHGHGNNDEVAKCFADLCKGIIFDPSDRRCNYLWETCCKLEKRFLSNPQRWMAWLRWNYFNNPWLAIGLLAAVIGLVCAMVQAVYSVLTYKHG >SECCE3Rv1G0185240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:673055232:673056371:1 gene:SECCE3Rv1G0185240 transcript:SECCE3Rv1G0185240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLHCSDKLPFMDVETILHMKEGLDESSYAQNSSLQKRGMDTLKSLIINSATDVYISQMPERFTVADLGCSSGPNALCLVEDIIGGIGKVCCRSSQPPPEFSVLLNDLPTNDFNTIFFSLPEFTHRLKSAAKSDEWGRPMVFLSGVPGSFYGRLFPRTSVHFICSCSSLHWLSQVPPGLFDEAKTPINKGKMYISSTSPLAVSVAYRRQFQSDFSLFLKSRAAEVFPGGRMVLAMLGRQSDECVDRRTTFLWELLSESFAALVSQGLVEQDKVDAYNVPFYAPSLQEIEVEVRLEGSFSLDYVQTYEINLSSSGDAKEDGRTVSMAIRAIQESMLSHHFGPDIVDALFHKYTQLVTESMEREEVKSVQIGVVLTRL >SECCE3Rv1G0147210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:14244235:14245597:-1 gene:SECCE3Rv1G0147210 transcript:SECCE3Rv1G0147210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQVSADTTVERRRGLLLTMEKDDRSAKKARVELSASDQVKQVGEVTIKMDMSLLNCSVCSRPIKPPVFECNAGHLACYKCLIGLPYRLCQKCEHGSGFGHIRSLDAIVSSLTIKCHHDGCGSYIPYYELDDHQSVCPHVPCFCTELGCGFVGAPQALLSHLIALHAIPVQKVNYGQVYQLRLSVPRPRCLLHGQEDDSVFLLVMGALGVVSVVCIRAEASSWPQYAVKLQANGPSPPSSTEGSILLAMKPVTSSTRPGEVAVEELPSFLMVPPTYLVGSGASKEVSLDVRIDKM >SECCE6Rv1G0430040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739667349:739667933:1 gene:SECCE6Rv1G0430040 transcript:SECCE6Rv1G0430040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETNLGGAGGLEVKEEEEEAEEEGTESFEPTEDELVLHFLRPQLRGFAPRVAGAVLEADPCASPPWELLARHGLLRRGHGYFFAARRRGKVRRTPEGGGGAWMHSGNKEDRRSVTELGVVARWCMTRYCFYLRGGDGAQQGRRSTGWVMSEYEITDPRCYRRADDGEEDQYWVLCHVRRSIRENVKPRSRRR >SECCE5Rv1G0369860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:838041382:838042999:-1 gene:SECCE5Rv1G0369860 transcript:SECCE5Rv1G0369860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKVGEHVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIKKRIHVRVEHVQPSRCNEEFLQRKINNEKLKAEAKARGEVISTKRQPAAPKPGFMVEGTTIETVTPIPYDVVNDLKGGY >SECCE4Rv1G0259270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:684336980:684338987:1 gene:SECCE4Rv1G0259270 transcript:SECCE4Rv1G0259270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIVNQGWTSSEVEEARSLIARLNTNKIMYGDNDDKNKKHNYIVNSLHALFPSKTMKQVIDLYVDLSMEMHMIQRREGTHVIGGSPQNIFTFCDPINGNYELPKEENGASSTHGVYTMDNHVNENFGAREEERTIMDNGLSFGCAMEDTRIMVTGEAPPMVDNNKMEVLENNISIDQPVVAPHQWGFWTDEEHSTGGLVNENFEVQEDEDMTMDDNGFSLRCALEDTRIRKTEEAPMMVDKNKMVVLENNTSNDRPVVAPHQRKFWTKEEHKSFLYGLEVYGRGDWKNISKHFVTTKTPIQVSSHAQKFFKRIQKKASSGTKRYSINDVRLHDNELLAANNSSAPRQTLSFIGLNNDPSFRLQAPTSSFAVMNNLAQCPPSIYNQQVRQEPMWNEQQIMGSVTAVMDGVGNNVPDGQQGSAYYYLGNV >SECCE4Rv1G0288900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:862287671:862300432:1 gene:SECCE4Rv1G0288900 transcript:SECCE4Rv1G0288900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTGASAGDPIPPSIGMPNCVTSCAGVDVPYPFGLGTDPSCYLPGYNLTCDTTPGDERLLLDVDGTFQVLYISDSVLWVVRQGDIKIHLDAGGNGKGMLSFGLRHDVPYTLARFGQSELILTGCNVQATVKSGNITVASCISLCDDSFGAGPIAYDGTVRCYGGTGCCHGEIVINDDKNEVNSNSTSGYDVQLTWLGHGNRSADLELFPMRVFIADIQWFANSSISEDLLQTSVPPSEDTMAVPLFLNWEVVADRRHCNSNHSERTNTTKGYTCSCEQGFEGNPYLTDGCKAMNECNGFSECYGECIKNKDGSKTCRCPPGTIGNSAIPGGCLAIVADTASCMTSCGGVTVPYPFGMGASSSCYLPGFDLTCDTSHHPPQLLLGTTEFQVVNISLDNSTIRVTRAYPLIGVVPDMGSFPYHFGGDMFGDSQFFFAGEAPYSLSTRNELVVTGCNAQATLLGHGKPNIISGCASFCYDNTTGSSNGLGGGKYCHGMGCCQAPISESLDGMPKGLIFKWFDTTKLKDLLPLPGYTFIAEQGWFDQQGHLIMKMDMEEMYETPPKVPILLGWEVLHNGSSANAKSRRDCPGEVARDLCKSEHSQCKRGNRGHTCKCNDGYHGNPYITNGCKETHSCKTRRSFFEIHILQFTICISIIIGVASGAGLILLVLIIYFISYKYKQRRSKMLKQKYFEQNRGQLLQQLVSQRADIAEKMIITLEELEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKPKILVQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPMLVYEFISNGTLYEHLHVEGPSSLPWDKRLQIAIETAKSLAYLHSTALIPIIHRDIKSANILLDHTLTAKVADFGSSRYIPIEKSGLTTMVQGTLGYLDPMYFYTGRLTEKSDVYSFGVMLIELMTRKKPFSYMDSQGDGLVAHFATLFTEGNLLQILDPQVMNEGGNKIEDVATIAIACIELRGEERPTMRQVELRLEGVQSHVEHGEALMEEFYVNGTMKNSPVTRDGISDQEGLTRQYSTEEDCTLSKSYPR >SECCE6Rv1G0423530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:696515808:696516905:-1 gene:SECCE6Rv1G0423530 transcript:SECCE6Rv1G0423530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPCKLSSAIVADSVERWYLLKVDGYSSAKQRFKTGQCLASPLFSFKGHDWVLKYYPNVPPYVVNASYSTPFFSASGLSSYHLFHIDRAMLERSGDIKDDCFSIRCVLTLSERETAGNRFVFVPPSDMHLHFGSLLESMDGVDVTFLVSGEQFLAHRLVLAARSSVFRAELHGAMRESAGGPIEIHEMEPDMASNHGEAHLQMASHLLVAADRYNIERLKLVCEHKLCSHIDASMHCCNGLKEACLQFLASPSNLEAMMASDGYKHLKSSCPSALKELIASLLPANMKAAKEIVMTL >SECCE7Rv1G0464550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:62206421:62210855:-1 gene:SECCE7Rv1G0464550 transcript:SECCE7Rv1G0464550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:Projected from Arabidopsis thaliana (AT1G17260) UniProtKB/TrEMBL;Acc:A0A178W776] MDDDALGKPLLGPQSLSTQDIDLGNLPLEEVFEQLSTSRCGLSSADAAERLQLFGANRLEEKRENKVLKFISFMWNPLSWVMEAAAIMALVLANGGSQGPDWEDFVGIVCLLIINSTISFVEENNAGNAAASLMARLAPRTKVLRDGQWQELDASVLVPGDIISIRLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDLVFTGSTCKHGEIEAVVIATGIRSFFGKAAHLVDTTEVVGHFQKVLTCIGNFCICSIAVGVIVEVIIMFAVQHRSYREGINNVLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNHLTVDKNLIEVFSGGMDRDMIILLAARASRVDNQDAIDMAIINMLSDPKEARANITEVHFLPFNPVDKRTAITYIDSGGNWFRVSKGAPEQILNLCFNKDDIAEKAQRVVDSFAERGLRSLAVAYQEVPERSRHGDGGPWVFCGVLPLFDPPRHDSADTIRRALDLGVCVKMITGDHLAIAKETGRRLGTGTNMHPSAALFGREAAAAAPVEELVESADGFAGVFPEHKHEIVRLLQANGHVCGMTGDGVNDAPALKKADIGIAVSDATDAARAAADIVLTEPGLGVIVCAVLTSRAIFQRMKNYTIYAVCITIRIVVGFVLLASIWEYDFPPFMVLVIAILNDGTIMAISKDRVKPSRRPDSWKLNEIFATGVVIGTYLALATVLFYWAVTRTTFFESRFGVRSLKGDAEEVSSAVYLQVSVTSQALIFVTRSRGLSLLDRPGALLVGAFAVAQLVATLVAVYAAVGFASIGGVGWRWAGVVWLYSLVCYLPLDPIKVAVRYALSGEAWRLLLDRKAAFARRGGYYGNGEEDRRGAAAMSARRALSDHLLSSRTPRSAVAEQARRRAEIARLGETHSLRAHVKLKRARSAHSV >SECCE2Rv1G0105170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:615551629:615553431:-1 gene:SECCE2Rv1G0105170 transcript:SECCE2Rv1G0105170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDSPPCRSGSFEAGLRASSVSLSSTSNSKPSPRFHRSRSTAGSSKAPPSPEKRRGVTGGGGAMPTPAQTQQRVAQLEEELKKERGDKDRVVQLEEELRTEREDKARTVQELDELRRRDDGAEKVQLLEREVERAKESERKMLESLIYQTKQLEQTKISLEEAKLEMTALQQSNRSLEARRGVMDQRSVKDLMFGGADEEIRALRAELRTAMQGEERSRKALDDLSVALSDVTMEAKQVKRWLSDTQAELEAANAEAARLQGELAAAETRLREQHRCRLEAEESAAAWGDKERVFLECVRAAEEEVNLARQENTKLVESQRVIRDENARLRDILKQAVGEANIVKESLELARVENARLNDVVAEKESALQSLRQEYECIKVSEAAAQGSLKELNSLLAATTTTTTACSTPASSATAAPVAPECGFDQQHLPNGRLVASAKGTPETASRRWMAEKPRTPGGRSYSIGEPAKFKGVGYSQSARMGNLNPKDRMFASLSNMAELKSAAADAAMDDYDDEFDHIDESHYAGMENGKKKRPILRKFGDLFRRKSFYKANLAPVHT >SECCE5Rv1G0297980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:7210242:7211372:-1 gene:SECCE5Rv1G0297980 transcript:SECCE5Rv1G0297980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALQQDILARILLLLSCIVDRVRASAVNKHWRRVSLQNPPPLPRLLRPSTALTESYRIFGGFADAHPSLSDAGHGPRFCGSGPGGWFVISSQPWSAHALLNLRTGERVALPERVLIPLSPGDITCPMLIRAAVMSAAPPSGACFVAAIISSQTNMAFWRPGMDCWVSAPVGMGPARRSAEDLTYYDGWFCAVDAEDDLVCYKPEIAAAGDGASSLTIRTHACQFHVHGRHQKAPGEIVWHYLLPSASGADLLMVKRFVAPAGGGTCRFQVFSLQKQQEGRPATWRLYQLSGQLLFVGRACSKAFDTGHAGSPGYVYFLDDVYPGGPLSVVQQKDEYSCTDAGRWRYTTRHEIERCLPSAPPSDTSPCIWYHH >SECCE5Rv1G0375220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867285049:867286595:-1 gene:SECCE5Rv1G0375220 transcript:SECCE5Rv1G0375220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPWSESDDLLGLFAAVLHGACCSSWHSVVSRPSSSPWPELPEEILGLVMAGLPNLADRARFQAVCRSWRSPAPSPPPQLPWMQAVILSWQSVFSPPPPRRPWNWIHALCRRSPQRRAPWIALPGGFYNKHGRARTRPGRCRRNRSADDDQECIPFRSRHSNPSFPGNLRCIGSTDSWLALDYTEDQRKNHTYFLHNPFSKEVVSLPELDAIVGNSSKLFQIRKVLIRSAPDDQLVVIMTNNWNYPIILIRPGKGVWLPRPQTTPFVDIIDIVLVGNRLYGISMAEDLFSFNISFSANGMPMVTNIKHHIRSGEADPNVESDVDEAQDHNEHKKGRHQLNLYDLRTGDNMIIEGILCDELPYPPNDRLATFWHLLESCGKLIMVKRQLQWPKCCYSKFTRKVEVFEADFSERTWLPVSAGLGDQALFISKRFSKSVSASGGIEEDAIYFIDTGEVFSIKSQTQSLPVERSIDSCWSTWIFPPS >SECCE1Rv1G0047470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:625864822:625873397:1 gene:SECCE1Rv1G0047470 transcript:SECCE1Rv1G0047470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRYTEHEEALEIKSLRRIIAAYINYQDAAEKDVNRYERSFKKLSPAHKELLFHLGLKYQRLRWCISMNTSFIMNMLEAFEPPFDMSQCVDGDCHDCAEHMHGHSHADTINNSELLAQHCCPEEGANTRETENKKDEEVHLVGCSQPAACNLGLSQGEDKSCNDGKDASAAANCQDTDCFASSTDENVTPGHRRAPSLPLDVPPVDVDKVRCIIRNIVRDWAQEGQIERDECYKPILEELNRLFPDRSRPPSCLVPGAGLGRLALEISSLGFVSQGNEFSYYMMICSSFILNHTQEANEWTIYPWIHSNCNSLSDKDQLRAVSFPDIHPSSAGITEGFSMCAGDFVEVYNEESQESAWDAVVTCFFLDTAHNIVEYIEIISKILKDGGVWVNMGPLLYHFADAYGPDDDMSIELSLEDVKRVAYHYGFVMEVEKMIDTTYTANMVSMMQNRYRAAFWTMRKDASRSKAKKRQ >SECCE4Rv1G0215340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4552708:4554057:-1 gene:SECCE4Rv1G0215340 transcript:SECCE4Rv1G0215340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGMRQILNLGLYDGLKRAYSLRRLNLSKLEFFHPTAQEAAAHGKVLPTLAPEKARAANRKRICNTKLATAEAAAPKMDMPKSELVMGPPQISPCLDSHRFVHFFPTASESKVILGDRGNRMLRFNIVHGFRYMDTLPCLHGVKELPMVISVPPTDVHLPDGQDTGDLYIIDGLLHPDKAEVRPQFEALVWRGFPHSAVSSRFWHCDILPLPPWISHHKHGMVFGHALVGDSICFSICGAEGSGTYCFHIATREWSKAGDWLMPFHGKADYVPELGLWFGISSQGNLPCAADLSGVVTGEEPSPDKMRIWARDDLPEEWQPKSLHNSCLVSLGSGRFIMVDFLDVMQFDKEWNEMSSVKEFALFTGMELAFSNGKGKNGRNGASKDNGHHHSSGTDCSGNESCNCGVSGYQTGSNGGKGKGVMRGLRMTKHKSHRYMFKKQLRIEAVL >SECCE5Rv1G0333580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:559365182:559365706:1 gene:SECCE5Rv1G0333580 transcript:SECCE5Rv1G0333580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRSQGCGSCYVKAIISRLKPRCLGGKPQRCESSARCLGGKPQRYESSTIKAEVRHDTLQDILYSQQSFEGDEIGAPSPRRHSPKVRPLNLDCSYGDNSPSVQDSFSIDRVTLRSQRSVARRVSFRSPDHSDIFIIPARNDPAGYYSSDDESTESMSEEGIGAQRPRCAITRY >SECCE5Rv1G0305680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:75797266:75820691:1 gene:SECCE5Rv1G0305680 transcript:SECCE5Rv1G0305680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLADAARSRLLAALRPWLAADPAELRVELGPLRSRAVARGLELGAAALSAPDSFPARVNRAAVAEVELDVSPWGAPALAAVLRGVDVSLTLREPAPRKQRPDIKEWISKEKKRVIASMDPQGEILHEMIEGLVSSLEDKFTSGFASVLLNCSQVRIDDITIQVRYLDDSHVLVLRATDLQLGPELVSRSSLFRGLVGSSMSSIKKNHLLVKCNDFEFVMKENDCTDCTASFTGLSACARLDNLQLAAFSIHVPSACCKISPKAIPSLMVILDITSQKEHYRTRSGRELWQIAMQKLDSPIVGRRFSLSKALSCATFWQHYVHAYVLLLSLVGYPSDKVIKNNCGRVSRNRKMLGAIRDHWVNVLELEDKVPVEAIARARRAARSKLTISQQQNKQESSKTLLVSSIMKLLSPFLYLWRIVVFAFWSVLRARDSGNKTCRSRAQIFPGFPHDSDMEFQLGIHLGELSVILLPIADHFTSMKKLNNGSKSYHSDLTSIHLVIKSSCLLYSAGCITQSLFFVAGELKVFLAGVPKLSRADNSNTPGRNSSSKTAEFAEDDDSKMILWSDSASMDPFSQKQSDEFPHSDGQSTAVLRSGMEKLWREWMLISNLYNESGVIHHEKPSVIFEFKSYVVDPYQNRSGFQQCRFTVGKLNLDLDYQCASSTYMLHRQFMHYKHLKELNRNIPDLPIPRAFITPAGGVLDKLRSFTQIMSIVMSDAIPENTLQIEALIAGPSIRLSFDKNNLLQNCKNKYVPLFSRMNSRTSCIVLSLGYVECAMWPASLSTPPRSNSHVKESHSTFCMEVQEPAYPAMESSARHVYPENIVLDACFKLANLTLLIDNLETNHQCHVFGPMSANLQLSTGRKYVHSFFADRNVLSMNLGGGIVGCIALFYMDELFTVCQLIESIHLAALNSDLVNVKYSQDFIGRLASFCNKNAVGSTRDLGIDHIAQEESVVSHTELMVEVELELESTYIIFSTSRGGLFPNPAVFVNNTINYISSSPIFEGITTQELHDMLALGVGFCIRSSSLKLLLGGQCTDILVSLSGIQSVVFENQVEYTTMPSSLPYNKNQFIITECTFHLRSGPTKDSLTIEKMEDESRSGRVSDSLGICYSIEIEFTEVYIGDYRVHNYLTEVNQPSRQKISLLIDDNLQIFKCNIQGGLIFLETIFLAKLVFCCKIYFWLLMDLPVWATSNLAKDSVTSVSAKSDPNVINSYTQGEVSPVSLGVHSQNEESHLNAIKCLDIDLSRISITLAVADESGTYQGLTLEVDASFQLLNFGMKILFEVKCLSVSTISTMPKSAHEQLRDLPAPRFRSRKSTVLASQSEIQEYPPFIEADSGVTHDPDAPASSTSTLESSTGNTLEFSSHKSYILSHFSTSLKIEKKQLDRDSNLMCLSGDWCGNGFVSGLEVTMSLSSIEMISSLLAPLHGMLSSTATQKEIQIGDTTQQEHLDNIDCTIPDGAIVAIRDLDQQMYVSVKNIGMKYQVVGAYHYSLAGEHALFKVKHHKRWGSDTPYISLLSLCAKTDEGKELALSFSKGSDLVEISSFVDKPCSLWSMFPLGFDSFEDDDDDGNSCKVISSSSYHLVNKKNNYGIAFVDGLLEFVKKPGNPFKLKILDESLFSDVARLIVPNMNLDGNSYLDVEDELPSAVMDRLETVASSQHITISIDKIAFTITHEVFDTGDVFPLVQNCINDIRVVTQIYSSKIRILSSFKVSGQYFDARKNMWENLISPITSYVFLRFRFFNQDPVTRRSGTPLRFFFHLKQVDIFINELSVDMLLYLVGKLGLMGPYAVRNSAIFPNCCKIENNSRLALVCHFQNNGDAIVPGQQSTSVFLRNFVFDDNRPHDKSLVSISLFKEGAFSTAPINISLHESGIFAWRTLASSLKDSRRFSGPFVVVKVSQNSVEGLSLSVQPLLRIYNKSDFPLELRFQRPQNENEEAALVTVQSGDMVDESTGVLDAMNLSGGSKKALMSLALGNFMLSIRPDMSEHSNLSHATLVQWSEDITGEKAVRISGVIEKLNYKIRKAFSIDSMKSSFSSLSCPVSVDGQHVTDLYFLIHTLGRDVPLQPTNGTRVSGRSASVALQLQREIFIYPTVQVYNFLQTDIHVLLTDSKSENTRDDNFGLIGKEATITSGSSAYFYVNPAMFNFSVTLISYGSKSKATNSGDWAKRMQKQTARAQFLDLELEFVPGKFHSSLRLLRQEKGLLEVALFTRYTLQNTSDYPLLCTASGQKSLPAFEIGKDNINLPPQNGCILPSMSMSSWFTKSNKLRISLHDEKGSEAFIDLEALSGFTEFFLEIDDDIFPHRMAAFGMSLQPVIYGLHVSSQVVLIVPRYVISNGSATAVSVRQCLVQDDIDGLTIEAKQRATLQTWKPGKKREGNYFDLFLKKHKNVSEDSLIFIQFCPKETGYGWSGPICVSSIGRFFIKFRRSEDMVIDGINKDTLQDGKLKQFASVDVVQENTSFVLHFTKPPKVALPYRVENCLNKASIMYFQKDSDESDMLGPQESEQYAWDDSSLPRKLVVRIVDTPALREIKIDKISPWKPFLKLRSRLNLDFSISNGLSSEKQRFDDSFGLRVSKIGYEVYADGLTRVLRICEQAENPKAEKIQRPIAHTQFRISYMCIHLLDKGQSDEMLQSPSTILIATFQHVSADSVITDRRKHIDVAVYSVNVDEKWDGASFGSVFRMNKLQGDALNENILRIICVLNSTNSSVKQVHYCSIILQPIDLKVDEGTLMKLVPFWRTSLAPAGTPSTQFYFRQFEVHPIKIIASFRPGSPHTSYSSSQEALRALLHSVIKVPEISNAAVELNGVLLNHALVTFRELFLKCAQHYSWYVLRAIYVTKGSSLLPPSFASMFDDSASSVLDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGTKRYLGDLGKTVKTASSNALFAAITEVSDSVVKGAETNGLNGMVTGFHRGMLRLAMEPSVLGQAIMEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRVRVIDDLVFLKNLPPNSALINEIVENVKGFLVSKALLKGDASTVRSWRRLRNEPEWKIAPTVLTLCEHLFVSFAVRLLHQEATKAIAEFTTKVKGQLTGGEDKGESSSGGGALVKRGRLWTVGRFAASGVVAYVDGRLCRHIPNPIARRIVSGFLLSFIDRRDDE >SECCE7Rv1G0511620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804536114:804536509:-1 gene:SECCE7Rv1G0511620 transcript:SECCE7Rv1G0511620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHQQQSQQQSQQQQQAVGFEDYLPVMAERLGEEGLMEELAAGFRLLMDPASGLITFDSLRRNAPLLGLGGMSDDDLRGMLAEGDFDGDGAITEMEFCVLMVRLSPDLMDEPRRWLDDAVAQASHFLFTS >SECCE3Rv1G0196890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:812917459:812918108:-1 gene:SECCE3Rv1G0196890 transcript:SECCE3Rv1G0196890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRSLLCCFAFGVITVNYLHCSKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >SECCE1Rv1G0023900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:315962949:315966931:1 gene:SECCE1Rv1G0023900 transcript:SECCE1Rv1G0023900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secondary wall-specific cellulose synthase, Secondary cell wall formatio [Source: Projected from Oryza sativa (Os10g0467800)] MDTGEPKAKAAKVCRACGDDVGTREDGSPFIACAECGFPVCRPCYEYERSDGTQCCPQCNTRYKRHKGCPRVEGDEEDGDMDDLEEEFQVKSPKKPHEPVPFDVYSENGEQPPQKWRPGGPAMSSFGGSVAGKELEAEREMEGSMEWKDRIDKWKTKQEKRGKLNRDNSDDDDDDKNDDEYMLLAEARQPLWRKLPVPSSQINPYRIVIVLRLVVLCFFLRFRIMTPANDAIPLWLVSVICELWFALSWILDQLPKWSPVTRETYLDRLALRYDREGEPSRLSPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRNSCYVSDDGASMLCFDTLSETAEFARRWVPFCKKFAIEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINGLVAKAEKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGHELPRLVYVSREKRPGHNHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDLHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKHRKSKDKKGGGGGDDEPRRGLLGFYKKRGKKDKLGGGPKKGSYRKQQRGYELEEIEEGIEGYDELERSSLMSQKSFQKRFGQSPVFIASTLVEDGGLPQGAAADPAGLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCTPTRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLIAYCTIPAVCLLTGKFIIPTLNNLASIWFIALFMSIIATGVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGFLKVLGGVDTNFTVTSKAGADEADAFGDLYLFKWTTLLIPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVLWSVLLASIFSLVWVRIDPFIAKPKGPILKPCGVQC >SECCE7Rv1G0502670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:699469451:699471922:-1 gene:SECCE7Rv1G0502670 transcript:SECCE7Rv1G0502670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLGLGLLLLISVHTIPPTTAKHDIFRPGQALAVGGKIVSSNGKFALGFFQPGAGSIRSKSSTSPGWYLAIWFNKINVITPVWVANRERPIAGPDLRATQLKISEDGNLVVLNNATQSVVWSTHITSSNRTNTTSTSSSGRAILLGSGNLVVESPSSEVLWQSFDDPTDVALPGAKIGWNKVTGLNRVGISWKSRIDPALGSFSVGLETNGTRMVMVGHRGHPSQAYWSWSPDESPMQMPALRALLKMNPQTRGLVVPEYVDNSEEEYYMYTSPDEASSTFLSLDTSGQTKLNVWSQAKQAWQTIYVQPIDLCRPYATCGPFTVCTGSSQQPCECMESFSRTSPQDWEVGDRTRGCSRRTPLDCNGNRSSTDVFHPVAHVTLPYGPRSLQDAPATQSECESACLSNCSCTAYSYQDSKCSVWHGKLFSVNKDDGIEIRSEYTLYIRLAARDFPSSTRDKRKPVLTGVVIAASVIGFGLLMLMMLLVISRNRFSWCGVSSNATNEASVGVVAFRYADLGRATKNFTEKLGAGGFGTVFKGALSDLTSVAVKRLEGASQGEKQFRAEVSALGLIQHINLVKLVGFCCHGDKRLLVYEHMCNGSLESHLFESNGTVLAWSTRYQIAIGVARGLSYLHQSCHECIIHCDIKPENVLLNEAFVPKIADFGLASVIGRDFSRVLTTFRGTMGYLAPEWLSGVAITSKVDVYSFGMVLMEIISGRRNASDIHNSSSDHVAYFPVQAINKLHEGDLQSLVDPQLYGDFSLDEVERVCNVACWCIQDNELDRPTMGEVVRVLEGVKELDMPPMPRLLAAITAECSDVASM >SECCE3Rv1G0183490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:650986680:650987183:1 gene:SECCE3Rv1G0183490 transcript:SECCE3Rv1G0183490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDIIKADIVPETAYETELVSPAAASSDAGARQRRGLLSRMMPRGHYSPVGAEQKTAPGRAPEAAPIAADDSEVAEKPRRGWLRRLVSQEGVRQRRRWKNLGGASAARLAGLSRSLRWKRLSVNLRGSWASALLDTVAFRVMYVVEAIVLGLALSCFFCCCGCQI >SECCE2Rv1G0111900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:704833752:704835095:-1 gene:SECCE2Rv1G0111900 transcript:SECCE2Rv1G0111900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAATHATSLFFPPTPTMAPAPRKPLPLLLLSFSFPVLLLLLSLVFLLSHTTFSLLLCPLLPQSPSRSENATTTSDAATAAASLDVSMDRTLLEFHVSSSPPPPAPPPPLAPTPPVKSKKNGKKASTKRNKGLFKRLIRQTPRMRQFAARAAELFAPPSPQRPCAHRFFMTWLSPLEQFGSREALVLETLFRWHRDACLLIASDTMDSPGGSDRLRPFLDRGFRVRAASPDLAHLLRGTPAESWLGAVRRGEVSPGSVPLGQNLSNLLRLALLYKYGGVYLDADVVVLRPLSGLRNAIGAQAVDAATGDWMRLNNAVMAFDRGHPLLREFIAEFAATFDGSKWGHNGPYLVSRVTRRMPELDVTVLPPRAFYPVDWTKIGGLFLAPKDRKEEKWVQAKVDNIRGGSFGIHLWNRESRGIEMEEGSVIRRLISDGCLFCNSSVVA >SECCE1Rv1G0024890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:334711388:334712811:1 gene:SECCE1Rv1G0024890 transcript:SECCE1Rv1G0024890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAIIGRAMLQAYRKALENANKTGVAHEAINNIRRASKTMTEQEARQILGVTEQSTWEEIAQRYDKLFERNATSGSFYLQSKVHRAKECLENVYQKNKQDGTPT >SECCE2Rv1G0101500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:565928928:565930872:1 gene:SECCE2Rv1G0101500 transcript:SECCE2Rv1G0101500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLSSSLSWRPAATVGARGPLAGAGGPGRDDDDGSAYQRRFAIESPFFTAARGFSSAETLVPRNQDAGLAELPATVAALKNPNSKILYDQYNHERYPPGDPSKRAFAYFVLSGGRFVYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTDDDIKLANSVDVASLRHPEQDAERVKNPEWLVVIGVCTHLGCIPLPNSGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEDNKMLIG >SECCE4Rv1G0290160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:870780856:870781218:-1 gene:SECCE4Rv1G0290160 transcript:SECCE4Rv1G0290160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGKLAEWIRKRTMPRKLSAKRQSRDSGASEPILPSEAARESWSSASGGNGAGGGGAPPAHSKSRARAFLSAHMRLRPRVNVVAVLCEKVVYPLMWLVESVVLVGRLCFFLMRFGFKQL >SECCEUnv1G0538970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74696745:74696990:1 gene:SECCEUnv1G0538970 transcript:SECCEUnv1G0538970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLKNAYKEKLRRMDQAAALDGAKSSKDKEAAGAAGEKKATAGASSWGGFFGLMKKKVHPKPKAAATSS >SECCE4Rv1G0244230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:512829279:512830282:-1 gene:SECCE4Rv1G0244230 transcript:SECCE4Rv1G0244230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTELKVEMVALHEKRVRKCLSKVKGVERVEVEGSIQKVVVTGYANRNKILKALRRVGLRVELWSPRNELLSAYAAGSFAFNNYGFF >SECCE1Rv1G0006090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:30038445:30039601:-1 gene:SECCE1Rv1G0006090 transcript:SECCE1Rv1G0006090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFLILVVAASLLASFAQADLQYGYYNTTCPGVEELVRTELEAIFADDSTLRAGLLRLHFHDCFVRGCDASLMLNSHNGTAEKHADPNLTVRGYEAIEAIKKVVEKACPLVVSCADIMAMAARDAVNFSAGPHYEVETGRRDGNISMLEEALTYLPPADGNVTVLAQYFAVQNLTMKDMVVLSAAHTIGVTHCSSFSKRLYNFTGAGDQDPSLEPAYGKTLTTKCPTEKMASVVPMDDVTVDKFDLGYYESVYNHRAVLRSDAALLEDSLTGAYVALMNNASSLDIFFADFAVAMINMGRAGVLTGTQGEIRETCGVYVD >SECCEUnv1G0556290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310674844:310675203:1 gene:SECCEUnv1G0556290 transcript:SECCEUnv1G0556290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPRGYIARRRRTKMRSFASNFRGAHLRLNRMITQQVKRAFVSSHRDRGRQKRDFRRLWITRINAATRVYKVFDSYSKLIHNLYKKKLILNRKMLAQVAVSNPNNLYTISNKIKIIN >SECCE7Rv1G0505870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:741673791:741674609:-1 gene:SECCE7Rv1G0505870 transcript:SECCE7Rv1G0505870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYAMKKSLLLLLLLNSCSASCSGTGTGSEDEPDVRCLKSFLRSVVDPGGALKSSWNFDNATKGYICGFAGVECWSADENRVLSLRLGGLGLQGPFPRGLRDCASMTGALDLSGNSFAGPVPADISLQLPFVTSLNLSYNGFWGPIPPGMGRMELLELVDLGHNQLGGQIPLELFRLPYLASMSVADNSLSGPIPAFLQRFPAASFAGNDGLCGAPLDRLCPASARSGKEISGGPSVGAAAGFVLGFVLAFYFPQWFVFSRRLHPYVYRFL >SECCE2Rv1G0085220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:187679437:187685876:-1 gene:SECCE2Rv1G0085220 transcript:SECCE2Rv1G0085220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEMGRSWQELGVVDTIYEDDHEEEDEEEEAADCFNSPTMSSSAPTSASCSPAAPSASSLPPALRSAVQGWSRANGSLKPDVIVRVQEHCFHLHRDPISSESSYLKRQLSECSDIAVDLPAGLTVEVFADAVASCYGADVALSPENLAVAWAAADWLELTAEDGLARRTEDYFFEEVATDHGRAAVVLRSCAAFLGGEAAGAGAGLFVRCLETLAASGCADGRWLEDVAALPLEEFQVVVEAMRARLAHDHDLMYTVVDHYLENHKGKLTEEEKSRLCYNVNCAKLSHHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLTQHHHAAAAAAAPVSAAPLPLPPGLHKRSISGAFSSAVAAATGGDAANMTLGDILQRDAVLRQSAHIRASMDATGHRIDTLERELASLRCRLRRSEQAAAAATASAAIDRVSAKSASFRIPRSRLWDGEDLSASTATTGRSVAKDNLSLKSRLVHGFKSLFGRRPGNGVAPPPASSGDAGTDVRVGEKGACASCPPGPEDGYDKELCKEEWSTRPHRRNLSMV >SECCE6Rv1G0436270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:777183255:777184136:-1 gene:SECCE6Rv1G0436270 transcript:SECCE6Rv1G0436270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRKRPSSSLLVAPLLFMLLPAFSLAVGRHNLITRDPQYGPQVNPNPEPLPGKQPDPNPQPLPQPLPGPRPDPKPQPLPEPKPHTNPQPLPEPQPDPHAKPLPGPHPDPNPQPLPGQNLHQLMNPQPNSNRRPLPDPRPNPNPRPLPGPQPDPNPQPLPDPNPKPLPDPQLDPNPQPLPGPQPDPSPQPLPDPNPKPLPNPQPNPNPQPLPGPQPTPNPQPLPDPNPKPLPDPQPDPNPKPLHGPQPDPNPEPLTYPNPQPLPDLNAQPKPPLRTQAEKGNGEANVQEEPLA >SECCE7Rv1G0478210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:234928458:234928928:-1 gene:SECCE7Rv1G0478210 transcript:SECCE7Rv1G0478210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGAAAKGKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVIPKINPVLLPKKTAEKSPKEPKSPKKAAKSPKKAAKA >SECCE2Rv1G0104000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:598177179:598182570:1 gene:SECCE2Rv1G0104000 transcript:SECCE2Rv1G0104000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPAKIPRRKQPKNKQITVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDCIGETYLGIQIFRFYFKCTRCSAEMTFKTDPQNSDYTVESGASRNFEPWRKEDEVVDKQKRKREEEEMGDAMRALENRAMDSKQDMDILAALEEMRSMKSRHAGVSVDQMLEILKHSAHQKEEKTVAELDEEDEELIKSITFRNSKDYVKRIEDDDDDDEDFGIPGKPSVMSKVNGSSESVLNPTDVLTKASGSESANKEGNKSFASKMPKFIVKPKPAAANPLKKQKTESTAVQDNGKAPVDEEKNEASELKTNVLQSLCQYDSDESD >SECCE2Rv1G0072210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:52047791:52049130:-1 gene:SECCE2Rv1G0072210 transcript:SECCE2Rv1G0072210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVTAVMDLEVEQPRLSLPPGFRFHPTDEEVVTYYLTPKAVNNSFSCLVIADVDLNKTEPWDLPGKAKMGEKEWYFFVHKDRKYPTGTRTNRATEKGYWKATGKDKEIFRGKGRDAVLVGMKKTLVFYTGRAPRGDKTPYVMHEYRLEGQLPHRLHRSAKNDWAVCRVFDKDLAAKNAPPQMAPAAVGVMEDPYAFLDVDEFLNNPDLLTNADLPMLMDSPSGADDFAGASSSTSSAAVPLEPDMEHLSIKTEPPVPQQQLQSPNYFFMPAAAPNGNHGGAGYSLYQAMGDQQTAIRRYCKPEAASSSALLSPSLGGIDAGADTSPFMMPSSRSYLDLEDMWKI >SECCE6Rv1G0443250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:821740279:821741403:1 gene:SECCE6Rv1G0443250 transcript:SECCE6Rv1G0443250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPVPKTTKIWLRGVFMLPSRPYPHKGLIVKPVGVRALVVVGDDIKVPRQPSSIIGCLCTHYYPGLVPIGDGEEEPAWSWEHWTRAPDTKDKWGRECNSAAEWVVNDFWDFLTCAEGMEDEANEVVEAIAKKIVQDMPYEGRVDAVVKYFAHERNMLLKKPLARRVHLTRSMYLKAVPSWCNNKIPCYQQIISRWINPEWRAAHRACSERRVMMGGPVHLQGNLNLHAYVQKRTGREVRENRGSIPSRDYVFPARQRNLKGGGSI >SECCE2Rv1G0101010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:551974283:551975858:1 gene:SECCE2Rv1G0101010 transcript:SECCE2Rv1G0101010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLMGRLAGVALELALAALLLLFLPPYYVYRLTASFLGSVFPDSVSGKVVLITGASSGIGEHLAYEYAKRGANLSLVARREASLREVADNASALGSQSVLVLPADVSKPDDCRKFIDDTVAYFGRLDHLVNNASIWQVCKFEEVEDVNYFRTLMDINFWGHVYPTRYAIPHLKKTHGRIVGVTSNSSYIFIGRNTFYNASKAAALNFYDTLRMELGRDVHITEIVPGVVESEITKGKMLTKEGEMKVNQDERDAILGPTPAEPVAAFAKTAVKDVCRGERYVFEPRWYMAVYMFRVCFPEILAWSSRLLTVKTLGRPATTDTVGRRILDMPGVRWFTQTASLRSPEIQAR >SECCE1Rv1G0051270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:652268323:652268733:-1 gene:SECCE1Rv1G0051270 transcript:SECCE1Rv1G0051270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE2Rv1G0112010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:706080013:706082353:1 gene:SECCE2Rv1G0112010 transcript:SECCE2Rv1G0112010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSKKQQQRRQQEEQPRDAAKKSATGNGAAPLAPEAKRAPTAAKPAPSRKATAPRAEEPAADKRTVFVVKAAAAAAAAEVASGGESDGVKRAPAAPAAPVEEAAKPAAVSRVPVRTSSCTKEEVDAILIQCGRLSRSSSASGKAAASGESGGGHRRYSGSKRSYDFDRERRAGAVDDECDWDRLGGGAAASRPSPRRRTPDRKRSASHESRSGAGSGSRRVSRSPGRRGEGVPAAASSVGVDRAARLPGKMVSVPARDKGRAPSPVKAAPAGKRYASPTLRSNSPARAAPVANENAGVQPTHGPSLSRSSSRKADNSPYRRNPMSELDENTLANNHHTTNNGKPQNQKKPIESVAAVSQRLGERCQITKDKPEIMEEAVASDTKAPSARMNATHTVSIVAESVFNNTRAGPGCRSSRRSSRDFDHSGSSFAFMEDAVAPDTRAPSSKINATHSVNIVAESVANPKAGPAGRSSRRSSRDFDHAFLNEAMASETKAPSSRMNATHTVSIVAESVANPKAGRSSRRSSRDFDHNGNSYASLLLEDIQSYHQQNASDTATAAPAFSLPACVSKACSILEAVADLNSSPSENRSFEMDRSADDKGSANVSCYSAGKAVAAGTHVVESEVVVKDDIMEPSLHKYVSVRDIRGEAEPQESAGSNSFAGNPWTCSWEPNSVDSTDRTWTASQSNSDDAEQLSGGTVGTLELSWQSKQESGGRPRLGSAGSAQLGHGAHRGGVRSDARTASANSSSA >SECCE7Rv1G0492070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:542996055:543001738:1 gene:SECCE7Rv1G0492070 transcript:SECCE7Rv1G0492070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASAAAALRRLRHPHDPSAAFLSSLLHSCFLSSTSAYSPVALHGPKLPAGASSSITSSACTSSTCSWFDSHRRQSAAAATTTRSLHHAPSSDGSSESTPTSQSQLLHFIKSTFGALEGKNHCWLNAVNGIWKTFNQEGIYLVLLHQSHETLDGDCKHSAAFRKLKYLHQRYPHLNVFAMQYGSGVSSLATQSQAVRTIMEEYITFPVLLSDKDFTNMTNGACYLLFEGSKDHIIFTKLDGDPELMIRGMEGFSTLSAEPIENVVESGVPWQKEEAVKEPYVGSLRNLLLYHPGGLSVDEDGDRIFISDSNHHRIIISNGDGVILDCIGSSPGFEDGEFESAKLLHPAASFYHAAEDCLYIVDSENHAVRKADFGRRFLETVYPVFNKKGSGVWSWIIDKLGLTKEDASKKDDASNIQDFDADSVVFPWHLLKISEDDLLVADRSFETSWILSMSTGEKKNIVRGRAEAMELCQQMIDEKHALLKDIHINGSSGDKGHSNLLEKTPCNELVSSISRFRKCIVFCDTDGQRVQKHDLDTKETSNIHFTNVGVLGLPYWFVCRLEKVSTWGHSAGQFQEHNRKVNVLPGRCNIKVSVDIPVDTELATPLVESCIWRQVRGSGAEISESDAQDTTSEKVGIAQQWYDEIDNLAFSEAAEEPTVHKRDDKPADESHQDQKSVHFTCAVNVSPGTCELVASAALYLKVDRTKADNADQKAVIKRILQCQGHEEHVGVELLTENHRDTRDLVIMKPVHLRLRMECADHPAGTTNKETISTESSLEISITLE >SECCEUnv1G0555330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:298388036:298388356:-1 gene:SECCEUnv1G0555330 transcript:SECCEUnv1G0555330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASLSAAVAVDRRVAAPRRGLVVARAAKVESQQEPAAKLAAVEPAADGRRALVFAAAAAALSAIGGVALAESDVKKGSPEAKKKYAPVCITMPTAKICHN >SECCE5Rv1G0347030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:665134330:665140225:1 gene:SECCE5Rv1G0347030 transcript:SECCE5Rv1G0347030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKLMVATDPGDVKKLKDVLNKEDAMATVVVTATSKKTFQEGHPPAGNINPLLLMSARMGSWEALNVLLKMEDAKKPPMMIPTGEFLELLAGVSSAHGRFAARDVEEGVDHQPTSLAAGALLKGVTPHGDTALHAVARYGGHQDFLKYAGIIYNRDGALLFEKNHRGDTPLHCAVQEGNFKMVSHLINLAARGGADRKLRLLRMVNKLQETVLHEAVRFEYGRRLCYKEKKLLGAADPAREENTKCHETGGLLNQDGKTPGDADGTQEEKNIVKLLMGADPELANYPADGVSPLYLAILLRKSTIALTLYDMMSGGNLSYAGADGQNAMHAGVLQDTVMVEFLVHWNKSLTTQVDKDGSTPLHFASSLLFRSGSYLQFELLRTPPWCRFVWIPWGSKTLSIVFKANPAALYQADKDGYFPIHVAASIGVKEAIQLFHDEYPDSVALRDAKGRTFLHVAVNKEKLDIVLYVCRTPGLSWILNTQDNDGNTALHLAIEARSFQMFCALFGNSWVNLDLINNQGETLYGLSRRKIPPELSYRENPEYKIHEVLWRVGVSKGALRKDKFNERYNRPLKPEEKDKDSEMVVKSAQALIVATALIATVAFTATFALPGGYRADGNINGGTPIHAGSYVFDAFMTATTLAFICSIIATIGFVVSATPMVNTNARQVNYSVSVFLLSSSVTSMSMAFALGVYMVLDPVARSTAVAVCVVTPAVLLSTYRDPIFQSIVLARPLCIRIGALSGTKELLNMNVHVVVSALWPIIVTFGWAALARIHRHR >SECCE3Rv1G0206700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:910566407:910566727:1 gene:SECCE3Rv1G0206700 transcript:SECCE3Rv1G0206700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRKATMSSAGVAAALVALLVVAAASGAAGLRVCNVDRDSVVNNCKSYCTTGSMEASPSAACCDAVRGGNFRCLCQFRNALSPDIDGSRAMQIPSKCGYGPASC >SECCE3Rv1G0159060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:94091682:94092188:1 gene:SECCE3Rv1G0159060 transcript:SECCE3Rv1G0159060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRVYDRALVDPDGKRRAVHVVDGPVVANGGFIRAPRRPTNQSKSGGLRALGKDALAPEDEEPQDLPPKDKRFGYYYSKGWQSPFKYEATSGQMLSWKYDAYEHEEVHARQPPPPAARSGGRSACKGSRKFKHDEIKTYYLDAADVVDEQRDCLCDFDS >SECCE4Rv1G0257330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:666144800:666147316:1 gene:SECCE4Rv1G0257330 transcript:SECCE4Rv1G0257330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGGGGGGAQDDFFDQMLSTLPSAWGDLGAGGKSPWEIAAGAEDLGAFDESTLLASRLRQHQIGGEKPVMLQLTDLQRQGLGEESGGTGFSPLPLFADRSPPQSREEMDGGFKSPNGTGGDHALFNGFGVHGAGAAVQPPFGQGGSMSGQSFGGGPAASGGTTAPASSGGGGAAPPRQTRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSEAKGSAKSSNGGGNSAAAAAAAKANGGGESGGGGGGGGLRVTEQQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATTTTTSPASLLARQAVRPAAAAANGGDDATARPVKVDAGAVSGGKP >SECCE4Rv1G0224500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:81175714:81184067:-1 gene:SECCE4Rv1G0224500 transcript:SECCE4Rv1G0224500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLAADYFSPPSAASCSDQALALASLRFPPLPVPSLPPDPHFPLPLPFPAAADLPAVSISGDDLDSLPISSALSEFLAAVIPQALPAPAIPAADEGLDDFLYVRGGYRKDFSLRESVAFRIPDGLDEISREKDGKGDGSRSDRLGASTDTKRWELLKEHVFEVVEVDLPQILEGHIASFGGDESGDVVTLLFRVPDAKIHLDFIDIDTEMTLSYPTELADSIYQVEKIPVKHNDEEHLSATNINFLEIAALDCGVTIPLLEVHRHSWELNGCPTKAEISNIFHNLVEHLGEAQVQHPALNSTLFSRSTDMDMLAFVSKDAPCADYQADKPITVKAAVEMDLVRINDNLLLERNSALYPLKPDGTFSDLPCSVLLEEAHIIDFPLEDVFKMLVQSDAAELNTSDEIFKDDFDPARRFYESVVSSELVLVDDTFRSLPTPILSDDDMTLRSMVPSMGEVLCSLKTYSLSAADIIYLDWHLLLEGPCNREICSTYAIMVEEVKSCQFNSEMQINCQQTSALGFDFLEYFWRSAKHQDEDKQNNIYVPTPLPHDPPPAVETTQKYRQESDTGGHGHMEKPSSGKAASLFKSMSQSSDINFYLNVRSGTKRGTNDENISTLDIPTLNEQAASFPSRPKVDKLIEIHPVSLSDSIRALIKHVHRSYTTALQESAYLRHTFTDGHFSISKQKLLGLITGDGSDGFHNHCKHEDKMEIIVLYGLKQVAYYLRFFGLHAGHLYISNLIESFENIPERLRNIHSFIGEALWKAEKHQIDSHPSLHDIEMILRSNTHTSQQILIVADTAFWLPLGQKLTSMKMTFVELGKDPAAAYLDPVDKPNPTTWVLRGLPKSDCILLDNKNIPASFPFSEFGIVLEYGGPDKLSTLLSLAPNLDGFPQLHFLYVKVDVEDPSVALVEDNPTDQELRATLDTALHALQKDLQEKMNKMRIVDSLNFIPATNQLQGWQENLCKYSIADPTKKLPADDQLLKQENLEKEFVDAHNFVPTAEQRHREELLSKRTILHSQHFVPAVEKSSSTSSVSANVIKASQDNLSGTNLPSGVKVGRLTPGRLSTPVIVVNTGSHRKNMLFSRRSSYQQILSLEKGGMQVVERDVDLPVDLILSTAACLVWFETKIFGSNEFTASAETSSITNFAEIIATNILMSISFCFCGCIMVFEGEPHSLSAVMESSDSLYAAAASLMMNVQIFFSCTPKSTDEIVLSCIRNVNMLNKAPSPDIPESESLAESFLTKFPSINPLSAYSMLSCGGSLVEFLSWSHERRIQAVEKYLLSPQSISLLNALCKFGELGESRSVMTEGSSVDSDICSASLQSPSKRKRCASQVFAVPTSDSLHLDPLNQLSGDYVEHNNVFSQPKLRRFSDAEDATPQLPEVFMFDQSLSRGGEGVSCLPRKHDINAIISNQIMGDHISNGFTADTRNYNRRRANNMVDTYDFSWQPESGGKEPIKSSFPANEPSFSRTYSHPVFPSALEINDDTGYWDISGGAHDTWKGHVHGGIASTSCRNDVGSRYHEPTEEIMHKGHVHGDIASTSCRNDVGSRYHDPREEIMHNPGSSLAFLKQDSGFHATPHGSSWEIDHLRQMNEKRRAREERSRCNTSAMMSNSRMRDGASKITNPPLIGSFRYRGDGDTPSRNQSPSVGTRHYEKAREGTKAHTHRARKDFKMQPSVSHENRMEPSIYPSWTPVDKRARQKLSFATYGKEKQSKLVWRDPNSSGAECGFRKRYREEGT >SECCE5Rv1G0335420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:574047606:574047980:-1 gene:SECCE5Rv1G0335420 transcript:SECCE5Rv1G0335420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVEIVEQVLKTEVKQSTFLRNVGLQSSRNNSGKATTKVAAHVRDLEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLHKKSQEQEEQLAHLMALFGAKVV >SECCE2Rv1G0097820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:470024237:470024824:-1 gene:SECCE2Rv1G0097820 transcript:SECCE2Rv1G0097820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRLLLAAAVVLALAAASIADDARQILLRMYMHNVFGPPGQRAVLIVKGAGPMNPSMPPEHYFGETYAFDDSLTQNRSSSSKQVGRAEGTAMLASMRHPVYLVNMVMLLIGGEYDGSTIVVEGRHDASKKERELAVVGGTGEFRLASGYVNCTTAREEKKFTVYELNVNATIPGDDSEPGPDTGTRRRRIPRF >SECCE4Rv1G0255310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:645219461:645220084:1 gene:SECCE4Rv1G0255310 transcript:SECCE4Rv1G0255310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAMQALFDQPELSLSLSLVPTATPALSKDDYLAICLAALAATGKEQQASAWRPAPALAQELRFSCAVCGKAFASYQALGGHKSSHRKPPPTGERCVVAQPSAGGGSEASAAASSGGSSGGPHQCTVCGRGFATGQALGGHKRCHYWDGTSVSMSMSVSVSASSAVLRNFDLNLLPMPENAGMKRWAEEEEVQSPLPTKKLRLLL >SECCE2Rv1G0068330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22725305:22727350:1 gene:SECCE2Rv1G0068330 transcript:SECCE2Rv1G0068330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLDALVAFWKEWGVQMLVLLSLALQVILLVTAEIRRRIDSGVLRVVVWSAYLLADTTAIYTLGHMSVTSRSPEHELVAFWAPFLLLHLGGQDNITAYSIDDNRLWLRHLQSFVVQVLAAAYVLYESSIFVGGRRTILGQATILMFVVGVVKYGERVWALMSASSSALSGKNYNSFGRLHFERPDTTTTSSQTAFLAHDLLNVPKHLLKGPLPLLAFDSKIGYYRWEDMYHVAEIQLSLMHDIFYGKNELIHAWYGYCIRVALLPATVTALLLFRRVGDKDGFNKVDLVATYVLLSGAVVLEITSVLRAMSSTWRYPFFRRIEDVPCFRILIFRPLYYLLVLVLESGELLRGAIQKVGILKRYWSGSMGQHSFIYMCSHCKDDRSSKAARWMGREDWWKWNTLVYTSSSVPVSADFSQLLKKQLTPSVGVDKESPDHIQNSRGRAALKKRGLYKELAWSVDSELDESILVWHIATHVYLSWYEAKHKRLPHPTKVTQELSNYMMVLLAARPYMLPDNASRQRYIELCNKVIYHLKYSSAVDLIKLIQGHGDALNAEQTQPAVVGENLVVDMPAATTKESSVTFDRACQLASKLISKELETPDATMLDLISQVWVEMLCYTGHRCRPDSHARQLSSGGEITTVVAILMEFLKSGFLRFDEQEQFLCSGAPNNNTLNINSL >SECCE4Rv1G0224770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:84157601:84159355:-1 gene:SECCE4Rv1G0224770 transcript:SECCE4Rv1G0224770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAHLPQPPTAAAALVRHYIGLLSAAGVSSSSSLRALLPIHARAILLGVAANPAFATRLLAAAAPRSLAYARRVFDAAPHRDAYMWNTLLRAHAHSHSHVPAGSPAADALQLYKRMRAAGVAPDCYTYPIVLPACAAARAPRLGRAAHGDAVRFALAGDGFVHSALIAMYCQEGAVADAERVFLAAATGARTVVSWTAMVAGYAQNCLFGQAVAVFGAMVAQGMLPNEITLISFLPCLQGQECLAAGEMVHGFVVKLGFDANLPLVNALVAMYGKCGSIASAKDLFDGMAARTVVSWNTMVAMYEQNGDGVRAIKFFHRMLSEKVGFDAVTLVSVLSACTRQGALETGKWVHELARSHGLDTDARIGNVLVDMYAKCGEIAYARQAFEGLREPGVVSWSAMISAYANHGEPEEALNLFSAMKDQGVKPNSFTLTAVLVACGHSGLVDEGLVIFNSIVTDYRISPTLENYACMVDMLGRAGRLVEAYEIIRGMSMQPDKCIWGAFLGGCRLHGNLELAEFVAKDLFQSGSNDVTFYVLMANMYFEAGMLEDAERTRRTMKEMELKKTAGHSLVSTSRERRAIMR >SECCE7Rv1G0524630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886770508:886775269:1 gene:SECCE7Rv1G0524630 transcript:SECCE7Rv1G0524630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHAAATPETTEQLGFEPSSWGDFFIAYEPPPPKRSKEWMMARAEKLKGDVSLLFKTCNGTTARMFLVDTLQHLGIDHHFEEQIHDSLNEILESDFSSSSSLHEVALRFRLLRENGHWVSPDVFDKYKDEDGSFRKDITNEPKGILCLYNATHLLIQGEPKLEQAMNFARQHLVSVNGSLQSPLAKQVKRAIHRALPRACKRVEALQYISEYEEEEGHNQILLELAKLDFNLLQHVHLKELKDITEWWKRFSGFIDLSFIRDRLVESYTWAYVLYYEKGFELQRSITTKMIVLITTLDDTYDIRATIEECRKLHEAIQRWDETAVSLLPEYLKKLYIELLRTFKNIEVEMPVNVNYDIAYLKKAIQNHVTGYLQEAEWCHMKHKPSFKNQVNVTSLTIGAPTVCLSMMASMGDNIIKRAVEWVAGVPNVVIAAGKIVRFMNDIASFENRKCKGDAASSVECYIHEYGVTGEVAISRIYELIEDEWRTLNKARFENHELLPALKRIISLALSTSLFYDNRNDVYTDSKHLHNTIKSLFVKPVLSG >SECCE5Rv1G0321620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:407354949:407358371:-1 gene:SECCE5Rv1G0321620 transcript:SECCE5Rv1G0321620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEAASLVVTREDHRQHHHSRPPPPDEEDGEEEDTDAVAPSSCARILLSREGGEAEAEAEAGEGGEEPLQLVPPLNFAMVDHGVYRSGFPDASNLPFLETLRLRSVLCLCPEPYPEANQEFLRARGIRLFQLGIDGSKEPFVSIPEDRIREALKVVLDTRNHPVLIHCKRGKHRTGCVVGCLRKLQRWCLTSVFDEYQRFAAAKARVSDLRFIELFDVSSLKHLPASFSC >SECCE5Rv1G0327080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:489223194:489226106:1 gene:SECCE5Rv1G0327080 transcript:SECCE5Rv1G0327080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRRPHRPRRAAEVAVAEADADVLISLPTGVLDDILDRVSLRDAVRTSALSRAWRRRWEDLPSIDFYFPRPDESKHLRAVDSVLLRCPGRVRRLCGHLDEPSAERIHDWLIVLSRRGVDTLNLRPISFDAVLALPSSIFACGSLTNLRLHACAIPPLPAGFEGFPELRKLALTCVRFRENGGYQLEEIIATSPSLEKLVFWGVQILGDFKEWVIQGPNIQDIQISSSKDLGWILGELPSLHSANIDILDYLGDRDFAKFLAGFSNITKLVICTRHSPLKGAIILERLQCKFGNLKSLTLYTQFCELPCILSTYCLLRNAPNLERLKILIDNSAEQKFEAHEEFQNSQWTGGMCANLQFVQMTGIHWLPNEMTFIELILSKARFFRTLFITHGEKCAMSNEDAMNKILSYRRASTCAEILFKGKASVTFFRS >SECCE1Rv1G0040870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:566702221:566706436:1 gene:SECCE1Rv1G0040870 transcript:SECCE1Rv1G0040870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDPNGLGYIELYDLETLLVKPSSELMPIKMTTNDDELSKRVVEPNPLRRWYRHARYFLKDNWHRCWAMLLWLSICVGLFTWKFVQYRHRVVFQVMGYCVCVAKGGAETLKFNMALTLLPVCRNAITWLRSSTTAGRFVPFDDNLNFHKVIAVGISVGAGLHVVSHLACDFPRLLHATDDEYEPMKQFFGDVKPPNYWWFVKGTEGWTGLVMLVLMAIAFTLATGRFRNREPWLPKPKKQDANLPQHKKRDNLPGLLHRLTEYGSASRNRLTMLFYAVSLCSSMPWSIKWQNKTTWMYLAVPMIVYACERLTRTLRSRVRAVQKVKAVVHPDPAALLSLHLSKPEGFRYKSGQYIFVKCPGISSFEWHPFSITSAPDDDYVSVHIKAMGDWTKKFKKAFYEVCDKPLTGAETKILRVEYDHDKAMPTLGDGKKYPTVLIDGPYGAPAQDYKLYDTVLLVGLGIGATPMISIIKDIINNMKRLPGDIESGNPGDGSTSSSFRTRRAYFYWITREQKSLEWFHGIMDEVAETDKECVIELHVHCTSVHAEGDARSAALTVAQSLNYDKHGIDIISGSRVMTSFGRANWSEVYLDIARQNEGKRVGVFYCGMPALTKELRELAKVSSRETTTTFEFHKENF >SECCE2Rv1G0126380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:846073146:846077004:1 gene:SECCE2Rv1G0126380 transcript:SECCE2Rv1G0126380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPEMSAPLLAGRPAAVEAVPPWREQLTVRAMVVSTILGVLFCLVTHKLNLTVGVVPSLNVAAGLLGYFLVRTWTAALGMFGVVSKPFTKQENTVIQTCVVACYGLAVSGGFGSYMLAMDQKTYELIGTDYPGNTAVDVKNPSLSWMIGFMFLVSFLGIFIVVALRKVMVIDYKLTYPSGTATAMLINSVHSTTGVELVEKQVTCLGKYLSISFLWNCFKWFFSGVGDSCGFDNFPTLGLAAFKNTFYFDFSPTYIGCGLICPHIVNCSALIGAIISWGFLWPYISTKAGEWYPANLGSNDFKGLYGYKVFVSISLILGDGIYNLTKIIYATIKEIMNVRSQQGRLPLVSVQDDDKISKLSAKEKLLNEVFVKDNIPPWLVGSGYVGLAAISTATLPTMFSQLKWYLVLSAYLVAPLLAFCNSYGTGLTNWNLASTYGKIGLFIFASWVGHHGGVIASLAACGVMMSIMSTASDLMHDFKTSYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWMAFDIGNPDGMFKAPYAIIYREMSIMGVKGFSVLPQYCLVICSVFFFAAIAINLLRDVTPKTVSNFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAVASGLICGDGIWSVPSSLLSIMRIDPPMCMYIKPSVTYG >SECCE3Rv1G0155620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:67812084:67821994:1 gene:SECCE3Rv1G0155620 transcript:SECCE3Rv1G0155620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDGAGDAPAARLPELTPMERVEQKLIACGVPEEQLREDHQEALLMYLEEHTDRISEVTAAILSAGTDVSEARKSSKKDEDSSSSSDSDAYSESLSWLQWMMFRNEPEAVLDDMEHSSAGERAVCGSVWGQNDLAYRCRTCENDSTCAICVPCFQNGNHEDHDYSIMYTGGGCCDCGDATAWKREGFCSRHKGAEQIKPLPEELASSVGPVLDELLLFWKERICLVEPPTRKADEGNTCKSVAEELTTSIADMLLRFCTSSESLLSFVAQRIRESPDLLDALTRAERLLDKEVLKNLHELLLKLITEPAFKYEFAKVFIQYYPGTFSEVIKGNSDNLLDEYRLIPTFSVQIFTVPTLTTRLVREHNLLGILLECLTVLFLSCVGEDGHLQTSKWGNLYDSSLRILEDTRYVVSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHVEDENENLSSPFTLGHYLGIIQNLLIKGAFSSPGQQESTDVTVCSTAIKGMESAENQRHAKVGRVSQENLVCNSSTGESSSNSELPAPATCLIRQCLKAIESWLEPGPRRRKLSSLDASSTDARNFLALLEDTLTINKGGSSKHIGEVGMKVNEGSQIDDPAQESDDMMLIDQVGSPQAGNDAGKGKMNEGSNGSDVQLHSENAISVTLTDGSLLYAHPDSRIDELGILNMTSWPRVVFDVSSQETSFHIPLHRMLSLLLRKAMKKCFGEDAKPEECSVVQSKGFFSQVLGGFKPYGFASIVMEHPLRVRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVKTIQERFGLSNYISLVLTEQNEYEPVLMQEMLVFLIQLVKERRFIGRSTADNLKRELIYKLAVGDATHSQIVKSLPRDLSSSDQLQSVLDSLAVYSNPSGMKQGKYVLRKAFWKELDLYHPRWNSREIQIAEERYYRFCKVSALNAQLPQWTHVFSPLRSISNIATSKAVLQIIRAVLFYAVYTEASSVSRAPDNVLVMGLHLLSLALDICESESQTYADKYGMDIVQHDAESWVVLSSYAEEAFPILTYSTESVSPESDKVKNESMLTLLVSLMRKYNEENDNTFSGSKYCNVPSLVESLLKRFAKLSKQCMSALRQMAPQVVPSIPDHGSTKQNSGSPDLMDKKAKARQRQAAIMAKMKAEQSKFAESMKASESEGHTDATFEPDASSSTAVASEESRPVCSLCRDSDSKSPLCYLILLQKSRLATFVETGNPSWDNLSQSKKTSGSIRREKSTDSSGAGSSSSEELVRDTTIEPSFELDSMEVDAFLDFSNEQHPLIRYISCFPSGRCTGNADDNISLETIEADVYKSIVNDLVGIQDGEQSLSTSNLTAGSKTSTSPKSSVLGTYVTCLSTKYRLSSFCDVASKSSAPVTIRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYIRRLGFEGGHIVDPDLGELLCPVCRRFANSILPASPDFSGITRKAMPIAQTMPTEAAATIHNLQFPRALALLESARKIVGQSTFLKAFPGNVNDTAEPALDPSLRRLTMLYYPRSKSSFSASERLSPSLFLWETLRYSVVSTEIASRDRMSSYSAQSKSCLESLRSELNSSSGFILSLLFRVSHSARVLNRREVLLRFEGIQLLAGSICSGISGDKDLLDATKRKGTSLPMVDPESEGEIFPDIQFWKQCADPILAQDPFSSLMSALFCLPVEVLTSTEFFIPIVHLFYIVCVIQALITCYREEAFDRSSFRDCLLNDVCQEMSGYDIAREYFVSKHIDPSCDPKDMVRRLTHPYLRRCALLWELLKSSSSAPLYDSSNIWEGSHLHLDSSTAEGNSSLAIELDGVRELEHLFQIQPLDLILKNESVHMLALRWSQHFCEDYRSRKYRGILFSTPAVPFRLMQLPPVYQVLLERYVKMQCPDCGSVPDEPALCLLCGKLCSPSWKPCCRAGKCLNHASQCGAGVGIFLLVRKTTILLQRSARLAFWPSLYLDAFGEEDHEMHRGKPLYLSQERYAALTYLVASHSLDRTSEVLRQTTISFYTSD >SECCE4Rv1G0269470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749131214:749132476:1 gene:SECCE4Rv1G0269470 transcript:SECCE4Rv1G0269470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASGAAASTPVGSTSSSSSRRAPMEDDDLLMEILLRLPPRPSSLPRVSSVCKRWRRIVADPQFLRRFCAHHREPPIVGVFFSSSFVEPPFRSTLDPPDLIPPERFSPQLDGIEGGIWSVRSCRHGRVLFTNSDRTGRGCRQVVVWDPVTGDRRCIGSPPELGGHGWSESRVQADVLCVAGDEGHVHGACHWSPFKVVLACTSEGVARACVYSSEMGAWAAPISTLLPFDTPSCLPSRSILLGNSLCWFIFGPLAGILELNFDRQSLAVIEVPPDACVTDYHELFLSTLGGMLGFIVVSESYRAQLWERTTNFDGVAGWMRGRTFELRKLLPLKSGEWIKRVMFIAGDDNVAFLSTSRGIFMVHLESLQFEEIFKSNPDNRLSTIYPYPFKSFFPAAAAAGNNMHLHVNRSKNIVISDGF >SECCE1Rv1G0000560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2119807:2120757:1 gene:SECCE1Rv1G0000560 transcript:SECCE1Rv1G0000560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVALRYILDKKSRHNTLKKRREGLQKKADELAIMCNAKACVLVYGEGKPVPEVFPSHSEAVAILTRYKNMPEGTLKKTVSHEGFLSQHFDKLQAKGHKFQGVCEDNETRILLHKAMLESNLSSLDGLNIEDLTNVSRKLEVILQSMGESITKISGQPPIFAPPPAPYVPNYMHMESPSTYQEPPPAPFMDMESPSTFQAPPPTDNMGMGSLMMYQVPSSAPYVTGCMDMGPPRIFKAPPQQQEDSLDMMRYGGDLNAPVNSGYNTSGRNDTNTSTGLPSGDINSKKLFEVGFGWQFGGADPEASSSSRFPPM >SECCE5Rv1G0367140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819384269:819400104:1 gene:SECCE5Rv1G0367140 transcript:SECCE5Rv1G0367140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDSIKLSAAQQTSFALRLASAISSPSNADGARGNVAFSPLSLHVALSLIAAGAGGATRDQLVATLGAGEAEGLHAFAEQVVQLVLADSSGAGGPRVSFANAVFSDASVPLKPSFKEVAVGKYRAEARSVDFKTKAVEVAGQVNSWVDQVTSGLIKEILPAGSIEANTRLVLGNALYFKGTWVQKFHASDTKDDDFHLLDKTYFFQRHKTIKAPFMSSTKNQYISSFENLKVLKLPYQQGEDRRKFSMYILLPKAQDGLWSLAGKLTSEPEFLEKHIPTRPVPVGQFKIPKFKISFDFEASKLFKSLGLQLPFSTEANLSEMVDSPVGQSLCVSSIFHKSFVEVNEEGTEAAAATFAVVMGRSLSAVPPKKVDFVVDHPFLFVIREDMTGVVLFVGHVVNPLLAA >SECCE2Rv1G0068010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:21328545:21334207:-1 gene:SECCE2Rv1G0068010 transcript:SECCE2Rv1G0068010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSGAAASGSGPRRSARARARRQPPDVEAPPAPAPVAPPPDAGGPSSSPPSRRKRGASPSRRSVRAREKEDPEPQVAALNENEVKNPMEAEEPKKQGKEIVEAEGPEEVDENAEALKEAPFWLPDGWIINVRHGDGGSIYRYYTSPVSEYTFSTDMEALEYLFSEMDERILESEACAEDNKLHKMHSWLPDGWVVEVRAGTMRDKMYKFYVHLPTGMRFFSKEDVLRYVNEGKISECDVKGLCDTISDDNILAQVEFNPDGLPKGWVKELVFRKCNDGIRKDPYYTDPVSHLLFRTLKSVISYLQTGEISKHAYLPRRSVTDIYSFDGCADLPRKMLKRLKVPGKKKQKSVQSLVFEKKLPDDQTLNRAHGGTSASMNPQSDPKEKRVNTVQAKGKEPISSDTTNQGKEPISSETTKQGKEPISPETTKQGKEPISSEITKRPRGRPRKIPKQANETTSDRAKSSDQETKHIDIASDKEPKKESDYEMEKHSMATQQVDNKSDLAMTLSSLRRGSTNPEMRERETGDPAEASARSASSAVKKFYMRRNNNQSFKK >SECCE7Rv1G0459010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23931569:23932393:-1 gene:SECCE7Rv1G0459010 transcript:SECCE7Rv1G0459010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCWLLLHLLAFLLPAASATSCHADDLRALQGFAGNLNGGGVLLRVIWSGTWCCGWEGVSCDGTSGRVTALRLPGHGLAGPIPGASLAGLTQLVELNLANNKLIGTIPSWIGELDHLCYLDLSDNSLVGEVPKRLIHLKGHTTTGRSLGNRRTLQQQQPNIISGTNNKVRSGRTNVVSGNDNTVISGNNNTVAGSNNTITTGSDNTVTGSNHVVSGSKHIVTDNNNVVSGIDNNVSGSFHTVSGSRNTVSGSNNTVSGSNHVVSGSNKVVTGG >SECCE4Rv1G0294930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:893989641:893994456:1 gene:SECCE4Rv1G0294930 transcript:SECCE4Rv1G0294930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHRRFFRRLASVTTTTTLLLAVLHVLRTAAEDEQPPWPICGPEPSSGNYPQNSTYQTNINLLSATLPINASSSPALYATGNVGDVPNIVYGQALCRGDVANASACETCIANAFRGAQRVCPLYKDVVIFYDLCQLRFSNRNFLLDDDYIVTTYILQRSRLMDSPAFDGAVGLLVNATAGHVVEDSSRRFGTGEEGFDDSRNPKIYALSQCAPDITADVCRKCLNVIIGHLPDLFSRRTGGAILGVWCNFRYEVYPFFSGRPLVQLPKFVQRPPASVPPVIRGGEKRRNSTGKVLAILMPTIAVMLVIAVVYFFGWRWRRPEEDAFLPSQSATSDNIQHIDSLLLDLATLRVATDDFDNSKMLGKGGFGIVYKGVLPDGQEIAVKRLCKTSRQGIGELKSELVLVAKLHHRNLVRLVGVCLEEQEKILVYEYMPKRSLDTILFDSEQNKELNWGKRFKIINGIARGLQYLHQDSQLKIVHRDLKASNILLDFDYNPKISDFGLAKLFGGDQSEDVTSRIAGTYGYMAPEYAMRGQYSIKSDVFSFGVLVLEIVTGIRNNGSYNIEQDVDLVNLVWERWNRGNVIELIDPSLSNHPPVDQILKCIHVGLLCVQRKPASRPMMSSVNIMFSSHTVRLPSLSRPPFFIEEVSVSESWTAHSDAYPPGENTTVMSSNQVSVTELSPR >SECCE2Rv1G0098840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:498111149:498112795:-1 gene:SECCE2Rv1G0098840 transcript:SECCE2Rv1G0098840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAASEEAGTGGGAAAAVTLEELRKKMADFARERDWEQYHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWEERETEHLGEELADVLLYLVRLSDMCGVDLGKAALRKIDLNARKYPAGPGCRPSKKGAHSSPDTGNNRDVCGDVMAAARVDEIEEHS >SECCE4Rv1G0245250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:527234173:527234859:1 gene:SECCE4Rv1G0245250 transcript:SECCE4Rv1G0245250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPTRNDAHVVEIPVTGDGAEPASGAFLGKAASGHHPLGEIADSGGHLLLLKLWQREESRLNRRACALEAVMDAARRDAFYLCAAFLALHGLSLALLFAASVAGGAPSRACRRWWAPSSLSLAASLALAAAVQLRVCAYWRAARRLRRERGDARALTRCVQELRMKGASFDLSKEPQCGVTRTNCASVEGAGAWGPLQWCYRNVVTACLLAVAAAALPSGKLILCA >SECCE6Rv1G0386000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:88642609:88643256:-1 gene:SECCE6Rv1G0386000 transcript:SECCE6Rv1G0386000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQACSLPAHTTPSPNGKPLDEDDLRLRRRRCRCRCICVLVTLGVLLLLGVTLLVLFLTVLRVRDPSTRLLSARFAGPVPSLAQPNFTVELTAAVHNPNRVSFSYASGTAGLWYRGTHIGDAQVDPGRIPGRGDETVQLEMTVLTANFTKDMAQLIKDIEAGTLPLDASARIPGRVAILGVFKLSVVAYSDCHVVVGFPKMDIRGQECHDHAKL >SECCE4Rv1G0252060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:611736285:611741026:1 gene:SECCE4Rv1G0252060 transcript:SECCE4Rv1G0252060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTPNSTPKAKAKAASSGAGAGGATRPSPAGASGSGSAKASKFKKRKAIGAGAKVAAPAVAAADGATSAGAAGRVEPAPASVPKPSTPSGASPAASLKPATVAEGSSAAQVLAPKPDTAEEASASTPKPKPKPKPADAAAATSNGAGASGSSGGSKKKKKGHKERLMAWKGKGKQEEAQGNKKGMGKEDGAQGNKKGMGKEDGAQGNKKGMGKEEGAKKEGGGDSRGGGLIFMCNAQTKPECFQNRVFGMPMGKKEMVEKVRPGTKVFLYDFDLRLLYGVYKATSKGGINLVRNAFNGKFPAQVKFTTDKDCLPLPESTFKHAIKENYSASRKFDPEITSTQVRRLMALFKPITVQQSAPRGHLDGRYRHEERRHQHEDRPHPLHVEDRRPQVVVHVPAPEDSYRATHYAPLPTESRPGQLLVNVQDDHRYYQQAPPAPESQHIPLAQEARHVPLATGPHYAPSVPEPRHVPLAYYHHLAPSSDDSYYRSRVDPVHERIAARTPPRDYAGQLGELAARADHMSELYRTTVRDARLEDPYRPGELAARGARAEELYRPGEIASRGDRVADLYQDLYRPAEVPSRGARMEDLYRPGEVAARDVRMEDPYRQGGIDARGSYGGLYRSDQLNARAVDRAVDLPHSYQTSNPAYAEASQRPAPTARANGPGVPVSSLYSFAGAPAYR >SECCE4Rv1G0273260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:775153894:775154679:-1 gene:SECCE4Rv1G0273260 transcript:SECCE4Rv1G0273260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLGCSYGYFIFYSFEQCFLVDVYTSTIMKPPKFRHSDNSEIYYGIFTAPLSSPNSYLLFFSRNSMFQWQLGANSWTEHPLITERRIHQIVSFKGKMFAMDSLQKLNIISLAPQLGMTEVPVAVCGQDTVKPWLVVCGDMLLMVDLFIRVHEFCFQAYRLDLTEPAKWMKLDKLENWALFISLDTRSSTFSCMNPERWGGKSNCMYIPSGSKNSDKPWIEVELGQFVPTSSHPFTYILGWKSMQLESFWVLPSLVYGVSE >SECCE2Rv1G0088980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:250905780:250907840:-1 gene:SECCE2Rv1G0088980 transcript:SECCE2Rv1G0088980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFRFLLPILCFHGLHHVALGAATDDDRFVFSGFAGANLTLDGTATVTTDGLLELTNGTVQLKGHAFFPAPFRLRGSRGTAVRSFSASFAFGILTTYPNLSCHGIAFVVAPSTNFSGALAAQYMGLANIDNNGNASNRIFAAELDTMQNIEFDDIDNNHVGINIDGLRSVRSHAAGYYDDGDGDGEGENGGTFHGMSLISGDVMRAWVDYDGEAARIDVTVAPLERPRPVRPLVSASHNLSDVLTEPAYIGFSSATGPIDSRHYILGWSFAVDAPAPAIDITKLPKLPRLGPRPRSRVPEIVVPIAIAAFLLALGAVAALVVRKRLRYAELREDWETEFGPHRFSYKDLFHATDGFDDKRLLGAGGFGSVYRGMLRTSKLEVAVKRVSHESRQGIKEFVAEVASIGHIRHRNLVRLLGYCRRKGELLLVYDYMPNGSLDKYLHCYGGGDKKPVMLNWAHRFRIIKDVASGLLYLHDKWDKVVIHRDVKASNVLLDKEMNGRLGDFGLSRLYDHGTDPQTTHMVGTMGYMAPELVRTGKASPLTDVFAFGTFLLEVTCGQRPIREDDDAQSDSILLVDWVLQHWHGGTLLETMDPTLQDDCNVDEVCLVLKLGLLCSHPHANARPRMQQVVEYLDGDAPVPDLASTHLSFGVLALMRNKGFDPHVMAYPPSSVLSIGTISDLSGGR >SECCE5Rv1G0337360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:591050727:591053754:1 gene:SECCE5Rv1G0337360 transcript:SECCE5Rv1G0337360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYAGKAVDNSGTIVGIKCKDGIVLGVEKLITSKMILAGSNRRLHSVHRNSGLAVAGLAADGRQIVSRAKSEAANYEKVYGEPMPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGLSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKSFELELSWVCEESNRQHEKVPSDLLEQAKAAAQAALEEMDAD >SECCE6Rv1G0398590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:423022047:423023500:1 gene:SECCE6Rv1G0398590 transcript:SECCE6Rv1G0398590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSMGTSVAVTVAVAVVLFLARATHAEIRTTLIVSDARPLILFEQFGFARGGKAALSIRRSAWNLRPGSRLAGVDPTLMGFVLISGAQFPKINNASQYAAADPGGGSFCVLTSGYAVPMIRLSDVPPGGATSILSIDDPDEYAVVFNNCQEGVEVTMDVRTEMYNVRGGVPDGLRDYLPVGLQPLPNIYTVVSVVYFVFLVVWVWTCLRKRATAERIHVVMGALLLFKALKMACAAEDTWYVESTGTPHGWDVAFYVFGFFKGVLLFTVIVLIGTGWSFLKPYLQEREKNVLMIVIPLQVIENLVLVVIGETGPTGRDWVVWNHVFLLVDVICCCAVFFPIIWSIRGLREASKTDGKAARNLHKLTLFKRFYIVVVGYLYFTRIIVSAFLAVLNYKYQWGVNVAVEAASFAFYVFVFYNFHPVEKNPYLYVGDDEEESAGGQLEMDERAF >SECCE2Rv1G0075940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:86638502:86640235:-1 gene:SECCE2Rv1G0075940 transcript:SECCE2Rv1G0075940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLGDPHSRPAMGRVVAPTTPEMEVQAAALTTNCVLITLGGDRRPAPTSSVAEALHKEFNIPLSMVTVVRHFPEDYLATFTHPHHRDDVVNRGNFRRGHLELHPKPWIMEAHAEHEDMKHHVQLSLEGVPLHAWNSDTISRVIGVDCELDYVLPRSTRQEDARTLGIWAWATNPSAIPRVMHLTLPARRGPQRVPARGRRRLRYRVIIHLGLHEDFSNVRDGDSRASADIYEHTWVHGIVDGARVPTERREEPRDDLGRGRRRDDEDDDAARRGRGEARELDGRGSRWFGRSRSRASRDETRDRGGDQGRGANDGRVGRDGHRRRGSAVDSSASPVRSPRGGSSRCTHPLDAARSTSPTSVLPTPPSPVDAITPSFSIALQLLAPLSPSAVVATTTPPRPPGFEESPAQVTPPLASGVLASPTPSPASNSNNILAPLFVLPEAPILPGPVRAPPGNRANRRKTMAGMAIDPGFSLRRTTTHAKERRVAVPVTREAEKLVCRSLGIVQDGKDVTKDALEELSRRFQHELSPSVLSALRALFKLDDDEATAIEDALISRGGQAAMDHEIVAADATGEV >SECCEUnv1G0534050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35635577:35636101:1 gene:SECCEUnv1G0534050 transcript:SECCEUnv1G0534050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEAGRTIPKFGAWDVNNPASADGFTVIFSKARDDKKAPVNAHKASRSADSKDTRPDTKRSHSYNNSRANTSKKWFCCVSPSPTQP >SECCE3Rv1G0198080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:827183213:827185078:-1 gene:SECCE3Rv1G0198080 transcript:SECCE3Rv1G0198080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDAVAGGSPAAAPKGPLRVIFTPWFARQVAVGRWFTVFASFAILTASGATYIFGIYSKTLKSSLGYNQQTLNTISFFKDLGANLGVFSGLLNEVTPPWVVLAIGATMNLVGYLMVYLAVDGRTSRPPVWLVCLYIFIGANSQSFANTGALVTCVKNFPESRGIVLGILKGFVGLSGAIYTQLYLAFYGDDTKSLILLIAWLPAAISVVFVHTIRIMPYPRRRGGQETSGDPFFCFLYISIALACYLLVMIVVQKQFTFSHGAYSMAAAALLIVLFLPLCVVIKQEYKIYRERELDAALLANDPPPTITVAGEPAQVEMSTGAKAEQQASSEPPPSSSCSFGGCVKNMFRPPARGEDYTILQALVSVDMLVLFVATICGVGGTLTAIDNMGQIGQSLGYPAKSINTFVSLISIWNYAGRVTSGFASEVLLERYKFPRTLMLTGVLLLACAGHVLIALGVPQSLYVASVIIGFCFGAQWPLVFAIISEVFGLKYYSTLYNFGGMASPVGSYILNVLVAGRLYDAEADKQPGGGFTAGGGRDKVCLGVECFKRSFLIITAATVFGALVSLVLVWRTWSFYKGDIYARFRDGRLPVGQGRKPEEEESAPVNATKVEPQKALQA >SECCE6Rv1G0383860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:58414219:58415799:-1 gene:SECCE6Rv1G0383860 transcript:SECCE6Rv1G0383860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIKRRRVDAVDRLGDLPDCLLHCIISLLGSRQARQTSVLSRRWRHLWREVRCAVIDEREFADDQWERFEDFADHMLSSLPAAVELDAFRLHLVSSKRGHCGDMVSGRWVRRGLQRFPATVDISTAHNGTVFWKPHASVVYSYAAAPARRQPDLSSAGLCAAGFTRRLTTLRLVGVNMLPGFVEGLGRYCPVLEDLHVERCRMEKLHVVASPTLKSLTVVDPVCNLAGAELKLAAPYLAYLLLEIPYDGRDCNCVAGAAAPELLSLVDASIRLTDTSYDGQPNQRSRRKRKLEFLKSMRSFLALIPNVTKLHLSGFSTTALLEEESGEFPMLHNLKALLLEGCDLGVQFQALTSILWNTPNLEKLQLDNCTFLAPPFKKSKRRKQSSKGYRSTTPVFWCKKLKSIEVKHRLEDEAHMSKILSEISEGMLPEQWPHVNKSMSVV >SECCE3Rv1G0213090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:958204944:958207112:1 gene:SECCE3Rv1G0213090 transcript:SECCE3Rv1G0213090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPGVVQWWDEWQLRILVLGSLVIQYILLGASCLRKFPIRSWFRPVIWLAYLGSDAIAIYALAALFNRQKQDVHGVLEVLWAPILLIHLGGQDGITAYNIEDNELWRRHIFTAVSQVTVALYVFCKSWPDTGDTNLLVAAILLFIPGILKCFEKPWALSRASINSLVSSCEPVRRSIDTHKLKIDPLEDFIDKAISPAGPNDHLLTLTDNTRHKLFVDLASPSVDDRIRMLRSFSALDDHLAYHKLQSWISETFQFLYTKEKVFSGFIVTRARPMSNLYTVMKSSPALFFGVLVRGVAMYLPFAAIVLFQLSHREDYSEDDVKVTYALLVCTAVLEYWESALNMNVRTQESSWLSKLVKSIYDDKVYQYNLIELLVRNKEHSSLMSIVGFLRCDDFLHQHWRMKSCSSSFSITKLVLQYVKDGWKHHIKDVSSYRKFNDNRGQFTLLQHQGCYQELGWSLEGAFDESVLLWHLATDFCYYDKGASRSHHGYQCTQDSCPHAWCKKSDHHKRAVQCREMSNYMMYLLFVNPEMLMAGTRRNLFTAAYDELKNINPQGDKLEQGELTRNIIAAVDAGEGPPGQGGMIRDARIISKVLLGLLEDKVWDVIEGVWVEMMCFSAARCRGYLHAKGLATGVEYLTYVWLLLYYMGMETLAAKLQRADHHYHNGGVHGEDPSTSRGSRAATTQEEAAVPSRSSGTYKEHAECQSAGYQGSDPTWEEIV >SECCE5Rv1G0302240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:36713479:36715215:1 gene:SECCE5Rv1G0302240 transcript:SECCE5Rv1G0302240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLLGLSAAAFSGRSLSTGGALSALLRRSFSTGAASSSHPPPWAIIDHSAEVDRSSSAQTACFRPADPPGVSTISAPAHLIDPAARPSAGSSKVQYLGGNVVQVLFGHVGAASGDGHLLLSYHDLRAEGPCTSWDLTGNPEIQRFICNPLTGQILRLPDIGGSRRILVVHRMGLLTQADGGLGCGPPDRFAVADFVFNGDALARFLSDQGKWQTVMMGSPGGPLLPREMEMNQETIAFDGRLWWVDLTLGAVSVDPFADRPEIRFVELPSGSVLPAPACAHQRDLSKVEERTLSMIELAKRRRIGVSEGRLRYAEVTPDGPFLLSSYALDDGEGSGWKLEHQVSLRQVLADGGYPWQENSAQSAPQIAVLDPLVASVIYIKAGEHVVVVDMHNGKVIGASRLEDDYFSLVPCVLPPWLGSSQIPTAGNKDCVESADDMFSFI >SECCEUnv1G0530310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:12269127:12270163:-1 gene:SECCEUnv1G0530310 transcript:SECCEUnv1G0530310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEPAALPDDALAEVLRRLAPHVLAEARLVCKAWRDAVDDRLRGSLLPRSVRGIFINFPEGYFSEFFSRPSTGPAIDGGFDFLPCMCKGVEVKDHCEGLLLCRESSDRALLRRREYVVNPATRRWASLPNRPPPHMPGFHHTAHLAFEPAVSPHYQVFLIPRVPWRLPSDGESDEDDDNPSLESEWPPASYLIHVFSSVTQRWDETTFLREGDAAGIVANMVSYPWYDECRYYGVYWQRTLYIQTKNCYLTRMSLLDRTYRIIKLPGLVSQ >SECCE5Rv1G0347080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:665193356:665194408:1 gene:SECCE5Rv1G0347080 transcript:SECCE5Rv1G0347080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKDWSSLAPDLVSCVGDVFLDAGDIDYYVNLRAVCRSWRATTDRPRGLSRRFRPHRWVMLDDDLSRRVDSRLFLNVDTGRFLRKDLPLNNGYNYIGAADGLLVLEMARGRAHNICVLNPLTGSMVGFPSSLASHRFRSRVAMGAGKTAPVLFLFSPDSGRWCDPRHPSPRWFRMEHISSTPICTVVSFQGRVYITDIGGSVMALGFLGGHKKPEITTIINRSRELGQNFLVDNAGELLLVCVPWWQWHSVYAKRTHVFQVDLEGKVLEPVKSIGNRAIFLGHRCCLSVNVDHLPAIQGNCIYRYNDANLRLHMYQDHLEDGSREVVTLPALHRPFSLAQVILHCCRGD >SECCE6Rv1G0443930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:825910970:825911452:-1 gene:SECCE6Rv1G0443930 transcript:SECCE6Rv1G0443930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKPSVNLFALLDSNDPGDKLVIDLDDAHAKQDAPAAKHKKTAKPAASLTKDLFAQAYPSAQDYIIKKHQLERQARFKAKAKAEADARAKANNGVSGDDKSPDMSGTFEVPKRQQRNMSRYEAAPAEVVEAAPPAPQQRAAPPSPPSLYDINEFPSLK >SECCE6Rv1G0436990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:780476749:780477800:-1 gene:SECCE6Rv1G0436990 transcript:SECCE6Rv1G0436990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLVGLLAAALVAAAAAVAAAGAGLPSNFAMITPRGPLLGKRDAGEYCGKRKCMAKCESRCPDQCFVLCPSCKTLCMCDYYPGISCGDPRFTGGDGNNFYFHGKKDQDFCVLSDADLHVNAHFVGTHNPATGRNFTWIQAIGIRFADHRLFVGAKNTVKWNNRVDRVEMALDDETIDIPSKFDARWESTAVAGLAITRTATTNGIRVQLKGVFDIMASVVPVTEKDSRIHNYGVTEDDCLAHLDIGFKFHDLTDDVHGVLGQTYRSDYINKLRVSASMPVMGGITSYVSSDIFATDCAVARFGRRTSISMVASSDT >SECCEUnv1G0553480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:270709136:270760271:1 gene:SECCEUnv1G0553480 transcript:SECCEUnv1G0553480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) UniProtKB/TrEMBL;Acc:A0A1I9LRW7] MAADPAAASVAAAISAAMDWRSSPDARNAAFAYLESVKSGDVRALASTSFLLVRKHQASEIRLHGFKMLQHLVRLRWEELSIAERNEFANLTINLLSEVIGPHEEWALKSQTAALVAEVVRRDGVTLLNTLLPSIVSLSNTGPVEAELGSMILRWLPEDITVHNEDLEGDKRRALLRGLTEALPQILPLLYSLVEKHFVAALSEHTKQQMELAKHHVGTVTAVLNAINAYAEWAPVTDLAKYGLVHGCGSLLSYSDFRLLACEFFKIVCQRKRPADVAVCEYDAAMSNIFQVLMNISQEFLTKSRMQPIAIDESEYEFGVCICETVVALGSSNMQCILVDGARTSHFLQQMLEYYQHYRIVLHFQSLLFWLVALREPSKAKSVARVSGDTSPAGNLASVVVSSTEKEKKGVSLFITDEIYSTLLDVSLRRMLKKSVNSSSSLLELWNEELEGKSDFSNYRTKLLDLIRVIASQRPVIAAANIVQRINVVSGDANQTTKSPQDLDAMVGAQLGLETVVSAIFDGSGDYAKTDHEAKFQIHRTFEGLLRQLLSLKWTEPSLIVIHGHYLDSLGLYLRHYPDAVASVVNKLFELLTSLPITIKGPSNNSRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHDHLCEAFLIMASSSGIQQQQEVLAWLLEPLNKTWTQVEWQTAYLSDPSGLTDMFADTQFMWSIYHTVTFFEKALKRSGAKRSTTAPQAAITTTTATGYLHPMSSHLSWILPPLLRLLRCIHALWAEPFAQSLTGEIKAAKSMTISEQASLLGETSKLTKGQVAPADGLLDVQREGESKENNIRNWLRGVRDSGYNLIGLAATLGEAFFRCIDCSSVILALMENVQVMEFRHLRQLMHLAVVPLVKYCPAELWQIWTVNLLQPIFVHCQQALDYSWSNLLREGRAKVPDNFGNLSGSELKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPSLEQLGPVNRIDTSLKSLESFASSSLTGFLMLNVGTALPALRITVEVFSWTDSEAVTKVLPFCGALIHLAVATNRAELRQFVGKDLFSSIIQGLSVELNAVVSAELIGLCREIYFYLSDKHPAPKQVLLSLSDMKHEDLLAFDDFLSKTASPKEQKQHMRSLLLLATGNKLKALASQKTTNIITNVTTRNRGSVAHHGPSAEEDDHIGLAGLS >SECCE2Rv1G0123480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:824221863:824223060:-1 gene:SECCE2Rv1G0123480 transcript:SECCE2Rv1G0123480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGSGVACSDQLRLTKRNFHDLCTMLRERCGIRDSAYVTMEEKVAMFLLVVGHSIKMRMLRGTYKRSLWTISTHFSSVLNAILSLHGEFIKFPSSTAQALVDYKWKWFGNALGALDGCHIDMLVDVADQGRYRNRKQGINTNMLGVVDWNMKFLYVLPGWEGSVSDSRVLRDAMRANREDAFVVPKGKYYLVDAGYTNGEGFLAPFRSTRYHLKEWAASAQQPHTSKELHNLRHSRARNVVERTFGLFKKKWAILRSQTFFDIKDQIRIISACCVLHNFARDRQHVMDDLLLPEVDNELANAAIDDPDDDNFIRRVQVTTEWSNFRQQLADDMFAEYLGVQGQQEME >SECCE3Rv1G0175820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:435005359:435009344:1 gene:SECCE3Rv1G0175820 transcript:SECCE3Rv1G0175820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAPTRKESGRLPSRHGGHAHGHSGLARTAHGMSSSSLRKKSDATLVRKVPVASLRPVLANLQEVLLGTKLAVLFVAVPLAVAAQCFRFGQVWVFALSLIGLVPLAERVSFLTEQIALYTGPTIGGLLNATCGNATELIIAVFALVQGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGIKNLGADQPYDRKQADVSTGLLTLGVLCQSLPLLLRYAVSSGEHAVSTDTTVLELSRACSFIMLLAYVAYLFFQLKTHRQLFEPQEIEGGDEEEEEAVLGFGSALFWLILMTIIIAVLSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAVIFALKNKLDITLGVALGSATQISMFVVPLSVLVAWIMGIQMDLDFKLLETGSLFISVLVTAFTLQDGTSHYLKGVLLLLCYIVIGACFFVTRQPAHHANGSDTLLDVPTGPMIVQVA >SECCE6Rv1G0429220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:734253068:734260314:1 gene:SECCE6Rv1G0429220 transcript:SECCE6Rv1G0429220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEIHRGFGGLRRGGSSNAFSRSSHHDDEHDDEEALRWAALERLPTRDRARTAVLDHFPGRDDGVRAVHVGRLGAGERAALLRRVASVADDHGRFLARFKERVDRVGVELPTIEVRYENLCVEAEAYVGSRGLPTILHTYANVLEGMANSLHITPNRKQKISVLHNVSGTIKPHRMTLLLGPPGAGKTTLLLALAGTLPSSLEMSGKITYNGHTMDEFVPRRSAAYVSQNDLHIGELTVRETVNFSAKCQGSGHRFDLLMELSRREKEANIKPDPEIDVYLKAAATGEQKAEVVTNHILKILGLDMCADTIVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHILGGTAVISLLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESVGFKCPERKGVADFLQEVTSRKDQRQYWIHSDETYRYVAVKNFAEAFQSFHVGQAVRSELSAPFDKSRSHPAALETSKYGASMKELLKANINREMLLMRRNSFVYIFKATQLTLMAIITMTVFLRTNMHHDSITNGGIYMGALFFGIVMIMFNGLAEVGLTVAKLPVFFKQRDLLFFPAWTYSLPSWIIKTPLSLLNASIWVFITYYVIGFDPNVERLFRQFLLLLVMSETASGLFRFIAGLARNQIVANTIGSFFLLICMLTGGFVLSRENVKKWWIWGYWISPLMYAQNAISVNEFLGDSWNKTIAGFKEPLGRLVLESRGMFTEAKWYWIGVGALLGYVLLFNALYTICLTFLKPFDSSQQTISEETMKIKQANLTGEILEETSGGHNNTTTLDTADESNGESTSNNATVNSCPSKKGMILPFTPLSLTFEDIRYSVDMPEEVKAQGVKEDRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYVEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPADVDSSTRKMFIDEVMELVELSPLKDSLVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGRHSCELIKYFEAIEGVSKIKDSYNPSTWMLEVTSAVQEQITGINFSQVYKNSELYGMNKNLIKELSTPPEGSNDLSFPTQYSQTFLTQCFACLWKQSQSYWRNPPYTAVKYFYTVVMALLFGTMFWGIGRKRQSQQDLFNAMGSMYASVLYMGVQNSATVQPVVAVERTVFYRERAAHMYSPLPYALGQVAIEVPYIFVQSLIYGVIVYAMIGFEWTVVKLFWYLFFMFFTLSYYTFYGMMTVGLTPNYNIASVVSSAFYTMWNLFSGFIIPRTRIPIWWRWYYWLCPVSWTLYGLVASQFGDVTEKFDNGMLVSEFVEGYFGYHHDFLWAVGLVVASFAVLFAFLFGLSIKLFNWQKR >SECCE1Rv1G0059580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:706960966:706966978:1 gene:SECCE1Rv1G0059580 transcript:SECCE1Rv1G0059580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSLLRSASQHLRQRRRAYSSTPAQPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAATPGVAADVSHINTRALVKGFVGDDQLGEALEGADLVIIPAGVPRKPGMTRDDLFKINAGIVKGLCTAIARHCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTGVNVPVVGGHAGITILPLFSQATPASNALSHEDLVALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFGDACLKGLNGVPDIVECSFVQSTVTELPFFASKVRLGKSGVEEVLGLGELSALEKEGLESLKGELLSSIEKGIKFAQES >SECCE6Rv1G0403540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:506466482:506467966:-1 gene:SECCE6Rv1G0403540 transcript:SECCE6Rv1G0403540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTASYVVVALCLLVLVAEHAEARQPRLVPAIFVFGDSTVDVGNNNFLGGTRKEGRANFPQYGVDFPTSKPTGRFSNGFNTADRLAQLLGFPMSPPAYLSLTRRTIRSQMFKGINFASGGSGLGDHTGRLVVGEVISMTLQVETFATVVEHMYQSAGSKRTASFLSRSIFFISTGSNDMFEYSFSRSNDRKFLAGLVASYKYYLKALYHLGARKFSIVSIPPLGCTPSQRLRRLEQMGTQGCFDPLNDLSLESYPLLAAMLEELAHELPGMAYSLGDAYTMVSFVFANPQTNDWSFTELEAACCGEGPFGASGCNQTVPLCGNRDNHLFWDANHPTQAVSGIAAQTLFVGNQTFVNPINVLQLANM >SECCE7Rv1G0479120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:248316878:248321667:1 gene:SECCE7Rv1G0479120 transcript:SECCE7Rv1G0479120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAMAAAAGETPPLQANYVFGRAWPDLNEGLSYTDTFRGADAETTTTTTLIAFYSENYKSSAPLPGWIHRIRNGQITVNGQVVTDPDMILREGSKLVYHRLAWKEPFAPHLLQVLYEDDDMVALNKPSGLQVLPKGLFQQRTVLTQLQWKEWKMPPSSCSERKNVQLHPVPVHRLGRGTSGLLLCAKTKLAKVGLASYFAEGAANAEKKRDGTEVGKERKISKFYRALVTGILEHDEDVVTQPIGLIHYPGVAEGLYVACSSGKPAMSKVCVLERLAHQNQTLVQVEIHSGRPHQIRIHLAYIGHPLVDDPLYGIGGQPKFLDLESTSMDISFAYDGGYKRPLQPVPGDCGYHLHAHWLVLSHPTTNKMVKITAPLPHILQTREECCDPQVDT >SECCE3Rv1G0185810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:678228066:678229196:-1 gene:SECCE3Rv1G0185810 transcript:SECCE3Rv1G0185810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSCLADDTSSGGASTDKLKALAAAAAAAAGPLERMGSGASAVLDAAEPGSEADSAGARAGKLPSSRFKGVVPQPNGRWGAQIYERHQRVWLGTFAGEADAARAYDVAAQRFRGRDAVTNFRPLADADADAAAELRFLAARSKAEVVDMLRKHTYFDELAQSKRAFAASAALSAPTTSRGAADGHASPPSPAAAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPAAGGESKGLLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKALGAGDVVGFYRSAAGSTGEDSKLFIDCKQRPNTNSAEPVDKPAPLHKAVRLFGVDLLTAPAPEQGTPGGCKRGRDLVKSPPPKVALKKQCIELALA >SECCEUnv1G0539730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77288731:77289156:1 gene:SECCEUnv1G0539730 transcript:SECCEUnv1G0539730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTAAILAVLAVVLLGMGCPAAATIACSDCTTQCSSSCSTGNFPCTSDCSPAPACVQQCKATGLPQCMSGCTGSCNNGCRGTSCNSYCNSYCSNACSSSVDSGCKSSCTNSQLCNTCKDNYSRQCNACCTTYCKSNCV >SECCE4Rv1G0241310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448341926:448342472:-1 gene:SECCE4Rv1G0241310 transcript:SECCE4Rv1G0241310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLSTFCFEILRRVALWRAHSIYDFTFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSQIGQPFKLPSESMSRQETTWRTETS >SECCE7Rv1G0473690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:159770140:159771180:-1 gene:SECCE7Rv1G0473690 transcript:SECCE7Rv1G0473690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRTIARSIWAAKNAAACAAAVPKPPPAILPARRALPVVEDCPTLAFLRPRPATARYSTVSVPLPHHCFPAFPVGDQLFNRLVDGLTPPPAAVPWRPGETGVTLQEARKVARAAEMEAARATLRANPQSVVSRSEYAALCVDIAGGAEGGRRLAVALDESGVVIVLADAVFLRPDQVAKAIGSMLPAPARAAAAGGDVEARRRELRVLEQQKAAIDAKAAAQVRRELWCGLGLLAVQTLGFMRLTFWELSWDVMEPVCFYVTSIYFMSGYTFFMRTATEPSFEGFYRSRFASRQRRLMKARQFDVARYNALKQGELRGVSGSAEHSDRGECDADVFRHATHAHQ >SECCE1Rv1G0040050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:556634560:556636510:1 gene:SECCE1Rv1G0040050 transcript:SECCE1Rv1G0040050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMGTGGGRRLRWCPSPACLLLAFLLAMPGLAAGVTRRYTFNVTMATVTRLCTTKSIPTVNGRFPGPRITVREGDRLIVNVHNNINNNVTFHWHGVRQLRSAWADGPAYITQCPMRPGQSYVYNFRIVGQRGTLWWHAHFSWLRATLHGPLVILPPRGVPYPFPKPYREVPLMLGEWFNADPEAVIKQALQTGGGPNVSDAYTFNGLPGPTYNCSGGANSTFKLKVKPGRTYMLRLINAALNDELFFAVANHTLTVVQADASYVKPFAATTLVISPGQTMDVLLTAAANPSSTAFAIAVAPYTNTVGTFDNTTATAVLEYAPQRAAALRGLPAPPLPRYNDTGAVTNFSSNFRSLASARYPARVPLSVDRSFFFAVGLGADPCQSPVNGTCQGPNNTRFAASINNVSFVMPKTSLLQAHYRRRYNGVLAANFPAAPLRKFNYTGTPPNNTFVTHGTRVVPLAFNTSVEVVLQDTSIQSAESHPLHLHGYDFHVVGTGFGNYDAANDTAKYNLVDPVQRNTISVPTAGWVAIRFVANNPGVWIMHCHLDVHLSWGLSMAWLVNDGPLPNQKLPPPPSDIPTC >SECCE1Rv1G0024330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:323817881:323819166:1 gene:SECCE1Rv1G0024330 transcript:SECCE1Rv1G0024330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRAALLCLLGMLIASPAIAVSDSGIYYQIGLMWPGAYCAQTTSGCCMPKSPVFPASDFYVSGFTVFNATTDAPETSCNSTPLNSGEILEISGLRQYWSNIKCPSNNGQSSWKSAWKTSGVCSGLDDKAYFQAAIALRSKINPLSRLVSKGIKPDFGLYSLEKIKKVIQAGTGVTPVIQCSEGPFDKFQLYQIFICVAGDAKTLIECPKPQKFTCSDEILFHPFKKWMLKQAASSAVSYAEAFEMAGAAIGY >SECCE3Rv1G0201740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:867022010:867024079:-1 gene:SECCE3Rv1G0201740 transcript:SECCE3Rv1G0201740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQAAADAADAAAAAEDVETGEHERKGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALVGFACVTYYTSTLLANAYRAPDPVTGARNHTYTDAVRSYLSPREVFMCGIAQYGNLWGTMVGYTITATISMVAIRRSDCVHENGQGARCDAPGTVLMLAFTVVQVVLSQFPGLEHITWLSIVAAVMSFAYSFIGLALSVTEWASHGLRPDGRIAGATAASSSKKTWDVLLALGNIAFAYTFAEVLIEIQDTLKSPPSEHKTMKKAAMYGIGATTIFYISVGCAGYAAFGSDAPGNILTAPGLGPFWLVDIANMCLILHLIGAYQVYAQPIFATAERWIVSRWPDTKFISSAYTVSIPLMQRGSVTVAPYKLVLRTVIVIATTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQGKITKGTKWYLLQGLSMVCLMISVAVGIGSVSDIVDSLKVSTPFKTVS >SECCE6Rv1G0383310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:51985447:51987141:1 gene:SECCE6Rv1G0383310 transcript:SECCE6Rv1G0383310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTVLPLLLSPQALLLYLLLVPLLIFLRATSRRSAGERAAADNHGGRPVPSPPNKLPLIGHLHLVGPDPHVSLAVLTAKHGDGGLMLLRLGQTRVLVVSSPRAAEAVLRTQDHVFASRPPNALVDALLDGGADVAFAPYGEFWRQARRLVTTHLLSARKVQSLRRGREEEARLVMAKIQGAAAAGAPVDMTRLLGSFTNDIACRAVSGKFFREEGRNELFREAIDGNVAAFGGFNLLDYFPGLAKVGMLTRLVFARTDRLKRRWDELLDKIIDDHVSKKASPQEQHHEHDQQEDQETDFVDVLLGLQEEYSLTRQHIKAILMDMFVAGTDTSYIVLECAMAELMRKPNLMTKLQAEVREKTPKGQQMVTEDDLGSMAYLKTVVKETLRLHPPVPLLLPHLSMAECDIDSYTVPAETRVIVNAWALGRDHGSWEAADEFMPERFVDAVSPDFKGRDFQFLPFGAGRRICPGINFGMASVEIMLANLVYCYDWELPDGMRREDLDMTGVFGMTMRRKEKLFLVPRTRDVN >SECCE6Rv1G0407560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:559020262:559025312:-1 gene:SECCE6Rv1G0407560 transcript:SECCE6Rv1G0407560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVAQRRPAAPPAPPAAKKVVKVPSRTAKRAAPKKQKLPEPSPSDDSEAEQQPLHEEEEEEDSDVEAPSDSDAEELSGSDAGSSDGEEDDDEEDEDDDEEEEDDDPLAGDFLAGSDDDSDEGGDSGDESDRSDDLVAKSEALDRQKEQAEEDAAADLALNIRSESDEFRLPTQEELEEEALGPPNLPNLKRRISEIVRVLSNFSKLRQENVARKDYVDQFKTDVMAYYGYNEFLIEAFVEMFPAVEVVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTVSSGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANELNEKRLHGLLGNIHRLGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTVWKDAQIKTSKDIDDIRNCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSMMIPENEAVIDYALKKRDVKVVPCGLDFGRPGFIRFREHRFHTSLEKTRRFYPHVNNMDGFFVAKLKKMSNTIPASSESSKEPEEAAEGVVDPNLDEEDEKDVPDRQPTKSKNHKQGKKKNEQASIPEVEGDQSQAPERPAKQPKNNKGVKNSGPKSADTNGDKKETHNEQAEHAKSHKGAKKSKGPKIAGMDVDEKETLSEQTEQVKSNKGAKRSKGPKSAGINGEKKDVAEEQTEQTSHKKKHVSDKIKNSGSKSTSGTKEKKPGSKEGSNKRKRKWQFKLRRDWEAKQKSEKRTKV >SECCEUnv1G0539660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:76583940:76586093:1 gene:SECCEUnv1G0539660 transcript:SECCEUnv1G0539660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLVPVGRRISLLEKNIKDRYHTGRIGTEDALQLFDELLPDARPSSIHAINCLLTVVGRDCPALGVSLFNRVARTKVPLQNVTYGILVDCCCRAGRLDLGHAAMGNIIKMGFTAEAIVNFSHLLKAICTKKKTSYAMDILLRIMPMFNCTPNIFSYSILFKGLCNEKRSQEALELIHIMAEDGGSCQPNVVTYNTVIDGLLKEGLVGKAYTLFSEMLQREISPNVVTYNSIIAGMCKLHAMDKAQEVLQQMFDRGILPDTTTYNSLIHGYYSLGQCEEVDRIFEEMSRHGVQPDIVTYSIQMDYLCKSGRSAEARKIFDSMISLGQKPTVTTYSILLHGYAMEKSFDEMYCLIDLMVGNGIAPNHHVYNILIYAYAKEETVGEVMHIFTKMRRQGLNPDVASYGTVIDLLSRIGRMDDAISQFNQMITEGLAPGITVFTPLISGFCTCGKWEKVPELFSEMLDRGICPNTVFFNTIMDRLCKNERVMEAQDLFDLMVHMGVKPDVRTYNTLIGGYLFDGKMDEVRKLLDNMVSIGLKPDVITYTILIDGYSKNGRIDDALVVSREMLSGKVKPCVITFNIMVGALLKCGRKAEAKDLFDGIWANGLVPDVITYSLMIQKLIKEGSLEESDDLFLSMEKNGCAANSCMLNAIVRSLLRKGEVPRAGTYLSKIDERRFTLEASTASLLTALASGGKGQEYKALLPEKYHSFLEQGTD >SECCE3Rv1G0210510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943967971:943968561:-1 gene:SECCE3Rv1G0210510 transcript:SECCE3Rv1G0210510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLAAPASPLFNDDQRSQQAYTVWMKSLVFNGNGCAVYGPDGAVAFRVDNYGCRGGREVVFMDRAGNALIRIRRKGFGMFRRWEVCRCAHNGGQEEEATPWFSVRRAEKDGAAVAMHGGAGTCYTIDRCSARKPEYRVRGVDGAVVAEVARKQTPAGVVLGQDVLTLTVAPEVDHLLFLGLVVVRGLINRSL >SECCE6Rv1G0392100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:250326748:250327096:-1 gene:SECCE6Rv1G0392100 transcript:SECCE6Rv1G0392100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRSVSRPVAAAFLRSASARSPAASLPRALAPTPRASSLGRQVALARSLQPLHSAISAARLTSRLGAEVARAVSQGTLCSSYPGV >SECCE5Rv1G0351390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:698947578:698948453:-1 gene:SECCE5Rv1G0351390 transcript:SECCE5Rv1G0351390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDNLLMATELRLGLPGTDDKPHKLTSVSPPATPRGKKRTMDAFEDTTSEEADKSDDVETAPPVAKAQVVGWPPVRSYRKSCFQAQAAASKSKAKKADEASSNNTPSAAAPASTNGSFVKVSMDGAPYLRKVDMRMYKGYRELREALEAMFVCFSGADGGASNGGGANPAEYAITYEDKDGDLMLVGDVPFDMFSGTCKKLRIIKRSEATGLGSR >SECCE3Rv1G0212100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954435452:954436444:1 gene:SECCE3Rv1G0212100 transcript:SECCE3Rv1G0212100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGGKHFILVHGLCHGAWCWYKLVPMLRAAGHRVTALDMAASGAHPARMDEVESFEDYSRPLLDAVAAAPAGERLVLVGHSLGGLNLALALERFPRKVAAGVFLAACMACAGRHMGVTIEEFSRRTPSDFFMDSKSMVLNTDQGPRPAVALGPKLLAAKLYDRSSVEDLTLATLLVRPGCQFVDDPMMRDEALLTDANYGSVKKVYVVLADDASTSEEMQRWMVDMSPGTAADEFAGADHMAMCSKPRELCDVLLRIANKYD >SECCE2Rv1G0119430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:791137731:791151083:1 gene:SECCE2Rv1G0119430 transcript:SECCE2Rv1G0119430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVRFGLLVAMFQAMTRDRTSAKKRGRLRTFLDRAYGASGRDDYFSALRLILPSLDRERGSYGLKEAALAAALVEALGIAKDSPDAVRLTNWRRGGGGRNAGNFSLVAAEVLQRRQGMTSGGLTIKEVNDALDRLSASDTRSEKASVLSSLIKKTNALEMKWLLMIIIKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKWVCEKLNDPSQRHKRQDIEVGKAVRPQLAMRVGNASSAWKKLHGKPVVAECKFDGDRIQIHKNGEEIHFFSRTFLDHSEYTSGMSKFIKENILVERCILDGEMLVWDTALNRFAEFGSNQEIAKAAREGLETNRQLCYIAFDILYSGDTSVIHQSLTERHEILRKVVKPLKGRLEILVPTGGLNTHRSPDEPCWSIFAPNIEDVEKFFKETVENRDEGIVLKDLDSKWEPGDRTGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVSSDDNSHPKRFLSFCRVGTGLSDEELATLVAKLKPHFSKSGTPKKIPRFYEVTNNSKERPDVWIESPDKSVILSITSDIRTIKSEVFAAPYCLRFPRIQRVRYDKPWHECLDVQSFVEIVHSSNGSMHKAEDDKSLKNDNLKNPKINKRGEKKNVSIIPSHLMKTDISGLKGETLIFANTMFYFVNTPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAAIRHGRIIHYSWILDCCKQKLLLRLQPKYILFLADFARHKFPEEIDSYADFYYWDVDVSDLKQIFINMDKVTVDPSMVHRYKKKYYADERFCFFQGCCVYLYHAPLVNEDYNVISDLALKRVKQDLTMHGGQYCSSLAPATHLVIVSVLQVYNFDILYKSFSPAERRYLHDKRLHVVSNKWLEDSVEKQMKLPETAYNLKPDTLEEIQIERSEEKALPSNDKTAENEDVEISHVKHARKRGRAASSSARTVRAAPRPVRTTRARRGNKQAKIDDGEPEENGPGETGQDDEKSNTDHISRMEEDNSDKDQRPPRAAPRPARRTSARRGTRQAKIDYRESDESGPGETGEDQKLGTDSVIKMEEDSLDEGQGPPAGAQFITLDEREPKVVKLSAAEEKTSSPKFESKGTSQRTDAGEGTSTAGEKIEQMVDPLHAMLLDMIPSLREDASSDPPAKVEHDPPTLDNRVPVPETGGSASNPAAPAPRAGSSSYSAAPDPNPAAPKKKKVSYKDLADEFLKDW >SECCE4Rv1G0259160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:683579819:683581925:-1 gene:SECCE4Rv1G0259160 transcript:SECCE4Rv1G0259160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAAPMPPRRRRWPAYLLAAAVLLAVVTAARAQDYNNGGGGDDEEEEKPKAQVKAQEACNGAFLSYTFMERAKEYPHLKNATAQAYAFKAQATVLNTMTTDLKAWQMFVGFQHKEILVSVGGAVLLDGTDFPANVSGGVTFAGYPMADLLNSIDTAGDLTQIQVQIDITGTQFGVKPPGKPMPKTIKLANPGYRCPAPTHKDSVMYVCCVKDPKFKAKKANSTRYLPRQKGDLTMAYDVLTSYGNKYIAQVTIDNWSPISRLDNWNLTWEWKRGEFIEKMRGAYTLLKEGPACVYSPAASYYKDFDFTPVYNCEKRPVIVDLPPDREKDNDVGNLPFCCKNGTLLPPIMDESKSRAIFQLTVYKMPPDLNRTALYPPSNWKITGKLNPHYVCGQPIRVSPMEFPDASGLMSATPAVASWQVACNITRPKKRASKCCVSFSAYYNDSTVPCNTCACGCGNDTAMCDPDAHPILLPSEAMLVPFDNRTAKARAWAKIKHWRVPNPMPCADNCGVSINWHVMNNYKSGWSVRMTIFNWQDYTFKKWFAAVKMGDHYSGYENVYSFNGTRMGAPFNNTIFMQALPGLEFLEPITDGKTSAYPRLPGKQQSVISFKKKDVPNINIPKGGGFPKRVYFDGEECALPEKLPSNARRRAGAPSLLQAVTGVVLVMIVALVDSSCL >SECCEUnv1G0531710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17742822:17746282:1 gene:SECCEUnv1G0531710 transcript:SECCEUnv1G0531710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLQPLLLLPLLCASFLGLPAPAAAACSPKKCGDLNITYPFWLEEPGRPPCGSPSFQLNCNGSQALLSRSMLGGYQVVQVFAENSSFLAVDNNLPLDDGCPKFWFNISLGLGLSPFVISKKNKELLVLDKCTEQRVTPPGFNRTGCANESFIRLGGDYGSHREPGVVPPACRLSVVPVVGFPGGGDYVRSMGQGFLLEWTVPSDNCPKCEASGGQCRYANDGTGFSCHCSGGVYPEKCGESKGTTRMAIIFGSLGAAIAFMAFVSYLLHQRKRRKQAVASNEFMRSGSSMTSYSKDLELGGSPHIFTFEELEVATDGFSASRELGDGGFGTVYKGKLKDGRIVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVILYGCTSRMSRDLLLVYEFIANGTVADHLHGSRAAERGLTWPLRLNIAIETAEALAYLHAVEIIHRDVKTTNILLDNNFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMSRSHSEINLANMALNRIQNHEVLQLVDPELGYGTDPETKRTIDRVAEVAFQCLQMERDLRPSIKEVVEILTCVRDGDCQSKSMKKKASQKEDACLLTDDLQFSPDSVIHRFHSQSTNHSVASNGSGL >SECCE1Rv1G0035680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:500292652:500293116:1 gene:SECCE1Rv1G0035680 transcript:SECCE1Rv1G0035680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEHAHSSAVERLLNCEVPLRAQYIRVLFCEITRISNHSHASTTHAMDVGASTPFLWAFEEREKLLEFYERVPGARMHASFIRPGGVAQDLPLVLCRDIDSSTQQFASPIDEIEEMSTDNRIWKQRLVDIGTITAQKAKDWEFSGVMLRGRAT >SECCE2Rv1G0140810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932830599:932833852:1 gene:SECCE2Rv1G0140810 transcript:SECCE2Rv1G0140810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLAFFCFFSLSCICSHARLPPSPRSSNATADELALLSFKSMLSSRLLDSWNTSSHYCSWPGVACGRRHPDRVITLRMGSFNLSGHISPFLGNLSFLRELDLRDNQLIGQVPPELGRLGRLQLLNFSANFLQGEIPTEIGALKNLYILNLQENGFSGGIPHSLADLPSLEFLFLSNNRLFGEIPSSLGNLSLMHLDLMGNNLSGPIPPSLAMMSSLSWLSLGYNNLSGPIPEFITALTNCSQLQNLELGSSKFGGVLPSLVSNLSTSLKRLDLQSNTISGNTPKNIGNLANLEYLVLDSNSFRGTLPSTFGRLNKLQLFSVENNKISGSIPLTFGNLTELISLELQENAFSDNIPSTVGNLTKLLTLNLASNRFSGQIPVAVFNISTLSLILDLSYNNFEGSIPQEIGHLKNLVEFHAESNMLSGEIPVGLGECQLLQNIYIQNNFLNGNIPSLLSQLKGLQNLDFSNNNLSGMIPSFLGNLSTLYQLNLSFNSFAGQVPTFGVFANSSAISIENNGKLRGGIPTLHLPPCSLDIPKKRHRFLIIPISLSLVTTIVVLALLCKLCIVHKKSKQKIPSTTSRQGLPMISYLQLAKATDGFSSTNLIGSGSFGSVYKGVIDDQPEESTNLVAVKVLKVHTPGALKSFIAECEALRNVRHRNLVKIITACSSNDNKGNDFKAIVFDFMPNGSLDVWLHPYTNEQTERMYLDLLQRVTILLDVAYALDYLHCQGPAPVVHCDLKPSNVLLDADMVAHVGDFGLARILVDGSSLCQHSTSSMGLRGTIGYAAPEYGAGNMVSTHGDIYSFGILVLETITAKRPTDSRFGQGLSLCEYVELALHNNSVMGVVDSRLPLDLKSDHQTVDYHHSNKRNVDCLVSVLRLGISCSQESPSSRMPTRGIIKELKAIKESLSTQ >SECCE5Rv1G0318710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:366346505:366350386:-1 gene:SECCE5Rv1G0318710 transcript:SECCE5Rv1G0318710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAASRLRAHCQPGSPHALRRLYSPPPPPPQPPRVDPPVTVSEARRLVRLVGVEALKRRLRDGPREAIGYGELLDACVEAGAARTRDDAEGLARAMDDAGVLLLFRDKAYLHPEKVVDLVRRAVPLALEVEDDPRREELRQLQERKDDIDKLAHKQVRRILWSGLGLIMSQIGLFFRLTFWELSWDVMEPIAFFTTASGLLVSYTYFLVTSRDPTYQDFMERLFLSRRRKLCAKHRFDMERYLELHRHCKCPLEGHYPHGPKLQNL >SECCE7Rv1G0471560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:131673206:131681560:-1 gene:SECCE7Rv1G0471560 transcript:SECCE7Rv1G0471560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPNGLLPNASAGVTRRLDPDRWAVAESRTAELIARIQPNAYSEGRRHAVYNYVQRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSEELKDTWANLVRDALEREEKSENAEFCVKEVQYIQAEVKLIKCLVDNIVVDISFNQVGGLCTLCFLEQVDNLINQNHLFKRSIILVKAWCFYESRILGAHHGLISTYALETLVLYIFHVFNNCFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPISSLPDMTAEPPRMDTSELLLTKAFLDRCNHLYGVMPRTQENQGQPFVSKHFNVIDPLRANNNLGRSVSKGNYFRIRSAFSFGAKRLAKLLECPNEDLIAEVNQFFTNTLTRHGSGNRPDAPTPNLFSKHALKVVPAEASNSHENATMPKKKIEKPKLRANQGSLTEGSHSYAEPIAQQPQRSDLRPRNSPKAIHPAVSHAQHQKVNVTQPNTKVSEPLERNRSGGSMPSERDGRMPNALFVNGRNGQNMSPFARTQSSPELTDPSIEGYSRGRRTRVVEMEKPVNNDYNSRRNNLVPEVPSNHSTKSSQDESMSSMNSSSHPSAKAASESNSVSSSYHEDNGFVINEELPSVSEASEMQHEEHALVNLMASAKLHGFNGQAQLPMQLPSHMSVASLPLLPPTAFPQKSFAGIPPTSLIGSQWLHNMQFLQGFVPPPMAHYTYNPSFAPNTEDGNESEKSTTSDSNLDPGNTQYEYGFRLSRNFNHEGRDSHVHHFDDNNRSSSPNGVSGPPLERQMQYTVEDSGVVEENYTNMFQNQTSREVRRNAPMSSGNLRTTSQPSSSKSKPLSESSWDERAAKTSRASRDKWEKKPAFPAPATTTLSISKTGWQTGNTSDHMPTEADDGARNGVVVPVIRHEASDIVTGSVSTDPSRTSQVRNDFNASWMPNPMFAPFLIGSSQQRQADNSGLTFVPTGPPVPFVVLPYVPGNSDGSVPQFEGNDGIDQFPVNVAVQNFSSLNDVHQPDNSATSTASSSTVANPSYDHKPDILNSDIASHWQNLQYGRFCQDARPMGPVLYPFAVPPGYMQGHVPWDGPGRPPSPNVNWTQMVGPGQRAFPMMPLQPASERVNAVPPHYGEHAPRYRGGTGTYLPNPKVPFRDRERPSSSRYFRGGYNSDKGDNSDKEGSWANSRQRNTGRNYGRSQSERYGMRSDRQTTDEGQFDRQRRSYRNDSHRHEAGAQYLGQGQSHGSTNSTRRPGNIAHGVYSSPYAVPNGVGASDSPYFMVYPYEPAANHGSSSEPLEFGSLGPISMADDGDLPQPTRQVMANGFYGQRHTAFRVSSSHSSPDQPSSPQPRR >SECCE5Rv1G0349490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:684101982:684105927:-1 gene:SECCE5Rv1G0349490 transcript:SECCE5Rv1G0349490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAVAGCLRDKTVLVTGSTGFLGKLMVEKILRVQPDVKKVYLLVRAPDAASAEQRILTQVLGKDLFNTLREKHGLAGFQKLIKEKIVPLAGDVGTRNFGLDSSRSDDLCQEIDVIVHGAATTSFYERYDVALASNALGAQYGCEFAKKCPNLKLLLHVSTAFVAGTQEGLLLEKTLKMGEALRPGCHLDIEAELQLVEKVKAELAEAKSGGSDQSSEKTAMKELGLKRACHFGWPNVYTFTKAMGEMLLEQQRGDLPVVIIRPTMVTSTYQDPFPGWIEGARTIDALIVAYNEQAFPCFVGDLKDTMDAVPADMVVNATLVAMAVHWNEKGQVIYHVSSAIRNPLTGQVFEDACWDYFSIHPRVLENGKPLENRRPYLFKRFAYFRAYLILMYKLPLEMLHAVSLLLCGLFSQYYNKHNRRYTFLMLLVKLYAPYAFFKGCFDDTNLTRLRKEVKIDGKDGGLFNFDPKSMDWHSYLLNVHVPAVLMYGRKNKGSV >SECCE1Rv1G0046670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:619300818:619303390:1 gene:SECCE1Rv1G0046670 transcript:SECCE1Rv1G0046670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMSAANGKSPIVVGPWGGTGGYPWDDGVYSTVCQIMITHGAAVDSIRIQYDLRGHSVWSQTHGSTEDGSETDKVKLDVPGEILLSVSGYYGSVCGSPVIIRSLTFQSNRSKYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVHVPIPSPSSYPASPQLPTAAYSRNAYTVAEVDDEPEHGMALAVRDRADSYAVYGAGYPKQQYALPSPDYHHDGATMNMNKMVSYPSSYRAAMSGPETHGPWGGSGGTIFDDGAYTGVWQINLTRAVGVTSMKVLYDRHGQAVWGNKHGFSSGVPPDKIAFDFPSEVLTHITGYYGPTIIMGPTAVRSLTFHTNRRRYGPYGDEHGTYFSTSFADGRVVGFHGREGWYIDSIGVHVQQGRVPSSRRAASRSPSPAPRYDPGDGDVVDEEVPYTAMVKEPAAAGPGPWGGPGGRAWDDGVYAGVIQVYVTRGALIGSIQIQYDRGDRSEWSARHGTSGHITHRVKLDAPHEVLTCVRGYYNADPAEGPRALRSITFVSNRGRYGPFGDEVGTYFSSPATAEGKVVGFHGRSGQHLDAIGVHMQHWQGDRRAAPKYVLSKYLF >SECCE2Rv1G0097340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:456913547:456913969:-1 gene:SECCE2Rv1G0097340 transcript:SECCE2Rv1G0097340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPCLRRAAVPVKRVWLGLRGRLGLRRSTGLGELRREVRTCEYDDVHVMWELLSGMDGSAPRKYVHVAATAAMAEAAARKKLRGRRRTRRADAESAAWSRLFSSCCAF >SECCE3Rv1G0157150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80218635:80219141:1 gene:SECCE3Rv1G0157150 transcript:SECCE3Rv1G0157150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGWLCSVLLALLAVLLAARPAAVSGASAHLHFYMHDVLTGPAPTAVQVLDGPRGHFGDTIVIDDALTATSSATSAAVGRAQGRYVWASKGNPELLVTMDVVLTSGPYAGSSVTVVGRDDIGAAVRELSVVGGTGQFRMATGYVLWKTVRLDHPNAVLELDVFVNP >SECCE7Rv1G0510010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:785678755:785679823:1 gene:SECCE7Rv1G0510010 transcript:SECCE7Rv1G0510010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLQRLRHMLLTTGDGGGHHQVSSAAMPASGPCYGAAVPCQRGHKPYADIFAPPPPRPPTSSAAQQYSEFLAMAAADLAKKGVRTDGAQEMSTNKRKREERSSVLGAADVLAAHVQQQTVDVDRILLKHAKKMWSSLAEQRQSHTRLIVSTVEARAAKRLKAKDEEIERIRSMNWALEERLRNIYMEAQMWRDVAQSNEATANVLRGDLQRLLDAQEVRGGVGGHGQEDDAESCCWGENQVPLCPEEEVGTPAVEERPATGAGRCKGCREGAAVVLLLPCRHLCVCAPCAATAQACPSCGSAKNGSVCVNFSSCVREE >SECCE1Rv1G0023530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:306850240:306851307:-1 gene:SECCE1Rv1G0023530 transcript:SECCE1Rv1G0023530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTASAIVAETASGYHHLKIRGYSSLKAIPSGQCLMSGPFTVGGHRWRIIYYPNGDRAESAGHVSVYLFLDENVDKEVMARFQFSFKAEKRGLFSLKKAKPAAGTSASAHSFTSQGSLGYTKFVQWNALEKSMHLKHDSFTIRCDLVVVKRVRVEGTAQEAAPKFVDVPPSDLKRHLSGLFLTGRGADVVFEVGGETFAAHRCVLAARSPVFSAELFGSMKEGNTNDLVRIDGMEAQVFKALLCFVYTDSLPEMGKEEEDAMCQHLLVAADTYNMERMKLVCEDKLCKHINVGTVVNILALAELHQCHGLKCACIHFLSSPANLRAAMASDGFEHLSTTCPSVVKELIAMSSTP >SECCE5Rv1G0347210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666199657:666200935:-1 gene:SECCE5Rv1G0347210 transcript:SECCE5Rv1G0347210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVAADGYNAREDDQVAADGSGLGTAVDPALVANGDGGAACEEGSVVGAADGVDEIASAGGGGSSAAGLGQGAEVAGGYVAVVARDSGQGTAKPASTGASDQGMARLASATRVCPDGMVPNSSYLLRIKLFGNPKKARKDILCFCFEKEVDCVLTNYKGLVESIVKQYPPRYMEVAHMQYYDGDLKTCPEVKSDQDLMLMFEKHSETKVLDMIIAYRDPSEPYEPITVWQSDVHSEPDNNIEHEDDTTNKRYAKGGKRATRKMELTKKDQNGPKVPFDSPAMGTRSKKSYLASPAMRTRSKRRFSS >SECCEUnv1G0558710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334259570:334260067:1 gene:SECCEUnv1G0558710 transcript:SECCEUnv1G0558710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKKLVQFGKGFFERKEESTSTDMPLGTAMHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKPTIPWGYPALGRRTRKRKKYSDSFILRRRK >SECCE5Rv1G0374710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:864372715:864373038:1 gene:SECCE5Rv1G0374710 transcript:SECCE5Rv1G0374710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASALKTGTVVVAIFVAMLLVQTTVGLGYPTPTAQAPAGGGLACPDAQSACRTKCRTGCDGISPAMCQAVCAASPLTEAGKTCVDKMLSVCQTFCKTACESISSA >SECCE7Rv1G0522060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:875902390:875904342:-1 gene:SECCE7Rv1G0522060 transcript:SECCE7Rv1G0522060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHSLLLCLLFLIPTSTSYSNDGFTYNGFSYGMLRLDGLTSIASRTLMLTDGTPQSVGRVFFGGRFDSISSFSTTFVFVITAPYSDLSGHGLAFTISATTDSLLGALPSQYMGIFNTENNGNLTNQLFAVELDTIQEFRDINDNHVGIDVNTLVSINSTPAGYYTPDGNFNDLLLISGKPMQVWVDYDENSHHVNVSLAPYLEQKPQRPLLSSTVNLTSVLPGSVYVGFASATGTVRSVHRIIGWSFNPNGEAKPLNYSVLSEVIQGIQRDAQTPSHIRKGVLIPVVIISVFITTVIIVGLYVYMKKARKSGDWEIDCGSSSFTYKDLAAATNGFSDRMLLGKGGFGKVYRGLLQTSKQNVAIKRVSPESKQGMKEFIAEITILGHLRHRNLVQLLGYSRHKSELLLVYDYMPNGSLDRVLHGQDEQTVDWVHRFNIIKGIASGLCYLHEDWEKVVIHRDIKASNVLLDNEMNGRLGDFGLARLHNHGTDAHTTHLAGTWGYIAPELARLGRATKATDVFAFGVFMLEVACGRRPIQVNGSDGEPVLLTDWVVDAWESGSILNTVDPKIEDCVREEAELVLKLGLLCSHPVPGARPCMRLVMQYLVKDLLLPDFQPSFLSLTSRDEEFGQYILSCPSVAATMTGLSGGR >SECCE4Rv1G0229320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:147469516:147473596:1 gene:SECCE4Rv1G0229320 transcript:SECCE4Rv1G0229320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVALFRGPTNLASPASRGSSSSSSTSLRYLADSDVLQRGTTSSVQSPAGSPERQAGGSEEEEEEEERWSFLALLLELLRKSLLRCRAEDGGQGQGGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTQSMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEEFVRDHLNSGTVPDGIDVHCLAGLIKAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPTEAALLDWAVNLMADVVQEEQINKMSDRNVAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQKTLKDREESNLEDAPLPQKDPSDENGHQNPSIPINPQPEETSGRPSFVSEEPLVYSPTHSAEDKAAAEGDSVTSIVQTSNVRSSVEGSASCSQPAIAASPAIADASCATAANLLPSRGNRSMNSRRTRKGKRQCGTTAAPLAEKSRGASIVSRINSKVERIEAWR >SECCE7Rv1G0506760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:752888592:752891622:-1 gene:SECCE7Rv1G0506760 transcript:SECCE7Rv1G0506760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEVEGTDLWKQIDDAECYLVSGSFDQAVLTALSVSDQIRAANTERVCDDDELLEMLELVGIVLVQALKELRRTTEMFVQLKAMYGSVASIPVKVFLTGATILMAEGSGPDLRPIFEDFLAKWRYTDDQVYVLNGEQEISSNGLIVTSTMATEEYLEVVELYTVTFLSIASDEPENAISWVEKAELIEQDRQELLEKLHALQTAANEKSSTATGAKQSAERNLSASEKGPIPATIEDAPTSTTRAPNVKTNGLTKSIEPPLQRVANNFDPMFWWFHSVRVKFGRMHIVLPSGKLMLLFSLLFSTVYVLRRKGAGLKRAVFQHASSLRRAFLDALQLAFSVQMNPLAAVQQAPQAPRGSW >SECCE3Rv1G0174570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:394452041:394469741:-1 gene:SECCE3Rv1G0174570 transcript:SECCE3Rv1G0174570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRASMGAMDGAALDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEAKRVLLSQPNLLRIPAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYLFLGDYVDRGKQSLETICLLLAYKVKYPDKVFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMVALIDDKIFCMHGGLSPELNSLDQIKDIERPVEIPDYGLLCDLLWSDPSSDTQGWGESDRGVACTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAERRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPKETGAPHSRKPTSNKTQRGRSN >SECCE2Rv1G0068410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:23143959:23145082:1 gene:SECCE2Rv1G0068410 transcript:SECCE2Rv1G0068410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGRRGSARGWLVLLVALLAVSLVPSCLAAEVKTSPTEWSLHLPLPNGVTDAESLAFDARGQGPYTGVSDGRVLKWGGSAVGWTTFAYHANYRKFPMCTVPVVPSQETESLCGRPLGLAFHRKSGNLYIADAYKGLMRVGPDGGEAEVLATSANGVPFNFVNGIDIDQVTGDVYFTDSSVTYPRRFNTEIMMNADATGRLLKYDARTKQVTVLKDGLPYPNGVAVSHDRTFVVVAHTVPCQAHRYYLQGPKAGHYELLADLPGYPDNVRRDGKGGYWVALNQEKGRPGATTAPVKHLVGVRLDAGGIEIEELTAAKGVTLSEVTETKGQLWLGSVELDYIGLVG >SECCE2Rv1G0081730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:144127127:144130310:1 gene:SECCE2Rv1G0081730 transcript:SECCE2Rv1G0081730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATNSAAAAAAIAALTGGAAQPRRAGFLPLKRRTISAVHAADPARSGPSVPAAAAAKTSSPTVAPEAAAVARPASWSVDSWRKKKALQLPEYPNKEELDAVLKTIETFPPIVFAGEARHLEERLAEAAMGRAFVLQGGDCAESFKEFNANNIRDTFRVLLQMGAVLMFGGQVPVVKVGRMAGQFAKPRSDNFEEKDGVKLPSYRGDNVNGDAFDLKSRTPDPDRMIRAYAQSVATLNLLRAFATGGYAAMQRVIQWNLDFMDHNEQGDRYRELAHRVDEALGFMTAAGLGMDHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLFYDCSAHMVWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMNPAELVKLIDILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNSGQIVTWITDPMHGNTIKAPCGLKTRPFDSIMNEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLGDRYHTHCDPRLNASQSLELAFIIAERLRKRRMKSGLANNLPLPPLAF >SECCE5Rv1G0335630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:576482136:576484682:1 gene:SECCE5Rv1G0335630 transcript:SECCE5Rv1G0335630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLALNRLTSMQPDWRCRPTQGRNGLVTSSVAKRKGSPCSLHDDNSGSVKKSRYSGPSLPEDIWHHIYSLLPLRDAARVACVSHTFKSYWRHFPNLSLTRETLGLDDWVDGLLCPCEIKMDLARKTGHILKNHSGIGVKTLKLEICGFPFFNNSCNLDRWLHFAVKPGIEELDLKFLSTYSALCQKKSHATICEKISEAAFRRKKFHTDVYNFPCSLLDGSGKSIQQLHLSDCALRPTTGLGRLRSLTSLDFFFVRITEDELRCLFSSSIALEKLVLRSCNELSFLEIPSLLQRLNHLVVMDCGNLKVIKIKAPNLYFFHYAGTLIPLSLGDSLQYLYIHALLGRQTVVHYPWADLLRTVPHLEDLEISSYCARDTLVVPGKFLHLQRLCIGAFNPDYDYLSLVSFLDACPSLETFILSVDVDLVRQESVLRESSHELRQMPGHMHRNIKDVVIIGFCSAKSMVELTCHMLENAKSLEYLTLYTSSNPEILCSDVENGRCLPMSKHMRMEARKALLAVERYILGKVPCSVELEVVKPCCRCNTLEI >SECCE2Rv1G0086410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:210940369:210942372:-1 gene:SECCE2Rv1G0086410 transcript:SECCE2Rv1G0086410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHPHHLVTLLLLLVAAASDAGVQFTYNGFAGVNLTLDGAVVMPNGLLMLTNGTIQTKGQAFHPSPLPFRGKANGTGAARSFSTTFVFAIFGQYADLSSHGMAFFVSASTEQLSTAMPGQFLGLLNDTDGGNRTDHIFAVELDTLFNAEFLDINSNHVGVDVDSLVSHASADAGYYDDGTGMFRNLSLISREAMQVWVDYDGGAREVAVTVAPLGMARPKKPLLKTTADLTGVVQSTMLVGFSSATGILATRHFVLGWSFALDVPAPALDISALPALPRAWPKPRSRVLVIVLPIALAALVLALGVGVHIFVRRLVKFSEVHEDWEDAFGPHRFSYKELYHATKGFSDKNLLGAGGFGSVYKGKLRKPDMEVAVKRVSHESRQGMKEFVAEVASIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYDGSSGTLDWPQRFHIIRGVASGLLYLHEDWEQVVIHRDVKASNILLDSEMNGRLGDFGLARLYDHGADAQTTHVVGTMGYLAPELGHTGKATPSTDVFAFGAFLLEITCGRRPIEQNERDNRVVLVDWVIEQWRKGLIIGAVDTRIPSGFSPDMVSLVLKLALLCSHPLPNGRPTMRQVMQYLDGDMVLPDLSSAYLSFTMLERMYDGDFNQKMLPYASSTSVGAVSDLSGGR >SECCEUnv1G0528290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3625425:3627495:1 gene:SECCEUnv1G0528290 transcript:SECCEUnv1G0528290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAEIAALPEPRGPLRRLCGDLSRRVRLLAPLLEDPSASASPPLADALRAARDLLHSVHHGSKIYQAMRGRDSLHREFAAVNERIQAALDELPYNDLDMPEEVQEQVALVHSQFKRAATRAEPADAQLARDLAWALSDDKPTVPALLMRVSEKLQLETMADMKRESVALHEMVISSGGEPDGCVEEMSSLLKKLKDCVISQAPTAEAPGVGRSLSVKSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKMQLALTHTSLTPNFVLKSLIAQWCEANGIELPKNKANSHDKKAVKSSDYDNAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSNAIPKIVEVLKTGSMEARENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIITHLMNFLVDPTGGMIDEALTLLSILAGNQEGKYVITQSEPMPPLVEVIKTGSPRNRENAAAILWSLCSADAEQTMAAKAAGGEDALKELSETGTDRAKRKASSLLELMRQSEEA >SECCE2Rv1G0100370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:539886878:539887219:1 gene:SECCE2Rv1G0100370 transcript:SECCE2Rv1G0100370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEDFRACHDIARLAKMMVELERHVMFPTVYRLIELALLLPVATATVERAFSSMKIIKTELRSKMTDGWLNDLMVCYIEREIFKSIDLAKIKQDFQNEGMALPLPGSSRRH >SECCEUnv1G0557920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:328463214:328465817:-1 gene:SECCEUnv1G0557920 transcript:SECCEUnv1G0557920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQDHDQDNSHMDDTQKYFFKCMVGDFPEKMAIPQKFVENFKGHISEVIKLEAPDGNIYNIQAIRDLNKIVLGSGWGVFANFYKLKVGYFLIFRYIGDSHFKVLIFDFGTCCEKEVFHVLVNCGPNSNAQEKESKSESHRRCELCDVHFYWHHLDDRQKHFLRLMVGDFRQEMSIPQKFANNFRGRISEIMKLEAPDGNIYNFKVTKDLNKIVLRYGWAAFASDYELKEHDLLVFRYIGDSHFKVLIFDPSGCEKKIFHIVLNHAPNVPKTGISHDRSFLKETRIRDRGSHDNNSRKTKKMTPVDSPSPRSEGVTSPEVTMNLGGLWEITEPHYVLATGCNLTTAQKAEVDALVKKVRPVIPFYITVMNKTSMSGSLVICQDYAAKYLPHEDQFITLCHPHKSNIWVDNLKIITNGSCMLSVGWSCFVIHNELRESDICLFEVSKNDDEVTIVVHSLEGGHHLQGKEPESQNKCSYPVKVEVTEEEESDKEHAESNYYYSRPSPESLQLSISRRGRMTSCS >SECCE5Rv1G0329510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:517679719:517681056:1 gene:SECCE5Rv1G0329510 transcript:SECCE5Rv1G0329510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYCRTMDTARGSQSPVCLDLMVGRPMDQEPSPARCTGMRTEADTASSACGRAPPMTNDEAKILEAKVTQVSEENRRLTEMIAYLYGNQVASQSPDGEGEQRASTAAPQTPPASKKRSRESMDTSHSCDVEISNRNVGTAEAEHVDVDSPLSNGTCRRIKVKKVCTRIDPSDTSLVVKDGYQWRKYGQKVTRDNPSPRAYFRCAFAPSCPVKKKVQRSAEDSSVVEATYEGEHNHPHPTRAGELPSCAARSGGSVPCSISINSSGPTITLDLTKDGGGVQVFDAAEAQPNMKKVCREIASPEFQRALVEQMARELTGDQKFTDALAAAILRKLPDY >SECCE4Rv1G0293120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884657758:884659952:1 gene:SECCE4Rv1G0293120 transcript:SECCE4Rv1G0293120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLHSLHEHASPQVLVLIVCPLVLLLLILRFATSTTTTRRADKLLDRLPSPPNKLPLIGHLHLIGSLPYISLRDLAEKHGPDVMLLRLGAVPSLVVSSARAAMAVLRTHDHAFASRVQSAMTDTLFYESTNMGFSPYGDHFRQLRKITATHLLSPKKVGSYRLAREKEVQHAMARIREAAASSTAVDLSKLLSWFTTDIVCHAVSGKSFRGGGRNKLFRELVETSSMLIGGFNLEDYFPKLARLDVVRRMVCARAERIKKKWDDLFDEIINDHAKNTMSDHEINQDRETDFIDVLLSIQQEYNLTRENIKALLVDMFIGGTDTSFIVLDCAMAELIQNPEVMTKLQAEVRSVAVGKEMVTEEDLSGMIYLKGVIKETLRLHSPVPLLIPHLSTVDCDIEGYTIPSGTRVLINGWAISRDPAYWESAEEFMPERFMENVGSTIIHDFKGSNFHYLPFSTGRRVCPGMNFGMATVEIMLANLMFHFNWDLPAGTVKINMTKSFGVTVGRKENLILVPALVQKQV >SECCE5Rv1G0340280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:613910326:613912527:1 gene:SECCE5Rv1G0340280 transcript:SECCE5Rv1G0340280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPSPSPYPGAVDDEPCIEVFVYRRQNHVILISVLVVVGVLALFLLHVLGSLRRRSSHKAVHVIVSGTYALSYTLVSYTLGLMQSSGYYVDEFPVWALCLLLLLGSTDSLTACSLNDVDNWKSFYMKHLVKGALVVYIIVSIVYFTTGSDSRYMEVPLWAILFVIVLQSYARLTSMRMASKCHLLGQNVKLIADYMQHEDQLPPVALNPVTMEGYRYVVGGERRQKYRPGRMLRYKEEDYAKITTVEQVWQCTGSLLRPDSKTGSGLKDVCLSMALSKMLNRRFAGFPLAEAGLEKTHAFVFRGLLAGDTPYKRAFRVIEVELAFVYDLYYTRYPYLYHKGRFFALCLPLAMVILCSWLTYELFAHIKLLRENEHHSDIYLYTTLMIMAGVTFLEVFQLYLHMASGWLKVALIRSYVTGRVLHKKRWLFQIIIRLLLSLKTLRPWDDKLGQYSLLDEFDGGSSNCLHYVTLCLVDKTQKGRKKKKLLKLPEQVKRAVIDSLIGSNGHLTNGVRSLRTNGVYEQLSWACAAGNGTATYTMLIWHIATTFCKHQLDQHPEEKKLVALSKEDTSAVDTYLVATSLSEYCAYLIAFVPNLLPDHSADSALMLSETIAEARNSLPGASTMEAKCRNLRSLVAAHDDGDDADASLVVLGGRLARQLTDEIKEPVLRWKVLADFWAEIMLYVAPCDDAQARAHLEALARGGEFITHLWALLTHAGVLKRGPAGSQAV >SECCEUnv1G0533410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:29764384:29766644:-1 gene:SECCEUnv1G0533410 transcript:SECCEUnv1G0533410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB83 [Source:Projected from Arabidopsis thaliana (AT3G08500) UniProtKB/Swiss-Prot;Acc:Q9C6U1] MRKPVECPATKCSGGAAPGNSNVAAAAAKLRKGLWSPEEDERLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEDLIVNLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKMNSAASSPATTECTSPPEPKLDGGSASCLDLTSLEDGSHHGMKSMWRMDSSSSSSSSSSIQQQSRPSTMAPAANRGYGGHLLPLPDQVCGVAPSTHTSVPPFFHDHSSFKQVSPLRTGGFYPHGMAMEGGGGCLMGEEAVGAGGEHSVLFNVPPLLEPMAVALQDQTLMASTGNSNNNHRNTYSTAEGTTLSSKNGCNINDDNNSKNNINSVVSYWEQHGHQQHMSRNVVMGEWDLEELMKDVSCLPFLDFQVE >SECCE6Rv1G0413550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:624378580:624380934:1 gene:SECCE6Rv1G0413550 transcript:SECCE6Rv1G0413550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRPFAAVCVLLGFVVAAAAMVATEVESDKWEAQSSYIVHVAAAHAPRLPRRGLRATRAYASFLRDQLPVEMSSPAPRVLYSYAHAATGFAAQLTGRQAAQLASSGSVLAVVPDVMQQLHTTLTPSFLGLSPSSGLLKASNGATDVIIGVIDTGVYPDGRKSFAADPSLPPPPSKFRGGCVSGPSFNASALCNNKLIGAKFFHKGLEAARGRALGEDSLSPLDTNGHGTHTSSTAGGSPAADAGFFDYARGKAVGMAPGARIAVYKACWDEGCAGSDILAAFDEAITDRVDVISVSLGDTGLADNFYSDTTAVGAFRAVSKGIVVSASAGNSGPGDSTAVNIAPWFLTVGASTLNRRFPGDVVLGNGETFTGTTLYAGEPLGATKLPVVYGGDVGSKVCEEGKLKPAKVAGKIVFCELGVTAQAAKGQAVKLAGGAGAILTGAKEDGEQVITSPHVHPATDVPFAAAEKIKKYIRTQTSPTATIIFRGTMVGSTPPSPRMASFSSRGPNFRAPEIFKPDVTAPGVDILAAWTGANSPSELDFDTRRVKYNIISGTSMSCPHVSGIVALLRQARPEWSPAAIKSALMTTARNVDNAGGVIGDMSTGDASTPFARGAGHIDPNSAVDPGLVYDAGTEDYITFLCALGYTAKQVAVFGSSTSCSTRAGSSVGDHNYPAFSVVITSNKKRAAVTQRRVVRNVGSDATTTYTAKVTAPDGVLVTVSPETLRFSATQKTQGYAVTFAPQTAGRVTEKYTFGSIEWSDGEHTVTSPIAITWPTSLVAEM >SECCE5Rv1G0350610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691474623:691475195:-1 gene:SECCE5Rv1G0350610 transcript:SECCE5Rv1G0350610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLLEQSVDRLAAMAAPPAAAMAGDGTSAHTDTVLIVIAVLCFLLCVVGLAMVARCSLLCNPSAFSLDGPAAKAPCGGIEEKALQSLPTVSWRPEEREVDEEEGERPECAICLAEFARGDEVRVLPHCGHGFHAACVDVWLLSSSTCPSCRRALVVVSPASPATHHHASTTTCCAAGAVEGRCRPSAS >SECCEUnv1G0528840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6114564:6116945:1 gene:SECCEUnv1G0528840 transcript:SECCEUnv1G0528840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRIFSRKGASGFSWASTADQVTAGVSAAGLTAIVTGASSGIGAETVRVLAARGAHVVMAVRNLAAGDTVRQAVLAEAPAASVDLMELDLSSLSSVRKFAVDFAATGLPLNILINNAGVMATPFTLSKDGIEMQFATNHVGHFLLTNLLLETMKKTSRESNVEGRIVNVASEGHRFAYKEGIRFAKLNDEKEYSTIAAYGQSKLANILHANELARRFKEEGVNMTANSLHPGVIITNLLRHHSIIDVLHRTLGKLVLKNAKQGAATQCYVALHPGAKGVSGKYWCDSNLYEPSDKAKDAELAKKLWDYTIDLVA >SECCEUnv1G0560780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:350095495:350095767:1 gene:SECCEUnv1G0560780 transcript:SECCEUnv1G0560780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGRKILGFMVKEEKEENRGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRRRLLAYLAKKNRVRYKKLIGQLNIREQ >SECCE3Rv1G0177750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:480690014:480690880:-1 gene:SECCE3Rv1G0177750 transcript:SECCE3Rv1G0177750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAYGPSSKPVPVAAPRSANGTANGGGVGTPRPPSMVPGRVPPPPMYRPKPMQPPPGRRQSGRGWCCACCLWLTLVLVGLVFLGAIAFGVFYVIYHPVLPTFAVTSLRLAALNVSDSDSVTSHIEFTVTARNPNEKIAFVYGDIGAAFAADGTDIGDGTVPGFLHPSRNTTVIKGSASAAAATVDPVQAASLRSKKSHAMSVEMDSKVGFQIGQFKSKRISVRVLCGGFSAGLAKPAPSPAPIIVAAAPAPTRSKIRLSSSSSGGGGSATTDAKCKLRVKIWIWTF >SECCE1Rv1G0063070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:722766584:722768107:1 gene:SECCE1Rv1G0063070 transcript:SECCE1Rv1G0063070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRCAARRLGGSLLQRTQAAVAEEGSRLVPSRFMRPRQLSGEVSSERSQAAEGLLLEPGWLMRNRQLAGEITKRLQEPSAEEYEVQCRMEALFAKWDRLAELHREELEKSAPDAFELHVKAYGNAAKAVVRVAAKSLVYFCMICSVILGDD >SECCE4Rv1G0266030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:728923788:728925200:-1 gene:SECCE4Rv1G0266030 transcript:SECCE4Rv1G0266030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQVVSRHVVKASGDTPIEPYVLAVSNLDLIHRSTQSSVACIYPKPATGDFSAVTAAFHAELPTFLNYFFPLAGRIVTNTSSGDPEVQCCNQGAELVIGHAGVDLASLDWSRSDESLKRIKLAHAADVALSVQLVSFSCGGFAVVWATNSLIGDGEAASLLVRMWSQFLCVGVIAVPNHDRSLFLRPRDPPSYDASMDGMFTPWHHQHEVNTLTAEENMVERLYYVEGRDIERLRQMASSGGQRSSRVQALSAYIWKVLAGVVGASTRLAAQEKRCRMGWWVDGRQRLRAPALRNYVGNATTYVSGEAAVDTILQAPLADVAATVREAITSVDYEERYKQLVDWVEKHKPAAPAARRRFVEAATVGLGSPTVSQMVWASFAGDTDFGFGEAALAMPMTVSASTMKLCSGYLCVVSRPADDGPWVIRACIWPRLAGALESDEQRIFKPLTAEFLGFSQRRSTTWDARPRL >SECCEUnv1G0528650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:5478141:5481275:1 gene:SECCEUnv1G0528650 transcript:SECCEUnv1G0528650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSFITRALILVLGYAYPAYDCYKTVELNRPEIEQLRFWCQYWILLAMLTVFERIGDNFISWLPMYSEAKLAFIVYLWYPKTQGTSYVYESFFKPYIAKHESEIDRNLLELRTRASDMAVIYFHKVADYSQSRFHEILQYIASQSQRSRPQAQQQQQRPPPPRTRMANPAPPPVPAPTAPPMPPQPAQVPPTPPRMQVQDKGPVPVAPPGAVPPVQQQTPSGAGPVTGNSPPNSEDMVVDQSGPSTSNVPPRPTMPEDEETLIQEAIRLTRGRLRRRMGGSGPPSN >SECCE7Rv1G0462590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:47090537:47092093:-1 gene:SECCE7Rv1G0462590 transcript:SECCE7Rv1G0462590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNSYEEQRRRQVEENRRKLDELRLHHLSAAVREAAARPKPKPNPNPRPKRKAPGTGELRRSGRVAGLPEQRDDQGVYAAYDARIWKGPTDEQRAAAAAKAEELRRRIHRIRRPAFVKTLTHICASRAKAMMIPKHFIEHLPAHDEAVVLVDEADDEFHMMCNASKQGRHCYFSKGWREFAVHHDLENGDCLVFQLIESAKFKVYIFRANPDYESDQTSDDSEDEQ >SECCE6Rv1G0394710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:303886878:303887771:-1 gene:SECCE6Rv1G0394710 transcript:SECCE6Rv1G0394710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILHVWPPVALLVWPQPVSNSLQQSRMASASAPTAVARPAGRYAVYVAAVPLRAPQGPAQALMSSAYSLGLWDLQHFMVLLRPDPVRTLTQESWLVFDFQPRDPEDALAAFFVLSRSKIPGVVRRRRLRRIPDRRSWFVGLSDGDAADEAERFSERWPTDLVVGNHDCRDYTNGLVEVLTGQKRVLDSLRAAGRQ >SECCE4Rv1G0258790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679452586:679453641:-1 gene:SECCE4Rv1G0258790 transcript:SECCE4Rv1G0258790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTRGVAAATISLAVLLLIFCFSPCTAAATATTAVPSIDATRTRHLPLPRGLLRGPESVAFDAKGRGPYSGVSDGRVLKWNGDKLGWSTYTYNPDYSSEACTASLLRSETATEGHCGRPLGLRFHLKSGYLYIADAYKGLMRVAPGGGEATVLVTEVDGVPLRFTNGVDIDQVTGEVYFTDSSKNYNRSQHEMVTRTGDSTGRLLRYDPRTGKAVVLQADITYPNGLAISADRTHLVISSTGPCKLLRYWIKGSKAGTMELFADLPGYPDNVRPDKKGGYWVALHREKAELPFGIDSHLLALRIDAEGKIIEEMRGPKSVRPTEVVERKGGRLFMGSVELHYVSVVTRK >SECCE5Rv1G0301120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29115014:29115618:1 gene:SECCE5Rv1G0301120 transcript:SECCE5Rv1G0301120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKAPHLLPVTLAKKTPRPDLVRRVSVWALLTACHIALSVAIGTGIAYVLDHFNVHCSQSSFLRCDQLTDAEEAVVNALGIGLLCCLALQAAAAVLALRFPCGRRRALAYLALVLTIAGHFIMAAIAHILLVADPGDLFFRICSTGGLFVYAAGDIISFWALLQGGEE >SECCE1Rv1G0055210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678556134:678559297:1 gene:SECCE1Rv1G0055210 transcript:SECCE1Rv1G0055210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQASLPSAFHTAPAIAHASCRRRQFQLRASAAAAASSPGAGDGKVVMGKQPATGARKIDYSVEKPATPLLDTINYPVHMKNLSTMDLEQLSAELRAEIVNTVSKTGGHLSSSLGVVELTVALHHVFDTPEDKIIWDVGHQSYPHKILTGRRSRMHTIRKTSGLAGFPKRDESTHDAFGAGHSSTSISAALGMAIARDLQGKKNHVISVIGDGAMTAGQAYEAMNNSGYLDSNMIVVLNDNKQVSLPTATLDGPSKPVGALSKALTRLQSSTKLRRLREAAKTVTKQIGASTHEVAAKVDEYARGMISGSGSSLFEELGLYYIGPVDGHNLEDLITIFEKVKSMPASGPVLIHIVTEKGKGYPPAEAAADKMHGVVKFDPTTGKQFKTKSPTLSYTQYFAESLIREAEVDDKVVAIHAAMGGGTGLNYFQKRFPERCFDVGIAEQHAVTFAAGLAAEGMKPFCAIYSSFLQRGYDQVVHDVDLQRLPVRFAVDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPADEAELMHMVATASAIDDRPSCFRFPRGNGMGAVLPLNNRGTPIQVGKGRILVGGNRVALLGYGTMVQACLKAAELLKEHDVFITVADARFCKPLDTELIRDLAAEHEILITAEEGSIGGFGSHVAHYLSLSGLLDGPLKLRSMFLPDRYIDHGAAEDQMEEAGLTPRHIAGTVLSLLGRPLEALHLK >SECCE5Rv1G0318590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:363924367:363926117:-1 gene:SECCE5Rv1G0318590 transcript:SECCE5Rv1G0318590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGEEHNSCREDKISSLPNEILILIIDKLDARTATSTTILSKQWRDLYTHSHTGYDLTVDDILPLRYHILKQIVVEAKARYEAEKNALKSDGSYASRGRLYSFKDWRWKVCLLTPIMQRYERWAMRRYVKRVNAFLLAPDNVQQRSIQKLRLQACGRSDFTDQWITEAIGRWGVEELELVIDNSSWRYDFRLLDGCKNVRLKRLVLSNCYHCFAPNPLTFQRLTSLTLCKESSHMLRDLGILENCVQLVDLRLKDSVCRQPAFNIVIPNSQLKSLQLDNYNTVSVYLASVPYLETFACRGQPTIIRYGDVPRLRHVSLNFLQTGDNGKDDSSGSNMTYQLSKFFKGALTSLEYLVLQLRGRQMWIEPTAIPVQLNHLNKLFIANVPMKWGTIWILCLVAAAPALESLHVHFDNNSEKASDAGSLDVQMEHHPHHHHLKELVVIGFDGAARQTGFVKQIIQASPMLERAHLLDGHVVEDQDRELVGLEIVRRRRREWHKCERSEVLRDLTDGISSPHLEIVLE >SECCE4Rv1G0246830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:549423474:549426014:-1 gene:SECCE4Rv1G0246830 transcript:SECCE4Rv1G0246830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAPPPDGMGLEIDLDLDPEDLHPTVPLKKVPAGDLFEAARAGDCDRLALLLEAGANVNARDRWDSVALYYACLAGHADAARMLLEAGAVCAERTFDGDRCHYAALNLDLRRLLKSFEARPPPLAPLPAALRTTFLACPANRAAYLEMLLQEGATAEAAALAEAEGFGPTDGASTGSLFPPDITFYVDGKPIEAHRVILCARSPFFEQKFETDWKNRKEVRFSNKKLSFGALYNLIHFFYADRLEAPVDEMESLSRTCKVCKCEELRKLVEKEILHLRFALYKSTSKLGLENSQSPRRFILLGQSLPREDRLPSALRRVLQKCLANSREEEYEKTVANEMCRNWKDNDLADLTVKVDDRVFRCHQVILASRSEYFKTRLSRTVDFLEGNNRVHASLGLPFLEEHDLSTEAFEKMLEYMYTDNLEHMDPTLAEELFDVASRYLLFPLRRAVADLLLPNLEHVSPAELCHWLILSDIYGVMKIREYCLDIIAYNFEMFADMREFRALLLTLPPPSANDALRTTRPSQPGAAGNTDQGNILDDLREKWLEAEAAELDERDQSAALFDQRLEMLMLVAEREACDDDNTALH >SECCE5Rv1G0351360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:698924515:698925810:1 gene:SECCE5Rv1G0351360 transcript:SECCE5Rv1G0351360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKSKAGKHAAPLLGKYELGRLLGRGTFAKVYHARSLVGGEAVAIKVLDKPELAATAGMDARVLGEVSAMRRLRHPNVLRLHEVLATRSKVYLVMELAPGGDLLSRLASLPSRRLPEHAARRVFLQLVSALIYCHARGVSHRDVKPQNVLLDADGNLKVCDFGLAALPESHRDDGRLHTACGTPAFAAPEVLRRKAYDGVKADAWSCGVILYVLLAGRLPFDDSNIVEMCRKAHHREYTLPEWVSQPARRLVSRLLDPNPATRLTVADLSSHPWFKRSLSLDSQLGSLLGGAPERDLLFQAPPTLNAFDIISMSPGLDLSGLFGENRRIREKRFMTTASPEQMVEQLGHSGAKLGYFMVGKKGVDRLPLGGLSGLVAMSMEMSEVAPPLMLVELRLEAGDEEEVQAFGWDELRTELGEVVMAWHGCEEL >SECCE3Rv1G0170490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:254596698:254598533:-1 gene:SECCE3Rv1G0170490 transcript:SECCE3Rv1G0170490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKPHSPSPLPITMETISDSLKPCVSQPLGPKGAGLAEAGDGGTMLKASTAPSKPPRLVASRFAMLTRFHAGYFRISLALSGQALLWRTLSDRSTDPSALHPLVRSLPSAAFVLLWSLALLTLFALCVLYVARCVLRLPAVRAEFRHHVGMNYLFAPWISWLLLLQATPFLRPDAPSYHMLWWAFSLPILVLDVKVYGQWFTRGRKFLSMVANPASHMTVIANLMTARAAAKMGWHEGAVAMFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWCSISMSFDTGCKMLFFLSLFLFASLVSRPTLFKRAMRRFSVAWWAYSFPLTLLALASAEYAQEVREPAASVLMLALAVLSVLVTLALMVFTALRPNDLLPHDDPFFAATLPAR >SECCE7Rv1G0482240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:302780829:302784681:-1 gene:SECCE7Rv1G0482240 transcript:SECCE7Rv1G0482240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRATGEDDKALVLCQERKRYVKEALDGRCALAAAHFAYIQSLRHTGFALRKFVEPEVPTDSSLYTSTSATPEPPGMRHRSMNLSPSVSHPASDSFSPAPSPLSSGHFHVNHMKAGRNPARTVEEKVPVPVTATLETSSSVPIQTVHDLDDSSTFEAPPGTPPWDYFGLFQPVESQLSFHDEKESGHDFENADDIRRLREKEGIPELEEELEKSHAHAGDVRLSQQEKTPDVRDGEQSTMSGREDDFADSEDDFENPSSEPLVRMFKNRNDTPVENIVTDQSPAHLAADKVVLKNLADKVGLENIADKVALENINSKTEEPKSDNKVLEPKSDNRVLDISMYETDETPVTSPVKEVASSVAARPINGKSKEPFRDVRNGVKDLYTSMKEVEILFIKASDSGKEVPRMLEADKVNFRPLPPEERARGFKASGFFATFFACCREEVPVPQPPPQADVKYLTWHRSVSSHSSSSRNPLGAASKVDVDGLTGNIFSGVYMNSGSHASTLDRLYAWERKLCDEVKASSAICRQYDEKCKYLRNQESRGENQMSIDKTRAAVKDLYSRILVAIQRIDMISKNIEDLRDKELQPQLEELIGSLTRMWETMLQCHRHQHEIIKLISNSGGIKVLIRSESQFQATLLLQVELKSLFSNFQRWIGSHKSYLNSLQLWLLKCVKSVRAKKKSRKKKDTDFQITNFIVAPIFTTCEQWIKLLEELPIEDLEGGIKGLIADISHCVPRQEKRRGGSRSAFALPNGGLNDEMRGIHRNDAHTDLQSSLESFLGKLEFFSDVSVHKYGELKNKINTAKEKYEQYNKQLEEANRLH >SECCEUnv1G0565850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:397225927:397228164:-1 gene:SECCEUnv1G0565850 transcript:SECCEUnv1G0565850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAALRSQLNEHISYMYATGILDEYYQQLRSPQDEGFVSEVINIFLRDADKLLNHITSLLNQVVVDFHMVDELVHQIKACSCSVGAKKVKLTCEHFRQFYEAKSKEGCLAALNLLRNEFNDVRGRLQTIMQLEQQIAAMGPK >SECCE4Rv1G0265650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726924179:726926819:1 gene:SECCE4Rv1G0265650 transcript:SECCE4Rv1G0265650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARARRAAHHRARLRLRHRHLLLLLPLLLLFLLPPLSARLLRRANSLGRRCLPPAAGRRPLAGQRLSFSIVTLSDEGLSGRGVRGRSFRGVLAATARNKRAYAAAHGYGLAALPPGAVDPGRPPAWSKVLALRARLRRHHWLFWNDADTLVTNPDISLEEILFSVIGHSDFDASPDLILTEDINGVNAGLFFIRRSQWSERFLDTWWNHTSFVQFGSTKSGDNAALKHIVDHLSPEETQAHVRIAKMQCLFNSYPWVATWKSVYRLIFHPSTTWKGAYSDGDFMVHFAGLNDKRGWTSRILREITN >SECCE1Rv1G0026700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:373519339:373520529:1 gene:SECCE1Rv1G0026700 transcript:SECCE1Rv1G0026700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADQEQPDWLNLPLDLLETIGNRSYDAVTGLTVFRSVCRTWRSALGHAPRLLLPASPNSPIPRAGSEDALIFPLSRGWSIIVDARDASCRLSRPATGATVALPKINAVRDRSAASGDITHIERPTYGYGVFFENYLDFSDYFRFAVHAPAAADDMVIIMCHTWLLGRKTIVLCRPGDAAWTKLGYCPSFSFCFVDVAYFQGRIYGLECDGSTAVFDGATLEFLHSVDVPCATLKLYTVVEEEYAHEFDRINLVALPGKLLLVSTRVKSLEAEGFAIFELTSRPEDGGLSCWRKVARGGGIGGNYDIFMDCYHTAFTHNGAVGGTRIYHALGRKRIHKTSTYSYHMQSDELECVHRSPPDDDSCEYSTRPSWFVP >SECCE5Rv1G0303470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:48721669:48727784:-1 gene:SECCE5Rv1G0303470 transcript:SECCE5Rv1G0303470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYGSSPALDGATRDEDANTSPPRQPPNPSTPRRRLPPWHPNFTGPYRLPETEYHPSELQPPGRRRPTSEDTSDSARTKKIKSSALESESDPETSSQEEPGSGSVSDSSAHSSPAREPEMPLVPIWRNSKGRLVYGFTDDKAVVAKYRQDLRKYEEKPARQEELLTLKPPSKAPSTNECYGSPKHKDIISNAAKSILSLSAYLDGKEINRCTGIVVDRDEDRKSLTILTSAWIICTEKPSNDWLDKEYAPRAKVIVHLLDGTTVDGQLMYFSRHYDIAFFEITGGLHLQSLPLEGNSEFGQEAFVLAARDKNLDLIYREAKLASVGPCEFQHNHYKFITCSIPNKCGTGGGLLDLNGKIVGLLSYTFPLVAFIPSSLIMKCSTLLRKSGKIVRPQLGLKLKTLDLLGMSRIEQLSHNFNISTGLVVREVSAECVAERLGIRVGDVILSCQGESVSSIAQFEDILLGIGEEHLEKSNDLTCKVDVQVGVFNTHKCTRKLVTLKVKLSDGVEVFC >SECCEUnv1G0543320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:112514203:112517932:1 gene:SECCEUnv1G0543320 transcript:SECCEUnv1G0543320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNVEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEARSCEDKYNKSKLVHSIMRHVAETLEIDLEPIYQRIGWPLYRKYGHAFEAFKLIVADPDAILDVLTYEEKETGPDGQEVTKVVPAVTPEIKETLVQNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKQAMRKAEAAGNTNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTDAVKACTLEIEKHKGKLVVKEAPRAVSEREDKLLNAQLDTLVEQNAEVAGDDDSEDEEDTGMGDIDLTNSGVHAD >SECCE3Rv1G0206820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:911650159:911653925:1 gene:SECCE3Rv1G0206820 transcript:SECCE3Rv1G0206820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGKKAAAGAGGIRRWLSTAVLSALALVLILVVISLSVGSPLLGASLPEYPAVRASHPKGNATADDGSQNDIASGVPLPGKELQGGQESLVEHSAQNGTLNSSDGSLDTREVDDTVPDPVSMESKIQDPVATDDTMPKLNDSQRADQGTCDLYHGEWVFDSSGPLYTNNSCPIITQMQNCQGNGRPDKDYENWRWKPEQCTLPRFDGSKFLELMRGKTLAFVGDSVARNQMESLLCILWQVEAPVNRGSRRMSKWIFRSTSTTIIRIWSSWLVHKSIEAVGFAPKGLDKVFLDVADETFMESISSFDVLVLSSGHWFAKRSAYILNGDVVGGQLWWPRGAGKMQINNVDAFGVSVETCLTAVATHPNFTGIAVLRAYSPDHYEGGAWNTGGSCTGKVKPLDVVVRNGYTDTMYEKQVAGFRKAVQNSGKHSSKLKLMDITEPFALRADGHPGPFRSPDPNKKTQRGPDGKPPPQDCLHWCMPGPVDTWNEMLFETIRSKLEGDSSS >SECCE3Rv1G0210460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943852437:943853018:-1 gene:SECCE3Rv1G0210460 transcript:SECCE3Rv1G0210460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLSSSPCSSSSSHGHHQSPLAYTVWMKSLVFNGNGCAVYGPDGSVAFRVDNYGCRGGREVLLMDRAGNALIRIRRKGFSMFRRWEVCRCEDEEATPWFTVRRADKGGATVAIHGGAGTCYKIDGFSASKTEYEVRGVDGAAVTDVARKQTAAGVVLGEDVLTLTVAPEMDHLLALGMVVVRGLINRSL >SECCE4Rv1G0245940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:538400247:538410147:-1 gene:SECCE4Rv1G0245940 transcript:SECCE4Rv1G0245940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPRNRGYPTDPKEYKLYEEVGEGVSATVYRALCVPLNTFVAIKVLDLEKCSSDLDGIRREVQTMSLIDHPNLLRACCSFANDHQLWVVMPFMAAGSALHIIKTNFPDGFEEAVIATLLWEVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFRDLVATCLVKDPQKRPTSEKLLKHSFFKQARSADFLAKSILEGLTPLGDRFRALKAKEADLLLNNKLGPESKEQLSQKEYIRGISGWNFNLEDLKTAAALLDSSNGTYHFDGANNKDRDGLQDLYDESENIYQERVNHGASARHDEHEIQEVEDLDGDLASSFPTRPLEALKSCFDVGGDDDPDPTATNLRVQPSMESVSPVQQFSEMDHSRSENCNGENLERSVSVPSNLGNSVYPKFSSGSLIPEHVLSPYKNVGSDSRRNEFHQKNPSSRNRSGPLFFRQMKDTRPHLSVAPDEASEGNVVQRRGRFQVTSDNPGHKVASSASSNNRPNLPSGVARPASNSSTILPTLQFLMQQNSMQKEVLSRLISSIEETSDASDASTVGSSQSSGSLAREKGLESYVVQLQRSVTELAEEVQRLKLRNNQLEQQINGLSKKDERLRRGGSTKQ >SECCE7Rv1G0457900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19071272:19072995:1 gene:SECCE7Rv1G0457900 transcript:SECCE7Rv1G0457900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMEDAGAPGSEWDRGSGGAEAVLGLAGAGASLAVCYHEAFGPHADLILLEAADDLLPDLLQGRVTVRGRPEEEAVLCTPSATYAMKFVGTSNSMFLIPPGEAVAASLRPDHTNEDATVASDAAASIIKLAPGSIELVRTAPRLDKLRSLLRERPYVLDEDLGDGSEDRQGLYTWQDLCVLVQSSDSELLEGLNSLSAVEIDGFWRTVDAGSVNTVLDMVVHNSVLHDWLLNALPENAVLSVMESDGFTPKIVTHCLSRFGTKVEQEAGSCWRLDERLVCLQFARRALGAGKMKLNSFMDKWERSIPSGMRADPQMLEGEVLYERLGAETWVHAFSVADLPLTPAERFAALFRERARWEWKDLQPFIRDLRVPGASSEGLLIKYARRTQPSADADPIFTAR >SECCE2Rv1G0119510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:791467066:791467296:1 gene:SECCE2Rv1G0119510 transcript:SECCE2Rv1G0119510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGVGGAVKMIVGAKEERVVGTCKAPGACPSCGGPVVATDVESERRILCLPLCLKSKRKYSCTRCFRRLVTVYS >SECCE5Rv1G0345020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649241534:649243688:1 gene:SECCE5Rv1G0345020 transcript:SECCE5Rv1G0345020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLGQPSPAVAPAKYDPAATYITAIGDDLLREIFLRLPSLPSLVRAALACRTFLRAVRSSPAFRRRFRALHPPQILGYFTVHYRTAIPAFVPLRRRSDRDLAAVVRGSDFFFTRLPEDSDDTRWASNGKCSGYVFIHNHRTNQSQISAYNPLTQALNVFPYPPQEACHPSYLDFRIIFSEDDRRSFRVVCVRHRRRRLRTLARLSVFSSDSREWQSFRWVDTSVPQPGDDGGDKCLLSSYTATPVDEFDKLVYWKHKNQAYIVVLNAMTLQLSRMDLPPHLKDMDYIELKLGPTKDGRLCMAFADHFAANEGMLNVWFWRADGDGVDKWMPHKIFPLSKFVDFSMCSEEYAVTAQVTGVIDDFVHLSVYYLNINFFLSFCLETEKVNKLFEYGLCVDPYIMPWPSSLVCNKEDLETKVTGDNMADDGPVGTEEAPSVLVTALQSYKEALINGDVAKVAEIEAFLLSIEDEKKSHNCRIDSCERLHLEDKCCH >SECCE6Rv1G0414910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:637859770:637866087:1 gene:SECCE6Rv1G0414910 transcript:SECCE6Rv1G0414910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAALAAAGKRKLSEDDLYLILHKYSPATILTALQEVTQHAQRRSIDWRALVAKTATGITSAREYQMLWRYIAYGHDFVENVEDGSPQPLGDESDLECEIEPSPKPSNEAAAEASRFAKILMYGPSREQGSSHRANSDVPLLNTPNEKISRVPSDKQLAQSHRLTNGTGPVSNSKQAPHTGLSPDSFDGNGPHNKKTKKTKAWSKDEDAELMAGVQKCGEGNWLDILRKYNFDSTRTYGQLSQRWAVISKRQATTKPAKAKPVTGGYDIKATQKAFFMALDMPMGKPGGLSTLRSGASQQSTQHPAPVFGAAAPELKPATSSSSFSLPVPVPSAAPGPVSAQVQVQPPRVQQVTSQAAPPKVSNASIKSRNSSKKQTAQANPTNAPSSIQAAAIAAGGRIATASIATNLLKAAQSPQAVHIRSRGKGSSKTSTSSKASTMAGEPGTQTGSAQHPELPNCSAPTPSPTVLITQSTEQVNVVPEVAGVNPPEQSASAHLLEPDRALSTAPVPGPCDNMEIDDDSTFCVVTMEDLFPEDVKQPEAVKQPEMVRQPETVRQTETVSQPQMVRQTETVRQPEMVKQPEMVDPKEEMIDPKDADMLEFDRFVAQGCLTTDYSEKSKGVKIAPGAQGATASQKKKQLPTVGKSIPVFRAPVTMKKTKALASHGATFASTVTSSGLVGTGNAGLLSKAIYRKPAGPSTTGKQNRCQEIMAQKQHAMNSNSSTMARNAASSTGTPARNVAAGVGTPASNAAPATGTPAKSAAPAIGTPAKNAAPASGTPARNPAPATGTPPVRNPAPATGTPPVRNTAPGTGTPPVRNTAPGTGTPPVRNTAPGTGTPPVRNTAPGTGTPLVRNSLTGTGTPLGRNSLTGTVTPPARNAAPVIGAPPARNLLTGTGTPPAIRQHNPAVNGTSKGNPPASQ >SECCE3Rv1G0190610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:741660722:741661567:-1 gene:SECCE3Rv1G0190610 transcript:SECCE3Rv1G0190610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSNNQQQQSAAAAAAEEEQELARKHAAAVATSRQWSSQTESRIVRVSRVFGGKDRHSKVKTVKGLRDRRVRLSVQTAIQLYDLQDRLGLNQPSKVVDWLLNAARHEIDKLPPLQFPPHQVDLMDHMMSSSSMPLMPHVDEKFCHFASTLAGDGGVKAGADADGGGAHHNMGRFGYHRFMGLNNNSLGLVNSGMPYNFTGESWNNSSAHHQSGGAGSAQVSIAAAAAAHHSAFPSLLSLAPRSHQLVYYSSEADQFPVDDLGSQSLSLSSARAFHDQTGS >SECCE7Rv1G0482710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:310691668:310695123:-1 gene:SECCE7Rv1G0482710 transcript:SECCE7Rv1G0482710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSWTRACVLVLCVMPFLQPAAAIRFVIDREECFSHNVEYEGDTVHVSFVVIKADTPWHYSEDGVDLVVKDPNGAQVRDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETIDFDVHVGHYSYFDQHAKDEHFSPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNESMSRRAIHKALLESAALIAASVIQVYLLRRLFERKLGTSRV >SECCE6Rv1G0382040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:37801518:37804534:-1 gene:SECCE6Rv1G0382040 transcript:SECCE6Rv1G0382040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVDPPNGMGNQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHLRHENVISLKDIMMPVQRRSFKDVYLVYELMDTDLHQIIKSPQGLSNDHCQYFLFQLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDLEFIDNPKARRYIKTLPYTPGVPLASMYPHAHPLAIDLLQKMLIFDPTKRISVTQALEHPYMSPLYDPSANPPAQVPIDLDIDENISSEMIREMMWQEMLHYHPEAATAVNM >SECCE3Rv1G0150070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30375475:30375953:1 gene:SECCE3Rv1G0150070 transcript:SECCE3Rv1G0150070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCIAAVVLVLSLEALAAGRLSALTPGAEVPPRHAHTHPPDVDHQEMAGAVAEMGVREETGEEKPRPWECCDMARCTRSSPPTCTCQDKVKRCAKTCEDCHKDESDASLYVCGDHYFGWPGPNCTKV >SECCE1Rv1G0017500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:156249919:156250329:1 gene:SECCE1Rv1G0017500 transcript:SECCE1Rv1G0017500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNPRVLLRAAASLLRPSTAAPQPLTNARPPLPLSPWGLILPAQRRAFSTDYGKDVDEVNRKFAEAREEIEAAMDSKETVYFNEEAACARDAAGEALGAFDALLARVPPADADALRRSMGLKMEQLKAELKLLEE >SECCE7Rv1G0462770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:48795676:48796749:1 gene:SECCE7Rv1G0462770 transcript:SECCE7Rv1G0462770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PCF2 [Source: Projected from Oryza sativa (Os08g0544800)] MESEGQQDRPPGEGDAHAHAGGVAEPAPGAGAMAMVPVPVRGGYMVVPKPEPVELFGGAAAGGGLVVRKPPPRNRDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETVRWLLQQSEPAIVAATGTGTVPAIATTVDGVLRIPTQSSSSASSAVVDDEASAKRRRKLQPTRAAGPLATVPPTAAYYSVLADPLLQGGAGGAAISVASGLAPIGGQQGLVPVFAMPSAAGAAGGNHMIPQATAVWMVPQPAGAPNQPTQYWAFQSAPQLINLAGAQTMPAGAVFPNVAADYHQQQQQQSASTVVQNTNSDHHQQFSHHLTGAESHEQQRRDHHPEEDDADDDDEPVSDSSPED >SECCE7Rv1G0507510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:762585034:762585744:-1 gene:SECCE7Rv1G0507510 transcript:SECCE7Rv1G0507510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLALQPQPAMGDVAPAGSQPTQPFPGNPAQLSSQEQLMYEQSQEYHQQLQQHQQMQLQQFWAEQWSEIEQATDIKNHLLPPTRIRKIMRADEDVHMISPEAPALFAKACEMFTLEMTMRSWMVTEEDKRRVLKRSDVAAAVARTDVYDFLLDLFASDESKEGVLLPRTWQGQLPMGAPAGANPYYCAPQQQVPGAPMAFGGPSTYVWQEPQVQEQGHAHASTYVWQEPQQEQEGP >SECCE6Rv1G0407100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:554466651:554468328:-1 gene:SECCE6Rv1G0407100 transcript:SECCE6Rv1G0407100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVEQVMGWFPEAGGTQAIAKPPSLSATAGYDGEDRVSTLPDDLLRNIVSRLPVKDAARTASLATRWRHLWKSAPLVLRDVDLPRSVVGRVLADHSGPFRGVTFARCRFAYHGRALADWPRLLASKGVEDLVLVDNVCDPTADTLPLPADVLRCASLQRLFLAFWTFPDTAGLPRGASVFPYLRELYMFQTTITDRGLDYMLACSPVLETLALIFHRTPERVHLRSKSLRCVLLWLSMVEGEVAVLDAPLLERLILFEAPTGGSGEGDGVPVNVKIAGAPKLRVLGYLEPRIHQLHIGDKVIKPNARASPSTMVPGVKILGLKVNFGALEEVNMLVSFLRCFPNVEILHIESALADGPTGRHLAKFWREVRPINCIKSHVKKVYVHEFRGDQSEFEFIKFIGSNAQQLQAALVVLTKEKFASADQANEVTRKLGDHTYQPWAGKDVVVLVLGPNPTDGVWKRSLRKASDLTVYDPFH >SECCE2Rv1G0131670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:886239490:886239831:-1 gene:SECCE2Rv1G0131670 transcript:SECCE2Rv1G0131670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMHARLVAVAALVALMCAIAVAQVPAAGGAPVCLGVDQNVVNACFKTFAEGRKNTIADRILSPGNVIKVALDCCVTFGGHSCLCEMKKAWKTPAQLKNSQDVPCVKQRAC >SECCE4Rv1G0264950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724000572:724002271:1 gene:SECCE4Rv1G0264950 transcript:SECCE4Rv1G0264950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVTGAGGFIGSWVVKELLLRGYHVRGTARDPADRKNAHLLALDGAEERLTLCRTDVLDYGGLRAAFHGCHGVFHIASPVSNNPDLVPVAVEGTRNVINAAADAGVRRVVFTSSYGAVHMDPNRSPDAVLDETCWSDYDFCKNTGNLYCCAKMMAEITASEEAAKRGLELAVVVPSMTMGPMLQQVLNFSSSHVARYLTGAKATYPNAVAAYTDVRDVARAHVLVYEHPDARGRYLCIGAVLHRAHFLQLLGDLFLQYPLTAKCEDDGKPMAKPYKFSNKRLRDLGLEFTPLKQSLYETVTCLQKRGHLPVHIVPVAAKHA >SECCE2Rv1G0065960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10944223:10945102:1 gene:SECCE2Rv1G0065960 transcript:SECCE2Rv1G0065960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSAAGSSARFLGLFAAAACLLWSTASGFSASGVSKAFATFYGESDASGTMGGACGYGNLYSTGYGTSTAALSTALFNDGAACGQCYRIRCDYAADPRFCIRGASVTITATNLCPPNYALPSDDGGWCNPPRQHFDMSEPAWLNIGVYRGGIVPVLYQRVPCAKKGGVRFTVNGHDYFELVLVTNVGGVGSIRSVSIKGSRTGWMPMSRNWGVNWQSNALLTGQSLSFQVTSTDGQTLTFPNAAPAGWGFGQTFATNKQFS >SECCE3Rv1G0153450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:53682089:53682562:-1 gene:SECCE3Rv1G0153450 transcript:SECCE3Rv1G0153450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLMKIFAMLMLFYLCNRGNAECTLSDLHVTQTFTGKNAGGNPEYAVEVQNKCICTQTDVKLLAPGFKSSEPVDTQVFRLDADGKLGTLNNGSPVFYGDKIKFNYASATKFKLTPFSSVITCS >SECCE6Rv1G0433850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:761964416:761966981:-1 gene:SECCE6Rv1G0433850 transcript:SECCE6Rv1G0433850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAPPAAAFPSLDAYYLHHLRSCSTPRHAAAVHAHIARAHPFPSLFLRNTLLAAYCRLGGPARRLLDEMPRANAVSFNLLIDAYSRAGQEDASLETFARARRSAGVKADRFTYAAALAACSRAGRLREGKAVHALAVLEGLAGGVFVSNSLVSMYARCGDMGQARRVFDAAEERDDVSWNALVSGYVRAGAHDEMLRVFALMRRCGVGLNSFALGSVIKCCAGSDDSVRDIAAAVHGCVVKAGLDSDVFLASAMVDMYAKKGALSEAVALFKSVLDPNVVVFNAMIAGLCRDEAAVGMDVLREALSLYSEVQSRGMEPTEFTFSSVIRACNLAGDLEFGKQIHGQVLKHCFQGDDFIGSALIDFYFNSGYMEDGFRCFRSVPKQDVVTWTAMISGCVQNELFERALTLFHELLAAGLKPDPFTISIVMNACASLAIARTGEQIQCFATKSGFGRFTAMGNSCIHMYARSGDVDAAVRRFQEMELHDVVSWSAVISSHAQHGCAREALRFFSEMVVAKVVPNEITFLGILTACSHGGLVDEGLRYYEIMQEEYAMSPTIKHCTCVVDLLGRAGRLADAEAFIRDSIFHDEPVIWRSLLASCRIHRDMERGQLVADRIMELQPSSSASYVNLYNIYLDAGELSLASKIRDVMKERGVKKEPGLSWIELRSGVHSFVAGDKSHPESNTIYSKLAEMLSKIDKLTVTDASSTKSDDIIRNEQSWMNWHSEKLAVALGLIHLPQSAPIRVMKNLRVCRDCHLTMKLISKSEKREIILRDAIRFHHFRDGSCSCADYW >SECCE1Rv1G0045850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:612961892:612963116:-1 gene:SECCE1Rv1G0045850 transcript:SECCE1Rv1G0045850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPYFLFGAAPEDAPPADTSPHAVAPDLSDAVARARLRRQAASGQGRQQPASRGGGPRKTPQRGLGVAELERLRCGGVDPLHDLNAAAAAAMLEAAAANLQVQGNSVVLQQQQQHQLDYLPAFDAATGSRYYSPLLVQPPPAPPAPQPQAPVPQYFTDRWGRMGGFVVPAGNGHQPQLDASAPEYPSSQSTIWRPACSPASCLHAGQRCDLCSKTMEALADRATRGPAAAAGPDYSIYDLAAAMTAARKETAGEGFLVAPEGRKEVREIEFFPTRVTSHGGPDESELRRTPPSSSSSPSGGVGGSLDLSLRL >SECCE4Rv1G0270250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:754155489:754155854:-1 gene:SECCE4Rv1G0270250 transcript:SECCE4Rv1G0270250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKGGAAGLKQILKRCSSLGRRQQQQQSHGEQQQHWEEEEEAAPSDVPRGHFAVYVGESRRRFVVPIAVLDRPEFRSLLRRAEEEFGFGGAGDLLVLPCEELAFRSLCSASSLPCTAAR >SECCE5Rv1G0363590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:794679896:794680492:1 gene:SECCE5Rv1G0363590 transcript:SECCE5Rv1G0363590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQAARMCHNNGLVASVSSLLISLVVKPLAKNAILTGRSILALLVGDGHASSVAAARPHCQRCAASEPGARLSGSDASAVTASLGLVPRGDDDEDEDDGMVVCGGCEAMAVVEEVAWGSKEAGEAELREAFGVFDRDGDGLVSAAELWGVLRRLGMTEGARYEDCAKMVAAAAARHGGVDVDGGLGFPEFKAMMEHAV >SECCE3Rv1G0181440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:613263780:613266272:1 gene:SECCE3Rv1G0181440 transcript:SECCE3Rv1G0181440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVLGSPVSFPRELLYVVAAAAIAWWALEWAWWRPRRLDRALRSQGLRGTAYRSVAGDAPLAERLNEEARSRSMPLGCHDVVPRAMPLFHQTMKEHGKMSITWFGPSPRVTIAKPELVREVLSNKSGHFEKLKLGRLQRMLHNGIGSHEGEKWVKHRRIINPAFHLEKLKRMLPAFAACCTDLVDRWEGLAAGDAACEVDVWPDMQNLTGDVISRAAFGSSYLEGRRIFQLQGEQIELAVLAMNKIHIPGYLFFPTKANRRMRQIAAEIGRILKGIIAKRENAMRAGEATSDDLLGLLLESNMAHSRAGGNSKAGITTDDVIGECKLFYFAGMETTSVLLTWTMIVLCMHPEWQDRAREEVLHVFGDRTPDYDGLSRLRIVTMVLYEVLRLYTPLTALQRKTYKPTELGGVRYPAGVVLMLPLLSVHHDKELWGADAHEFRPERFSEGISKASVDAPAFFPFGWGPRICVGQNFALLEAKMGLAMILQRFSFDLSPSYTHAPFTVGLLQPEHGAQVRLKRLH >SECCE4Rv1G0262090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:705954957:705956613:-1 gene:SECCE4Rv1G0262090 transcript:SECCE4Rv1G0262090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSGILFLLVIAMPAFLALADTPHPYCDRPCQNELSLHLYLHQFVAGPNRPNRNEEFVLTPGYPLGFGTTLIHDWTLTNTVNPSDTIIARAQGTHIQASRTNANGWYISQNIVFQSGRFAGSTLQVMGTLTEQSEGQWSVMGGTGEFTKAHGTIKYKMDPASNIEDGIRELDIHLIYTPNYPQATQSGTPPLAKLLGGCHAIDNCCCKKY >SECCE4Rv1G0243460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:502954005:502956497:1 gene:SECCE4Rv1G0243460 transcript:SECCE4Rv1G0243460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRAIRHVSVESEVDASAAATATATATSRAVLTAPLSLEGGLAAELQPANLVQRVLSLFGNVRPGADLSHFQLPATFNLPKSQLQLYGEIVYCDGDDYLSRCGKGKDSLERFTAVVAWSISTTRPPIFGFAPYNPVLGETHHVSRGSLHVLLEQVSHKPPVSALHATDEGGNVELVWCQHPVPKFHGASIEATVYGKRQVRLPKFNETYVMDCPNLLIRLLPGPSVEWSGTVRISCKDSGLEAELSYHRSNSFMGMGGDGRCVKGKVFRSSSPQDTVCEIDGHWDRTVSLKDVGSGEVSVLYDASLSIANLATPEVKDEEGLSSFESAVVWSEVSQAILAKDWEKASEAKRKVEGTARSLEKEKNEKGEVSMPKHFSLSQDKDGNWECWPLEKSVRSAPIVVPSS >SECCE3Rv1G0202970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:879445083:879445739:1 gene:SECCE3Rv1G0202970 transcript:SECCE3Rv1G0202970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATVFPHSLPSRGPAAALPRACASSTIRINPACVANPTATTAAKKPKRPGSFDCGGPPAKRVPGVSGEAEPDARTKKSPRPCPVSDEDPRRSLPHGVQASRVAKNQSSASPTRPPGLTSPPKSPVCSMRELLQKARLAKNPGSASPPKPPACSVGEHLEKTGLAKVSPTAADAEAQPEAIHRREIERRRAEARRELEQMVRTVEFNDPFIDPQDVLK >SECCE5Rv1G0327830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:497580779:497581468:1 gene:SECCE5Rv1G0327830 transcript:SECCE5Rv1G0327830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNVVPAEAIDFPLYSLPAAANTVASLFAWLVAALAAAVGLWRIRAAGSSNKLPAAGARSSTLVDDKRQLQAVPSPSVDVPRPACAEPVEPASPIGEPSSPSKVRFTAYYGAAGADAGGDGVVDGVRKCADRDEEDDGVSVVDDMSETLPRRTASMRIRSAASKAVPCWEEREMAVRRRGDLGWYRHLDMAVLDGAVVRLWDGEVTAAVASPRARRGRAGLELRLSL >SECCE5Rv1G0322550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:421686269:421689897:1 gene:SECCE5Rv1G0322550 transcript:SECCE5Rv1G0322550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAPLFPFFFLLLSSTSPAAAAGDQKNRLGQTPQMGWNSWNHFGCKIDEVIIRKIADAMVDTGLAKLGYEYINLDDCWASHDRDSQGNLAANGTTFPSGMRALADYVHGKGLKIGVYGDAGLRTCSRLMPGSLGYEDQDARTLAAWGIDYLKYDNCNNQNISPLTRYNRMSEALMNSGRDVFFSLCEWGVDDPATWAGSFANSWRTTEDIKNTWESMTDNADKNDKWAPYAGPGGWNDPDMLEVGNGGMTIEENRSHFSIWALVKAPLIIGCDISSMSAETKEIISNQNVIAVNQDKLGVQGRKVQQDGDLEVWAGRLSRGRVALVLWNRGPDEASITASWSNIGLNQSAVVDAHDLWTDEVTSSVQGNLTKKMDSHACKMYVLTPK >SECCE2Rv1G0107130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:646188433:646190125:-1 gene:SECCE2Rv1G0107130 transcript:SECCE2Rv1G0107130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAVTCVVLLLFTANGCDAARPVTTSEMEKVHKLSDETTSFIQLLGQVSPLDTESGPVTNYFTNHQTPRESDQGYYGVIVTMDVYGLHLSDEQSSVASVWLRDEGDGALSNHNAIEIGWEVSPRLYGDSLTHLGAYWTTDGFQKTRCHNTNCTVGFQPANGAPIGLGDVIQSISQPKGLKQNITLKVAKDGIMGDWMVYYGLNQDNLALIGRFPRTLFTGGMADRASGIRFGGYVSTRTTDLAPMGSGYLPTTDVMAASISNIQFIDRNGQASMVTQDLPTYMSDPNIYPVTPIVNGKFFYGGPLQSSA >SECCE6Rv1G0386780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:101722938:101723201:-1 gene:SECCE6Rv1G0386780 transcript:SECCE6Rv1G0386780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALYNEIRAMKVREVPAYLKPRLTWANLKKSTDQAVDRYIEKYIDTSSPDPLFHVCFGGMAFSYLVGLPKERRHLEHLEKHGGH >SECCE2Rv1G0104610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:608770295:608771296:-1 gene:SECCE2Rv1G0104610 transcript:SECCE2Rv1G0104610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPSRNRPEGPPPEEEEEEEAARDWAGLPWDALLAVLHRLDHVDVLMGAGQVCSPWRSAARSEPELWRRVEVRSHADRRSHVAAPSGARRHSSAVLCGLARAAVRRAAGQCEAFCGEGAVDDSVLSLLADAAPSLKSLRIISGDRIVDERLRLTITNFALLEELELSLCTDFYPGTCVAVGTACPLLKRFRLSNNQFCKWYTKKIDQEAMAIATMHGLRSLQLFANHLSDDGLTAILDGCTRLESLDIRHCFNVGMGAAAIRARCPGIETLRLPDDSTIDYDLKFSLPDMTPWDTQDKYC >SECCE7Rv1G0472590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:144428007:144431897:1 gene:SECCE7Rv1G0472590 transcript:SECCE7Rv1G0472590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITLLSLAPAATFLHLPASTASSSHFAAVPRSLAGRRALLLRARAPRRVTVVCSAAAAAEASEAEPVEKFRLDNLSPQKGARRKPKRKGRGISAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRLPKLRGIAGGMHIGLPKYVPFNLRDIVQGGFKDGDEISLESLKSRGLINPSGRERKLPLKILGDGDLSVKLNIKAGAFSSAAKEKLEAAGCTLTVLPKRKKWLPAAYVKNQARAEEYFSKKKGGAVESDEATT >SECCE2Rv1G0074170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:74396200:74397334:-1 gene:SECCE2Rv1G0074170 transcript:SECCE2Rv1G0074170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEVGDMVDLYVPRKCSATNRIITAKDHASVQINIGHVDANGLYNGSFTTFALSGFVRAQGDADGSLDRLWQQKRAEIKQ >SECCE2Rv1G0095000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:411987435:411991856:1 gene:SECCE2Rv1G0095000 transcript:SECCE2Rv1G0095000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLPSLLAPAVARPAALLRHRGRCLHTSPARLCPAATSEGASSAAPAKMETRGGDREGQVTPRSTDFNAWYTDVIAAAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVQGFSPELAVVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEQAAIPVIPGRKSRVETFAGADRTYTIEAMMGDKKALQAGTSHNLGQNFSRAFETQFMDENSQLEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPRIAPIQVVIVPIWKKGDEKGVVMEAVASVQNTLKEAGIRVKVDDSELRTPGWKFNFYEMKGVPIRIELGPRDVKNRSVVLSRRDVPGKQGKEFGISMEPSILVDHIKGRLEEIQASLLQKAITFRDSNIVDVNSYGELKEVIAEGKWARGPWSASDADELKVKEETSATIRCFPFDQLEGAKKCFMTGNPADEVAIFAKSY >SECCE1Rv1G0028990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:409022834:409023655:1 gene:SECCE1Rv1G0028990 transcript:SECCE1Rv1G0028990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNDEVKLLGMWASPFVLRVKLALSLKGVGYEYVEEDLKSKSELLLKSNPVLQKVPVLIHDGKPVCESSVILQYIDEAFAGVGPSLLPGDPHGRAVARFWAAYIDGTLVKASSQASMAKTEEEKAEGKKQVAAAVETLEGALRDCSSGKPFFGGDTAGYVDVMLGGLLAWVHAGDKMKGSKTFDPATTPLLAAWADNFGSLDAVEAVMPDESKLIEFAMAMHARAAAAAAGATN >SECCE6Rv1G0379220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:12776454:12780892:-1 gene:SECCE6Rv1G0379220 transcript:SECCE6Rv1G0379220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGFHFPLLPPAKIAEQLVHFDVAPFANLRAENIASPQPDLLPGVLDRFFDHFVDDPGDGERGQLGFSVLAALDNPEHHAEAIRLLLLYKKSQAFLESIHYKDLTLADFTHPVPRRVVEVLSALINFLLYKHRKMELLQPIVSDSSSYHERGLELKDRAAQLQKEIADHELAEQMEEPMAQQLEADVSALQQKVQVYNKQQLALRAKAAAINDTKEEIQRKITQADFELTKHAQENSKLRSKLVKSPEKVQRALEEKKSARAKLKESEKIATQNAQEKTATSEIRNKAHEKLVKQHSKIQDVHEQLAAAKTVEKEVKTRKAKLNDESVSVMSFEAQIVEWQRKVHEMEERLKAKVKERNQIIADQNQQLGALSSEIEVKLQCLEPREKKVEAMIVKASKLCSETASARTSATAQQQKIHAKFNNIVKAFNTYMDSVDPFLERVEEVGRQLAGERASAPDQSAAVATKATPRSSATSKKSRARRRT >SECCE7Rv1G0465700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:72918894:72919229:1 gene:SECCE7Rv1G0465700 transcript:SECCE7Rv1G0465700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGVWVFRKDGVMELEDAAGSTSTRSGPCGKALVYVPANETMRSLQALEQRLGAHGWERYYESRAVVQLHRRDGSLDLISLPRDFARFRSVHMYDIVVKNRGHFKVVDL >SECCEUnv1G0537980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:70880054:70891218:-1 gene:SECCEUnv1G0537980 transcript:SECCEUnv1G0537980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHDAPSKRAGGSGRAPAAAAVGMPPPRAMSAAPSFSAAASSARKPPEPLRRAVADCLSPPAPHTHGPAAAAASAAAEASRTLRDYIANLSTIDMAYNVLIDHALAERDRSPAVVPRCVALLKRYLIRYIPRVQTLRQIDIFCANTMAKYDPVAGHRASSLGQNIGSSAALPNSSHVAPPISNFASASLVKSLNYVRSLVARHIPKLSFQPIPQSLASTSTKQSLPSLSSFLNRSLQLNPEAVTNREHLESKKELQTAPDLTSSASEKVDGGERGYDIKYISFDILNWRWHVYGERQSSSSAKESNDLAGLQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSVIQEFPDIDLLQPSTSTASTFASSQGHLKAITASKRMKSGPSQVWVNVPANTFQPRARPLFQYRHYSEQQPLRLNPAEISEVIAEVCSETTSNANQFNAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDSEAAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVEDAPLIEKSDTTSNSYLNNEYGPNMDEQREAEPEEEQRISPAIDQFESWLLKILFEVLLLLVQMEERQEIVWASALSCLIYFVCDGGKIIRSRLGGLDIRVVKTLLEISVEHSWAKVVHSKLICMLTNMLYQVPDGTQSGALDTHFVPERIDLLGGVDYICLEYSRANSKEEKRDLFFVLFDYVVHQINETCLAAGLSTYTYDDAQPLASLLASADAPEAFYISVKHGVEGVGDMLTKAISAALSQSAEYEQLNALLDKVIRKLDGTVSTFSRIDNEFAYMIQVTKSFKCFSSIKDGSEDGDIASRARLCWATLHSLLHSQISSYRHHGYIWLVELLLSEVSEETDGSVWSKIQNLREEIKDAGSHDTSCPEVSLPVCLLCGLLKSKHNYIRWGFLYVLEKFLMRCKLLLDDSDMQEHSVAYHSKNRLDKAFAVIDVMSTALLLLDQNNETDHINILKMCDMLFSQLCLRLPSTNVMQLGGLQSLGQLFGCTSKNIECTLETLASHKTVGTKSLCRNETLQDTGMNQSTQSALLCETSMAALLLKGLAIAPMQLVARVPTSLFFSPLMQLEGAASDDIALGIAVGSTGRGNIPGATSDIRAALLLLLIGKCTADPEALSEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPDFYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ >SECCE3Rv1G0151940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:42797519:42799104:1 gene:SECCE3Rv1G0151940 transcript:SECCE3Rv1G0151940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTQYYHNRLVAQKATGLNPYPHAFQPTVSVTDYVHGYRGLDNGEKLVDFTEMTSSSLFFYDLHRGGAKTPEEFSSFRSGKKVGDVVGVRGFPGKSNNGELSIFTAGFVVLLPCLHMLPWQPNRYRRVPPLPWAPGMPKDMESYALKDQETQYRRRYLDLMVNPKVRSIFTARARVVTFICSFLDERGFLEVDTPMINVVGGGAAARPFVTHHNDLNMKLYMRIAPELYLKQHVFRNEGIDTTHNPKFTTCEFYMAYADYNDLMELTEAILGGMVKELKGGYKVQYHANGADKPPIEIDFTPPFARIDMIQGLEDMADLNMPEDLSSDEANEYLMEACARYDITCPLVGHFLEETCVNPTFIINHPEIMSPLAKSHRDEEARSGLTERFELFINKHEVCNAYTELNDPVVQRQRFEEQLTDRQHGDDEAMAMDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPAMKPQDS >SECCE3Rv1G0169940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:244286632:244287878:-1 gene:SECCE3Rv1G0169940 transcript:SECCE3Rv1G0169940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFVFRGYELPPGFRFQPTDQEIIVCYLKRKVASAASAVTSIIADVDIYKFDPWELPDKALFGEGEWFFFSPRDRKYPNGARPNRTAGSGYWKATGTDKPILAAGGARCLGVKKALVFYQGRSPRGTKTEWVMHEYRLLHADAGAARHKPHDSMRLDDWVLCRVRKKGVAVAPDMDGNPGAPTHAEVQAIDSTTAAAHSAFGHDWTDGQLLQYLMGGGSGQVDGAGGIGVATGHDGARRESAPEVQLASSVLENIKRDLSFHAMDDVYFLQPSKRANCMGGGASDNTDGDQLSPPTSLSMFEDD >SECCE4Rv1G0267940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:738162867:738164715:-1 gene:SECCE4Rv1G0267940 transcript:SECCE4Rv1G0267940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRDLRLAVLLLVFSAPVLLPAAIAQQETDEEEEFSYSLDAENGPAHWGEIKEEWSACGKGNMQSPIDLASPRVSLVRGLGYLNHSYAPANATIVNRGHDIMLKFEGDAGSVSIDGTPYYLRQLHWHSPTEHSVNGRRYDMELHMFHESTQGKAAVIGVFYQIGAHDAFLHKVRTVSRHQLELLREAVHDDMEKNVRPRQEVNSRDINMFRPFEQNRH >SECCE2Rv1G0134730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:902991714:902995846:-1 gene:SECCE2Rv1G0134730 transcript:SECCE2Rv1G0134730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPQPAEPRSQRFVLWLHGLGDSGRANEPVAGYAFAGARWAFPTAPTTPVTCNRGMLMPSWFDIHDTPITSKSVRGEADVLRAVESVHAMIDREISAGTRPEDVFVFGLSQGGALSIASVLLYPKTLGGCAVFSGFLPFGASFASRVTPEAKKTVTAEVKKTPVLWVHGRADLLVPIEAGKDGTKFLRGRLGMSCEFKVYDGLGHELAPYELQYCERWAAGGCGGSNDDRGTRIVDPGVPRRRRSCSCGFSFFSRS >SECCE7Rv1G0496570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:613855451:613855855:1 gene:SECCE7Rv1G0496570 transcript:SECCE7Rv1G0496570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTYRFPPTGPERLYPAEVYVENTLRLWAMSRWRGAREFSNFFLGIGYHHLPRGSPRMYRVEEVIHNGVVVAILAHFTNPFDVFYLLSRVFWCGCEFIAFTTHNIFMDYTNIFPTASRMHSLPYPNDNTPEEQ >SECCE7Rv1G0465650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:72379959:72386513:1 gene:SECCE7Rv1G0465650 transcript:SECCE7Rv1G0465650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTVTHFWSMLKLLDVLLQLDHLKNAKASIPNDFSWYKRTFTQVSTQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKINRLLNIFKNDPVIPAFPDLHLSPAAMLKELSSYFQNFSSQIRLLTLPAPHEIPPRELQEYPFCNVF >SECCE7Rv1G0475270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:184434334:184435923:-1 gene:SECCE7Rv1G0475270 transcript:SECCE7Rv1G0475270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGSSTLGGCGGPSGSGSGGGGGGGLGGGGGGPCGACKFLRRKCVSECIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPAHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELTYLQTHLATLELPSPPLPAAPQMPMAMPAHFSISDLPSTTNIPTTIDLSALFEPPAQPQWALQQHHQHQLRQQPSYGTMAHRGGSSMAEGSAGSGSGDLQTLARELLDRHGRSGVKPELQPPPPPHPR >SECCE5Rv1G0343770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:641247650:641249956:-1 gene:SECCE5Rv1G0343770 transcript:SECCE5Rv1G0343770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPLYLQSFTEADDALKLHHVVHCSLDVIDERVNNPKKSAPTLNETFLGLLYPTENYKVYGYLTNTKVKFLMVTTDLDVKDADARNFFRKFHAAYVDAVSNPFHVPGKKIASRSFGARVSTIVKSFGSGTVG >SECCE1Rv1G0058190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:698244018:698245781:-1 gene:SECCE1Rv1G0058190 transcript:SECCE1Rv1G0058190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDVGVVPFNPDGWGPPDAPGAAPLLGGAVATASIPFAPFSRSDKLGRIADWTRNPGHPGAHGHHAAASRDSVFDFSSADDSLAAAAEDSSFSLVDAKPPPKHPRFGPKWRFNQRPQLPQRRDEEVEAKRREAEKERARRERHYQNHRSHHHQGFRGNQQNTAKPSVDIQPDWTILEQIPFANFTKLSFAVNDQPEDLLVCGAVDSYDRAYDRVNPKTARRLERFKNRQFFKITTTDDPIIRRLAEEDKATVFATDAILAALMCTPRSILSWDIVVQRVGNKLFFDKREGSQLDLLTVNETAQEQLPENKDDINSAPALAVEATYINQNFSQQVLVRDGEKVTFDEPNPFASENEEAAPVCYRYRRWKLDDDISIIARCEVHAAGVDPSGARQFLTLNALNEFDPKITGVDWKQKLESQRGAVLATELKNNANKLARWTAQALLSGADMMKLGYVSRVHPRDHFNHSILTVMGYKPRDFATQINLNTANMWGIVKSIVDICMKFEEGKYVLVKDPAKPQMRIYQVPNDAFENDYVEEPLPEEEQIRPATDDVDATAQEMDAAAEAEANKATTQGVDGEKSADAAAA >SECCE7Rv1G0495760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:602000080:602002219:-1 gene:SECCE7Rv1G0495760 transcript:SECCE7Rv1G0495760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKEKEALAEILEDHRKGSSDDSLPPAGLDLNEGFSEASDDGGDGDENYDDDGGSTSEVAGGGRSSSNNNSANHEAGSSRGGHDKAEGSGERVPTVRQYNRSKHPRLRWTPDLHMAFLHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKIEHESSHERAAMSSVFSPMNFHMRRGDHPFQDMFFQRAAGSTLSSRFNDGGVFAPRNAGLPDASRIYGLLQRRQPPLQTFDFKNSTSLRNQEWAFTQHAAAARARAVNDNGPGKGLIHEMIFRKDGRPTSHLFDVRDAAASSGTTSPSTTNTSQADRRPDGAKMGSMNWIGSSSRPLSKTMSATGLEQGGHAQLPFRWRGAAGSNGYHPNGNTGRTTSSSDPVVTCEAGSPLLLPKQGVPRTPAKATEETSIGAEARKTKTSVAAEENGWAPELQLSLSPNAGADTPGRGKKRNSAGQEVSSDKVPLSLSLSLHGGVVVNDDDGGGRDGRRLEVATGSSSKKAALGLSTLDLTMSIKALE >SECCE2Rv1G0080300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:128893096:128893557:-1 gene:SECCE2Rv1G0080300 transcript:SECCE2Rv1G0080300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVLTARDQLPRCRYQRLRGELCDDGGDGGQEVSASASASAARWARARRPLRLRRRLSGKKAVPVLFRMAQRRRGMRLLMRLVLLAPARRAAALLAELVRRIACAAECPAIVFSSQWGLPALSHSASGGASRTARLRAFYLERSLSAGSPC >SECCE4Rv1G0218100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19840960:19845291:-1 gene:SECCE4Rv1G0218100 transcript:SECCE4Rv1G0218100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLSHHRLLLAFLSVLLLLTASAVADSTLTQRRAIGGHQRLASPGGAFQLGLFSLANNTSWFLGIWFTDSPDAVVWVANRDRPLDTSSSGVVALSSRGALVLLDVASNNKTIWSSSNSSSAAVVAQLQDDGNLVLSDAAGAVQWQSFDHPTNTFLSGSRAGQDLRTGVVWSASSWRSADDPSAGDFRYVMDTRGSPELHVWRKGRKTFRTGPWNGVRFSGCADMATFEDLVEFRFTHTADEVSYVYRDRVGSPVSRLVLNESGVMQRMVWDRATLAWRVFWSGPRDQCDIYGTCGPFGVCNAVGAMMCGCIRGFLPRSPAEWRMRNASGGCGRSTPLQCGGDGFYALRGVKLPETHGSSVDVGASLAECGRRCASNCSCTAYAASDIRGGGCIQWFDELMDTRFIEDGQDLFVRMAMSDLHLETTKTNKLVMIIAAVITAFALLLLSLGLVIWRKIRQRSKQVTKLDDMVRGECPTYHLETLRAATDGFCPKNEIGRGGFGIVYKGQMEDGQEVAVKKLSAGNSVQGLKEFKNEVDLIAKLQHRNLVRLLGCCTHCSERILVYEYMSNKSLDTFIFDPSRRADLSWRTRMEIIFGVARGLLYLHQDSRHTMIHRDLKAANVLLDREMVAKISDFGIAKLFSSIGDHQVTERIVGTYGYMSPEYAMDGMVSFMQDVYSFGVMLLEIISGRRNRRSFNLIAHAWMLFEENKSLELLDPAVRDGCSLAELEQAAMCIQVGLLCVQESPSQRPQMAAVIPMLSQQQVPGRPLRPVVCMPVSSLADLLDVHEDTSGNAELTITNLEGR >SECCE7Rv1G0479520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:254701195:254703795:1 gene:SECCE7Rv1G0479520 transcript:SECCE7Rv1G0479520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREGDACVALLRSKLHGLIERNHTLEEENKQLRHQVSRLKGQVSSLEGQDTDRKIMWKKPENSATSNNYFKEKQFVHNNDDVKEAMDLNNSACYNRQQFSRTPSVKSRAPRVPNPPPSPTCIQPIIKAKKEGSMGPPPPPPPPLPSKLLKSTKGVQRVPEVVELYRLLVRREGKSDAKSGSVGVPVANNSRDMIGEIENRSAYVIAIKSDVENQGEFISFLAREVQNAAYREIADVEEFVKWLDGELSYLIDERAVLKHFPNWPEKKADAMREAAFTYRDLKNLEAEASSFHDDRRVATPMAFKRMQALQDKIEQGIHNTEKIRDSASGRYKDLMIPWDWMLDSGIISQLKAASLKLAKEYMNRIMNALKSDPFVNDEELLLQGVRFAFRIHQLVGGFDEGCRKAFQELKTYASKSE >SECCE5Rv1G0341210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:622429574:622433101:1 gene:SECCE5Rv1G0341210 transcript:SECCE5Rv1G0341210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICDVCESAVAVLFCAADEAALCRPCDEKVHMCNKLASRHVRVGLADPNKLVRCDICESSPAFFYCDIDGTSLCLSCDMAVHVGGKRTHGRYLLLRQRVEFPGDKPGHMDDVAMQQMESENPRDQNNAHSVAKEQMVNHHHNAYDPASDGNCNGQGAIDSKMFDLNMRPARNGQGSSSQTHGVDHSHNNHHDSSGVVPTCNYDGATDK >SECCE7Rv1G0509010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:776713286:776713555:1 gene:SECCE7Rv1G0509010 transcript:SECCE7Rv1G0509010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDKARSASKEEASRRQDIERSRAEARRKVEQMADTVQFNDPWIDPSDVTKSPEELLQARQQAWRYQAQLIEMARRRDYAQETQIHG >SECCE5Rv1G0311430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:192912017:192915750:-1 gene:SECCE5Rv1G0311430 transcript:SECCE5Rv1G0311430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGALHAGSRVLLPIRRSFSTPWSHVRSHLHSTKPPSAPLPPPPPPPPSSHAASTRFTPAFIPTTRRSGSIGSGVVAWYLGSIEARPLLTKSITAATIYTVADLTSQMITLPPEESLDLIRTLRMASYGMLFSGPSLHYWFNFISKVVPKRDVVSTFKKMFLGQAVYGPIINCIFFSYNAGLQGETIPEIIARLKRDVIPTIKSGLIYWPLCDFITFKFIPVHLQPLVSNSFAFLWTIYLTYMAGLKKPGVEVITSS >SECCE6Rv1G0387560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:116441763:116443201:1 gene:SECCE6Rv1G0387560 transcript:SECCE6Rv1G0387560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTSSKVAPRPSSNAPPTTGHSLLLLLLLQSSPPALLATCSPPRRKTMAVIPRGRPDSSLSHRRPVLLLLLFFCALAFFPCRVAAFHSFVGTYGVNYGRIADNLPPPTEVVKLLQMARIKNVRIFDSDHTVLDAFRNSGLNLAIAIPNGLVKDISATPSKAMDWVNENVRPYYPATRIVAIIVGNEILGGQDTGLAEALFGAVVNIHDALRAMRLSGRIEVNTPHSEAVFGTSYPPSAGTFRPDLMPYLKPLLDFFSKTGAPFYVNAYPFLAYMSDPEHIDVNYALMKPNAGILDQKTNLHYDNMFEAQIDATYAALEAAGYSDMEVRVSETGWASAGDATEPGATLENARTYNFNLRKRLFLRKGTPYRPKRVVKAFIFALFNEDLKTGPGSERHFGLFKPDGSVSLDLGFKGLTSSSSSIKGWKILRYSATLLSSTFIFLALST >SECCE5Rv1G0366410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:813160122:813160481:-1 gene:SECCE5Rv1G0366410 transcript:SECCE5Rv1G0366410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGSSVAAVAPASSAGRSSLRPQRTRLAVPAATRGTPAPAKKEKSILDFIVSAIVKDEQEFIETNPLLNKVDGPAPSAGGTASRKAGGTTAGKKPAADSDGGGGFKLGGLFAKKG >SECCE5Rv1G0373830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861319284:861321754:-1 gene:SECCE5Rv1G0373830 transcript:SECCE5Rv1G0373830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLARRPLSAAVPAGNLLGIHLFQCPDAVGIVAKLSECIASRGGNIHSVDVFVPDDAPVFYARSEFTYNPRLWPRDVLRTDFLHLSDCFNAQKSTVRVPDIDPKYKIAVLASKQDHCLFDLLHRWQEGRLPVDIHCVISNHDRPVDNHVMRFLQRHEIPYHYLPTTSGNKREQEILELIEGTDFVVLARYMQVMSESFLKAYGKDIINIHHGLLPSFKGGSPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLHSFVVKSENLEKQCLAEAIKSYCELRVLPYEVMKTVVF >SECCE5Rv1G0332500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:548895691:548897643:-1 gene:SECCE5Rv1G0332500 transcript:SECCE5Rv1G0332500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLDCPVQTQMAVAVLDRSFSSDYPASSKTEGRPLSWKRVFVQTDNGSVLGIELEKGENAHSVKKKLQIALNVSTEESSLTFGDLVLNNDLSSVRNDSPLLLTKNQMHRSSSTPCLSPTGNGVQQRDRSGPIAILGCSSPSPQMKQLAKDIVKAIRNDVDPVAVNSGMGGAYYFKNIWGEHVAIVKPTDEEPFAPNNPKGFVGKTLGQPGLKRSVRVGETGFREVAAYLLDHGNFANVPPTMLVKITHTVFNVNATVGCNHKMFHNKSQAVSKIASLQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHSGNLLVRKVGPGSDNFGVQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSEEELEYIANLDPLKDAEMLRMELPMIRKACLRVLVLSTIFLKEGAAFGLCLSEIGEMMSRQFTGKEEEPSELELLCMEARKWVEDRELFLPEAGVEDDDDDFTQFSLDGEDDSDAFESPSFSRFGPMKASHRNPLSKLAECDEEEDDDKEMIKDEADPLNGMFPKLVPPVSKLSASLKGFGFHGKAKPYHGGIPKKKSKVVAKTNYSGEGSMYQSGSRSANEMLPPSASFVKLSDMGSEEWNAFLDKFQELLPSAFRARKHAAGAGPRPLQRLGTSCQF >SECCE1Rv1G0007140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39002868:39003707:1 gene:SECCE1Rv1G0007140 transcript:SECCE1Rv1G0007140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLYGTRDKYLFFGEENRLKMFQPNTFNFKPKPHIKLDEAQRCILDNFWYQYTLKREEKGYFLSILNSLAEYFNELNKNSPKPEKIEIPKGETLYLIFDGNKPGIYLEWENIMIEKLDAKRKGQDLTFKRYYNIDDALLWARKVLGPDYYIDPKAKEYIQIRRGVPASPAPTKGEASSSKNIKKEESPKYKTYQECLLKGLDPLDSEYIDQEMDKRFEEFSKIIKNELKEEILKELRHEMDEKFEEIKKECDQKYDFNLLNDDDDHMDIAGHGQRPE >SECCE2Rv1G0095140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:414127781:414129337:1 gene:SECCE2Rv1G0095140 transcript:SECCE2Rv1G0095140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ANTAGONIST OF LIKE HETEROCHROMATIN PROTEIN 1 [Source:Projected from Arabidopsis thaliana (AT3G63270) UniProtKB/Swiss-Prot;Acc:Q94K49] MGSQGSEEKATGQEEEGLEGGGRSQKMAPVKKPKKGKRKISDSGETKIDDSSEAKTKDASKVKRTKSGGSLALLPADLRGPDTEWWYVFLSKHAELQKEAESGGRAPVPSDDEEAFRYFFRTSRRTFDYICSIVREDLISRPPSGLINIEGRLLSVEKQVAIAMRRLASGDSQVSVGAAFGVGQSTVSQVTWRFIESMEERARHHLVWPGQERMDKIKARLEAVSGLPNCCGAIDATHIIMTLPAVDSSEDWCDPAKNYSMFLQGIVDDEMRFIDIVTGWPGSMTVSRLLKCSGFYKLCEAGKRLNGHVRTSREDSEIREFIVGDMCYPLLPWLMTPYQGESLSTPMVNFNARQKTARMLGTRALARLKGSWKILHKVMWRPDKNKLPSIILVCCLLHNIILDCNDKLLPDIQLPDHHDNGYNEENCQQENPNGQVIREIITGHLPT >SECCE2Rv1G0116270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:762934564:762937169:1 gene:SECCE2Rv1G0116270 transcript:SECCE2Rv1G0116270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAGYGYHQSNFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNGAADRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDIVRVKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVEAKPASAVSIIETDCEVDFAPPLDYKEPEKPQRPIVPAGKAPAEDQEANVEDEPKFKPFTGSGKRLDGKGPKQPAHGASSSAAAAAAAAARSVPSDSNKRANQQAAAPSGASTSTRQKTGKLVFGSSASNKKETEAQKEPAKGSEPPKKEEPKFNAFTGKSYSLKR >SECCE1Rv1G0033620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:469579311:469579619:1 gene:SECCE1Rv1G0033620 transcript:SECCE1Rv1G0033620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCGCGSNCNCGKMYPDLEKSGATVQATAVILGVGPAKVQFEEAAESGEAADGCSCGASCKCDPCNC >SECCE1Rv1G0060260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709565777:709572996:1 gene:SECCE1Rv1G0060260 transcript:SECCE1Rv1G0060260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAIASSGSGLRYLPPLDPTRRRQRSRGATVAGFGTKWRQRSRGATVAGFGAKRRQPGAAVACRRPHRAHAAAARGSDGGAGDSSSGSGGVRSKKRLAVFVSGGGSNLRSIHEATAAGGKASSGDVIALVTDKPGCGGAEYARRSGIPVALFPRSKSAPEGVPTAQLLNALRDLRVDFVLLAGNLKLIPGELVQAYPRSILNIHPSLLPAFGGKGYYGLKVHEAVIASGARYSGPTVHFVDEQFDTGRTLAQRVVPVLADDTPEQLAARVLHEEHHVYVEAVAALCEDRIVWREDGVPLIRTQTNPDAYA >SECCE6Rv1G0451640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872984611:872984991:-1 gene:SECCE6Rv1G0451640 transcript:SECCE6Rv1G0451640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAAVTMPLPGRVPGSRRRVVARASATMAAPAGRTHYEVLGVSAGASSREIKAAYRRLAREVHPDAAGGGGDEGFIRLHAAYATLADPDERARYDRDVAGMMVRRAGAAGPAFRRRTWETDQCW >SECCE7Rv1G0479470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:254133006:254134048:1 gene:SECCE7Rv1G0479470 transcript:SECCE7Rv1G0479470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGLSSSPSKAATARLGGISRSSPSYTQLAFCSRHAFHKIGTAAAPQRPHNNELKHARPCATTDNDRAAPAEESATISAGVNPAASGNGQQPQPGEPPKRVPLTARERLRAARVLGKYAEPGGGTSPAGKKGSPSSSSSKPEFGSRVLDALRETDGGKKGGRKRSGLPEAPSNLLDDTKRGMPKDGWTFDWLAALPVGTDVLVVAASFGIITTVMFGTTYLVWKLGAIHFNEY >SECCE3Rv1G0185970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679884452:679888367:-1 gene:SECCE3Rv1G0185970 transcript:SECCE3Rv1G0185970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKRLRTIGDDHVTRVTEAESMEQDILVLLDQIHGFYEEALNRLPVKGIRSLAPRLLKAGLCIGFLDPISNIILNTISYCPSPLPILEEGVLARKAILSKIITDTDDPRVFELPLPAHTGHSMTVARRSLEGLMSFLIYYYRYLSEAEALRYLRLAGADLLLAVWLIDQDRNKPSMSVSDLLDRNPPFDISSPTTKIALRCAAASARHPEPAILVSASLLLASSLGDVSEYLQRHPRLSIRMVRCLRQWLSLQLCRDMPPMDIWRPMYLATLRLPVGKRKEKEENTSGHTESLKLLLLDKIHELYLDALSKLSGDGLCKRLACSLLKAGYCYGPMDPLSNIILNTVWYGSTFSTHHEFELSFEVDMICTNALMRIECCSLYGLVAYMRTLFSALTDHDAISYLLISNADIRSAIKMAQVDGYSVCYDNDPYREAARASWHPDTDALLKFFSSSIITESTESCVPTLALTDGGIERLAMLISPNSSPTNSCSETLSKNQKMFIRAVQKKFKADQRFFVRKVTAALFNYSQLTGVQYELQVICTVNPVVVEGTSVALFKKNGKYEYSHINFLATPKGSNFSAPGPVLFFAQCSNGDKDEDERPSMCVPVSSSWMSDVRCLNCEHKGIKIVHPYHETYHGRGEDFVAMARGDHSICSEDLISSCEFHADLMCTLKEDWIFFDPNMDATIAEKNPIDDCARAMKRWKNRIF >SECCE5Rv1G0340490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:614997805:614998410:-1 gene:SECCE5Rv1G0340490 transcript:SECCE5Rv1G0340490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAASPSDQHRTVWTEPAKRPAGRIKYKETRHPLYRGVRRRGRYGRWVCEVRVRGTKETRLWLGTFRTAEMAARAHDSASLALSGSAACLNFADSAWRMLPVLAAGSSSFSSAREIKDAVAVAVVAFQRQRPVASTADGEKDVQGSPTPSELSTSSDLLDEHWFGGTDAGSYYSPGMFMEPPERPEERKLGAGDVETPL >SECCE2Rv1G0089140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:253296579:253298987:-1 gene:SECCE2Rv1G0089140 transcript:SECCE2Rv1G0089140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATTPSSSGVKLQPWGSCRRRAASPALLPATATGRIIVDSGRMAPSPLPLRAVASESAQTSRAPQPQPPPLDADEEKMLANYVPVFVMLPLEAITAENKVKDAEVLRAQLRRLREAGVDGVMADVWWGIVEGAGPGRYEWRAYRELFRLAQEEGLKLQVIMSFHACGGNVGDAVNIPIPAWVRDVGDADPDVYYTSPGGARNQEYLTIGVDDRPLFHGRTAIQLYTDFMESFRENMADLLESGLIVDIEVGLGPAGELRYPSYPESQGWAFPGIGQFQCYDKYLEEDFRAAATDAGHPEWELPDDSGEYNDAPDDTRFFTADGAGATYLTEKGRFFLTWYSTKLIEHGDRILDEANRVFLGCTVKLAAKVSGIHWWYRHPSHAAELTAGYYNVDGRDGYRPIARMLARHDGAVLNFTCAEMRNSEQAEEAMSSPEELVQQVLSAGWREGTEVACENALPRYDRRAYNQMLKNARPNGVDLGGGVPARRVAAVTYLRLTDELLAGNKYRAFKTFVRKMHADQDYCADPAQYHRPLKPLERSRPAVPMDRLLDATSPEEPYPFDPETDMSVGGDLAGLIDWVFDKIEWIFD >SECCE3Rv1G0149720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:28297409:28297735:1 gene:SECCE3Rv1G0149720 transcript:SECCE3Rv1G0149720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAQPPCGCRRGGKGAPALAAPLNHHRLLVLVIIVYLVGGSDVLLLAAAAPPARRLGAATSVAYDVGSRPVPVVVVAASSPSSAPSAVGFTDDKRPVPSCPDALHNR >SECCE6Rv1G0424800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702431941:702432438:1 gene:SECCE6Rv1G0424800 transcript:SECCE6Rv1G0424800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFDVTIGGAPAGRIVMELFAKDVPKTVENFRALCTGEKGVGKSGNPLHYKGSAFHRVTPDFVIQGGEFTRGNGTGSESIYGGKFPDENFIRKHIKPGMVSMANAGPDTNGSQFFICLVPCPWLDGKHVVFGEVVEGMDVVRNIEKVGCLNGSCTMPVVIADCG >SECCE4Rv1G0251900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:609286365:609287948:1 gene:SECCE4Rv1G0251900 transcript:SECCE4Rv1G0251900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPGGGPSLTFGLGRSKAKFQMEPNTGVTFEDIAGVDEAKQDFQEIVQFLKSQEKFTAVGARIPKGVLLAGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFDKAKDNAPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFRGDSGVIVIAATNRPEILDAALLRPGRFDRQVSVGLPDVRGREEILRVHSANKKLDPDVSLSVVAMRTPGFSGADLANLMNEAAILAGRRGKDRVSVKEIDDSIDRIVAGLEGTRMTDGKSKMLVAYHEIGHAVCATLTPGHDAVQKVTLIPRGQARGLTWFLPGEDPSLVSKRQIFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQVTQVARQMVTTFGMSEIGPWALTDQAAQRGDVVLRMLARNSMSEKLAADIDRTVKAIIDEAYKVAKAHVRRTRPAIDQLVDVLMEKETLTGDEFRAILSEYVDIGREQRDTAARTDMVTA >SECCE4Rv1G0230710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:172887945:172896464:1 gene:SECCE4Rv1G0230710 transcript:SECCE4Rv1G0230710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQDRNGRQAAAAAESSVPLLEKKADGVLYVEGCPGCAVDRRKAANPGIPYGTFIYVWTVTLCTALPISSLFPFLYFMIRDLQVAKRTEDIGFYAGFVGASFMFGRCLTSTLWGIAADRIGRKPVVVFGILSVVIFNTLFGLSVTYWMAIATRFLLGALNGLLGPMKAYAIEVCRPEHEPLALSLVSTAWGIGLIIGPALGGYLALPAEKYPNIFSPDSLFGRFPYFLPCLCTSVFAAIVLISCIWMPETLHKHKVSDDGNQSVEALEAHLIDPKEEVGQSNSSNTKKSLFKNWPLMSSIIVYCIFSFHDMAYTEVFSLWAESDRKYGGLSLSSEDVGQTLAITGASLLVYQLFMYPSIIKVLGPIKSSQIAAVLCIPILFAYPYMTYLSGPGLSIVLNIASVIKNNIGVTIITGTFILQNNAVPQNQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQHAFFFPGDQMVFFLLNIIELLGLVLTFRPFLAVPEQYERN >SECCE5Rv1G0303850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:51416165:51416626:1 gene:SECCE5Rv1G0303850 transcript:SECCE5Rv1G0303850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTVLLIGASMAALLVISLFTFLCSNRPSQSQSQRSVVDLELGHGCAAAGIDEAVLAAYPTTVYSSAASRPGEGQMAAAEASADGDQPSGDTDTHTTCAVCLAEYADGDELRRLPGCKHAFHRLCVDEWLRRRPSCPLCRTSPPAAATDDS >SECCE5Rv1G0330440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:529592653:529595145:1 gene:SECCE5Rv1G0330440 transcript:SECCE5Rv1G0330440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSCGPMAGPPTDEETAEAPFGSSLQIATGSPATKRKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACSRCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCTAGCPQAGAGAPAPACGVAAAPSSQQQQAPPPAISMSRSRTASSTSPSSDVVISAVTWPGAAAMRSPNAAAFHRFEPQQVPSPRTPPPDGRGGGGHNLELQLMPPSSSSTVGVVAPLGVAPSWYAVPRSPPAPISQGDAATMQLQLSIGFRSGDNHGRRDVAEPSGGTAARTMQEAREELRQAMAEKAAADEARAQARRQGELAEQELASAKRMRHQAQVELSRAHALREHAVRQVNATLLQITCFSCRQKFRAVRPSAAMSSEVACSYVTEGGDVEVDNVGEPLILDGMRRRQQHATMDTV >SECCE7Rv1G0464630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:63099345:63109527:1 gene:SECCE7Rv1G0464630 transcript:SECCE7Rv1G0464630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSIHGCSLQPSMCADAGRRQPSSVSASATPLPRQIISAAKLQQQFALRIGDASNALNYASQRLLDAFVDTIFTLSHQPLRPTEGNFVPVEEIGDVREILDVVGEIPADFPEGVYIRNGSNPLFGALHSSSSIFGKSHDIWVEGEGMLHAVYFTKSNNNTWSIKYNNRYVHSDTFSLENGLKKPCFLPATDGDPLAMLIAGTLNTLRFGKAFKNMSNTSVFEHAGRVFSAAENDNPHEIDLHSLGTLGSWNVDGEWKMPFTAHPKVIPRTGELVIFGFNVDAPFLTVGVVSADGKKLQQKVALELDRCTYCHEIGVTTMYNIILDAPLTINKERMLKGAPLIEFEKDSYARIGVMPRYGDANSITWFYVQPLCMIHLVNCFEEDDEVVVRGFHVPGSIILGPRIESNQGLTEECFSHLYEWRLNLKTRTVVGKYLTGKEVALEFPVMNDKYVGLPHKYAYAQVVDSPANLAVRPKFGGFAKFCLDKKEDATTKNKGREDLIKVRYHHLDRNQFCSGATFVPKVNGTSEDDGWIISFVHDEGTNKSKVHIINAQTFEYEPVARITLPQRVPYGFHGAFVSKST >SECCE7Rv1G0472290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:140604187:140609967:-1 gene:SECCE7Rv1G0472290 transcript:SECCE7Rv1G0472290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEEKCSPKEICDKYHVIHDEVYKWFDIKFDKFGRTSAPEQTEVCQAIFHKLMENKWLTENTMQQLYCDTCERFLADRLVEGKCPTEGCNYEAARGDQCENCSKLLNPTELIDPKCKVCKNAPRIRDTDHLFLELPLLSDKLVNYINNTSVAGMWSQNAIQATNAWLKEGLKQRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITASYTPDWEKWWKDPDNVELFQFMGKDNVPFHTVMFPSTLLGTGENWTMMKTISVTEYLNYEAGKFSKSHGIGVFGNDAKTTNIPSEVWRYYLLMNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPAGGGYDSIIPDAPNAESHPLTNALAEKTNKWVEQYLEAMEKVKLKQGLKSAMAISSDGNAYLQESQFWRLYKEDPATCAIVMKTSVGVVYLLACLLEPFMPSFSREVLHQLNMSPDEDLSFCDDKGETAKAKRPWDFVSAGHKIGKPVPLFKELKDEQVEAFRIKFAGSQAERILKEQADAEAKKVAEKLKGTKLSEGSSKKKQSGGSKSKTAEEVSVAKLDIRVGLIRKAEKHPDADSLYVEEIDVGEEAPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVTFAGFSGEPEASLNAKSKTWEKLSADLHSNGELVACYKDVPFTTSAGVCKVKTIANGEIR >SECCE6Rv1G0385690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:83873820:83879781:1 gene:SECCE6Rv1G0385690 transcript:SECCE6Rv1G0385690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTAAAAAVASAAGAGGAAAAGEAEAAEESLKDDVYTGAAYGDLEKLHRLVELEGRSVTEPDGLGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTAFLYHIISKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLFLGAYRGRQDKEGCTPLHWAAIRGNMESCTVLVQVGKKEDLMVQDNTGLTPAQLAADKSHRQVAFYLGNARKVHERGCGGNNYFGKLSKLGLAPLLWCIIIGLIIVYIHSVIAGQYNSNMTLPFGFFSWLGVFLAVAGLVMFYRCSRKDPGYINKNIRDSQTQRDDEPLLKRGLDNPELLAGNWSQLCITCKIVRPIRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLILEVSAMIITAATAIIRVAGDPASPASFGGWLNYAATNHPWVVSFVIMDLFLFFGVITLTVVQASQISGNITTNEMANAMRYSYLRGPGGRFKNPFDHGVRKNCSDFFLKGYNEDIEKVEQTSHPDEEMAIIHMTRSAVSQNGESVPLHANGADHVCADSQTNSKSHRQVSSSKCCDHSKTDKTPLGLGLGLGRNNPSSRYARNLLPL >SECCE2Rv1G0081270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:137803188:137807031:-1 gene:SECCE2Rv1G0081270 transcript:SECCE2Rv1G0081270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSRRASGGGSTSSSCALCEGSNLPSCCAACVNTRLFEYHATLRLRRNLRDTLQSRIAARLEAKRKAEEQRMWKLSKAHVAKELRDRLSELKSRTALEKMKVKQASSDLKVKSGTLNVAFITLKTKQTDSSTMHTNAMKAAQMGLMATTSERLKRQSKAVKQLCRLFPMRRAIVDGEKKDGHSDPYDVICGIRLPRGLDPHSVPSEELSASLGYMLQVLSIAIHILSAPALHVAGFGASCSRVWQRSSYWSTRQSQSKVYPLFVPRQNNCSVGEENSWTESGSGNFGVDSVDSDQKSLFDSRRSNSFNFSAASSHSIERHQDLQRGISLLKTSVSAITAYYYNSLGLDVPPNLSTFDAFAKMLHMLSSSKTLRAALESNIASRSEKQAQQLNRSIWKASSAISSNSSMLDSTHTAIMPSSLDNLLLNSNTSFLYTGKPPRHGGAPDSIVDGWDMVEREILPPPPSQIEDVAQWERAHTYARSGSKKK >SECCE5Rv1G0371960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:850663846:850667720:-1 gene:SECCE5Rv1G0371960 transcript:SECCE5Rv1G0371960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAGAADEDFFDSREAISPPSVASPASSGRHSADGDGAWLCGGALLEVWATAPCSVEERRERFVRSLGLLDPGESEPRSRPRAIEEIVLANPASPAPRLARGAAGEAPGPSAGAGGEDGLECVFKNLDDGTVFVVHELGKDGSFRSLRERRSNRTVTAAEFERISGSSPFIREMMRRVDDSSDEPCTPEKSAAAARARRRRRRFGWLRRLGIGACVVDAEDDDEANSTSSSSCRSCAGKPGKAVDRVKVRPYKKRSKELSAVYKGQEIRAHKGAIVAMKFSSDGQYLATGGEDGVVRVWRVVEGERPDELDFAEDDPSCVFFTVNENSELAPVNSSEGTKSKQDNKSSKGQADPACVVIPHRTFALSQVPVNEFYGHDDAILDLSWSKNGDLISASMDKTARLWRVGCNRCLKVFFHNNYVTCIQFHPTSDNYFISGCIDGLVRIWDVRKCLVVDWANSKEIITAVCYRPDGKGVVVGTITGNCRYYDAPENRLELESQVSLNGRKKSPLKRIVGFQYCPSDPKKLMVTSGDSQVRILDGVHVISNYKGLQSSSQVAASFTPDGDHIISASDDSRIYMWNHANQLAPVTSRVKTVWSYERFFSNGVSIAIPWNASQSRNTISLACNIPSLRQEVSGDLCDIQDSSTSRCQTEDGLEDDNMFRLPSGNFTLSRAFLAEPAPRGSATWPEEHLASNSATASTLRKSQYKFLKASCQNAATHAWGQVIVTASWDGHIRSFQNYGLPVQV >SECCE7Rv1G0516410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:845936866:845940580:1 gene:SECCE7Rv1G0516410 transcript:SECCE7Rv1G0516410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVGALVEETFFVACSAHESRKKNEKNIFCLACRTSICPHCAPAHRHHPLIQVRRYVYNDVVRLDDLEKLIDCSFVQPYTINSAKVIFLKPRPQSRPFKGSGNICLTCDRILQEPFHFCCLSCKVDHVMMQGGDLSNILYMSGEPDVACFPRFENLRVGGGSSDLLDDVDATGRQVTPNSILEDPMHHYGGSSFSGGSSNRSGGSTRNARGFDAAADVDVPVPVPRKKKSGGFFPQIVMSLNNRRKGAPHRSPFA >SECCE7Rv1G0472440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:142429286:142433908:1 gene:SECCE7Rv1G0472440 transcript:SECCE7Rv1G0472440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRPARGQQGTATATVTPRILNLARRSSAAGGRPSRSVARAQQPRPAPKVVNLGALFEMERRVRGLESAPASPPCSRVPAARPREDAAEQEEKWRFQAEILRAECNFLRMEREVALRKLDCHRGQMEAALKTAVETLASGRKKIDGSGEVGVAAALEEGIEDLEEMMQELRVEKESGRRAMSGMRELRRSHGRNFDRQASSLRRRLERMPPADAEPTIKDIREIARPAPVSPRLPPPEEHSDGDDHVPSANLSDVDMLRVKMEGMSKGMRERMAEYSRRLEAVAGGDNSSCQSRKCGSRHSRKASACSQRSWSGSSNASIGSNAAAFRQNASHGASVASEKHQHPQKIVAEDCKLVRSGSCCDCKEIVGKIMKQVRAESEQWTEMQDMLEQVRLEMQELQSSRDTWQRRAIASDISLGSLNSEMLEWKQRAQASEQHAEELQKKVSELQGKLHTFKSHFPTPNLPSRAWSEACRMENPRAAKAQHHHRPPQQQQPDCGKEKEKEKHVLICRVKHSPSVVPRRSPLLDVGNIALPRRQR >SECCE3Rv1G0148860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20873350:20877839:1 gene:SECCE3Rv1G0148860 transcript:SECCE3Rv1G0148860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic glucose transporter 4 [Source:Projected from Arabidopsis thaliana (AT5G16150) UniProtKB/Swiss-Prot;Acc:Q56ZZ7] MMRCAVKAGGAHVVIGERRSASSSPGGRSRSVVRMSEGRGGGLCCGGVRSRAADLAGLEMGRPGAGAGAAGLFRSARYGRVRATAASADPEDVPSDKLQAKSSGTVLPYVGVACLGAILFGYHLGVVNGALEYLSKDLGIAENAVLQGWVVSTTLAGATVGSFTGGALADKLGRTRTFILDAIPLAVGAFLSATAQDVRTMIIGRLLAGIGIGISSALVPLYISEISPTEIRGTLGTINQLFICIGILAALVAGLPLAQNPAWWRTMFGISIVPSILLALGMAVSPESPRWLFQQGKISQAEAAIKKLYGKEKVTEVMYDLKASGQGSNEPDASWFDLFSKRYWKVVSVGAALFLLQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYSGTLAVVGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVLYIAGNVVETKGRSLEEIERELSPPSKADANAFLVSDQ >SECCE5Rv1G0341100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:620730064:620731888:1 gene:SECCE5Rv1G0341100 transcript:SECCE5Rv1G0341100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAALLRPLIPPPLVPARPLAARCRHLRGVGGRCAPGEGAPGAEWLSSAVGEKVDELLRREENRALLDGVEAAERRVELARAKLADIERQEAAARLATEEVRRLERRRDEIAESQRELLQAREMIDEAQRTLTSSLEDRSFWNTSGGEDIDKDSERLESVKAAAISSIIGVLASLPISSYEVHSLPQLFFRSSVVLISCALFGVTFRYAVRRDLDNIQLKTGAAAAFAVVRGLALLESGRPFELSTDALISLALDGAVSVVGSIFIFLSSAIALDYCFKMRFLSPFPARKQ >SECCE4Rv1G0230450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:164111805:164112626:-1 gene:SECCE4Rv1G0230450 transcript:SECCE4Rv1G0230450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPAPLLPLSTPPAPPTDNSDAAAETAPLRSATPAPAAPGASYIVRVPKDQVLRVPPPDRARRYSKLAARPARRRLLRRACCGACCALLLLLVLAAAFVGAVYLVFRPRAPSFSVASLSVAGLLDASPARLDAAVRADNGANKKVGVDYRGGGEVSVSYSGVLLATGRWPAFYQAPRNVTLISMPLTGRDGVALTDGQRARLAEQAAAGAVPLTVEARVPVRVRLGKVLRTWTVDVWARCEVTVDRIDGETTAANRGCTVKAKPLWWWW >SECCE7Rv1G0516980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:850585830:850587029:1 gene:SECCE7Rv1G0516980 transcript:SECCE7Rv1G0516980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRDLPLPFSPDPLASILHRCVCRSSSSPLSGSETAAERLTDDLLVEILSRVPARSLCRFKCVSNHWLGLTNDRNYRRKLPRTLTGFYQGNQLVDSGVPFTNVSGSRHLTYPAFLPNRWQVKVIDCCNGLLLCSSYVAGDHGEEFRYIVCNPATEEWAEFPYCGYSGLFVPARLCFDPAVSPHFHVFLFPVADMEDQHGFFITGVHVYSSETRSWVHKEKRWRGTIGVANDRSTVYLNGYLHFCAIVDGSDGRLAAVDKEGKARTNFRVPDGLDVGFIQLSQGCLHYAGFDRDDDNVVRLLVYVLKDYERREWILKHSVKTSYLLGGRQIEYLHEEFDWIAIHPECDLIFFAVAQEDITFMCYDMDSGQVKVFCNLEDSQQAYFPYVPLYEELQSLHK >SECCE7Rv1G0522090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876019639:876027820:1 gene:SECCE7Rv1G0522090 transcript:SECCE7Rv1G0522090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) UniProtKB/Swiss-Prot;Acc:Q7XJJ7] MGGGSGGRGKGMPRAMAPAGEVDVAAVRYRPAELQAPHLTGFPLRAFVWLLESPLLGPLVTSLLKKQNNMTQMLQHTVIPERPMFYPEYPPQEPERGVVALGDDRDPVDRVEEALRCLAPYDPSGRFTSADEKNPFLYWKIRDFAYAYRSGITTPSAVAELVIAGVEEWSNKKPPMPMLIHFNADDLRKQADASTKRFEQGSPISVLDGIFFAVKDDIDCLPYPSKSATTFFDEIRPVEKDAVSVSRLRKCGVIFIGKANMHELGLGVTGNNPNYGTVRNPHSIDRYTGGSSSGPAALVSSGLCSGAIGTDGGGSVRIPSSLCGIVGLKTTYGRTDMTGVVCDAGTVEVASPLTSSVEDSMLLYSAVAGCRPTEKLTLRPSPLCVPNLVSSENTNILQSVKVGKYTEWFHDVPDSEVSNTCEDALNLLCSTFGCQIEEIILPELEEMRTAHLVSIGSEAFSDMNAHYQAGRRTEMTLDTRASLALFKSFTSADYVASQCLRRRIMYYHMEAFKKVDVIATPTTGMTAPKIPPSALKGESDYVVSAKLMQFIFAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAVEELCLKRRNRPSTFYDILKT >SECCE5Rv1G0372090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851203805:851208223:1 gene:SECCE5Rv1G0372090 transcript:SECCE5Rv1G0372090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MNCLQHLLKEPPILAGSRSMRRPSPLNLAMVRGGSRRSNTVKTASGATTSSADSSALEPGADKSDSYSTNMTQAMGAVLTYRHELGMNYNFICPDLIVGSCLQNPSDVDKLREIGVKTVFCLQQDPDLEYFGVDICAIQDYCLECKDIEHCREEVRDFDAFDLRLRLPAVISKLYKLASHNGGITYIHCTAGLGRAPAVALAYMFWILGYNLNEGHQLLQSKRPSFPKLEAIKLATADILTGLSKNCITLKWKNGSCSSVEISGLDIGWGQKIPLAYDSEKRAWFLERELPEGRYEYKYVVDGNWVCNEHEMKTKPNADGHVNNYIQVARDGTSDEEKAMRERLTGPDPDLTKEERLMIKEYLEQYTEQ >SECCE4Rv1G0246960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:551388567:551393898:1 gene:SECCE4Rv1G0246960 transcript:SECCE4Rv1G0246960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYEEGEPCGVCGHRPPALGPAGAAAAPRQESAFPSEMLKDFLFLGSYDNASRSELLKTIGISHILNTVPLCQNLYRNSFTYHCLQDDKTLQFDDAIQFLEQCERDKARVLVHCMSGKSRSAAFVVAFLMKSRGWRLAQCFQWVKERRPQVQLSDAAQQQLIEYEQKLFSSNVAPTDSFPSLGFGFPKPSADVQVPIFNQQAPGSIFERVSPNNIPSNFTFGATEAKLPDGSNFGVVNLSGTDSMMDSS >SECCE3Rv1G0170260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:250748710:250750752:1 gene:SECCE3Rv1G0170260 transcript:SECCE3Rv1G0170260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLQESRHHFSHGNARSMILSFSFIVASAGLAIQGRTCSCLQFNYPSFDMTNKNDFSFSQGSAIANGSLRIVPNTGNSSHQSGRVVYVKETLKLWNRKRTALTSFSTQFALNILPQNGTGEGMAFILTNSPSLPRNSGGQWLGVCNNQTDGAPTNRIVAVEFDTRKSYEGDLDGNHVGLDLNSIKSVNQYPLSNLSIILSSGSDVLVSIIYNSTSHVFVLSVIQHNTSGSGGHNWRESWPVDLSRYLLDDIYLGFAGSTGDYTQLNQIKSWNFTTIDDILVAERTRHGKRVFLALIALVLLVTCSSFLVLLVRRRVTQQRRLAYCTLEKMIDAHGPVRFKLKELKRVTANFSPQRKLGRGGGGTVYLGYLNGISREVAVKRVSLSSRGEKEFVAEVNTISKLSHRNLVKLIGWCHERGELLLAYDYFPMGSLDKLLFANATTTTSSSSALTWERRYRIICGVASALDYLHHGSSKRILHRDVKPSNVMLDEAYNARLGDFGLARAIQLDGATHHSTQAVAGTRGYMAHESFFTGRASLDTDVYAFGVFAMEVVTGKSPSRSMLYDTQEMYIVDWVWRHYSQAKVMETADAVLGGVYDETQVDSVVRLALACCHPNPRDRPSMRTAVQVLIGGVPAPIPPFERPAFVWPLSGIQQEMELPQVGVLFTGGQLITSTSLTGR >SECCE6Rv1G0389460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:151883580:151886826:1 gene:SECCE6Rv1G0389460 transcript:SECCE6Rv1G0389460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVCLCRPLAMAVLLLIASFCHLGSCNGGGGGGRITLLPGQPEVSFGQYSGYIEVDGKGSRALFYYFVEAELDPATKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQALVRNEYSWNKEANVIYLETPAGVGFSYSADAAYYQGVNDNMTAMDNMVFMQRWLEKFPQYKGRELYISGESYAGHYIPQLAEVMVEFNKKDNIFNLKGLALGNPVLHFTTDFNSRAEYFWSHGLISDSTYRIFTSVCNYSRYVSEYYGGSLSPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSMILSPHKRVGHRIDVCVEDETVNYLNRKDVQDALHAKLIGVKKWAVCSSVLEYELLNLQIPTINIVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLARDLGLKTSIPYRVWFEGKQVGGWTQVYGDKLSFATIRGASHEAPFSQPERSLVLIRAFLQGRPLPETFS >SECCE5Rv1G0310050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:163511839:163516364:1 gene:SECCE5Rv1G0310050 transcript:SECCE5Rv1G0310050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRYDAEEEVNDHPIEEVRNTVPITDDPSEPSLTFRTWVLGMSSCVLLAFVNEFFMYRSNQLSIGTVVVQIATLPIGRFMASALPAKLIRVPLIGWSFTLNPGPFSLKEHCLITIFAGAGASGVYAMNIIAIVKVFYKRQINPYAAMLLAQTTQLLGYGWAGLFRTYLVDSAYMWWPLNLVQVTLFRAMHEEEKRPKGQLTRLQFFFIVMICSFAYYLIPSYLFPAASTLSALCWFYKDSVTAQQIGSGLKGLGVGSFGLDWNTVAGFIGNPLASPAFAIFNIMVGFSLNNYLAVPLLYWTNTYNAKRFPIISSHVFDATGHRYDTNRILDPKKFTLNLHEYNAYSRIHLSVLFALNYGFGFAGLMSTLSHVALYHGKEIFDLWRKAASEKFKEQDVHTRIMKRNYRSVPQWWFHLMLVLVLALSLFTCEGFGGQLQLPYWGLLLACAIALTFTLPIGIITATTNMQPGLNIITELIIGYLYPGKPLANVVFKTYGYISMGQALTFVSDFKLGHYMKIPPRSMFFVQLAGTVTASTVHFATAWWLLTTVKNVCDVDVLPEGSPWTCPGDDVFYNASIIWGVVGPLRMFGRLGNYWQMNYFFLVGVLAPVPIWLLSRWYPHNSFLKSINIPLIFAGAGGLLPARSVNFIMWGLVGIIFNHFIYKRHKAWWMRHTYVLAAGLDAGVAFMGVLTFISLGYFDIYGVRWWGGVADDHCPLASCPTAPGVVAKGCPVVK >SECCE6Rv1G0378400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:8277218:8277722:1 gene:SECCE6Rv1G0378400 transcript:SECCE6Rv1G0378400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRKERVMAGAVCVLVILLSAQRLPVGVADPATSAFCQCYAFCYKNCELNLPTGQDCSDYCCARCYFPGDPDFENGCRMSGGKQGSVCCTEATGDDTRSICITAEGAAANYWSRRHIKAKAKHG >SECCE7Rv1G0454190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:1724889:1726330:1 gene:SECCE7Rv1G0454190 transcript:SECCE7Rv1G0454190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MDSRHQRLATLTKALTAHVNAGRHRDALAFFARMAADPALPPLADPSFAYALPLALKSAAALRLPSSAAAAPLHALTRKCAGLLSNPFVASALVASYGSSAEAARRLFDELPGRTAVVWSAMISVYVRSGDVAAAARALGEMDVAPTASCFNSVIAAVAESGERPARAVELYRRMQRMGVRPSLITLLALVPVCTALGALGSVREVHGFAVRHGMFASCHLGSSLIEAYGQCGSLVGAQRVFDLVDERDVVVWSAMVSAYAFHGHGDVATSLFRRMELDKVRPDGIMFLGVLKACGHAGRADDALKYFDVLTKTHGVEACGDHYSCLVDVLGRAGRLRQAYDVIRTMPVRVTAKAWGALLAACRKYGEVGLAEVAARALFDIEPENAGNFVSLANIYSGLGMHEEAARLRRDMEQRGLQSSPGSSWTIHRKSSELA >SECCE4Rv1G0269550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749529367:749530515:1 gene:SECCE4Rv1G0269550 transcript:SECCE4Rv1G0269550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKLQASRRRHGGPLSCLCSPAPLNLLMLLSLLSTNLLAFFAFFAANPRHDPAAGAIPSSSSSANLSAHVAAIALEIGGASTSSSAARLPDGLPPELLLFLTPHALPLGRDARTGLTHMPAAVAASCLRSPSALALLSAFMSYAPHSACPRNATLPRRLVSKGCEPLPRRRCLSRGPRAPLPASGMGLDHRRWAGPARAGHELLVDDVLRLGASKIRIGLDVAGGAANFAARMRERGVTVVTSVLDGPGKPMNEFVASRGLFPLLLSPAHRFPFYDGVFDLVHVGTAALDEAGAPAMGQAATPEALEFFMFDVDRVLRAGGLLWIDSYLCQSEERRRVVAKLIDRFGYKKLKWVAGEKAGGASTSTYLSAVLRKPARS >SECCE4Rv1G0216040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8538425:8538931:1 gene:SECCE4Rv1G0216040 transcript:SECCE4Rv1G0216040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKTKMLICAAVAQWFINMIALVVQSKKRKRREAITYAPIGERDRMRREYFDNKVWKNDTTSVNMLRLRRAPFFRFCQVFRDRNLLKDTVHMSIEQQVAMFLHTVGHNVRNRVIGGNFGRSGEVVSRYFHRVLHAIGELRDDLIRKPSLETQTKVEGNYRWDPYFKV >SECCE4Rv1G0277420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:803341790:803343699:1 gene:SECCE4Rv1G0277420 transcript:SECCE4Rv1G0277420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVAGTRWRGMFEERLKDALKHAEEAGGKVILFIDELHMIVGAADKGGPMDAANILKPALARGRIRCVGATTCEEYHRYIQTDAALERRFQMVVVEEPSVQGTITILKGLKQRYQEHHGLKIQDDALVAAAQLAARYITGRQFPDKAIDLIDEACSTAKVHFDKQKVDNNIISSIFAPKELTVGPDHIAQVVSRCTRIPLTTLGQEEKEKLVHLAEKLHERVVGQDEAINLVAQAVLRSRVGFGQSSRPIGSFLFLGPIGVGKTELAKALAERIFDNEKTLIRFDMSEYAESGSMSRLIGGPRSYEEDGQLTEKVKRCPYSVVLFDQLDKANPSIFKVFIQLLDDGALTDGKGHVVDFKNTIIIMTSTLGAEHLATRMSIENTVKDGRDLLMEQVKKRFKPELIDRLSEVVIFEPLSHDELKEIVKIQMKDVIATVANKGVSLCITDAALDVIWSESYHLVSGAWPMRRWVEKNVTTFLSNMLVNGEACEGSTISIDAADVNRGLRYHVLKK >SECCE1Rv1G0039270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:547167202:547170024:-1 gene:SECCE1Rv1G0039270 transcript:SECCE1Rv1G0039270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLFQPMRPIANCFLRFAWLRRTNSAMAPSPRALRSLSLLCFLLLLTGAASAAAEILVHTECPSDRNYTRGGVFQANLDGLLSVLPAAASAASGFAENATGAAPDKAYGLAQCRADVSTSECRTCLDASVQDAASKCPGQKTSMLIYDNCLLRYSNASFFGVVNTPWVVALCNTGNATQPELFRTQLDTLMNNLTRRAAYSSPRKFAAGAAEFAPFNKIYGMAQCTRDLAGDDCYQCLTGAVSSIPTTCDGKQGGQIIFRSCSIRYELYPFYNAQAAEAAMSPPPPPPPAVNGSDQSGPAGRAGNADATAKIALFVSIPVAAVLLVVLTVALYLCKRKNKKPHEHVQISTADSEDGADMRSSDSLLYDLSTLRAATDNFSEENKLGEGGFGPVYKGILRDGQEIAVKRLSKSSQQGLVEMRNEVVLVAKLQHKNLVRLLGCCIQEEEKLLVYEFLPNRSLDKILFDPARRQELTWGHRFRIIQGIGRGLLYLHEDSRLTIIHRDLKASNILLDPEMNPKISDFGLAKLFSLDASVGNTSHIAGTYGYMAPEYALHGIFSAKSDVYSYGVLVLEIIAGRRNNFSQYPGTNGEDLLTTVWRHWSRGSVSGLLDGCSADGLQPTEMLRCVHVGLLCVQDDAHLRPAMAAVVVMLNSRSITLPVPTPPAYVVPGRVDALGRSTTHEVQAPAGAVHGPYANDASVSDLEPR >SECCE5Rv1G0320920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:394458174:394460549:-1 gene:SECCE5Rv1G0320920 transcript:SECCE5Rv1G0320920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAAAARSLLPLPPAAAPIHTHTASPSLGAELAAADALHALLSTFPPSLPTLLPCLSLLSPRLTPHTVSDALLWADLPVASRIRLFLFSALSPRLRSRLLHSRAVSVLLSGDPDATMFDALADARAAGLPAPSAAFAALVTAHASAGRPQEAVDAFSRMGDFECRPTAFIYNAVFKVLVDSGAILLALALYNRMVSAGCPPNRATYNVLMDGLCKRGMAGDALKMFDEMLERGIVPDVKIYTVLISSLCSAGRTEEAARLICSMKEKGCPPDEVTYNAFLSGLCKAGRVDDAFERLELLRDGGFALGLNGYSCLIDGLFQAGRFDEGFSYYREMLEKNVKPDVVLYTIIIRGCAEAGRTEDAFSLLNLMKDKGFVPDTFCYNTLLKAFCDVGNLDKVQSLRSEMVQNNVALDSTTHNIMICGLCKKGLIDEAMQFFHEMGELDCHPTVMTYNALIDGLYRVGRLEDAQMLFYKMEMGNNPSLFLRLTLGANQVRDSESLRKLVDGMCESGQVLKAFKLVRGIIDSGVVPDVVTYNTLLNGLCKAKNLDGALRLFKELQLKGISPDEVTYGTLIDGLWGAHRENDAMMLFQNILRGHGSPSLSIYNTMMRSLCRMKKLTQAINLWLDYLPKKYNLTPEDEVIANARNCFEVRSLDEAVKELIKIDQEYDSVSSNPYTIWLIGLCQVKRIDDALRIFHTLEEFGIDVTPACCALLINYLCWDRNLNAAVEIMMYTLSKRFIVSQAVGNRLLRRLCIRYRRKDAQALAWRMHLVGYDMDVYLREPTKTLLYSQ >SECCE5Rv1G0338890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604005227:604005469:-1 gene:SECCE5Rv1G0338890 transcript:SECCE5Rv1G0338890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRPRAVALVCLVAVLLVAGAGPAGAARPAPAGRSGDSAAYSAVYPAAVVAAEKARGTVEMLLARLPAGPSHKGPGH >SECCE2Rv1G0070570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:36419023:36419337:-1 gene:SECCE2Rv1G0070570 transcript:SECCE2Rv1G0070570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLTGGTCPATSCFRFEPRSVDAFGSTVIAEGVSAAGEDTKAAYWVHAWTVGSDGVITQLREYFNTDLTVTRLAAAAASKCVWQSRRPDRARNSLPGLVLAL >SECCE6Rv1G0417170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:655302734:655303480:1 gene:SECCE6Rv1G0417170 transcript:SECCE6Rv1G0417170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKSIGKKVTLKYIANDSTRRARFTKRLGSLMTKASELATLCDVKTCVVVYGEGKAEPVVFPSHAEAVDILNEFRSMPELGHCKKKMDQEAFLTQRIAKLRDQVDKARRECQDSETRYLLYNIMHGNHPGLVDLSPEELARVGWKVDELLKSLAQRMAKNHVQITPPPAPCVSTDSIDMGSPSQYLLASPQQQEDWVDMVSWEGDVGTQVYRGNGSNDGAGFSGGDMMMQMQSSDLGFSSSPFPPM >SECCE4Rv1G0217010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13936172:13937978:-1 gene:SECCE4Rv1G0217010 transcript:SECCE4Rv1G0217010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAGGHGGGRVPSTQFAKHVVAGRWFMFFASILIMAAAGGTYIFAIYSKAIKSSLGYDQQTLNTLSFFKDVGANVGILPGLINEVTPPWVVLACGAAMNLLGYLMIYLSITGRTARPPVWLMCFYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAIYGTDNDGADLVLLMAWLPAAISLVFIPTIRIMPRGAPAAAASSSRERKAFFYFLYASIVLAVYLLVMNVVELEVLKFPRPAYYVTATVLLLLIFFPIVIVVQQELKTYLEPPLPTQSHSQSPPCTVTPAATTCFQDVFRPPARGEDYTILQALFSVDMLVLFVATICGVGGTLTAIDNLGQIGQSLGYPQRSVTTFVSLVSIWNYAGRVVAGFASEYVLARYKVPRPLVLTAVLLLACAGHLLIAVGVNNGLYAASVILGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGAVASPVGSYILNVRIAGRRYDEEAIRQGGRRGKDLTCIGVRCFRESFYIIAGVTLLGALVSLLLAWRTRNFYRGDLYGKFKADQLAMGPVPAREERPEEATTKDAVATTNGSKIGAAH >SECCE6Rv1G0433730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:761365974:761369582:1 gene:SECCE6Rv1G0433730 transcript:SECCE6Rv1G0433730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDEHGASARLESILTDSSAPRAERMWAAGTVELGMLLRLAVPAVIMYMINFLMSMSTQIFSGHLGSLELAAASLGNTGVQMFAYGLMLGMGSAVETLCGQAYGGHKYDMLGTYLQRSAVVLCCTGIPLAVIYAFSEPLLLLLGQSPEIARAASIFVYGLIPQIFAYAINFPIQKFLQAQSIVLPSAYISTATLVLHLLLSWVVVYKVGLGLLGASLVLSLSWWIIVVAQFAYIIMSPTCRRTWTGFTIKAFSGLPEFVKLSAASAVMLCLETWYYQVMVLIAGLLPNPELSLDSLSVCLTISAWIFMISIGFNAAASVRVSNELGAGNPKSAFFSVWVVTVLSAIIAVVLAVVIMCFRNYISYIFTEGERVSDAVADLCPLLAITIILNGIQPVLSGVAVGCGWQQFVAYVNVGCYYIVGVPLGVLLGFVFNFGVKGLWGGMIGGTAMQTAILLWVTIRTDWSKEVEEAQKRLNKWDDTKKEPLLVGAADS >SECCE5Rv1G0372530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853425259:853429915:1 gene:SECCE5Rv1G0372530 transcript:SECCE5Rv1G0372530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLNDEKIIGYFKNKSILITGSTGFLGKILVEKILRVQPDVKKIYLPVRAVDAAAAKHRVETEVVGTELFGLLREKHGDGFQSFIREKIIPLAGDVMREDFGIDSETLRELQVAKELDVIVNGAATTNFYERYDVALDVNVMGVKHMCNFAKKCPNLKVLLHVSTAYVAGEKQGLVQERPFKDGETLLKGTHLDIDTELKLVKDLKKQLEADEDSSTKAERKALKDLGLARARHFGWPNTYVFTKSTGEMVLGQFQCDVPVVIVRPSIITSVQNDPLPGWIEGTRTIDTIVIGYAKQNLTYFLADLNLTMDVMPGDMVVNAMMAAIMVHSSSSLEKKKSYPEPHTPAVYHVSSSLRNPAPYNVLHEAGFRYFTEHPRVGPDGRAVRTHKMTFLSSMASFHLFMMLRYRLLLELLHLLSILCCGLFGLDTLYHDQIRKYRFVMHLVDLYGPFALFKGCFDDVNLNKLRLAMTSNHGSLFNFDPKTIDWDDYFYRVHIPGVIKYMLK >SECCE1Rv1G0049400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:640406297:640407085:-1 gene:SECCE1Rv1G0049400 transcript:SECCE1Rv1G0049400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAHGHGLLLDPAALAYSWAAEPEIPPQLLAALGEYLSSSSSAAGGSHGDQSAVDAEAVDAEADDEFMMYEFKVRRCARARSHDWTACPYAHPGEAARRRDPRRVAYAGEPCPDFRRRPGAACPRGASCPFAHGTFELWLHPSRYRTRPCRAGPACRRRVCFFAHAAGELRAPHKGPDSPPALSPKSTLTALWESPPVSPVEGRMRWLDAVDDAADAEVEEIMLAMQQLSFANAAAPSGTLPAVTEDDGPDLGWVSELVM >SECCE2Rv1G0100270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:537916280:537916812:-1 gene:SECCE2Rv1G0100270 transcript:SECCE2Rv1G0100270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDGVCNLYNGGVRFVQERDPGRSIRYIPLQSDSGRKLLRRSGRSPDDISSVVLVEKDRSYIKSEAVLRIMEYLNLPFPQLAAFLEIAPLFVRDFAYDNVANNRYVVFGRSETESCKIL >SECCE4Rv1G0247490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:559923728:559924135:1 gene:SECCE4Rv1G0247490 transcript:SECCE4Rv1G0247490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAGRANAARGATATDEVRRIVGTSEPLQSEDIEYILDDYLRTSSCIAAKRGRVQDQIAAASRGRGTGKRAHSRRAPESAEEAYTRKDLGADRKRLRAQAGKAKKEQQRQEAMCGDFLELLADARQRDALRR >SECCE2Rv1G0120340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:798366227:798367219:1 gene:SECCE2Rv1G0120340 transcript:SECCE2Rv1G0120340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGATLLRHLGPRLFAAAEPASGLAASARGIMPAAARIFPARMASTEAAAPRAKQEEDAEKPQEAATPEQQSKKPVVSYWGIEPRKLVKDDGTEWPWFCFRPWDTYRPDTSIDVTKHHEPKALADKVAYFVVRSLRVPRDLFFQRRHASHALLLETVAAVPPMVGGVLLHLRSLRRFEHSGGWIRALMEEAENERMHLMTFMEVTQPRWWERALVLAAQGVFFNAYFVGYLISPKFAHRFVGYLEEEAVESYTEYLKDLEAGLIENTPAPAIAIDYWRLPADARLKDVVTAVRADEAHHRDANHYASDIHYQGMTLNQTPAPLGYH >SECCE6Rv1G0432410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:751752263:751758073:1 gene:SECCE6Rv1G0432410 transcript:SECCE6Rv1G0432410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRRLNLAWNKISGPLPVPVFVNCSRMESLDLSGNLISDEALPGALSGCSALASLNLSSNHLKGAFPPDIVFPASLSYLNLSNNNFSCELPGNAFAGLPRLSSLSLSLNHFSGSVPDSLGALAELRVLDLSSNVLTGAIPPSLCPSTGNKSKLLVLYLQNNYLTGGIPATISNCESLESLDLSLTYINGSIPSSLGSLARLRDLILWENKLEGEIPPSLAGARRLENFILEYNSLTGSIPSEFVNCKNLTWISLGSNRLSGSVPAWLGRLDNLTILKLNNNSFSGQIPPELGDCKSLVWLDLNDNQLSGSIPPELAKQSGKMTVGLIIGRPYVYLRNDELSSKCRGKGSLTEFASIRSEHLNRMASKKLCNFTRMYMGSTEYTFNLNGSMIFLDLSFNKLDSEIPKELGAMYYLMIMNLGHNQLSGAIPTQLAGAKKLAVLDLSHNQLEGPIPTSFSSLSLSEIDLSYNRLNGSIPELGSLATFPKSREDNMLGSGGCGKVFKGQLSSGLVVAIKVLDMRSKRAFRSFDAECRVLRMARHRNLIQKINTCSNMNFRALVLEYMPNGNLEALLHFSQGGERLFGFRERLRVMLEVSMAMEYLHHDYHETVLHCDLKPNNVLFDKDMIAHVADFGIARLLQVDDSSVFSMSMHGTTGYMSPEYGSYGKASRKSDVFSYGIMLLEVFSGKRPTDAMFVGELSLRRWVRQLFQADQLVHAVDRRLLQCSDMDIGFLASILEIGLLCSSDSPRDRIKMSDVVLRLKYIETEYAKNTTSTSGSASQ >SECCE4Rv1G0257380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:666492477:666494518:1 gene:SECCE4Rv1G0257380 transcript:SECCE4Rv1G0257380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNMEQHTWAFTFGILGNIISLMVFLSPLPTFYRVYRKKSTEGFQSTPYLVTLFSCLLWMYYALLKSGSELLLTINAVGCVIETLYIAMYLVYAPKSARLLTAKLFIGLDVGLFGLIALVTMLASHGALRVQVVGWICVAIALGVFAAPLSIIRLVIRTKSVEFMPFSLSFFLVLSAVIWFAYGALKKDIFVAMPNVLGFLFGVAQMALYMAYRNKKPATVVLVHEEMKLPEHVKEVAGGGAKLQGGAPTEGRISCGAEVHPIDVLPAGAVDEQAAAAAADEDVIRDDHNMLRPEQPAVIKPDVAIVVQA >SECCE5Rv1G0326210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:474520080:474521759:-1 gene:SECCE5Rv1G0326210 transcript:SECCE5Rv1G0326210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARPRRWRFPFQRSAPSSPSSKSLPPAPYSPARSAAAAYSEPDRKWEEEAAPPEFVCQLSGALMADPVILPSGQTYERACLQACAELSFLPPGAGSGSDAMIPNSALKAAIGSWCARSGRAAPRPPSKEAVREAVLRAMPPAAAAAAEAKSVRTRRAAMASSSNSSYSSPASAASTSTSTSSSEITPAEEDVAAKPVKEAVKEVELPVDPLEDEVVATVLDADEDGEVAAALAVLRDATRESAERRLALCTPRLLAALRRVLLIPRHASARVDATAALVNLSLEPANKVRIVRAGAVPALVEVLRSGGSAPEAREHAAGALFGLALNEENRAAIGVLGAVPPLLDLLTSPANHPRARRDAGMAIYHLSLAAVNQSKVARFPGASKALLSVASSAAEPTPIRRLALMVICNVGACSEGRASLMDAGAVAAVSGILLSAHDEATRVALAELEEWCVAAIYALSRGSLRFRGLARAAGADKALRRVAEEGTPGGVRREMARKTLRAMRGDLDEEADLTGSSLECGDGDDCGGSIVSDGLMSFRRRQREVGASSCGNTAEF >SECCE3Rv1G0143520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:1001723:1004847:1 gene:SECCE3Rv1G0143520 transcript:SECCE3Rv1G0143520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTAKLSYPATAPVRSAQPARRASLVRVRASGGSYADELVSTAKTVASPGRGILAIDESSATCGKRLASIGLDNTEVNRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKTFVDVLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVVSIPCGPTALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHGIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKEKASPEAIAKNTLTMLRRRVPPAVPGIMFLSGGQSELEATMNLNAMNQSANPWHVSFSYARALQNSVLKTWQGQPENIEAAQKALLVRAKANSLAQLGSYTGEGESDEAKKGMFQKGYTY >SECCE6Rv1G0445150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:836197688:836200044:1 gene:SECCE6Rv1G0445150 transcript:SECCE6Rv1G0445150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVAITAAIGNMLQGVDNATIAGAVMYIKREFHLETQPAVEGLVVATSLIGATIITTFSGPVADMVGRRPMLIASSLLYFLGGLVMLWSPNVYVLLLARLIDGFGVGLAVTLVPVYISETAPPEIRGLLNTFPQFTGSAGMFMAYCMIFTMTLHPGPSWRTMLGVLAGPSLIYLALTVFYLPESPRWLVSKGRMKEARVVLEKLRGREDVSGEMALLVEGLGSAGETEIEEYVVGPADGDQEQRDTVTLYGPEQGLSWVAQPVAGGRGSMLGSALGLGGTGRQGSLFDSMRDPVVALLGSVHERLPGDGGAGSMRGSTLFPNLGSMLSVSERPGGAAPGGSGGAWDEENAARDDDDDEYLSDDDEPAAPPGGGGGLEAPLLSRQSTEVEGKTNANDVRSQSMQRFSSMGGGVDAASTMGIGGGWQLAWKWTEKVGPDGVKRGGVKRMYLHEEGIPGGANGAGAGAGGPGEYVHAAALVSQSMLYTKDVLIGQSPTEPAFANPPEAVATKAAASGPRWRELFEPGVRHALFCGMMIQILQQFSGINGVLYYTPQILDQAGVSVLLSGLGLSADSTSILISGLTTLLMMPSIGVAMWLMDVTGRRSLLLTTIPVLIFSLVVLIVANVVPMATTVHAALSTGSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIALCSLTFWIGDIIVTYSLPVMLNSVGLAGVFGIYACVCCLALVFVALKVPETKGLPLEVIIEFFNVGAKGIPEEMD >SECCE4Rv1G0227310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:121532450:121538158:1 gene:SECCE4Rv1G0227310 transcript:SECCE4Rv1G0227310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRAAMADGADRVEATTIGNDKETLLSLLRAKSERSAEAEEKVKWVRSQMVGRDAEFDTPFGRRALVYADHTASGRGLRYIEDYILTQVLPFYGNTHTEDSYVGSRTTKMVRTAASYIKRCMGAGADDALIFCGSGATAAVKRLQEVMGMAAPPGPLLRARLLAQLRPEERWVVFVGPYEHHSNLLSWRQSLADVVEVGAGDDGLVDLAALQRALGSPEYAKRPMLGSFSACSNATGIVTDTRAIARVLHQHGAFACFDFAASGPYVEIDMRSGDMDGYDAVFLSPHKFPGGPGTPGLLLMNRSLYRLASLPPTTCGGGTVAYVNGRSEDDTVYLDDVEEREDAGTPPITQKVRASLAFWVKEHVGLGAIALRERVHADAAMRWLLSNPAVKVLGSVEARRLPIFSFLVYPGGDTTLGRTRRRLPLHGRFVAKLMNDLFGIQARGGCGCASPYGHALLGVGEELSLRIRSAILKGYHGVKPGWTRVSFAYYLPPEEFRFILAAIDFVAAHGHRFLPLYNFEWATGNWTFRRRAVKHHLMMEELLHGRGSSNTKMKGNKTAGDGDKFESYLEFATKVALSLPETGDEQQVPEGIDPDIVLFRV >SECCE3Rv1G0149540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:25897585:25898625:1 gene:SECCE3Rv1G0149540 transcript:SECCE3Rv1G0149540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSQHHNRVKEALARRPKTRMLTGFGVKPSAAFSKPAQLQAQSLLPAAQPPRRRVRVLYEDPDATDSDTDDDEEAAAPASASSKRCFELFLGKAPPAKVFAKPVTPAAASDAAACTTSSAEAHRGVRLRKWGKWAAEIRNPFSGKREWLGTFDTADLASAAYQAASRSFIEEKRRRRGQSVAAASPARSAASTTPMSSSTPTASSSSSTSAAPFAHPSPSSVLEATKPAAESLSPEPTPVPVTVSTTAETAQLPDDPEFYQDLLRGLQLPDIDPMDFRAGLDALDVSEASAYLDGEQDLLLGDLADEDLELDMDLDIGDDFLEMPGCDFGRGMDDFLQTVDFCV >SECCE3Rv1G0159230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:94858671:94860300:1 gene:SECCE3Rv1G0159230 transcript:SECCE3Rv1G0159230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESPAYYSLFVPLLLVVVPALYLVGVFRRRSVGQQRFPPGPWALPVIGHLHHLAGSLVPPHHALRDLARRHGPLMLLKFCQLPVLVASSPDAAREIMKTHDVAFASRPLSPTMQLFLRGSEGLVFAPYGDGWRQLRKICTLELLSNRRVHSFRRVREDLLGRLLRFVAPSASTAAAVNLTRGLASFVADSSVQAMIGRLRSDDRDTLFTLLREGFKIVPGMTLPDLFPSSRLAMLLSRVPARIDHRNKRMAAFMDSVIQQHRDKKRSAACADGREEEHAEDLLDVLLRLQDDMDSKYPLTTDNIKLVIIDMISASSETTSTTLVWAMAELLRKPAAMRRAQDEVRRQLDGHHRVTEDGLAELHYLRLVIKETLRLHPPVMLIRECGGPRQQVLGFDVPQGAMVLINAWAMGRDPAHWDSAEEFVPERFESCGTPDFKGVDFEFLPFGAGRRICPGVSFGLVHLELALAALLFHFDWKLPDGMVPEELDMTEEAGLTTRRRAELVAFAVPHVPVPTE >SECCE7Rv1G0464940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:65163262:65171332:1 gene:SECCE7Rv1G0464940 transcript:SECCE7Rv1G0464940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLGGGGGRGAGRGGGGAKRPPAPHGRGRGASSSSMGKGPPPRGRAAAAAAASAAAAAQAAAREESFSLESSGPPAFAAIIRLTPDLVDEIRRAEEAGGGARIMFNSSINPAENVIDVDGKEFNFAWASERGELCDIYEEQQSGEGGNGLLVERGSAWRKVTVDRTLDESAKNLVKARSEEAERIAKSRKSIVLDPGNPSVRNQAKSMVAAGEGNMRRGKWNQKKDNFNKKQATVIPTKSISKVKLPNSIPKRSISTSPVPSPEQPGPSIHSFPVGSDANNEVIVPFDLNKEENSKFEKATPNRISRGLNHGAGSVSASVEDNTTDLRSLLISTLSENPKGMNLKALEKAVADAIPNASKKIEHVLKNVADCQSSGRYLLKPELEVENSNHASGSGRTIDENIEEIAPSLSIDDPDIEKIEIGGSPVSAAGDEKVNDSDGKAGSSSESGSDSDSDSDSSGSGSDSGSQSRSAGSGSGSSSDSDSDASSSSKEGSDAFVDITSDDNKPDTARRKVADELKLSSSPRDFPTFDGDDEQIDIGTNLDYKSTSSHIDLNNLNADNDETAYAATTTETFGASNVDMPSEFPGSENMVSTRLDPSIVDGEYPANKMSYEDNHFDDPLAASSENLPNEEAIQFTEQHGSRRKSTSKDGTNHVPTRISEKGVKPTLKRFPGNENAITKPESAKKAKVDFAYSGATGSLSAQRQNLPPDKHINERSSKETGDVGWDTHTELQVQDISPMDGRPVTPGDLQKINQSQNLPIPTHSEGKQEKITKTSSKKKLDKVQKPLNSMDGSLGNVNFDNTDDSAARKRGRHGGSSLDGKKHKRSKDPNIDTNPINLTKGVRGNVNHNMMMSLPECTETNGKPPILQRNSAEKSSPKKVLQREHSDLELGELREFPSENDNGRTRKQLERNSSSKSLDGKATNVDNFYPNMNTRKVALSASHDQRKPSPQEFNSGGNINQEGFSRKTAGYDFDNNRSQHRGNVSQGRQLPRTDDSDSENILYPDRSIEKTGKRETKMAQGGMLDHVDPKKTTPKLPQNGTKNGIECRIRKSISPAENEERSRNNSLIETETGRKRRDSSSEEDNLFFKKYDKAKPELKGPIKDFSQYEDYLQEYNEKYKVYSYLNSQIEKTQSEFLKVQEDFNVAKERDKDKYYNIVDRLRDMYHESGTRHKLMKKVFVLLHEELQSIKQRMKDFAKDYSKD >SECCE7Rv1G0469800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:113630104:113631717:1 gene:SECCE7Rv1G0469800 transcript:SECCE7Rv1G0469800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKASNMSYRYPDNSQIPYYSSSMHVGGNGTCYVQQNHEDHHYVSSDGGSQNSDSKSQVIHPQYSTLESSSANCVYAANSSTSPQCISGSHISLHDSHSDHTYDSPASGITEVPGLGFTTLQELADALFGSDSDAVSSDRSSLVIGAAMHQSNWRELLGISSGDLKQVIVACGKAVDENNCHEELLISELQKMVSVSGEPMQRLGAYMLEGLVARRYSTGHALYKSLKCKEPQPTNSELMSYMHLLYDICPFFKFGYMSANGAIAEAVKGENFIHIIDFQIAQGSQWVTMIQALAARASGPPYLRITGIDDSDSAYARGGGLDIVGRRLCNIAQSCCLPFEFNAVHAASHEVTLEHLDIRKGEAIAVNFAYQLHHTPDESVCIENHRDRILRMVKSLSPSVVTLVEQEANTNTAPFFSRYMETLDYYTAMFEAIDVACPRDDKKRMSTEQHCVARDIVNLIACEGAERVERHEPFGKWRSRLAMAGFRPYPLSALVNNTIRTLLNGYNSYYKLEEKDGVIYLGWKNRKLVVSSAWW >SECCE3Rv1G0203080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:880279372:880286893:-1 gene:SECCE3Rv1G0203080 transcript:SECCE3Rv1G0203080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAGEVTRADFPEGFVFGVATSAYQIEGARNEGGKGDSIWDVFTDNKERVLDGSSGEVAVDHYHRYKEDIELMAKLGFGAYRFSIAWSRIFPDGLGTEINEQGVAFYNNLIDFMIEKGIQPYATLYHWDLPHNLQKTIGGWLSDKIVEYFALYAEACFANFGDRVKHWITINEPIQTCINAYGVGIFAPGLCKGVAAEPFLAGHHQILAHAAAVDVYRRKFKAKQGGQVGFVIDCEWAEPKSDKMEDQAAAARRMDFQLGWFLDPIYFGDYPESMRQRVGEYLPKFSEKDRELMRNKIDFIGLNHYTSRIIGNQPNPQPQEIHFYQVQQIERTDKWTCGEAIGERAASEWLLIVPWGLRKTITYIAKKYENPIIYITENGMDDEDDASAPIDQFLNDTKRVNFFKGYVGAVAQAIKDGADVRGYFAWSFLDNFEWAMGFTKRFGIVYVDYKNGLTRHPKASAMWFSRFLSGEAADSKPDTN >SECCE5Rv1G0318560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:362966462:362968276:-1 gene:SECCE5Rv1G0318560 transcript:SECCE5Rv1G0318560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC45 (Cell division cycle 45)-like protein [Source:Projected from Arabidopsis thaliana (AT3G25100) UniProtKB/TrEMBL;Acc:Q9LSG6] MVRELRADSFYSRLRAAAAEAAAAASSPLLILPSAADADSLCALKALAHVLSADSIRFSIYPVASAAAAATLLASFSASQPLCLLLINWGAHRDLRAILPPASTAFVVDSHRPVHLHNLAAANDRVVVLFTTDDEHTADLSYDFDVSSLANASDLTADGDADEHLRVSEEDEDSDASDSDSDAEGGRRKRRRLSDDGEADGDPERLFGKLRREYYRLGTFHGKPSGCLMYELAHTLRRNTNELLWLACVSLTDQFVHERITNERYQAAVMELEQHINGSGNLDPSGLGSVVTLKDGTKIRAPEASRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKRFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNAESKDSKECCAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQMAILRQGSSAITKSGFIRSAKKFRWVKLDDPVDTAKLCHPQALTKFCFFLMDALKERGARMKPLICACLAREPDKVLVIGVCGKPRLGAVQGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >SECCE5Rv1G0318650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:364379528:364380874:-1 gene:SECCE5Rv1G0318650 transcript:SECCE5Rv1G0318650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGSSAAMPALPPGFRFHPTDEELIVHYLTRQAASMPSPVPIIAEVNIYKCNPWDLPGKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILSTPANESIGVKKALVFYRGKPPKGVKTDWIMHEYRLTAADNRTTKRRGSSMRLDDWVLCRIHKKCGNLPNFSSDQEQEHEQESSTVEDSQNNHTVSSPKSEAFDGDGDNQLQLQQFRPMAIAKSCSLTDLLNTVDYAALSHLFLDGSGGASSSDAGADCYQLPPENPLIYSQPPWQQTLHYNNNNNGYVNNDTIDVPQLPEARVDDYGMNGDRYNGMKRKRSSGSLYCSQLQVPADQYSGMLIHPFLSQQLHM >SECCE7Rv1G0454420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2532512:2537694:-1 gene:SECCE7Rv1G0454420 transcript:SECCE7Rv1G0454420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEARLDSAAFQLTPTRTRCDLVVVVNGRKEKIASGLLNPFVAHLKAAQDQIAKGGYTILLQPDPGADAPWFTRGTIERFVRFVSTPEVLERVTTIESEILQLHDAIAVQNNANIGLKSAEGHNVDSSMEGSKTGYDPDGDKALVLYKPDTHPASPLQNDTGVHEEHSKVQLLRVLETRKTVLRKEQAMAFARAVAAGFDIDNLIYLISFAERFGASRLMKACTQFIDLWRQKHETGQWIDVEPEAMSARSEFPPFNAAGIMLMGDKETNGDANGEDAAKADHRTPQHPGAPHHGPYQSGYPPWAMHPPYPMQGMPPYYPGANPYYPPPYPPTDDPRYNHSERRLSRRHSADSKDFDNSDDGSDDQSGSERESSHGRKSSKKGNRSGKKKSNVIVIRNVNVTSRKKHGSSESESQSGSDVASEDSDDSHRKSSKRNHHKRSSSKKKGDKKTTVESEDEHTKDGMSNGQQDGDQGNWNAFQNFLLRDEDKTRDHNDGDMFASEREAPPPPRRRETTRNMDDPILLSERGSADVNERHAMSFSSANGRLRARQMMSGDELMMSAEGRSFVDGGMKEIEAGGGGYRRGANDDFMVYGQDNSMDRRSSLDPLAESHYKRPTPLEEKKNVRSMGDESFMIPVTSNSQDNLGAAGRTAIDIDAELGSSVQKTSDAKAGAELFYEPDELIPERGFEDVSFGYDPSMDYDSHMQIQHDVSVEDANAEDLSVCVEGEEKMPGKDKKLRGSQEGLDKRRKDASARRLSAPKGPLTDAQKRAQNLRAYKASLQKEKKELEAEQMKRLERLKQEREKRIAARSGASNPQKTAAKASAVSKSASSLAEMKKEKGGGGATESLIERLKKLAQPKTNASTLNPKPAAADHPRRRSLPQ >SECCE7Rv1G0500510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:668354561:668362450:-1 gene:SECCE7Rv1G0500510 transcript:SECCE7Rv1G0500510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRDSDGDRPGAASGSSSAASEQQQQQQQSLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARDEHANRRELERQDVPITRQPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALFLLSTNDKTIKYWKVQEKKVKQVSVMALDTSRSVGDGTTSRASTSTSEPPLPNGGCSKKSDSLNSDLIFPPGGYPLLRLPVVTSQDVNLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPTNMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGARSFFTEIIASISDVKFSRDGRYLLSRDYMTLKLWDLNMDSGPVSTFQVHEHLRPRLCDLYENDSIFDKFECCLSGDGLHVATGSYGNLFRVFGSTLGSTEVTTLEASRNPMRRQIANPTRPTRGTLTSMTRGVRRGGENLGVDANGNSLDFSTKLLHLAWHPTENSIACAAANSLYMYYA >SECCE7Rv1G0507420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:761595395:761597450:-1 gene:SECCE7Rv1G0507420 transcript:SECCE7Rv1G0507420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPQKMAMMVDPPNGMGNHGKHYYTMWQTMFEIDTKYVPIKPIGRGAYGIVCSSINQETNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPIHRRSFKDVYLVSELMDTDLHQIVKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADLAFIDNPKARNYIKSLPYTPGMPLSTMYPQAHPLAIDLLQKMLVFDPSKRISVTQALEHPYMSPLYDPSANPPAQVPIDLDIDENIGTDMIREMLWQEMLQYHPEAARMVNM >SECCE4Rv1G0222940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:64534052:64535515:-1 gene:SECCE4Rv1G0222940 transcript:SECCE4Rv1G0222940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMGSKNCERCAEWQEHCYWSHMADENKHFFKLMIGDFTQSMSLPGRFAKNFNGRISEVINLKPRSGKSWSIKVAGAGDADEVVLRSGWKEFVDGHGIGEGDRLLFRYSGASSFDVLMFDSTGCQKPPSPRPVKRRGCDDNDIAENSARAKGRPCGHQASNKTEERAPHPPPPAAKGDGAGLELTLYRGTGMSIARADHEDVDMDQGEAAAKNRYYFCKNGPVSEFHLTEEDKEEISSIPIPTEPRNPVFVNVMHATHVRGTTRTSIVGVSSEFAGKYLGGIGREIVLRRAGRKGGWHVRYTSGDNCRGFCGRGWRDFARHNGLLAHDICIFELMLGARRPAANVHVLRRLHGRFVLVS >SECCE7Rv1G0474080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:166689719:166695826:-1 gene:SECCE7Rv1G0474080 transcript:SECCE7Rv1G0474080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMASITSELLFFLPFILLALLTFYTSAVAKCHGLHRWSGRTKKKRPNLPPGAFCWPFIGETFGYLRAHPATSIGQFMDQHIARSVHTHTHTYSTSVQACEHAWTIDRAFGLTSHGWVWLWHGCRYGKIYRSSLFGDRTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDPHREMRSISLNFLSSVRLRAVLLPEVERHTLLVLRDWLPSSSSAVFSAQHEAKKFTFNLMAKNIMSMDPGEEETERLRLEYITFMKGVVSAPLNFPGTAYWKALKSRATILGVIERKMEERLEKMNKEASSMEEDDLLGWAMKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELREEHLEIARRQKLRGECKLSWEDYKEMVFTQCVINETLRLGNVVRFLHRKVIRDVHYNGYDIPSGWKILPVLAAVHLDSSLYEDPTSFNPWRWKGNASGVAQNSNFMPYGGGTRLCAGSELAKLEMAIFLHHLVLNFRWELAEPDQAFVYPFVDFPKGLPIRVHRIAQEEGEEE >SECCE5Rv1G0349990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:687480703:687483099:-1 gene:SECCE5Rv1G0349990 transcript:SECCE5Rv1G0349990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLATDVRLSMAHQTRFALRLASTISSNPKSVASNAAFSPVSLHSALSLLAAGAGSATRDQLVATLGTGQVEGLHALAEQVVQFVLADASSAGGPRVTFANGVFVDASLTLKPSFQELAVRNYKAETQSVDFQNKAAEVTTQVNSWVEKVTSGRIKDILPPGSIKNTTKLVLANALYFKGAWAEQFSSYGTKNDYFYLLDGSSVQTPFMSSMDDQYLSSSDGLKVLKLPYKQSGDNRQFSMYILLPEAPGGLSSLAQKLSAEPDFLERHIPRQRVTLRQFKLPKFKISFEIEASDLLKPLGLQLPFSDEADFSEMVDSPTPQGLRVSSVFHQAFVEVNEQGTEAAASTAIKMSQQSARPPSDMDFIADHPFLFLIREDISGVVLFIGHVVNPLLSS >SECCE6Rv1G0452700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879054316:879060490:-1 gene:SECCE6Rv1G0452700 transcript:SECCE6Rv1G0452700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVIGFFRDRSILITGSTGLLGKSTYSRMHLFSLLCNNVRIYAPLYGENALLVEKILRVQPDVRKLYLLVRAADAASAEHRVLTEVVGTKLFDVLRSKHGANFNSFIKTKISPLPGDITKETCALKSYMVEQISEEIHVIVSVAATTSFYERYDVALASNTLGVAHVCNLAKKCANLKMILHVSTAFVAGEQEGLLVEKPFDLGKALRKNYNLDIQSEVKLVESVKSKLRIQLSNDKIEKRTMKELGLKRARHFGWPNVYSLTKAKGEMLLGTLGQELPVVIVRPSIISSTFQEPMPGWTEGTRTIDMLYVAYNDQKLLCFIADRNVIFDVIPGDMVINALMVAMAIHWDTHGTQAIYHVTTGHRNPLLFSTFLESGYEYFRSNPRVTKDGRIVKDRRVKIFKKYIFFRLYMILRYNLALEMLHAMSVFGNSLSQHYNKLKRGYNFLILVAKLYAPYVYFKGCFDDTNMRNLWAITSTDELNVGSTFNCDPACIDWGSYLINTHIPAVLTYARSNN >SECCE2Rv1G0086370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:210513112:210515251:-1 gene:SECCE2Rv1G0086370 transcript:SECCE2Rv1G0086370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRLEAVATLFMLMVFNASGAFVGINIGTEVSDMPSASDIVSTLKAMKIQHVRLVDSDHQMLVALANTGIEVMVGVPNDQLLRVGQSHPAAVDWVNKNVAAYIPATNITYIAVGDEVLTTIPNAALVLVPALQFLQSALLAANLNTQVKLSSPHSMDMITKAFPPSTATFNSTWSSVMLQYLQFLKSTRSSFMLNAQPYYSYVGGQGVFPLEYALFRSLNPNSQVADPNTNLFYTNMFDAMVDAAYNCMEAMNFTGIPVMVTASGWPWRGGRNEPAADVDNALAYNTNLIRHVLNNSGTPSQPNNQVSTYLFELFNEDRRAGPVSEQNWGIMFTNASAVYSLTFGDVATNNTESPALRGMFCVANSSAAHSALKQSLDWVCGPGSANCSAIQPGQQCYKADDIVAVASYAFNDYYHRTQASGGTCNFNGTATISSTDPSHGSCVFAGSTGANGSSGDVAAGPVNQDSFASQSQLCWLTYLVAVLLPVVLLM >SECCEUnv1G0529780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9004411:9004845:1 gene:SECCEUnv1G0529780 transcript:SECCEUnv1G0529780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKAMAPVALGLLAAVLLVGTAGTSLLAGCAGPQRMELQEHEVWVRYLLVRVAPVGAGIFFWAGMADDDLRATAWELRVMCVVGLNLSCASSLLALAALTTELSCDCVVHWLAMVVALVAAHLVAVWAARGRLRALKLRRAI >SECCE7Rv1G0501010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:677460873:677461587:1 gene:SECCE7Rv1G0501010 transcript:SECCE7Rv1G0501010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLSFFFFLFPLLAVAVSVQGAGAGVGLVPPVPIGKRYIVGGADGWRVPPRENKDMYIKWASTIQFFVEDSIEFMYKNDSVGKVNKYAYYHCNWTSLAPTPPAKDGSSLFLLDAPGFAYFASTDDKHCKKGQRLMLNVKARPEPVPSADISSPPSPAPPATAPGPAAPGEPVMDSGAATLTSSRGRALVLVVSATTLALMGMFGA >SECCE6Rv1G0429690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737232878:737233327:-1 gene:SECCE6Rv1G0429690 transcript:SECCE6Rv1G0429690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHTAPLLVAILSILLTATRATLTKAPVPAPAAKPTAYKMLGRYGFPPGILPQGVHDYELRPDGSFEVHFTDECKLRIDGYDIHYSTRVAGNIKNDTINDLEGIKVKVFIAWISIEDVGRDGNELRLHTRVISKSFSVDVFSSSPKCN >SECCE6Rv1G0414890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:637773430:637774101:1 gene:SECCE6Rv1G0414890 transcript:SECCE6Rv1G0414890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLAALLVSSLHIHCLCLLLRGALATAPAPSAHHHHPQAPGAYHKHNATVYNVSAARCAGCGGGGDGAAAGAALGGLGAWAEAVEFLYYHNAVRMARWELPLAWSPRLESYARSWASQRRADCALRHSFPEGQFALGENIFWGGAGAAWRPGDAVQDWAAEGVDYSYAANACAPGRECGHYTQIVWRRTAYAGCARVLCDDGGVFITCNYYPPGNVVGERPY >SECCE5Rv1G0374480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862879364:862884182:1 gene:SECCE5Rv1G0374480 transcript:SECCE5Rv1G0374480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVHGVESLAGGGGRHHVSRTLGTALLISVGYIDLGKWVAAVDAGARFGYDLVLLVLFFNFSAVLNQYLSTCIGMVTGKNLAEISSQEYSRFICVGLGLQAGMSLFTSELTMILGIAVGYNLVFDVDDFLTAIIFACVVIIVLPYLLSPRDKRMAGTLNACIAGFTILCFVLGLLISQPEIPLHVNVMFPKLSGESAYSLMALMGANIMSHNFYVHSSIVQVQRDHVHTLGALFHDHLFSVLFTFTGVFLVNYVLLSSAAAESSHNVIHTFHDAVELMNEIFTSSMAPLVLLAVLLFSSHIIALTSVIASHVVTEHFFGANLSLVAHHVLLKLISMIPAIYSAKVAGSEGVYQLIILCPVIQAFTLPSSVIPVFRIASSRLIMGNYRVSLRVEILAFLAFCLTLFINIIFAAEILFGDSAWTNSLKGNTETPVLIPHTVLILMSCSSIAFALFLAVTPLKSSSREAETQELCVRSQREAPEEIQRS >SECCE2Rv1G0076100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:88748465:88750016:-1 gene:SECCE2Rv1G0076100 transcript:SECCE2Rv1G0076100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSDLHTADGLKALEAHLAGKTYISGDGITKDDVKVFAAVPVKPSAEFPNAARWYDTVAAAVASRFPGQASGVSASSAPAAAAPAASKDEDDDDDMDLFGDETEEDKKAAAEREAAKPAKKKESGKSSVLMDIKPWDDETDMKKLEEAVRSVQMEGLTWGASKLMPVGYGIKKLQIMLTIIDDLVSVDTLIEEVLCEAPINEYVQSCDIVAFNKI >SECCE4Rv1G0220670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41423916:41426082:1 gene:SECCE4Rv1G0220670 transcript:SECCE4Rv1G0220670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKHASHFNSDDAEQRQAKITELSAALGPVSARGEKYCTEACLARYLEARNWNVDKSRKMLEESLKWRALSRPEDIRWPDVSVEAETGKMYRATFTDREGKTVVIMRPAKQNTSSHEGQLRYLIYTLENAVLSLPQGLDKMVWLIDFTGWTLANATPIKTARDSANILQNHYPERLSVAFLVNPPKVFEAFFKVIKVFLDPKSIQKVNFVYKDNEESMKTMYTHIDPEVLPVEFGGKNIVVYNHEDYSKLMTKDDIKTASFWAADVNHVVNGGSVPQVIPQSSPVVAKAS >SECCE3Rv1G0148790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20423359:20426794:-1 gene:SECCE3Rv1G0148790 transcript:SECCE3Rv1G0148790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRIARGQGIVMNTIQSKSLLGVGLIGEVPLLLVKPQSYMNYCGEAIGPLAAYYQVPLRHILVIYDDMSLPNGVLRLQRKGGHGRHNGLQNVIEHLDGCREFPRLSIGIGSPPGKMDTRAFLLQKFSSEERIQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFNRA >SECCE7Rv1G0503890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:716940464:716942774:1 gene:SECCE7Rv1G0503890 transcript:SECCE7Rv1G0503890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPDEFVQQHLIGAESCPSSQKALIIGPTGKLWPVELDQRQSDVLYGEVWAEFLTAHDLSEGSILLFRYEVNMSFSVQVFLPNGCMKEYPCLADEADGPSTVLPQSDKQLVAATVSKRSYNKRQVVSAIPATFPAMKAKKVQPQSKPAGVVGRHSFTKQITSYSLRSLFAVKLTFCSSIGLLEACTIQLKTSMEGGARSWPVAFNIANTYGFLTGKGWKRFCRDNEVEEGDRCTFKVVEKMVWHVVIN >SECCE6Rv1G0410680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:601631673:601633982:1 gene:SECCE6Rv1G0410680 transcript:SECCE6Rv1G0410680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSPRWAALLLLLLLLAAAGRSSAHPGACPVPAAAEAVLGPPRTCSPLDRRLGDPVGVIEGDEATLARAVNLLYLNKDEYIAVLFYASWCPFSQECKPNFEKLAHLFPTIRHFAFEESAIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKPLAAFYSDVSGISASVESTTGEAMPHPLDEIEPKKDVEPENCPFWWARSPENILQQDTYLALAASFVILRLLYLLFPRIVSAAKWAWRRHTLFANLMGVHEYFFTYLEQARQKFNRLLYPSKRGNLHEGARNATAWASKSLASVSIGEPSTIGRTNSTNELR >SECCE5Rv1G0349370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:683163611:683167121:1 gene:SECCE5Rv1G0349370 transcript:SECCE5Rv1G0349370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKATAATSWRWRLLLLLLVAVAALCWIPPAIAMAAAASTAKKGARRSLLGFVEAQGNSSYRCSPSGPCIPCQYSEKNDEKYCCSETGYRLPLKCVQVQNGTKEENKTKDRKMLAETSTPTGPKHYVTYRSCVPLEDEGKLSILGFEVLMAGMLLVSGPFVYYRKRQASVMQGVSRIPTNAPRF >SECCE7Rv1G0467380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:87272061:87273711:-1 gene:SECCE7Rv1G0467380 transcript:SECCE7Rv1G0467380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVHVPEHNGSESLSLNPVQRALSRLSSSSALTPRSPPPSYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPDMRLADYFDVIAGTSTGGLVTAMLTAPDAHGRPLFAAKDINNFYLQHCPKIFPPVGGGPLGLLRSMRGPKYDGQYLHSVVKELLGETRVDQALQNIVIPTFDIKLFQPTIFSRYDARNDVSKNALLSDVCISTSAAPTYLPGHHFETKDKNGKIRAFNLIDGGVAANNPTMLAMTDVSKQILLGNQDFFPIKPADYGKFMVLSLGTGSAKVEEKFDAAACSKWGLLGWMYNQGATPLIDSFSQASADLVDIQASVLFQALRCEKRYLRIQDDGLKGDTSSVDVSTPENLNRLVDVGKALLKRSVCRVNVETGKTVPDNNRGTNEEELVSFARMLSQERKARLQKKGASVTQ >SECCE2Rv1G0120490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:799165531:799165848:-1 gene:SECCE2Rv1G0120490 transcript:SECCE2Rv1G0120490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSHALAATLLLAMVVSLAALEGVHGVCGMSNDEFKLCQPAAAVNNPTENPSAECCAALGKANLSCICHYKGIAGIWLRMYHIDAKRAMALPGKCGLTMPNNCS >SECCEUnv1G0555880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:305528917:305530140:-1 gene:SECCEUnv1G0555880 transcript:SECCEUnv1G0555880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGDRSSPRRRPQGRVIFPDLSRPSHDLTGTAEWASLQPDLVQSIADRLLSTNGVDEYMAMRAVCPSCRSSVAKPSPHAAVANPHFRPRQWVLLNGADDDQGRPLFLNVTTGRFRRLRRPLLRDYILVGASDGLLVLGDRDRPHASRILNPLTGDMLPFAASVPQERWVLTAITGNEPSIIFSFPPCDQEYHDIPAYMQGGGHVVCSADPTGQLRAVKFHDAAFTHSLLYVRSMVTHAGNVYMLCYAGTLCKIVSTGGHWHAERIMEIGMKHGWLVESAGKLLFVKNKVETIELFCVDVNRKVLKPIQSIGGGTLFLSFGNSMVVNADKLPSIKRNCIYINHTDRAYAWYDLSNCKKTYITSPQVPGDGPGAENGIIHEGPFSLAKVLLTPYPKVKAQLNWIQQV >SECCE1Rv1G0005310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:25002698:25003225:-1 gene:SECCE1Rv1G0005310 transcript:SECCE1Rv1G0005310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSRSMVAPLLVLNLVMYIVVIGLASWNLNHFINGTTNYAGVAGNGATFYFLLFAILAGVVGAASKLAGVHHVRTWRGDSLATSASSALVAWAITALAFGLACKEIHVGGHRGWRLRVLEAFIIILAFTQLLYVMALHAGLFGNQFGDGYGAEHQYGDHHHKCMGTGAATARV >SECCE6Rv1G0443950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826085691:826086167:-1 gene:SECCE6Rv1G0443950 transcript:SECCE6Rv1G0443950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKPSVNLFALLDSNDPGDKLVIDLDDAHAKQDAPAAKHKKTATPAASLTKDLFAQAYPTAQDYIIKKRQLDRQARFKAEAEARAKANNGISGDDKSQQPDMSGRFQVPKRQQRNMSRYEAAPAEVVEAAPPAPQQRAAPPSPPSLYDINEFPSLK >SECCE1Rv1G0014570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:115389400:115391767:1 gene:SECCE1Rv1G0014570 transcript:SECCE1Rv1G0014570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVMSNIKQETVSKRAKTVQPEAQTTIVGSSVFQFRIDYQQIKQLPPSEAVYSDVVSAGGHLWRIECFVHGESANNGEYVSIFLDQLSKSRCVRAILEVSILGRDGKPYMSCMQRTFETFEIKGEGFADSWGWDRFIKGTILEEDYLIGRHVTFLCAIMVIDDSPIPLPPSDIGTHLGCLLDNNDGTDVSFIVNDETFHAHRAVLAARSPVFRAELFGSMSEATMPSITLHEITPATFKFMLRFIYTDELPGEDRLEDSSAEMFQDLLAAADRYSLDRLKVICAQELWEKVSVDTVATILGWAETYNCQELKNKCIDFFVVEENFKEAMFTDGYALLVLKFPSITAELKRRVRA >SECCE7Rv1G0498880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:647670325:647674377:-1 gene:SECCE7Rv1G0498880 transcript:SECCE7Rv1G0498880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVFFRKAEGEAGGSSTLRILVATDCHLGYLEKDELRRSDSFDTFEEIFSLAEKHKVDFLLLGGNLFHENKPSRSTLVKTIEILRRRCLHDRPVQFQVVSDHAASLQNLFGRPNFEDQNINVGLPVFTIHGDHDDPTGVDNMSAIDVLAATGFVNYFGKVDLGSSGVGQMSIHPILIKKGATSVALYGLGNVRDARLSRMFQTPGAIGWMKHESTEDMSLSDWFNILVLHQNRIKGSPDNGINERLLPNFLDLVIWGHEHECLVDPKEVPGLGFHVTQPGSSIATSLTSAEAKQKHVLLLEINGMKYRPTNIPLKTVRPFEYAEVANLIEKNDTTAGSGSEPKIPLVRIKVDYTGFLTIHPQRFGQKYVGKVANPQDIIVFSRSAKRRQNTQDNAGGSREIYPNELNQQTVEALVAEINLNMQVLRLDDLDTALHVFVNKDDNMAFHSCLQKNTREAINMLTASKIDTDIEDGDAMVVHGLDQLMQAREKGRTQTVTNAQSLPPSTALGAFEELKCSSDPDQDIRDDSDELIETSLMDCFCSSVRKDGECHHEKIARPGKRPAPFPATGGGSASSPSSSARGRKTDLASFRAPAVKEEPDDRGSKKGRPSPHVAGRYGAVRRNR >SECCE1Rv1G0039360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:547671369:547672730:1 gene:SECCE1Rv1G0039360 transcript:SECCE1Rv1G0039360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVAGQQARRRIRPPEPLVMAASPTTPAAFKCPISLEVMRSPVSLPTGATYDRASIQRWLDSGHRTCPATRLPLASTDLVPNLLLRRLIHLHAATLPPSPSPEEALSQLAASHGEPAAAEKAVRSLAAKIAPEKGKQASVASAVAADLDSTMPALLSFAKGGAGTDARVDAVRILATVAPEMVSYLTRDGTEKRGRVRMAVEAMAAVLSAGGVSEDAKKALITALVAGDLGRVVATLLAAGPTGVVVLEAILTSPVPDADVKTAIADRSELFPDLVRILKEAASPAAILCMAAAVQVRGRPARASMVRAGAVPALALAVAAAPTAAAESALTLLVEAARCSDGKAAIADDAAEVAAAVMGRMIRVGQMGREAAVALLWLSCCAGGGERKMREALAAAPEAVGKLLVVMQGDCSPATSRMAGELLRAVRMEQERKGMVSSSYDSRTIHVMPY >SECCE5Rv1G0367280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:820304581:820306411:1 gene:SECCE5Rv1G0367280 transcript:SECCE5Rv1G0367280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEDVKQRQVVEDRARNISHNVRCTECGSQSIEDSQADVAVLLRRLIRDEIKSGKSDKEIYKKLEEDFGETVLYAPKFDLQTAAIWLSPVIVGGVAAGVWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMIDILTPPPPARRWWWPLK >SECCE4Rv1G0255390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:646208552:646209034:1 gene:SECCE4Rv1G0255390 transcript:SECCE4Rv1G0255390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSGALFLQAAAVAAVLAMLVVPSLGRCHGSPSSAPPPTTSTPPPPPAPLPPSPAPAPGPSCNDCYSQCYFSCDASISSTCSAYCNVEGACNSCRTSTIEECRASKNCTGSCDECNDPTNSSCALACSTQYCDRCRYWEIQKCEHKCRQECSAPKCVP >SECCE6Rv1G0411870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611401415:611402086:1 gene:SECCE6Rv1G0411870 transcript:SECCE6Rv1G0411870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAAPPAASFAPFCSGPFASPPASLYQPHTSIASLSPSSPPFYPSRVMVRWEAPPAGWLKLNFDASVYNDGSGRASIGGAIRDCNGRVLVAFAEPTEHSTVGIVEARALIRGLRLALSRFRGRLVAEGDDLMLVQLLTGEESQTRIPQAMQEEILMLLGCFTAYKVQHIFREGNQVAHVLCKEAYQRPGVWAGGIVPHAVWEKTQDDVHGVTHERFFKKKF >SECCE5Rv1G0358740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756991328:756993490:1 gene:SECCE5Rv1G0358740 transcript:SECCE5Rv1G0358740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVASCIRFSRLRLLSSRLTYCSCSDVPASRASGGTAGSTEFESAIRSLKNNLQPEILIRVLDSTSDLNLALRIFKWASSQRIFAHTADTYACMISKLGVVGNCDEMDSLLKEMIKLNAPILDKILNDLVQFLSNKNRCDEALLVIQNACSGKRKISVSSCNAVLCGLVKEGRGLRPFIRAYMEIVKAGVLPDVDTLNWLIEALCEAGKLDLALIQLDRMSKKRCTPNSRTFKILITALCSHSRADESIEIFEEMMQLRCIPDSCFYVQVLPLFCKFNKPKEASKLYQMMKQANLQLDLHSYGALIKCLCENQLLDDAVTTFTEMIASGHAPMTNTYVNVVDCYCTLSQFHKAVCFLEENDVAETEPYNVLLRELCKTGTLRDLVSYLEKFRARGLVDCHSWNIVITQFCNEGNIRRASELIGRMTVSSFTADERTYSSVVSCYCQLGLYRTALDMVRRASVSNLVLNSESFSQLVEGLCHMERIKEAAEVFKYHCKRGCSLTREALDILIQGSCMAGMIRDAIRMRSLAVCTGTSCTFSTYNTIIRALLLLKKEKDLLLLLAQMLMEGCLLDSHTYNVLVRCFLTNETILEAALLFNKMVNDGFVPDQETFELIVPDMAVFSLLSRVSESLLKVVSIDGMASPRIYNIIIYGLIKEGFKSEACKFLDQMLDKGWVPDSKTHRVLVGTIDGEEAREVGNTLQTADDDTVSNILLEGLE >SECCE4Rv1G0238680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:384912719:384913379:1 gene:SECCE4Rv1G0238680 transcript:SECCE4Rv1G0238680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDNTDNPLRVKEEAEKQGIICISAMNGDGLEEFCNAIQAKLKDSLVPIEAFVPYDKGDLLNDIHKVGMVEKTEYMENGTLIKAHVPLHLARLLTPLRQQVAALL >SECCE3Rv1G0169560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:238196709:238197378:1 gene:SECCE3Rv1G0169560 transcript:SECCE3Rv1G0169560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARRLVVLALRIATAAAAGVAAIVMATSHKTTTVFGVQVQAKFQYTPSFVFFVAANVAACAYSLLAILVPPASPAARHVLVADAVLGMVLTGAAAAAAAISALGKNGNSHAGWQPICGLVPTFCDHVTGALACGLAAVVLHLLVVLHSIYTMNS >SECCE6Rv1G0408780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:576742180:576744948:1 gene:SECCE6Rv1G0408780 transcript:SECCE6Rv1G0408780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQPAVSDPLLDLWDHLMSPNRTHLSCFDPSKITMSGIWTGDNPLDFSLPLILFQMLLITSTTRAATLLLSPLGLPRYISEILGGFVLGPSVLGRLPHYTDIVFPPRSIFILDSMALLGLVYYTFTIGVEIEVPTITRAGFRSFWFAGASALLPFLIGATTGYVALGTDDDRQNANFLDKLSFPIFLGATFASTAFSVLARNIAELKLAGTDVGQITLSTALINDTLAWTGLTVATALLYAEDGGLLPSVWTLLSGIVIFGASVLLVRPALVRLAQRATTEGEVVGEERECAVLVGVMVAALMADAGGTHVIFGAFVFGLAVPNGPVGVELVEKVEDYVVGTLLPLFFAMSGLRTDTSKVTSMEAAVLLMVATFGAAVLKVVACIGVAAGFGMPLHDGTSIGLLLNTKGVIELVILNIARNKKIMSDQSFTVLVFMSALITALVSPLLTMVVKPARRLVFYKRRTVAWPQPDAELRVLACVHVPRDAPAAITLVDVLWPSRRSPIAVHALHLIEFAGRASALLLINAAAPAAASSEFSDQGRSHVEMQFKHIAHAFLAYVDNHAAGGVLVRTMAAVSPYTSMHEDITAAAEDQHSALIVLPFHKHRSVDGGMEVSHPAIQSLNASVQSCSPCTVAILVDRGLGSGGTVPGGAGGYRVAALFFGGRDDREVVALGTRMARNPAVDLTVIRFVQKGRAGSMTSSEFDALKERKADDGCMREFLDRANAGGSGVEYCERGVFNASEMVAEIRNVEAAGKDLFLVSRTPGASGLTAGMSDWSECPELGPIGDLLVSKDFQTKASVLVVQSYGRAPASSSAVAATSSEFAVGEAVLPAPEAVMPATSMGHPPRRPRQHSDLML >SECCE3Rv1G0212060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954231653:954233809:-1 gene:SECCE3Rv1G0212060 transcript:SECCE3Rv1G0212060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNTTTTDVSGGRSRMDERILEAATDGDSSSMKELAKENPGILLGTSPQGNNCLHISTIHGHKTFCMDVLELERSLLSDVNCEHETPLIIAVTLGHAPLASDLLKRCCKEEELRPIILQQDRYGFNALHHAIRNGYQKLALELIKAEPALSKAVTKYNESPMFMAVMRNFEDVSEKLLAIDDSSHVGKYGRHALHAAARNGNQDIADEIMEKRPHLAREADSDGITPIRMAVSHDKSDVLITLLQYDSSLAYETDESGYPLLCSAATRGQVSVARVLLLYCPDAFYCPVLRDGMATEKSLTCLHIAVQNGHLEFVEFILRRPQLRKLINMQDMDGKTALHYAIQQCDPTLVAALLAHDDIIDTTILDNHGNSAASQLSSITDDDKPLDWKEVHELMLGADPNDDDISLYNLSKVAKQRETIESRKERQSQTQKYTNNTSFVAILLATITFTAAFTLPGGYSSDAGSAGLPIMSKEIAFQAFLVSDTLAMCSSFVAAFICLMGRWEDANITNYYISVTKKLTWFAYMATITAFATGLYTVLSARVHWLAIGICSVVALVPILTMFIAKWPVLKLKFRQKCRGLKLKYRQRQTGTSNSKDMV >SECCE4Rv1G0222240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55537401:55538342:1 gene:SECCE4Rv1G0222240 transcript:SECCE4Rv1G0222240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP111 [Source:Projected from Arabidopsis thaliana (AT1G08560) UniProtKB/TrEMBL;Acc:A0A178WAC8] MNDLMTKSFMSYVDLKKAAMKDLEAGADETELTQAGGGATDERLKGFFKEAEAVREEMAAIRDALARLHAANEEGKSLHQPDALRAMRVRVNADIVSVLGRARGIQRALADMDSANAAQRRLSAGCQEGTTLDRTRTSVTAGLRKKLKDIMLDFQALRQRMMSEYKDTVERRYYTLTGEVPEDEVIERIISEGRGEEIMSAAVAEHGKGAVLAALNEIQNRHDAAREVERSLLELHQVFLDMAVAVESQGDKIQNIEDHVINARNYVHSGNKELGKAREHQRGSRKCLCIGIVLLLLLILIVIVPIATSLKRS >SECCE5Rv1G0350810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:692738403:692739507:1 gene:SECCE5Rv1G0350810 transcript:SECCE5Rv1G0350810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKAGKPLVQTDAGAYIAWSGADQPELAVEGLGCGLLVLRPLGFALPHYADSNKFGYVLSGSGVAGVLPVEAKEKVVRLKAGDVIAVRTGDVSWWYNDNDGHADDLSILFIGDTARAVSPGDISYFFLAGGNSVLSGLDAGLLTKAWPGVTEEQAATAFRSQPAVLLTRLSTKLPGVCPREHDRKGLVVNTGHVAAGTLKVLTASDLAALGGLGFSAVLGRLEPGAARAPWVLREGAAQAVYVARGSARVQVSAAAGGEKLLLDEEVVAASLFVVPRFAVALVAAGADGADWVSLIKSARPAVEHLTGEGSVLGGVTAQVVQASLNVAPELVKLL >SECCE7Rv1G0510580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:791032867:791034825:1 gene:SECCE7Rv1G0510580 transcript:SECCE7Rv1G0510580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITCLEETLKRFLEQHKYLIILDDVWTPQAFDDLSRVLIHNDKGSRLIITTREGDVAALASPGHILKLEALPEDKACDLFHKKAFPTDKNHECPLELKSLSYEIVSKCRGLPLIIVSVGNLLRVREKTVEEWRRINDQLSWELINNLRLDHIRNVLHLSFIYLPAHLKSCFLYCSLFPEDYLFKRKQLIRLWISEGFIEERGESTLEQVAEGYLKELIDRNMLQLVKRNSFGRTKEFRMHDILRELAVDLCQKDCFGVTYEDKCVGSLEMDGRRLVMHKLKKDIQHSFSGIHQLRTVIVVDDNMPSFTLLPLLCKKSRYMTVLELSGLPIEKIPDAIGDLFSLRHLGLRNSKVKMLLRSIEKLSNLLTLDLYRSDIHYLPSGVVKLKKLRHLFAEKMNYLDWRDIHCHSGMTIPIGLGNLTNLQTLRTLEVQGDSVRHLGELRQLKSLRLSNVKGIYCGRIRESLVQMQYLSKLSVNASDENEVLLLNVLPPNLQTLSLRGRLEQGSLDESPLFQSDGGQNLCALALSWSQLRKDPLPSLSLLSNLTLLHFTRAYNGEQLTFLTGWFPKLKILFLRDLPNLNQLEIQQGAMVGLERLSLVNLNSMTEVPPGIEFLVSLQYLVFHEITGDFLTLLRRCSAIRGKQWHHTLRL >SECCE5Rv1G0367490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:821698833:821701981:1 gene:SECCE5Rv1G0367490 transcript:SECCE5Rv1G0367490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLGLIQIDQSTVAIKETFGKFDAILQPGCHCLPWCLGQQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLSNTREQIQSYVFDVIRASVPKMNLDDVFEQKNEIARAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAATEKAEAEKILQIKRAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTMKDIGASSKSSAVFIPHGPGAVKDIASQIRDGQLQSRMV >SECCE7Rv1G0491770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:536983448:536990152:-1 gene:SECCE7Rv1G0491770 transcript:SECCE7Rv1G0491770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 1 [Source:Projected from Arabidopsis thaliana (AT4G33410) UniProtKB/Swiss-Prot;Acc:Q93Z32] MESLWKLSYLLEPASLALIVTAVSVAYASASRALDHGKEMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTAGASVMALFFCLSPSIAYAKSQLNLMDPFVSRCCSKSFTRLQGLLLTFCISTVAVWLVTGHWLLNNVLGISICIAFVSHVRLPNIKICSLLLVCLFVYDVFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKIELPVKLVFPRNLLGGIVPGSTPGDYMMLGLGDMAIPGMLIALVLSFDHRKSKDVSVPSDLSPSSKRRKYVWFALTGYGVGLVTALAAGILSQSPQPALLYLVPSTLGPIVYLSWLRNDLWELWEGSGTIVNEKAHLLEV >SECCE4Rv1G0219000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:27212338:27212712:-1 gene:SECCE4Rv1G0219000 transcript:SECCE4Rv1G0219000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELATMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE6Rv1G0401390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:465482753:465505588:-1 gene:SECCE6Rv1G0401390 transcript:SECCE6Rv1G0401390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGSCAGSATAAALHKPVAHPRPLLRVSACCSAPVPLHTHAHPTVAAGPTRAPGPSAGVAPTAMPSSSSAPTTPPPAEETTAAAASGFRLTEPSFLESLMPKKETGVDRFLAEHPEYDGRGSLIAIFDSGVDPSAAGLQKTSDGKPKILDVIDCTGSGDVDTSKVVKADADGAIVGASGARLSVNPSWKNPSQEWRVGCKLVYELFTDTLISRLKKERKKKWDEENQEAISGALNQLNEFEKKHSKPDDAKLKKAQEDLQNRLDCLRKQADGYDDRGPVIDVVVWHDGDVWRVAVDTQGLEDKKDCGKLADFVPLTNYRIERKFGIFSKLDACSFVANVYDDGNLVSIVTDCSPHATHVAGIAAAFHPEEPLLNGVAPGAQLISCRIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPALLPDYGRFIDIVNEVVDKHRIIFISSAGNNGPALNTVGAPGGTSSSIIGIGAYVSPAMAAGAHCVVQPPSEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMSSPSACGGVALLVSAMKAEGIPVSPYTVRKAIENTASSISDVPEEKLTTGHGLLQVDRAYEYARQAKKLPLVSYRISISQVGKSIPKLRGIYLRGSNSCQQTSEWTVQLNPKFHDDASNLEQLVPFEECLQLHSTDSSVINIPEYILLTNNGRSFNIVVNPVNISSGLHYYEVYGMDCRAPWRGPIFRVPITIIKPIALSGEPPVLSLSKLYFKSGHIERRFINVPIGASWAEVTMRTSAFDTPRRFFLDTVQMCPLKRPIKWESVVTFSSPSIKNFSFPVEGGLTLELSIAQFWSSGNASHEPTRVDFEIVFHGISIDQKVIALDGSESPMRIVARSLLASERLVPVATLNKIKIPYRPVDSNFCPLPTSRDRLPSGKQIIALTLTYKFKLEDGAEVKPHLPLLNNRIYDNKFESQFYRISDSNKCVYSSGDVYPSYVKLPKGEYTLQLYIRHENVQILEKLKQLVLFIERKLEKKDCIQLSFYSEPDGPIIGNATFKSSVLVPGEPEAFYVGPPSREKLPKGAPPGSVLVGSITYGIVSSFNKKDEQHAPASYSILCIIPPSKVDDTKEKGVSVETKKSVSERLNDEVRDTKIKFLSGLKQDNEDNKSAWTELVASLKSEYPKYTPLLAKILECVLQESTSDDKISHHKEVIVAADEVVDSIDKEQLAKLLSLKPDPEDEESQKTKKKMEETRDQLADALYQKGLALAEIESLKPDESTEASAKDVFEENYKELIKWVDAKSTKYGTLTVLRERRCGRCGTALKVLNDMIQEDSEQPKKKLYDLKIQLIEEIGWAHVSAYEKQWMHVRFPPSLPPF >SECCE7Rv1G0523580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882606188:882607314:1 gene:SECCE7Rv1G0523580 transcript:SECCE7Rv1G0523580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPMRDLLGFVVGAAITAAFVVLLLPSSAPSPSCPCSHEVALDSNGSQAHVMSTKNLSTVAALKSREEDSLGELLRSAAMEDKTVILTIVNEALTLPGSLLELFLESFRLGVRTQPLLKHLVIVAMDAKALERCQHMHPLCYPLGDGGAAGAGMTAGEVTFMSKDYVELMWARNRLQARVLELGFGFVFTDVDIVWFRNPLLRFPVGADIALACDQFLGNNPYDLDKAANGGFVYARPNARTLAFFQEWYEARNRFPGEHDQFVFGEVKKELSARHGVTVVLIDTVYFSGVCENKKNFYEVCTYHANCLIGLQKKIDTLAGVLDEWKQFRAQQELLGNTTTTLIY >SECCE6Rv1G0380690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:24522998:24528517:-1 gene:SECCE6Rv1G0380690 transcript:SECCE6Rv1G0380690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGQRAGDKSDSRYCGVEVLDFPAGDGLPAVLTHSLSSAFDFLLAPLVDPDYRPTPGAVLPVAASDLVLSPSQWSSHIVGKISEWIDLDSEDEQLRLDSELTLRQEIAWATHLSLQACVLPAPKRSTCANYARVVNNILQGLTNMQLWLRIPLEKSESMDEDQDKVNNNNPTSETVDSWEWWNSFRLLCEHSSQLYVALDILSSLPSMNSLGRWFGEPVRAAILQTDAFLTNARGYPCLSKRHQTLLTGFFNHSVQAIISGRSNHSVSQVSEGVLSGDENHTEDTPTGHALSPYLDYIAYLYQRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAIAKALVDKVSDDEVSTTRTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESMVTIISSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPVTASKLHNDIKAHKDIAHFETAYVVKLHRVARLAPPQEVFSFTHPNFSPKATNQRYTKLQFELPQDTGSCLVHGFAGYFDAVLYKDVHLGIEPNTCTPNMFSWFPIFFPLRKPIYVPSESPIEVHFWRCCGATKVWYEWALVAPSPSPIHNSNGRSYWVGL >SECCE4Rv1G0279380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:814522940:814524493:1 gene:SECCE4Rv1G0279380 transcript:SECCE4Rv1G0279380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSWWVGGFLGKYPEIIVSFACFLFLMFFRFRQRDGLPTNWPVVGALPAITVNAGRVHEWVTEFLRVAPGMSHVVRGPWGSPVDVLVTANPADVAHVFTANFGNYPKGEDFAAVFDVLGDGIFNADGESWAFQRRKAHALLSDPRFRAAVAASTAHKLGEGLVPLLDGLAATSSVVDLQDVFMRLTFDLTAMFVFGVDPGCLAAHFPRVPFAAAMDEAEAVLFYRHVTPVPWLRLQTYLNIGHERKMGKAQQVLDASIAEFISLRRERAATNADGTDEAADLLTLYLACQDEVGKDGKEFDRFLRDTTLNIMVAGRDTTSSALTWFFWLLSKHPDVETKILAELRQNPTSGCQPTVIDLKRLVYLHAALSESLRLYPPVPFEHKAAARPDTLPSGPAVSRSRQLIVSFYSMGRMEAVWGKDCLEFRPERWLTETGRLRHEPSYKFVAFNVGPRTCIGRDLAFTQMKAVVAAVVPRFRVEVAAGTTAIPKMSIILHMKDGLKVRVHKRQDDAVSTI >SECCE7Rv1G0481740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:288941003:288941224:1 gene:SECCE7Rv1G0481740 transcript:SECCE7Rv1G0481740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSRSKEAGEKHFLEDSRVLVKQVRELRRLVPCCRESCSLGELFQDAASHIEDLQVQVKVMRMLLDKLSDE >SECCE4Rv1G0243050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:494831174:494835824:1 gene:SECCE4Rv1G0243050 transcript:SECCE4Rv1G0243050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKRERVEEASESPQKSPRLDPLAASPTESSDERPSSGESEAGTSSDSTGDSGRCEHIHLDREVLDMHVYYLTEQRAVLRTCHLRKCKMTWKGNEGMMKCIDCSKFFCSEWPVNRESPQGHAIWHAGENNHWVAQRCDEPNLGYCFLCARGMRLSDCSEDDYAVAARNEKDQQMPGDSVAKEGCETGAKNPQKPPPMDLPLLASAAEKTQKHPQLDLPASKAPVLLSESPSTGEAEETSDCTYDIGSCEHLFKDREELDDMVRDIKTAEKPPECEHFRCTTTCTWRGAAAGLMVCTECTSTFCTGEKGGMENPQGHARLHATKDYHWVALWYDEPYKGYCFECGHVLKLGQDKLSDDEWAALARNKRVKRAMLASNGWDQWGTVAESYANDLWAIVTGHDASGYANGNGCVIRGLPNLGNTCYMNALLQCLLALGELRTTILGPGARLGRIGLHLKQLFMETSSRNDARRSLDPEMLLKYMRLFNPQFNGSLMQDSQEFLTSLRTALEEETKYLNKFHGGAEFRPIGNSIFGGWLRQTLCCISCATKSVLDLQFDELQLALPSKDCPARSVTLLPPMTRSCGSPTKTRKELFQQTDKTDGEKIQTIAEGCDAQFPGSEFGDEAMEKIPKPLQVDSTEVEDVAHGRLQTRKNDVPRKIIEVPIKALDFIPNLFDDTEGMDESIVDPHSPEDTGRPPLVDIEAKDNTYSAEPTAEDKGRAQSSDITDDEAVHMNSVASLEDCLTLLCYCPMGWKCGNCSKVVELPRTNGSENGEPVIASTNVNPTVEGDQTELSDRKTCPSERSNDLNSLSVECTSPSRQPHDSDAHHQVILSEDRISEEITSGMSYDEKKSASCSTTNTKPESHEGVQEAAPVSFPTDKQTGLLSTQDNQDTSDQNQGSGKQVKLDDHSAQQVEENQIEQKHGTGGFQIQLVTKLPPVLTIQLKRFTNALSKTRGHVSFKEILHVGPFMEPSSEDIGNSSYRLVGVIEHRGHALNVGHYVAYVRAGRKEQSSSSSWVCASDRDIKEVPLEEVLGCEAYMLFYERMDGRGISGSLATN >SECCE5Rv1G0333360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:556278512:556279126:1 gene:SECCE5Rv1G0333360 transcript:SECCE5Rv1G0333360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFVCSSSGEPPFKMEVGFFDTVLDIKHKLQGRNGWPAAALSLFHGGAALVDDDDAGGAAGVTERYGVAEGSVIHVALDGAADDSDRRPRQKERKERIRRPAKARRDGAGAPLRVTVVSRCGAGRVEVAVRARGAVSALRGELERGAASGGSGSFPLPPGGGYFFIHGQSVMDEARSFEWHGVAAGDEVVVFEGSVTRGPAC >SECCE1Rv1G0047260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:623362651:623368606:1 gene:SECCE1Rv1G0047260 transcript:SECCE1Rv1G0047260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAEPPSAAPPRRPRSGPPPGLKNLGNTCYLNSVLQCLASTPPLASFFLASRHSNLCKKVFPNRDKECAFCVLERQIVRQLRAEAGALDSPGKVIRSLPLFAEHFRWGRQEDAHEFLRYVIDACHTACLRIRKRLQVATANGDCGPEEGRAQGGCMVMRETFGGALLSQVKCLVCKGESNKTDEIMDLSLDLLGTSSVGDALTCFFKPEVLEGANKYSCERCKKLTSARKQMFILKAPKVLVIQLKRFDGIHGGKINRNIEFKEGLVLSDFMYDKNQDPQPVYNLFGSIVHSGFSQDSGHYYAYVKDATGHWYCCNDSHVSLSNSQDVLTEKVYILFYILSSKTQKNSTNGYSSSAVKSFNTNGNGISSAACSEPLKIPLVKQNGSCSTKGIVPLPLKNGKIAPGLQFKPIHLKNTGTEKAASNGKPHPVLRKPEVNEATTLVESNGCGPGKSAEPSEGYANGSISCDKLDADSHRMLQNTDGNGHIVHFVGLQETSGARATCAEKNPEQPSSVVTPTLDKNICSSENPKSSVLHPEVSADSVKAVVASAKDSARLKHHLEEGKFKEMLAESASSELRSSVWADDVCNFMRSAKRRCIQNTGTSQDSEAIRKQLISDSGRVFRSKIPELLREDLIQSLRSYFEDKF >SECCE6Rv1G0440120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:801621507:801622728:1 gene:SECCE6Rv1G0440120 transcript:SECCE6Rv1G0440120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELRKYWGVGGRRCGACEGAAPAALHCRDCAGYLCTGCDARPAHARAGHERVWVCEVCEVSPAAVTCKADAAVLCAACDADIHHANPLAERHVRVPIAPIGSPEAAAVAAEAMMFCGAGEGEARADQDDVPEQLRQHGGMLNLNVEAGKDGGKMDYLFSDLVDPYLAVDFTRFAHADSVVPSGVATGAVPAVVDLDFACGIAAKPPPSYSSSYTANASGAHSGSSSEVGVVPEAICGGAGSFELDFTRPKPHVYMPAYTAAPPPSHGVGMQQASPVDMGYLTVPERPVAVTGEGRVARLMRYREKRKNRRFEKTIRYASRKAYAESRPRVKGRFAKRTDQDADGDDLDAEAHAVPSSTSYLLDFGYGVVPSF >SECCE7Rv1G0484710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344683863:344691782:-1 gene:SECCE7Rv1G0484710 transcript:SECCE7Rv1G0484710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEIEAAEDGLPVPAPPNGRRYRPVGSDDSAVIQMTSMEPSPGSTSVTGHDAVTPQPPRNLKPGGANLTIDPSMQEGSGDHATSSGSQRDSKLELFGFDSLVNILGLKSMTGEEIPAPSSPREGEDVAITIGRPKEAGPKFGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGIWQSLVLVSFCGACTFLTGLSLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAIPSAGLFQESVTVVNNTLLNGTATAGTATISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSLTSLRDNWGSEYQRTNNAGVPDPNGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLFSVLLFGALSTREELLTDRLLTATVAWPAPVVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGAEPHAATLFTAFICIGCVIIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKLHHWSLSLVGALLCVVIMFLISWSFTVISLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLILCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSTIDGDYHELAEDAKTACHQLEAYIEYKRCEGVAEIIVAPSMSEGFRSIVQTMGLGNLKPNIVVVRYPEIWRRENLTEIPSTFVSIINDCIIANKAVVIVKGLDEWPNEFQRQYGTIDLYWIVRDGGLMLLLSQLLLTKATFESCKIQVFCIAEEDTDAEELKTDVKKFLYDLRMHAEVIVVTMKSWESHVESSSSGAQPDDSQEAYTSAQRRISTYLSEMKETTQREGRPQMVDGKQVVVNEQKVDKFLYTMLKLNSTILRYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTFFT >SECCE6Rv1G0405130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:527386281:527388994:-1 gene:SECCE6Rv1G0405130 transcript:SECCE6Rv1G0405130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGDVAVAVPPAAPSSSCAGAGCKKGKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >SECCE2Rv1G0072830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:61734162:61746356:1 gene:SECCE2Rv1G0072830 transcript:SECCE2Rv1G0072830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKSRPSRARGLGACAAEPDAPSPSGGSSKSKRAAKGEARRDVLVEAGGGASGGPVDDRRDLAELVLRDVRLGGEGKLEGAGPGAPEKGFSEGNGARCSLRLRVRDAPEDGFRLGHWPVVPSDCVLLEYHAEELGGGAFVSGCFDGPDEGVSGLVHLASLGFVTLRVWEYSSLPSDGDDSAPAMVLRVRVEVMDRAFDACESLLEVARQPWRKSLMNVMAWVRPEVTTSAVMYGMDGLVPAMDGDDDCDFAPKSDSQFDLAAFYEAVKPSTDAELLKDELPDLLPHLRAYQLRAANWMIQREKGNTTVPSPNEDYVHHAPYCVPIDFISKNSRMFYNPFNGNVSLQPEPSPPHVSGGILADEMGLGKTVELLACIFAHRSTFSIDCSVSRTRKEIDLISRQKRDRVECICGAASESSAYKGIWVQCDICDAWQHGDCVGYSPKKGLTFDDDDLLSKNEKGTMKSKSRRQKRAKFSIAETDENYICAVCLELAEAAQTTIFSHATLIVCPSPILAQWHSEITRHTRPGSLDVRIYEGARNLDSASNQRNDLTEISTADVVLTTYDVLKEDLSHDSDRHDGDRRFLRFQKRYPVIPTVLTRVHWWRLCLDEAQMVESSKTSVTEMAMRLNAQHRWCVTGTPIQRRLDDLFGLLRFLRTSPFDTYRWWADVIRDPYERGDMIAMNYTHKFFKEIMWRSSKIHVSRELQLPPQEECFSWLIFSSIEEYFYQKQHATCMDHAHEIIKRLRNDANRRELTSDSNALSNVYLSNNDTAKLLVPLLKLRQACCHPQVGSSGLCSLQHTPLSMDEILQVLIGKAKVEGEEELRKVVVSLNGLAGIAIIEQKNQEAISLYKEALDLARQIFDDFRVDPLLNLHINHNLAELLRTSSEYLHECTPKIQSPVVYYRRKRKETSHADSDLRGVKRNKISENIGSVLAAGSPETSEYKNVVGQASISVELDAENRTGCHLSFECFADGCLRKKCNTLKEKYLSVFTTKLLIAQKDFSASFEEVTTLNKELQNQGMGWWLYALDCIEKNKDDTDELFKKIDSLSTKSTTGLGTGAISSRVKTIAGLKYTIQAGIDSLEGSRQHLMVRLLEINKTMDNPRDEDIESQRYCPKCYDGTGSLCIQCELDGLSQGYEARLFVVKKSNNDSVIASVDEARDVQRRNYELNHFFRNQKTAEGSEVGGNNDNPRSVRENIQVYRHPSQTETALRAIRTHSRRVLGKQYVEIAKKHLLLFEAMRKEFSLARSLSIAQNQLLRAHDEIKMSISRLQLKENDDEPSAVNIVTREELIPYNVQFTSDKFLALTSLARIRGQLRYLKGLMLPKSGDTVATATSFPATGQIGSEISNEPCPVCQEKILEQKMVFQCGHSMCCKCCLYLTDRAAGKHKKWIMCPTCRQRTYLENVAFVVEKQSENTDKQADDVTEAAISVQGSYGTKIEAVTRRILKITSTDGAAKILVFSSWNDVLDVLEHSLAANNISYARMKGGRKSQLALCQFKGLASSINGEKAKKAVSKMRPVQVLLMLIQHGANGLNLLEAQHVILLEPLLNPSAEAQAISRIHRVGQDKSTFIHRFIVKKTIEDSIYKMNRGRAVCSTINRKSKTFKDELALTLKDVESLFPVATPDQPPEEDDKDHGDSLRSLPPSVAAGLAAEMRAINGAT >SECCE5Rv1G0322920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:427520955:427524180:-1 gene:SECCE5Rv1G0322920 transcript:SECCE5Rv1G0322920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALREATAELTVYVHPSNAANVRRAVSRQLSTLLFSYEDRFDGVLLSHEVEFEGDNEDDRDRKVDKDGKKVITAKILDGLVPYFGVPVTANLLLFSPQPEMILEGKVEMLGKESIHAIVLGVFSAAIMSDDIPETFKFKRRGHGGKFVSQSDKRHVIKKGSMIRFSVKRVDTEMNCHITGSLMVPHTGCMRWLSVHDAEYASEISSGKRKSRDHIKSEQNVQDRSTVNSEDVVVNSERRRKSRKRTVEE >SECCE4Rv1G0217840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18824751:18825425:-1 gene:SECCE4Rv1G0217840 transcript:SECCE4Rv1G0217840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSKSLAAGLFAMLFLAPAVLATDPDPLQDFCVADLDGKAVSVNGHACKPMSEAGDDFLFSSKLAKAGNTSTPNGSAVTELDVAEWPGTNTLGVSMNRVDFAPGGTNPPHIHPRATEIGIVMKGELLVGILGSLDSGNKLYSRVVRAGETFLIPRGLMHFQFNVGKTEASMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLTKALRVEAGVVELLKSKFADGF >SECCE3Rv1G0164100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:157117261:157117650:-1 gene:SECCE3Rv1G0164100 transcript:SECCE3Rv1G0164100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGIAGTFSVRPTAPAGRLCSCAAAAGEATFRGVDGGGKWWAPQLGWSGQPDYINAQPAPAPEEDRDRAAAGGAGKRRFGVLTEEKARQLRLRMMETESFHDVMYHSAIASRLASAPPDSTRHPKP >SECCE1Rv1G0029090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:409354330:409355031:1 gene:SECCE1Rv1G0029090 transcript:SECCE1Rv1G0029090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGELKLLGVWTSPYVIRVRVVLNLKSLPYEYVEESLGSKSALLLSSNPVHQSVPVLLHGGLPVNESQIIVQYIDEVWAGAGPSVLPADPYERATARFWAAYVDDKVGSAWTGMLFSCKTEEERAEAVSRAVAALETLEGAFAECSKGKAFFGGDGIGFVDVVLGGYLGWFGAIDKIIGRRLIDPARTPLLARWEERFRAADAAKGVVPDDAHKMLDFLPTVLAWVAAKAK >SECCE7Rv1G0520450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:868625499:868627934:-1 gene:SECCE7Rv1G0520450 transcript:SECCE7Rv1G0520450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIRSLLRKAAPALGRMSPVVQGLSPAARLLSTTGGPVVSSDDDEATLAKQREEIKRMTAEFDASMLEIRKNLDAIDEIERQSAEDYEKFRKKLNNIEKACNVALIVLVPTTVLLFMSL >SECCE5Rv1G0337700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:593692487:593695712:-1 gene:SECCE5Rv1G0337700 transcript:SECCE5Rv1G0337700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSRALLLSRLSPLPAAASRSVRPLAAAGSLLPAPLAPSPAAAARRFATQPAHSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMEPPPGDGANPDIPRDEIIESYIKTLAQVIGSEEEARMKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKNYGGEPFIDGQAVPYDPKYHEEWVMNNRRANEPRHKRGDRPRNFDKRRENTYQSRSAPPSYQSPRPPSQEQNQMPPRDASPMRPAQGPPPPNYQPHAANRQSGYVPAGGPNYQNTPHPGYQGAPTPGYQVGNHGGNMHDGPSPAYPSNNNPGYQGGRPSGNPPSPSPDGNNPPYQGVGPSYGGGAQGYQNRSFQEGSGNYNNAAPPTYEGRDGPGRHYQ >SECCE3Rv1G0157020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:78557298:78559608:1 gene:SECCE3Rv1G0157020 transcript:SECCE3Rv1G0157020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLGSGMAMATEEDTTRLCDLPEACVAHVIALTSPRDACRCAAVSPCFRDAAESDVVWARFLPPDYPAILQLHQAPARRFPLLRSGSTAGAGAASGSSKKETFLGLTEVAVLVDGGGMALWLAKGSGAKCVALSARRLSLPWEDGEFSWMWTPHPLSRFADVAQLVDCTCLDIYGRLPTTALTPATTYAAYLVFATTDAHRGLSFPDQETTVSVGGSARSRHTVCLRPDAAEARRFRGGRGTDGVDVRGPALRGDGWWEIEMGRLHSGNEAVVGEEVAVSFEMLGWYPKRGLVVEGVEFRPL >SECCE2Rv1G0078300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:106550550:106553172:-1 gene:SECCE2Rv1G0078300 transcript:SECCE2Rv1G0078300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAEPHAPDSSASPIGADAPASNPGAAAGARKRKGPAATARKPRKQQAQEPIQIPVYYGPTKGFYGVQGDDLVDSSYISRVVNECAHVIGQLPPQPQSMSLVDLQLWIFKLFRLHPETQDLSIKGFLQQRKIDEVETGWYTEYPWDICYFQTDKCWSAFVNKLKRKRYVTQKFMLYAECSEIKHYAILLKAVHDDYSQLTRVVLPGTKCLTTSNFRFLRLVEDLSMTTKEIVAYLAEHYGEQMSPPEAWRARQKALEWEFGTFYDSHNFLPRLLKDITCKTPWSFVDIKDAEVAGCNNFRVLHRIFWAFGQCVQAFNHCRPVLCIKGTPLCGKYQEVLLTAIALDANGYSIPVACAVVEGETKESWMWFLRNLEQAVRHPSVVCIIHDYKRELTDAIEDFLSSDQRQWRKVESRWCMEHLAENFSAYFGDKKLVMIFKKLCQQRRLNKFIKIWKELDELTAKYTADREGGTTGEMQQELVEHDEADLVAQSPCNRRDSVDSEEEGDHANENEGKVTKFSDWIRLKPMEKWSLVHDTKGARYGIMGADMSNIYKNDPVLKGITCLPFSAIVEVTFLRLVEHFKNTSAAANEAIGNPSMNFPERVQDDMNAKMQKSKMHRVVCLDTKTRKVFQGKECRNFKVQSGQKNEVVHLKSESISKFGESTIQESATCSCNKPQLLHKPCTHVIAVCCQIGVSTATYMSPYYSLAYLGRVWSGNFDEYKISHVYRNITPFECNTTTWIPDKRLECGLPVFVTSDCLETVADESEQQCDTGNGSTEDNQGATTRTEEPNEI >SECCE2Rv1G0103760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:594918594:594921071:1 gene:SECCE2Rv1G0103760 transcript:SECCE2Rv1G0103760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQVVDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGTSLQYAGPASLVWGWVVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAYAGSQVLQSIILLCTGTNKGGGYLAPRWLFLVMYLGLTFIWAVFNTFALEVIAFLDVISMWWQVIGGAVIVIMLPLVARTTQPASYVFTHFETTPEVTGISSGAYAVVLSLLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIISVFGWVYILALTFSIQDFGYLYDPTNETAGTFVPAQILYDAFHGRYGSSTGAIVLLFIIWGSFFFGGLSITTSAARVVYALSRDRGVPFSSVWRKIHPTRKVPANAVWLCAAVCALLGLPILWINVVFTAITSVATIGWVGGYAVPIFARMVMREEDFRPGPFYLRGASRPVCLVAFLWICYTCSVFLLPTVYPIKMDTFNYAPIALGVVLGLIMLWWVVDARKWFKGPVRNIDEQVDHNGGNGNGNVKV >SECCE4Rv1G0242070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:469521192:469523374:1 gene:SECCE4Rv1G0242070 transcript:SECCE4Rv1G0242070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEIPSVTTTHTQRPKLFTGMILLPKGPPDVVLPENVEFDFNDVFGATAVQTPTEVSILTPGSPAPLAESNEEVYNDPVVITKRSHSLVGPTSLVSQSLPLSKLTLHESESSLDLLECLSKEKRSGQGSLSDEELNDTTKENEAVGLDDFELLKLVGQGAFGKVYQVRRKCTSDIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDAHGHAMLTDFGLAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFFGGNRDKIQQKIMKEKMKLPTYLSSEVHSLLKGLLHKEAGRRLGSGPGGSDEIKNHKWFKAVNWKKLEARQIQPSFCPNVAGQTCIANFDECWTSMPVLDSPVASPVAADSNFVGFSYVRPEPFLQKPSPLG >SECCE7Rv1G0455310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6162145:6166155:-1 gene:SECCE7Rv1G0455310 transcript:SECCE7Rv1G0455310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSNSPRHGGSGTHHGRHKPARRGGAGGGGDHSPRDHQERGPGGWDHGGGGGGGRGGQRSHHNNGGRRGGGNGGPGGPGGGGVPHHGGFGGRRRGGFDGGFFRGPGMGMGPYMRGAPPPPPALAVAPPFMGPPPPGSHMRAFAGPMMAFHDMPSPVSPVSPMYYVGPPPPPEALRGMAFAPHMVGPPAYPYFQPPAEPELEPEPEPEPEPEPDSEAKRQKLQKQFEFYFSKDNLCGDVYLRQHMDEEGFVSVPFMSTFNKVREITADIPNANLQYIIETIQSSSMLEVKGDKVRRKDDWDKWLIPKESNPNIPSSSAAAVPSPSTNVNDLTAQLGGVGLQEPAGPTSSTVDQNHHEVVQNGGSASSNNPAPAAEESAGQR >SECCE6Rv1G0439730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:799012614:799014144:-1 gene:SECCE6Rv1G0439730 transcript:SECCE6Rv1G0439730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRGLPSWCILLCLAAGWMAAEAAPLPQYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEALGVPLLPPSANKGTNFSQGANFAVMGATALDLKYFRDNNVWSIPPFNTSMNCQLEWFQEVKETICSSPQECKDFFGKALFVFGELGGNDYSFAWKAEWSLEKVKTQMVPKVVESIIGGIEALLDEGARHVLVPGNLPAGCIPITLTMYPSEDRSDYDPRTGCLKKFNGVALYHNAMLRVALDQLQRRRPDSRIIYADYYTPYIHFARTPHLYGYKRGALRACCGGGGPYNYNMTSSCGLPGATVCDDPDAHVSWDGIHLTEAPYRFIANTWLKGPYAHPPLASVVRDDMVY >SECCE4Rv1G0247290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:556615800:556619551:1 gene:SECCE4Rv1G0247290 transcript:SECCE4Rv1G0247290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGAAAALLPPAEQWLALEDQRGGECPLLSSAWSLPGPPGEGIGNAKQGALRRAAARAWGALAAGAAEMSALVRADPRKPVFAAKVALAIALMSLLAFVREPRDFVSHSVWALLTAVVVFEFSIGATLSRGFNRGLGTLTAGGLALAIAESAKNLGEMEEVIIVVSTFTVGFCTTLAKQHPKMKPYEYGFRVFLLTFGYVMVSGYSTGKFTDTAVNRFVFIALGAGVSLGINIGICPIWAGEDLHNLVAKNFAGVANSLEGCVDEYLKCMEYERISSRILLYQASDDPLYSGYRAAIEASAQEQTLLDDAIWEPPHGPYKMMSYPWKSFTKVGGALRHCSFAAMALHGCILSEIQAPPESRKVFSSEIHKVGRECAKVLRELGNNVKTMTKLSSSDILFEVHLAAEELQKKIDERSYLLVNTEAWHTSKRAEGIKDAMNATLITGRENKNEVMEPTIADQTLAYHYKTFAASSFRSRYDSSSTIDGYKKLPHWPARTTFHPNLPLEDTESKTYQSASALSLATFASLLIEFVARLQNVVYAFEELSDKANFKEPAEEPVAVSKGDGGFVANMCKLVGLRS >SECCE2Rv1G0111180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:698357155:698359402:1 gene:SECCE2Rv1G0111180 transcript:SECCE2Rv1G0111180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKSCQKPKAQHRKGLWSPEEDQKLRDYIVRYGHSCWSTVPVKAGLQRNGKSCRLRWINYLRPGLKHGMFSREEEETVMSLHATLGNKWSQIAQHLPGRTDNEVKNYWNSYLKKRVEGARSPPKSAGSGAPRSPTPSENGRERSIVNQPSNSGSSGPPESSSTADDSSSLTGPDTAALIRPHAPVLPKVMFADWLDMDMDYGTGLMGPSALDAAFDCTPAQQGASNTVDSLHGLGDGGICWEFEADMQGGAGFCDLLSMSEFLGIN >SECCE4Rv1G0261370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:701323683:701325368:-1 gene:SECCE4Rv1G0261370 transcript:SECCE4Rv1G0261370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIRHNPCIGPKEIQQKLLDKYGVEPPYMRCYYGKEMALDRIYGKYSDSFQLLYTFKAEVERASPGSVVDIDKHIVQYKLRGKTFEKECFRRVFVCFKACQKGFLEGCRPYLAVDATTLNGRFRGQLVAACAIDAHNWLFPVAYGVIEAESEESWGWFFKNLKNVIGHPEGLVIHTDACKGLETAVEEVYPAVEHRECMRHLAQNFSKKFKGKFYDENLWPSSLTYSIKKHNYHVRQLHSKPKVKEYLEMHHKKIWARALINEFSKVDYVNNNLAEAFNSKIKKYKGLHIVDLLDKIRQYIMEKFDIRTRIATDHFIGHNIIPSVMKALMAKSKGLGMTMVRRSPTEAEVTATDKEKREWRYPVDLAKWTCSCRQWQLTGKPCIHALFFITALRGEANAIDQYVHKYYSVDKFIATYVDNLPALEGKQQWDIVDPGFKLCAPVQNRAPGRPRKQRIRASSEGKGLGARKKKCKRCGRLGHIAKNCKESVDAAFGEDEHWGAVNVDEAATEPQNNEENADGTDDDDEATTEPLNNEQPTKQVEATVQRYLHLLHWVIVSIF >SECCE5Rv1G0330300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:527082384:527082662:-1 gene:SECCE5Rv1G0330300 transcript:SECCE5Rv1G0330300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEMVSQHGALLKVGLFVLVQALVYLILAQSSSVFSSTKTLCLPPARSLSARRMVALLSDLPLAGEPSPHGAFAEPSSPAALAAHAHQKKD >SECCE4Rv1G0214390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:90871:94838:-1 gene:SECCE4Rv1G0214390 transcript:SECCE4Rv1G0214390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLNALASYVTKMLADMARDEVAMLIGVSGQIDDLSLKLRDLNNFLEDADRRNITDKSVCAWVEDLKRAMYLATDILDLCRLKAMEQGPSMDMGCLNPLLFCMRNPLHAHDIGTRIKVLNQQLDDICKRGRSFNFMRLEGYQERKTNHPPPIDRKTHPVLDLSGVVGEKIEDDTRALVQLLTKEASDNSDNIMVFAIVGVGGIGKTTLSKKVFNDEAMQAKFSKRIWLSITQEFSEVELLRTAISAADGNLPGPGGGSQDKALLVPALVSAIKDKKFFLVLDDMWGVNEWNRLLMTPFSHGAPGSRVLATTRHEAVARGIRAMEPYHHVDKLGPDDAWSLLRKQIHGMDRSEPAIDMLKDIGLQIVEKCDGLPLAIKVMGGLLCQKEKEWLVWEKVLNDAIWSVSQMPEELNNAIYLSYEDLSPCLKQCFLHFSLKPKKVLFEDIEYVGMWIGEGFVHGDSDRLEELGIEYHKELVLRNLIEPDTSYPGQNFCSMHDVVRSFAQYISRNESLVLNNGESTSNTFSMQRYLRLSIETKGVESDTFELRSLQEQKSLRSIILIGNFKIQPGDSLTIFSSLRTLHMESIDCVALLESLHQLKHLRYLAVKKCNGIKLLPKDIHKIKLLQHVSFEGCQNLLELPDSIVKLQELRFLDIDRTYVTAVPRGFGALEHLRTIYGFPAQMDGDWCSLEELGPLSHLRCIRLVGLQNVSASSFARKARLGEKVHLSILRLHCSYGLGDDGQKKENVTEKDQRVIEEVFDGLCPPPCIQDIFIQGYYGYQLPRWMRDTSTTLLNSLKILMLRELACCTQLPDGLCRLPCLEYLEVHQAVAVKRVGPEFVQPSSHHHHPSSRVAVAFSRLHELVFNGLVEWEEWEWEEEVHAMPVLEELLIQSCKLRCIPPGLSTHARSLKKLTISKVQRLQSVENFASVVELNLHGLPDLTRISNFPKLQKLGIDRCQKLESLQGMDQLRRLVLTVHYDRPIPSYLQTVKPSHLLLDCSPEALACMALGKSGPEWDKFGHIEHVEAYADDLGEHIEKKWHLLYTSEPYSMVTNIDPQEFESGSEDEEDVASDSILEEEGVVAN >SECCE4Rv1G0254050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:632355712:632360600:-1 gene:SECCE4Rv1G0254050 transcript:SECCE4Rv1G0254050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Positive regulator of ABA signaling, Drought toleranc [Source: Projected from Oryza sativa (Os06g0211200)] MEMPGGSGGAGALARQGSIYSLTFDEFQSALGGAGKDFGSMNMDELLRNIWTAEESNAIAATLTATTAAPASNVDAQPLPPPPLQPQQQAILRQGSMTLPRTLSQMTVDEVWRDIMGFCDEEPAPAPPAPAPAQAQAEAQAQAQRQQTLGRMTLEEFLVRAGVVREDMGGQTVVVPARAQALFPQGNVVAPTMQVANGVVHGVVGQGPGVAMTVAAPTTPGMLNGFGKMEGGDLSSLSPVPYPFDTVTRARKGPTVEKVVERRQRRMIKNRESAARSRQRKQAYIMELEAEVAKLKENNEALQKKQVEMLQKQKDEVIERIEKQLGPKAKRFCLRRTLTGPW >SECCE3Rv1G0151250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:37748416:37750441:1 gene:SECCE3Rv1G0151250 transcript:SECCE3Rv1G0151250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRPAATTEEEEMVVAASGAVNAASLEADDREQQKNARARNKKKRWRAPSEDELAVLGSVLRWARRGEAGKEEEEDCGHLLPAGRRRPRVAVELHAHSARNDGLLSPAALVERAHRNGVKVLTLIDHDTMAGVPEAMESAKDFSIRIIPGADEPVHILAYYDSWGPAKSQELENVLSSIREGRYTHVSNIAGNGVAPGRVHVARAMVAAVYESVVQLICRTGGIAVLAHPWALKNHVPIIKDLKAAGVHAIEVYQRDGKLSGLSDLADTYELLKLGGSDYHGRDDKEEPDIGSVDLPVLAFFRFLEVAQPIWCNAIKESFANATERTGLNGPKGCHWTSSVDDLCNMYLSSPEPEETDDSEIEVLRAELADRRQFCS >SECCE3Rv1G0169760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:242111014:242115263:-1 gene:SECCE3Rv1G0169760 transcript:SECCE3Rv1G0169760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSSPTLQDHLSQPTGPLHLKVWEVVCIALGVFMAVVFFLTVWLTMRSRKRTRRASANIPVTQIPAISKEIKEVRVEQVPATDFGAQGGVLLTIQDKPSDRESDKVMVHLGVSKSRRGDESHSGSFRYMDKDAGFQSAEEGGSGTFRQASTHGITAPSPLVGLPEFSYLGWGHWFTLRDLELATNRFSKDNIIGEGGYGVVYRGEIVNGTPVAIKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRILVYEYVNNGNLEQWLHGAMSHRGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFDAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVILEAITGRDPVDYGRPANEVNLVDWLKMMVASRRSEEVVDPTIETRPSTRVLKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRVDRRSRHNRGGSTEMDSQRDNSDTDKSDNPDSKPSRSGASSSK >SECCE3Rv1G0214300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:965451296:965455325:1 gene:SECCE3Rv1G0214300 transcript:SECCE3Rv1G0214300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDPPALGPLLGNTVNTVISPFYTLFSTNATYCFTARTNVTNHRTETETLSGNLLRVKQRIADGEMNGLIPTDEAEDWVQRAEQAISEEAANRESFDQRCRIFGCSLNCWGNYKTSKKAAEKVDAVRKYISSAPVPDNVTRTPPPPPVVDLSTHSVQLPPSRECILKNALMCIKDDPTVGMIGIWGPRGVGKTHLLTKINNSFLEYCPFDIVILIKALSECTVQKVQAQIINRFGINQNVNVTAQIYELLKKRSFLVLVDDLCEEIDLSAVGIPHPLRVVDQKKRKVLIISRSESICDLMGVDKYIQVLGLEEEEAHQLFEQSFGEDNLYTDPHVGVLAKDLVRELIGRPSELIHFGKMMRRSRNARQWEDVIDAVKRSNLRKDDPLCMAERIVGSLEHSTKDLIAKKNDVCQKIKNAEREGKKSTNEVDRWLEKVDNIIWDVQVISRDCRQLKKDVTMEASEKLRGVQECLSSCPSTVAIESMPPPVQEMPGPSMSAENRNLQDALQYIKDDPTVGLFGIWGPGGVGKTHLLKNINNSFGDGMTFDFVLFVTASRGCSVEKVQSQIIERLRLQSTGSNRLTIHEYMKTKSFLVLLDDLWNEINLEEVGIPYPLGNVKKLNRKVALTTRLRKVCGQMKVKKELKVAYLQEHEAWQLFEENIGAETLSSPHIEALARELMKELKGLPLALITIGKAMYRKDEYQWETAIQYMQQSCCTEDKDPIELGMETNVFRRLKFSYDNLRNKTLRDCFLTCVLWPEDARIRKVDLAQCWMGLGLVNEHDIESSFRKSYSLIADLTAACLLEGSDVRPGSSFENSHGSVKVHDVIRDMALWISCDCGEKNDKWIVAAPGGRDKKVIILSNKAECISLSFNRIPIRFNPDPLKLRILCLRNNELDESIVEAIKNCTSLTYLDMSGNNLKRIPKELCFLVNLEYLDLSENEFGGTEVPRSFGKLINLKFLYLKSGSGYMRIPAGVISSLKALQVIDLRSLFRKCTLFLFQELGTLPQLKALGILVRDLAQFESLGEAANLPVRYLALNDVCALTRILSTDFAQRTLYELDINEERYFLEQDINEEIDAREITVEHDTEQPNNRFGALNNLRLTMTRSLREIKWMGATPAIIFPRLAYLELFMCQHLLHLSWVMYLPCLEQLHIVSCDGMVQAFMRCHGDKLCNGQDKTKTFPRLKLLVLIYNESLETIADNGVEFPSLERLELEGSLALKMLPFQLDSLPPKLKELRFDDARCWERLECKEGVKTILQPYTKFGRRYQG >SECCE4Rv1G0265100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724485099:724485834:1 gene:SECCE4Rv1G0265100 transcript:SECCE4Rv1G0265100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRSMSITGAVALGLVLTLCFATSGFSKTEWIVGGQKGWTFGVHGWERNKTFYPGDVLVFKYNPKMHNVVIVNKDEEFFCRAGAVGDDTYTSGYDKLELSSQGPMYAISSKPGDCENGMKLEVHITARR >SECCE1Rv1G0027770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:388545006:388559175:1 gene:SECCE1Rv1G0027770 transcript:SECCE1Rv1G0027770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSSSSSSRRSSSPFSAGSRRPPTSSSSSSSYMAGRLIPRSSSSVSSYGGGGGSRSTTPGRRSAPAPPPAPAPVPFPSADELVIEDTSRSGDSISVTIRFRPLSEREIQRGDEITWYPDGDRLVRCDYVQPSAYGYDRVFGPSTATEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSLIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTMMIESSDRGDEYDGAMYSQLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNRLVDEKSLIKKYQREISSLKQELDEFRRGMLGGASQEEIMILRQQLEEGQVKMQSRLEEEEDAKAALMSRIQRLTKLILVSTKTNIPAVTDSSRLQRQNSVSEEDKLSSSQDGTTVVQNDSTSKDTLSSALPDPLDEINGLRSASGDPSSVTGSGQDSTQVGITESDHLDLLIEQVKMLAGEIAFGTSSLKRLIEQSIEDPEGTKNQIENLEHEIQQKRRHLRALEQKIMESGEASVANASMVDMQQTITKLTAQCNEKAFDLELKSADNRILQEQLQQKSMEINDLQEKVLRLEAQLIPKTNISPEQCTQQEILDLKSKLQSKEVESEKHRYQHLEMIEENRDLINQNHKLSEEAAYAKELASSAAVELKNLAEEVTKLSIQNARQAKELLIAQEMAHSRVPVRKGRPAGRGRDEVGTWSLDLEDMKMELLARKKREAALEAALAEKELLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGAFSISDLNVDDRSINLADITNDIKENKGDTNVALPEKQVPDDTVKSLTAEEYRSPEFEPLLVRLKAKIQEMKEKETDPLSDKDGNSHVCKVCFESATAAVLLPCRHFCLCKPCALACSECPLCRTRIVDRIITFT >SECCEUnv1G0541840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98300794:98302800:1 gene:SECCEUnv1G0541840 transcript:SECCEUnv1G0541840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSLSCRFLTIALCDAAEPWQLGSQDAATPMMQGIIDLHHDIFFFLILILVFVSRMLVRALWHFNEQTNPIPQRIVHGTTIEIIRTIFPSVILLFIAIPSFALLYSMDGVLLDPSITIKAIGHQWYRIKFGGRRASTQPYEYSDYNSSDEQSLTFDSYTIPEDDPELGQSRLLEVDNRVVVPAKTHLRMIVTPADVPHSWAVPSSGVKCDAVPGRSNLTSISVQREGVYYGQCSEIRGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN >SECCE5Rv1G0367770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:823841324:823842340:1 gene:SECCE5Rv1G0367770 transcript:SECCE5Rv1G0367770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRRLACLTAPGASSYLRRTRHKKLSYARARSASLPGRFHPVVAGLHDAANEVLGWTEAPAPAQAGAEWIGDGVGLLGRLLAGLTDLLHHPQAQDPLRHSRRPGGKTAAPWAERLLDDLLLLADAHGCFREALLSLKQLLAEAHAAVRRRDAARLAAALRARRRSDRDISRLASTLRALSHRSCSSATSTSDSGEAALAEAVAAATCAAAAASAAIFAGLASASASSASRALTSPTSASSPAKAAPVWWVADLLRWRRRTVSVASYESSGSPGGTKEVPSKEWCDEEEDERKAAMERLRSLEECVVAAEDGCEQVYRALVNARVSLLNILTPCF >SECCE6Rv1G0442840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:819596491:819598336:1 gene:SECCE6Rv1G0442840 transcript:SECCE6Rv1G0442840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLGGTRGVRGADRLGALPDEVLQHVLSFLPSREAVHTSLLARRWRHQWKSAPALRITGVDSFKSARELNDFVSYLIAFRGRPPLHACEFEACEVHEDYYDEGSQHDDGERSRYTDLWIRHALSCHARLLRIRDHDPNNVDPKHEAPRNVLLETPISSPHLTTLDLDGVIFSSKYSLDFSSCPNLETVKMHRCSFSVIDCDGPIKISSQSVRHLIITDYDFDRYDNKGAIISVPNLVYLELGSGLGNVPTFECTPSLLAASMKLDDKDGSFLLKRLSNTINLELMIEPQARSPSLQKLHLRLSKKYKHAEETDGSYDPIEPFLASKSLRIVEIKCLAKDERVHKIVKVLSSCGVTDEQISINKRIWSSDGSYSDSEQSNYSHSDS >SECCE5Rv1G0312400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:228180212:228187982:-1 gene:SECCE5Rv1G0312400 transcript:SECCE5Rv1G0312400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGYDGGSATGGKIRRRPPSRAAAASPYARPAAPTHPASRGGEGSGWLARLISGGASRLLSSVFRKPPPQLAAPTLSEPELVDAPYSPPPPPLENDILEEENGGGTANNLSTDNPENSAIDGDDSLRSSCFNGSMDLEELLKQKTFTRSEFEYLTELLRSRTVGSNTMQSEVNNIKQTLSPKKEKGSRDLPVDFSIKSYSVADQVASPAELAKAYMDSRCSEGAPLRVRLHEPASLSIKSIESGTIQIAKSPKAPLLGSSRLSASTPFDRLGSTYRTPNKLAIHKMSSSPYFKGPVSSRDICGTVSSSYQTANSVHTFGRQFLKRKNIALNNETMSVGPIRKMHQRYNRVSSLSETWPGYREYPGSHASKLDEGFEHSTQTQKRLRLAVVDDGTLGICGNSFVQAPAQSTKMAAKILKQLDTLVPSPSEKESTPEMKQKHGNALDVEDSISQRKEIPSQRSLLESSSSFIQAAIDVKTVDAMSNASALLESKSSSELITSSKDSLEVDHCSGSIEVEKSYSPIQEHTANNSGTTNKENPPTASLRSYSPSNLVLSSEIKRTKMLASSNGFSFPVTSAPGAHSQAPPTPTMASPPALHVGKHKSSAIPSVPVTSPESAPRILKRVSEESSIADNHNKKLNGEIPPVSSKGAGHVASFTSNPVFTVANSKPTTLSNGLAHTSKSTASAVLASNRSNNSFSSTNTASSQSARTPTSGSANAPFNFSPKFGGASLLAAQDKSKAGSSSAPFNFSPQFGSVNLVASLDKSKVTSPESTLLSGNQFAQPENSNSLCTQSSASKSDMMSLEESNMGSLPFGSAPLSPSPFSLSSVVSSTAASGTTSVTTTTSLPSPSMASALGSSKAFLVSPIFGSNPITTAPSLFGMPNDGSPMPISPSIAVFSFTSATPTIPDPPPSTPLFGSMIPTIGFSTGTDQMTMGNNQTLFATAPPFGFQSNSQSTPAFSGFQSNSLSTPASRTPATQFASTSTTSPGIFQFGQQGQASSGVFSMGTVRDNDKSGRRIIKVKRKK >SECCE4Rv1G0254580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:639563188:639566150:1 gene:SECCE4Rv1G0254580 transcript:SECCE4Rv1G0254580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNANASANANGKTSAAANGDVVLIMPPEQPQPQRPTPADAPKTPPNPEKPPPPTPTSAPPRSHLPNPEKPPQSPARPPLPPAQGALLRRRSSLSKPKSRFVEPPTPPAPSSHPSPAHPASTAQTPRPASTPQTPGEGDDEDDIFRKDGAPTAATAAKCRRRACISLELAVFVLFLALLVVSLVARPLKGYFVWGLEIWKWCVMVITVFSGHLVSHWVIAFVVFLIERNFLLRNKVLYFVFGLKNSVQACLWIGLVLIAWSQLFDQEQLGRTAKTARILNYVSRFLASVLIASVIWVVKTFIMKAIASTFHRKAFFDRIQESLFHQYVLQTLSGPPLMELAENVGREPSGRVSLSRAKEDKGTPKVIDAVKLRKMKQEKISAWTMKGLITAIRSSRLSTISQSIESFHEFDETEQKDKEINSEWEAKAAANAIFKNVARPGYKHIEELDLLRFFNREEAALVLPMFEGASETGKIKRSALKNWVVSAYLDRKSLAHSLNDTKTAVSQLHSLIRILVLIIIIIITLLLMGIATTKILVVISSQLLVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGIQMVVEEMNILTTVFLKNDNEKVYYPNSALSTMPISNFYRSPDMYDTIDFAIDVKTSMESIAALKSRIKGYLESKPTRWHPIHTVNLKDILDVNKINMALCAQHTMNFQNIREKSIRRSELVMELKRIFEEMSISYQLLPQKVELSYVGTNPLPVNVSQGR >SECCE1Rv1G0026620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:372412707:372413174:-1 gene:SECCE1Rv1G0026620 transcript:SECCE1Rv1G0026620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYPLPLLLLAAVAVAVAAASSAEDTPTAYEMLERYDFPRGILPEGVEGYELDPDGGFQVYFPRECEFLLAKQWLVKYATRIAGAASAGKLAALQGIYVKVLFLWIPVAEVDRAGDRLSFYIGPVSTSFPLSDFASSPHCRGYHDRAAIAAAVS >SECCE2Rv1G0076950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:95454560:95456621:-1 gene:SECCE2Rv1G0076950 transcript:SECCE2Rv1G0076950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLTRARIVGDVLDPFVSSVPLTVMYDGRPVFNGMEFRSPAVSLKPSVEIGGDDFRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDVPASTNDSFGKEIVPYESPSPTMGIHRMVLVLYQQMGRGTVFAPQARQSFNSRSFARRFNLGKPVAAVYFNCQRPTGTGGRRFT >SECCE2Rv1G0135700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908239946:908242823:-1 gene:SECCE2Rv1G0135700 transcript:SECCE2Rv1G0135700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLPSSHHFVLLTILICLLLHHCSAVYDVESTARSGKLLSAKLKLIGGSTEFGPDVKSLILTASLETDNRLRVRITDTDHQRWEVPQDVIPRPAPKDVLLDSTGNPSMPNNSTMSSASSDLTFTIHASPFRFTVSRRSTGDTLFDTSANLVFKDRYLEVTSALPADRASLYGLGEQKKQTFRLQHNDTFTLWNGDVTWSDQPDLNLYGSHPFYMDVRSGGAAHGVLLLNSNGMDILYGGSYVTYKVIGGVLDFYFFVGPSPLDVVDQYTQLIGRPAPMPYWSFGFHQSRYGYKNVADLDGVVAGYAKARIPLDAIWSDIDYMDNYQDFTLDPVNYPSKQLRPFVDRLHNNGQKYVVTVHPAIKRQTAPHEDLFLKRNGANLVGEVWPGEVYFLDFMSPRSTQYWARKISEFRRTIPVDGLWCDINEPSNFKDWQPLNPLDDPPYRINNSGFHLPINYRTVPVSTVHYNGVSEYDAHNLFGLLQAQATHAGLLRDTARRPFVLSRSTFVGSGRYAAHWAGNNVARWDELAQSINTILNFGLFGIPMMGADICGFNGNTTQELCSRWIQLGAFYPFARAHAEKTTLRRELYVWEPTARSARKALGMRYRLLPYMYTLMYEAHMTGAPIARPLFFSYPQDANTYGVDRQFMLGRGVLVSPVLQPGATTVDAYFPAGRWFSLYDYSLAYTMKVGKRVTLPAPADLANAHLAGGNILLLQHANLTTSASRQSEFHLLVALAENGTASGELFLDDGDSPEMGAVGGSWTLVRFSCDREESKGMVTTKLSSHVVQNSYAPSRAQVIGKVVFMGLQSAPKSFTIYVNSVQLKAAHTKSRTGGVFSVSGLSLAIGQKFEIKLVMSH >SECCE5Rv1G0360260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:768317636:768322158:1 gene:SECCE5Rv1G0360260 transcript:SECCE5Rv1G0360260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLPSHAASHHRRAVFSGSAHHHPLLQPDLLGDALRAVTSRRRRPIAVACRAASAAARAKAPPSAGAGPPPQALAKEAHKYFDHAVLSVRAGDGGHGAVLNMPPGTSADAAASKPRGGGRAADKGKAKKGSGKKVSFKRNYDGSVSLPVGGHGGDVVLYADEAEESLLGFHAKARHCAKRGGNVGATGTLSSRMHNGFAGETLRIPVPVGTVVRRKKGSVLADLAHPGDEVLVARGGQGGISLIDAPDYKRGKAMALSPNVMRDVTDKVLTHGQPGEEISLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPTLGALQFSSGATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAAADDPVNDYKIVRDELRMYNPKYLERPYVVVLNKIDLPKAQDRLSSLALEISSVGCEECHDKNTSKEKLNENFNSDHMSEDNDKELGDYPRPQAVIGASVLRHIGIDEMLKEIRTALGKCSDHMLQGP >SECCE3Rv1G0172120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:284434434:284440563:-1 gene:SECCE3Rv1G0172120 transcript:SECCE3Rv1G0172120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADIEAGTVVSDSDSSRRPQDNTATSIPNSGSLEGSSHRATKTTRFKDDDDGVVEITLDIQRDSVSIQDVRPVDDSGSSHSGALVSPSSSRGGKLSSKLRQVTNGLKLTNPSKKAPPTPAPKTVRKRYDRSKSSAAVALKGLQFVTAKVGNDGWTAVEKRFNHLQVDGMLLRSRFGKCIGMEGSDEFAMQMFDSLARKRGMVKQVLTKDELKDFWEQLSDQGFDNRLQTFFDMVDKNADGRITSEEVKEIIALSASANKLSKIKERADEYTALIMEELDPNNLGYIELEDLEALLLQSPSEAVARSTTTHSSKLSKALSMKLAPSNDTSPLRRHWQEFLYFVEENWKRIWVVTLWLSICIALFIWKFIQYRNRAVFHIMGYCVATAKGAAETLKFNMALVLLPVCRNTITWIRSKTKIGAIVPFNDNINFHKVIAAGVAVGVALHAGAHLTCDFPLLLHASDAKYEPMKPFFGDKRPPNYWWFVKGTAGWTGIVMVVLMSIAFVLAQPWFRRNKLKDSNPLKKMTGFNAFWFTHHLFAIVYALLIVHGTSLYLTKEWYKKSTWMYIAYPVFLYSCERIVRLFRSHDAVKIQKVAVYPGNVLALYMSKPLGFRYRSGQYIFINCAAVSPYEWHPFSITSAPGDNYLSVHIRTRGDWTSRLRTVFSEACRPPAEGESGLLRADLSRGITDSNARFPKLLIDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQPGGSVGGAEPGGTGKAKKRQFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDKDEVIELHNHCSSVYQEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRSVFKRVAVNHENQRVGVFYCGEPVLVPQLRQLSADFTHKTNTKFEFHKENF >SECCE3Rv1G0209370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:938356400:938356747:1 gene:SECCE3Rv1G0209370 transcript:SECCE3Rv1G0209370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMGKGLVGTNKTLICLWLVMLLLLSSEMGSDGCEGHDSQTWDGNMCVKHGTCNVVCQKEGYDRGRCYVTVCRCYKNCTSLPI >SECCE5Rv1G0303940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:52135498:52137779:1 gene:SECCE5Rv1G0303940 transcript:SECCE5Rv1G0303940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEAPAARPILYSYWRSSCSHRVRIALNLKGIDYEYKAVNLLKGEQSDPEFMKLNPMKFVPALVDGDAVIGDSYAIALYLEDKYPQRPLLPQDLKKKALNIQIANIVSSGIQPLHNLTLVRFIEQKVGTGESLPFVQQQIDRGFTAVENMIKGCAGKYAMGDEVQLADVFLAPQIFAAVTRFQIDMSNYPTLARLHDQYMTHPAFEAALPDRQPDAPSSG >SECCE7Rv1G0523090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:880189066:880190058:-1 gene:SECCE7Rv1G0523090 transcript:SECCE7Rv1G0523090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNKEVESLVQKITGLHAAIAKLPSLSPSPDVDALFTELVTVCVPPSPVDVTKLGPEAQEMREGLIRLCSEAEGKLEAHYSDVLAAFDNLLDHLGMFPYYNNYINLSKLEYELLARYVPGGIAPARVAFIGSRPLPFSSFVLATRHLPDTMFDNYDLCGAANDRASKLFRADKDLGARMSFHTADVADLAGELATYDVVFLAALVGMAAEEKAKVIAHLGAHMADGVALVVRSAHGARGFLYPIVDPQDIAGGGFGVLAVCHPDDDVVNSVIIAQKSKEVHANGLRSGRRGQYAHGTVPVVSPPCRFGEMVADVTQKREEFANAEVAF >SECCE7Rv1G0483610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:324423702:324424996:1 gene:SECCE7Rv1G0483610 transcript:SECCE7Rv1G0483610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L7-1 [Source:Projected from Arabidopsis thaliana (AT1G80750) UniProtKB/Swiss-Prot;Acc:Q9SAI5] MAEEGTQQLPFVRETVLKKRKDNEEWATKNRERKAAKRQRRRHDAKGAIKRPEDFVKEFRNKELDFLRMRTRLKVRNLRPAEAIDAKLLFAIRIPGTADLHPQIRKILARLRLTQVLTGVFLKATEANLKRLAAVGPFVTYGFPNLKNVKELIYKKGRGYFDKEPFPLTSNDLIEKALGEHGVICLEDVVHEISTVGPHFRETASFLMPFKLKCPERRLQMKKKPFKDGGDSGNRGDKINELLEKLN >SECCE4Rv1G0296080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:901486981:901487476:1 gene:SECCE4Rv1G0296080 transcript:SECCE4Rv1G0296080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGSSDDEKRPAVESVNTEAACALLASEQYGYVDVRMWEDFDRGHVAGARNVPYYLSVNPHGKERNLDFVDQVAALHSKQDRLIVGCRSGVRSRLATADLVAAGFTKVKDLEGGYLSLLKSVSYQQQAALASRQ >SECCE5Rv1G0302970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:43395790:43396185:1 gene:SECCE5Rv1G0302970 transcript:SECCE5Rv1G0302970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKIKMPGLCLLLLMPLMLLPGSEGSTCRAYSKTYTTFHCADNPCLEHCHNEGFPAGECEFVSLEPLALVCFCRKPCC >SECCE2Rv1G0083160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:162132762:162141053:-1 gene:SECCE2Rv1G0083160 transcript:SECCE2Rv1G0083160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDRPEFVRWSEEFLSQERGSRVVHYYLEDAEGVSHLAVVGTERSLRHMLYVVSEDFHGPQGSGGADGGQGMFARKWRSRREVVDWLESFLPAKTLTSNFSKFGPRMGNDVGLDGYSETDSYVCHNLGITCSTDIMWSGPFWTCSKQLQHYQAFCRNGTTISIHSFALVMSEEENRYLAYLEDMYEDKKGLKKVKVRWFHQNQEFACAIPPPAPHPCEVFITSYSQVISVECVDDIATVLTPEHYEKYADTLPNSSLVGIRFCFRQYSKNKFKHFDLRTLRGYFNQAAVLSLKVSPEQEKDGSDVIRVVRHCSPGKTKFSKQFERLYSKCLGTKICRGPQADSIPSYQKPSNKQSPVRHLSVKFIGPQSQPMPTYNVGDKIEVLSQDSGIVGCWFRCTVLRPCTSHNKLKIQYDDLQNADECGRLEERVHLSKLALPDKLGLRCPGRLRIRPRPQQKTLVDDTALLPGTAVDVWQFNGWWEGVLVSTDAGSSDGLQIYFPGENFFSVCQLNDIRISKDWIKSCWVHIERNPDVLSRIPNVASTGRLGSNSALSDQELAAVQANSSRDKQTGVSMQTDVSLIDKASASIDDEKQTILGKRYAEQDCNGEEAAKQTEASLTDTASAAAEIEKQTMSGKRHRDGTAEQNCNGQIFSLTDKGSASVEDDEKQTVLVKRMRYDDAEQDCNGEQTGADTQTEVSLTHTANVAAEDEKRTILGKRPRNDAAEQNCNGEVFCSTDKGSASIDDDEQTLLARGPREGNADEHCNNEVLSLTDKAANSVKDEKETILGKRPREDDAEQQCNNEVFSLTVKASPPIEDEKQRVLGKRHRGDNDEQDCDGEVGVDLDVSKP >SECCE4Rv1G0250640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:595480258:595487615:-1 gene:SECCE4Rv1G0250640 transcript:SECCE4Rv1G0250640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPLSPSPPAFTPVKEEPDVDTTAAACTSRPPPRKKRRRDHLPVTPTQPLLTPQTILSGISKADSFDVKRCGELDLTPTTVPTSIKSEPDADDGAGKDAVGETLDAQQHKKRSRHRLPATPIQQPLFTPQATLPGISRADSLVEQPSATPTATVKGELDTDAGKDAGGKVVRRRRPYPQRPITEQAPTMWANRGRLGRLLHNLVRTHQWRDAAGVFSVLLPGIQHPVSFEEARGILVDAMDIHRRLAQDSGNHGRRTYYHRTQKVFDVWIQRLMWLPTCAKKHMVKLELALFYLSQGKIDDAHNATRVLIAKDGLKMEPTLNLIHGLISYDKWYSGLPKDMQLERFDVYNESCKVSVSSNACGENGLQDSSDGNCSIDVDDASFPACSSESSINNGNIDKKCKIPKKSHFLYPVKENDSVDSQVKEGVVSADFRSVFFNTSDAPTCGLEQTLLPLRLKHAAGTSSDCFDTYWKYKSTPNTFYADAERCLRVALHSSPPVMAALLPLIQILLLGDKLKDALCELERTCRSSTTALPFRLRGRLLEYFDQNQVSTISSCYEEALQRDPTCSYSVQRLTEMHRKGYYNTARLLERIALHLDSVNGKPFVWEELASCFLRLFSDRTTDYEDCISCNVESEASINAFSSLSSVFFEQRTRESWKLRCKWWMNRHFSQNIYMSETTKGDCKLLASKASCASHMLGPGFPYVKAAKSHLSKQEAKDESRFLSRNMENSVKLLQSLEKLT >SECCE2Rv1G0122220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:814894786:814898679:-1 gene:SECCE2Rv1G0122220 transcript:SECCE2Rv1G0122220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLVMAAFFFPSAAVAFSPEGLLGSSPATTAVKTAHLLFFATSLGATVWAILVSGLIMFLHLPRHMMGRLRGKVFPACFTLNAACTGVSAAAFAWLHYPWKAAPTMERRQLGLLVATVGFDLANLFLLAPKTLKMMHERHIVERTLGIGEWGSIEGLRSNVRAAKSDATLAAANRRFLLAHISSAMALLASISGLVAHSWYLAGKLAL >SECCE6Rv1G0381550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:33476459:33480411:1 gene:SECCE6Rv1G0381550 transcript:SECCE6Rv1G0381550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol kinase EVAN [Source:Projected from Arabidopsis thaliana (AT3G45040) UniProtKB/Swiss-Prot;Acc:F4J4C8] MASSSALLTGERLVVFLFAARVALAAPARLAAPLALLAGAALAVELAVDRSAAAPSSPLRRFRTRPGASSGILLGATTLPCVMLARLIQLARILPTDPNGAEEFAYLEMQYWAVSISCVSVLAFFLWHLRQSANNEISKTLKYGSLMVVVYLVTFLLFFLVKSDGGLLAMTKNGYLLCHGVAAVILIKHILEKFPSCSSFGEGLLVSSGLVVYFGDILARTLSKMEFSTSSGAFIHTPGTQSEIATVIQGFLLGLFLLPLLYKSSLQVWVYCRTLGKQRTQAIKKRAEKRAGSAVFYVSLLVVLLFLVPSWTRLVQGLEVHPFVWVLNYMFTNSDERLLLCAYWIFVICVSIKRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPAFLDLAFGAAFALFLILEMIRVWEVYPLGHTIHQFMNAFTDHRDSEILIISHFSLLLGCALPKWMSSGFNDRPLAPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILVTLLASSGYILSQHWLSLSVAVTLSLLLEAYTTQLDNAFIPLVFYSLLCL >SECCEUnv1G0544420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:128774488:128779242:1 gene:SECCEUnv1G0544420 transcript:SECCEUnv1G0544420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSLEAAIVWLVQAIYASLLVGGELDAWIRRAGLADDIERLRSEVEGVEMVVSAVKGRAIGNQPLARSLARIRELLYGAEDLVDELDYRRLQQQLELEGGTRHDLDEHGSLSLSMRDRLDESGGSESWGHFDIEEFHENGGPGRARCKHCRAMVLCTADKGTPVLRKHLKSKSCKKKRGASDLSSTGDATASATSTATDGISSTRNMGGVEAEEPKDGTRSDTYTWDMGDFSNRIQAITHQLQGIRGDVRAALMILGSDSSASSNHHVDHPHRRTSSLVQGKVYGRDYEKEEIIRLIKGHKLSSSVTVLTVLGIGGVGKTTLAQLVYNDPSVESQFDHRIWISVSNKFDETRISREMLDFVSRGTHEGLYSFARLQELLKGYLKSKRVLLILDDVWDDLNDCQWNQLLAPLKSDSAKGNIILVTTRKPSVAKKRGTTGPIKLRGLPKDDFWILFKACVFGDENYMVKGSLKVLGGQIIEKLNGNPLAAETAGALLREHLTIDHWSNILKREDWKSLQLRRGIMPTLKLCYDQLPYHLQQCFSYCSIFPYNYRFLAEEIVRIWISQGFVKSSHSGMRLDEIGRLYLADLVNLGFFQQIEVDCSSSNQTPYVMYDLMHDFARVISRTECATLDVLQCNEISSTVQHLSVVTGPVYWYNEKFEEILRKTVKNLRSLVLIGQYDSQLFQQVFEKAHSLRLLHVSATARSHSFLCGLVNPTHLRYLNLQVAPVWQGDLPQVLSKFLHLQVLEVGLCRGTSFASGQMVNMEGYGERLIFPSLERLLFLTTLKLSNMRKIREVSVPSLQELILVEMPELERCTCTSLGDLNSSLRVLEIRSCPALKVFDLFEKGHNFAEQPRLFEGHNFETKWKPWLPGLRVLTVINCPHLLMPCLLPHSTTVSRLHIDGVPTLLKMEGSSREKLKISPNSQSGVNTDKIVRLDGKILAFHNWGDLKSLHISFCGNLTYISFQGLSELFSLKDLHIVGCRKLFSSDVWPELTDEYAIGAYRSLRCLRSLYIRSSGISGKWLSLMLQHAQILKELSLDDCPQFTQLQIEEEDNSQANIISASEASSSSGPDGLLCIPLNLTSSLEKIAISGSPYLRFDRNKEGFAGFTSLEELIIRCCPRLLLSLVHNNGNDVQANRTWLLPQSLGQVVISSYDYETLSLCFMGNLTCLKKLEVLESLGLVSLWLHSCTSLEDLRIKKCEQLATVEGLQSLVNLRNLEVQENLNLVSLQLHSCRLLEHLRIEECESLIAIEGFQSLVNLTKLTVLSSPGLPPYLECLSGHYELCSRLERLHIDDLSLLTMSLCNGLTCLQCLRLEKLERGGTRLTDEQERALLLLRSLQNLEFYDCFNLVHLPVRLHSLPSLKTLMIACCDNIPRLPKKGLPSSLERLVIYDCSIELREQCELLTRGKLRVVMND >SECCE6Rv1G0387940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:126343548:126348349:-1 gene:SECCE6Rv1G0387940 transcript:SECCE6Rv1G0387940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P1B-type ATPase, Cu-transporting ATPase, Control of Cu accumulation in rice grai [Source: Projected from Oryza sativa (Os02g0196600)] MERNGESTLKEPLLHVADGASPAAARVSPRKERTIRKVMFNVRGMSCGSCAVSIETVVAGLKGVESIQVSTLQGQAVVQYSPEETDARTIKEAIEDINFEVDELQEQEIAVCRLRIKGMACTSCSESIERALLMVPGVKKAAVGLALEEAKVHFDPNITSRDLLIEAIEDAGFGADLISYGDDVNKMHLKLEGVSSPEDTKLIQSVLETVEGVNNVEWDTSGQTVTVAYDPDVTGPRLLIQRIQDAAQPPKCFNASLYSPPKQREVERHHEIMSYRNQFLWSCLFSVPVFLFAMVLPMLPPSGDWLFYKIYNNMTVGMLLRWLLCSPVQFIIGWRFYVGAYHALKRGYSNMDVLVALGTNAAYFYSVYIIVKALTSDTFEGQDLFETSSMLVSFILLGKYLEVVAKGKTSDALSKLTELAPETAVLLTLEKDGSVISEVEISTQLLQRNDFIKIVPGEKVPVDGVVIKGQSHVNESMITGEARPIAKKPGDKVIGGTVNDNGFIIVKATHVGSETALSQIVQLVEAAQLARAPVQRLADKISRFFVPTVVVAAFLTWLGWFIPGQLHLYPQEWIPKAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASLGVLIKGGNALEKAHKIKTIIFDKTGTLTKGKPSVVQTKTFSKIPLLELCDLTASAEANSEHPLSKAIVEYTKKLREQYGSPSDHMMDSKDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEAPMSSEVEEYMSEMEDLARTCVLVAIDKVICGALAVSDPLKPEAGRVISHLSSMGITSIMVTGDNWATAKSIAKQVGISTVFAEIDPVGKAEKIKDLQTQGLAVAMVGDGVNDSPALAAADVGMAIGAGTDVAIEAADIVLMKSSLVDVITAIDLSRKTLAKIRLNYVWALGYNVLGMPIAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHVEEAPIPAGAGDGGSNLV >SECCE1Rv1G0034190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:477789354:477792683:-1 gene:SECCE1Rv1G0034190 transcript:SECCE1Rv1G0034190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGAASPSAGAGGAAGEYSSPKPTSSKPLAWLPRAARYAAAEHRPLFALVGMLFAAAVFCLAAPSGSGTATAPYSSGRAAAVSGALTRFSVDPAAHNPGRQFVAGKVPLGLKRKGLRVLVTGGAGFVGSHLVDRLVARGDSVIVVDNLFTGRKENVMHHFGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKWHKTNVVGTLNMLGLAKRIGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGDHIGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRENTQDDPHKRKPDITKAKEQLGWEPKIALRDGLPLMVTDFRKRIFGDQDSATTATEGQ >SECCE4Rv1G0291580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:877009511:877010989:1 gene:SECCE4Rv1G0291580 transcript:SECCE4Rv1G0291580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQDEQQPPPLHILSFPFPAPGHLIPVADMAALFAARGVRCTILTTPVNAATIRSSVDRANDAFSGTSSPAISISAVPFPDVGLPPGVESGTALTSQGDRGRFFRGLQLFREPFDRFLAGSRPDAVVSDSFFHWSLDAAAAHGVPRIAFLGTSVFARSCSDRMLRSNPLAAAPDDPDALVSLPGLPHRVELRRSQMMDPAKEAVHWAFFQSVNATDERSFGEVFNSFHELEPDYVEHYHSTLGRRAWLVGPVSLAGKDMAGRGTSAPSPDAGGCLRWLDAKPAGSVVYVSFGTLTSFSPAELHQLARGLGLSGKNFVWVMGAADPSSEWMPEGFAELMARGDRGFIVRGWAPQLLILNHPAVGGFVTHCGWNSTLEAVSAGVPMVTWPRYADQFNNEMLIVELLKVGVSVGARDYASSAEAHEVIGGEAIAESIGRLTESDVIKKKAKDLAVKARSAVAFASNHLSAVLAASIELFFWLSTSFITGSVLIS >SECCE4Rv1G0261670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:703271015:703272059:-1 gene:SECCE4Rv1G0261670 transcript:SECCE4Rv1G0261670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFPDGHHVWLRSRVHGTYLNANSDGKTVSLRGRRASLKAAWTVHIYGEGDAVYLLLHSAAYGLYLAAAVTRAPLGHKGFRAEQRDYDEPEDQAIMWQAIGAGSGDDVMLRNVGGRYLRANGRYLRWNTGVSVDDMDDVSTMAHWIVETIPAREAGTPDLPGPPNRVLYMVLGQAWRLIVFVRATAEGFYGEDGWFMFLFRGRSVNHLRDELADGVSFIDGQPPDGIAMCVRAGRYGRLTPLVVDLTRYAGHAETLQIVVMLSGTPDFGAVPIC >SECCE5Rv1G0372710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:854363844:854368054:1 gene:SECCE5Rv1G0372710 transcript:SECCE5Rv1G0372710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNACALNSMEVKAKPARGGKRSRASAGTAVVLLEKKESEKERRKRMKALCEKLTSLIPREHCCSNADMMTQLGSLDVGASYIKKLKERVDELQRRRSSVQALDTIRGDTTIPTPTTITTTSSGAGSPEEEKAWQASAPVLQVRQHDDSSMEVRLICCTERPIKLHEVITIHEEEGAEIVNANHSVADHKMFFTIHSRAFSSRIGIDVSKVSERLGALLRLFPHENQAPSCMRSDQVLRYTDGAKATPPKELINNNDIGGTNKVTNPECETWVEQDQMMWSSLLASISLEMLE >SECCE5Rv1G0364350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:800830714:800833910:-1 gene:SECCE5Rv1G0364350 transcript:SECCE5Rv1G0364350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPADSGTSSSSVLNSADAGGGGFRFGLLGSPDDDDCSGEPAPVGPGFVTRQLFPASPPGHAGAPAMMMEQQAPAPPMAPVWQPRRAEELVAAQRVAPAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFNLSDYEEDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEVEAARAYDRAAIRFNGREAVTNFESSSYNGDAPPDAENEAIVDADALDLDLRMSQPTAHDPKRDNIIAGLQLTFDSPESSTTMVSSQPMSSSSQWPVHQHGTAVPPQQHQRLYPSACHGFYPNVQVQVQERPMEPRPPEHPSSFPSWGWQAQAMPPGSSHSPLLYAAASSGFSTAAGANPAPPPPSYPDHHRFYFPRPPDN >SECCEUnv1G0531520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17529474:17532009:-1 gene:SECCEUnv1G0531520 transcript:SECCEUnv1G0531520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTETEPGAAAERTAFRRAEKQYKLYKPPSRKGRSRGKQAGGGGFGGGGGGDLSAVVDFHALLAAGEGELPAGIQRCHRAGFHLPVFCFLHRPGFYFIPGALSTEEQCYWIRESLKTFPQPPNRTNLTATYGPISDLLIAAEKQKILVKVQSSNGQEIDEQSNVGEDAHLGKFKFVDGSEIQKGERPKSTTAAALVRKLRWSTLGLQFDWSKRNYDVSLPHNKIPDDLATLAKKMAVPAMPSGEDFAAEAAIVNYYGPSDMLGGHVDDMEADWTKPIVSISLGCKCIFLLGGKTRDETPTPMFLRSGDIVLMAGEARERFHGVPRIFTEGDQQDISGLVSELSDDDDRFILDYIHNSRININIRQVY >SECCE1Rv1G0057700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694706682:694709002:1 gene:SECCE1Rv1G0057700 transcript:SECCE1Rv1G0057700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Urease accessory protein G [Source: Projected from Oryza sativa (Os05g0565200)] MASHDHHHHHHGGHSHDDDHHHHGDAAAGKKGAGAGSWVGEDGRVWHSHDGLAPHSHEPIYSAGDFSKRAPPLDSRSFADRAFTVGIGGPVGTGKTALMLALCTCLRDKYSLAAVTNDIFTKEDGEFLVKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLATAVGADLAVMERDALRMREGGPFVFAQVKHGVGVEEIVDHVLRAWEIATGNRRR >SECCE5Rv1G0353370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:716605099:716609374:1 gene:SECCE5Rv1G0353370 transcript:SECCE5Rv1G0353370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 50 [Source:Projected from Arabidopsis thaliana (AT5G60940) UniProtKB/Swiss-Prot;Acc:Q8L4J2] MDAAVQEAKLLRQVNALIVAHLRDQNLTQAATAVAAATMTPKADASVPNHLLRLVAKGLAVEREEAARGGGAPAAFDSGAGGGGPARPLGTNAVDFSVQNVRGPSKTFPKHETRHISDHKNVARCAKFSPDGKYFATGSGDTSIKFFEVSKIKQTMLGDSKEGSGRPVVRTFYDHVQPINDLDFHPISPILISGAKDNTIKFFDFSKTAARKAFRVIQDTHNVRSVCFHPCGDYILAGTDHSVAHLYDVNTFTCFLSANPQDSSAAINQVRYSGTGSMYVTASKDGSLRIWDGVSAECVRPIIGAHGSVEATSAIFTKDERYILSSGKDSCIKLWEVGTGRLVKQYPGAVNTQFRCQAVFNETEEFVLSTDEQNNEVVIWDALTSERVARLPSGHTGAPRWLEHSPVEPVFVTCGNDRSVRFWK >SECCE4Rv1G0240430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:447987794:447987988:-1 gene:SECCE4Rv1G0240430 transcript:SECCE4Rv1G0240430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMPNILSLTCICFNSVLYPTSFFFAKLPEAYAIFNPIVDIMLVIPLFFFLLAFVWQAAVSFR >SECCE4Rv1G0226670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:112648308:112659325:1 gene:SECCE4Rv1G0226670 transcript:SECCE4Rv1G0226670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSPPPASLLLSAPAPPLFPNSTATPRAKPKSNCRKLRPLSCTAAASPSPSASLTTNAGAAKAGSWRDLCSLNAWVVGDYYRLVSAVNALEPPLRRLSDEQLKGKTEEFRARLGRGETLADVQAEAFSVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLTVGLIQAGMKSEERRANYMCDITYTNNSELGFDYLRDNLSRKKEQLVMRWPRPFHFSIVDEVDSVLIDEGRNPLLISGEDNTEAARYPVAAKVADLLMEGAHYTVELKGNNIDLTEDGVTYAEMILGTNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATLRGKWQYVREEMESMFQLGRPVLVGTTSVESSEYLSDLLKSRNIPHNVLNARPKYAAREAEIIAQAGRKHAITISTNMAGRGTDIILGGNPRMLAKEIVEDNVLPFLSHDTPDVETEGESTSHMGLSKIKLGPSSLALLAKAAIMAKYVQKSESNEWSFQKAKSTIMESIEMSNTIGLEKLQERVAEVTEMYPLCDAIALAYVTVLKDCEIHCFDEGAEVKTLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNGEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHIYSLRQVILSGDSESCSEQIFQYMQAVVDEIILGNVDPQKPPKTWDLAKLLDEFASLGGNLLTETFKETQEEDLQSSLEQILRYGSVEVDNFVLPNMPVPPNSFRGIRKKTSSAMRWFAMCVDDTTKKGRYTNIINLLRKYFGDFLIATYLEVLQESRYHDAYIRGIEREALLKTLDMLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVEALLRYWSSPMESEEIFNTADQ >SECCE2Rv1G0092210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:346693311:346708307:-1 gene:SECCE2Rv1G0092210 transcript:SECCE2Rv1G0092210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAITSAELNFLVFRYLQESGFVHAAFTLGYEAGIHKGGIDGNVVPPGALITIVQKGLQYIELEANTDENDQEVEKDFAFLEPLEIITKDVEELQQIVKKRKRERLEIDCHKDKGKAKECIEEHEHRLGGERERERHDKEKDQEMEKDRTERDKVQEKEKEREKQHTERTEHIDKVKHGEDPLASGGPKPMDVSTTPHEIFSADVTVLEGHSSEVFACAWSPAGSLLASGSGDSTARIWTIPDGPCGSIQSSPASVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSRDGELKQTLFKHKGPIFSLKWNRKGDFLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKIGDPRPVKTFSGHQSEVNAIKWDPTGSLLASCSDDWTAKIWSVKQDKCVYDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSSIKLWEVEQGHLLYSLAGHRQPVYSVAFSPDGEYLASGSLDQSLHIWSVKEGRILKTFRGSGGIFEVCWNKEGSKIAACFSNNTVCVMDFRM >SECCE4Rv1G0285660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:845970693:845974751:1 gene:SECCE4Rv1G0285660 transcript:SECCE4Rv1G0285660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAPPPPPLSRRLVALTLALALAALVPPAAAARKIPASVTPISRDLYRSSDTLLNEIKALVARNPDRLTMDTVRASNKGYSAEMFVVTFNHVKKTVHDGSKIKILLSFGQHGRELITSELALSLLYILTEKRKIAGVDLSSFEKILEHLVIKVVPMENFNGRKRVEAGEICDRRNGRGVDLNRNWSVDWGKKEKDYNPFEEAPGTAPFSEPEAQIMQELSKSFKPHIWVNVHSGMEALFMPYDHKNTTPDGAPSHLMRSVLENVNHRNFQDSCLVGSGGGAVGYLAHGTTTDYLYDIAKVPMPFTFEIYGDEKASTNDCFKMFNPVDKITFDRVINKWSMAFLILFEEGLRTLPDGQVVSQGALDNWVPMGGEILERNMDQKSGSENGKLEGLDLGMQELKTYFRLFMLSTFLLMFMFCSRISKNRSRDSDEQSTA >SECCE2Rv1G0075590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:84966233:84967194:-1 gene:SECCE2Rv1G0075590 transcript:SECCE2Rv1G0075590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMELVPINLKSSFGPCGHAFCSSCVTMYVAAKQREDVARVKCPDCENGVPVFVTDHTEGNGDLPADGHGELFDCAICMETVPGTLKFSVSPCGHAFCRSCVAQYVAAKLDDKVARVACPHPGCEAGAVEPESCRGIIPPDLLDRWGFLLCELAVGANNRVYCAYRECSALLLADADADTKAIAEAECPHCHRLFCVRCAVPWHDGMGCKEFQKLGQDERGRNELLLRRLVGRKGWQRCPRCRMFVEKSEGCNYMKCRCGNSFCYRCASKLSAENHHCRKCKR >SECCE4Rv1G0258540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:678090563:678091684:-1 gene:SECCE4Rv1G0258540 transcript:SECCE4Rv1G0258540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQAQGLLVQEVAADGALPSRYVLKEQHGRPAAAFAQRAAQSIPIVDVGRLAEADPGEADKLRSALGSWGLFAVTGHGMTDPFLDAILGAARGFFHLPTEAKQEYSNVVDADDGRRKFQNEGYGVDRVDTDEQVLDWCDRLYLQVRPDDARQLRFWPTHPADLAELLREFSVEGEKVAKLVVTAMARCLGFEDGFFVDKVGERMPSYARFTYYPPCPRPDLVHGLKPHTDNSVVTVLLLDEQVGGLQVLRDSSWVDVPVLGDGRHQLLVVVGDEMEIMSNAAFRAPVHRVMAPGEEAERVSLAVFYQPEPDRVLEPSPELVDGERPAMYKKLQAKVFADGFWDAFALGERTIDFLKVKVDAVDPPAAAVSGA >SECCE3Rv1G0200330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852526978:852527973:-1 gene:SECCE3Rv1G0200330 transcript:SECCE3Rv1G0200330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHPHDAAMLPYVPRPPSLLVDRRYSTGAEVAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSSVRSASDSFSGVRDATFGHGGFPGPLRPDMVLEGMVGNPANPGQAMHDVPTAADGSSIDLAMLYSKFLNNQQPPTDCSLVGSVTPESGGGHVDETFDTFSASSDMSPGGLLPPAQFDASPDGFVDWSRPVSSADPTSTASPATATTMLCSDESVQAALGELNFAMDQSCFDSLGLPTEGAAANLSSWCSIVPSLSTWEEPKYDSLDSFPDDTLSLHDGILAADHDWSADCQGLEALYMP >SECCE1Rv1G0011840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:82803296:82805323:1 gene:SECCE1Rv1G0011840 transcript:SECCE1Rv1G0011840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVMHQQPAPVLEVQYRRCVAKGPGMSAVAVPEVEVEVEVAVELPRMGLANTDGATSVSAETLQFVPNIRSGSFADIGPRRYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGPDAAAYMKRHAMRFLFEDREFPQALQVDDIYLQSVEECIRSAFLQADLALADNLDISRSSGTTALAALVFGRQLLVANTGDCRAVLCRRGIAMEMSRDHRANYAEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKMPDCSTSPLIAEPEFQQATLSEDDEFLIMGCDGIWDVMTSQHAVSVVRRGLRQHDDPERCARELVMEAKRLETADNLTVIVVCFGSELGSPPPPPAAAAARPRSCKGLSAEALCNLRSWLETDR >SECCE2Rv1G0110570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:691849844:691851119:-1 gene:SECCE2Rv1G0110570 transcript:SECCE2Rv1G0110570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAARPIPSPPATVSLPASLLFLPPISRKPSASLSLSSSGRARRGARCSAANKPSPPPSAAPGNEVSGGSSSSVAKIRSEVLSPFRSVRMFFYLAFMASAGLGSLIALTQLLPALGNPARAAGVPETLKGLGIDVAAVAVFAFLYSRDRKASDAQVARLTREENLSRLKLRVGDGGRVVPLSELRGSARLVIVAGPAEFVAESFRRSRPFLKDLMERGVLVLPFPTDGNAPALEFGEEGDGEEDAEVVRKSRRLWQLTPVYTAEWAKWLAEQKKMANVTPDSPVYLSLRMDGRVRGSGVGYPPWQAFVAQLPQVKGMWSGLFDGMDGRV >SECCE5Rv1G0327040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:488972263:488973881:1 gene:SECCE5Rv1G0327040 transcript:SECCE5Rv1G0327040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPTGNGQRTRQEHRGLRGRLAGIFSSPSPNHDCCEQVAKLKEELQKQSDLKETYKARLENAQEYLRFCLEVAQEHGFLHLMSAASKSNDEDAEADDEDGDEEPESVEAPPCDPYLAATRDLAAQHGWSVAPDEIELHETIGRGTTADIHRATWRGLEVAVKWIRPELLRSNPGAEAFFAQELDALSRQRHPHVLRLMGACLRSPDSCFLVTELLSGATLGEWLHGGKERRRKQELPPLLPPARPLAQRVGRALEVALAMRHLHEQTPRVLHRDLKPSNVLLDGDSRARVADFGHARFLPDGAQALTGETGTYVYMAPEVIRCEPYTEKCDVYSFGVMLNELVTGEHPYIDTSYGPSKIALEVADGKLRPELPESDADAATGALVDLICRAWDAEPSRRPSFAAITVALRGIQEQLE >SECCE5Rv1G0363440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:793165544:793165910:-1 gene:SECCE5Rv1G0363440 transcript:SECCE5Rv1G0363440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTVVAILILQAVMVMGILSHSLAVDVNFPKCCDNCSTWSGAMFCDDVGPKCRHGCANCHLVQTSPVKTFRCGDAGATRLDTPCPPPCKKK >SECCE4Rv1G0265160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724796878:724797819:1 gene:SECCE4Rv1G0265160 transcript:SECCE4Rv1G0265160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAAMSAALALLLALLAAADSWLYEEFTTEGDVRVGYDARGQQVASLLLDRQSGAAFRSRRSYLYGQFSVQIKLVPGNSAGTVASFYLSSGDGPGHDEIDMEFMGNSTGQPVVLNTNVWANGDGKKEQQFYLWFDPAADYHTYTIIWNDRNIIFKVDDLFLRCFTRYADLPYPGGQPMAVHATLWDGSYWATEQGRVQVDWSAAPFVVSYRGYSADACVPAGDGRPLACPAGTDRWMKRQPSAAEQGTVAWARRNYMHYDYCQDGWRFPQGFPAECSRN >SECCE7Rv1G0509020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:776715555:776715866:-1 gene:SECCE7Rv1G0509020 transcript:SECCE7Rv1G0509020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE3Rv1G0182500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:629328754:629342303:1 gene:SECCE3Rv1G0182500 transcript:SECCE3Rv1G0182500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLGGHFSLALAVSHALLVLGLGSGSSALSCYSRIFSFGDSLTDTGNYVRLTAKNPSPYGAPPYGMTFFGHPTGRASDGRLVIDFIAQELGLANVTAIQTSTAPADFEHGANFAIISATANNGSFFAGKGMDITPFSLDTQMIWFRAHMQQLAQQNIGVNVLADALVALGEIGGNDYNFAFSSGMPRDRVRAFVPAVVEKLAATVEELIGMGARAFMVPGNLPFGCAPLYLRRFRSGRAGDYDAQTGCLAWFNRFAEYHNRVLTARLDALRLRHPDVTIVYADWYGAMMSIFQAPERLGITKTLLSCCGNQTVPCGKPGCTVCDDPSTYGSWDGTHPTEAVYKMIADGVLHGPHSSPLPLAKTCPPS >SECCE7Rv1G0461860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:40611999:40616265:1 gene:SECCE7Rv1G0461860 transcript:SECCE7Rv1G0461860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRGIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYQMTPESLEMYKGDRDGDEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDVLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEAKMMERLWGKNFFDPATKKWTSKNTGTATCKRGFVQFCYEPIKQIIATCMNDQKDKLWPMLKKLGVTMKNDEKDLMGKALMKRVMQTWLPASRALLEMMIFHLPSPSKAQRYRVENLYEGPLDDIYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGRVATGMKVRIMGPNFVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVALVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCSIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHTDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDIMSSDPLEAGSQSATLVTEIRKRKGLKEQMTPLSDFEDKL >SECCE1Rv1G0044410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:602468323:602469390:-1 gene:SECCE1Rv1G0044410 transcript:SECCE1Rv1G0044410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQYAVAMDPYADAFARPPPPGFFGVGSCARAANRPARRRPPPGSFGIGSCARPAADVPACRPPPPGFFGACRPRVLPPAPCEVSVPPKLLKPTAPVSCIAAASTERQRLCPDYEDDIDKNLRLTEKSAEERPLPDYLTKVQQDRVSESARASLVQWMDKFVRDHDLADGTLHHAVAYVDRVLSVRALTTDSGYELRLLGAAAVFVAAKYEDQKAVWKLKADKIARYGEFAAGKELLDMEREMVEALGYQLGGPTAHTFLGHFMRYAEGEDKTKILPLATRLVDESLLNYTCLRILPSVVAASAIFLARRALNPVDDLAWNMELTKLTGYNCLDLTACVLNMFFFSRSLICKPPS >SECCE7Rv1G0523610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882633367:882633972:-1 gene:SECCE7Rv1G0523610 transcript:SECCE7Rv1G0523610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLAATCKLSLAVVVAVFLLGSSAATAHGLRRVVSSISGEPCNEMTLYYHDILYNGVNNTKNATSAAATKPTALSTTHWKNGTYFGTLVVFDDPMTVGKALPVAGEEPAARAQGFYFYDKQESYTSWFGFSMVFNSTAHKGTINLVGADLMDDKTRDLSVVGGTGDFFMARGIATLRLDASEGTVYFRLQMDIKLYECYV >SECCE5Rv1G0352170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:707200118:707203346:-1 gene:SECCE5Rv1G0352170 transcript:SECCE5Rv1G0352170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRPAMLLVAALALAAAAGWRAEALSVTVTDTECIHEFVPYEGDSVSGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVYTIKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKARDARHRHTNESTRRRVMFYTMAEYAAFMAASALQVVYIRRLFSKNVGYNRV >SECCE5Rv1G0335180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:570965713:570966955:1 gene:SECCE5Rv1G0335180 transcript:SECCE5Rv1G0335180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNKIVVTVLLLGAAAVLIGGTLALILTAGTWKVKLKESQEKVCNKGWECSGTKYCCNQTITDFFKVYQFENLFAKRNFPVAKAVGFWDYQAFITAASLFENQGFCTTGGIDMQMMELCAFLGHVGAKTSCGYGVATGGPTAWGLCYNHEMSPDQGYCDESYTQWPCVKGAEYYGRGAIPVYWNFNYGAAGDGLKVDLLHHPELLEQNATLAFAAAMWRWMTPIKKKQPSAHEAFTGVWKPTKNDTLSKRLPGFGATMNILYGESICGRGFIDEMNVIISHYQYYLDLMGYGRERSGLNLDCAEQAPFNPAPKKDDEQQPTGGQKPSG >SECCE4Rv1G0216180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:9629880:9632415:1 gene:SECCE4Rv1G0216180 transcript:SECCE4Rv1G0216180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSQVALRRLLSPPSAAAAGRRAAPVAAEAYSGRGIPVLPRAGGAEVAAGGWSGGSGIRFCRRLCTYNERDDRALEEEVEKKFGWILKIFFIGTAGLVGWQFFPYMGDNLLQQSITLLHVKDPLFKRMGASRLARFAVDDERRMKVVEMGGAQEILNVLEDAKDDKTRKEALKALVALAKSDKAAGFLDKAGAYAIVASTPDSPEYAEIEACKTSLLNTFDQLKS >SECCE1Rv1G0013770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108311799:108312549:1 gene:SECCE1Rv1G0013770 transcript:SECCE1Rv1G0013770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVKVYGWAVSPFVARPLLCLEEAGVEYELVPMSRAAGDHRQPDFLARNPFGQVPVLEDGDLTLFESRAIARHVLRKHKPELLGCGSPEAEAMVDVWLEVEAHQYNPAAGAIVVQCIILPLLGGARDQAVVDENVAKLKKVLEVYEARLSASRYLAGDDISLADLSHFPFMRYFMETEYAPLVEELPHVNAWWEGLKARPAARKVTELMPPDLGLGKKAE >SECCE7Rv1G0506320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:747839569:747841720:-1 gene:SECCE7Rv1G0506320 transcript:SECCE7Rv1G0506320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGEHTVPLLARLPVEAAEAYTTDGSLDFDGNPALKNRTGGWRACRSVLGTEFCYCLAFYGISYNLVTYLTGVLGQSNVAAARNVSTWQATCFLMPLGGAVVADSYGGRYRTMVVSCFIGVAGMVMTAFSAYLPLLLNNGVSFRGITSSNIVSSQEFVLFLGLYMIAVGLGGLRPCLMSFGADQFDDGDPSERVTKGSFFNWYVFNMSCASLISSTGIVWLQDHYGWALGLTIPAVVLAVGLSCLVAASRTYRFQRTRGSPLTRVCQVVVAAVRKCAVELPADSSLLYDMPEDDLAMKGAERIQHTTDLRFFDKAAVVVASDKEVEAAASPAARSPWRLCMVTQVEELKILVRMLPLWATVVFFYAVSVQISSTFVEQGRAMDATVGSVRVPPASMSTFDIITVIVLVPLYDRVFVPAARRLTGREKGISELQRIGAGLAMPVLAMAAAALLETARLRAAPSSTSVLWQAPQYALVGVGEVLTTIGQLDFFYGQAPAAMKTVCTALALLAVAAGGYLSSFLLTAVQWATTTGGAPGWIPDDLNEGHLDRFFWMMAGLGCLNLMAFGSCARRYKSRKGC >SECCE4Rv1G0275980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:796156721:796160089:1 gene:SECCE4Rv1G0275980 transcript:SECCE4Rv1G0275980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPPKMLAVMATMMMMATAAALVPEAAVLAGQARALLVWKASLDDQSQHTLKSWGNMSAPCSWRGITCTGQQRRPVISGISLRGMRLRGALGPLDFSALATLSRLDLSHNHLIGSIPDGIELLGELRALLLQGNQIRGSIPLGLANLTKLRSLMLNENELSGGIPSHIGNMSNLVTLTLSVNHLVGQIPFEIGHLKHLITLDFANNNLSGSIPSNIGDLTKLATLYLDANQLFGHIPRELGHLVNLKDLGLSRNKLIGSIPNNLRNLTKLTALYFGQNQLSGQIPQDLGYLVNLKVLDICENTFSGYIPINLFNSTKLTILYLWGNRLSGQIPRELGLLVNLEELELNTNTLSGSIPITIENLTKLTRLYLFQNQLSGQIPRALGYMMNLKELALYENTLSGHIPRNLCNLTKLTILLLSRNKFSGQIPLELGYLVNLNDLDLSYNTLSGSIPITIGNLTKLNILSLFMNQLSGQIPRELGYLVNLEELDLNLNKLSGSIPNNLRSLTKLTKLCLAQNQLSGSIPQGIGKLMSLVQLQLPFNNLSGSLPSGLCAGGQLQILIVNDNNLVGPLPSSLLSCTSLVRIRLERNYLEGDITEMGAHPNLVYIDISSNKLFGKLSHRWAECYNLTVLRASKNNITGVIPSSIGKLSWLGILDVSSNKLEGQIPPEIGNITMLFSLSLFGNLLQGNMPAEIGSLKNLEYLDLSSNNLTGQIPGSIQHCLKLHSLKLSHNHFNGTIPIELGMLVNLQDLLDISENSIDGAIPSQLGSLTMLEALNLSHNALNGSIPPSFQSMNSLLYMDMSYNKLEGSVPHTRLIEEAPINWFKHNKKLCGVVTGLPPCDLPRSSEQGKRPGAILLSIITAVASFVFVIALLTWQCKKEKTKTASTDELQQTKMFAIWNFDGQDVYKKIVDATNNFSNAHCIGSGGNGSVYRVQLPTGELFAVKKIHMMEDNEQFNREIHALMHIRHRNIAKLFGYCSATQGRFLVYEYMDRGSLSTSLEGTETAVELDWPRRLNIAWGVAHALSYMHHDCFPPIVHRDITSNNVLLDLEFRVCISDFGLAKILDVDASNRTSLAGTKGYLAPCKIIYINNLIIVSSASLCFNSLMLMFQNLHTQQG >SECCE6Rv1G0379420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13735032:13740596:-1 gene:SECCE6Rv1G0379420 transcript:SECCE6Rv1G0379420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLTAIAIFVLLLTFGESSDRAEPPSSQENDFDVSSYAVHWDPDHAPSEEKGFGESSYAVHWDPDHTPSKNGFGESAYAVHWDPDHSPSKENGFGESAYAVHWDPDHAPSKEGFDESAYAVHWEPDHAPSKENGFDESAYAVHWDPDHLPSKGNGFAESAYAVHWDPDRAPSKDKGFVESAYAVHWDPDHAPSKDKGFGETAYAVHWDPDHAPSKENGFGASAYAVHWDPDHSPSKANGFDASSYAVHWDPDHAPSQQNGFDEGAYAVHWDPDNSPSKGNGFGESAYAVHWDPDHAPSKEKGFGESAYAVHWDPDHAPSKENGFGASAYAVHWDPDHSPSKENGFGSSAYAVHWDPDHAPSKEKGFGESAYAVHWDPDHAPSKENGFGASAYAVHWDPDHSPSKENGFGASAYAVHWDPDHSPSKNGFGDSAYAVHWDPDHEHSTSAVPEAQAKRQQIKVQTGMLFLKKNLRVGTVLPQGTMFGRAGSPKPVDSVSTPLESKYLATILSDYKIPHDSIKAKQVADTLRSCGKPAHKGEPHMCFSSREAMARFAAKALGVGGARAAITRIHGHETPDSTYVVAQIAQASDNAVPCHPMDFPYEVFYCHRPREVQSLTVQLKGLKDGTPGVTATAMCHMNTSDWDERYFELLGGERGEPICHYMPTNYIMFY >SECCE7Rv1G0483490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:323425961:323426278:1 gene:SECCE7Rv1G0483490 transcript:SECCE7Rv1G0483490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMGVAECQHIHRTALDYFLAVLIVVAAVVAVRLLICALVRCLKDGCVAHHHHHSPTTTDTDEDMEVWAGTELGIHSHADQHGQQERQPGAVEVVVPPPARTTA >SECCE5Rv1G0305810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:77307155:77307422:1 gene:SECCE5Rv1G0305810 transcript:SECCE5Rv1G0305810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKVECDKCGKITWKGCGKHVASVYEDIEKGKHCTCKAWPGVDTEGSSSNTKEGEGKA >SECCE4Rv1G0252480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:614687148:614687506:-1 gene:SECCE4Rv1G0252480 transcript:SECCE4Rv1G0252480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMKVFVVVFLLLVATGFQGAVQVALARECTSDSHKFVGLCLSDTNCASVCLTEFFTGGKCNRRHCVCTKGC >SECCE6Rv1G0404810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:523810521:523815954:-1 gene:SECCE6Rv1G0404810 transcript:SECCE6Rv1G0404810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRLLLLALVAAAVAVPPASAAVASIDLGSEWLKVAAVHLAPGRAPIAVAINEMSKRKSPALAALADGNRLAGEEAAGITARHPAKVFSRMRDLLAKPFPYARALADSLFLPYDLVQDARGAAAVRSDDGQVYTVEEIVAMVLHYASGIADAHVGLPVRDAVVAVPPYFGQAERRALTQAAQLAGFNVLALVNEHAGAALQYGIDKDFSNESRHVIFYDMGSGSTYAALVYYSSYSAKEFGKTVSVNQFQVKDVRWNSKLGGLEMEMRLVNYFADQFNKQLGNGDDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDIDFRSTITREKFEELCADLWEQALTPIKDVLTHSGMKIGDIYAVELIGGATRVPKLQAKLQEFLGRSELDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYGFVFEIDGPDYVKDESTDQVLVPRMKKMPIKLFRSIRHTKDFDVSLSYDKASELPPGVSSHKFAEYAISVLTETSEKYGSRNLSAPIKANLHFSLSRSGIISLDRAEAVIEITEWVEVPKKNVTLETNTTDETLSAESGTTDSATDSKENSSSGSDADNSSTTNDESNVQDTITEKVLKKRTFRVPLKVTEKTAGPASTLSKELYSEAKSRLDVLNKKDAERRRTAELKNNLESYIYSMKEKLEESTDMLAVSTEQERESFTEKLSEVQDWLYMDGEEAQANEFQERLDQLKAMGDPILFRMSELKARPAACGSARLYLTELQKIVKNWETSKPWLPKKRVDEVVSEADKLKTWLEEKEALQKSTPAHSSPTFTSEEVYQKVLALQNKVSSVNRIPKPKPKVEKKPTKEEEPASKEKVPESASSESESAEKSSESDATEPESHDEL >SECCEUnv1G0552360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:249563238:249563768:1 gene:SECCEUnv1G0552360 transcript:SECCEUnv1G0552360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGPIHEILMLFGGFVLLLGGLGVVLLTNPIYSAFSLGLVLVCISLFYFLLNSYFVAVAQLLIYVGAINVLIIFAVMFVNGSEWSKDKNYWTIGDGFTSLVCITIVFSLMTTIPDTSLYGILWTTRSNQIVEQGLINNVQQIGIHLATDFYLPFELISIILLVSLIGAITMARQ >SECCE4Rv1G0256870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:660527262:660528849:-1 gene:SECCE4Rv1G0256870 transcript:SECCE4Rv1G0256870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSEESGSGATSKYNNDDQIDDLLQLIQKIRDEILRKESILQERSAQCDMDIQTLLSEVKMRRKVVSIISKYKETCSDINSSCPRDGDQSITERKKLREALLRQCEELDNICRGAHWILPRYTVLPSVSDGMYHASVRLRCPDFEMSLTGGLRPTPREAKCSAAANMILELHKKAEEQEH >SECCE2Rv1G0125650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:842516812:842521414:-1 gene:SECCE2Rv1G0125650 transcript:SECCE2Rv1G0125650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] MSRSGSGSGASPCGLDREFAPQIAQLLAAPPPQSAQEYYEELIQSKKHDGVRVNYKGDHGKGVCANKDFAEGDLILKDQILVGAQHSINKIDCVVCSYCFRFIGSVEFQIGHRLYFQSIASSIGCRSERHCHGSDVGSSTCSSGVTKEKSSTLPQEVMESLITGDVSLPFSDHFALPEIVACQGCEDERYCSQSCADSDWESYHSLLCAGPNTEPPRRSALHKFVEHANETNDIFLVAAKAITFTMLRYKKLKRQNDFQNKSAESNFSLLMEAWKPLSMGFKKRWWDYVALPEDVDASDEDSFRQEIRDLAFTSLQLLKDAIFDAECAPLFSLEVYGHIIGMFELNNLDLVVASPVEDYFIHIDDLPDDKKEEAEKVTAPLLDALDDDYAVPCDGTAFFPLQSCMNHSCCPNAKAFKRDEDNDGHAVIIALGPISKGEEITISYIDEELPYEERQAELADYGFTCTCSKCQEEKPN >SECCE6Rv1G0416720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649892238:649893060:-1 gene:SECCE6Rv1G0416720 transcript:SECCE6Rv1G0416720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLRVSPTLSGDAFVRFHVAWYDGYSKKSCIDFSCPGYVQVHHNIGPGSRAQPSSIYGGEQKLVDIQIFKERTSGYWWVSVNRIPIGYWPGGLFEFIRYKGDFAFWGGQVEGPTAASNSPQMGSGHFAWEGFGKAAFIQGIVIADDEGRFVTPDKSRVEHGSSDPYKFTTGGFEVRKDLGMRIFYGGPGGSRRAS >SECCE3Rv1G0168150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:215276645:215277487:1 gene:SECCE3Rv1G0168150 transcript:SECCE3Rv1G0168150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGSYPNISPLHEALRGTTAMPILKDFVALESQSSDSPSIGNSSPNIHAPPEATMISVNDRSTIPYQSHTDLAPLPPQLGDVIPNPLSLDGKEMFTHAQFLTNPFWNDFNSSHQRQNLSMEASSFTSLLQTETKAIVHSQLTTMGEPDDGLIFKMDIKHVSEVQNIQDGRLLFDVPYGVPTPGSPVTQSSHVPREDKIPLVGPDAISDSGITHGPTKSRKYTCKICNATFNSSQAYGGHMSSHSKARMKILQS >SECCE3Rv1G0156800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:75781349:75783177:-1 gene:SECCE3Rv1G0156800 transcript:SECCE3Rv1G0156800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPAYAVLAAAFRTKLPVSVPRWLGVFASRTPTVRQNASEVLPDAALPPRFYSGIVPRGFVLGSPRLCHTSASEEDSPDVRVGEVLRVLKSCAADADLGKDLRQFAHEMDEDVVLKALQKQRSNWQVALLFFNWAAGLPSYEHGPRTYTEMLDILGRMKKVRLMRQLFDEIPEARRGLVVTNRMFAVLLNRYAGAHKVQEAIEIFYKRKDYGFEVDLVGFQILLMSLCRYKHVEEAEALFLQKKDEFPPVIKSWNIILNGWCVKGSLADAKRVWNEIIASKLKPDLFTYGTFINALTKAGKLSTAVKLFTSMWEKGINPDVAICNCIIDQLCFKKKIPEALKIFGEMNDRGCQADVATYNTLIKHFCKIRRTEKVYELLDDMEKKGCSPNNMTYTYILKTTEKPRDVMNLIQRMEESGCKLDSDTYNLILNLYVSMKYEKGVQQIWEEMERNGSGPDQRSFTIMVHGLHSQGQLDQALQYYTTMKSRGMTPEPRTRILVKAIHMKKDGPETEDQSPSMTWKNLKLDRRSGLFHVPK >SECCE3Rv1G0181290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:610179995:610180892:1 gene:SECCE3Rv1G0181290 transcript:SECCE3Rv1G0181290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRLLAARRFRRRAVSTIRASATAPATPCGYGEDEGPFFDLDLSCCSAPASSAESSSESEDSSCAGEVDLVILLQRSCSASPSYDERLSFSRCGWGGAPPPAKFCASETAPSDAAARFSSSRRGKLRTLSFGSAKAAFYGGRASFSRSSNSTRSARLFAGYAHGSPDQEQEEARRAPPADVIRRCLSKISRRFRRVAPGAAAAASVDARLRKSRSVSAAQPSPPRRDDSLVEKQDGIAGAIAHCKESIHRASMSERDSSLLRSRSDPGT >SECCE5Rv1G0336330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583712136:583713404:-1 gene:SECCE5Rv1G0336330 transcript:SECCE5Rv1G0336330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQDQEKLVQVVAADVGLAAPPSRYVLSEENRPTNVAQQAKLVIPIVDVSRLAMPGDVEEAAKLRSALQSWGLFVVTGHGMPKEFLDEILEATRKFFHLPLEEKQKCGNVIDGVKFQNEGYGIDRIDSDEQVLDWCDRLWLQLQPEDERRLQFWPQNLRDLLHEYTLESGRVTMDVLKAMAKLLNQEENFFINMVGERFKSYSRFTYYPPCPRPDLVNGLKPHTDNSVITLLLMDKDVGGLQVLKDGHWVDVPVLGNDLLVVVGEGMEIVSNAIFKAPWHRVVTSADKERLSLAMFYQPEPERIIGPPGVLVHDKRPAMFKKCLVQTLADGYWDAFAAGDRTVDFLNVRINAEAETELEGRAVVANN >SECCE4Rv1G0248040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:565892398:565895913:1 gene:SECCE4Rv1G0248040 transcript:SECCE4Rv1G0248040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGQSEHTIDLPNTGNHLSEGSKDSVNGLSSRSLLSSSPEPEQIPVDFELLWRLRKYLVLLGVLAVSVTYNAGLTPPGGSWTLNTDGHDAGDPVLHVGYSLRYEVFFYCNATAFAASLVLVILLLSKSVTKQNIWLRSMQLTMLLDLFSLLGAFAAGSCRARKSSIYIWILVFAVFVYVLIHTLVSRRVIPKSLRKKLQRMMDKIRSRRASSASSTQASSTLEEDVEEDRKFILMLATFAATITYQAGLNPPGGFWAENPSYKHPPATSILRSNYLHRYNIFISCNATSFVASLVTIILLLSPELSRHGIRSKAVIVCVVFDLFGLIGAYAAGCCRSVRTSFFVVLITVIVWVCFAVLAAAFVYKPVTDWLQKIKDHKCFNKIGRLFSLESGKKISGNSEQVHSHATGQHTTDLATVTPEEGSASETENRVQNIKEDGILTEHQHADSQQIANNEEAEPSSEQPSANDPQPGNTTNIVYNPEDQCIDRQSVANDAVSDTGHPSIKCHQATNTVNQMPSTDNHSADNQQDANKKEQLSPNNGPKTANIVDYMPNKQVADTKGQSASTDECKDAVIVVDDSSEQTTSDNHCNGATNDHPVQQVHSDGPMGTSEIEMVGSNNTVVPSENGNVDKKGGPTEDSSRKNSDDGPTSKHLKKSRTYLLLLAILAVSLTYQSGLNPPGGFWSRTENGHSAGDPILEDNHHPRYIAFFYLNAVAFVASFVMIIMLLNRRISEKATKRFALQIAMIVDLLALMGAYVMGSSREARNSMHISLLVGLVLAYVGIHLLIAHVIPERWKKVVAEKPKLFLCKHLWPEPHQAVENQTGDVDGKEWERRRNLLLILAVLAATVTYQAGINPPGSVWSDDTKVSGTPGNPILQHNHLKRYDVFYYSNSATFVSSVVITILLVNKESCERGIKCYALRVCLVVGLVGLLIAYAAGSCRKAKESNFLIIIAVAVLIFLVIQVLVLSSTHDAVWGPLSKSLEDLLKRLLRPKESSQEAASERQESTCHDEKEKRKRHKYLMLLAILAASITYQAGLNPPGGFWSGDSKHVAGNPVLHDIHPWRYRAFFIFNGISFMTSIVVIMFLLNKSVRKKGVLLEVLHLIVILDLLALMTAFAAGSCRKFRTSMYVYGLVIAVAVYLVVAIGVASSIAKCLKTKGKKWVILPKTS >SECCE6Rv1G0446230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:843357804:843360406:1 gene:SECCE6Rv1G0446230 transcript:SECCE6Rv1G0446230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAATLGSSPLVSISVSAAGMNSSGLSVSFGARRVPGISVQIHSQRRSMVAAAAAAARGEEGAVKTFVEEMRAAAMRLHTKDQASEGEKELKGPSLHEQEPNLEAYLRFLVDSKLIFQTLENIVDRAAVPCYAEFRNTGLERSEALKKDLKWFGEQGHTIPEPSAPETTYASYLEELSEKDQQAFFCHFYNLYFAQSAGGRMTGKKIAEKILNKKELEFYKWEGTLSEMLQNVRIKLNQVASSWTQDEKNHCLEETETAFAYSVDRLRKIFT >SECCE4Rv1G0244020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:508703482:508706894:-1 gene:SECCE4Rv1G0244020 transcript:SECCE4Rv1G0244020.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKAGHSSSRLQHRHTRSVASTHGNLSDITPRFSYNKPTTNQDNIPHRRYSLNLAEQFQDHPMITTAEPNEKAMSKPVAELVWEIAALEEEVVRRELHLLSLYRATFDQYLGISPRVSGQVGQETHRQGSRKKADEGALRLRDIKESASYNLPTVSDSRHYSQGLPRSTSGHSSLANFLSASIAEYVPRIACKLSEDILRCISAVYCKLASSPSQDVDSETLSTPSFSSASSTFSLKHRVDSWSPRLSCNVDASSEKYGTLNENNDQYNGMIIFPRINIDADKFDYASKMLETIRALIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQDKRMKSSDMILKAAYDVGGHSVNSQIIQNSILGCQSHRPSLWVRTLFTPTKKSASGSSTHPYALRQPEPLAHFSLSTGAFSDPPVRLYTAKKLYHQLDQAKTEFIRANVMVRKQIIFLPKVLHYYAKDATLELPGLIEMVCKNMPEAQQKEINKCLRRRIDRCVEWLPYKSSFRYTVHRNLAE >SECCE5Rv1G0309670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:157647042:157647968:-1 gene:SECCE5Rv1G0309670 transcript:SECCE5Rv1G0309670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATDTISGDHFAGACGVSVGSACSTPFVSAPSSPARDPSFHAAAGYCFSAPASPARGPGDCDYDFDFDFTSQFPSPAAAAMSSADELFHNGQIRPMRLSSFLLRPQAPPPTADRPNGDRMPPQEASSPLDERGRFRSRSVHRRSRSASPFRAHWMSPLSSPAPAKESVRTPTSASRSSSSSSTASSGSSSASRSCGRWRFLKKLIHPNKSDGSKHQRPPTPACPKTNSPLAAPNKNPTPAVGKRGRRSSAHERLYEARRAEAEDMRRRTFLPYRQALLLGCLGFGSRGYSAMHGFAAATTAKSRS >SECCE7Rv1G0482620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:309042569:309043225:-1 gene:SECCE7Rv1G0482620 transcript:SECCE7Rv1G0482620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSRSSHRPSCMTRSLLTPCKRALARLFRIPASAALSIRAFRFRNLSKSTAKKSPRHRRRAGRSFRSVRAVFWPLIPPSSTPAASTESPAARRVVAAAVPEVPVPSPETPAYVKMVARLRSRTTTRIGEEKEEACRSFESCLMEILTEEGKARDLQDVEELLQCWERLKSPVFVDLVCRFYGDLCKDLFSSAAGGEDGGSTDQDVGQVSMSAALLV >SECCEUnv1G0551370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:233276804:233277298:-1 gene:SECCEUnv1G0551370 transcript:SECCEUnv1G0551370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFFLLAFLALVVSTAIAQYADVPSPAAEGPAVDGFGEWVAISPSASGSEQCEQEQPKVDSCRDYVMDRCVTKDMPLSWVFPSTWGKRSCEEVRNRCCEQLRQTTPRCRCKAIWTSIQGDLSGFLGFQQGLKARTMQTAKNLPSQCNIDPKYCNIPITSGYYW >SECCE4Rv1G0269570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749613663:749620260:1 gene:SECCE4Rv1G0269570 transcript:SECCE4Rv1G0269570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEDDSRNGGVEAFLASCAASGDEAYGAAMAVLDRLQAPATRAQARRLLGAVRRRLADDPAAGREGEEERFRAFNLRIRDVVLQGSQQRKKLIMMEIPSVLGPGDGSITLYEGINHHPDPHSIFRNKTVAQLGCGNGWISIALAEKWRPSKVYGLDINPRAITIAWINLYLNALDDDGLPIYDREGKTLLDRVEFHESDLLFYCRDNKIELDSIVGCIPQLLKPNPEAMSKIITENLSKEFLYSLSSYYALQGFVEDQFGLGLIARAVEEGMAVIKPTGTMIFNMGGRPGQGVCECLFLRRGFRITKLWQTKIMQAANTDISALVEFEENSPHRFEFFMGSVGDQPVSARTALAYIQSGGHVSHALSVYSCQLRQPNQVKKLIEILKNGFHEVSRSLDLSFADDSTAHEKITFLVYLASFLNATKLNPCQPPAGCLNFRNLVAEFMKSYHHIPLNPDNFVVFPSRAVAVENYLRLFSPALAIVDQHLTRHLPMQWLGYSEIEGRIDCNSAEGVITVIEAPRQSDLLIELVRKLKPQVVVTSIAQFEAITSAAFSNILSATEDVGCRLFLDISENLEWSCLPSSNGVSKYLVGNTLPSHAAILWGLVKNQVYSDLEVAFAISEDASVCKALSQTIELLEGHTSVISQHYYGSLFHELLAFQIGDRHQHAHQVRQAAEKIPEKMIQFSNSAMSTLKEAEFFTPDSKDSSVIHMDLDRSFLPLPSPLSASILESFARRNIMDFETDVRSSIRELVGNNYGFPGDSCPDVTTNNRSSGFSKEIIYGSSCLTLFNKLVLCCMREQGAFLFPMGTNGHYVSAAKFMNAKTLTIPTSVHSGFKIEPAALEAALDDAYENENVHWPWVYISGPTVNPSGSLYSDDEIKKLLSVCAEHGARVVIDTSFSGMEFQTGDGCSLWNLDRFSYNIASCRALPVFMLGELSLPLTMSGFDFGFMIYIDPTLIKHMLTSLSQPHRTLKYTFRKLLCLKNKRDQRFSDLIEEQKETLKHRANKLSKTLESCGWDVVGCHGGISMLAKPTAYIGKSFKIDTFEGELDGCNIREALLRSTGLCISSSKWTGIPDYCRFSFALEISEFDRAMDCITRFRELVLGDNAQMNVNSS >SECCE4Rv1G0253110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:622200717:622201419:1 gene:SECCE4Rv1G0253110 transcript:SECCE4Rv1G0253110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVCKAEGAAPASLVKSGAPVAFRALHSPAVTAARRPYNTQISRYDGDGDDYSGRDLVIPSFFSQDVLDPLGRLLSLTETGLSSTAGAGASRLAHWVAKEDDGAVYLKVPMPGLTKEHVEVRADKNILVIKGEGEKQRWDGDDDSKVPRYNRRIETPADRYKMDKIKAEMKNGVLWVTLLKLKEEERKDVFHVNVE >SECCE7Rv1G0515330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839411509:839412267:1 gene:SECCE7Rv1G0515330 transcript:SECCE7Rv1G0515330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKACTNLTEVVRSVRLFKIDGFRMTRGIGSDYSFKSRWSVDGYDWEVRIYPRTDEELNRSWVSLRLIFLSKARTCAVRTILRCCLVDPRGRLKPSEEGCESIIFRKPQDSSSQLPVISIRCEHLELSGYVKDDSFTVQCTLEVFKELPDVATVSLKELHLPPSNLHLQFAQLLQSETGADVTFLVSGESFAAHKLILAARSPVFMAEFFGDMKEKCSQSVEIEDMEATVLAYSRPFFSSSTPTPCQNSDNR >SECCE6Rv1G0434610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:766781646:766782802:-1 gene:SECCE6Rv1G0434610 transcript:SECCE6Rv1G0434610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGSSGGGGGAGDGALAVVPVEAKPQLQPQRQPALDVPPFLIFEKCRAPELLDCHACHLPLKPPIFACDEGHLMCSSCRGAHGEDCGHVAAHCRLADAYAGAVRLLCDYVKFGCEAGLVVYHDAADHRRTCQYAPCYCPERAGPGGGEGGCDFSGSRQMLLDHISKEHSRPIIVVRYGQPGKLSLPLTRRWHVLVGEEDMADRQRNVFLVVVAERDDMGAAVSLVCVRADDGSPGAPQFSYKLAVEHTRSGARVTFELPLMTSSSLPGGTPWPDEVTSLCVPKAYLSGDAVPLGIHIDKLVPPPLPPPPSDTTPPAPPTAAVFKFTATDQGNKKRKSSNPRKL >SECCE5Rv1G0319090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:370789523:370790266:-1 gene:SECCE5Rv1G0319090 transcript:SECCE5Rv1G0319090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNNKAVAAAVVLAAVVLMMAGREAAALSCGQVDSKLAPCVSYVTGRASSISKECCSGVQGLNGLARSSSDRQAACRCLKSLATSIKSINMGKVSGVPGKCGVSVPFPISMSTNCDNVH >SECCE6Rv1G0440670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:806155078:806156257:1 gene:SECCE6Rv1G0440670 transcript:SECCE6Rv1G0440670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPAASSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLLTEVPKYKQITPSVLSERLRINGSLARRAIKDLMERGLIRMVSIHSSQQIFTRATNT >SECCE6Rv1G0438710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:791888776:791890182:-1 gene:SECCE6Rv1G0438710 transcript:SECCE6Rv1G0438710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVFGIPISVQTVIATGEYKEPITQKDVADYTMKNINAGGKDLEAQKFVDNLKERYGNGISVKCLMYNATGTTLSLAVYHDWHGHIYDTPYPSDIQNGQWGAFLHVHPTGAAAGSAGAVVYRSKIPSSSSSCDWLFSWTVPYIGGNGVYTEIREEGHYPSVGSWEYIYNVKLANSNLSSTDKNYGYVSKTDIGEGSTMNARAVFQLPY >SECCE2Rv1G0114890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:740913313:740915645:1 gene:SECCE2Rv1G0114890 transcript:SECCE2Rv1G0114890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGIARGRLAEERKSWRKNHPHGFVAKPETLPDGSVNLMVWQCVIPGKQGTDWEGGYFPLTMQFDDNYPTTAPSCRFPPGFFHINVYDSGAVCLSILGGGWKPSITVKQVLVGIQELLDDPNPNSSAQHRCYELYKKDMPEYRNKVREQAKRYPSRM >SECCE3Rv1G0160230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:103689990:103691621:-1 gene:SECCE3Rv1G0160230 transcript:SECCE3Rv1G0160230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVDEEDDTILTSLPNVNYLELASCNLTKIPRALRYLGGFISLDLSNNQINGVIPSWVWESWKDQLNFLNLSHNMFTSLERSPSLLHMPYLSILDLSFNRLQGSIPIPVTLYFSSTFATYNEVLLDYSNNNFSSILDNFGKYVQNVTYLKLSKNKLAGHVPSSICSAIKLTVLDLSYNNFNGSIPSCLIGSGNLKILKLRENQFQGMLPEDIRDGCMLQTIDLNGNQIEGKIPKSLSNCQGLELLDVGNNQIVGSFPSWLGVLPHLRVLVLRSNQVNGSIRNIKGDRTISNYFASLQILDLASNNFSGNLPKGWFNELKAMMKNVSDEGQVLGYETDSSSGFYQDTVTITFKGFDLSFTKILSTFNAIDFSNNSFYGPVPESIGRLVSLRGLNMSYNNFTGQIPFQYRNLSQLESMDLSWNQITGEIPQELTSLTSLEWLNLSYNNLYGRIPQGNQFSTFSDSSFEGNAGLCGVQLSKHCDNQSSVAPSEAVPPESDSLWQDKLDVILLFAFVGLGFGVGFALSFLLRLYCHMEGWVCKQA >SECCE7Rv1G0516880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:849730199:849731426:1 gene:SECCE7Rv1G0516880 transcript:SECCE7Rv1G0516880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRISAGFRSSSSLPSGSETAAERLTDDLLVEILSRVPARSLCRFKCVSKHWLGLTNDRSYRRKLPQTLAGFYDAGQFVDSGVPFTNITGSRHLTYPAFLPGRRRVTFMDCCNGLLLFSTDNKLWYVVWNPATEEWAELPHSGYSGDVSSINLCFDPAVSPHFHVFLLPAVEVDDQQDFCITGVHVYSSETGSWVHKEKRWSGNIDVGYDPSFIYLNGYLHFCAIVDGSSRQLAAVDKEGGARTDFRVPDGLDIGFIQQSQGCLHYAGFDRDGNDDDVDRLLVYVLKDYDSKEWILKHSVETSHLFAGRDIVSLYESFDWIAIHPECNLIFFTLAWEDITFMCYDMDRGQVKVICNLEESQPPYFPYVPLYEELQSLHK >SECCE3Rv1G0212180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954753713:954754942:1 gene:SECCE3Rv1G0212180 transcript:SECCE3Rv1G0212180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRRLSAAAALTSVAAVLGNDDLLREILLRLGVPTCLVRAALVSKRWLHHASEPAFLRRFRERNPPRILGVFASYPETPYKFLPLPQPPELTALSRRVASTCNDAFAAHIYQWVEHCRNSRLINVFFQDGKFMHSLLAPLHAGESEEVLAQVPLPRRLMRARTQGLFSQTFLPEDGGRDGITLVTLWKVRREVRAEVRVLGSGGWGVPTTAVTEVDLPYAETSFIKMLPPVHGKVFMVTGFGYTLGLDLARASFFTLELPPVGVRSNYLLSCAEGSGIYLVSANGFQLSVWLHPMTGDDNDAGWLLVDTFCVRKAYARSAGHDWVWMAQDGDFLGVAAVGDNAEFVILDYPRYGVVLYVHLGSRVVEKLYEHQALLDHCFCDIPISPFMMIWPPVFPALKGGHDQEK >SECCE3Rv1G0209380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:938374023:938374370:1 gene:SECCE3Rv1G0209380 transcript:SECCE3Rv1G0209380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMGKGLVGTNKTVICLWLVMLLLLSSEDMGSDGCEGHDSQTWDGNMCVKHGTCNVVCQKEGYDRGRCYVTVCRCYKNCTGLPI >SECCE1Rv1G0033170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:463407225:463408641:1 gene:SECCE1Rv1G0033170 transcript:SECCE1Rv1G0033170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFDKMKVEFTVHDESVQALAEAVHDSGEVPERYIRPEIDADPLATDAGSHALPTIDMSRLLDPEFSAEESAKLGSACEHWGFFQLVNHGVDGGLLRRTKADVAEFFGLPLEEKSAVAIPPNGMQGFGHHFVFSEEQKLDWVDLLFLATRPADERSLDFWPTKPSTFRDTLDKYTTELANVAEQLFGFMAKDLGVDQEALLGTFKGLPQCVRINYYPPCRQAGKVLGLSPHTDGVGMTLLLHANDVQGLQIRKDGEWFSVDALPGALVVNIGDVLEILTNGKYRSIEHRAVVNPDKERITIAAFHSVRLPCTIGPFQELGDARYKTVDGLDFTKGYFAAKLEGRRYLESLKL >SECCE2Rv1G0100330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:539495805:539496911:1 gene:SECCE2Rv1G0100330 transcript:SECCE2Rv1G0100330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEHFLDMLNFGVSPQVPGSPVGEQEMPPTQEASASAKAKSTKGKNWSSQEDELLVAAWLHTSLDAVIGTDQSSNSYWGRIYDYYSTRKKVSWPVRVQNAITCRWSTINEQVSKFCGCYQQILNRNQSGVTIAQQQAEALVLYKSKDPKNRPFALMHCWLELQKHPKWENRVVSKGPLKKQKKTSDASPGTTSNDEDFGCTDALDTEIRPDGYKRDKERLRKVKASASDALAPKLSLETVWAQKLEKAEVKEAAKNARYERAFELQEKQIALQERQMENQERERQMVNQERERQMTIQEREMAQKQFELEEKIMSMDTSTMSGAQQQFYIDKQNEIVARR >SECCE5Rv1G0376800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873940252:873944784:-1 gene:SECCE5Rv1G0376800 transcript:SECCE5Rv1G0376800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLVRAVRRRDLASPLAALTANVQSTYAAANVCSRWGTFARTLSAKPIGNEVIGIDLGTTNSCVAVMEGKNAKVIENSEGARTTPSVVAFSQKGELLVGTPAKRQAVTNPQNTFFGTKRMIGRRFDDPQTQKEMNMVPYKIVKAPNGDAWVETTDGKQYSPSQIGGFVLTKMKETAEAYLGKSISKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVREYKNTENIDLSKDRLALQRLREAAEKAKIELSSTTQTEINLPFITADAGGAKHLNITLTRSKFESLVNGLIERTREPCKSCLKDAGITTKDVDEVLLVGGMTRVPKVQEIVSEIFGRAPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLISRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFDLVGIPPAPRGTPQVEVTFDIDANGMVTVSAKDKATGKEQQITIRSSGGLSEAEIQKMVQEAEAHSHKDQERKALIDVRNAADTTIYGVEKSLAEYRDKVPAEVVSEIESAVAELRAEMASDDAEKIKAKIDAANRAVSKIGQHMSGGSQDGSQQGGGGDEAPEAEYEEVKK >SECCEUnv1G0528480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:4358696:4360246:-1 gene:SECCEUnv1G0528480 transcript:SECCEUnv1G0528480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVASLPPPAPGPAALRRRRFSPASASLRRAASGGGSSWRSERRLMSELERTVTAGAAERVIRGYVGTKSERAALAALSRLLMDSDPLAVPFYEAVTQARWFKWSSIHAAAVAALLEANGAAEESRSLIADSAARLESGAAELAFFYCDLMAAFSSRGLKRRAMDFYAQLRAMPTPLAGGGKTYMAMIKSLCMLGLAAEAEEALREMVSLGYQPDAFQFGLVAKCYGKAGQLAEMERVIASMSDAGIRLGTGAANIVLSCYSACRDHGKMLMWLKKMRKLRVMPTTKAYNFVLNSCPTVVSIARQLDPSLPLSAVQLVRKLKSASPWPAEADVVQELLAASSVLNKAMVWSETEVKLNLHGFSITSAYVLMLQWVDAVKGGRALPLEVSVVCGVGKHSDVRGEPKVRELAQEVLSRMGSPLRLSARNKGRLVAKRDRVKQWLATEWTSVVPEESTDQSPNASDQQPFLPNLLRKLGQFFSSFVPVSR >SECCE5Rv1G0339580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:609124610:609126235:-1 gene:SECCE5Rv1G0339580 transcript:SECCE5Rv1G0339580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGEDTQQAVAGRGGGHVLLLPYPSQGHVHPMLQFAKRLAYHGLRPTLAVSRYILATCKPDAAAVGAVRLAAVSDGCDVGGFGECNDVTAYLALLEAAGSETLGELLDAEAAEGRPVRAVVYDAFLPWARGVAQRQGAAAVAFFTQPCAVNVIYGHVWCERVGVPVEAGGSTVVGLPGLPALEPEGLPWFLKVGPGPYPGYFEMVMSQFKGLELADDVLVNSFYELEPEEAEYMASAWRAKTIGPTVPASYVHDDRLPSDTKYGFHLFELTAAPCVSWLSTHPARSVVFASFGSLSNLDTAEMREVAHGLLDAGRPFLWAVRESESHKLPAGYGDAVAARGGKVVSWCPQLEVLAHPAVGCFLTHCGWNSTSEALVAGVPMVALPQWTDQPMNAKYVEAVWRAGVRVRPAAADGLARRAEVAGGIEAVMAGERSGEYRRNAAAWAEKARAASREGGSSDRNIAEFVAKYGSSSK >SECCE6Rv1G0437940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786690943:786692241:-1 gene:SECCE6Rv1G0437940 transcript:SECCE6Rv1G0437940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITRPHIDASYSAMAVLLPDDVLREILVRLHDAATLFCCAATCRRWRHLIADTTFLRRRWPDHSCASFIGFFNKQRHRYQGAKVLVPTPWSALGRGRRAISSFVHDVPASVLNHAVPLVSHHGLLLVHLLQSRGVDDWWVDRLAVGNLLVGTCDILPPIMDSSMDLCGYKHYGCAVLSGDDCGSGDVEEPPSSSSLYKVIIITTGRESQIGFKFDVHTFSSGNESWSKHTKCSSNTIDSDVFGSLCQSDTIVHHGAAHWLINSWTARCFCLVKLNARSGDISFTRLPVPAMYMEDRACLGLATTDGALSVLRMQEAASPKLEIWRQQEDHQSAHGTSQWICTDTVELIQPRRKVIRERDMLYVLGEKCGKLLVSDKRRRVYSADLETGTVEAVADWRGLHPNIPLDVMPLEIDWLAFFLSRLGTARYVYLV >SECCE4Rv1G0245120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:525010875:525011790:1 gene:SECCE4Rv1G0245120 transcript:SECCE4Rv1G0245120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Caffeoyl-CoA 3-O-methyltransferase (Fragment) [Source: Projected from Oryza sativa (Os08g0498400)] MASNRSAGEVKDIHSSETTKTLLKSNDLYDYMLKTMVYPRENEFMRELRQITSEHVLGFMSSPPDEGLLLSLLLKVMGAKRTIEVGVYTGCSVLATALAIPDDGRIVAIDVSREYFDLGLPVIKKAGVAHKVDFREGPASPILDKLIADEEEGSFDFAFVDADKYNYGNYHEQLLRLVRVGGVLAYDNTLWAGTVAMPDDTPLTEEDRKKRDSIRGFNAMIAADTRVEPVQLPIADGITLCRRVA >SECCE3Rv1G0206900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:913014999:913022025:-1 gene:SECCE3Rv1G0206900 transcript:SECCE3Rv1G0206900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIISRELEHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVALFIDERHDLVILVVNTIQKDLRSDNYLVVCAALTAASRLIGEEAIPAVLPQVVDLLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEDPNAYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKSASGHMYTVLGDIFRKGDTASNIGNAILYECICCVSCIFPNSKMLDAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIEYMISITDHHYKAEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEEDEGADSQLRSSAVNSYLRILGEPKLPSSFLQIICWVLGEYGTADGKHPASYIIGKLCDVAEAHPTDDTVRGYAVSAILKIFAFEIAVGRKSDMLPEFQSLVDELSSSHSTDLQQRAYEVQALLGLDKQAVESVMPIDASCEDIEVDRNLTFLNSYVQQALDNGATPYIPESERSGVVSVGNYRAQDQHETSAHALRFEAYELPKPSLPTATSQSSVSLSTTDLVPVPEPSHYREDHHQARSQQSGNAVSGEFGAKLRLDGVQKKWGRESYASSSTPSSSTSSQQAANGGSNSDGGGLVTSQARESSYGSKSQQQGTEVSAEKQRLAASLFGSSAAKPNRKGHAGRKAAKESSSTEKVTPQPAKEQVTPAAPPPDLLDLGEEPVSSSAPSADPFSQLDGLLGPASASPALSGTSAPSASNTPDLMSIFSDDVQTGATGASTEPAQKGATTKKGHSLQDALQKDATARQVGVTPTGNNPNLFKDLLG >SECCE5Rv1G0311840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:209906273:209928800:-1 gene:SECCE5Rv1G0311840 transcript:SECCE5Rv1G0311840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G08790) UniProtKB/Swiss-Prot;Acc:Q94JV5] MLCLLLLVMALASFAVSLPIGASAAVLRPAMSLSSISAGSQARVGVVQMTSVGDLDANYATCSRLTKEAAAAGVKFLCFPEVFSFIGSKDGESVKLAEPLDGPIMQRYCSLANESSMWLSLGGFQERGPDDSHQYNTHVLIDESGKVRSSYRKIHLFDVDVPGNMVYKESRSTTAGDTVVAVDSPFGRLGLTVCYDLRFPELYQCLRFKHQAQVLLVPSAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDRLSTGFAVADIDLSKVEAVRTKMPISEHRKFESIWKSSSL >SECCE6Rv1G0411590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:608575717:608577993:-1 gene:SECCE6Rv1G0411590 transcript:SECCE6Rv1G0411590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAENKRPRSSGGGVERPLSRKEILGRKKAMKELIRKAVAEKDHLAQFPDFHKYERSGFSIYLESRHGNQLPVPTRKYIQNLLKANMEGTYGSEWPSEEKIKRREMVAPEARYILICQYADSDIAKCSMKQDSGVECAHVTYRGGRLLGFVHYRFVVEEDVPVLYVYELQLESSVQGKGLGKFLMQLIELIACKSQMEAVMLTVQKSNTDAMAFYNNLGYVLSSTSPSRVDPLIGIHRSYEILCKTFESEAKCKLEEGN >SECCE2Rv1G0132960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894821525:894822091:-1 gene:SECCE2Rv1G0132960 transcript:SECCE2Rv1G0132960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPISTSSSDGPPPELDFISRAKARGASALATRQPWRELADPRALAVPRGLGDAYLRARANLAHFAMNYAIVVLGVVYLSLLWHPFSLIVFLACMVAWVFLYFLRDVPLVLYGHTVGNGVVLAVLSALTLFLLLLTGDTGNILTSLLVGAVLVLLHALLHRPADSVDEEAGRCYTPVTPPQPS >SECCE3Rv1G0210310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943392238:943393229:-1 gene:SECCE3Rv1G0210310 transcript:SECCE3Rv1G0210310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLQRLRHMLLTTNGGHHQFANRPGASAAAMPSSGPCYGAAAAPSQQLGCQPYADLFTPTSAADQYSELLAPAAVDLARKGDGAQEMATGNKRRRVDERPSVLGDVPAAHAQQQTVAVDHILHRPARDMWAPLAEQSLIVSTVEATAAKRLKAKDDGIEQVRGMNWALEERLRNVYMEAQMWRDVAQSHEAAANVLRADLRRVLDAQAVRGGGGGGGDGQDDAESCCWGENQVPICAEEEVGTPTPTGIGRCKGCGDGAAVVLLLPCRHLCVCAPCAAAAQACPSCGCAKNGSVCVNFS >SECCE2Rv1G0129320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:867668840:867670978:-1 gene:SECCE2Rv1G0129320 transcript:SECCE2Rv1G0129320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAQKLNHGRTAYHFQPAKCWQNDPNGPMYHNGMYHFFYQYNPRGPTWGDGKLSWGHSVSGDLVNWADVGNALDPTSPFDVNGCWSGSATVLPDGRPAILYTGMDDVDKAQVQNVAFAKNPSDPLLREWEKPSCNPVIPMPADVTGNNFRDPTEAWRGRDGLWRVAVAAEVAGVGSLLVYRSADFLHWERNAGAPLHVASSRGRNGPAVLECPDLFPMAAPGAMEGLDVSTSHAGVLHVLKLSDFAKEDHYMVGRYDDEADTFAPAEPERGGDCANWRRLDHGHLYASKSFYDARKKRRVLWAWVDETDGGGEARGWAGIQAFPRAMWLDTDGKRLVQWPVEEIETLRRKRVGLQWATEVEAGGTKEIAGIVSSQADVEVVFEVPNLEDAETLDPKWLQDPKGLCAEKGASVPDGVGPFGLLVMASGDLEEHTAIFFRVFKHLDTYKVLMCTDLTKSSRKAEGVKIPSYGAFLDVDVEKDKSLSLRTLVDHTVVESFADGGRTCMTARVYPEHAATGSSRLYAFNYGAGAVKVSKLEAWELATAAVNGGGGV >SECCE5Rv1G0344140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:643614118:643615737:-1 gene:SECCE5Rv1G0344140 transcript:SECCE5Rv1G0344140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLKRNHHLSTTSRLLLRRLCATEPNIEPAPASPPPPAPDSPPPMTPAEAKLLDSLHAAILDHSRAHPSTTLPTTPPFEPLPTLSSTLAGLLPSPPAPHLALQLIGRLLALRRGVPFPEALTFFHHVLPSLPADSLPALYAAMIDLLAKHHHFPLARHLLDEMRERSIPVSSQVILAIIRRYVRAGMSAEASELFQRMEEYGAGVPDPAVLASLLGALSKKRLASEAQALFDSYKSVFPPDVVLYTTLVHAWCRAGCLDKAERVFAEMQQAGIMPNVYTYTSVIDAMYRAGQVPRAQELLCQMIDTGCPPNTATFNAIMRSHVKAGRSEQVLQVHNQMRQLGCDPDIITYNFLMETHCGKGQSNLDAAMKVLAKMIAKGCIPDCHTFNPMLKLVLGTGNVDAARKLYERMQELQCKPNVVTYNLLMKLFNKEKSMDMVLRIKKDMDAQGVEPNVNTYGALIEAFCGRGNWRRSHATLREMVEEKSLKPTKPVYDMVLMLLRKAGQLRKHEELVESMADRGFIKRPSEDALWKAVAAS >SECCE6Rv1G0391570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:225876974:225878645:1 gene:SECCE6Rv1G0391570 transcript:SECCE6Rv1G0391570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPTTEVLFLCSYDGCGKTFVDAGALRKHAHVHGERQYVCHYEGCGKKFLDSSKLKRHFLIHTGEKNFVCPHEGCGKAFSLDFNLKAHMKTHFADNYHMCPYPECGRRFTQESKLRAHFRAQHEKNPGGPVMNRNALGDHPHNTAKSLVTPPVPSAGRPYVCPYDGCAKAYIHEYKLNLHLKKEHPNHYSDAGAQAGPLRGTVSKNSHRSKPNLTTSMPLAKIPKRSGYTVRSPAVSIREEHQLPRKVLYEDDSEETEEEGDNLEEGGLDYRAASGDDDEETEEEE >SECCE5Rv1G0321010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:395655950:395663020:1 gene:SECCE5Rv1G0321010 transcript:SECCE5Rv1G0321010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVRSLAQSLGAEDGGRSNLFRTLGPALFISIGYIDLGKWVTTVDAGSRFGYDLVLLVLLFNFSAVLCQYLSICIGMVTTKNLAQICVQEYSQPICVGLGVQALLSLLTAEITMISGIAMGFNLVFEYDDLVTGIWFASFAVNLLPYAISHLDKKMAGIFNACIAGLALVCFVLGLLVSQPRVPLDMDVMFPKLSGESAYSLMALLGGNVIAHNFYVHSSFVQAQRRSHVTLGALFHDHLVSILFIFSGVFLVNYVLISSAAVGPGDTLLLTFQDVVELMNQIFMNPAAPLVFLMVLLLSSHIISISSIIGSHAIVENFFGMNLSLSAHHLLLKVFAMIPTIYYAKVAGSEAIYQLIIICPVIQAMLLPASVIPVFRVASSRSIMGNYRISSSVEILAFLAFLLMLFTNIIFKAEMLFGDSTWTNSMKGNTGSPVVLPYTLIVLISCSCLLFTLFLAVTPLKSASNEAETLEFSMHSQREPLGSTHHREDVSLEDVAHEEVQRSSTDAFLRDPMGSHQKSALELNPVESDPDTTVESDPDSQQSAAYTASTPKAQPSPPVYHEEPKPVCVADWTESVPKVSTASEVEHIHAENIKRKSATEKDVEVVAEVCTEKDSVASHNLEHEKSAGGRAPSNPDGPPSLTFSRGKDTNAGSGSLSTQSGLGRAARKQFAAHLDEFWGHLFDYHGKLTQDANEKRYNFLLGLDLRAANSAVRADNQTIEASKSPLMRDALRASATSLNSWDSMSRDKDIRSLDWSSGHQMGPMGSSNWSQSMNSPYTDISSSSSSLFEQNTKYYSNFNMPSYSDNQFYQPATIHGYQLASYLKGMNASRNQHSNIPLDPRRVPRSSEYSFPNYADPVMHARNQNLRGSLGANSPQSPAMNRLNATVERPYYDSTSVDESESIGSPGYSKKYHSSPDISALIAASRKALLNEANLGGAAGNQSYLSSKLASERSQYVDPAARSKAQIAFNERSQHSLQRDVLSMQLGMNPNNKSLWAQQPFEQLFGMSSAELNKSEVNTGQRLSGMTKDDSSYTECEAELLQSLRLCIMNILKVEGSGGLFRQNGGCDENLIDQVAAAQSLSQETIENLLSADLRRVRGLPNCGETCVWQATLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGIIEPAFSKPRKPLTGCACLQITGPVARPISGTFTTSAAILETIKEVELAISGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKPSAGQ >SECCE7Rv1G0505720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:740496518:740500585:1 gene:SECCE7Rv1G0505720 transcript:SECCE7Rv1G0505720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQESKPLCLKRKLVDNCLSKECKSRRVVVDDVPSDPSAKRCKCCCTRPNLASDCVNYLKSGVPSRIVFYKQDAWHNFPELIMKSLIEGFKGGKSSVVAVMDDEPVLVDFLSMSLVNLKTRKQRSVAWYDDTEKGFFPSLFFDEEGDETANLDSSNVEDGAQGIMLDKVVSSPEEVVKQVVLESGPPGPHKPSTADILRKKITSVERGSEDFLLVQDLFLSGMGPFATPNNILHIHRYSPNDITAQCRLQAFEKQMSCTKEDRGDSNVRYGWLGSTKSDIVRILINGFGNTGKPAEKACLSAGVYLSPEDRAFTSVGLCDVDEKAVQYMLLCRVILGNMEAITPGSQDSFPSSEIYDSGVDDCSNPKCYVMWPSHLNTHIRLEYLVSFRLSPKVRNYLLGLKGLWFHPSPKEVAMDISTLQPIMGETGEAPTSPWISFRVLFAMIQDNISSVAKELLFHHYEELKENEISREEMVKKMIIIVGEKLLLETLKKLHYCPSLWHKSSVEVMSSDPARVAAEDPARTTEEHISLDKTAGHCALTLGNLGDSCGPNTLAESSTALSTKGCDTLAVGMVPKSHDSVAPSSVPETSTSSGAICLASPSVKPKSRDSPIRIRSPESSANHGAKNQDHSAARMAPTLHDVLLRTASGKSGSIGAEGSNGVAPSMRPHGYASPAPTNASKGRGIGAPGLSLKGSECPGPVLALGSTKFKGMKSPSSVPRMTPEGPEFLSLSIAPQSQVIYPTKCHGGDSISSAAAPAHVPGHVNSSALSAEGCDSLALSIAPNCHDRPASSSNNEPAFHGAPTTHTASEGEHSQAPSAATMGYTAPAPTTGEAVQFGPCNKPSVPVPEPGSNVAQAADILVALSTPREKGK >SECCE6Rv1G0422810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692063720:692068742:1 gene:SECCE6Rv1G0422810 transcript:SECCE6Rv1G0422810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LUTEIN DEFICIENT 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31800) UniProtKB/Swiss-Prot;Acc:Q93VK5] MPLPLHPCCAAGAAATTAGSCSASSAPPSRYLGPGQGRLRLAPAAGRRNSPLLRCSASGGDGGGGPDKVLEEQRKRRAELTARISSGEFTAQGPGWLAPVAARLAKLGPPGELAAGLLTKVAGGARRGPELPQAVGSLASVAGEAFFLPLYDLFLTYGGVFRLNFGPKSFLIVSDPDVAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEVWRVRRRAIVPALHQKYVTAMIGLFGNASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQRKVNEALALINNILDELIATCKRMVDEEDLQFHEEYMNEKDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMSKLQAEADAVLGDGLPTIDDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDMLGEYPIGKGEDIFISIWNLHRCPKHWDDADVFNPERWPLDGPNPNETNQKFSYLPFGGGPRKCVGDMFATFETVVATAMLVKRFDFQMAPGAPPVEMTTGATIHTTKGLNMTVTRRIKPPVIPNLEMKIVSDSEGSTSSTASVAVSTANIASGEGQQVEVSTSQV >SECCE4Rv1G0260760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:698387499:698389827:-1 gene:SECCE4Rv1G0260760 transcript:SECCE4Rv1G0260760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKCRLVLSCSPCVLLVISSCLLPRVTSLSFDYNFSAPGVLAGADLKYMNDSAPVLGRDRIDLTNISRSWSTGRVGHRQAVRLWDDSTGKVASFSTNFTFAVKPVNVTTSQGDGMAFFVGPYPASMPADASGGFLALFNNRGNPANTFFPPTVGVEFDTFRNVDWDPNDTVNHLGVNVNSISSMAYAALPDGSFNGANAMSASVRYDAGAATLSATLHVTEHRTYTVSANVDLRASGLPQDAAVGFSAAIGDLVEQHQILSWSFESTLIDDKSWKRKRTGLNLMAGLVSTGVLIFLAVAAWLGYLQYLKRRGTHTREASRDADTPLDDDDMDSEFEKGAGPRRFSYGELWRAARGFSDEEKLGEGGFGAVYRGHLQEQGLHVAIKRISKTSSQGRREYIAEVSIIGRLRHRNLVQLVGWCHEADELLLVYELMTNGSLDDHLYHSKSVLAWPARQRIILGMGSALLYLHQEWEQCVVHRDIKPSNVMLDASFNARLGDFGLARLVDHCRGAHTTTLAGTRGYMDPQCVVTSRASAETDVYSFGVVLLEIACGRRPVVRQPEESRVMLVRWVWELYGRGTLVDDAADARLEGDLDAREMERALVVGLWCVHPDYGFRPSIRQAMSVLQLEAPLPDLPPEMPVATYARATHRSTYTSSSTGGSSSSTGGRSITSDLTVESRRPCANADTRSATRPVASTTNQTSQGTRVTEHDEPTDHSS >SECCE3Rv1G0146070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:9911074:9914067:1 gene:SECCE3Rv1G0146070 transcript:SECCE3Rv1G0146070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQCFKQGGNGGGGAGRRLERRLSLGDYKKAVSWSKYLVAPPGAKIRGGGEELWSADLSKLEIRARFASGRHSRVYSGRYAGREVAIKMVSQPEEDAALAAELERQFASEVALLLRLHHHNIVSFVAACKKPPVFCIITEYMAGGSLRKYLHQQEPHSVPIKLVLKLALDIARGMSYLHSQGILHRDLKSENVLLGEDMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKNHTRKVDVYSFGIVLWEILTALVPFSEMTPEQAAIAVALKNARPPLPASCPVAMSHLISQCWATNPDKRPQFEDIVTVLEGYKEALDNDPSFFLSYVPPPPHHHQQHQQSLLRCFPRVKSMRRSASLKA >SECCE3Rv1G0183550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:651349596:651353719:-1 gene:SECCE3Rv1G0183550 transcript:SECCE3Rv1G0183550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSPADTAPSTSSAAAPNQHPQPPAQQADPKERRMESLGWLTESTVMPKKHKAIEGVGAASILDLKAQLYRTQEEARNSAAADAASSEFRRAKKRSGPADPLGVKNSGVDARAHKDKLELKAVKDGTACYSALEKKAELYEKLSRGELPDEEDQEKYCVDFFQKSFHQVSERRQPETATASEHAEQENESVDSMPNPKPMGLGRTGTTIDQDEHKRFVREVHDEVNQARQKASTMKYRRQEQESARREKLRQAFLKKRLDKLMAEKQASSASDDQPVS >SECCEUnv1G0549560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:206330911:206331357:1 gene:SECCEUnv1G0549560 transcript:SECCEUnv1G0549560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFDGEQKELIKKLVNFRMIDGKRTRVRAIVYKTFHRLARTERDVIKLMVDAVDNIKPICEVVKVGVAGTIYDVPGIVAGDRQQTLAIRWILGAAFKRRISYRISLEKCSFAEILDAYRKRGISRKRRENLHGLASTNRSFAHFRWW >SECCE2Rv1G0105660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:623859357:623862817:1 gene:SECCE2Rv1G0105660 transcript:SECCE2Rv1G0105660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPPPPLLPLLLAVLCLASLAPGANAAGRKMVGVYELRKGDFSAKVTNWGATVTSVVFPDSKGNLGDVVLGYDTIAEYVNGSSYFGALVGRVANRVAKARFVLDGKVYHLYANDGKNALHGGHRGFSKVIWTVKEYVSGGDSPHITLYYHSFDGEQGFPGALDVYVTYELSSPYVLSVRMNATALDKATPVNLAQHTYWNLGGQGGGDVLGNTVQLFASRYTPVDATLIPTGQLAAVAGTPYDLRAPTAVREHLRQVSGGSSNGSTIYGYDMNYVVDGDAHALRKVAAVWDGASGRALELWADQPGVQFYTGNFLKDVKGKGGKTYGQYGALCLETQGFPDAVNHPNFPSQIVRPSQAAYKHDMVFKFSF >SECCE2Rv1G0103550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:591633481:591636311:-1 gene:SECCE2Rv1G0103550 transcript:SECCE2Rv1G0103550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPPLPLLLLPLLLLAAFSAAEEFPRDGKVIDLDDSNFEAALSSIDFLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIMVAKVNADKYRKLGSKYGVDGFPTLMLFIHGIPIEYTGSRKADLLVRNLKKFVAPDVSALDSDSAIKSFVENAGTSFPMFIGFGVNESLIAEYGGKYKKRAWFAVAKDFSEDWMVTYDFNKVPALVAVHPKYNEQSVFYGPFEGRFLEDFIRQSLLPLTVPINTETLKLLDDDDRKVVLAILEDDSDVNSTQLVKVLRSAAHANRDLVFGYVGVKQWEEFVETFDVSKSSQLPKLLVWDRNEEYEQVEGSEKLEEGDQASQLSQFLEGYRAGRTIKKKVSGPSFMGFMHSLVSMNSLYILMFVVALLGVMMYFAGQDDTPQPRRVHDE >SECCE2Rv1G0135860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:909193719:909195956:-1 gene:SECCE2Rv1G0135860 transcript:SECCE2Rv1G0135860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYYCLFPCLLICFLLHTHGSHSLNQTCNSTDLEALLAFSSGLDRKGSSLVGWGPNDAACCSWTGVSCDLGRVVGLDLSNKGLHGGISSAITLLDGLVKLNLSRNSLRGQPPEDLGRLARMRILDLSMNVLSGAFPRTEHGFLAIEVVNVSFNQFKGPHPVFPGAVNLTVLDISSNAFSGGINTTALCIVPVKALRFSGNEFTGEIRAGFGRCKMLTELSIDSSGLTGKLPRDLYTISELRRLSLRDNQFSGSLGEDLGNFSQLMHIDLSYNMLSGVIPDVFEGLRRLEFLSLASNLLTGTLPASLSSCKMLRVVNLRNNSLSGKIAIDFRLLPRLNVLDAGSNMLSGAIPPSLMWCTELKTLNFGRNMLDGEIPESFKNLRSLLILSLGGNGFTNLSSALRVLQHLPQLTTLVLTRSFRGGQTMPMDSISGFKSLQVLVLANCALSGMIQPWLQNSKNLRVLDISWNKLSGPIPPWLGSLNNLFYIDLSSNSLSGELPESFTQMKSLISSAYSSEHASIEDLPLFIKKNPAGNSLQYNRVVSFPPSLILSNNLLAGPVSPGFGHLVKLHVLDLSRNNFSGRIPDELSNMSSLEELNLTHNYLSGFIPSSLTKLTFLSKFDVSYNNLTGDIPTGGQFSTFTIESFVGNAALCLGRNGSCFGKATFEGTESDGAETVSTMPAMTYITAEAGFAFGLLTVCNILFFARAWRAAYFLTVDRFFDMLYVIIMVKVNKLTRKWEDKEHP >SECCEUnv1G0552240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:247894530:247899284:1 gene:SECCEUnv1G0552240 transcript:SECCEUnv1G0552240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLAEMAKEEVAMLIGVSDGITDLSIKLGDLKNFLADADRRNITDESVRGWVGELKRAMYLATNIVDLCQLKAMEQGQTKDRGCLNPLLFCMRNPLHAHDIGTRIKMLNQNLDDICKRGKSLKFIKLEAYEDQNTTRSLATNRKTDSLIERSGAVGEKIEEDMRALVEVLTREAASNKSDCFMVVAIVGVGGIGKTTLSKKVFNDDSIKGKFTKKIWLSITKDFNDVELLNKAIIAVGGDLPAAGGARDRDLLVGALSNAIRDKKFFLVLDDMWGVDAWDKLLMAPFSYGGPSSKVLVTTRHDTVALSMKAVHYHHVEKLSAKDAWLLLKKQVVTTEKDEPEIEILKDIGLQIIEKCDGLPLAVKVMGGLLCQREKNQRAWQKVLNGDLWSVSQMSEELNYAICLSYEDLPSCLRQCFLHFSHIPIVLTSDDIVGMWIGEGFVHGNADVLEELGHQYYRQLILRNLIEPIPHDIGQYRCNMHDVVRSFVQFVARDDALIVHKGENINTKLSLRGFLRLAIETKGMESDQCEWRSLQECKSLRSLMLIGNFKIQSGDSLETFSSLRVLHIESTNFDVLVESLYQLKHLRYLALIKCNELDRLPENIHKMKFLQHISLEDCVSVVKLPDSIVRLYELRHIDLDGTRVNSIPRGFRALTNLRTLYGFTAHVDGDWCSLEELGPLFSLRTIGLVNLQNVSDASFARKVNLGEKVDLISLSLDCRSRHGSNELIRDGLSEKDQGKIEEVFDGLYPPPCIEDIRIYNYYGCQLPRWMRDTSTTLLKSLKILVLDDLACCTQLPDGLCQLPCLEYLNVYRAVAIKRVGPEFVQPSSHHHHPSSRVVVAFSKLHELALNGMVEWEEWEWEEEVHAMPVLEELHIHSCKLSCIPPGLASHARSLKKLSIGSVQGLQSLEGFASVVELDLYELPDLIRISNFPKMRKLEIDCCQKLESLQETDALQRLALTVHYNESRLPSYLQTVKPSHLLLNCWPGILLSMALGESSSEWDKFRHIQHVEAYADDEGIEKRWHLFYTREPYTMETNMDLQPGTGK >SECCE2Rv1G0069370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:28020026:28029509:-1 gene:SECCE2Rv1G0069370 transcript:SECCE2Rv1G0069370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKRFLQKKLHQGGGGEKGGGGGGGAAAGDVAQLDAQIALHYGVPYAASVMAFDPVQRLLAVGTLDGRIKIFGGDNIEGILISPKSMPYKYLQFIQNQGLLIAVSNDNEIQVWNLEFRQLFHSAHWDTNITAFSVIEATFLMYLGDENGLLSVLKYDVDDGKLQKMPYNVSIHSVAEAAGVPLLDTQPIVGILPQPNTLGTRVLIAYEKGFLVLWDVSEDHAIAVRGYGDLHMKGQVTGAQTHASEDQIDNVDENEEEREICSLCWASRGGSTVAVGYITGDILLWDMTAASSRQGKQADVSSNVVKLQLASGSRRLPVIVLHWSAGSAKDSTKGGKLFVYGGDDMGSEEVLTVLSLESSNGLESVRCASRVDLKLDGSFADMILIPDTGVPDKIRTSALFILTNPGQLNFYDGGALFSARKSEEEYAQPEAQKFPVVVPTIDPSITVTNMYSLSGREHPSISLKKFCARQIVAPPISGNMKWPLTGGVPSEMSLKEDHAVERIYVAGYQDGSVRIWDATFPILMPMFVLDAKVPDVILDGANASVSSLAFCSLNMTFAVGTTSGLVRMYKLQENTGDSSFHFVSGSKQEVHAVHHGRGFHCHIAFMASNSPVRSLRFTSSGEALAVGYQNGQVAMFDASQLSVMFSVDCTSGTNSPVVSVSTYSVGASAAKAGPSQKEIAASAKFPTDVVLSLSKDARLTVVDSTSGLIINSLLLDEKQSSALLMYVLIDGASDEEQAQLPEDKLPCQSQTGKEEHVLDQKQVQGHPQSSGSDSLLLVCFEDVVLLFSLASLTQGSNKHLHKTKLTKKCCWSAIFKNKDDKACGLILFYQTGIIELRSLPSLDILAESSLMELLRWSYKTGMDKTMSSSNGQIALVNGSEFAIISLINSENDFRIPESLPCLHDKVLAAAAEAAMSISTDQKGKQNPAAGVIGGIIKGLKGKADENANLRKSFNSQTPSELLESIFLKGPHVEPSLAYPDDDPIEELSIDDIEIDDEVPLSPPPASSSASHTHKKTTVEDERAKLFEGSSDADKPRMRSTQEILTKYKFGGDATAAAAHAKDKLMQRQEKLERISQRTAELQDGAENFASLAQELAKTMESKKWWKL >SECCE4Rv1G0278610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:809853376:809857209:-1 gene:SECCE4Rv1G0278610 transcript:SECCE4Rv1G0278610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALRFPPFLSSRAAPTTAAATCTRRTAAATLRVAALPDSASAPASASTSTPDAPPEFSPPAGFAPPVPKRFAVKDGQLASVAGAALALPFRLGTGLFVLGYSVSLVSADKIPADQYSLEFLGLKVKETSKIDQCRRPEKPIEIYEFEGCPFCRKVREMVSVLDLDVLFYPCPQKGPTFRPKVLEMGGKKQFPYMVDPNTGVAMYESDAIIKYLADTYGDGTVPIMLSLGIFTTITAGLAMIWRVWKGSSYTVSKLPPQPIEIWAYEGSPFCKIAREALVELELPHLLHSCARGSPKRQEIFKKHGLFQAPYIEDPNTGVKMFESAEIVEYLRATYTLYPQ >SECCE2Rv1G0128950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:865778595:865781998:-1 gene:SECCE2Rv1G0128950 transcript:SECCE2Rv1G0128950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFRLIILALAPLLATVLAAPSLPSSNDTDLDALLAFKARLSDPLGVLRDSWAANVSFCRWVGVSCGRRQRHRVIALELPGVPLHGQLAPHLGNLSFLSVLNLTSSNLVGSIPADLGRLRRLRRLNLAHNNLSHSIPSTFGNLTALQVLDVGSNMLSGQIPTEMQGLRNLAYIALHANYLSGPIPSPLFNNTPLLSYVSFGNNSLSGSIPDSVGSLPMLDFLGLQRNKLSGPVPSAIFNMSRLRMLYMASNNLTGPVPGSNGSLSLPMLQVISLSQNYFTGPIPSGLASCKYIRIISLSQNFFTGPVPTWLGELPFLAGLLAGGNELAGQIPHVLGNLTMLTRLDLSFCKLNGEIPIELSKLRQLNILELSSNGLTGSFPAFLGNLTSLTSIGLALNLLTGSVPATLGNMRSLQLLDLGSNRFQGELGFLDGLSNCRELRFINLQVNDFSGGLPDYTGNLSKKLVIFYATGNKLRGGIPSTISNLSGVSSLILMNNQLSQSIPESITTMENLERIDISGNNFVGPIPAQIGLLKRLVQLFLYNNKFSGSIPDGLGNLTLLEYISLAYNNLSSHVPASLFLLNNLVELNLSRNSLTGALPFDLGHMKQINKVDLSNNNLVGSLPDSFGQLRMLTYLSLSHNSFQNSIPYSFRNLISLGTLDLSSNNFSGTIPKYLTNLTYLTSLNLSFNKLQGSIPDEGVFRNITLQSLIGNFGLCGAPRLGFLPCLDNSHSVNNGHLLKFLLPSFALTLAAIAICLYLLKKRSSLKQGEVTPAADGVDPISHRLVSYHEVARATENFNEGNLLGVGSFGKVFKGQLDDGLVVAIKVLNMQFEQAVRSFDAECQVLRMARHRNLIRILNTCSNLDFRALLLQYMPNGSLETHLHTENSEALGFIKRLDIMLGVSEAMEYLHHHHCQVVLHRDLKPGNVLFDEDMTAHVADFGIAKLLGDDNSMVSASMPGTIGYMAPELAYMGKASRKSDVFSFGIMLLEVFTGKRPTNPMFLGESSLRQWVSRAFPARLVDVVDGKLPQGEEMNRCETNATTSTLASTACKGDFLVSTFELGLDCSADSPDQRPSMSDVVARLRNIMKDCSASTANGA >SECCE4Rv1G0251330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:601265232:601266928:1 gene:SECCE4Rv1G0251330 transcript:SECCE4Rv1G0251330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNFSQNHHLQNTHFSLPRKQFLTYILYALLPLALLHYLLFNPLAAAKPPVLVQPRVREAAPSQHGHAPVNALEEQLPPPPPDRGGRVLVLGETPGGEVSASWSASPPCDYSDGEWVPDARPPLYDGTSCGTIKDGQNCMAHGRPDTGYLHWRWRPRRCHLPAFSPEAFLRWLRNKHLAFVGDSMARNQGESLLCLLASRSRPDLVYRDGEENKFRRWVFREYNATVSIFWSPLLLKVVEKAEHAGVRHNNVFLDSFDERWMSQLGALDAAVLSVGHWFLIPGVYHDGGRVVGCHDCADLNLTETAFFGVFKEAVHRTLAEVARRHGADRKVVAVTTFSPAHFEGEWDKAGACPRRHPYREKEKELGYTENAMRKTVLEAVAAHAGAGPLRFAALDVTKLANLRPDGHPGPYMRSDPFAGGPDARVQNDCVHWCMPGPVDTFNEILLQTVAG >SECCEUnv1G0529850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9578704:9581912:1 gene:SECCEUnv1G0529850 transcript:SECCEUnv1G0529850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVAAARSLTPMSRRTRHAHHLQLAVADPPLQAPPPHLPPPLQPTTAGIGNGNGNVLQVQQQQQSQRRTDLEQEVSELKQQLSNEQTVHHILERALHAPTNSSTSARSVLLNIPAFIPAKAKQLLAELVLVEEEIARLESQIHTMKGGLAVSQQQRASVAMASKTYSYPASVHTNNNGNPPSIYTNNGSGNGRVSNGGAATRTTAHSAPASAHHGNNNANGGATPTTGNGAPTHTAPTLARQASDQVAPEIKSMFFISQAMNAEYLQRHLAAVATDDKPAKSPRDQAARGSSGAAAAVSPKLNGNILGLPPRNSLEKQSDRATEKPTRVSGEPLAPPKRDEQSKAQLPNKLSERIVKCLAVIFIRLLRSSRVAEMEKSGNLARSGNLQGSFRIDTVLNVAAAKEKDQRGQQDHYGIFGVPDSVVRDIGPYKNLVRFTSSAFDLRGFSSSPLLTKLREMLEALQQVDLRFLTHQQKLAFWLNIYNTCIMHGILQHGLPSNSEKLLALKNKATINVSGQKFNALVIENFVLRQPSSVKEEFWKCNVDVEEQQVRGLYGLNSSEPNILFAMCCGTRSSPALRIYKADRVMMDLEKAKLDYLQASLVVTSTRRLMIPGLIHSNMHDFAKNMESLLRWICDQLPTSWSLRKSMVDCLRGHQGHLKVEDVVEVIPYDYEFQYLLPM >SECCE6Rv1G0422450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689964276:689967598:1 gene:SECCE6Rv1G0422450 transcript:SECCE6Rv1G0422450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPIHTIICLVCWSFCLLPFYASSSSRLLPDKPLSAGSTITSDDGTFALGFFSPSSSSTKHYYVGIWYRNIPEDNVVWVANRAMPITDPSSATLAFTSGSDLALSDTSGQLLWTTNISAARNSSSEATGGEATLDNNGNFILRSSHGTILWQSFDYPTDTHLPGMNLRITHKMHALQQLISWRNPQDPSPGKFSYSADPDEFRQRITWNGSTPYRRSLVWNNNLVVGKYIESIKSTIYYTLQIIGDGVYASFGLPVPSVSVVVMKIDYSGKMKTQIWNSNSSKWTDLYSGPNQECNKFGYCGPFGYCDNTQPIVTCKCFDGFEPNNKQDWMARRFSQGCHRMEALRCGQGDGFLNMSTMKIPDQFLYVKNRSSDECIAECTSNCSCTAYAYTTMRTNAIDEDDTRCLLWIGDLIDTEKFIGQGENLYIRINGLSDKKRKSNVLKITLPVVSSLLIIICVCLVWICCFGGKQSNKKIWKKLISGTSSTSIGLRDENLKYPFINFKEIVLATNNFSNSNMLGHGGFGNVYKATLEDGTELAVKRLSKGSGQGELEFRNEVILIAKLQHKNLVRLLGFCIHGNEKLLIYEYLPNKSLDAMLFDATRKSMLDWPIRFEITKGVARGLLYLHQDSRLKIIHRDLKASNILLDAEMSPKISDFGMARIFGGNQQQENTNRVVGT >SECCE7Rv1G0478300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:235950960:235951532:-1 gene:SECCE7Rv1G0478300 transcript:SECCE7Rv1G0478300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGKRSRQQAETTSVSLMDLDGGDMARVLMLFSGHHNIHGHHGVAGPASPERVFECKTCNRRFPSFQALGGHRASHKKPRLADGEPPKPKVHGCSICGLQFAVGQALGGHMRRHRAVAAAGARVDRGLSLDLDLGPRGDGNKKTTAVAAERMIDLNMPALAPEEEEPADRAKLGLAVEMQFPLVVDFRR >SECCE7Rv1G0466240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:77514961:77517888:-1 gene:SECCE7Rv1G0466240 transcript:SECCE7Rv1G0466240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMMHGLLTALALWCLVINTTRTAACIAAERDVLAAVNASINDPNGRLRSWQGQNCCNWGGVSCSKKTGHVVKLDLGDYSLKGEINPSLAGLTRLVHLNMSHGDFGAVPIPEFICSFKMLRYLDLSHAGFGGTVPPQLGNLSRLSYLDLGSSGVPVITVGSFHWVSKLTSLRYLDLSRLYLASSVDWLQAVNMLPLLQVLRLNDASLPATDLNSLSQVNFTALELLHLESNNLNSSLPSWIWRLPALSELDMTSCGLSGMIPAELGKLTSLRFIRLGDNKLTGAVPLAASRLCNLVQIDLSGNILSGDITEAAKTVFPCMKQLQILDLAGNNFSGNLSGWLEGMENLRLLDLSKNSLSGVVPASIGNLSSLTYLDISFNKFNGTLSELHFANLSGLDTLDLASNYLKIVFKPSWVPPFQLTKLGLCACLVGPEFPTWLKSQTRIEMIDLGNAGIRGALPDWIWNFSSSVTSLNVSTNNITGKLPASLKQSKMLTTLNMRRNQLEGNIPDLPASLRVLDISDNNLSGSLQQSFGNTELHYLSLSHNSVSGVIPIDLCNMISMELIDLSDNNLSGELPNCWQKDSDLHTIDFSSNKLWGEIPSTIGSLNSLMTLHLSKNKLSGMLPTSLQSCSRLMFLDLGDNNLSGNIPRWIGNSLQTLTLLSLSSNQFSGEIPEELSKLNVLQYLDLSNNKLSGPVPRFLGNLTALHLGHPVFDTSPFLEFMVYGVGGAYFSVYTELLHAMYRGDRLTFLKPFLFASIDLSANLLTDEIPHEIGFLSALNALNLSRNHIGGNIPDELGSMVNLESLDLSWNDLSGPVPQSLTSLTMLGFLNLSYNDFSGKIPYESQISTFLNDSFSGNNNLCGIPLSRICVPESNKHRHGKYELRFDMLTYLFTLLGFAFGISVVPTTIICSKAARKAYFQFSDRVLNMLCTAVEMELSINRMSAGRDPSLAIRSQDSITCYELEQPSTAIYKK >SECCE3Rv1G0188840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:719234800:719241365:-1 gene:SECCE3Rv1G0188840 transcript:SECCE3Rv1G0188840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARFLPLLARQWQHQGTSSSGARRGCCGCHLCGETRRGLAAAAARWWHVGTRGFVASAEAGAGAKGEMESTMKEIRGGGAPCVLDMDDAATVGGGVEDTYGEDRATEEQLVTPWTVSVASGYNLLRDPRYNKGLAFTEKERETHYLRGLLPPAVISQELQERKIMHNIRQYQLPLQRYMAMMDLQEGNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITLDVGTNNEELLNDEFYIGLRQRRVTGQEYADFLHEFMAAVKQNYGEKVLIQFEDFANHNAFDLLARYGTTHLVFNDDIQGTASVVLAGLVAALKLVGGTLAEHTYLFLGAGEAGTGIAELIALEMSRQTKTPIDECRKKIWLVDSKGLIVSTRKESLQHFKKPWAHEHEHVDNLLDAVNAIKPTVLIGTSGKGQTFTQEVVEAISSFNEMPIILALSNPTSQAECTAEQAYTWSKGRAVFATGSPFDPVEYNGKIHVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTQENFDKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPIYRNYR >SECCE3Rv1G0206540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:909449023:909450582:-1 gene:SECCE3Rv1G0206540 transcript:SECCE3Rv1G0206540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPNRNPRLDLLSRVLASDHPPPPAAAVHAHLIRAHGDTPPPVIRSLLNHAIRRLCKPRPHAALRLLLLMPRLPVSPDHFTVPFALNAAASLGLLRLGASLHSVALRLALAPTCLPVANALVALYAKCDDLPAAHAALADIPVPDAVSFNSLLCTHARLASVPAAESLFTSMPSRTQVSWNAMVVVYVNAGDFASAHRVFDEMPTRDSSSWSVLIIGCCKSGSMQSARELFDKMPGKNLVTWTAMINGYAQCGQPKESLALFRGLEAAGIEPDAATMVGVISSASQIGSTALAGWVGTYVDRKRIERNEKVLTALVDMHAKCGNVEQALNAFREIEQPDAYPYTALISGLATHGHAKLALQVFERMQAQAVKPDPITFVGVLTACSHAGLVDRGLGYWEAMVHDYGMNRRADHYACVVDMLGRAGRLEEAFEMVRTMPMGPHPGALGALLSACKTHDNAEIAEVVANMLFELEPRNTGNYILLSSIYAGKGQWEEAERVRSLMKTKLPFKQPGSSWF >SECCE6Rv1G0444000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826211784:826214282:1 gene:SECCE6Rv1G0444000 transcript:SECCE6Rv1G0444000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRRRALLLLLLASSLCAGSSAAPLAAERTRRKDPLDGLRPYAGGWNISDRHYVASVAFSAAPVFAAAAVWLVGFALAALVACCCRCCRGTPTRDDDYSYSRKTFAASLLLLLAFTATAIIGCAVLYDGQAKLDGSTAATLRYVVRQSDGAAASLQGFAGFIETAKASGGAGMVAALPSDLAAKVDQVASRVGAAADELAARTASNARKIRTVLDTTRKILIGVAAVMLVLAFLGLVFSLAGLNSVVRFLVFLGWILVTATFILGGVFLLLHNAVGDTCVAMEEWVLRPPDSNTGTALDDILPCADAAATSEALRRSKEVNHQLVTTLNAVLANVSNANAFPPGAGPPLNYNQSGPPVPLLCSPYRADLSDRPCAAGEVPAAFAPQAWRGHVCQAVGAPGPQEACATPGRLTPLMYAQALAVANASAGLVGYGPVLAELADCTFVRRTFEAVVADGCPGLRRHSGRVYQALVAVAVAVAAAVAAWVVHTRERRRRREAVRFRVSPYRLPIEDKSLLKSPRRPYRRAESGGLIGKGGW >SECCE6Rv1G0383470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:52778936:52779988:-1 gene:SECCE6Rv1G0383470 transcript:SECCE6Rv1G0383470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALEDRSCVILATNDYSSHTYTLHHIDVAPFFSHPDPDDDAMDDIPLPPASARFDKPPFPRNCFVDFHLLRGGGIYGGGDVKVVSTDGERRTVIYDVARRAVRGGPVMRARKGSPISDSVGDGLFVLELVPDKGNGCFEALRYDRLREDWFWHSLPRPPYVREPGSTCSAVTAHTAAAGGRIWTYTKNAGTYSFDTRRRSWRKEGDWALPFVGKAEHVPVCGSAGLSFGFASISGPLCAVDLATATAESPPEVRGVWEEFRLPGDWLGGTSSLVHLGSGKMCIFRFFGTVPSPPIDRSGKKPDRFVVITPVEVGPGDDNDKAIKMVKHRSKRIKLDRLNGHMTWVL >SECCE1Rv1G0002290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8486702:8487607:1 gene:SECCE1Rv1G0002290 transcript:SECCE1Rv1G0002290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLILTILAMATTIATANMQVGPSGQVEWPQQEPLPQPQQLVYQQPQQIFPQPQQTFPLEPQQTFPQPQQTFPHQPPQQFPQAQQPQQPFPQPQQTFPQQPQLPFPQQPQQPLPQPQQPQQPFPQPQQPQQPFPQPQQQFPQPQQPQQSIPQQQQPLIQSSLQQQMNPCKNFLLQQCNPVSLVSSLVSLILPRSDCQVMQQQCCQQLAQIPHHLQCAAIHSVAHSIIMQQQQQQGIQILRPLFQIVQGQSIIQQQPAQLEVIRSLVLKTLPTMCNVYVRPDCSNIRTPFASTIAGIGGQ >SECCEUnv1G0543740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:118960237:118962237:1 gene:SECCEUnv1G0543740 transcript:SECCEUnv1G0543740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPTHLLVNSCRANYIYWHSGWFIICMKMTSCAAVWFTAALVFITVIVLRIIRGTRNVVPTSGKQPPPVVNGLAFLGLLPRLLTVDLPSKINCLYSKYGSVFTVSPFGLCNVTFLIGPEVQAHFFQGLESEINHGNLLEFLVPMFGQEVGQGVDAATRTEQSRFYLDALKQSKLRRHLDSMLQEVEGYFGKWGQEGVVDLKHEFEELLMLISSRCLLGKEVREKMFDEFYKLFRDVENGVNMISVFFPYIPIPANRRRDKARLRLIELLSETVRSRKSSVGVEEDVLQRLIDSKYKDGRSTTETEVTGMIIALIFGGKHTSSLACTWTGASLLTHPNFLAAASQEQKEIMMKYNNKIEYDSLLDMNTLHCCIKEALRINPPTTMLVRKALKHFTVRTRQGQEYDIPKGHTLASPIIQNNNMPYIYKNPHLYDPDRFGPTRQEDVVGGKFSYTSFGGGRHFCSGDAYAYMQVKVIWSHLLNNFDLKLLSPYPKTDWSKLIPEPKGSMIVSYKRRPVLG >SECCE3Rv1G0204100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:889551447:889555422:1 gene:SECCE3Rv1G0204100 transcript:SECCE3Rv1G0204100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVNQTFFAWSQGETTDLGVSQGVSVSQTLNHGSVSFGRFELESLSWEKWSVFTNDKRHEEFVKFNGLVAQKKAYFEEYYKKIRELKASQQQIQQTELTLEYSGDGSDSSQTEDMPHEELETPTGSGTIVYDYVEAAHETTSEQGMQCYHDHEDEDFRNELSSSNLVSEARISQQTDQDGRENAHGNNSDSADVEKAGFGHDGIGAAYENARAPRRNTEKDPRLRYASMIIPKSVKTVAGSPLDRTSVTKAPGSVKPSMTTNQKAKTNNVRSSSVASQKMAGAARTRRITEKEAPGVTGVKRPSSAAGRVTSIGQKHPITRATVKKPADVSTPGRPSTAERRPVTRERAPKQAAVTTPCRPSTSERRSVDRGSAAKRSDIEGTRRPSTGERRSVTRDSVRTPGKTAGSSVAHPKVTSTIASTMKRPAPLNATTKSTKPEPKSSIRGSKDASTMHSHLSRPSRMDLQLAGKQKSSSVNLPARKMLSSSVGEATFARLKKKEGIPATMQSRASASKRTTPLQTGNTKSRAPNPPAPPPPPRRPSRTASKPTVSGSPVGGRKPKASTAQWH >SECCE3Rv1G0152880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:51151370:51152785:-1 gene:SECCE3Rv1G0152880 transcript:SECCE3Rv1G0152880.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCSLARIMRLQDLAMYRRKLCSLVFRSLPRLLLGFPPSSLRKFFRDKNVHVALTEAKMLLTLPELPQDILMVIFAALEIPDLVRAGSVCSSWHSAYAELRTLGKHKPGQTPCLAYTSESDPDDVLSLYSLAEKRSYKLILPQPPIRSRYLIGSSHGWLVTLDERSEMHILNPITCEQIALPSVTTIEHVKPIFDEYGDIFALAELRGRLYWKAFVFPDTSTGSYIVVLIHNPQAQLSFAKAGDDKWTWLPPDYLYDDCTYKDGILYTVNVKGEFHAFDLSGPVVTVKTVIRVPEHYDCDSRYIIQAPWGSLLLVYRIVGDHDLEPEPGASSYWNTKEIKIFGIDALWNEIKVIDCLHDHVLFLGHNLSLCLSADEYPALKANRSYFTDDNFLWTLGHKNSHRDMGILKLDDNSREELVSPQLWSNCPAPVWITPDLRKINVMGSMSQQL >SECCE4Rv1G0256830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:660085256:660086408:1 gene:SECCE4Rv1G0256830 transcript:SECCE4Rv1G0256830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFPIADKKKRASWTTAQLDLLVSVMKEYADAARFRGQNGWTKEGWNSMTTRLNNQFPRANFIVCQLKFREQRLKKEYFVVKSIVEKSGFGFDPITKMPTTIDEKWDELSKEQQKWRYKAFPYYDDLHAIYDAEGKGCKRTTDVVEEKSSPATDLPRGESFTQQVLDAAGLNSPSPTLPAPGFEGHNYEWTEGIYGEDVEVFPVNNTERMENNSSQIPTEHMNTLPDPTPMKKARTCKGNDEGKAKRGKETAIEDLVAVRKEELKTYVDLKTKQIESYRDVKMALMEKKDPDKDPYCIANCIAKLKTIPDLSASEHLKMIEYLKGERVDREIFMTVEHDVVLEILKKVLGRQI >SECCE1Rv1G0013910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:109029736:109030960:1 gene:SECCE1Rv1G0013910 transcript:SECCE1Rv1G0013910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRVSQLAALLAAVCLLHAGGAAAAGLGVDYYDCTCPDAYKIVQRVLVQAHKSDPRIFASLIRLHFHDCFVQGCDGSLLLNTFNGMETEQDAVPNKGSARGYNVVDAVKAALEAACPGVVSCADILAIASEISVQLSGGPGWSVLLGRLDGFTSNFFEAGKLPSPFDGLKDIKEKFRNATLDDTTDLVALSGAHTFGRVQCQFVTDRLYNFSGTNRPDPTLSPGYRAFLSQRCPQNGDGRSLNDLDPTTPDKIDKNYFTNLQVNRGFLQSDQELKSDPLAVGTTAPIVDRFAGSQDAFFKAFANSMIKMGNIRVITDPSKGEVRKRCAFVN >SECCE7Rv1G0513720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:825214509:825215099:1 gene:SECCE7Rv1G0513720 transcript:SECCE7Rv1G0513720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAALGRRRAEQDQDGGGGGNGGNGNGGREGRMIMREHMFDKVVTPSDVGKLNRLVVPKHFAERHLLPRVPGGAAGAACPGAVLRFEDGRGGSKAWAFRFSYWSSSQSYVITKGWSSFVRDRRLAAGDTVSFCRAGARLFIDCRRRGAGVVVSAPTTSLLVPVALPQQATSEERAPRGRRLRLFGVDLELAGAE >SECCE2Rv1G0082810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:156838492:156840681:1 gene:SECCE2Rv1G0082810 transcript:SECCE2Rv1G0082810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTLGIAPLLDAYFRHRFAAAGLVQASVPLDGGATTMQCWRFPPGASEELPVLVLLHGFGPPATWQWRRQVGPLSRRFRLVVPDLLFFGGSRRAPDGSACSEARQAEAVAKLIGAVVAPSAARVSVVGTSYGGFVAYHVARLLGPEAVERVVIASSDLLKGEADDRALLARGGAERVEDVMLPRTPERMRRLMELAYHRPRRFTPGFVLRDLVQYLYGENIEEKKELIKAISLGNKDKFQLTPLPQQVLVLWGDHDQIFPIEKAFQVTRQLGANVRLEILKNTGHMPHEEDTKRFNEALLNFLLPAPSSSL >SECCE2Rv1G0095180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:414307700:414337146:-1 gene:SECCE2Rv1G0095180 transcript:SECCE2Rv1G0095180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2654 [Source:Projected from Arabidopsis thaliana (AT2G41720) UniProtKB/TrEMBL;Acc:A0A178VXZ9] MSSVTPPPPASPNPEAPPRVVRPPPRRSPRSPGPPLWAKRRPSVSVDYDRGHRTVRVEVDGIRADALPARHRLRVEGSRWQRDCKVSQVAARVLALPRADTHAVDAVLNCWAGRFARRNFPLLIREMAFSGSLQHALYVFRWMKNQENYCPRNDIYGMMIRLHGRHNQVDQARGLFFEMQEWRCKPDADIYNSLINAHARAGLWRWAINIMDDMLRAAIPPSRATYNNVINACGAAGNWKKALELCKKMIRNGVGPDLVTHNIVLSAFKNGSRYSEAIGYFEMMKGANIAPDTFTLNIVIHCLVKVGQHGGAVDLLNSMREKRAQCPPDVVTYTSIMHSYYVCGQVKNCKAVFDMMVSEGVKPNIVSYNALLGAYASHGMHTDALGIFKLLKQNGLRPDVVSYTTLLNAYGRSAQPEKAREVFNKMRKNSCKPNKVSYNALIDAYGSAGMFKEAISLLHEMEKDGISPDVVSISTLLTACGRCRQTTKIDTVLEAAKFRGIELNIVAYNSGIGSYLSFGNYEKALEFYATMMASNVNPDAVTYNILISGLCKLGKYAESLNFFEDMVDLRIPLTKEVYSSLICSYVKQDKLAEAESTFSYMKASGCSPDVLTYTAMIQAYTDHGSWTSVWDLFKEMEGNAILPDAIICSSLMEALNKGNQHERVLQLMKFMHDQCIQLNQKAYFEIITSCSMLRDWKTASEIIEHLDSSLPSISVGKLNHLLNFLGKCGKTESMMKILQHYLFS >SECCEUnv1G0534190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:36372162:36374687:1 gene:SECCEUnv1G0534190 transcript:SECCEUnv1G0534190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPSSSPAPIPQPAATLASAGMRDAAEDSSDSPPSQMSEDGDGGGDSSGSGGAGGGDRWAPDLRGCGNGGGRWAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGVRAVVLKGKPRFADFSLVPQGWGAYVSPWVAALGPAYPRLERICLKRMTVSDDELALIPTSFPLFKDLSLVCCDGFTTRGLAVIAEGCRHLRALDLTEDYFHEEESEVVDWISKFPECNTSLESLVFDCVSVPFNFDALEALVARSPALRRLRVNDHVSIEQLRRLMARAPQLTHLGTGSFRSEPGPGGALSVSELAASFAASRSLVCLSGFLDVNAAYLPAIYQVCANLTSVNFSFAGLTAEEFIPVIHHCVNLRTLWVLDTVGDEGLQAVAETCSNLRELRVFPLDATEDSEGSVSDIGLQAISEGCRKLESILYFCQRMTNAAVIAMSENCPDLLVFRLCIMGRHRPDRITGAPMDEGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKHGKLIKTLSVAFAGNSDISLQHVFEGCTRLQKLEVRDSPFGDKGLLSGLNYFYNMRFFWMNSCRLTVKGCGDVAQKMPNLVVEVMKENEGEMDTVDKLYLYRSLAGPRDDAPAFVNIL >SECCE3Rv1G0170580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:255862443:255863394:-1 gene:SECCE3Rv1G0170580 transcript:SECCE3Rv1G0170580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKNTTSTVKTFQAEYNLASVVSCSAGPNAVMAVIKMLVLPALCALLLRAASAAQWTPAHATFYGGGDASGTMGGACGYGNLYGAGYGTQTTALSTALFNNGASCGACFTIACDTRKSRMCKPGTSITVTATNFCPPNYALASDNGGWCNPPRQHFDMAQPAWETIAVYKAGIVPVNYRRVRCQRSGGIRFTINGHSYFELVLVTNVGGSGGVAQMWIKGSRTNWMEMSRNWGANWQSNAKLDGQSLSFRLKSDDGRVVTANDVAPAGWWFGGTYTSGAQLY >SECCE5Rv1G0312690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:242588817:242597161:1 gene:SECCE5Rv1G0312690 transcript:SECCE5Rv1G0312690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLHLHSTLLPSVSLLRQRGGAQAVPRRRRACRVEAKIREIFMPALSSTMTEGKIVAWNAAEGDRLAKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEEEIPLARSQASNFSSSAVASPPAPQETVAQEASPAPPPPPPAPVAVSAPVPPSPATQGGARVVASPYAKKLAKELSVDLFAVTGSGPGGRVVAKDVEAAAAVPKKAVPVAAVRLDVPLGSTVPFTTMQGAVSKNMVESLAVPAFRVGYTITTDALDALYKKIKAKGVTMTALLVKATAMALVQHPVVNSSCRDGQSFTYYSSINIAVAVAIDGGLITPVLQDADKLDIYSLSRKWKELVDKARGKQLQPQEYNSGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGSSQPTVVGTKDGRIGLKSQMQVNVTADHRVIYGSDLAAFLQTLSKIIEDPKDLTF >SECCE6Rv1G0446940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846784917:846785531:-1 gene:SECCE6Rv1G0446940 transcript:SECCE6Rv1G0446940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLSHEFKSGLPAAEVWEVYGGLLLAQLIPQLLPGVFPKAEVVAGDGGVGTVLRLTFPPGIPGLEYQKEKFIKVDNENFVKEALVIEGGFLGLGFLKYLVRVELVGDADKTCTIRSTVEYEVDDEHTGNASFVTTSTFDRIAEAITKYIKVQKGDEKAPEQT >SECCE6Rv1G0391960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:241256760:241261444:1 gene:SECCE6Rv1G0391960 transcript:SECCE6Rv1G0391960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDIPAFKPQWLMQGQVTATGAATLWAAASSRKDCEGKGGSSRNRSSGHNRDQSSRQSSSRRSSVSNGSRRLDRDDMGKTRGYANFGRNKDKEREKDFDSRDRESRSVTADRDGFQSFSSCRPERDRLNRARSKADTSSKAVISLNNGSTSKSNTVGVAFEREFPQLSSEDKNGRQDISRVPSPGITTPIQSLPPFTPSDGWTSKLVGAPLSTEPKKNLVASSVPQVAPSKKPEVALNSGTALSMAETVMQAPQRTSSGPQLSIDAQKIEERTLRQNTLRPMTSTTSKSSVTSSSKSKGTRIGDLAGTSKAIQQSLALPANGSVRAPAKTELSKLTLSGSFKILSREQNCTAQTPKDSPGNPVSPPARVASMEPHKKPPLSQKPKVSTHDLPLVQGSSGGVSKSRLKFFQSLRTKSNGSSSAVESGCEPSPSCGVDAKHDSCLNPGMKCMGNGKCFCEEANSSEGSQRHHSDNEENNSSLQSVDMAAGAQQLVVESLESDSSSELAYTGDEGFQVSGSENADGSSSSALADSDDGYKNSQSGNEEASSSSEATEPEDEEYPAEAILTAEDLAFMISLGWSKDEKVQPLGLEEIADYVRRHKGLEQRLFSMEANADIKIILLYLCGQS >SECCE6Rv1G0406730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:549339370:549339992:-1 gene:SECCE6Rv1G0406730 transcript:SECCE6Rv1G0406730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRAAAAALRTAALQGHRRPASTAAAHAERAKAKPLGDYVPVYVALGMIGLAGALGLHTARQQLAHAPNVRLDKRKRETVPEVADPDLALDEAERFVGGSVFRKVAHVQDDRSLTAGVADPVADYPPRKAVTLKDVGVDPPGIPEQSREGVLDRILKKNTA >SECCE7Rv1G0500290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:665355226:665360431:-1 gene:SECCE7Rv1G0500290 transcript:SECCE7Rv1G0500290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYEEENLNAMNGYEEDEEVEEEVEEEVEEVEEEEEEEERPAAEGSRDGGGGGEAVGSGEAGGVQGGRDVPAEPADGSGKIFVGGVAWETTEEKFTKHFQKYGAITDSVIMKDKHTGMPRGFGFVTFSDPSVIDRVLEDEHTIDGRTVEVKRTVPREEMSTKDAPNKTRKIFVGGIPASLTEDKLKEHFSSYGKVAEHQIMVDHSTGRSRGFGFVTFESEDAVERVMSDGRMHDLGGKQVEIKRAEPKKPGGGDSSSNSRHSHGGGGHRSSYRGGGGGGGGGRSAISSSSAYGYGADYRSAAAAYYGSAGYGAYGRGYGAYGGNPAYGSGFGSAYGGSIYGGPYGAYGAYGGAYGGGGYGAPGGYGAGAGAGGYGGYGGAGSMGGGGSASGRGSGRYHPYGK >SECCE5Rv1G0368970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:832588432:832591736:1 gene:SECCE5Rv1G0368970 transcript:SECCE5Rv1G0368970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAFRSNSCNGKNPAPPPSPAAAQAAVYRTSSAPVGGSKDNVGERKALLPRRPEGGTARKAYKGPKRRVQWKDTHGKKLAEVLEFQPSDSSDSDDDYLDTCICSVM >SECCE7Rv1G0481660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:286544262:286544771:1 gene:SECCE7Rv1G0481660 transcript:SECCE7Rv1G0481660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding protein CP1 [Source:Projected from Arabidopsis thaliana (AT5G49480) UniProtKB/Swiss-Prot;Acc:Q9FDX6] MCPGGRYAGLDLPAGAGAGAGDLRPAFDVLDADHDGRISREDLKTFYANAGATDERFDDDDIEAMIAAADADLDGFVQYHEFEGLLGRTAKAGADGGCRSAMEDAFRLMDRDGDGKVGFEDLKAYLGWAGMPVADDEIRTMISMAGDGDGGVGLEALARILAVDFDAIV >SECCE2Rv1G0116160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:761674180:761686560:-1 gene:SECCE2Rv1G0116160 transcript:SECCE2Rv1G0116160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLEYALAALQARLLQAAEDGDLPLFKRTARALDGGKGRLREAVQGATASNCGALALHVAAVHGRMPVCAYLVEELQVNVDATDESGETALSYAAVNGTVNIVRYLLDHGANPDKSGPGNRTPLHLAVGQGDCEIVKVLLSKGADVNFYSDSGTPLHIAAVFGLDDAMKILLDHHADCNKSVCIADTPLIVALRAHRRKCVKLLIKAGADLNGLGSADPVIVAIDEGLNDCLKCLLNAGADPNVLDDFGRLPIEVAASHNSREDVEILFPVTSRIPLVHDWSIDGIMAYVKSKEKDDPILKMNPANMKIEGNKAYKRKDYITAARLYTMAEGDYPENVTLISNRSVCWLNMGEGDKALRDAQICRALRRDWPKACFREGAARMLLKDYEKACDAFLDGLKLDPGNTEIENALREAFRSLEISHAVKKGH >SECCE1Rv1G0014920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:118657374:118662529:1 gene:SECCE1Rv1G0014920 transcript:SECCE1Rv1G0014920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQCSVEHTRKIVDENKKPRLDLQSMTHELDARSKELDELAAQTDCDRRKLELEKQRNATKSNHLMLAEMEYQKANENVRKLLEQQQKEKETALNNAKKLEEQFHVKHNLQLEIKHLTGKLQVIKLTPGNETSEIGKKIAELTEELQDKIDEMEYTETYNQGLILKEKKAAVELQEARKLVLDNLQDLGGQTSDKAHIGIRMMGELDSKAFLNVCRKYFPKDDAEVESIKICSKWQNEIKNPEWHPFMIAMVNGKESEVINEDDMKLKELKEEYGEEAYAAVVTALIELNGSGSGSGSRVPFRELWNKREGRKAKSKEAVQHAIKLFKASKRRR >SECCE6Rv1G0413230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:622699968:622700453:-1 gene:SECCE6Rv1G0413230 transcript:SECCE6Rv1G0413230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIKRKAAGSGDVPNAGAGEAIPSLADLAMRRERLKSCLLSFPEAATLDEPQLNDAADRIFKEYDPSTATPTPQGMVRLDDESIMAAFSFGNWLLTSTAEDEPPAGVDPLAWLDERRRMLDEEMKRYELNKGNSRLVIAKIRVDLLTKGYVELPKAYVES >SECCE7Rv1G0491750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:536005276:536005509:1 gene:SECCE7Rv1G0491750 transcript:SECCE7Rv1G0491750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYCVILHNMILEDERGLNLPCFYDNVGTRVQPERHPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRIGP >SECCE4Rv1G0248750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:575227106:575227636:1 gene:SECCE4Rv1G0248750 transcript:SECCE4Rv1G0248750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVLPAVDNKGKLSVYPMPEVVVPPTVAEEESKTPEAGDDERMEEPPNNKRRNYGHYHEEDGPTHLCKVMLAPKLECIPMPLDFTRHFVMVPMEFKLRKNTGCSWKVTVKLMNGRVTLDQGWATYAVVHQIKIGYMVTFKLLTPDILKVIIFDDDGIEVVNKCGKHDEVFAAKD >SECCE3Rv1G0211510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:951162473:951167033:1 gene:SECCE3Rv1G0211510 transcript:SECCE3Rv1G0211510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAGAAAAAQQLPWRQHYRNLLLLAYQSFGVVYGDLSTSPLYVYKSTFSGRLRHYQDEQTVYGVLSLIFWTFTLVPLLKYVIIVLSADDNGEGGPFALYSLLCRHAKLSLLPNQQAADEELSTYYRDGFAAQHGSSPWLRRFLEKHKTVKTGLLVVVLCAASMVIGDGVLTPAISVLSSMSGLQVRATGLQERSVVLLSCIVLVGLFSLQHRGTQKVAFMFAPIVIIWLFCIGAIGLYNIVHWNPNIYQAISPYYIFKFFSTTGTDGWIALGGILLSMTGSEAMFADLGHFTSASVRLAFITIIYPCLILQYMGQAAFLSKNMFHTRTSFYDSIPGPVFWPVFVVATLAAVVGSQAVISATFSIVKQCHALGCFPRVKIVHTSRWIHGQIYIPEINWILMVLCVAVTVAFGDTTLIGNAYGIACMTVMLVTTFLMAFIIIFVWQKNIIVALLFLLFFGSIETVYLSSSLMKVHQGGWVPLVLAFIFMSVMFIWHYGTRKKYQFDLQNKVSMRSILSLGPNLGIVRVPGIGLIYTELVTGVPAIFTHFVTNLPAFHEVLVFLCVKSVPVPYVQPDERYLVGRIGPRPYRMYRCIVRYGYKDVQRDDENFENMLVMSIARFIMMEAEDVSSSASYDIANEGRMAVIRTTDDAGTPLGMRDLGGLAESISSTRSSKSESLRSLQSSYEQESPSAGRRRRVRFELPNEDAMDQQVKDELLALVEAKHAGVAYIMGHSYIKARRSSNFVKKFAIDVGYSFLRKNCRGPSVSLHIPHISLIEVGMIYYV >SECCE6Rv1G0409760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:591587385:591589104:1 gene:SECCE6Rv1G0409760 transcript:SECCE6Rv1G0409760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGFLVDGPLLVLGRVLLLLLLPVAAWFVLDVSPVLTYSGSIITAKHLPQAQGFLQKQQHRLGHGDDDVLLQRLGGLADSADPASDRTRARKACSNYAKGEWVADARRPLYSGKECRRWLSQMWSCRRMERTDFSYESYRWQPHDCEMPEFSATNFLQRMRNRTLAFVGDSLGREQFQSMMCIATGGKRSPEVEDVGRRYGLEKAPSPFSPGGSAYRFPETNTTILFYWSATLSELEPLTDKGRSYALHLDRPAPFLQEHLPSFDALVLNTGHHWNKVKFRRNRWKLYDGGRPVGEANLRHLSHARDAKLHSIARWADEQLARHPRVSVFLRTISPVHFVGGDWNTGGRCDSTVPLSGGSRVSQDHSNDLAAERAVNGTRVRLLDVSAISQLRSEGHISNHSTKVQREVYDCLHWCLPGIPDTWNELLFAQMQ >SECCE6Rv1G0387870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:124712360:124712669:1 gene:SECCE6Rv1G0387870 transcript:SECCE6Rv1G0387870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGGRGVVGDRWSQRVLWMCAIGSAVSLYFVAVERQAQNRARAVSEGFKGLDGAGGGGSRGGEDV >SECCE4Rv1G0230700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:172686078:172691563:1 gene:SECCE4Rv1G0230700 transcript:SECCE4Rv1G0230700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAEAPLLEKKDAVYRKGCSGCAVERRKTLNPGVPYKEFFHIWTIILVSCLPISSLFPFLYFMIQDLHIAKTVEDIGFYAGFVGASYMLGRALSSTAWGMVADRIGRKPVIVLGIFSVLLFNALFGLSVRYWMAISTRFLLGSLNGVVGPIRAYAVEVCRPEHHAIALSLVSTAWAIGLIVGPMIGGFLAQPTEKYPKLFPVDSLFGRFPYFLPCLCISVLCFPVLISCIWLPETLHNHNTDEREDQASESLTASFSDSVEIVEHNITSTTKNTILKNWPLMSSIILFCIVSFDDMAYSEIFSLWAESDRKYGGLSFSSEDVGQVLAITGVSMIVYQAFIFPCIIRVLGPINTSRVAISLSIVLLFTYAPITHLSRPWSSIAVNIASILKMNFLITIVTSSFILQNNSVTQDQRATANGLATTLMSFCKTFAPAGAGIVFSWAQKRQHVFFFPGKIMCSASFII >SECCE2Rv1G0065770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10328120:10328467:1 gene:SECCE2Rv1G0065770 transcript:SECCE2Rv1G0065770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFNAFKSRVPVAWSPKLYITLVRGLPGTRKLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETEEMYNARKQADEQRRALRPPLVVSHAPTPKPAAAPEGAGQ >SECCE2Rv1G0121200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:806007415:806008419:1 gene:SECCE2Rv1G0121200 transcript:SECCE2Rv1G0121200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:Projected from Arabidopsis thaliana (AT5G42180) UniProtKB/TrEMBL;Acc:A0A178UJR4] MAPQLHPDRCRTRAWSWPRAPLGTLLLALAIVSLGGADALSLDHYRQSCPRAEAAVTAAVRQAVAKDRTVPAGLLRLHFHDCFVRGCDASVLIDSTATNTAEKDGPPNASLHAFFVIDNAKQAVEAMCPGVVSCADILALAARDAVALSGGPFWAPLLGRGDGRVSLASDTTSALPGPGASFDQLKQAFHALGMSVKDLVVLSGGHTLGFAHCSSFQSRIHGFRPGADVDPALNPSFAASLRRACPANNTARGAGSGMDSTSATFDNAYYRMLQSGRGLLSSDEVLLTHPKTRRFVALYAARQDAFFRAFVSSMLRMSALNQPGEVRANCRRHN >SECCE5Rv1G0346610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:662663232:662663543:1 gene:SECCE5Rv1G0346610 transcript:SECCE5Rv1G0346610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE7Rv1G0522380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:877462152:877463794:1 gene:SECCE7Rv1G0522380 transcript:SECCE7Rv1G0522380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADVARNIVGIIGNVISFGLFLAPVPTFWRIYKAKDVEEFKPDPYLATLMNCLLWFFYGLPIVHPNSTLVLTINGIGLVIEGAYIIIFMIYAAKNTRLKMLGVLALEAAFMAAVVTGVLLGAHTHEKRSMIVGILCVIFGSIMYASPLTIMGKVIRTKSVEYMPFFLSLVNFLNGCCWTGYALIKFDIYITIPNGLGAIFGLIQLILYFYYYRSTPKKGKNVELPTVLTKNSVTSGNVSVAIEK >SECCE1Rv1G0046190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614934550:614938633:-1 gene:SECCE1Rv1G0046190 transcript:SECCE1Rv1G0046190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVGGAEFHGFGGAAQLPRSRMLGRPVRVAPSGATPAGGGGPSAASIRAVSTPLKKDAKEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNVNETAVQLIKFHGSYQQSDREVRGQKNYSFMLRTKNPSGKVPNQTYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKHVISTVIKNMGSTLGACGDLNRNVLAPAAPYVRKDILFAQETADNIAALLAPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNSHGTNFPDSPEPIYGTQYLPRKFKIAVTVAGDNSVDILTNDIGVVVVSDSAGEPVGFNLYVGGGMGRTHRIETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRRYSRMKYLIDSWGIDKFRAEVEKYYGKKFEDFRPLPEWQFNGYLGWQEQGDGKLFYGVHVDNGRLGGQAKKTLREIIEKYNLDVSITPNQNLILCGVDQAWREPITAALAQAGLLEPKDVDLLNITSMACPALPLCPLAQTEAERGILPILKRIRAVFDKVGIKEEESVVVRITGCPNGCARPYMAEVGFVGDGPNSYQIWLGGTPNQTTLAETFMNKVKLQDIEKVLEPLFSYWNSTRQEGESFGSFTNRMGFEQLKEVVNKWEGSASAA >SECCE3Rv1G0197560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:819987672:819988082:-1 gene:SECCE3Rv1G0197560 transcript:SECCE3Rv1G0197560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAEKKPVETEKKPNAEKRVPGKDGGADKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE6Rv1G0412120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613031332:613046749:-1 gene:SECCE6Rv1G0412120 transcript:SECCE6Rv1G0412120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARGMAGAEGSPEGPALGAGVDPFEQARKALSLRTPFEGEETASRAPTLPARLVSWSGPSDRRKKHKKLELPDAAAEERPPQLSAALASGKKGAWDHFEAYFRPVTMGDVEMLTPKLPFAHGELDPCLAIPFLGTGEDLLNQGETFDVAVAETSSYLGVGGEEVVSTKERSGQTSDFVSHMGVEQGIHDVVVQQLVTTRERGDQTIEQRLHDAVVKREWPMEVEQGSSSGGGTESPACADEAGTSLNWLLGARQRVVLTSERPNKKRKLIGADAGLEQLVLLPRLGAQAGTMCDVCCLGETDMASNRMLCCNNCKVSVHQWCYGLHAVPDGQWLCTWCRYVESTGCSLKKDAGSTLSMPCLLCPKEKGALKPAIGEPSRTADGGNLKFAHLFCTLWRPEALVEDMDLMEPITNVGWVQENQRKLVCNICKVKHGACIRCSHGACRTAFHPICARESKHQMEIWGKSGLPTVELRAFCSKHSSVGYVNSVEKGNNASEQIPTEVRLNDANLGSAKLPKLRFTRKKKERSLNHEISSFNPNNLVKVETMEHGALPHKVRNLNTQATRSMEIDTDHPSVGENLMRNSGDIAMVLKKLIDRGNVSVSDIASELGISSASLEAALVGETTTFSHGLKLKIIKWLQKSAHILAVQAITLKGSSEVVQDNKLDGSDSTDSVNVKSSLVPEDKGATFEMSDSAVPKPSSPRSKDNDKILEEEKAILATGTTLENGKKNVVKGSADHEYFLAEDLAKEHTGNLSLVGGKDTSKEVDEKLISSSISGNKVSDTSMEVPNQLQGTSLGRKSNDLTEVELGSEVEECVSSLDKTSSWGDNAKHGSDSVENGICNHHDCNMDHVHGQPFFNFDDSRSYVHPFIKTKIAHLWNHDLKQNKQTQYHPKEQLCSSDEKRSVDSSVELTETTGIDVTDQLSKAKALGILDHSPDDEVEAEMLFLQAKLLDNAMVLKHSCEDLIVKVVQNLSCELDVFSKRKWDFIRVNQFLRDVREAKKRGRKEKRHKEAQAVLAEAAAAVAASSRNSTVRKDANDDVVRRESSPKFGAGSSRVAQRTTSLQRPKDLSKPSNSKVSPVTNSSIFHMPIYSKENALYCDVCLRGETVLNRVSVCSGCKAAVHIDCYKYLEICIGRWKCELCEDISPEAASSSDQSDSNDRKLSLVRCALCHGTSGAFRKTIDGQWTHAFCAEWLLETKYMRGQDDPVSGMETLVKEKDTCCVCTSKVGVCLKCTSEDCHTTFHPYCARDAGFYMNTKGFGTAVQHKAYCGKHSSEQKETDAWKYWPEEVNSLKRTRVELEKFRLLCERVIKREKVKRETVLCDHDILAKTKDTVVFSYRTPGASSESATTSVNNKSCSGTMQRSDDVTVDSSISGINTVRFSLNNRDAEGNTADSSRTLISFKRKFSERGPLAGKRLPQRSVNALQKLEDGKQKTKDNKQMETFQKELVMTSDQASTQNQRLPKGYAYVPRDSLSKEKPWKRNTQTHEPQEPGG >SECCE7Rv1G0457530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:17675974:17677431:1 gene:SECCE7Rv1G0457530 transcript:SECCE7Rv1G0457530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLRRACQEGAAVTLAENESIMQVVTLRGSNLIEVTDGEGVKSLALFPAKFQKCFWIKNGNFVVVDASGRDEALESGSKIACVVSRVLFHHQVRALEKSGEWPAIFKSTPNGWTTGPEGKTSQAEEEQNSEEEEDGDDNGMPPLKANTNRNRPFNVHSNTESDSDS >SECCEUnv1G0529930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9791561:9791908:1 gene:SECCEUnv1G0529930 transcript:SECCEUnv1G0529930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCSLLLIIVAIVVVAYPFRTSAEEEWYPIGDPDNEPHVQELGGWAVAEHIKQAHDGLKFIKVVSGEVANVAGLKYRLNIKALNKNGQPGAYKAILLEEVRDNERTLISFGPAN >SECCE6Rv1G0414800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:636826962:636827426:1 gene:SECCE6Rv1G0414800 transcript:SECCE6Rv1G0414800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADKKPVAESKVEKAAEKTPAGKKPKAEKRVPAGKTAAKEGAGGEGKTRGRKKGSKAKKGVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >SECCE1Rv1G0023280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:301850301:301856590:-1 gene:SECCE1Rv1G0023280 transcript:SECCE1Rv1G0023280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPVSPGGSAGYECSFKILLIGDSGVGKSSLLVSFVAAANLDDDIAPTIGVDFKIKFLTVGGKKLKLTIWDTAGQERFRTITSSYYRGAQGIILVYDVAKRESFTNLGDVWTKEIDSNSSNKDCIKMLVGNKVDKDDERTVTREEGLAFAQESGCLFLESSAKTRENVENCFEELVLKILEVPSLLEEGSSSVVKRNILKKQQESHAKYGGRCCQ >SECCE1Rv1G0007100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:38655642:38660427:-1 gene:SECCE1Rv1G0007100 transcript:SECCE1Rv1G0007100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGHDAPAVKEEAKAAPFPAWARTVEECEKRFGVDRDRGLSSPEAAARLRAHGPNELLEHPGPSVLQLVAQQFEDTLVRILLAAAAVSFALALSSSAGALTLSAFVEPLVIFLILVVNAAVGVWQETNAEKALEALRQIQSDHAAVLRDGEWAPALPARDLVPGDVVMLRVGDKVPADMRVLWLVSSTLRVEQGSLTGETNSVNKTAHAVPAEDADIQAKECMVFAGTTVVNGSAVCLVVHTGMATEIGKIHSQIHEASQEDDDTPLKKKLNEFGEALTKIIGLICILVWLINVKYFLTFELDGWVPRNIRFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVSKLVAIGDAPGKVRSFKVDGTSYDPRDGKIYDWPAGRMDANLEMIAKVAAVCNDASVSHSSNQYVSTGMPTEAALKVLVEKMGVPEGKNGLSVDPSETLGCCRWWSNAAKRIATLEFDRMRKSMGIIVTSKSGGNTLLVKGAVETLLERSSHIQLQDGSVVPLDEKSRKAVLASLHELSTKALRCLGFAYKEDLGEFATYDGEYHPAHKLLLDPANYAAIETDLIFVGLAGLRDPPREEVFDAIEDCRAAGIRVMVITGDNKETAEAICHEIGVFSPDEDISLKSFTGREFMALEDKKTLLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYLVIGLYVGVATVGIFVIWYTHGSFMGIDLTGDGHTLVSYSQLSNWGQCSSWDNFTAAPFTAGARTFTFDDNPCDYFQAGKVKATTLSLSVLVAIEMFNSLNALSEDTSLLRMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLLVALPVVLIDEVLKFVGRCTTASGPKRRLKKQKGE >SECCE5Rv1G0354510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:724075508:724077343:1 gene:SECCE5Rv1G0354510 transcript:SECCE5Rv1G0354510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGIRILAVALLALAAVGGATAQLRQGYYSASCPNVEAIVQAAVALKVQQTPVAVGATVRLFFHDCFVQGCDASVIIVSSGNNTAEKDHVSNLSLAGDGFDTVIKAKAAVDTQCPSPNLVSCADILTMATRDVIGLAGGPAYPVELGRLDGLVSTASNVDGNLPPPSFNLDQLTAIFAANNLSQADMIALSAAHTVGFAHCGTFTGRIQTAAVDPTMDPGYASQLLAACPAGVDPNVALEIDPVTPHAFDNQYFINLQKGMGLLTSDQVLYADLRSRPTVDAWAANSSDFEAAFVAAMTSLGRVGVKTDPALGNIRRDCAVLNS >SECCE7Rv1G0514120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:829979804:829983986:-1 gene:SECCE7Rv1G0514120 transcript:SECCE7Rv1G0514120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein, Metal ion transpor [Source: Projected from Oryza sativa (Os06g0676000)] MSGPRQCSSQPQFMTSVGQNNSLSNGPGTPLIDSIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALVIQSLAASLGVVTGKHLAEHCRAEYPKVTNFILWILAEMAVVACDIPEVIGTAFALNMLFKIPIWCGVLITGLSTLMLLFLQQYGVRKLEFLIAFLVFLIATCFLVELGYSKPNSSEVVRGLFVPEIKGDGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALTVAFLINISIISVSGAVCSADNLNPEDRMNCNDLDLNKASFLLKNVLGNWSSKVFAIALLASGQSSTITGTYAGQYVMQGFLDLRMTPWLRNLLTRSLAIVPSLIVSLIGGSSAAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGPHTNSRFISVLTWAIGSFIMVINIYFLITSFVKLLLHSGLSTVSQVFSGIFGFLGMLIYIAAILYLVFRKNRKCTLPLLESEAKLGDARHAEGEGSLGHLPREDISSMQLPHQRPASDLD >SECCE7Rv1G0512210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:808598476:808599591:-1 gene:SECCE7Rv1G0512210 transcript:SECCE7Rv1G0512210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyubiquitin [Source:Projected from Arabidopsis thaliana (AT4G05050) UniProtKB/TrEMBL;Acc:Q8H0Y0] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGH >SECCE4Rv1G0270310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:754994866:754998191:-1 gene:SECCE4Rv1G0270310 transcript:SECCE4Rv1G0270310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPSSPPPPAAATATATAAPTPAPASAPIILSDSPPAAAAGEPALTAAQKALRSKSARTPEDPDKKIKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYIRSATNEDLSVIVKRVVFQLHPSFTNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEDAGPQSTKKPVVVETYDEVVFPEPSEAFFLRVQNHPAATVPRLPPGMTLSSPGPMELMPHDKKRFDTKDHSLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLSMIEGMPQQPRVISGPGHQFGHG >SECCE4Rv1G0282840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:830680374:830681078:-1 gene:SECCE4Rv1G0282840 transcript:SECCE4Rv1G0282840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAGGNQAGQVSKKGKKKHAKDESDRQKQAEKKRRRLEKALANSAAIISELEKKRQQKQEEQRRLDDEGAAIAEAVALHVLIGEDCDEPRQLMWNGHRGRGHRDDFVDHEPALGVQAAGVDAYPYGSQSPLARASCPHMPQWRLTDCGMSGPFSFSSWERMGDFEGLYCKGTSCQTDQDTYCHGLVAATQAASPFGYGSEDPFPAHGTEGASSINIMLGGGTSNSLNIYRRQF >SECCE3Rv1G0169790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:242280412:242281077:-1 gene:SECCE3Rv1G0169790 transcript:SECCE3Rv1G0169790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLEFEDLSRTCRRDRFCQLCARAFCSHCCGYHHSGPFHSVIPVDVDAAGQPVFSTTFEFGDSEQSQRLRDAVIGTIAAQDYATPLLRDSYCMVCKRIFCAGACSHHHDLCRPDAVLDIREHGGTYCVRCTGSEPWFPHIESILGDPVGEDRDEHGRYQLLLPVLRRAPGKCVQCGAQAQWEHCSEPCAAAHQQEVALRRERREARRAARELAKLQIH >SECCE5Rv1G0376470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872421212:872421580:1 gene:SECCE5Rv1G0376470 transcript:SECCE5Rv1G0376470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTMAAMAKYLLPPTVHTGSSVVGRGGLSGVWLPIPNMEDVCIQDLGRWALAQHAGMTGHTGLRFSRVTGGEQQVISGVKYRLIVDAADNYGKTMRFLAVVYEKPWTNTRRLTFFGSAACT >SECCE7Rv1G0523850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883911191:883914098:1 gene:SECCE7Rv1G0523850 transcript:SECCE7Rv1G0523850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPALHLPLQINQKATSNLDSDSKLYDLKEQLVLLTTLVATLTYLSGLNQPGGDWKPQQEGGHVTGDPILRDTHNRRYLIFNYCNATAFVSSVVVCLILVMLRRNSKVWTVVLQVVMVVDLVALMCSYGGGACRYALTTIFVMALASPILAYIVYTFIGYLSLTSRPDNIIMNPRNTNTDYQEKEKIEVLMLLATFALTISYAAGLNPPGGFWRSTLKEEDGRLLHLAGDPIIHDRGLRRYQAFFMCNTTALVASLLIILLLLDKKLNRTISARFLAVYGLIAVALMGLMGAYAAGTSRDTLDTAKVISLSAAVPACVFLQLALNNVFRWKVIKNMRDTFCLWSRKSSPAGVNALADPALKNTCFFAMVLASFAVRITYQAALDPPGGLWQDNLDGHKIGHPVLQTTNPARYWLFFYSNSAVFVTSLLVVMMVQSKFLLTFRTLLASMVLDLIGLVIAYAAGSAREASTSIYFVAMIGLLLVYFHIVFFIGGVNENVVAEQEKLEDKRQVLLLIAILVAALTYQAGLTPPGGFWPANDEKLGHRAGYPVLVDTYPGRYTAFLYCNAASFMASVALVLLLVNHKLYRPALPFNALQVCIVVGTLALMGAYASGSSRDLKNSIYMLTLVVAVSASLPLQIAIFWYIRPHRYRLRHHDEPRTTRRQRVRGQGGIDREKDEEIEYLMLLGILAASVTYQSGLRPPGGTWQEDSGAYLAGNPILHDVDKRRYDIFLYSNSASFMASVLAIVMLLPLTLANLEWLRRCLPFHAADLKWPIWPVYTAILLDMLGLLVAYTAGSSRDWESTRHVMYIMVPILVYIAAYAAVPIWMDTRRKHPQSSSIQADGQMLPLFSSKK >SECCE5Rv1G0356610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741244823:741246763:1 gene:SECCE5Rv1G0356610 transcript:SECCE5Rv1G0356610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPWSTPRLLLLHRLAAARAISGRAYPPPSAHAAAPTSTPEAFHAHLASLAAPSRAGHPATLPSLLAALSRARAARLPLLPATRALAASSLLRHGRLPDALAHFNLLPDSAALPAPLCNSLLAALASSGSLAAARKVFGRMLDSAVELDTVGFGVFAKSVARRDGLDEVLRLVDTVHYQGDSINKSVVAGMVVDGLCRERRIEDAWRALEDMRLRGWKPDFVAYRIVSEGFRLAERAEEEGRILKQKRKLGVAPRKEDYREVLLALVSGRQISDAKEMAEAIVLGDFPIDDDVLNLLVCSVSEIDADAATMFCKFMIGKERLPSTQMLVQLCEGLCKNGKGDEMWDMFRVLLDKGYCTSESEYHLVVSFLGKAGKVREAYDVIKEIKRKKLDPGISSYNSLMVALCTNDLLRPAKKLWDEMFTSGSSPNLQTYNILITKFAEIGESDQVQQLFDHMVQKGVAPDSTTYTSVITMLCRENKYEQAGEIFNKSVVQDPKLASSVLTVFIFALCKQGGFKAALGVMSSLPSNIESSNSHVILLKCLTDVEEIEMASEHIKWIRHYCSSAFPNIMNELMASLSTSASLQPVRKLVRCLHSQGLVNEVGPWMKLIEDDYA >SECCE5Rv1G0367630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:822830128:822832540:1 gene:SECCE5Rv1G0367630 transcript:SECCE5Rv1G0367630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISAALSVSLLPPAARRAVSTATSSSPPRVKRAARFRCCAEPPSPEQQETPAPLPSPPELASSLWGVSTSAWTAGVAGLGLLETGYLSYLKLTGSEAFCPVAGGGCGDVLDSDYSVVFGIPLPLVGMVTYGVVTALSLQENREELLPVLDDLDIRLTLLLLATSLATASAYFLFILNTKFVGTSCLYCLSSAFISFTLFFIRLKDIGLARIQKFVGLQLAVAVIVALALTNSYSSATTQLKGTGDFVLEPYKTEVTSESTPFAISLARHLHSIGAKMYGAFWCTHCNDQKQLFGREAMEILDYVECFPNGAGKGKKMANECVATGLEGFPTWVINGKLLSGDQELSVLAEESGFVSESPEQS >SECCE7Rv1G0492580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:553246162:553248336:-1 gene:SECCE7Rv1G0492580 transcript:SECCE7Rv1G0492580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITGTAICALFIFFSLACCLPPLAVSLSFDYPTFGSEDQKAIRIEGNASFSVGHIDISANNWDVRKSQGRASYSAAPMLLWDKHTGEVTSFTTSFSFIIKPKFNSSRGAGMAFFLASYPSSLPTGSAGYYNLGLTNQKDGAMAAGDNRFVAVEFDTSNETEVSDPDTTLDHVGIDINSLKSVKTLPLPNFSLTGNMTAAVQYDNISSIMSVTLWLGDGHGPDYSLSSKVDLKSALPELVAVGFSASTGKSVELHQLLSWHFNSSLEGKTATVVAPPVLPPPSLRTSSSGVIAGAVAGASLFLVVFFAMSAFLLRRRHTKKREAKEEDMDSEGEVIMEMEFGTGPRRFPYRQLTNATRNFAAEEKLGQGGFGAVYRGHLRELGLAVAIKRFSKESSMQGRKEYTSEINVISRLRHRNLVQLVGWCHNHDELLLVYELMPNRSLDIHLHGKGTFLTWAMRMKIVLELGSALLYLHEEWEQCVVHRDIKPSNVMLDESFVAKLGDFGLARLIDHAVGMQTMTIVSGTPGYVDPQCLITGRASSESDVYSFGVVLLEVACGKRPMSTSANKQGVSRLTEWVWDLYGQGGVLEAVDEQLNGQYDEAEVDRVMAVGLWCAHPDPSARPSIRTAMTTLVSKDPKQLPVLPAKMPVPTYAPPMAPWDGQSSSTGLSMSTVTRSSTTSGYTGPAPMVTPRA >SECCE4Rv1G0253210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:623908214:623908699:-1 gene:SECCE4Rv1G0253210 transcript:SECCE4Rv1G0253210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKSKEADAARCRRHPSHRHAAGVCPFCLSDRLSRLSAAASAADAASEPSSASSSGAASVASAQTAPPCREARRARLGMLMRQEEPEAAAGGHHGKKEAGTAPAEEEEKKPAKRGSFWARLQQASWYRRDGCSVAHSRSAGKKGAAAAPPHKRAASLF >SECCE5Rv1G0327840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:497705678:497714292:-1 gene:SECCE5Rv1G0327840 transcript:SECCE5Rv1G0327840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRGSNARRTGHRRIAECLADDQATNTDTSDNESFTTAYGDEFFAAGSGGGGMLPAFLADQEDLVEVMLELDEESMVVRSVTPTRAALYSAAAMPHTPEAPGGGALSRCSSTSSRIRKKFAWLRSPSPAPSPSPRVPTPAELQREAAMAARERRRIQARVNRSRAGAKRALKGLRFISRTTGSLEAAELWRRVEERFNALAHDGLLSRDNFGECIGMVDSKEFAEGIFDALARRRRQNLERITKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYAALIMEELDPENLGYIELWQLETLLLQRDTYMTYSRPLSTASGAQWSQNLGVGAAPAAGGAASKGEEDDSSGPQTWGEGMRERRRGWGRGVAKAASHVRVAAEENWRRAWVLALWFAAMAALFVWKFVQYRRTAAFQVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRVIASGPEEYRLVAGAFGASKPTYGRLISGVEGVTGIAMVVLMTVSFTLATHPFRKGEKEASASRLPAPLNRLAGFNAFWYSHHLLGFVYLLLLAHGYFLFLVRRWYEKTTWMYISVPLLLYVGERMLRALRSNAHPVKILKVLLLPGSVLTIQMSKPYGFRYRSGQYIFLQCPMISPFEWHPFSITSAPGDDYLAVHIRTNGDWTQELKRIFVENYFSPHMNRRTSFSELGAAEPRPTPAPKLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILKDLLNNIKLADELMDLAMETTQTSRSEDSANSFSVSTASSNRKRSYRTSRAHFYWVTREPMSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWREVFTKIAAKQPNSTVGVFYCGAPTLAKELKNLSHEMSHKTSTRFHFHKEYF >SECCE3Rv1G0157840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:83968747:83974467:1 gene:SECCE3Rv1G0157840 transcript:SECCE3Rv1G0157840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPQQPLLPAPSGGRLLVLYASQTGHAEEAAGRVGREAERGGCPAVHVLSMDSFDPRRLPGERFVVFVVSTAGQGDPPNSMKGFWRYLLKKNLDARWLQGFRYAVFGLGDSGYKEYNVAAKKLQKRLSQLGAKSIIGIGLGNDQDSSGYENALGPWLLSLWKSLNRTNPLLLPRMPDIINPNLNNLGDAKVEVIYYSSDDTPQDSIVSDSKKLIGRARSMHPALMFRNDGEPQYMLKMVTNQRLTKKDPERDVRHFELEDPFSAVRYQVGDALEILPSQNPSAVNAFIKRCNLDPDCYISIRANGKDEVSKGSPVNGLIDRIKLKTFVALTMDVASASPGRYFYEIMSYFAKAQHEKERLHCFATTEDEKEKLQKKRLQKERLEEFASPEGRDILYQYNHKEGRTVLEVLEDFPWVHMPFEWLVQLTPPLKKRAFSISSSPLAHPNQIHLTVSVVSWLATPFRRKHGLCSTWLAGLDPNEETLVPCWIHRGSLPPPDPSTPLVLIGPGTGCAPFRAFVEERAAQRARQPTAPILFFFGCRNEDGDFLYRDFWSHHAQDNRVLSAKEGGGFFAAFSRDQPEKVYVQHKIREQSARVLNMLCSGAAIHVAGSSTKMPADVRAALEEVVREKGGGDTGWLRKLERAGKYNTETWS >SECCE2Rv1G0100110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:534524335:534526824:1 gene:SECCE2Rv1G0100110 transcript:SECCE2Rv1G0100110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCRGGDGGGGGGGASGDGDGNNQILWDWQEKEPGEPSDGNHDVAKFVWDCLNRDDDDDELLGLLGNQTPLRDCRAFFDIGDITCKETLDLEESRESKRRRVLEYPSEVNQPEVGDHEMCSNFVTSEVAETSLLCTDEPQSLNWNMQLNSDDLDKFSSLSNGASYEASDNQLDNYSEGATIYYTPDQMPSSQESVTYVGCQTDVPGTSEIAPVTESLIMHETRKLSTLKVSKGGSSMIKAKQNVTTSIAYPFTLIKPSWEEGDVTLKDINQRIHAPPKKPPEILETSAFSGKPVIGKTRIRTEGGKGSITILRTKG >SECCE2Rv1G0102260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:575373645:575376541:1 gene:SECCE2Rv1G0102260 transcript:SECCE2Rv1G0102260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAQAAAPLRLHLGCSGAAPPRLSSLRVGVGFGSHARREGGRRRRSPPRAASSLHPSSNPRAHDHDPALKAHAPAPAADVAGRDLNGAADHAPQQRRRAASDLEEEAWALLRESVVSYCGSPVGTIAACDPNDPSPLNYDQVFIRDFVPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVVPLEGDEEGATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSFHERIDVQTGIKLILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQSLFYSALLCAREMLTPEDGSADLIRALNSRLMALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPEGGYLIGNLQPAHMDFRFFSLGNLWAIVSSLATTRQSHAILDLVEAKWSDLVAEMPLKICYPALEDQEWKYITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAARAVEVAERRISADKWPEYYDTKRGRFIGKQARLFQTWSIAGFLVAKLLLENPEKSRILCNNEDEEFANAFNLMADSCSPNRKRGRKGLKKTYIV >SECCE4Rv1G0226780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:114008106:114008384:-1 gene:SECCE4Rv1G0226780 transcript:SECCE4Rv1G0226780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQEGDQCGRPASSVLVWVVTVLLLLAVLGGGGCLVAYVMLPPSEAPGWIAAVGLGLVALPWAFWLATAAYRCATTRSADGAVAPAAAGS >SECCE2Rv1G0109750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:680509825:680512864:1 gene:SECCE2Rv1G0109750 transcript:SECCE2Rv1G0109750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAKAAASMDHAVEGAMSATLLSLLGVTAKSEKGAAAADDKVEWLRSQLIGKNVEFDTPFGRRALTYADQTASGRSLSYIEDYLVKEVLPFYGNTHTEDSHVGSKTTRLVHKAARYIKRCMGAGPGDALLFCGAGTTAAIKRLQEVIGVALPSVEMRDRLSAQLRAEERWVVFVGPYEHHSNLLSWRRSLAEVVEIGVDADGLVDVAALRRALGSPEYADRPMLGSFSACSNVTGVVTDTREIARVLHHHGAFACFDFAASGPYVKIDMKSGEVDGYDAVFLSPHKFVGGPGTPGILLMNKSLYRLNSQPPSTCGGGTVAYVNGFNEEDTLYYDDIEEREDAGTPPILQKIRASLAFWVKEYVGYDTMDLRERVFSEVAMKRLAHNPNVRVLGNTSVHRLPIFSFLIYPSVIVTKKPFDDFDEPGCDKPLEKMRRKQLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHILLDVDDELSLRIRSAVLEGYSGLKPGWTRLSFSYYLSTEEFKFILSAIEFIATYGHRFLPLYKFDWITGNWTFQKLAVKYQLMREELSLAMEPLKHENDQPKLADKMDKPEVNHKKFQSYLESAKKIALSMPDTSNQIVSYPKGVDPDLVLFHI >SECCE7Rv1G0469080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:105797841:105798371:-1 gene:SECCE7Rv1G0469080 transcript:SECCE7Rv1G0469080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKITLLAVAAISALLLGTASAATYGVGEPGGSWTLNTDYSNWVSNKKFHPGDEIVFKYSPAAHDVVEVSKAGYDSCSTASAINTLTSGNDVVTLNATGTRYFICGIPGHCSPTAAASMKVTIEVVPGASSPSSPMPAAGPGGSNPPPPSSTATSVGAAAGFGLVALLATGLMV >SECCE1Rv1G0013750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108298423:108303466:1 gene:SECCE1Rv1G0013750 transcript:SECCE1Rv1G0013750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAFAVHPAAVASQRHSVRQRQQFCAPIVTAAPRRRLPVAMLSVTNAVATQSRESAPAAPRKLSFPILVNSCTGKMGKSVAEAAVSAGLQLVPVSFSAIEVPDGKLNICDTDIHIHNPSESERILRSIAKDYPDMIVVDYTVPDAVNANAELYCKLGLPFVMGTTGGNRQLLNKTVQDANVYAVISPQMGKQVVAFLAAMEIMAEKFPGAFASYKLEVMESHQATKLDVSGTAKAVISCFQKLGVSFDLNEVNLVRDPEEQLAIVGVPEEHLGGHAFHNYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAAMFLHTKIRSGADKKLYDMIDVLREGNMR >SECCE1Rv1G0036250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:507694497:507696311:-1 gene:SECCE1Rv1G0036250 transcript:SECCE1Rv1G0036250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLPPPHGPRAAGDPKKTDVAGGKLPPCAAADPKKPAAGGARSAAKLALASFLGVVFLFAVDVSLSGAGADRRLRHQYQHYLGGGPAESAPPSWLSVPEPTSFAEDLLGGGRAEGAPPSWLSVPNPTNFTDDLLARWLTPGGSPCRDARTANISVPALDGAAAAGGVTKLSAAEIHEFTFWALDGTGLRRCLGGDFFEIDLSGEAWKSRPPVVDNGDGSYTFRLQVAPRFAAGEFHLTIVLLFRSFEGLKFSSARFKYRTELRRIPLLFRPGNASLPALETCQAADFARDVWSGRWTRLARNDKCEDVDDAGRYRCLEPEHPCEAPWCDGPLGALESNGWVYSAHCSFKLFTANAAWRCLDGKWLFFWGDSNHVDTIRNLLTFVLGVEDTSAVTRRFDAVFTNPSGEPGTLRVTNIFNGHWNMSMNYLGLHSLRHKGFRQLVRSYFVGDDRVPDVVILNSGLHDGCYWSSVRAYVQATEYAAQFWASVMAKVRLRGLAVPRVFYRTTVATGGYARDLAFNPNKMEVFNGVLVEKMRQHGVLTGGVIDNFDMTFPWHYDNRCNDGVHYGRAPAKLVWRDGKIGHQYFVDLMLGHVLLNAICNG >SECCE3Rv1G0209570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939370713:939371675:1 gene:SECCE3Rv1G0209570 transcript:SECCE3Rv1G0209570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSPSPSGRRLSELLEERQEPFFLDLHLLEKGCSGRLLDGYDTAMCWPAAAAGNDAASVLKRLTSKKKKAGASTRGKQQPAAGSGLLRLLLSKILHGKAASQKPAALQCSESFKRVAPSSKHLDAVKLRAGADVVGDQQETEYSDSEYDDEKQQSPVSVLEHPFESSPVHGHSRFSNVQGSPKNAMAVVRELLMEMETAYTPALLTKLFDKSEDLVNDANDLVDHDGDDDDYYYRTSPKNFHEEDGTVAAGSPATSAAYWATHRAELARVSELVSSEVPRSRLDAASVQPERRDVCADLEAAMLDALVLELVMDLGGC >SECCE3Rv1G0213390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:960682203:960682820:1 gene:SECCE3Rv1G0213390 transcript:SECCE3Rv1G0213390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPASLVHSSTAAAAAPNPAASSSWSSAPAAMISIDPNMVVILAALLCALVCLAGLALVARCTCRRGARRSTPFTGNSITMTLPLPPRGLKKKAIDALPVTAVKEGQQLEEQCAICLADLAAGEELRVLPRCGHSFHVACVDAWLRAHATCPSCRATILDSSTSSSSSPPLSAPGRCRRCGAACDGDSVAASASADTDGISFLP >SECCE2Rv1G0071430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:44105512:44107494:1 gene:SECCE2Rv1G0071430 transcript:SECCE2Rv1G0071430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLARRPSGLSNISRICIPHTVSWILSARRAGFSSDGDDATHNSLSRLSDLFQPVRMDISRVIMRVLESGTCSESVELERLNVELDPFVVNLVVRGLSDSETAVRFYWWAESRPGFDHSQFAIAYIVSLLFVDGNFALLSEFLGTVRSQGVALHRSLYRILLSGYVRAGKFDSVIETFDEMVMSGCREFGIDYNRYIGVLIKNCCFDLVEKYYGMALSKGFCLTPFTYSRWISALCQSNRIELVEELLADMDRFGCSPDIWACNIYIDCLCKQNRLHDALEMVEKMHGKGTSPDVVTYTTVVGCLCNNKRFSEAVGLWEEMVKMGLKPDVVACGALIFGLCKNSKVEEAFELASRMLSLNIELSVSIYNALISGFWRAGSIDKAYTIISFMRTNGCEPDVVTYNILLNHYCTIGMIEKAEKLITKMETSGVNPDRYSYNQLLKGLCKTHQLDKAFAFVSDHMEVGGFCDIVSCNILIDAFCKAKKVKSALELFKEMNYKGMQADAVTYGTLINGLSSVGYYNIAEELFEQMLKAQIDPNVNLYNIMLHHLCKVGDLKRAQKTFSHMIQKEILPDTVTYNTLIYWLGKNSRAMEALDLFKYMRTQGVEPDSLTFKYLINGLLDEGRSTLAYEVWEYMMENGIILDREVSERLIRVLKLKNK >SECCE6Rv1G0442910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:819738062:819740769:1 gene:SECCE6Rv1G0442910 transcript:SECCE6Rv1G0442910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRPKLSDSDAAGVAAGGGEDRLSALPDDLLIHILLKLCDAPVAARTSLLARRWRRVWALLPELHFPAVTDPDGIRAALAAHDAPALGRLFVDSIEATPESVAAWLPIAARRLSGVLHFKNSRNMDEASAASPLKLPCFQNATQVILDLGSIGLTLPPSGIFTRLADLELVRIQLHGPCSISDVVSSSRCPSLRRISIGSVRGLDMFTIHSEFLLKLELFDLPSLQQLNVVGLALQELVVWRCFTNALNQSQPIANISAPQLVTLDWRSFYDPSSILLGEMPHLQQLTMIHFFVYGQDASSAHNRHCLMLLQHFHHISRLIHCLVYPPVQVNGRFLMEEMTKFPNITILTLRVIAFGHSFGATLFHVLRMSTGIRELVLKLDLETHPPCQSGCICNELSNWKTEELVLRFLEKVTINCLRGTEHELALVKKLFNWTMMLKGMTVNFHDSISEIKGEELRKVLLSLSRPGICMKFVHHGKACSFG >SECCE7Rv1G0454060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:1133451:1134311:-1 gene:SECCE7Rv1G0454060 transcript:SECCE7Rv1G0454060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPPHLDIDLNELPPPSPSPPPSPAPAVLQSPPREFAAHTAPPPPPPPPPQIPPPANVHAQSLLPHQARELALAFHRAESWRLAAATASAPATAGSSLEVPPPPVLQSPAFAPPPLRPLALRSPAFAPPPLPPPVLQSPAFAPPLLPPPPPPPQLPPPADVQAQRLLAHQAGEIARAYHRRSAAPAGSSVEVPRPAPAQHLCAACGLPELPGSTIICDACERGFHQGCVNVVRRPPVAVKEGWMCPECAVGAVAVQDDIIRQLCQLPLDQFLMVLHLFLFSEYYK >SECCE1Rv1G0050400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:646274482:646275963:-1 gene:SECCE1Rv1G0050400 transcript:SECCE1Rv1G0050400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVISALLGDLVGRAISFVVKKSREQTTAEEDLQRLRQLLLRISAVVEEAEGRCVTNRGMIHQASTMREQMFRGYYLLDAFRCREKKTDDEEVSRFLFAQSNFNPAKRFRRLSSNTQIESTVIVRVSSQELKQVVLGLESMIVDMKEFAIFLMSYPRMYRQPYGAYLFVDKYMFGRQMEREQAISFLLQAELPYGNLGVLPIVGSAFVGKSTLVDHVCNDERVQNHFSLILLYIGNNLQDETMTTFRDHCVIKHQNISLDEEKSLVVIELLGDVDKGVWKRLLHSSERCMPHGSKIIITSRSEKVASLGTTEAVRLKYLSKEAYWYFFRMLVFGSTDPEEDPKLTSIAMEIALEMCGSFIYAYVAAALLRENFSARFWYRVLRHLREYKQKNILLFGEYPAEEDQPRYILSLAERRQGSEDTKFLLQSSHCHNGPASHGGVPKITMVDLLSGTWSTMPQGKFEVLSWRSVIPPYYSYTTVCEFVRHDSSATA >SECCE4Rv1G0287900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:857184272:857186977:-1 gene:SECCE4Rv1G0287900 transcript:SECCE4Rv1G0287900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFFGRGSRNQRTFRPKKTAPAGNNGMKLKRHIDATLGSGNLRDVVCLPVGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGSKYEYRWADGIKIKRPIEVSAPKYVEYLMDWIEAQLDEESIFPQKLGAPFPPNFRDVIRTIFKRLFRVYAHIYHSHFKMILKLQEEAHLNTCFKHFMLFTWEFQLIDRAELAPLRELIEPILVGH >SECCE2Rv1G0071180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:41482078:41485052:-1 gene:SECCE2Rv1G0071180 transcript:SECCE2Rv1G0071180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRPFTNVDPATAAALRRQGCDPHELDQFVEHVLTYIYTLVPRPPFTDNRRLTALAASTDGVDRISSLPDELLRNIVARLPVKDAARTAVLSTCWRTLWRSTPLVLSDAHLLPGGHAWPPTRTNSPAVTAAVSRILEAHPGPFRCVDLVCSHMNAHLAQLARWIQLLAAKGVQDLVLVNRPWPLDVPLPATLFSVSTLTSLYIGFWKLPSADVLRGASFPHLVELGICSVEMEHDAIESLVVRCPVLEILNVEGCLRELRLRLVSQSLRCVQICSSVMENITVLKAPSLERLIVFGRVGRGAFRVRIVDAPKLHTFGFLEPGQVLEVGKTVIMPGMKASTSTMLTTVKILSLNVRFGVRSDVKMVPTFLRCFPNVERLHIMSERCDQPTGNHLTRKFWEESGPIENVVSRIHTMCICEFRGDTGEVGFLEFFFGSARALRSAFIVMANPRFTQFSTEEALAKVRHSCGNMAAESSYQFVVVNQGQPGGAPWNFKTGADFSFPDPFSFAAGVFQA >SECCE6Rv1G0432250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:750595113:750597068:-1 gene:SECCE6Rv1G0432250 transcript:SECCE6Rv1G0432250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGSEGSGMEECSEDRCWEDLARDALGLIFCKLSLQEILIVVSVVCKPWSKVVSGPDCWQDIDIQEWSQQSEPDQITSMVHMLLTRRDGSCHRLSVSRLPNDSLFAFIADNAQSLKTLEIPRSKINDFIVEDVAQRLTKLTFLDVSSCTKIGARALEAFGKNCKSLVRLRRVMHPMDVAGKVCHNDEACAIACNMPKLSHLEIGYMIIVTTAVIEIASQCQDLKFLDLRGCWDVDGKSLQAKYPGLKILGPAVDDCYENNFWDECSDDDPIDAWDEFVDDDYFTIGSDDEAIWDDDHALE >SECCE7Rv1G0457780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18695945:18702310:-1 gene:SECCE7Rv1G0457780 transcript:SECCE7Rv1G0457780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGMVEDPCTSGAHRRCGSCGAVAYCSKDHQFIHWKVHKEECARLATQMSRIDMLSQFPFTFSVEPLALNHTNRSMRCLFLESMKVHLKGLWKSECICGPDIACVKDLSITTEWNLESSLCPCTEPENPVPAPLASWEDYFQWRSLPLHSPVAVLLHWPLTLYHCLQLSRIQTSRYDGHDTLHIHYLGPEKELLQLAVFAELRALFPGVHLRIELVGPAVPRSRDGEVVNISSYPNCSGESCHCRSSMSSENLNCSAVTLRIWKGLYHERYGDIVKDSNPHLILAPNAGVAAYPSWMPTIEMIRGIGVPAIFTDFCEEAAHLASCCISSITGQPLGLPIQVNPFRQPIAESNSALYIPCYSNSFVFGM >SECCE2Rv1G0126820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:848795116:848797873:-1 gene:SECCE2Rv1G0126820 transcript:SECCE2Rv1G0126820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASVLSLPVAASFPGAATAIAGAAGCFALGYFLALARLPIRAAAPDSGDDDSEDDSDEDDDENSGRARPAKRAAGRKRTGLRLLFWSRNVVTKSDSAREAERAQVQTASAPLEIENLAKIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKVESEEDMLVLQGRAKSMNLPTHITIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLL >SECCE2Rv1G0081860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:145580154:145580465:-1 gene:SECCE2Rv1G0081860 transcript:SECCE2Rv1G0081860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSLIQREKKRQKLEQKYHLIRQSLKKKIRSKVSPLSLSEKTKMREKLQSLPRNSAPTRLHRRCFLTGRPRANYRHFGLSGHVLREMVYECLLPGATRSSW >SECCE5Rv1G0323860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:440477579:440480862:-1 gene:SECCE5Rv1G0323860 transcript:SECCE5Rv1G0323860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVPHLVPLAKAHSPSPMISLLQCADKTREASNPVTPSLKPLCPHSPLSPPTKPTTMAALLLLLPLVGLLVLHPLPIIASDDASYLLAAKADLSDPAGALSGWEADSSHSLCAWPHVLCAGQPTTVAGLYLGKLSLAGGFPASFCSLRSLQHLDLSQNDLVGPLPACLAALPALLNLTLAGNSFSGEVPPAYGYGFRSLVVLNLVQNTISGEFPWFLANISTLQQLLLAYNAFTPSPLPEKLGDLADLRELFLANCSLSGEIPSSIGNLGNLVNLDLSMNVLSGEIPGSIANLSSLVQMELYKNQLSGRIPEGLGGLKKLQFLDISMNRLTGEIPEDIFAAPSLESVHIYQNNLTGRLPASLGAAPRLADLRMFGNQIEGPFPPEFGKHSPLGFLDMSDNRMSGPIPATLCASGKLTQLMLLDNQFEGAIPAELGQCRTLTRVRLQNNRLSGSVPPEFWGLPLVQMLELRSNALSGMVDPAIGGAKNLFDLLIQGNRFTGVLPDELGNLSLLRKLLASDNNFSGPVPPSVVELSELSQLDLSNNSLSGEIPREIGQLKQLTVLNLSHNHLAGMIPPELGEIHGMNSLDLSENELSGEVPVQLQNLVLSAFNLSYNNLSGPLPLFFSATATYRQSFLGNPGLCHGKCAGNDDPGAIPAVRVHLIVSILAASAVVLLMGLAWFTYKYRSYKKRAAEISAEKSSWDLTSFHKVEFSEMDIVNSLDENNVIGKGAAGKVYKVVVGPSSEAIAVKKLWASDVESKKRRNDSFEAEVATLSNVRHKNIVKLFCCVTNSACRLLVYEYMPNGSLGDLLHSAKAGILDWPTRYKIAVHAAEGLSYLHHDCVPLIVHRDVKSNNILLDAEFGAKVADFGVAKTIENGPATMSVIAGSCGYIAPEYAYTLHVTEKSDVYSFGVVILELVTGKRPMAPEIGEKHLVVWVCDNVDQHGAESVLDHRLVGQSHDEMCKVLNIGLLCVNTVPSKRPPMRAVVKMLQEVRGENKPKAKKEAAPAL >SECCE2Rv1G0088760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:247968262:247969762:-1 gene:SECCE2Rv1G0088760 transcript:SECCE2Rv1G0088760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPRASRGGSGGGGGEEVKMEDFVESMLNLGGGGESEESEEGEQLPAADATQYKSKNLDAERRRRGRLNRNILALRAVVPNITKMSKESTLADAIDHIKKLQNQVLELQSQLADSPGEAWEKQGSASCSESFAPTDNIHYQGQVELIPLGSCKYNLKIFWTKRAGLFTKVLEALCNYNVQVLSLNTITYYGYAESFFCIEVKGEQDVVMVELRDLLSSIVEVPSI >SECCE2Rv1G0138230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920989024:920991275:-1 gene:SECCE2Rv1G0138230 transcript:SECCE2Rv1G0138230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:Projected from Arabidopsis thaliana (AT5G27450) UniProtKB/TrEMBL;Acc:A0A178UNV0] MEICARAPGKIILAGEHAVVHGSAAVAAAIDLYTQSSLLLPPSGEGGAAGEVEVDLTDSGLAFSWPCSRLLEALGETCRKAELQAPRPCSPEELAAIAGLVELHEIPEAKIWLSAGLSAFLYLYTSILGCRPGKVVVSSGLPIGAGLGSSAAFSVSLSGALLTAAGVVCAEGAAREAGWQLFGKDHLELVNTWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSGNPVKMLITDTRVGRNTKALVAGVSERASRHPDAMASVFHAVNTISEELSSIVELAATDEIAMTSKEEKLAELMEMNQGLLQCMGVGHSSIETVLRSTLKYNLVSKLTGAGGGGCVLTLIPTLLSKLVLEKVTTELESHGFRCFIVEVGGQGLQIQQG >SECCE2Rv1G0092630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:364293926:364296511:-1 gene:SECCE2Rv1G0092630 transcript:SECCE2Rv1G0092630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G03800) UniProtKB/TrEMBL;Acc:W8PUG9] MATSTSSSPAPLPLAPPPRSRLSFAAPPPPATTTSTATHGTAPRLRLVPHATDPRAAHAVAAKSGAAALSDARLANAVMCGYIRAGRLTDAVKVFDRMTVRDAASYSALISGHARLGSPVSATETLFRSMRLAGLAPTEYTFVGVLTACIRRGNPRLGTQVHALATKELYCGGSLLVANALLGMYVKCGRLEDALRMFDGMEERDVSSWNTVLSGLVELGRYEEAFELFGDMRTGDVAVDRFSLSALLTAATEGFSLAQGAAVHALSLKLGLEVDLSVGNALIGFYAEHGDSVEDVVGVFKRMPVKDVISWTGLLNGYMEFGLVDNALGVFDRMPERNFVTYNAVLTGFCQNKEGVRVTFARKAGLQGLGLFRQMLENGLEMSDVTMTGVLNACAIAADRKMSEQVHTFVIKCGCGSSPWIDAALIDMCIKCGRSGDARLLFEHWRHQESFHIAWSSLLLSSVRDGEYEKAFSTFLQMFRRSDIQFIDEFLLTTVLGVCGALGFTELGKQMHLLAAKSGLLRACGVGNAIVSMYGKCGQLENAVTFFQRMHHRDLVSWNALITAHLLHRQGDEIWDIWSQMERLVIKPDSVTFLLIILACSCTDSDSADACMELFLCMSSKYNTEPAMEHFAAVVYVLGCWGHFDESEQFIASMPFKPGALVWRSLLESCSKQSNMKLRRRAMNHLLALEPQDPSTYVLASNLYSESAKWHCSENTRLEMREKGIHKIPARSWTFDDNAIHSFFARDRSHPQSKDIYAGLDVLTLECIKAGYEPDTTFVLHDVEEYQKRHFLMYHSAKLAATYGLLMAGSGKIIRVVKNIRMCGDCHSFLEHASAATGKEISVRDSNGFHIFRAGICSCRE >SECCE5Rv1G0338090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:596300321:596300980:1 gene:SECCE5Rv1G0338090 transcript:SECCE5Rv1G0338090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGYHRTLLVVLDRQFGHLSASKMKIGKGTEVLKKVAATCKNKTSVLAARLLVLVSLRRRMATVGAFSHRIHALVAATDREKGARVDCHKALVVRKVEKTPSIHGGEIVVNISHQLALFDPEDDGDGGCTDWALHPIFNDDDNNCCYTHKCEVDHDEEDGDVLVDECDEDVDDQPSVMDVIRNNREAEGLEFSIDDEIDQAADMFITRFRKRMNQSF >SECCE2Rv1G0112670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:712952736:712953392:1 gene:SECCE2Rv1G0112670 transcript:SECCE2Rv1G0112670.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAVSLPRSAGRAALPFPAPLKLQGRVVLPRRALSGAPRLRQRLVARCAAADDNAAVEAPIEKRFAAFPTIMDINQIREILPHRFPFLLVDRVIEYKAGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEALAQVGGIVMLQPEVGGSQDNFYFAGVDKVRFRKPVTAGDTLVMRMTLTKYQKKFGLAKMEGKAYVGGDIVCEGEFLLISSTE >SECCE6Rv1G0387600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:117194708:117196746:-1 gene:SECCE6Rv1G0387600 transcript:SECCE6Rv1G0387600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVLNSGGGRLCRLPSLLPHHRLMSGSSVAAIFFQPSLPADPATAIQSAGIDLSHPNAIPALLVHPDLASNYPAASRFFSWAASHDAAVLNSKCFNSMLQLAAANSDAAHFWSLVSSMRSKGYGISKTTLQAATESFRSNGMSKDADMIQKAFSAHARNAAVAEACKILRSDADELSKLDKLNELGVEVSDEMVALVVEKVGQFPRQAMVFFNWVEQSAGAGINWGKAYNAMSKIIGREDCIEEFREVLRKMTSKEVGMDKEVYVILIDRFLKRKMFEDAVDLFLFAMGGTEKPSAQDFVFLLKKLVVRDDMDLKLVMRVVRIYQKAGNEVKSSVFDAVIKSLRSVERLGESGRVLKAMEDGGFAPDSTVHGKAVLAMCGAGNLETARKHLARVEKSGHKLDPLVWSALVQKYSLGDDVDMAVSCFPEMLERRSGNQVGSALEVLVYGLCRKKEAKEAFKVLKDLVLNKDVVPWQSTYKYLIHKLIRQGHLKEAFDVLGLMKSNGFPPYIHPFITHILKSGTVDDALSLLKAMSSKEFPSRTVYMRLFQGLFKEGRREIAQQLLSVSPGSVRNHADVLDLFYKMKIEEPAAEQ >SECCE1Rv1G0035660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:500273955:500275786:1 gene:SECCE1Rv1G0035660 transcript:SECCE1Rv1G0035660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNPTTSRPGVSTIEEKSTGRIDQIIGPVLDVTFPPGKLPYIYNALVVQSRDTADKQSNVTCEVQQFLGKNRVRAVAMSATDRLMRGMEVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDSSATFPIHRSAPAFIELDTKLSIFETGKIGLFGGVGVGKTVLIMKLINNIAKAHGGVSVFGGLGEQTREGNDLYMEMKESGVINEKNIEELKLALVYGQMNEPPGARMRVGLTSLTMAEYLRDVNKQDVLLFIDNIFRFNALRSGLSTYSYVPANDLTDPAPTTTFAHLDATIVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGKEHYETTQRVKETLQHYKELRDIITILGMDELSEEDRLTVARARKIERFLSQLFFVAEVFTGSLGKYVALAETIRGFQLILSGELDVLPEHAFYLVVKEIIVSTNSGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTSVLWSGFARIVNNEIIILGNDAELGSDIDLEEAQKALEIAEANLSKAKGTKYLVEAKLALRRVRIRIEAINWIPPSN >SECCE3Rv1G0210600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944149899:944154373:1 gene:SECCE3Rv1G0210600 transcript:SECCE3Rv1G0210600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPRRSYSLESRVRRLILSDTGLAVPGSSADDVARAIRSRHREYQRYKLDLFASVVRRAIPSLPPPGDASCSDSASGAPRRRSSHDATTSSSTTTHSQSPPSPAFDVTKSLLRSCYSSQTSKRDPDADQQHEMELAVEKVLMRPDAQGGHGGRRRVMFADLGGIESVIERLMLEVVVPLCHPELPLHLGVRPVSGILLHGPPGCGKTTLAQAIADETGVPFYPISATELVSGVSGGSEENIRTLFDKAYRTAPSIVFIDEIDAIASKREDMQRGMERRVVTQLMTCMDEFHQNIPSDADDMEDDSQSYEKKKPGYVIVIGATNRPDAVDQALRRPGRFDREIYLGVPDENARKQILERLTQKLRLPPEGQFDLLKIAKATPGFVGADLKALVDTAGSVAIKRIINARKDKFLKEGNNLDYWKHPWDKHELQCLSIIMDDFEEAIKDIVQPSLRREGFSSVPDVTWACVGGLDSLKKELNRSIVRCIKYPEFYKKFGVNMQAGVLLFGPPGCGKTLIAKAVAHDAGANFIHIKGPEGLNKYVGENEAYIRRTFTRARVNSPCIIFFDEIDALTTKRGMEGAWVVERLLNQLLIELDGADQREGVYVIGATNRIDVIDDALLRPGRLGQKYFVPLPSANERHSILKALIHSQRKPVSCTVDLDAFARREECNNLSGADLASLVDEAAKEGLDESLELLENGELSISSLCSVASIELSHFEKALSKIKPSVSEQQRKHYEALSQKYSAM >SECCE1Rv1G0027160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:378911150:378912300:1 gene:SECCE1Rv1G0027160 transcript:SECCE1Rv1G0027160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASMVGMPKSPRSVAFGAAASPFGERRKARFCVAACGRDDQQPPPSMAAVPASLRAIQAKRKMAAQARGVPRATSAAGCAVAALAAAVEAVQGAAVGGASGAARGAGDAVAWVFQKVHFESPDLAVGLLGIVASCLGTAVEMEMERIRAKEMEESAIKAKPAAAVDDDDGNGEDADEADDMPMLVGMEVEKELWARIGIHHDDDDDDMPLGDDNDEQEAIEAARAGIRKAAYERIIATSEANSLILSNYAQLLYEFDKDLDRAEDYFKRAVAIEPPDGEAMRRYAVFLWQARGDLAGAEDMFTGAIDEEPDSSHHRSSYAWFLWMTGGVETCVIDSGSNNDTE >SECCE7Rv1G0456930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:13594197:13595674:-1 gene:SECCE7Rv1G0456930 transcript:SECCE7Rv1G0456930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADADSKRVLLACNGGGDAVSRGVAAGLARHGCRLVLVGDEGGLVATAEEARRCAAGGAAAVAVVGLDLAACDEAAVGAAVDAAWRCFGDGGLDALVNCCSYEGEVQDCLSVTEDEYTKTIKVNVITPWLLIKAMAKRFRDAQSGGSVVCLTQIIGAERGLYPGAAAYGTSLGAVHQLVRLSAMELGKHKIRVNAICRGLHLGDKFPVSVGEGKAEKATGEVMPLRRWLDPEMDLAATVLYLVSDDSRFMTGTTIYVDGAQSIVRPRMRSFL >SECCE7Rv1G0510020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:785936170:785937212:1 gene:SECCE7Rv1G0510020 transcript:SECCE7Rv1G0510020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLQRLRHMLLTTGDGGGHHQLANLAGASAAAMPRPCYGATVPSQQGCQPYADLFTLPAPVAATSAADQYSEFLATAAGVRPAGAQEMITKKRRRDEQLSVLGAADVLAAHAQEETIAVDRILLKHAKKMWTTLAEQTQSHARHIVSAVEARAAKRLKAKDEEIERVRSMNLALEERLRHLFMEAQMWRDVAQSHEATANVLRGDLQRALDAQAVGAGGCRHGQEDDAESCCWGENQVPLCAEEEVGTPEVERPATGAGRCKACPGAAVVLLLPCRHLCVCAPCAAAAQACPACGSAKNGSICVNFS >SECCE5Rv1G0347050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:665149532:665156366:1 gene:SECCE5Rv1G0347050 transcript:SECCE5Rv1G0347050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGSTTNLIHPSKIQLPNYTVAMDGKLMVATDRGDVEKLKDVLSGTATSKNHSMEDQPPAADINPLLLMSARMGSWDVLNVLLEREDQKKPPMMIPTPEVRELIARGSNGQRRTTVTTAHGDVEEGVDHEPASLSAGALLKGVTPEGDTALHAVASNSRECQHFFSLLKYAIIIYDRDHVLLFAKNHKGDTPLHCAARAGSFKMVSHLLRLAAREGADAKLRLLRMENKCHETALHEAVRFEDGGVLGHKEREAFLAAAAPEGGENNRDDADSAPEEENIVKLLMGADPELANYPAEGISPLYLAILLEKSTIALTLYAMSGGNLSYAGANGQNALHAAVLRDRDPVVAEFLMHWNKSLTMQADKDGSTPLHFAASVYFWTGSYLYLRLLSRPPWCRFLVCPWRSTRSIGEVLSANSLALYQADKNGSFPIHVAASVGAEGVIKLFHDMSPDSIGLRDAKGRTFLHVAIEKERLGIVDNVCRTPSIAWILNMQDNEGNTPLHLAIEAQSLWMFCALFGIREVNLNLINNHGETALDLSRSKIPRGMGYGLNSENRIRDALWCAGANGGARRWDKADEIYSRRVKPADEERESERLRNAAQALIIAPVLIASVAFGATFALPGGYRADDHTNGGTPTLSGRYVFDAFIMATTLAFVCSSAATVGFALAAIPVISLFTRVININASFFLMSISITSLSLAFALGVFMMLAPVAHNTAVAVCVITPFVLLSASMEAIIKLVILARPLCNRIGKCRGMARLLQMHLLLVVSALWPIIVSFGWAALLARIRHHG >SECCE6Rv1G0385280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:74723843:74730681:1 gene:SECCE6Rv1G0385280 transcript:SECCE6Rv1G0385280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETDCHVESFKPEDDKKRTPPEIAVADEPFPFFGLLCYADALDWLLMVSGTIGSFMHGMAPAMSYYILGKAVDMFGDNIGNREAIVHQLTKLLPYMWSLAIVTLPAGMIEIACWMYTSQRQMTRIQMAYLGSVLSQDVGAFDTDLTTANIMAGATNHMSVIKDAIGEKMGHFISNFSTFLVAVIVAFVCCWEVGMLSLLVVPMLLVVGATYAKTMIGMSMTRTTFVSETTTVVEQTLSHIKTVFSFVGENSAMKSFVKCLDKQYKLSKKEAFIKGLGLGMLQIATFCSYSLTIYVGAVAVTRRSAKAGETIAAVINILSGAIYLSNAAPDLQIFSQAKAAGKEVFKIIKRNPVISSESNGRILEKVIGDIEIREVHFTYPSRADNPILQGFSLAVPAGKIVALVGSSGCGKSTVISLVQRFYDAMSGDILIDGQNIKELDLKSLRRNIGSVSQEPSLFSGTISDNLRIGKMGATDEEVIEAAKTANVHTFISKLPNQYSTEVGERGVQLSGGQKQRIAIARAILKNPPILLLDEATSALDSESEKLVQDALDRAMQGRTVILIAHRISTIINADKIVAVENGRVAHSGTHKELLEKSAFYSSICNMQNLEKESGQSRERITEQDEEEQDNKPSFAADDKEKKIELTSKQPKQGARKRTSAFYRIFLGTFKLVPGKVLLGSTAAAVSGISRPIFAFYIITVAMAYLETDAQRIVGKYSVILFLVGFLTFFSNIFQHYIYGLVGERAMNSLREALFSVVLRSEIGWFEEPGNSVGFLTSRVVSDTSMIKTVISDRMSVIVQCISSILIATVLSTAVNWRMALAVYAMMPCHLIAGLVQVRSAKGFATNISTSHQKLISLTSEAVSNIRTVASFVQEEEILRKTYLALQEPMRTIRMESIKYGALQGVALCLWHMTHAIQLSCSIALIGKGLATFENCVRSYQTFALTVPSITELWTLIPMVMSALAVLDPALDILDRETQIVVPDVPKVFHDEEDRIVGGIVFENVSFSYPSRAKVTILDGFSLAIEPGQRVALVGSSGAGKSTVFALLLRFYEPSQGRVLVDSKDIRDYNLKWLRRQIGLVQQEPILFNLSIRENISYGSEGASEAEIVQAATEANIHEFISGLSAGYGTVVGDKGSQLSGGQKQRIAIARTILKKPAILLLDEATSALDGESERVVMSSLAMKGWGENRSSELSLSRATSITIAHRLSTVAGADTIVVMEKGAVVEVGSHETLVSASNGVYSRMYHVQVKGAKD >SECCEUnv1G0527580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1416928:1418043:-1 gene:SECCEUnv1G0527580 transcript:SECCEUnv1G0527580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTVKCCLLLLLFLAVLSPEARATSCHPDDLRALQGFAGNLSRGGVLVHDAWSGAACCAWDGVGCDGATGRVTALRLPGRGLTGPIPGASLAGLVHLEELDLGFNSLRNISGALTVLRGCQNLTTLILTKNFGGEELPDDGIIGGFKSLMVLDLGYCALKGRVPEWLSQCKKMEVLDLSRNQLVGTVPSWVGTLDHLCYLDLSNNTLVGDVPQSSKGLNTSECSPGINFTNMSFYLKHGRRSKHRRQRKHAPNVIAGTNNVVISGSNNVMAGNDNTVIFGNDNAVSGSYQVVYGNNHVVTGDHHVVSGNNHAASGSHHVVIGKHNIVSGTHNDVGGTKNIVSGSKNVVSGSHNTVSGKNHFVTGHNKVVT >SECCE5Rv1G0302800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:42039525:42040174:1 gene:SECCE5Rv1G0302800 transcript:SECCE5Rv1G0302800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIASLIASRSSFARSGHALPAAAGAAISQAQHAASPLLSGFGSAARAFSSRPLWKGAFVDAFLQRIKKSGGSLNGRKIWSRRSSILPEFVGSSALVYNGKTHVRCRITEGKVGHKFGEFAFTRKRRPHRVITAKKAGGQGKGKK >SECCE2Rv1G0103730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:594630507:594641835:-1 gene:SECCE2Rv1G0103730 transcript:SECCE2Rv1G0103730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7C, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G21730) UniProtKB/Swiss-Prot;Acc:Q8W5R6] MSSSSRSTRSSISPFRSRRSPAVAAPAAPPPTRTSSGGRASTPASSARPTTPSSSSGGRPTTPSAAFARPATPTSGRPTTPSSTASARPTTPSSVSSRATGRAPSAAAADASNAKENIMVTVRFRPLSPREINKGDEVAWYADGDNMVRNEYNPSIAYAFDKVFGPATTTRRVYDVAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPSGETEEEEVRLCQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQYSLSGHGRISLICTVTPASSNTEETHNTLKFAHRSKHVELKASQNKIIDEKSLIKKYQKEITCLKEELQQLRRGMMGNGYILPTDQEDLVNLKLQLEAGQVKLQSRLEQEEEAKAALMGRIQRLTKLILVSTKSSISSKVSGQASLRRRHSFGEDELAYLPDRKREYSIEDDDVSLDSEFSIEAKLDSNNSDESARFDKRNRKRGMLGWFKLKKSEQLSGLSPSADSESTASGSPSFSRSSQQKHLLLDLKDGRRKSVTRKADDSALGDSFLERTQAGDLFSAAPIVRHPLPSGTTIVDQIDLLQEQVKMLAGEVALSTSSLKRLLEQAANSPDDSQIQDQIERLKNEISEKKSHIHVLEQRIMQSLETTDDPAIRTEMTQTFSRLSTQLSEMTCELEIMSADNKILQDQLQTKVSENAELQETVAQLRRQISNLLKATKSENNVAGTQFSEPSTSRSYPRDQADEFSSHENIPSRTAEENKESPLKSQVLMQAAEIENLKQDKLRLTEEKDGLEIHSQKLAEESYYAKELAAAAAVELKNLAEEVTRLSYENAKLVADFTAAKELSASVTRGNDTKRRDQDNGILVEEMQKELVASCQREAALEDTLSQKSRRENELLKIIDDAKCHEHDLENELENMWALVSKIKKESSQDDLFEFKSKQNGFHSSKTDTGRILSDMEASDNWKWDGISTLEEAKAAYNFERRRCEELENAMSRLKGEDIRGLEVKVLEELQNFHVEALSRICQEKMAKQVL >SECCE5Rv1G0297890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:6861566:6862672:-1 gene:SECCE5Rv1G0297890 transcript:SECCE5Rv1G0297890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPWSSLHLDLLARIFLFLSCIGDRVRACAVNKHWRQVALQNPSPLPCLVRPSATRIDSYRIFGRFADPNPSHSAEGRAGRFCGSAPGGWFVVACPPGGGHALLNLGTGELVALPDRVCIRLNSGDIRCPMMIRAAAMSAAPSSGACVVAAITSTRTTMAFCRPGMECWTSLPAEMTIQPDAQDLTYHDGWFWVVDSDDDLFCYKVELSTSRQTIEHLSYWIPAPRTDMEHGEIVFRYLLPSASGADLLMVRRFISPATSHTSRFQVFRLQKPQEGRRAAWRVYEMTRQLLFVGRACSKAFDTGHAGNPGYVYFLDDVYRGGPHQQNEYPCADAGGWRYSSPGEIQRCLPSAPPSDTSPCIWYHQ >SECCEUnv1G0542140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98535486:98535782:1 gene:SECCEUnv1G0542140 transcript:SECCEUnv1G0542140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDFFEKERFDASEFIVLIPLPTRSMLLMILAHDLIAMYLAIELQSLCFYVIAASKRNSEFSKEAGSKYLILGAFPSGILLFGCDRTTTDQFFGTYL >SECCE2Rv1G0084480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:175755627:175758271:1 gene:SECCE2Rv1G0084480 transcript:SECCE2Rv1G0084480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRRGARPASPPAALEDEDLLRKIFLLLPPQPSTLPRVSVVCKQWRDVVTDPQFLRGFRDQHRKPPLLGLVMGHTGSPYFRSDLDPPDHIPHERFFPRDILRMNMDIFDCRHGRVVFFAQRLGEVVLFDPATGGRRCVVVPPVFKEKDVGVFNAAVICVSGDEGHVHGNCHTSAFQVVLMGISDDYKQAFASVYSSESGIWGDIISIENREMYDLRQPSTLIGNAFYWLFDGDEEGILEFDLGRQSLANIEMPQSHGYYWSRSFRVMVADDGCVGLAILARYRFEIWERMANCDGVAGWVLQKTVELNTILGLGPMGGRDNLILGYDEVDHVIYIRTDIGVCMVQLESMQFKNLGKDNFSTTAYLPYRSFYTAVSDLAVCEKTGDLFAPLANDYLLASWGIGAGGNEAATSSETLPEGGTFGKPKHEDQGMDADPVPSRVLKQTRIDVIFNKETETRSKLSKAWAKWFRSNGVPANKADCPHFRSALKLTQQLGTHFIVPTGNEIDGADVDASDEELP >SECCE6Rv1G0438930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:793359572:793361321:1 gene:SECCE6Rv1G0438930 transcript:SECCE6Rv1G0438930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTSNAEVMSMDLSPKMPAKAYGSDGGAYYDWSPADLPMLGAASIGAAKLHLSAGGLALPSYSDSAKVAYVLQGAGACGLVLPEATSEKVIPVKEGDTLALPFGAVTWWHNAEGSSAELVVLFLGDTSKGHTPGRFTNFQLTGAAGIFTGFSTEFVARAWDLDQDAAAKIVSTQPGSGIVKIATGHRMPEPRAEDRQGVVLNCLEAPLDVDIPGGGRVVVLNTANLPPVKDVGLGSDLVRIDGKSMCSPGFSCDSAYQVTYIVRGGGRVQVVGIDGTRVLETRAEAGCLFIVPRFFVVSKIADDTGMEWFSIITTPNPIFSHLAGRTSVWKAISPEVLETAFNTTPEMEKLFRSKRLDSEIFFAPN >SECCE3Rv1G0192760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762537170:762540444:1 gene:SECCE3Rv1G0192760 transcript:SECCE3Rv1G0192760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH [Source:Projected from Arabidopsis thaliana (AT4G03240) UniProtKB/TrEMBL;Acc:A0A178V3B4] MASRKLLFGLTAARRASPRASVLRSASPLLEASTSSSRATATATNRSGGSPWALLFSPRAFSSTPPAQQSAGDVPVPSVVDQKLIMPEDKFHKLADDTIHDLLEKLEEYGDSQQMDGFDIDYGNQVLTLRLGDLGTYVVNKQTPNRQIWLSSPVSGPARFDWDAATDSWVYRRTGVNLMRLLEKEIGELCGTPVDLS >SECCE1Rv1G0008540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:47557553:47558731:1 gene:SECCE1Rv1G0008540 transcript:SECCE1Rv1G0008540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKGVNSQSPKPKVVFVLGATATGKSKLAISLAKRFGGEVINSDKIQVYDGVPILTNKVTEEETAGVPHHLLGGVHPEADFTAEDFRREAEAAVSRVLSAGRLPVVAGGSNTYIEALVEADGAAFRAAHDCLFLWLDAAPGMMEWYTGLRVDEMVRRGLVDETRAAFDEGADYTRGVRRAIGLPEMHDYLLAEREGAVGEADMAALLERAVREIKANTFGLVLQQAAKIRRLSTLEGWDVRRVDATAVFAAMAEGLGHKEIWESTVWEPCQDMVRLFLGVRTPTFHATLPTDLEVDEAMAGLSQHVPVIPAAAVGDDDGSVVLTPPTLHEHENGGSFNDKGAAGAVLNGTDDVVDKDPACGAGHGDGDHGSYAGVAHAAPATAGATSGNTA >SECCE6Rv1G0427110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:717981162:717982289:1 gene:SECCE6Rv1G0427110 transcript:SECCE6Rv1G0427110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASAAASPPPHHGLPDEIFIWEILVRLPPKPLLRCRAVCRAWRHATSARDFLLAHHGRQPSLPLLYGYNFVGDEVDSLDIIPFDHRAGVAPTDQLRSVARLGRAFFRPLASCDGLVVLTMNYARFTICNPATRQYALLPLLSDFIFLGMYPHGPTGEYRLLLGPEAQDGCYVYTLGSSQAPRHVDIDCPDVEEEELIYPSGSVLFHGSLHLCIGSMIIVFDTTSESFRQMRSPIDPLGADLFEMDGMLGMSMYNDVMASIDIWMTQNYQSEVWALKYRVELRAAEFGNFSKHWWVVAVPSDGDVFVLVKFDDRLLQVDVDGKLVANFYRRGLGPTRFRLKQNLVSHTFFPTLEGYVVNDSQVFYPRLSTEGS >SECCE2Rv1G0085100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:185731742:185738585:-1 gene:SECCE2Rv1G0085100 transcript:SECCE2Rv1G0085100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVKVDMLEIEKAVDKIQGNVPKVEWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLTYDNLASGLKLALEKDKSALDADRLKNYTGPQLRELLNWPRPLPIEEERVRLLHEVGLELERSFGGEAANLVKSSGNSAASLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGENYGEFYDIKSITIFADYIVPAVLRELGILKYESSLCCSIDSNREIVPGSEEEVEIRACSVHAVEKMRELINKKFGKQLLSIDIDLWLWSVGVQNMALSHHRTLSIYY >SECCE4Rv1G0258370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677614973:677616117:-1 gene:SECCE4Rv1G0258370 transcript:SECCE4Rv1G0258370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDQQWKIPPNVQELAAAGAEEPPSRYVVRDRDRPAFPGAGGDVTDPIPVVDLGRLSSGDADEAANLRSALQTWGIFLAVGHGVVPAGEMMAVTREFFNLPLEEKQKYTNLVGGKKEYRIEGYGGDMVLSETQVLDWCDRFYLVVDPESRRLHDLWPTRPPAFRDVLHRYSARCRELADAVLREAAGAAGLPDEGCLADMLDDKAVTYVRLNCYPPCPRPDRVLGFRPHSDGGLLTVLLAETAGLQVRRDDEWYDVPVVPGALVVNLGDVVEVVSNGVLRSPVHRVVAGAERERVSVAAFYTTDPEREVEPAPELVSEERPRRYEKTKKAGDYVRELLESLARGERAIDKVKV >SECCE1Rv1G0054400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:674809630:674813911:-1 gene:SECCE1Rv1G0054400 transcript:SECCE1Rv1G0054400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVSSLLVAIRSEALLLGGVRDDVQFIKEEMESMQSFLAHLARSAPSGREHEEQVRTWMNQVRQLAQDCNNCIDLYLYQGNPNIYRVRSGLRRYLWWASWLLHKLVAQHRVAEQLHRLKERARDVSERRLRYGVEVPAKSGQGQSPLSAGAPVASSVTRAAAGEDDNDDGDYQLMGHPGRSAFIEPRTLNGYVKAKLCEWIYGVPGNAGESMSMILVAPHNYQDLVALVQETLGMSLGIGYHRFVLVDIPVVHPDFAPLRPKEVIFYILHEIKHAEFGHEEQGTECEVEEYLDPWEVYTRRIKIYEEKKRALALFKIKENIEEMRIYEKLDKIKSDIQGRLLRGDRLPLQGWFDQLDIDVLLQLLLQAAVAASQQDQGKKKNMYRLPAWDNNNIIVKKLKEHMEAEEKDKKLEEKETDKHMGEEEGEEPVAKHTEEGGGEGKRKEEEEGKGEITIWEGSEEEEEGSEEGGETAKHMEGRGGEMGSQQAKWIHLEDAQYAHILRKLFRNSSNTQDRSLDKQATKTTTGTLGEDQTKQIIHDIRELQEGKYDKSEGTAESAVPDQSPETVSEKIGQMMDKIKHEFKEQLKIKGLVEEIKHNLNYRPQLGNYECPLFIVKVDEPMDVYTWEDTRNALSLLNCSADLMMVTTTNGVQRAKEYCYPQREPIYYSLAGLYHDTMLELTSQQKNEHGYNPQIFHDILSECEPYEFCMKIFTHALYANPKRSNEELLKLHSTLRASPTSFSSIAKVMFKFSYNDLPEKYKSCLLYLAIFPPGHTIRRSTLIGRWIVEGLTSKEYWFSSVCQANQCFDALVDRCLVYPADIGATGHVNSCIVGDPIHGFITTIAREQRIVETRLSHHLARHFSIFNDLQLRSSDRIDKFFQGLSNSSRVSLLKVLDLEGCQCFVGKKQRYLKDICSKMLLLKYLSLRRTNITQLPGEINNLRELEVLDIRQTEVPPHATANILLLKLKRLLAGHIDLNASDFGSSGRIPHRIDKMVSIEVLSNVKANHSHDLKDIGKLWQLRKLGLVIDDKDSHLRNLVEIISDLHECLRSLSITAGIPVATPHEGTPSSPELPDGIGSRLANHPKILESLSIRGTTQKGRLLPLFIKGDRNKLAKVTLTSTLLSQDDLEVLAKLPKLRCVRLQHIICTEPMLSFKEGEFRCLKYLLVEGSGLAITFEDGAAPELEKMVLSFTSTGSISGVDLVPNLKELELSNIFCGRLLSSFDDATQIAKLTLRGTLLEQDALQILAKKPNLRCLVLLDKSFGGIQNEITLKDEFSWLNLLVVDCSAITKIVFTSGSAPRLEKIVWSSFTSLSGIHKLPGLKELDFNGDQVPDEVREGIKKHKNKLSLKMNKRTTTMLQDALSVAKKQVRCWKGLFSFDPVVWFACDSVDCNLFCLYVNFFLLYN >SECCE7Rv1G0506690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:752071129:752074701:1 gene:SECCE7Rv1G0506690 transcript:SECCE7Rv1G0506690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIAPPSSPSCLRGKLFPRFTGTNSQPMNSVLRCDISVSPTLASNSSRRTSSVHLANNHRIKSFHVCYAGVDPSERIVINGQASPSKTVQADAAALGTIAADMAPVVDGFSVDDDELDLDLPTEGFSSIPEAIEDIRQGKYVIVVDDEDRENEGDLIMAASTVTPEAMAFIVRHGTGIVCVSMKEDDLERLQLPLMVVAKENEEKLRTAFTVSVDAKEGTTTGVSAKDRASTVLALASPYSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDDDDGSMALLPKLKDFAERENLKIVSIADLIRYRRKRDRLVERVCVTPLQLQWGSFESYCYRSLIDGMEHIAMVKGDVGDGHDILVRVHSECLTGDIFGSARCDCGNQLALAMTMIEKAGRGVVIYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPADSREYGIGAMILRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENRRYMETKRLKMGHIYEDLPNGHTSGMSDEEQYQEESSSEQDQTPEP >SECCE7Rv1G0521050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:872897402:872898838:1 gene:SECCE7Rv1G0521050 transcript:SECCE7Rv1G0521050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMSPLWKAVLCLTLFAAWRAQVEFRTMTSPSRRPLDCAQRCPCSGQSYLHGPYGHAGAQPRDPTLAATARPLAPGGDYVSVAVGAAAGSGDAGGKASCLPLAREVGVRAVVGRGANFIVSPLSIHSALALVAAGTRGQTREELLGFLGSSSLDELHGTPAMELVGKLNGLAQTSFACGVWVDRRQALESEFMATAASRYAATAESVDFVQDADQARRRVNAFVADATNSLIRDVLPPLSVDSSTVLVLANALYFKGAWSQPFDRSSTFTAPFHVPDGTTVRVPFMTTGRFELKQHIAVYQGFRALKLPYKNDDGDHTAVFYMLLLLPDDGNSLGLVDLYDKAVAAPDFMRHHTPAHQVPVGRFMVPKFKFTFEFEASADMRKLGVARAFQGGDFSGMVSGGDGLFISGVYHKATIEVDELGTVAAAATAVVIGQSASAPRPPVDFVADRPFLFAIVEEKTSAVLFVGHVLNPHLAG >SECCE3Rv1G0149280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:23551683:23557278:1 gene:SECCE3Rv1G0149280 transcript:SECCE3Rv1G0149280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGVVLLLLLLTPTPFLVAANFCDNVKAAAAALSKNVTSSPVNFATSTFGQPPDVVYALALCRAGDVVDGSACGDCIANWFPTVNQTECHKFRSSYGDCIVVYSAGDDILPPPSNATGGSGENTLPFELWNITNVTTGDVPLIVGLTRELLVETAEKAASTTPIRYATGVIDMDRVTTYPKVYSQAHCMPDLSADDCLACLRRLLGMVNSTMSVRTGGQMGITRCYFRYEAYRFYGGQPMLTVPLLPPALATKHRSMLWAILAVVVPLSAAAFLFFICYSRRLRSKRKGSRRDWSLKGDLVWQGKKSEFSFFDFHQLLEATNSFAEENKLGQGGFGAVYKGQLPEGLEIAVKRMSSHSGQGFMEFKNEIQLIAKLQHTNLVRLLGCCSQEEESILVYEYMPNRSLDFFIFDENKRALMDWSTYVAIIEGVAHGLLYLHKYSRLLVIHRDLKPSNILLDYELNPKISDFGLAKILSSNDTEGNTTRRVVGTYGYMAPEYASKGIFSIKSDVFSFGVVVIEILSGKQNSGDQQCGGFINLLGYAWQLWEEGKWADLINAPLLPGSHSAKMMRCINIALLCVQENATDRPTMGDIVSMLRNEAMILAEPKQPAYINVRVGNEEASTALEPCNIKDMTI >SECCE2Rv1G0098920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:501251041:501251832:1 gene:SECCE2Rv1G0098920 transcript:SECCE2Rv1G0098920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLFRRVNIKELISNVPVYTSSTETSGGMSLVFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNVIVRQRGTRFHPGNYVGMGKDHTIFSLKEGHVRFERNKLTGRKWIHVEPVAGHTLHPVYANGSATAADMEQL >SECCE1Rv1G0021140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:264933183:264947283:-1 gene:SECCE1Rv1G0021140 transcript:SECCE1Rv1G0021140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASEYPHMQGGASSSSSSCRAADAAAWDAVQQQKRQRCQGSSSGDNVGSCTENNSFKTSEAKQQNFDSSEIEEEDYYIDDEDEGCYDDDNEGSDYEFDESDFNQQLADKFDDLDLPPGVEASVPWLQKAATDDGPGNFKSMSEIEDEIGKKYKFFKQFDTVEDFSDHHYANKPVGKTGKEWTKRIQHDWKLLENDLPASIYVRVSENRMDLLRAVMIGPQGTPYHDGLFFFDAQFPASYPASPPTVYYHSGGLRLNPNLYACGKVCLSLLGTWEGHGCEKWSSAHSTMLQVLISIQALVLNEKPYFNEPGYETYANNPSGQRTALEYNDTTFQYSCRTMLYSLRRAPQHFEDLVAGHFRERGRAILAACKYYMEGNKVGSVVPDEDDEDKELESANAEGSSSSSAVKPKNNQVDLRAGAGVVRPASFKTNMEVLFEELLMEFNVKGADTKKFCAEKLKKSQPAAA >SECCE3Rv1G0145410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:7087619:7090033:1 gene:SECCE3Rv1G0145410 transcript:SECCE3Rv1G0145410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASRLPDDVLLEILSFLPAKSIGRFRSLSRSWCANLSSAPFVEFHRRRANNPDQPKLFFSATFDDNEASGSEDDNEALDDSEDDDEASDDSKDGDEASEDSEDDDEASEDSEDEEGYFYSWQPSGGSVKKLMENKFWSPAPLTKPLHGLVLIRSVCLGGHNLGDGYDVCNPSTGEFLYLPDTRLPLKTIGRYSKTHGHLPCYWDVAYGFGYCSVTHQYKVVRVFSSPTTCCEVLVLGVPACWRLTAQQPPECVVEEQNPAVFLDGYLHFLCKDGGIITFSVNDETFDSLSQPPPYANHEPEDDAIAARLTELDGCLCLCREKTNGDGPYQVWLLRDHEPQQWEQLCSFDRSVWPEPEQTQLRTQWITPLAMHNGRSGQRKIMFGTGTCRVFTVDLDGAPEVLLIPDEAMSGIICDSPDYPPVGLFEESLVPLGRIIEYMDFRSPATQAWSDVLKWLPTQSVLELSLVCREWRAMAANPRFRQSHVFHANLVKKHHHIKFVIDPSFGVLWDMDDHGNFPYPPDITSDLFHCSQPCHGLNVGTLNENRSFAARIALGYDSDIDDHVLVSLAYEEKNMDTRQYKLRCNVRSVYGDKWYENDPPPRPVADSPPAYADGKIYWLVEPKLGPSTTASCELVAFDTIEREFEVVEGPPCSYNGGRISIVELHGIIHVAWSDQDEDAIDVWVMEDSGAWRVEYRIELAKFSPEYSSERTTIMAIDPTDGRILLSTGRSLGYYNLETGELETIYHVTAQSVNDDDRTHFCAVVYQESLFRPFMR >SECCE1Rv1G0047520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:625974727:625976419:1 gene:SECCE1Rv1G0047520 transcript:SECCE1Rv1G0047520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQAGTSAKKRKLAAMDINEATPAVTQGHDPKAPTKTAVRSPEPPPGDHISNLPDAILGEIITLLPTKDGARTQVLASRWRHLWRSAPLNVDVRDLHAYQIQGEALLGVILSAHHGPGRRLCLPAPYLLYQAKAEDGSVGSLRSPALHNLQELELYVAPFASRSPRLPSSLSASISLFTSTLRALTISQYSLRDYMVETLRFPRLKKLALVEVIISQHALHIIVASCPVLESLLLDYIFSIRCLRINSPTIRSIGIRCGAMELVIEDASLLQKLLILDRRTQMQISVISAPKLETLGCIPELYSDSKIVFGSTVIQGLHIDSLTTVVPNVKILAINMYIYDLDKVINLMRCFPCLEKLYIKGSKSGKLNLWRRKHRNLLRSIDIRLKTIVVHCYRGIKAQINFVQFFVLNARILESLKLTVEYRSHNDEFFDKQHRMLQMENRASRGARLCFTIACRHDVSDIMHVGDLDLTDPFACGC >SECCE3Rv1G0164480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:163969170:163969925:1 gene:SECCE3Rv1G0164480 transcript:SECCE3Rv1G0164480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEATGGGSGREEGLTLLGFWTSPFALRARFALNLKGLPHEYVEEDLFGERGKSPLLLASNPAHGGKVPVLIHGGRPVAESLVIVEYIDEAFPESLPRLLPPPDDPHGRAAARFWAAYVDQKLLPTWIPLYGGKTVEERAAAAREVIAVLEAFEGELGDKGFFGGDGVGLVDVALGGFVGWLRASEAMCGVRTIDPARTPRLAAWAERFGALDGVREIVPETAPLVEYNLMKRARRGLPYLPPHQTL >SECCE4Rv1G0249530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:584349529:584350548:1 gene:SECCE4Rv1G0249530 transcript:SECCE4Rv1G0249530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALSMVPACDAEEPLLAESSDRFSMFPIRFPQIWEFYKKAVASFWTAEEVDLSSDARHWDTTLSNDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLIETYIRDDVEKDRLFRAIDTIPAVRRKADWALRWIDGGERFAERIVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDILRGKLDESRVFEIVSEAVDIEREFVCDALPCALVGMNGDLMSQYIEFVADRLLMALGCNKLYNATNPFDWMELISLQGKTNFFEKRVGEYQKASVMSNLNGGAATQHVFSIDEDF >SECCE7Rv1G0484410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343842107:343842820:1 gene:SECCE7Rv1G0484410 transcript:SECCE7Rv1G0484410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLRSASAPSSPRSSKPQVEQQLQSLTATISSPLATIDTTCEGLRKLADIYSCIEEMMCTPSNQVSLCKTLQRVAVEAELGRSLVVLDLCNAMQETLMELKMTVQELLLVLKRGEDATYQVKAYIRLSKKAQKQFKKISKKTASDKNDSRVVMLMAEAREITVSLLESTSCILSKQIEMPKRSLVSKTLQKSKVVCEEEQLRVLECSIEDLESGVELLYRRLIQNRVSLLNALSL >SECCE3Rv1G0167980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:213029917:213031506:-1 gene:SECCE3Rv1G0167980 transcript:SECCE3Rv1G0167980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial arginine transporter BAC2 [Source:Projected from Arabidopsis thaliana (AT1G79900) UniProtKB/Swiss-Prot;Acc:Q9CA93] MEFWPEFLASSGGHEFVAGGVGGMAGVLAGHPLDTLRIRLQQPPRPVSPGIAAARVARPPSAVALLRGILRAEGPSALYRGMGAPLASVAFQNAMVFQVYAILSRSLDRRMSTSEPPSYTSVALAGVGTGALQTLILSPVELVKIRLQLQAVGRKRHGPVDIARDIMRREGLRGIYRGLTVTALRDAPSHGVYFWTYEYARERLHPGCRRTGQESLATMLVSGGLAGVASWVCCYPLDVVKSRLQAQTQTHPASPRYHGVVDCFRKSVREEGFPVLWRGLGTAVARAFVVNGAIFSAYELALRFLVRNNGRQTLVMEEMKCHDH >SECCE2Rv1G0081120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:136256377:136257558:-1 gene:SECCE2Rv1G0081120 transcript:SECCE2Rv1G0081120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEGGCSSQKRRAEWASLQPDLVQLVADCVLSTSGVDEYMAIRAVCPSWRSSVAKPSPHAAVVDLRFRPRQWVLLNGADDDEGRPLFLNVTTGRFRRLRLPVLRDYILVGASDGLLVLGDRERPHAARLLNPLTGDMLPFAAPIPPVSRVVTAIALAGSEPTIIFSFPRIFCKYVLLGGNAVVYSADPTGQLSAVKIHDAALKEEESFCLRSMVTCAGNVYVLSLTGMLYKIVWTGGLWYAERILEIEMHYTGALVESAGKLLVVRVDLKIIEFFSVDVERKVLEPIESIGSCALFLSFGRCMLVDADKVPSIKGNCTYGSFGGNKFDNMYTRYDLSDAKQENITGPQVPGYLSCLIADSDITREGPLSLAQVLLTPCRDVKAQLDRIRRKI >SECCE3Rv1G0193810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:774884314:774886795:-1 gene:SECCE3Rv1G0193810 transcript:SECCE3Rv1G0193810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSGYRDGDRERAAAEAGRYWRPPRSQAHGGGNVSVPLWEKKFCIDACSIPWGKLCEAKKLMSLYTNIVDWDDSAAFEAFKDAKDRFCAAYHGHPCYIPLPDPDMYIDVVNPDEYIDPELVADLERSRRRAPRRDTTAPDGWDSFIFANKPVPATGWGDGETTNTIGQQCSVNWDNHVEQQLLEANCKQSSGNWDSYVSQPAETFVQQSSGNWDTYVEQQGQTSGWGAPIIPCTSNWGMNGDPWNHDYGWGSAAIPTDSWGDQKDSYCVPDSQVQGNSDGHWRRRNSQSGRRNSRNRDRGGPIGSKAMKSKYQADEHGGTNNGWRQCRVRDNMQYSYEQPGYAANQSLAM >SECCEUnv1G0534940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:49937445:49940942:1 gene:SECCEUnv1G0534940 transcript:SECCEUnv1G0534940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKQEPSTPAAGGTPWKGRLRSYHATPLNSPSTWIQSRSRNRDEDAEACKFKKQAAPTTPGRSRCTRDEGGGAAGRPPKALPRRSARFAGRDPEHPIVLDEADEKCEVRGNQWAITPVQRSTRFQRGDKSVSKPLVEKQSHHKLLPFTPDPREIPHNNKTQNAVKKEKKLENAPRSSQRIAAVKASTKMEKHNKLQTVYEDSQDAPARRKTANASYKKSEMQEPKPSHGEELTGKRKRGAGRKQASRKQTHQEHKSDCQEIVPITEPRNIIHKKSENNPSSIMQPKIGDDTLMNTKECSEELSGMKEGVQQHCCASDEWTEEQDTILRQAYFTARPSPHFWKKVSKMVPGKSAEDCFNRVHADLSTPTPIAPRPRSKTQFSPLAHFTLSDPKFPNLLEPLAGRPRTAKQKSLLAQKTVRHLLKKHSLIDQAQEADHFSIFETSPSALQLNIPLDDSPGTPDNYLKSFSLHKYSVSSSARKRPLSRLKTKQAEQSPAVLKPLKNTVLHEKYINQLTRREGAKKPRKKAAGTNATDPERPLSEQRAGSVKAAKNALISEATDFIGQFKKLQANSLAHVLENSEDDEDNSV >SECCE5Rv1G0331030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:535898068:535899701:-1 gene:SECCE5Rv1G0331030 transcript:SECCE5Rv1G0331030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQIAALCGLLVVLLRLTSTSGVNAQMEILFQGFNWDSWNKQGGWYNMLKGQVGDIAGAGVTHVWLPPPSHSVSSQGYMPGRLYDLDASKYGTAAELKSLIAAFGAKGIKCVADIVINHRCAEDKDGRGIYCIFKGGGPAGSLDWGPGMICSDDTKFSDGTGHRDTGADFAAAPDIDHLNPRVQKELSDWLNWLKSDLGFDGWRLDFAKGYSADVARTYVQNTSPGFVVAEIWNSLSYDSDGKPAVNQDVERQELVNWAKAVGGPGMAFDFTTKGILQSAVQGELWRMRDKEGQAPGMIGLLPEKAVTFVDNHDTGSSQKKWPFPADKVMMGYAYILTHPGVPCIFYDHVFDWNLKQEINALAAVRSRNGINAGSKLRILAAESDMYVATVDEKVIVKLGPRFDAGSVIPSDFQVVAHGNNYCVWEKTGLRVPAGRR >SECCE2Rv1G0123530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:824837404:824841981:-1 gene:SECCE2Rv1G0123530 transcript:SECCE2Rv1G0123530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEMRDLGSGGPKKILDPGSGKPMEVIGLGSGKVEDYPCVRRLRNRRLLTYLWLQGFDAAYDSVVHESDVQQMSRLHLRQLLVWGQWREAVKYINRFLPPADDRGVETRSLLLFLHALWSLANVAACSTGCLVSDSVRRDLHFLTNLCSRNVKLNSILQHTLHSAQFRASLDWRVVREKASFIADDWALETPELRRKLQLPGGPGLPQDLLPIGPIRPRRHRRQQFLRPKPVAIAKGYLNRRRSLPSSSPLYGLPDNAISRVADLIEGCLIAGKIPELHQEQHLQSNPIEAASGTPLLQAISGTVTNPAKNTGTSSNEGAPTCTVQPSCPSCSSVVKSGAAVSQTFRIPWITFGTNAGPIKHSVGRKRNPGQDLMTVEEDDPDRKRLLTELELVTEVEAGSCSANLTAN >SECCE3Rv1G0185230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:673034384:673039778:1 gene:SECCE3Rv1G0185230 transcript:SECCE3Rv1G0185230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVDRSGRPGFEGFTHDDEKEESKSDEDNSEGDNKAKKGSFKKRAISAGNKFRRSLKKKSKKKSGNLASIEDIRDVQELETVERFRQCLLDAGLLPERHDDYHMMLRFLKARKFDIEKAKHMWSEMLRWRTEYGVDNIEEFNYTELNEVKKYYPQFYHGVDKEGRPVYVELVGKVDANKLVKVTTLDRYIKYHVKEFERSFQMRFPACSIAAKRHIDSSTTILDVQGVGLKNFSKDARELIMRLQKIDNDNYPETLCRLYIINAGQGFKMLWGTIKSFLDPQTASKIHVLGSKYQNKLLEIIDESELPDFLGGKCRCEESGGCSKSDKGPWKDPNIIERVLNGEANYGQQILAISSTDGKTVSYTKLHSSAKKPSDASAESTSDVEDITSPAAPVDAIVNPDLTLHEPKSSGHASTSGTAPVVEESVPIVVDKLVDDECNSPRVISLASTSGPFSLRNIPMALGVLRTQIVTCVTVLIMSLFMVIRFVPSRVSERFSRQSIACDHNCGEFPQNLEFKVPYVLSRVRELEEKVVVLEAKPSQMPLEKEEVLNTAVCRVDALEAELISTKKVLYETLIRQDELLAYIEKQDKNKFRKKRFCF >SECCE2Rv1G0081920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:146143665:146144889:1 gene:SECCE2Rv1G0081920 transcript:SECCE2Rv1G0081920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAPVVEADPGRRITRGGGGGEGEGPVGPTTLLPCPNCDIQVVHKLAQLLLPGLAAACVDSTLGSPSSSLAVQLRAELVRYVAHRSTSPAEAGEEEDPIDRDDPAEALAVFLDDFAGSKRSVVVSIAGWLPYLGGGDDGRDDRIEDLVEEMETTRFWPVERREAVARDLLRGLDAGGGRFCCRDELETHEELADHVAARCRFRPVRCRNQAQGCRAEVSACRADAHDEACAFKLLPCEQRCGLAVARRQMDRHCVTVCPMKLANCPFYQLGCESAFPACNLGSHCAEFLRPHLRLLLDPNKIGAAAGRRDPEERLLRLEKCDSDGALSEALDVRSLTNALAQLEKKMDAEDGYTGDDNKEAMPTQDSNSAALH >SECCE3Rv1G0198460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:832348930:832349136:1 gene:SECCE3Rv1G0198460 transcript:SECCE3Rv1G0198460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDWAPAIIATTLFALLCPGLILQLPGRQRTVDFMNLRTSCLSILVHAVIYAVLLMLFVVILQAHLYV >SECCE5Rv1G0334720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:567691144:567693150:-1 gene:SECCE5Rv1G0334720 transcript:SECCE5Rv1G0334720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPRRVCVTGGGGFIASWLVKLLLSRGYAVHATVRDPCDSKNAHLMQLDGAPEHLRLFKADVLDSAALAAAVEGCEGVFHVASPVPADKIVDPESEVMVPAVKGTVNILEVCSSMKVQKVVVVSSTSSVHFNPNWPQGKPKDESCWSDRKMCAEHELWYCLAKTVAEETAWEYAEKSGLNVVTICPCIVFGPQLQPVVNTSSELLVYVLKGGPNAINDMLWHIVDVRDVADALLLVYEKPEASGRYISAPNYITTKAILELLKKKYPDYNYVNYKAEVDRNFPITPISSAKLRNLGWKPRELEETLLDSIEYYRKTGILQDGEGEGHACRLPDLFRFFHADEE >SECCE4Rv1G0227420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:122802489:122807818:1 gene:SECCE4Rv1G0227420 transcript:SECCE4Rv1G0227420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLVSASDSSIKEHVLGVSNIDLLHGAFPLSLVCIYPRPPAGGFHAVVAAFESGLPSLLNHYFSHAGRIVANPRTGLPELLCNNQGAELIVGVADVALADLDFSCMDLSISKIPLPYDGDIPLSVQVVSFACGAFSVAWGHNHLLGDGHALLGLVGVFSELARPGRLDPAARPVHDRSLFRPSSTPSRCRSSCWNSAHRIDHIQSRRTHTRKLWPRTHAQAISRLREMASQDGDGRATRVQAVSAYMWKTLAAVVGAADTRCRMGWWVDGRRRLTAPEHRALATSSYVGNMSIFVLGEDGVEEIQRKPLPEVASMVRELINARAYDDRFQEVVDRVEQHKSGATYMDASNIGLGCPTVAVTAFTSFPMDTDLGFGHAAMAMTTTRGRGLCSGFVQMVAKPGGNGAWIVSASVWPKLAAALESDELRIFTPVTAEHLGLKLNEAIVKDNDSSRSRF >SECCE2Rv1G0077050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:96377846:96386882:-1 gene:SECCE2Rv1G0077050 transcript:SECCE2Rv1G0077050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPEAPYLAAGTMSGAVDMQFSASANIEIFSLDFQSDSPDLPLLAAAPSPDRFNRLCWSRPGAADGDSFSLGLLAGGLSDGSVAVWNPLSMISSEGQAEDVMVAQLEKHNGAVTGLEFSELTPNRLASGGNEGDICIWDLKNPCEPNVFPPLKNVGSNAQAEISCLTWNPKFQHILASASTNGITVVWDLRTQKPLTSFSDSNRRNCSVLQWNPDMSTQLILASDDDNSPSLRVWDVRKTIAPVREFVGHSKGVIGMSWCPYDSSFLLTCAKDNRTICWDTVSGEIISELPTSSNGNFDIHWYRKIPGVVAASSYDGKIGVHNLEFSSLYAAGDSTVGASARPRAAAPKWLKCPTGASFGFGGKLVSFHPAQGTQAGTSEVHVHNLVIEQSLVSRSTEFEAAMQNRDKSALRALCDQKSQESLSEEEKETWSFLRVMFEDGDTARSKLLVHLGFNPPQEPTVNATDELSKTLADTLNLDHGTDNMDAQFLADNGDDFFNNPQPSETSMAEEPISTNVQEIEQEMPENIVPSDPSIDKSIQHALVVGDYKGAVNQCLAANRMADALVIAHAGGSALWESTRNQYLKNSISPYLKVVSAMVGNDLMSFVSTWPLNAWKETLALLCTFAGKEEWNVLCDTLASRLLTAGDMLAATLCYICAGNIDKAVEIWSRNLSSEDGGKTYVDLLQDLMEKTITLALATGHKRFSASLSKLVENYAELLASQGLLKTAMEYLKLMGADENSDELTILRDRIAFSTEENDATRSSVPEGSANTSYLPNQQSYTPDPSQNLYQVPQQYSNVQSNTYPEVYPQPPNTRANVQSNTYPEVYPQSHNAAYSGYAGYHQSQSQTQMFVPQNTPVDTQPSPAPLPVPHQTVKTFTPANVPSLKNPEQYHQASTLGSQLYTTPANSSYAPGPPAQFHSGPPTTYHQPAPPAQYQTGPPVPSVPGTNPNQMFTPAVPTNSASRFIPSTNQGFVQRPGLSPAQPSSPTQAQPPAQPTIAPPAPPPTVQTADTSNVSAELRPVIATLTRLFDETSKALGGAPAKKREIEDNSKKIGALFAKLNTGDISPNVSSKLIQMCNALDSSDFATAMQLQILLTTSDWDECNFWLSSLKRMIKTRQSFRV >SECCE1Rv1G0041000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568035428:568036528:-1 gene:SECCE1Rv1G0041000 transcript:SECCE1Rv1G0041000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSDLPAELVAGIADRITEHADLARFRSVCPSWRSASAEHATRRRTPMLLLPSQCYSRVNRRLWSPADDSITETPMPAACGRSFLFASPRGWTLGVADDFTATLLNPFTGASESLPALPPSFHDGYQKILRDMVWDRSPDAVMISPGKGAFFCKLPGDGGSWSAAGFSQTAVVSSITYCDGTFYLLDGRARRVTAVDAATFGVTAVVEPPDLVSPRHAWCTPESTLVASSGELLLIVRTHLLFQVAPYGTEGLFKVFRADSRSLAAGWSEVAGGGIGNRALFVDHLRGFCVEANGLNGVRRNCVYVASSHEMVNDDYGLDVWGRYTVSVLDLDDLSTQDLSYGNLSKCMCGRFWQWPSWIMPNPH >SECCE6Rv1G0397500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:394631635:394632642:-1 gene:SECCE6Rv1G0397500 transcript:SECCE6Rv1G0397500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPITSELLSGPPIPIGLSASGADTTDIDDYLRAINALPPLLPADNYSEAALEEPVPSLLLESVVRVADTTESNNAATRPLLSDYDADIDFNLRKMEMNVEEPPLPHYLKTVQGDCMSPSMRANVVIWMDEFTQYYGLAPGTLHRAVSYVDRVLSERTLPTAHMEYELRLLGATAAFTAAKYEERDTIFKVNAAQIARDCGFANSKEVIDMECKMLAALRYELSGPTAYTFVDHFTRYSNGERDLEVKKLAHQLAEQSLVDYRCLQLMPSAVAASAVFLARLILNPMASEVRKRNREFTELTGYKPTDLILGIELLYMMNPDPRFAVSSAFLQDE >SECCE4Rv1G0257500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:670495676:670501327:-1 gene:SECCE4Rv1G0257500 transcript:SECCE4Rv1G0257500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAHGIIVVIVALAYSFISSHINDDAASAMDASLAHVAAGVQPLMEANRSAAVVAHSLQIPNNESSYFRYVGPCMVMVLAMQPQVAEISYTSVDGAALTYYRDENGQLRAKFGSQSGEWYTQAVDPVNGRPTGGPDPAARPEHLPNATQVLADANNGSPAALGAGWVSSSVQMVVFSAPVGDTAGVVSAAVPVDVLAIASQGDGAADPVARTYYAITDKRDGGALPVYKPLDAGKPSQQDAKLMKAFPSETECTASAIGAPGKLVLRAVGADQVACTSFDLSGVTLGVRLVVGDWSGAAEVRRMGVAMVSVVGVVVAVATLVCILMARALWRAGSREAALEADLMRQKEALQQAERKSMNKSNAFARASHDIRSSLAAVVGLIDVSRTEAESNPNLTYNLDQMNVGTNKLLDILNTILDMGKVESGKMQLEEVEFKMSDVLEESMDLANVVGMSRGVEVIWDPCDFSVLRCTTIMGDCKRIKQILDNLLGNAIKFTHDGHVMLRAWANRPVMRSSIISTPSRFTPRRRTGGIFRRLLGRKENRSEQNSRMSLQNDPNSIEFYFEVVDTGVGIPQEKRESVFENYVQVKEGHGGTGLGLGIVQSFVRLMGGEISIKDKEPGEAGTCFGFNIFLKVSEASEVEEDVEQGRTPPSLFREPACFKGGHCVLLAHGDETRRILYTWMESLGMKVWPVTRAEFLIPTLEKARSAAGASPLRSASTSSLHGVGSGDSNTTTDRCFSSKEMVSHLRNSSGMAGGHGGHLHLFGLLVIVDVSGGRLGEVAPEAASLARIKQQAPCRIVCLTDLKTPSQDLRRFNEAASIDLDLRKPIHGSRLHKLLQVMRDLQANPFMHQQPHQSGTAMKELPTADETSAAEASSEITPVAEASSEITPVAEASEIMPAEPAPAPQGPANAGEDKPLEGMRMLLVDDTTLLQIVQKQILTNLGATVEIATDGSMAVAMFTKALESANGVSDSHVDTVAMPYDVIFMDCQMPVMNGYDATRRIREEESRYGIRTPIIALTAHSAEDGLQESMEAGMDLHLTKPVPKPTIAQIVLDLCNQVNN >SECCE1Rv1G0058550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701076458:701076724:1 gene:SECCE1Rv1G0058550 transcript:SECCE1Rv1G0058550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSSRSTSPSSDSEWSKKENKMFEEALAYYGEGAPNLWEKVASAMGGTKSAEEVRRHFQILIDDVNNIEYGRIPFPKYKTQGFWT >SECCE2Rv1G0079990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:125658615:125660068:-1 gene:SECCE2Rv1G0079990 transcript:SECCE2Rv1G0079990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDRGPRGRVVLFPLPFQGHLSPMLQLADVLHGRGLAVTILHTTFNAPDPASHPEFDFVAVADGMPGGVAAPNDGLGMIVAMNAAMVVSGCVRDALASTLSEEPRPACLVIDTFLPAAQNAAAELGLPTIVLQTSSAAAVRLFRSNAMLHEKGYLPAQEHELNNPVTELPPVRVSDLFDPSKYPSQETASKILDMATETTTGSSGIVINTFEALETPELEAIRDELAASGIGVFAIGPLHKLSSIGGAGSLLEQDRSCVEWLDAQAAGSVLYASFGSVAPVHRDDFNEVAWGLASSGRPFLWVVRRGLVLGSEDAELPEGFEGKVGVRGKVVRWAPQQEVLAHRAVGGFWTHGGWNSTLESICAGVPMLCSPFFGDQLANGRYVEDVWRIGTLLAGKLERGEVERAVARLMEVGDGKGERAKELKMKSMESLKKAGSTQLAVDQLVDHILSL >SECCE7Rv1G0484330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343811902:343812615:1 gene:SECCE7Rv1G0484330 transcript:SECCE7Rv1G0484330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASTPSSPRSNNTQVEQQLQSLNAAVSSPWATIDTMCHGLTRLADIYSGIDKMICTPSSQVSLCQTLQRVAVEAELGRSLVVLDLCNAMQETLMELKMTVQQLLFTLKRGEDASAQVKAYVRLAKKAQKQFKKISRKTASDKNDSRVVMLLAEAREITISLLESTSSVLSKQIEMPKWSLVSKTSHKSKAVCEEEQLQALERSIGDLESGVELLYRRLIQNRVSLLNALSS >SECCE4Rv1G0290430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:872693009:872694091:-1 gene:SECCE4Rv1G0290430 transcript:SECCE4Rv1G0290430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDGEAAGMKKGPWAAEEDKLLVDYIQEKGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPGIKRGRFTHDEEKLIVHLHSLLGNKWSSIATMLPGRTDNEIKNYWNTHVRKKLLAMGIDPVTHRPCTDLSLLAGIPGLLAAAGNFGGPAGACPGAWDLNALRLQAEAAKFQLLQGLVHAITTAAALAPTAALGMESNGGQHGAEGGDQTMLLQQCQWDGMNNLPAALTSSAPASGMHNISGLFDGFSAWDGLSWTELDGHGAASWSNVDTVELSRPVVTGDQECKNIGSGDVPSCEQASASSTFDGLESLNLDDHNAGGGWKDLLE >SECCE7Rv1G0525790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:892686924:892688381:1 gene:SECCE7Rv1G0525790 transcript:SECCE7Rv1G0525790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSCSIVVTKTTRLLGYAFSPIKLLLLCVVLVAVLGAVVNRQRAVYLVDYACFLPSPTSRFPNSTFIEHARLVPAFSDHRTIRFMTRVLGSSGIGDETSLPPGDHHIPPDNNLDMARAEAELVIFSAIDDLLAKSGVTPDAIDIVVVNCSVFAPVPSLTDMIVNRYRLRSDVRAINISGMGCSAGVISVGLAASLLRAVPRAHGAAHALVVSTETITPNLYVGKERAMLLSNLLFRVGGAAALLSTSKDKARFRLAHLVRTITGGGQDSSYRCIFQEEDAEGNIGVVLSKDLMSVAGEALKANITALGPLVLPFFEQLRFISNKLVLELARRAGVKPYLPDFRKASLHLVGVKPYVPDFRKAFQHVCIHAGGRAVVDKVQSSLGLSDEHVEPARMTLHRFGNTSSSSVWYEMAYAEGKGRVRKGDRVWMVGLGAGVKCNSAVWECIRPAAEPDKAWAGCIRRYPINIPRLNVNAAARNDPVIAV >SECCE5Rv1G0308830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:139462812:139469086:1 gene:SECCE5Rv1G0308830 transcript:SECCE5Rv1G0308830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGRAPTKYVLITGGVVSGLGKGVTASSVGVVLKSCGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRENNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQXXXXVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSAKEKLSQFCHVPVENILNIHDVPNIWHVPLILRNQKAHEAIIKQLNLSRSAAPPELRDWTLMAESYDNLSTSVKIALVGKYTNLSDSYLSVVKALLHASVACSQKPSIQWVSASDLEDAAAASAPDAHAKAWETLKGSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRHVLSLGNADSEEFNTDTPDRVVMYMPEVSKTHMGNTMRLGCRRTFFRKPDCLTSKLYGNPPHVDERHRHRYEVNPSFVPMLENAGLQFVGCDESGNRMEIVELQDHPFYIGVQFHPEFKSRPRKPSPPFTGLILAATERMRTLTNVSNGGAGASE >SECCE3Rv1G0201300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:863072857:863073939:-1 gene:SECCE3Rv1G0201300 transcript:SECCE3Rv1G0201300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVTLVGGGKAIGHAINVVAASGYHLLVDNAYSRTKATTPTGTVINSLPFMVGGHRWDIRYYPNGETSENADYVSVYLRLLDKDVAEAKVWSRFIFVDQLEKQDSSYIRGAQPTNFSTCHPSWGYPNFMKRENIEKSNHLNDDCFTIRCDLAIASAIDLFIKVPASCIQQHISDLLQSEEGTDVTFKVGDNTFAAHRCVLAARSAVFRAGLFGPMKEGGTGGIIHIEGMEANVFKALLSFIYTDSLPKMMIDTLEDEREDQEVLWLQHLLEAADRYDLQRLKVLCEEKLCQHIDVDSVTTILTLAEQHNCCGLKEVCFEFLKTPANLKKIVAVDGLEDITRTCPSLLKKLIAKLVCL >SECCE2Rv1G0136180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910490060:910493668:1 gene:SECCE2Rv1G0136180 transcript:SECCE2Rv1G0136180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAIPDELLVDIFLRLPTPGDLIRASAACASFRRLVADRSFLQRFRKIHPPPLLGFLYPGQHRFHPAVRPHPSAPAAGAVALAADFSFAFLPAPARGWSVREVRDGRVLLDRSRLRDAGDGLEAVFKEMVVCDPLHRQYLLLPPIPDDLAASVADQLLIEGQCFNETFLVPPGNDDEEAAAATEGTSFRVIWMVLLQAKAVAAVFSSGTGQWRAFSSELLPGFVLSAWMVLFVSRHYAHGCFYWVSGSGENLLVLDIQRLKFSMADHPPCARHSSDDVAIVEAGQGMIRMFVPKPDTSRLKYNVWRNNAGISTQWQMEKRTFALDSGSLLTGAVGKHLLLYQCGSSLVKSGGFTLDVNTLQCERVCASLPKPSHIYCNFPPSLLASPTVSSAKPHADVRGEGSAVRVPELQEADPGGVEVHVLAAAAQAPNPLFVGGNDAEAGCGGRGGGAGAGAGTGPPEGAGAGGVAREGVQGGEGPAGTRVSRRRAAAKGNKSFSWRGCLPFWM >SECCE5Rv1G0353460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:717074182:717077256:-1 gene:SECCE5Rv1G0353460 transcript:SECCE5Rv1G0353460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRSTAAVLLLLLLLAVSVSVSSTSPPRRGREQDRSALLRLRDAVPSAELLRRWSPGADHCSWPGVACDASSRVVALHVPSSFPRESGSGIAGQLPPSVGLLTELKLLSLPSLGLFGEIPAEIWRLEKLQGVNLAGNSLRGALPAAFPPRLRVLNLSSNALSGEIPVSLCGCTELKFLDLSGNRLNGSVPAVVGGLPRLRQLDLSRNLLAGSIPSALGSCTQLRSLRLFSNMLDGSIPPELGRLSKLRVLDVSGNRLSGLVPRELGNCSGLSVLVLSSQFDAVKPHEFNLFEGELPESVAALPELRLLWAPKAGLEGNLPSNWGSCQSLEMVNLGGNLLTGVIPKELEQCRKLKFLNLSSNRLSGSLDKNLHLHCMDVFDVSGNELSGSIPAFADKECASQHPLDGVTSGYSSPFMSQAVVELSLGYCESGECSVVYHNFAKNKFGGRLTPLPVSADRYGNRTLYALTLDHNNFTGSLDAILLEQCSNLNGLILSFQDNKISGELTEEICSKCNAIRVLVLAENQISGVLPANIGLLGALVKMDISKNFLVGQIPASLKDLKSLKFLSLAANNISGQIPSSLGQLESLEVLDLSSNSLYGNVPSNIVTLRGLTTLLLNNNELSGNIADLTPSVSLSVFNISFNNLAGPLHSNVRVLSENEASPEPENTPNDSGGFTKIEIASITSASAIVAVLLALIILYIYTRKCASRPSRRSNRRREVTVFVDIGAPLTYETVVRAAGSFNASNCIGSGGFGATYKAEIAPGILVAIKRLAIGRFQGIQQFQAEVKTLGRCRHDNLVTLIGYHLSDSEMFLIYNFLPGGNLERFIQERTKRPIDWRMLHKIALDVARALAYLHDNCVPRILHRDVKPSNILLDNEYTAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLQKGRAREFFIEGLWDVAPHDDLVEILHLGIKCTVDSLSSRPTMKQVVRRLKELRPPSY >SECCEUnv1G0539710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77245191:77245610:1 gene:SECCEUnv1G0539710 transcript:SECCEUnv1G0539710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPAAILAVLAVVLLGMGCPATATISCGDCTSQCSSSCSVGNFPCTSDCSPAPACVQQCKATGLPQCLAACTNGCRGSCRGTSCDCDGYCRNACNSGVDSGCKSSCTNTQSCNACKDNYSRQCNSCCTAYCNSNCA >SECCEUnv1G0568440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:426395861:426396301:-1 gene:SECCEUnv1G0568440 transcript:SECCEUnv1G0568440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNQPAPNKNKFLSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSDPPISDDVLAKAFQVEKKTIDYLQAQFWENNHY >SECCE3Rv1G0182310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:626205646:626205813:-1 gene:SECCE3Rv1G0182310 transcript:SECCE3Rv1G0182310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKVMSHIVQDGGIATYAVYAAPCDAPCGGRHRKAETDGDDDDDDYDCAPAA >SECCE7Rv1G0517950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856704653:856706141:-1 gene:SECCE7Rv1G0517950 transcript:SECCE7Rv1G0517950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASTPSGDERGGGGVHVLLVPLPAQGHMNPMIQLGRRLAYHGLRPTLVATRYVLSTGPPPGDPFRVAAFSDGFDDGGMASCPDPVEYCRRAEAVGSETLARVIAAEARAGRTPSVMVYDPHMSWAPRVAKAAGVPTAAFMSQSCAVDLIYGEAWAGRAPLPMADGSALRRSGAVSVDLGPEDLSPFVVSPELYPKYLDVSIRQFEGLEDAGDVLVNSFRDLEPQEAEYMASRWRAKTVGPTLPSFFLDDGRLPSNKAYGVNFFNSDAPCMAWLERQPPCSVVLASYGTVYSLDAGELDELGNGLCDSGKPFLWVVRSNEAEKISQELHDRCKKNGLLVPWCPQLEVLAHKAIGCLLSHCGWNSTTEAIVAGVPMVAMPRSADQPTTAKYVESAWGIDVRIRTEEKGLARREEVERCIRKVMDAEGKDEYRRNMTKWMKMAKEAMQEGGSSDKNIAEFAAKYLLT >SECCE1Rv1G0034870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:492439237:492444771:1 gene:SECCE1Rv1G0034870 transcript:SECCE1Rv1G0034870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAGDRDQQQQQPAAAAGPGAGHACGEDGGGRDESSVKLFVGQVPKLMTEAELAAMFRDVAVVDEVTVIRDKATKASRGCCFLICPSREEADKAVNAYHNKRTLPGAPSPLQVKYADGELERLEHKLFIGMLPKNVTDTEMTDLFSQYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAVAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQFPPSNMSNANAMQQSSLFGALQMGYVPPYNGFGYQPQGTYGLMQYPLSPMQNQAGYQNMVQPVNQGSSIRGVNSELSPNSLPRSFNSMQLGSPYPAGPGMQYPGSYAGGGINSRPYMNSHNSVNKVPNANATSPTSSSTSSNTGPQLEGPPGANLFIYHIPQEFGDQDLANAFQSFGRVLSAKVFVDKTTGASKCFGFVSYDSPAPAQAAISMMNGFQLGGKKLKVQLKRDNSKHNKLY >SECCE7Rv1G0455830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8280640:8285246:-1 gene:SECCE7Rv1G0455830 transcript:SECCE7Rv1G0455830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSYNPRGVHHDSNITPHVITQIWHQNGTCPENTIPIRRTKEEDVLRASSIRRFGKKMPRSIPHLNPTNDTDTPNVLRGHQHAVASAQYDKCYGTKSSFNLWKPWIARGNDFSLTQFWITGGSYNGNSLHTIEARWQVYPNLYSDSNTRLFIDWTRDVYQTTGCYNLLCSGFIQTSNQITIGGSISPMSTYGGTQYDIDILVWKDRAGGNWWLQVGGYYVGYWPSSIFSYLADSASMWGGEVFSPDAGQTSTHMGSGHFPNEGFGKASHIKNIQVVDSSNCLNPPSDVGLITEQNNCYNVQSGTYGDWGTYIYYGGPGNNHNCP >SECCE1Rv1G0003540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14643837:14645480:-1 gene:SECCE1Rv1G0003540 transcript:SECCE1Rv1G0003540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLFLDEEDWAEMEADEEKDMERRRRKEEEKARKTAENRRRREAHDAVMGSIIEHDPKAGRKVYTRFFLRDFSVFNIDEESSVPPMRYTNSIYEDELGLQDSANILSISIVSSDVGFPVNVYGRDIARDSIDYKCIYLFHRNRDDCQPVNKDGMLILTGPSRGLVLVDFIYLEIDLKIREDGVFPDRPFSKGLISIDGRVLSREEDVVVRSETLESWLSTTEVTFATVLNAVECTFEIKLTEGHFKGNITVGIVDKARKLDIEKTIVIHDSRTDGVVTSDESGVIKLRRSVITICLERTVVFQISNVATGVCAERTFDFPPHRTGADEVEITCGAGKFGFRVVWSLMDFRL >SECCE3Rv1G0188210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:710760457:710761906:1 gene:SECCE3Rv1G0188210 transcript:SECCE3Rv1G0188210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYERRHDPLADRGEPFRYMPSASGAPAGCSGGGAQAMEPKFELVVQDVALQEAQLRRSPDSSDQEPARPGKKIQRRLAQNREAARKSRLRKKAYIQILETSRMKLAHMEQELNRARQHVVYAGGSSSSLVGVGLPASFNPGVAVFEVEYRHWVEEQGRQSEELRTVLQQPDTPELRLRALAEVGLAHYDRLFRAKSAAAKSDVFFVMSGVWRPTAGRYFIWIAGFRPSDLLKVLAPQLEPLTEEQDAAVGRLRRTARQAEDALSQGMEKLQQSLAESLLLSTEAQEGSVDSASFDGTGYMRRRMASAMGRLDELAVFVEQADHLRQQTLRNMYRILTAAQAVRGLLALGDYCQRLRALSSMWAARPPEPA >SECCE3Rv1G0147740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:16034506:16034739:-1 gene:SECCE3Rv1G0147740 transcript:SECCE3Rv1G0147740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE4Rv1G0218270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:21372117:21374395:1 gene:SECCE4Rv1G0218270 transcript:SECCE4Rv1G0218270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSLVSSPFDCLLLDLDDTLYPGNTGIGPALRRNIDDFLVARFGLAADKAAALRADLFRSHGSTLAGLIALGHDVHPDEYHSYVHGRLPYDVITADPQLAAALQSMPQRKILFTNSDRAHMKRSLERLGVDEACFDDVVCFETMNPHLFGKEAAEDEAADDVDRPAVVLKPSVHAFVTALRVAGTNPRRTLFLDDNERNIAAGKALGLRTALVGKRARSKEADYALETIGGLRRAIPEIWGGAATADGELQPDHNVEKNKSMRADLDAVIQPTSIQA >SECCE2Rv1G0076800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:94743881:94746607:1 gene:SECCE2Rv1G0076800 transcript:SECCE2Rv1G0076800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRVPLGHTLLLIPLIPLLACAGLVLEDGYTVSTVSDLNPTGTHPYALLPRPRAGDLVLLDSAGSTLYTLPLPISADAGPRRLAGGAGGFGRGHPRSIAVDGADNVYVADRANGSIRKVAPSGHTTTIAGAYSAGTGHRDEPAQNATFSADFELTYIPQICALLLADRGNRLIRQIKLKPEDCAHENQSGLGTTSASIIAILAALFGSIIGFLARHFYPFHEVSINRFFSRIQRQYRRTQRKAALISFSDVRNAIANSMLSTVLLKLVRVSVGYLTVVLPSIRLERGVACKPPSPSPSPSPSLLDLDMAGTTTPAIGLDNEALPSTELLGDFVGFDDSDSAMDEGNESAFDGSASQDENKETSLDRDLCGLLGNPQGSSKKIDNMIEANLSDFSGQDKYCSPTVNYSGVSRRFHGGSKVL >SECCEUnv1G0558340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:331971103:331972643:-1 gene:SECCEUnv1G0558340 transcript:SECCEUnv1G0558340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSVLCDADIGIIIFSAHGKLYDLATTGTMDGLIERYKSASGEGMTADGCGDQRVDPKQEAMVLKQEIDLLQKGLRYIYGNRANEHMNVDELNALERYLEIWMFNIRSAKMQIMIQEIQALKSKEGMLKAANEILQEKIVEQHGLIDVGMTIADQQNGHFSTVPLLEEITNPLTILSGYSTCRGSEMGYSF >SECCEUnv1G0562310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:364737315:364740560:-1 gene:SECCEUnv1G0562310 transcript:SECCEUnv1G0562310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPATTPRAAARCRIAACASTPPVTARGRPRELPLALMAERGMVVGGHRGMGMNAVGAPPGARVGAAKERENTLLSFGRAAEHAAVAFVEFDVQVTKDGCPVIFHDDFILTQKTEVLYERRVTDLLLEEFLSYGVQKEPHKVSKPLLRRLEDGRVLAWSTEEDDYLCTLQEVFEHVSPHLGFNIELKFDDNIIYPGVNLNRALQAVLQVVFQYAGNRPLFFSTFQPDAARITRELQSVYPVLFLTEGGTAKHNDTRRNSLDDAIQVCQEYDLHGVVSEVRGVLKNPSAILKAQESNLAILTYGQLNNVREAIYVQYLMGVNGVIVDLVGEISNAVADFSKPDLGQSPFSNSVDIGRKHESFSQQQMGFLLRLIPELIQQPH >SECCE6Rv1G0431610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746946137:746946803:1 gene:SECCE6Rv1G0431610 transcript:SECCE6Rv1G0431610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQLPAVLLAACATLLALATPLLAGDPDMLQDFCNVTVDDFFSSALAVPGNTGNPVGSAVTAANVEKLPCLHTLGVSMSRVDYAPWGVNPPHTHPRATEIIYVLEGSLDVGFVTTAGKLFARTVCKGELFVFPRGLVHYQKNNGGAPAAAISAFNSQLPGTQSLALALFAASPPVPTDVLARALQIDGGLVEAIKSKFLPM >SECCE6Rv1G0398340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:419529891:419532698:1 gene:SECCE6Rv1G0398340 transcript:SECCE6Rv1G0398340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEDALRSCMEQLLIAREEREQIIVEAASEISSQQKKLRELQHSLEAANKKAAKLAAENNSLCKAMDAKDKLVRELRESKAASDQELSGATAKLDAAQKQSASLQYEARMLQKELEVRSQEREYDLRSVDAARAQQTESLKKIAHLEGECQRLRAMVRKRLPGPAALAKMRDEVEPQQQPPPSRASASPRRPRSVTPTMSPRSCSVTPTMSPRPVTSRRAPEPDQSYAVRLRAIEDENNVLKRMLATRDTELKFTQKKCAEEASKLSAVQEQLKELTEESKRLSDAHAKSESWASALVSELDQLRAGKQGHGASSVMVSDMSLLDDFAEIERMEMESGDHHTSGHSGSVVPDKNGEGHPEWLQNVWKLVTSNHETTGESIDAIVDGIRRALYEGPVHCNGDASDLPYDRTKLEKLMGNLIDKITSTIRVSAEDDAARSGSLLHAKPELCARLEYLVHVCHDVLQRKARLDDFVDEICMVLEYIMSIYFSKQVRSDTVDGNENDFDAHDEPDMQSATSAAAALDIHTEAQKEQIQLPECQHPDKIQERQINEELATVMLDQNDDIQPGRKASYYEIESLTADGMGEDLAQKEAKQVATDSEISAAADKLAECQETITNLSKQLQALQTVPNSGTLDISTQSPRPSSADYKPQSLGSILADEGGSTAEGHSSPTPKQVHLGEEHGEPNAAARKSTTQEQNPDANGKVSSVQTVVRPFLPEHETAADPRKKKRGPSLLGRMIFRKRVEGSSS >SECCE4Rv1G0274520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785348049:785348505:1 gene:SECCE4Rv1G0274520 transcript:SECCE4Rv1G0274520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSPLIRLPEAEQVQLLVTTHGSNRKEQLGKSTKATNKYYKNSSKDDLVLRATLDSITRMG >SECCE4Rv1G0229650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:151831266:151832969:1 gene:SECCE4Rv1G0229650 transcript:SECCE4Rv1G0229650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLRLPFFLLLLLLVSLGAAQGRNTVFLDIQEEARGPGQIFKDEPTLSKIPVRVERGSPLCPACEKFTDEALSYLSQKQSQDKMMEVLHEACSQTFSLEKKCVEFVDSYATLLFAKIAEIKPEEFCKRNGLCRDNALLSGVSSESTCVFCHHLMDEVLSKLKDPDAEFEIIQILLKECKKIEGHEQQCKRLVLQYIPLILVNGEKFLEKNDICTIVQACDAGKKTVVGSFSEEVLLRDA >SECCE7Rv1G0514420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:834066014:834069198:1 gene:SECCE7Rv1G0514420 transcript:SECCE7Rv1G0514420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDQTGKYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSLRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQMYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPTGLKMSSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVEEEEDYEDEQEDEEAA >SECCE1Rv1G0044000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:598577851:598579211:1 gene:SECCE1Rv1G0044000 transcript:SECCE1Rv1G0044000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLALTGGKPLSAARARRIARSREEMLGLLADFDGGDGSNRDLSFSDLVDAARPPPNASTTPPEPGARKDGCRGEEADEQRQEDAPASKQQQQQQQAVKEKRRLRRRRSDNRGSCGGAADGNGVLLNFYVPGLLTRSMTAPRPGRGVMPAAGARQSAPPTVAAGKTRMQASLDIGCWPALWGRGRDHHHHRNKPV >SECCE4Rv1G0231090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:178355282:178356171:-1 gene:SECCE4Rv1G0231090 transcript:SECCE4Rv1G0231090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPHHLIRRFHASARALLRVEPHEFSQPSDYLGSWGERAPATVAAGGGDTREAWARLERLRKGYAREVGELRRQYAYEAQLLEAERQRKAEARAEAARVANEERKAAKAAAAQTRAAERRAFELDFRQALMKERAQKLESWRNKEKLKAQKKAEHRELLRRQSSVWVAEDKMEKKILEAIMHTTPL >SECCE1Rv1G0009470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:54936616:54937458:-1 gene:SECCE1Rv1G0009470 transcript:SECCE1Rv1G0009470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLQETDTASVVHRIIFKAFEEARGADYVLCNTVEELEPSTIAALRAEKPFYAMGPIFPAGFARSAVATSMWAESDCSHWLDAQPPGSVLYISFGSYAHVTKQELHEIAGGVLASDARFLWVMRPDIVSSDDPNPLPEGFAAAAAGRGQVVPWCCQVEVLSHAAVGGFLTHCGWNSVLESVWAGVPMLCFPLLTDQFTNRRLVVRECGVGVSIGDRGAVFADEVKARIEGVMSGKEGEELREAVKKVRATLEAATVHGGSSQQSFDEFVDDLTRRCGGS >SECCE4Rv1G0232240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:194492159:194503345:1 gene:SECCE4Rv1G0232240 transcript:SECCE4Rv1G0232240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRSPKGGDDDRRRSPPRRSYGEKGGRKEQGPISLLVRNIPRNCRYEDLRVPFAKFGPVRDIYMPKDYYSGEPKGFAFIEFFDSDDASAAQYHMNRKLFCGREIKVEPATDKRKRPEDMRRRTGVRVHSGAKGRDLSRHGRSRSRSHSRSPRHGGRDRSWSHSPAPRRHGDYSASPKRKEECQAKSSGQSKEHDNDKKLGPCTPGGRSERHDTDSDSNERRATPDYYAAPKRKEACQTKSPRQANEHDEDKKRISFSPDRNNRRDADNGHNERDDYSTSSKRKGERLSKSLRLSKEHDKDKKRRSYSRDDRSDCRDADSSFKERRATTDGKRPCPRQGSPRPSSGSHSRRRDACSASPKGKEERREQSPRQSKEHDKHRKRRSNTPDDRNDCHGADHSHNEMQGDCSTSPKIKEERQAKSSRESEECNKDTKGRFYAPHDRNDRHDAVNGSRDSNLHVDRKPVTPDGEGSHACRRSPRPSSGSRSRRRDDCSASPKRKEERRERSSKQSIEHKDEKRRSCTADDVNGQHNAVGCYKKKRDGYSASPKIKEECRAESPRPTKEREDNGGIDRTDADNGYNERPAGPDSASQKRMEKHPQAKSQSRSKEHDNGKKNRSYTHDNGKEHRDADNGSKERKEYSAAGKRKEERQASLPRQSKEHDEHKKRGSYTRDDRNDLRDADNCSKERKDYSAAAKRKEERQASLPRQSKEHDEHKKRGCYTRDDRNDLCDADNGSKEQRDYSAAGKRKEERQASLPRQSKEHDEHKKRGSYTPDDRDDPRDADNGSKERRNDYSASRKRMEDHRAKSSRQSKEHDDDKKRGSYTPDDRSHHSDADNGSRERGDFSASGQRREDRGKSPRQLKEHGDNKKRGSYTPADRNDLRDVDNGCNEKLAATHDGSPGRRASGMDIGEDQMVEAMDYDWAVAHGPNAPPST >SECCE7Rv1G0475850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:193788050:193796011:-1 gene:SECCE7Rv1G0475850 transcript:SECCE7Rv1G0475850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPQLGAHGPFQPAPTPVAPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAAMLKHPRTPSAANPSMDYPSGDSDHVSKRPRPVGLSEEVNLPVNMMPVTYPQSHSYPQDDFHKAVARTLSQGSAPMSMDFHPVQQTLLLVGTNVGDIGLWDVGTKDRLVVRNFKVWELGKCSMALQAALVKDPTVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGTKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKEGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFVVKIWDMDNTSLLTTIEADGGLPASPRIRFNKEGTLLAVSTVDNGIKVLANADGVRLLRTLENRSFDASRSASETVTKPLINPLTAAAAAAAAAAAAATSSGTAAPSPITAMNGDNRSLVDVKPRIADESMDKSKVWKLMEITDTAQCRSLKLGDSIRTAKISRLIYTNSGVAILALASNAVHLLWKWPRNERNSTGKATASVSPQLWQPPSGILMTNDTIDNSPDEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKKITGLAFSNVLNVLVSSGADAQICVWNTDGWERQRSRFLQIPSGRPTSNILDTRVQFHQDQQHCLVVHETQIAIYDASKLEPVKQWPPRETSAPPITHATFSCDSQLIYASFLDATVCIFSASSLRLQCRILPASYLPQNISSNVHPVVVAAHPSEANQFALGLTDGSVYVMEPLESERKWGIPPPAENGSTSNMSTPPNGASSSDQPER >SECCE6Rv1G0437580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:784779385:784781553:1 gene:SECCE6Rv1G0437580 transcript:SECCE6Rv1G0437580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADMNQCPCAPAPSPFPETNSNLGKLLPFGIGALVVVGVAMLFVLHVLGCLRRRSSNKALHGVVVGAYSLSYALVSYTFGLMQSSRYYVDEFPIWAVCLLLLVGSADCLTASSLNDIESWKSHIVKHLIHGMWAVWILYARVASMRMARKSYMLCKNMKRIADYMQEDVLRACDERPDPVTMQGYRYVVSGERRQKFLVKRPEYVLTYDRQCVKMTTVEQIWSCTGSLLSCDGGGLLKDMCLSMALSKMLNRRFAGFELVEAELEKTHDFVFRGLLAGDRQKQCERVFRVVEEELAFVHDLYYTRYPYLYHKGRHFAFYLPFAMIGLCLWVIDLLFQRFRNNKFPLMATTTMVLMVVVAFLELFQLYLYAASGWCKVALIRSYAAMPASALQRNGFCQMLIGLLLRLKALRPWEDKLGQYSFLHAFDRTRRASKCLHYMTFCLVDKPKKGRHRAKPVKVSRQLKEAVVDSLIDNEGRLTNGVRSLRNNGVEQLLERASNRTTTTHTVLVWHIATTLCKHSLDEQARDRRLPGNDGGTIDTDSLVASSLSMYCAYLIAFEPDLLPDHSSVSTLILGESIREARKLLKGAKTMKRKYEKLMDISGRPAAGDVSLVVEGARLARRLNEDIPDPARRWRILSEFWAEMMLYLAPSDNARAHLEALATGGEFITHLWALLTHAGVLERDRVAGGPPAAV >SECCE1Rv1G0049240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:639719623:639733838:-1 gene:SECCE1Rv1G0049240 transcript:SECCE1Rv1G0049240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPWLLLLCLAVAAMGGSLQARAQPDINGFISIDCGLAGKTTSYVDETTKLSYVTDADFIGDVGSNHNISAQYMVRPTQLSRRYHSVRSFPDGVRNCYTLRSLVPGSKYLIRASFMYGDYDGLGSLPIFDLHVGVNYWQTVNISKPDLEVTAEAVVFVPDEFVHVCLLNTGDGTPFISDLELRPLKKKFYPQANLTQGLVLEHRLNLAPPDASIVRYPVDPYDRVWLPKSDAKMWSGISTTETVQSDGDVFEVPSMVMQTAVRPLNAAMNLEIIWTPNAQPQNQSPRYLIIMHFSELQFLPSNTKRQFYISINDMQLTEPVIPKYLGTGFVSMENAPYRDDKYNISMYAAANSTLPPVISAIEFFSGISATNIATDSQDVSAIMAIKDNYEVEKNWMGDPCVPKTMVWERLTCSYTRDNSPRIISINLSSSGLNGDISSSFTNLKALQYLDLSNNNLSGSIPGALSQLTSLTILDLSGNHLNGSISPELFKRTQDGTLNLRHGNNPDICTDGNSCQPTKTKNKLAIYGVVLISVIVVLVLVAVALFFFLRRRNPESMNNSIKSHKEMKNDGHRTEKDGYGNSPLGLETRQFSYVELERITNNFRRVLGKGGFGNVYEGSLEDGTQVAVKIRSKSSNQGDKEFLTEVQILTLIHHKNLVSMIGYCKDGEHMALVYEFMSEGTLEEHISGKGNNAVCLPWRQRLRIAVESAQGLEYLHKGCNPPLIHRDVKATNILLNAKLEAKIADFGLSKAFHHNDDTHVSTNRIVGTPGYVDPDYQTTWRATSKSDVYSFGIVLLVLVTGKPPILRTPHTISIIEWVQQRLAQGNIEGVVDARIHGDHDINSMWKAAVIALKCTTEALAERPTMTDVVAQLQECLNLEEDLADGGSDSGIYNGINSDDINWTDDAYSTNKSTNSRQRSALEMDHNFGRVPTMDTGPAVR >SECCE4Rv1G0296180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:901876295:901877566:1 gene:SECCE4Rv1G0296180 transcript:SECCE4Rv1G0296180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAMDVTTLPDDMVVEILSRLPFKSFCRFKCVSKAWLAFSHDPNYSEKLPKIPTGLLYQKSDNFAIKLVGLPRNEEKFDDGALSFLPHVHNNVELTLEDCCNGLILCKHTSIQDNHASLIRRKYTGLGNTSTGTGRFDMCSHIYHFTVCNPETREWKTLPGIHHDEEYPFSKAILVFNPSLSPHFYVFHFKEHVYDPKEGGLWVFSSDLSRWLVYDAWRNSRIDSVCMYPHLFIDGSLYLFSLRWVKRISVLNGFDAMSSHIPPNHQAIRLPHDPSSDSSNGLSVTTPGLYTGGCFGQSPGTLHYALPDDDGRAIRIWSFDVTGPYEWSLNYHLSMTDAFGRDDLARYDSTRKKWYPVYDIAALDLEREFVFLFVFRASKLYSYCISTGKLNEFHDFAQDERVCLRRFFHYVPCYTSFNAT >SECCE1Rv1G0038090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:535082086:535091812:1 gene:SECCE1Rv1G0038090 transcript:SECCE1Rv1G0038090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKAKKPAARPRGGAGASVPRPVSEGETETEPEPDGEEGDFCFVCKDGGHLRLCDYRNCNKAYHPKCVDKDDDFLNSDEDFICGWHTCCICKGHSYYRCLCCPVKSVCYGCLREADFVQVGRRRTKGLCANCLRLGIMIENNIQIDSDGERVDFSDRSTVEFLFKDYWEIIIKKEGLTLDNLQEAYASLNDGPDQMSDSENFPKVQDSSDDDFLGNSDGGDAEPICPSNLNGTSNKVKPFLKHAKSKRNVYVGWGSKELIGFLESIGKDTSKSLDQFGAAEVVKEYIRQKDLSQKDKKKHVICDEKLKQLFRKSKIKYNKIYSLLERHIAANDSSEDETFASSEDNGDSCMKKRTRTMTSEFTTSKGTSERNKRCFASLVCDNIKLIYLKKSVVVDLLKQPEAFENKVIGCFVRVKNDPKDYSYHKPKAFYQIGQVTGIRKSSEEYKVKDMSTDMLLCVSSCWSEVKISMLSDEDFGEDECEDFRLFVQKEHSKRLTVAELEEKARSVHRDIVTHWIDKELKRLDNLIELANEKDLEDNKDTELLVAASEKSFQMNTATLQGASGDRAGCSERYSEESKGANGGKAVWLTRCSKEKPRGANEEIAVCLRSCSEDKYEGANDERSVCLKSYSEGANEKAVHMKSFSEEKTKGASEDRAVSLESCPEENSKGANEEAAYLKNCSEEKPEGANDGGAVSLSLKSCPEENSIGTEGGTDTPKTCVQNQCTADTKSNAAGDTPRTNVQSQSTQGSEENAAGDKLGANVQKQGAEANAAGIPAEVINIDDDDDGPTHDQCANVVVVDMDADEFGNTHVARRKTRQSGMWHYRDPFGDEHGPFSIEMLDGWSEQGYFDDDFRVWRAGQSSDSAILLKDALRLKR >SECCE1Rv1G0052450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:661638216:661639610:1 gene:SECCE1Rv1G0052450 transcript:SECCE1Rv1G0052450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTPAPWSELPPDVLGLVIDRLVSSPPRIGFFSSAWSLVRRLLGYSADFNKVRSYRRRWVFADLARFRAVCRSWHLAMRLHLSAPRQLPWIVMSDGSFYTPSDAICTSPPRLPTFPGNTTCIGSTDDWLALDLTDAEKMHSYSLHNPFTGATVPLPELDAVIGDVSELFMVRKVLLRSTPSDIIAVMTNSRNRPLILIRTGKGVWFPKPQTAPFIYIVDIAFLGDKLYGITEAEDLVSLGIDFDCNGIPTITSIKRLIRHPPGYYHFGVWSDDDDSFQSGINAVGNKDKVTNIDEGHIEVPSKEYSKEHALDELWKKTDDDVILEGATCWLDDEVPYEPKDIVTLNRHLVKSRGKLLMVKRQLHWPSYSINFTRKVEVYEADVSGGAHCWVPISGGLGSQTLFISRFFCKSISTCEDVDQDALHFIDTGEKYDMKSQSLSPTWKEIDYHGSTWIFSPELVV >SECCE7Rv1G0496420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:612379511:612381333:-1 gene:SECCE7Rv1G0496420 transcript:SECCE7Rv1G0496420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDWETPATEAEAEALQERIWDLHDKLSHAILALSACAGLPACRCRGAPNGHVVVKGQRPPQGGGHVDLAAAAAAMADARGLHAIRAALEDLEGHLHFLRDVQLQQRADQDAAIARVQQSRILLAARLAEHRGKGHGVIEEALGFVGDVRDKSQFVSPEDVYGTHSQSGEDAEDHRGHGSNMVVRVVSCSFALAKNILRFERMGSVLGNATVFAVSMLTFLQLHQLASGKQMPAVQYRRTGNVSLSGGSRKDSKGKHLEVLLARG >SECCE1Rv1G0023980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:317186000:317187369:-1 gene:SECCE1Rv1G0023980 transcript:SECCE1Rv1G0023980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFPQARSLLRRMGFEKRDAYFFKQMGKGMLCTYTLFGAAWLWNDTSPLGWWTLKPRPKEEKEMAHLYERREFPYPGDEEAVEEFIKSVGALGTTIGPKGFADTNVDSDNMQKQLQSKKFDQEAQKLWFRMRNEVAHELQEKGFGVE >SECCE4Rv1G0279910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817739688:817742630:-1 gene:SECCE4Rv1G0279910 transcript:SECCE4Rv1G0279910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTEPALPGGSPADEDAEAEVASDELRHLPDQALQARLQRMQASILGGIAGRLPDGGRTYRRKLLAIRRELERRKGQASALSAATAPHTPSPSSLPPQPRQGGPPDGVGRKHIVQSRCVESSDVQGTSSLPDRVKDEQMETPLCPSKQLPDVHPIKPKVEPCEDSLSQTPDSSEDCETTPLSCNHPFFTIILSRSHVQKPFQLYIPGHFHKHVPEERTSATLICHGRSWAMRYCGDLKMKKLDADWMDFAVDNRLRVNDGCVFELVTGSKEEVVFQVQILRGGLPKDITSKGYTADEPLVIVG >SECCE5Rv1G0372730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:854457460:854466117:1 gene:SECCE5Rv1G0372730 transcript:SECCE5Rv1G0372730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNACALNTMEVKAKPARVGKRSRASGGAATGLLGKKESEKERRKRMKALCEKLASLIPREHCCSNADTMTQLGSLDVGASYIKKLKERVNELQRRRSSVQALDTLRGDTSIPTPTTATTTSSGARSSEEEKAWEASAPVLQVRQHDDSSMEVRLICCTERPIKLHEVITIHEEEGAEIINANHSVAGHKMFYTIHSRAFSSRIGIDVSRVSERLGALV >SECCE2Rv1G0117970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:778320084:778323441:1 gene:SECCE2Rv1G0117970 transcript:SECCE2Rv1G0117970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGARCAAVLLLTFAVARAVPDVDGPLLNGNFEYPPNRSQMDGTRVTGAHAIPYWKATGPVEYVESGVDRPGDGMVLVVPEGAHAVRLGSGASVRQQLSLTRGACYSVTFSAARTCGQSERLRLSVVPDDAPDAPGRELPIQTVYSASGWDSYAWAFHAERGVVTLVIHHGDDGGAEDPACGPLVDAVAIKTLHPAAQAAGGNLLRNGDFEEGPYVVPGSACGVLVPHMDADAVSPLPGWMVMSYSKVAKYVDAAHYAVPCGSRAVELVAGVEAALVQEVDTVPGSACRMEFSVGDAGDGCVACGPELQPMRVVAAATGAQGTATVEYHSQGTGGHARGALAFTAEGNRTRVVLYSSGYHTMSDGSGSLCGPVVDDVSLVCA >SECCE2Rv1G0125020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:838491252:838494495:-1 gene:SECCE2Rv1G0125020 transcript:SECCE2Rv1G0125020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTASRPSCIGRPASMPAGESSWGMHFADFVASSAHGNQDDMGRQGGAISDSSFSYDYSYSFASLGDGSHSASFITSDLMDEEEEEEAAAADDDSLQDTASSSAAGPKVSNINVVYMKSMITMDTKEMNTPQLANYFLGARSRHQATGAVQESIIGEDNNEKQLTECNDLRKKGLCLVPFSFLIDYLG >SECCE4Rv1G0261720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:703847424:703849308:1 gene:SECCE4Rv1G0261720 transcript:SECCE4Rv1G0261720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDAYYYWLALFPLLYLMRIYRGSLTSRNGGLRLPPGPWQLPIIGGLHHLLGALPHRALRDLSRRHGPLMLLKFGEVSVIIASTAEASKEITKTYDHIFCTRPLSASAKVLSERGKGIAFAPYGGEWRQLRKICILELLGPRNITSFRPIREEEVTRLIRSIIDDSSELSEVVNLSKMLATYVTHATVHCIMGGRFKEHDTLLHYVDEAVEVIGGFTLPDLFPSSRLVCALSGTLRRAEAFRDSVLAFIGRVIDEHLDRRSSEEAHQEQDIIDVLLRIQRDGNLQFPLTMDNLKVVIFDLFAAGSEAPATILQWAMAELMRKPSTMAKAQAEVRGAFMGQTKVTEEGLGQLSYLHSIIKETLRLHPPGPFLLPKQCQEQCKILGYDVPKGTTVLLNAWAISRDPEYWDEPDAFVPDRFMASATDYKGNCFEFIPFGAGRRICPGMLFGIAIAELALASLLFHFDWTLPVGTSADLDMTETMGITARKKEDLQVRATLHVQPVET >SECCE5Rv1G0320420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:387598804:387606620:-1 gene:SECCE5Rv1G0320420 transcript:SECCE5Rv1G0320420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGSGGGGGHDEPPQRPKQQLPRDSRGSLEVFNPSAAFRPAAGKPAASPFRQDDDNIEDVDRATQRAAEWGLVLQTNEHTGRPQGVSARSSGGGSARSSSDDKAVAGAGIPRVSEELRAALSAFQQTFVVSDASRPGHPIMYASAGFFNMTGYTSKEVIGRNCRFLQGSGTDPAEIAKIRQALADGSNYCGRVLNYKKDGTAFWNLLTIAPIKDEDGRVLKFIGMQVEVSKYTEGNKDTVVRPNGLPESLIKYDARQKDQARSSVSELLLALKNPRSLSESSNSTFKRKSQESVGMLTGDGTGKRSSESGSRRTSRTGARSSLQKINEVPEGGNKTRKSGLFSLMGLLGMGHGTVEKNMLKPRDEDPLLDSDDERPESFDDELRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYSREEILGRNCRFLQGPETDRATVRKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTEHVRDAAEKEGVMLIKKTAENIDEAAKELPDANLRPEDLWANHSKVVLPKPHMKDSASWKAIQKVHEGGENIDLKHFRPVKPLGSGDTGSVHLVELLNTGEYFAMKAMDKNIMLNRNKVHRATAERQILDMLDHPFLPTLYASFQTKTHICLITDYYPGGELFLLLDRQPLKVLREDAVRFYAAEVVIALEYLHCQGIIYRDLKPENILLHRDGHISLTDFDLSCLTSCRPQVILPEEANKKSWRKSRSSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASISVSLPARQLIYRLLHRDPANRMGSYEGSNEIKQHPFFRGINWALVRGTAPPKLDAPVSSDDVGKGMGDAAAAAAADNRTDMF >SECCE4Rv1G0245280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:527790057:527790524:1 gene:SECCE4Rv1G0245280 transcript:SECCE4Rv1G0245280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQREGSKKRARGEEEEVDSPPAKMTALAAAAAADALAEAAFQEAAPAVVEGGDGDADGDAWKPPPGMFDFPWMHCRGGLGAHSASTLSELRDVFFRSAVDGHLAAVGVPGDRFIAPPSNMLLFVVLEEWVATADEGEVDPLWRSVLTGGANPAA >SECCE6Rv1G0425370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:707063947:707064892:-1 gene:SECCE6Rv1G0425370 transcript:SECCE6Rv1G0425370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSALLAVLALLAASVLAAKADFDDQFEVIGDRDHIGYRDDGKDKGQEFSLELDQESGSGFKSKAKYLFGEFEVKMKLVDGNSAGTVTSFYLTSGESATHDEIDIEFMGNSSGDPYVMNTNVWASGDGKKEHQFYLWFDPSADFHTYKITWNPKNIIFEVDGVPVRTFKKYDGLPFPSSRPMTVHATLWDGSYWATQHGTVKIHWRHAPFVVPYKAYHANGCVHDKATNKTACPAGSDAWMRRELGEEELKTVAWAERNCLSYNYCADGWRFPKGFPGECGRDL >SECCE3Rv1G0196040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:805526661:805530522:-1 gene:SECCE3Rv1G0196040 transcript:SECCE3Rv1G0196040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGCTWGALLLAALLLQPALSSPDFRVGARFPVRLPRAYQPGFTGRTTVLEAAGKRQPRFAVAVSVEAGTGGGYLCSLVVLLANVTVWASDRPEQEFPATGVCQLELTEDGQLRLTDGDRAAVWWSSTAGQGAKALRLDSRTGNLLLLDDKKHTVWQSFDKPTDKLLPGQWLRLPSYFSVSLAKTSSALYSVELDTDKIAAYIYFGQRKYSYWELPPSSNQAMAFAGMDESGLTMYDQRRRPVAKISPAVNKGPVRFLALGDDGSLGLYAYDSPHGEFTPSYEALAFCDLPLSCGVLGVCSLSGSCRDFSARGVRPAQGGTLCNATATSSDDAHDMVEVRGVTTVLRADSPVTNVTVQQCVDLCLRDCSCAAALHVKDDAVAGSGVCSHYELTAGAREAIGEGDGQRHSYWVKVLKESKRSCREDEEDDGASNEALTKILIIFAVVDVIGLLLFGGLCVYFICLRGRAADEHVCEEDGEAAGRDRGDADPDRNANNSGAVVQDS >SECCE3Rv1G0212980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:957321576:957322472:-1 gene:SECCE3Rv1G0212980 transcript:SECCE3Rv1G0212980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAALVSVATGVLKPVLGKLSVLLGNEFKRFKGVRKEIKSLTHELAAMEAFLLKMSKEEDPDEQDKVWMNEVRELSYDMEDAIDDFMLSVDDKDAKPDGFIDKIRCSLGMLGKMKARRRIGREIQDLKKQFIEVGDRNARYKTRQTFSNTKNATVDPRALSMFEHASKLVGIDEPKHEIIKLLTKEASTHEQPKLVSIVGTGGMGKTTLANQVYEDLQENFECRAFVSVSRNPDMMNILRIIHSGVSGLRFEETEAGNIQQVIINISSFLVEKRYNFYLYLLILLEYIICLFKRYI >SECCE3Rv1G0181820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:618576412:618577919:1 gene:SECCE3Rv1G0181820 transcript:SECCE3Rv1G0181820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDDWKKSIWRKDADYVFSEALLSSNMWWAALEWVLNLLEPLYKALRYADAQKQCTLSGFKKSMMTAVQTLESRLGGGSEMLRRVMSKVSNRIEAMEKDTLMVAAAVLDPYTHYVINLSNIPEFASALTVAIEKIADPDSAALAIYEISAFRERRGRFGQRVAHISAEKMSPTDWWFQFGGDVPNLQKYALRIVSQCVSSSGCERNWSAWALVHTKQRNRLLYGKLHKFVSVRHNLKIRAEEDKDRVRENDKYKEVDPCAMMMNTAMFDEANPMMEWLNEDEEHVILDGSDAASAVFEEIRRLNSSKKSSHLGRKGNGTKRKRVLEEDEDDYIDCDDDDEEDEYVDIDDEDECGDDSASEADGEDSTTRVEKDQPSQDGNEAEERNDGGLLNCRRSRRGKQSKKLKDLTSLYN >SECCE4Rv1G0277430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:803397471:803399803:1 gene:SECCE4Rv1G0277430 transcript:SECCE4Rv1G0277430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSSLAFVVASAVTGSAVSLVASAFCDLYFQRSDAFDIMPPDLSPGSRYIYTRAQNNDKAERAITTCSVLSALDAGRADPVVGRDDEIDRVVCILCRRTKNCAALVGAAGVGKTAIVEGLAQRIAAGNVPDALAGTRILELDMGAVVAGTCWRGMFEERLKDAIKHAEEAGGKVILFIDELHMIVGAGDKGGPMDAANILKPALARGRIRCVGATTCEEYHRYIQTDAALERRFQKVVVEEPSVQGTITILKGLKQRYQEHHGLKIQDDALVAAAQLAARYITGRQFPDKAIDLIDEACSTAKVHFDKQKMENNIISSIFAPKELTVGPDHIAQVVSRCTRIPLTTLGQEEKEKLVHLAEKLHERVVGQDEAVNLVAQAVLRSRIGFGQSSRPIGSFLFLGPLGVGKTELAKALAERIFDNVKTLIRFDMSEYAESGSVSRLIGGPRSYEEDGQLTEKVKRCPYSVVLFDQLDKANPSIFKVFIQLLDDGALTDGKGHVVDFKNTIIIMTSTLGAEHLTTRMSIENTVKDGRDLLMEKVKKRFKPELIDRLSEVVIFEPLSHDELKEIVKIQMKDVIATVANKGVSLCITDAALDVIWSESYHLVSGARPMRRWVEKNVTTVLSNMLVNGEACEGSTISIDAADVNRGLRYHVVKK >SECCE2Rv1G0113770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:725507439:725513081:-1 gene:SECCE2Rv1G0113770 transcript:SECCE2Rv1G0113770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSDSGGGGRAGAELMAEQFHLKVLHAVLAVRAPRPLAAPAPAAASASFRRRDRWFHLPLHDPPPPPSAERLEAPPPGEPLVVDIHLAPAGCGGAGGEVVERWTVACEPWEDAAAAGEGMAVNRAYKRCMTLLRSVYTTLRLLPAYRVFRLLCANQSYNYEMGYTVGSFAVPFSRAQESAMRSHRFVHVDTQPGRLVVSVQYLSSLAAFNLEISSLSPSMLITNYVGSPAAEPMRNFPSSLTEATGCAFPQSYQQQRPHSWAPPALWPLAPAQQTRFSPPPLHYASPTPSPPNFHGGYLQSPLRGESAPVTIPGVRRSPVHRQSMLDPVKGLMLPPPSPRRGDKGAAGSQESPSDISRSFGRPEGLRMGDPYGSSSPGSKGKDSRDESGRFSALSSCDSPRQDDLDEADYPFAVDDVDPPISRPGSSDGKEAGDQAGSSSHKSQDAAVGSLVHLLRTARPLRDSSYSSQTSGAESSTVASTSSVMSRRTSDALEELQSFKAIRERLLSGSRAKERDSPEKP >SECCE3Rv1G0154320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59805081:59811428:1 gene:SECCE3Rv1G0154320 transcript:SECCE3Rv1G0154320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAGDEGGNRGSPSAMDKADESAKKARLELPNGHVNEQQATIQHPTVQAGGGAIVPAEAGYGSRVELAVKIDMTVLHCPLCTLAFKPPVFQCNKGGHLACGGCVALLPGGQCGACEDGGGLFGPCPALDAVVSSTKIECPHAGCQRYVPYHEAADHQSACPHAPCACTEPGCGFVGAPHALAVHLADLHSVPVRTVQYGRVSQVPVSGPQQLLVGEEDGRAFLLTVGALGAAAAAVSVVCVRASASTRPRFSCKMWVNLLQPANGGRADMVLVEIQVRSSTTPGAVVAVDEPTFLAVPRMYMVPVAGDGDAASMKVPLNIRIDKISR >SECCE7Rv1G0477800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:228515188:228517271:1 gene:SECCE7Rv1G0477800 transcript:SECCE7Rv1G0477800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDAKLWPFKVIPGPADKPMIGVQYRGEDKQFSAEEISSMVLNKMKETAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSTSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKAEDEEHKKKVDSKNALENYAYNMRNTIKDDKIASKLPEADKKKIEDAIEGAITWLDNNQLAEAEEFDDKMKELEGICNPIIAKMYQGAGAEMPGGMDEDAPASAAAGSSGPGPKIEEVD >SECCE4Rv1G0248850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:575988515:575989936:-1 gene:SECCE4Rv1G0248850 transcript:SECCE4Rv1G0248850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPLRHVAMLPFMAKGHAMPLLHLARLLLGRRLASAVTFFTTPRNAPFIRAGLASAGAGAAVVELPFPSQQDAPQSTDELPSSTYLVDFISAVAALQPAFAYALSRIEPRPDLLVHDGFLRWAKDIADELGMPRLVTLGFGGFATYVSRAVPAHRPHARVSSPSEPFPVHGVPDLRLTKADLSPPFDDPEPSGPHWDFICKNRISMYSSRGIILNSFHELESVYIDLWNREFDIKMWPIGPLCLAASEPAVQTKDDREISEWLDSRLAMDRPVLYVAFGSQAELSRAQLEEIAVGLDKSGVDFVWVVRSKWLNPDDRFNERFGDRGKVVEGFISQLGVLSHKSVKGFFTHCGWNSVLESITMGVPMLAFPMAAEQKLNAKFVVDVIRVGLRVRPKEDANKGGSGLVMSGDVQALARELVFGEEGKRAAARAGELSVSSRKTMDIGGSSFENLAQMVQEVSQTHANNGELI >SECCE3Rv1G0154750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62155024:62158050:1 gene:SECCE3Rv1G0154750 transcript:SECCE3Rv1G0154750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLASLLGSCANRLKDIIIDEAILILGVEKELAEVLRRVELIQCCIYDAEKRRTKEQAVNNWLGQLRDVIYDVDEILDVARCKGSKLLPDHPASSSGKSVACKGLSVSSCFCNIGPSRDVAVRIRSLNKKIENILHDKIFLTFNSCTQPARNGPKCKIIRSSNLVEPNLVGKEIIHSSRKLVDLVLAHKENKSYKLAIVGTGGVGKTTLAQKIYNDQKVKGGFMIHAWISVSQEYSEVTLLKEVLRNIGVHREQGETIAELQRKLAETIEGKSFFLVLDDVWNSNVWMDLLRPALHETTAGVILVTTRDDQIAMRIGVQHTHRVDLMSIEVGWELLWKSMNIEEEKEVQNLRNTGIEIVRKCDHLPLAIKVTASALSSRELTENEWKRYLGRFIGSQSILLDEIEEALYLSYDELPHRLKQCFLYCALYAEDAIIKREVVTWLWIAEGFIEERQGQLIEDVAQEYYYELIHRNLLQPDIMSFDQANCKMHDLLRQLACNISREECFTGDVETLRGKNMSKLRRVTAITNKDKLVLPSMDKVEVKVRTFLTVNGPQSIENTLFKTFLLLRVLVLNCSLVQSIPNYIGKLIHLRLLNLDYTGISCLPKSIGYLKNLQVLSLRWCHDLHTLPSAMTLLTSLRCLDLFGTKINQVSEGIGKLKFLTQLRYYPVGDGSSNAVIQDGWKLEELSSLSQMRYLVLVKLERAAQCSTNIVLTDKRHLRKLTLEWTERGEGSYSEEDVSNTEKVFEQLIPPHNLEDLSIISFFGQRYPTWFSTTCLSSLIYLKLIHVRSCVELPPIGQLPNLKFLRIDGAHAVTKVGPEFVGCKKGDPVCNEFVAFPKLELLIFSDMPSWEEWSFFEEVAAADGWGEDVQSARLKLLPRLLELKLYGCPKLRDLPQQLGKDTACLKELNLGGLNNLKAVENLPVFSEALVISYCEDLERICNLPQVTDLRVHDCPNLSHVEGLGSLQQLWLGKDMQKVSSRWVPGLQEQHRRLHGEDLDIYTWTN >SECCEUnv1G0554140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:282901737:282902264:1 gene:SECCEUnv1G0554140 transcript:SECCEUnv1G0554140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHFCECYFDLSGPILCPVLGSITPLFIPNSSIRPIRLIGLCVSLITFLYPPVPRIQFDPSTAKSQFVESLRWLPYENIHLYMGIDGLSLFFVILTTFLIPICISVGWSGMRSFGKEYITTFLIREFLMIAVSCMLDPLLFYVLSESVPIPMLCGAEHLLFAGIKLFLCRGLVQ >SECCE7Rv1G0466220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:77417111:77418472:1 gene:SECCE7Rv1G0466220 transcript:SECCE7Rv1G0466220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANSNLRVLDAGVVRPSGIDLPPRSIPLTFFDVKWLRPPPVQRLFLYRLHGSHNAGQLISGLKASLSKALTLFYPLAGHVRLAPNSNNRYELFYQPGDGVHFTAAEYAADVDDLARPSDGEPVQVARLAPLVPPLPKGRAVLAVQATVLLQGRGLALGVTMHHSAGDGASSTHFLHTWAAICNGAVEMPPPPVIDRTLVADPRGLYDIYSKGMPSDGSEIEFVTSSVSSVRDDQLVATFTLTREVLTAIKDTLAEEAARHGAPSRRCSSTLSAYSFIWSCYCRAKREQDQTKTTHLLFSVDHRARLRPPVPAKYFGNCCSPAIAAAYHDELAAAGTGGLFAAFTAIARALEEEVGEGSQERWDGCIERVKKAAKAGMVFVVGSPKFRVYEIDFGFGQPGKVVAMSATATGSMPVADARDGGGGVEVALSFPADGMENFQRCFAEAMQAIGMQ >SECCE2Rv1G0108170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:658908395:658914501:-1 gene:SECCE2Rv1G0108170 transcript:SECCE2Rv1G0108170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRSSPSPPPPQARSAGGGAHLSLFLDTDFGTHLALNVAADSTIRRLKSQVAVEHAAAFPDLGPVAVKSFQVLRKGAMYHLSDSMALRSAFSKVKAGCFLHVKMVAVATDSHYSRDEDRGRSSRGCLGVDMDKQLMELPATISGSVNPQLLEGSEEAAALLNGHAHYAVPNDVQLSSSSHLNTETKKDAAVSLATDVQTNSVANVSRFSNQSNVALVGEELLARKENLLHSVEDHDIGVVSGDKQVGTREGTVGELHALDDPSQEKERKRARRTDSVNTSAVDPVRETNDYKTRDVNSLEKPSLETNTNSLGVIPSNSFQQESLGNAQEDSIQPENPIIPGKNKKRKKHQSVTPKDVSAQEIRKPSAGTVEVPKVLDGGLLHENPRQQGDGADNVEMTSRDKAVVRPSDMHLPSSQLNSGSQLGTDAQATTDLVTDKSNIDIVHEGYGNPAVGETSNSTCKVVAGEETIAEGINDEYHDERAVEVSNMEKGSKSENVLDMADTAGNISHEKNCQESNKVSSVGLSSMDTAEAKDQSGYSEKAAKSDIVSTQGEILNDPYKRHIASNVQQGDCNVIENSDGDGKLKKKKRRHSESSKDGPTTQGVTKPSGSIANAQVEIVNEPSKVNQGDSTVTENPTGDGKRKKKRKLRLESSKDDPLTKSSGLTTGGSSTQHTSDDPLNAEQTTQGTIGEATGSDCRKLDGTADVAATNVINEVLADLGCTDNLSGDPVKEHTEGVVSAALPLKYPADNQSDDLNDVLTENTVVPADGRTKSSKRQRKKTSLKHVSTDSSKDIQSLGVQVRQVPTEDLEGGNDSKEELLLEGSSIDAPASTGQVLRQKSKKSLKTKTPAIQEINHATHGQDNQFIKDDQEKYVTDGGTHNVKNIVGAPTESSVVHKDGTVITHDKPNARKGRKKSSKTELQSQDTALEQGSVADLMNSRAQEGAAIPKGSAGAVEPNDSAAIRSENGKINFLDHFSPSGMNGPSVSAENNDETLIREVKGKKKSKRKPDMQSQRAGVIEPNDLPESHLHTDKTSVADHFDTGNVGVTSVSAENTNREDGIVEKVKEKKKSKRKQDLVKPESENPTGNNEDTDNCSQNLLHSVVQKGRIEQGNAKENSDKITKNNSMPQQETEAENINKEDGNVRKTKEKKKSKRKQDLVKPESQNPDGGNQDTDTSAPQKGRMDQGNAKEKKDKIIQNNSMLQQEKEDATRDSTFEKKPRQSKVGADDQTDLPTEKDHARMGKEQMNSTSQTKHHGKNRKHDGSIDGANADPKVVSNVVQSSPMSPQASNESTYGTPAVNRFRVAVRKVPRKKFEQLNGKSKKDTSKRGAGAIFSDTISEDSDELLNTMGEKVGKENSLDDSSTSADSGISSTAWEGSDVPDDEGIASLSQRSDINSVLRGSTSYKKARLKPTELLEDTEVPDSQPPDSLWG >SECCE2Rv1G0087190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:223306499:223308279:1 gene:SECCE2Rv1G0087190 transcript:SECCE2Rv1G0087190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQAAAVVTPVVAGAAPSISPRPSSRGPAGAGGVLGWRRRPRGGAGRGLVIADAFGGQYEDGFGDVELEIMNYFTYKATQTVLYQLYEMNPPAYTWLYNYLVVNDAKEGIHFLRALSKERQDLAERVMVTRLHLYGRWIKKCDHTKMYERISNENLELMRERLMETVVWPTDDTNSSDKQD >SECCE2Rv1G0115890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:758096413:758098743:-1 gene:SECCE2Rv1G0115890 transcript:SECCE2Rv1G0115890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPEEAPKPSPGESREWTLRFLQALGVDASLPASAERPDAYSALVRALLSSATVSSSPAPRVSCTLTVSSAATNTYNTLHGGAVAAVAEAVGMACARAAAGDKEMFLGELSTAYLSAARLDSEVEVEGLILRKGRSVVVTTVEFRLKDSKKLCYTSRATFYIMPVASL >SECCE5Rv1G0364910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803536631:803537173:-1 gene:SECCE5Rv1G0364910 transcript:SECCE5Rv1G0364910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVKRAREEEPVSLALSLTTDSAASSTTSADSAGAPAASRKRARRGRVVATSGEGEFVCKTCSRAFATFQALGGHRTSHLRGRHGLELGVGVARAIKERKRQEEKQHECHICGLGFEMGQALGGHMRRHREEMALRGAGDDGDQWVWRGVGVGLPDQDAVAHQAAGSYEPPVLLELFV >SECCEUnv1G0556300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310675366:310675878:-1 gene:SECCEUnv1G0556300 transcript:SECCEUnv1G0556300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSKQPFLKSKQPFSKSKQTFNKSKQPFRKSKQTFRKFKQPFRKSKQPFRRRPRIGPGDRIDYRNMSLINRFISEQGKILSRRINRLTLKQQRLITLAIKQARILSFLPFRNYENEKQFQAQSISIITGSRPRKNRHIPQLTQKYNSNRNLRNYNQNLRNNNRNLSSDC >SECCE6Rv1G0413960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:627636483:627638512:-1 gene:SECCE6Rv1G0413960 transcript:SECCE6Rv1G0413960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSVEGLGKSSLDMVEDNEVDRLSRLPDDVLLNIVERLDIADAARTTILSRRWKQIPAILSKIVIMVGSFQPKHEGRKLTSDDIVRANTNVLEATRSILEKRAASPHTIHLLRMQFYLGDESIFIGQTVANTIATHKVASVEFTILTKVRTNFTNNDLLTYGRQFMSFLDSCPNTFGGLARLTLENLRLGESDFPKIFSICKQLEVLCLYHCDMGIESLLEVEHSQLSELVIGRSVLIKRVDLKWVPKLTVLKFNIFRSQDDPFCLGYVPLLQTVSIINTGFSWHKMLKISELLGETAISNLHLNFKSEKIWVKPEGRRQLLPVLHKLRLVNLINISEECDLTWIMFILQGAPTLKELRILVRDHLCEMVTGDRRKKYAFSEEKDKGLEWEPSASDFKHHNLAELRIYGRFEANEKIVRFTRNVMEAAVNLEDIKLYKSPVCENCKHMLQEWTLKEKSLLSYKLSKGMLSSLVRIQFPSLGEFFTWPKYWS >SECCE4Rv1G0290300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:872264127:872265524:1 gene:SECCE4Rv1G0290300 transcript:SECCE4Rv1G0290300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISDASDDEFSESEQVLINNISAVSVFRDVLFTRLFKTPRNTSILTGAQKTKELLEGHPVRFYEQIRLEKHTFYLLRDALCERGLLKDTKRMTVDEQLLMFLHTIGHNVRNRVIQDKYQHSGEPISRHFNTVLNAINGLRDVCITDPRNEILAKILGDARFYPYFKNCLGAIDGTHIEAKIRLDKQTPYRNRHGYPSQNVMAAVSFDMTFSYVAAGWEGSASDQAVLRWAVTSGGFVVPEGKFYLVDSGYANTPKFIAPYRGDRYHIASFRGSNRRYTNEKDMFNHLHAQLRNVVERTFGVLKARFPILSRKGGIPYPYKTQVKIVMACCIIHNFIRKVNHHDELFELYEHGEAKQHVDHGDQQVRGQAREDERVAGERVRAGIARQLWTNHQQRSAQQPEDD >SECCE4Rv1G0287400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:854520218:854523057:1 gene:SECCE4Rv1G0287400 transcript:SECCE4Rv1G0287400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSPVKALPFSSAWLPGDRWPETALRLPLLLLLLPVTLIILLLLFPSSPPLPTPHPNIPCGAAPADATAGRWIPTPSPPPPPLYNQSCPFHRNSWNCIRNGHPPVAAFSWAPSRCGSGAVVPRVDPAAFLAAARGRRIGFVGDSLSENMAIALLCALRSGDAGVREWKRRGACQGGHFPREDVVVGYHRAVLLAKYTWRPVENSEPRKDGIKGTYRVDVDIPADDWVNITKYYDVLIFNTGHWWGSYKFPKETPLVFYRGGKPIEPPLSIFDGLKVALKSMGSYIKREVPSKTLKLWRTQSPRHFDGGEWDHNGSCVSNRLLQEHELDSWFHPRFGGVNKDARLVNSLLQEALVDTDILLLNLTYMSEFRADAHPAVWLEKKDEAAVWGQDCMHWCLPGVPDTWVDILAARILHYFKQGKG >SECCE4Rv1G0284380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:839490524:839494290:1 gene:SECCE4Rv1G0284380 transcript:SECCE4Rv1G0284380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKPRPAATRGAPAPSPAQPAAAAAAPTPAAAKPAVQLRKPVFTTIDKLKPQTHGHNITARVLSARAVLDKPASRPRVAECLVADPTGTVLFTARNGQIEMVKPGNTVIFRNARIDMFKDTMRLAVDKWGLIEVVEEPAGFKVNEDNNMSEIEYELVNVPPKKQERKK >SECCE6Rv1G0429210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:734242463:734243998:1 gene:SECCE6Rv1G0429210 transcript:SECCE6Rv1G0429210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSFLELSLCFICFVLFYCFHVRSKRKNPALPLDWPLVGMLPALLANLPRLHDWVTSVLAASSLSFRLAGPPRSGMQLFFTADPANVRHVLTSNFPNYPKGPEFAQIMDILGGGIFNADGDSWRRQRAKAQLLISGPRFRDFVSRCSRRKVERDLLPLLARVAGAGAGVCDLQDVFLRLTFDTTTTLVFGLDPGCLAVGFPEVPFARAIDDAMDVLLVRGVLPLSWWKLVRRIGIGYERKMTMAWRDIDRFIADTIAKRREAVKARGGIEGSADLLSSYIDDENDEAGAVVDAFLRDTTMNLMLAGRDTTGSALSWFFYLLSRNPRVVSKILAELETIKTATTTPDGMVTYDPDELGRLVYLHAALCESLRLYPPVPIEHKSVVAAEALPSGHEVRPGDKVFVSLYAMGRMEAVWGNDCREFLPERWIGEDGKPRYVPSYRFASFNAGPRTCLGKDMAFVQLKAVAAAVVRNFEVEAVPGHVVEPKISIILHMKNGFKARIQRRHVMNS >SECCEUnv1G0549100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:197257418:197258368:-1 gene:SECCEUnv1G0549100 transcript:SECCEUnv1G0549100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVCAKAVLLAAVMLACTAQLCMGARRRMELYRPDPADMLSYHQGAVLHGDIPVSVLWYGNFRPAQKSVILDFLLSLTAEPQAASPSVAQWWSTIDQQYLSPASAADSNGASTNTRVLLADQLSDGACSLGKSLTLEQITALAATANPKKGGVAVVFTAEDVAVEGFGMGRCSLHGSDASSGTTHIWVGNPEAQCPGACAWPFHQPMYGPQDAPLVAPNGDVGADAMVMNFASMLAGAATNPFGDGYYQGSSDAPLEAATACPGVFGNGAYPGYAGNLKVDQATGASYNANGAHGRKYLLPALYDPSTSACGTLV >SECCE7Rv1G0526280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894502483:894503490:1 gene:SECCE7Rv1G0526280 transcript:SECCE7Rv1G0526280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACATAIDELAITITSLPLETRFPPFRLRHYGGFWLLEKFLEGVPAVHSVFEPRPSDVLLASFPKCGTTWLKALAFATRNRAEHPPRGLDHPLRRRNPHDIVHYLELQFAQSMGHVVAALPSPRVLATHLPYSLLPRRITVEDSGCRIVYICRDPKDAFISSWFFTKKTVAAAAARAQAGEEPPPYMFEEAFELFCDGICVSGPQWRHVLGYWEASRRQPEKVLFLRYEEMLRNPASNVKKLAEFMGCAFSGEEEVTGVVQDIVELCSLESLKNMDVNKSGSHGPLAHESFFRKGVAGDWSNHITPAMAERLDKIVQDALQGSGFTFDVAEPSA >SECCE7Rv1G0480260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:267298616:267298879:1 gene:SECCE7Rv1G0480260 transcript:SECCE7Rv1G0480260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEINVFCIMTKAMKDVATSIRECKPLDVHPDLYGAVMNQGGFSDGALMAALSHLLDNKAEGVGFVAMADAHRVLWLGTWLGKHYY >SECCE7Rv1G0506080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:745746655:745748400:1 gene:SECCE7Rv1G0506080 transcript:SECCE7Rv1G0506080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPPPVRSKSFKKSRGASCSLPLLLLIGAIQFWVIGKPFPSLLMDSSRRRAFFNLAEEEFIPEPQVSCNFNDTRSDYCEMAGAIRIRGSTSEVFVMTPRRGTTAGDVVGDNATWIAANATSWRMQPYTRKGEARIMNGITPVTVRLADADEAPACDVMHDVPAVVYSNGGYCGNYYHDFNDNIIPLFITTRHLGGEVQLLVKQKQAWWFGKYGEIVDGLTRHEALDLDGDARVHCYRRAFVGLRSHKDLSIDPRRAPNNVSMVDFKRFLMWRYALPREHAIRTDDEVVGGRRPRLLIITRRSRRRFMNLEEIVAAAEEVGFEVTASDLMSPPKKKPQQEPEPGGEASAAVVGDGGQARMADASATVNAFDVLLAVHGSGLTNLVFLPMNAVVVQVVPLGRMEGLAMDEYGVPPRDMNMRYIQYNITAEESTLSELYPRGHPVFLDPGPIHKQSWSLVKEIYLGKQDVRLDMARFRPVLQKALDLLR >SECCE1Rv1G0053280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:668240429:668241595:1 gene:SECCE1Rv1G0053280 transcript:SECCE1Rv1G0053280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSCLVDDVSSGASTGKKASPAPAAPATKPLQRVGSGASAVMDAPEPGAEADSGRVGRLPSSKYKGVVPQPNGRWGAQIYERHQRVWLGTFTGEAEAARAYDAAAQRFRGRDAVTNFRPLAESDPEDAAELRFLAAHSKAEVVDMLRKHTYPDELAQHKRAYFAAVAASSPTSSSVPPASSPSSVAAPSPAARREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPSAVGAVSGECKGMLLNFDDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDAVGFYRSASGNNQLFIECKLRSKITTATTFVDATAATPSPATVMRTVRLFGVDLLTAPAPEHEDSSMVPKTNKRSMDASEAPTPAHAVWKKRCIDFGLT >SECCE2Rv1G0084390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:174772952:174774175:1 gene:SECCE2Rv1G0084390 transcript:SECCE2Rv1G0084390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPHVMEGIEEMQQTLSEIDRRTLDALRYAFRLGYRSPSSSQDGQDMDAFIASVLPPPVSEDGDHDRHRTELPFAGEDCFRDEDEDVHVDASRVLKMDWASCYVPHSDHDAHFGDADHGVIGVADGVSAYSAEGVDAGAFSRSLMASAYQDALETAPRAICPYTLLQRAYEGAASSDVPGASTAVLVSLVGDTLRWANMGDSGFAVLRGGAIVHRSRPQLARFNCPLQLAAKGADSVTEAEVGETPVRDGDIVVVATDGLFDNMFDAELERVVRMGTALGFSSKNMADIIAGIAYEMSLSKVKDSPFSVGYRKHTRSQRCGGKEDDITVVVAFIVSTQVVGVDKVEDDAASNSNWMDQVKMKKRAYELKNVSGPSLGAKSDDSRNRHKTLQRSNSGPISRARGRWY >SECCEUnv1G0569710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:448403902:448404759:-1 gene:SECCEUnv1G0569710 transcript:SECCEUnv1G0569710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIELLEEHRMFGGWQQRYRHTSESLNCSMTFSIYLPPAQHDAPPPVLYWLSGLTCNDENFTIKAGAQRVAAELGVILVMPDTSPRGEGVANDDGYDLGQGAGFYLNATQAPWAAHYRMYDYLLDELPALISDHFKVSERQSIFGHSMGGHGALMLALRNPQRFCSVSAFAPIVNPAQVPWGRKAFSAYLGDDESQWLQYDSCHLLSSLPADQKPFPILVDQGDSDQFLADQLQPAKLAEIARQRGWPLQLRVQPGYDHSYFTIASFVEDHLKFHAEFLHSPS >SECCE1Rv1G0052390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:661242460:661243702:-1 gene:SECCE1Rv1G0052390 transcript:SECCE1Rv1G0052390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVSKHWTRVRTLGRGASGPEVFLAADDASGELFAVKSASAACAAALRREQRLMAGLSSPRVVSCIGGRPARDGSYQHFLEFAPGGSLAYQVAIDRGIDECAVRSYAADVAAGLAYLHGTGMVHGDIKARNVVIGADGRAKLADFGCARKAGADVSIIGGTPAFMAPEVARGEEQGPAADVWALGCMVVEMATGRAPWSGMDGNALAALHRIGYTQAMPEVPEWLSAEAKDFLARCLVRQASDRCTAEQLLEHPFLASAGVDAKPQAAESKWVSPKSTLDAAFWESESDTDEAEDEMSHGTAEGRMRALACPASALPDWGSNEGWIDVLSAQTEAADAAPVSTTDLDDDAITSEHEPSVPALVTVDVEYSKSPMQ >SECCE1Rv1G0039820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:553624843:553625343:-1 gene:SECCE1Rv1G0039820 transcript:SECCE1Rv1G0039820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWRAVMGSSSSSGGGDDPAAGGVEFWHGAERAGWLTKQGEYIKTWRRRWFVLKQGRLFWFKDAAVTRGSVPRGIIPVSSCLTVKGAEDVLNRQFAFELSTPTETMYFIADAEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSRPQPPPPQPKKSEASEPSE >SECCE5Rv1G0319350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:373561399:373567124:1 gene:SECCE5Rv1G0319350 transcript:SECCE5Rv1G0319350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAMMKYLVQVAEGREAAPEDGGAPSAGPVYRCAAGAGGASPPAVPGLESCWDLFRLSVEKYSGNPMLGRREIVDGKAGKYTWVTYKEVYDTVIKVGASIRSCGINKGGRCGIYGGNSPEWVVSMQACNAHGIYCVPLYDTLGAGAIEFILCHAEVEIAFVEEKKIGEVLKTLPNATKFLKTIVSFGKVSPDQKEKVEQNGLSIYSWSEFVLKGDGAEEKYELPPKDKADICTIMYTSGTTGDPKGVLISNKSIITIVSAVDEFLRNSNEQIREDDVYISYLPLAHIFDRVIEEVFIHHGASIGFWRGDVKLLVEDIGELKPTIFCAVPRVLDRIYGGLQDKVSTGGFLKKTLFNVAYKYKQGNMIKGSKHEDAASIFDKLVFTKVKRGLGGRVRVILSGAAPLSNHVEEFLRVVTCSHVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEVRLESVPEMGYDALSKESPRGEICIRGDTLFSGYYKREDLTKEVLVDGWFHTGDIGEWQHDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQAPNVDSIWVYGNSFESCLVAVINPNKQGLERWAESNGVSGDFASICGDAKAKEFILEELSKTGKEKKLKGFEMIRAVHLEPVLFDMERDLITPTYKKKRPQLLKYYQGIIDDMYKSMK >SECCE7Rv1G0476250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:200021045:200022997:1 gene:SECCE7Rv1G0476250 transcript:SECCE7Rv1G0476250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGTWIEPSLKELAQKYNQKKLICRKCYSRLPLRATNCRKKKCGHSNQLRLKSKRRWFC >SECCE7Rv1G0512660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:813579136:813580830:1 gene:SECCE7Rv1G0512660 transcript:SECCE7Rv1G0512660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAFPNWLMLERFVFRRDDEGSFPDNTKAPIRASGTTSWNAPFRIAFCLAEPPLPSRLYAQLPRFPDPRRHIPLAIMATHRHLLLLRIATDIPALGLVQDFLVYNAYDPSSLKALQPCTEPYTDYTRTGDNLPRGPPLQEGKQRLLTVQSMGLLCRGQDEQEFAVAELLVFKDIHSKVYADICLLRSSTSAGPVLGGTWNSMRLPILGVDNVNDPWHLCCWDTDTVVPFNRSLCWIDYHRGILICDVFGDPIPTVSFLAFPLDEFPSAHTHNQIKPSSWLYRGVSAIDDDRVLKFVNVTRHDGIGYGELKYGSGFTITCHTLTVGSMVWELDYKITSRLIWSSNPHLPHTILMFPQVNVDRPHVVHFLISEFKYVIKKMWVVSIDMSTRTVESISQYINGKEGLKTDDADFTRRKSGAPTSFLPCEFSRFLRLSRC >SECCE2Rv1G0073490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:68516927:68520583:1 gene:SECCE2Rv1G0073490 transcript:SECCE2Rv1G0073490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTPRTKRREAARLLLLATFLLLLLAFLFAQSAFAAANDHRPARALLGDNADADADAEHAAAVDRHCAGMLHRDVCVSTLAGVQGLARKPLGDVISKVVERAASAVRAAASNCTSYLARPHRLRLRDRLALSDCLELFGHTLNQLGTAAAELSAGNRTAEDSIAGVQTVLSAAMTNQYTCLEGFAGPSASEDGRVRPFIQGRIYHVAHLVSNSLAMVRRLPQRRRGRALRSRTRRGEEAFEGYGPVRRGFPTWVAAADRRRLLQQQPQQGVDVPDLVVAKDGSGNFTTVGEAVAAAPNNSLTRYVIHIKAGGYFENVEVGGEKTNLMFVGDGMWKTVIKASRNVVDNYTTFRSATLAVIGKGFLARDLTVENAAGPAKHQAVALRVNADLSAFYRCSFAGYQDTLYAHSLRQFYRDCDVYGTVDFVFGDAAVVLQNCSLYARRPGPGQKNVFTAQGREDPNQNTGIAVQGCKVAAAADLLPVLSNFSSYLGRPWKTYSRTVFMQSKMESLVHPRGWLEWNGTFALDTLYYAEYMNRGPGANTSARVAWPGYRVITTAADAGNFTAQAFIQGDLWLNSTSFPYSLGLG >SECCE6Rv1G0410950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:604466954:604475860:-1 gene:SECCE6Rv1G0410950 transcript:SECCE6Rv1G0410950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MPSRSKRDHRGSDSEEEEEEIVTLSSDPDESESEAERGAEAADDDDEYVADSSDAGGVEDEAEEGGDSDGGDGGRPVRGGRRGAAEPDEERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCGNGYSENSELLARRLSARKRFVPWGSVQPFAVPNSLQQLPTIVSDDSSEKEEPLPSGIEPLILWQPEECDKENNNFTAIEVDHLLVRYLRPHQREGVQFMFDCVSGLLSDDGIAGCILADDMGLGKTLQSITLLYTLLAQGFEGKPMVKRAVIVTPTSLVSNWESEISKWLKGKVHLLALCESTRADVLSGIGSFLRPLSRLQVLIVSYETFRMHSSKFEIPGSCDLLICDEAHRLKNDQTLTNKALAALPCTRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDAAYFRRYYEAPIIRGREPTATAEEKKLGSERSGELSAKVNQFILRRTNALLSNHLPPKIVEVVCCKLTPLQMTLYNHFIHSKNIKRLISEEAKSSKVLAYITALKKLCNHPKLIYDTIKSSKSGGSGFDDCLRFFPPELFQGRSGSWTGGGGMWVELSGKMHVLARLLGHLRLKTDDRIVLVSNYTQTLDLFAQLCRERRYPYVRLDGSTSINKRQKLVNQFNDLSRDEFAFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMAKEGLQRVIQQEQADDKMQDQGNSLSTEDLRDLFTLHDQVRSEIHENLKCDRCNKDHCMPLDGNGLDLDASKHDTVLTSKEKLYTDIGGFREISGCVQKMNSSNQQIEQPSEEDLRSWGHHSDPSTVPDTILQCSAGDEVSFVFTNQVDGKLVPVESMVRSAAHQPNGVTATGEKEAVKTNSPRKPGRQSLLGKNLKMMGFNLKNSSLRSPTEPKRTSPVCLQPLKKTNPSSDHQPQTKRLHVASDISDDDFV >SECCE5Rv1G0330900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:534381021:534381995:-1 gene:SECCE5Rv1G0330900 transcript:SECCE5Rv1G0330900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSTEILVENSCFRLYKDGRIDRLRGTDNVSAGFDADTGVASRDVVIDSVTGVAVRLYLPDIHAADSDGTDIGTAAVTKLPIVVFFHGGFFIVGSAGCPKHHRYVNSLAADARAIVVSVDYRLAPEHLLPAAYDDSWAALNWAVSGADPWLSEHGDLGRVFLAGASAGGNIAHNMVIAAGASGLFAAATRLEGAVLLHPSFSGEQRIETESEEYRASVKMRCSVIFPGARGGLDDPRMNPTADGAPSLRTLPCERMLVCAASEDARLPRARAYYDAVKSSGWSGQVEWFESEGKGHAFFIDEHGRREAVALMERVAGFIAGH >SECCE2Rv1G0129380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:867891248:867893215:1 gene:SECCE2Rv1G0129380 transcript:SECCE2Rv1G0129380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQETPHGDGNPKAAPDPGQGGGNGGCIAPNGTRPCGWLGRLSRELHWSFVLAVVAVYGACQGVGSSFGSVAAGYYWKDVQRVQPAASQFYQGVVNVPWVVKPLWGLFTDVVPVAGYRRRPYLLLAGVMGVSSMLTLALRRTPAIIPALTAFTTQAAGAAIADVVVDAMVAQNSISHPPLAADMQSLCGYSSSAGALLGYSISGLVVHAIGAQGALGLLSIPSVLVFSAGILVKEDRAKDFEYSQVHKKFYEAVESMWVTLKCPQVWRPCVYMFLMFVLCPDIQGGLFYWYTDSSTGLAFSEGFIGLIYSIGSVGSLLGVLLYQNMLKDYPFRGLLLWSQLLGCLSGMIDLVLVTRLNLRMGMPDYLFAVIDNSVSQLIGQLKWLPLLVLCSKLCPPGIEGTFFALLMSIQNLGLLMSAWWGGLLLHALGVSRTEFGNLWVAVLARNAMRLLPLAFLFLVPRSGQSSAILPAEMLPGDGGSAGDLGQGSSGVIEFSVLHGDENGEVVEAEEEELELTPLMEKI >SECCE3Rv1G0144570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:4818725:4823933:-1 gene:SECCE3Rv1G0144570 transcript:SECCE3Rv1G0144570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAF1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79730) UniProtKB/Swiss-Prot;Acc:F4HQA1] MAYRPYPPPPGAYPPQAPPVNPYGQPPPPPQQAGYGHMPPPPFHAPPPPPPPGPPPPHQPQYNFAHAPPQHQQQHHRPPPPQMYYQPPPPPYGGNSNQAPPPPPPPVSPPPSAPPPPPPPAQPQPPPREAPPPPKERQAKAAPPRVESEEERRARKKREYEKQKLEDRKQQQLMRQSQATILQKTQKVRAAAQPPQPQSRHLQPSAGTRPATTASRPAAAPNAERFENRLKKPTTFLCKHKFRNELPDPSAQLKWLPLNKDKDRYTKYRITALEKNYMPKMIVPEDLGIPLDLLDMSVYNPPSVQLPLAPEDQELLRDDEVLTPVKPEGIRKKERPTDKGMSWLVKTQYISPLTTDAAKTSITEKQAKERRESREGRDNVLENLNDRQKRIKAIAESFKAAKTRPVHQTKRGMEPEFVLPLVPDFDRYNDPFVMVNFDGDPTADSEQYTKLESSVRDECESQALMKSFQVSGSDPAKQERFLAYMAPAPHELLKDLDDENEDFQYSWIREYHWEVRGDDKQDPTTYLVSFDEDEAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRIIVSRTAHGDEMEHGESSSMHGNLKRRRSSVDDDLDEHPRRSRVEDTEQYSEDDSE >SECCE5Rv1G0344000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:642992772:642993356:1 gene:SECCE5Rv1G0344000 transcript:SECCE5Rv1G0344000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWYWYLNEECHHSAHAIIMADRHQLLPSLACSNCVTERAIVFCFADDARLCLQCDDALHGASQLASLHRRAPLCDACDAAPAALRCQVGGHLATLCAHCADRLAPPDGGASLVEGYTGSPTPAQILRILSVDAPSSHEDFDAWLAHNFAPILQGIQ >SECCE4Rv1G0253660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:628774884:628780659:1 gene:SECCE4Rv1G0253660 transcript:SECCE4Rv1G0253660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHATGVEKATAPYGSWESPISAAAVSSAGKAVEGLAVAGDGRLLWVETRPEEGGRAVLVKEGAVADGKSLDVTPQEFAVRSLAQEYGGGAFAVQGDVVVFSNYNDQRLYKQTMGDNSPLPLTPDYGGSAVRYADGVFDPHFNRYVTVMEDHRKSGSNPITTVAAVSTSVEDVNEPTVLLSGNDFYAFPRIDPTEKRMAWIEWSDPNMSWDKAQLWVGYFSSKGEVEKRICIAGGDPTIVESPTEPKWSSKGELFFITDRQSGFWNIYKWDEQSNVVVQVYSLDAEFSKPMWVFGVSSYAFLGNDDQSQKIVCCYRQNGKSYVGLLDHDSGSFSKIDLPFSAVTNIVSADGSFYVEGASASLPVSIAKVTLDEKRTMATDFSIVWSSSEDIMKYTPYFSLPEFMEFPTVIPGQHAYAYFYPPYNHTFQGSSDEKPPLLVRTHGGPTDEARGSLDLSVQYWTSRGWAFVDVNYGGSAGYGREYRERLLGQWGVVDVNDCCSCATFLVATGRVDVQRLCVTGESAGGFTTLACLAFRQTFKAGSSLYGIADLASLRAGMHKFEAYYIDNLVGNKQAYFERSPINFVERFTCPVILFQGLDDPVVSPDQATTIYKAIKDKCLPVALVEYEGEQHGFRKAENIKFTLEQQMMFFARLVGHFKVADNIAPIKIDNFDKAS >SECCE2Rv1G0123660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:826076915:826080064:-1 gene:SECCE2Rv1G0123660 transcript:SECCE2Rv1G0123660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREFKTVNRRMGNQARLWYRRLVVVGQLPDLLAVTLLPVLSWLAVMAMMSPLSVDTVYALLHGTRGVMAMSVAGAAAAAYVYALSSRPVYLVDFAGYKPAPAHGLTRARSMHHYRLTGAFNAESMAFQKRVMERSGLGEATHLPASLIRVPLDVCLRTANEESHTVVFGVIDDLLAKTRVRPDDVGVVIVNSSLYSPAPSFASLVVNRYWLRHDVVTHNLSGMGCSAGVIAIDLARHLLQVYHDTYALVVSTENITLNGYLGNNRPMLVTNMLFRMGGAAVLMSNRRDERRRAKYQLIHTVRTHHGPSDKSYACVMQEEDEVGNLGVSLSKDLMSVASDALRANITTLGPIVLPLREQLRFLGAVMLKRVFRSTRAGLCIPDFTLALKHFCIHAGGRGVLDELQKSLKLSEWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIKQGDRVWLIAFGSGFKCNSAVCKALRTAEGAVAVEEGGPWAQDINVLPVHVPKVMPIEDGASYVPAT >SECCE5Rv1G0342550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:632823429:632826191:-1 gene:SECCE5Rv1G0342550 transcript:SECCE5Rv1G0342550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLVAPLLVLLGLLLSPAPAAAAAGDEEPLIRQVVGGADPLDNDLELDSQFLGFVQRFGKTYRDAEEHAHRLSVFKANLRRARRHQMLDPSAEHGVTKFSDLTPAEFRRTFLGLKTTRRSFLREMAGSAHDAPVLPTDGLPEDFDWRDHGAVGPVKNQGSCGSCWSFSASGALEGANYLATGKMEVLSEQQLVDCDHECDPSEPASCDAGCNGGLMTSAFSYLLKSGGLEREKDYPYTGKDGTCKFEKSKIAASVQNFSVVAVDEEQIAANLVKYGPLAIGINAAYMQTYIGGVSCPYICGRHLDHGVLLVGYGASGFAPSRFKEKPYWIIKNSWGENWGDKGYYKICRGSNVRNKCGVDNMVSTVSATHASKEE >SECCE7Rv1G0483560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:323913133:323913474:-1 gene:SECCE7Rv1G0483560 transcript:SECCE7Rv1G0483560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIQDVRDCHGIASLAKKMVELERHVMFPAVYRLIDLALLLLVATTTIERAFSSMKIIKTELCSKMSDGWLNDLMVCYIERETFKSIDLSKIKEDTQKEGRALSLPGSSRRH >SECCE2Rv1G0139610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:928476432:928476803:1 gene:SECCE2Rv1G0139610 transcript:SECCE2Rv1G0139610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMADEQYYAGPRRAPHGLLMAVVVGLLIGWPLFMGDGGKAVTDAIAELLGPVGLLLLPVGLLLLIQLLSSDRGPDAFAFGGSPDAVHHVGESPVGVALMLVLILALLYYRTALFGGGGDDDE >SECCE4Rv1G0253090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:622106960:622107661:1 gene:SECCE4Rv1G0253090 transcript:SECCE4Rv1G0253090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVCKAEGAAPASFVKSGAPVAFRALHSPAVTAARRPYNTQISRYDGDGDDYSGRDLVIPSFFSQDMLDPLGRLLSLTETGLSSTAGAGASRLAHWVAKEDDDAVYLKVPMPGLTKEHVEVRADKNILVIKGEGEKQRWDGDDDSKVPRYNRRIETPADAYKMDKIKAEMKNGVLWVTLLKLKEEERKDVFHVKVE >SECCE4Rv1G0228580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:137250660:137252798:-1 gene:SECCE4Rv1G0228580 transcript:SECCE4Rv1G0228580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRCSRHRGKVKAFPRDDQSKKCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPIVIVGLVAYVKTPRGLRTLNSVWAQHLSEDVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQMQLEKMKKYATVVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYNFFEKEVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKVGQETHDASTEFDRTEKDITPMGGFPHYGVVKADYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFVDTSSKFGHGRFQTTDEKQRFYGKLKA >SECCE3Rv1G0212070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954261586:954262806:1 gene:SECCE3Rv1G0212070 transcript:SECCE3Rv1G0212070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRPAPAAAAASAASVLGGDDLLREILIRVGFPNYLVRAALVSKLWLLNASDPAFLRRFRDRNPPRLLGVCAGYSVRPFKFVPFPQPPELAALTRRAASSCDKAFARGGQRQRIEHCRNGRLIVESLRKGAFEHSVLAPLLSGEPGAVLPPIPPPRHPPQEPTQGAFTQIFLPEDGGRDGITLVNLWKLRREVRAEVYLLGAGGWGAPTTAITEMELPYAATFFAKMLPPIHGKVFVVTGFGYTLGLDLAAARFLMLELPAGVRYNYMLSSAEGSGIYLVTADRFQLSVWLHRMAGDNHGAGGWLLVDTFSVLETCARLAGDSWVPCEYLNVAAVGDNADFVLLDHPSSGVIFYVHLSSRVVKKVYQRTADEHECRSVSVRISPVMTIWPPIFPALNIGHDQEE >SECCE4Rv1G0214980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:2322629:2326649:1 gene:SECCE4Rv1G0214980 transcript:SECCE4Rv1G0214980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRSRSPPVAPAGALLLCLAVVLVVGELLPAASAVAADGCPGGGERASKQQGRKHEVKEELWVFGDSYADTGNLGNLGRELTHAWYDPYGKTFPRRPAGRFSDGRVLTDFVASALGMRTPVAYKARRRASREALARGMNFAVGGAGVLDTGNFQRNISAQIDLFQAMHRRQDCHGGGKRTALVVVSGNDYAYAADKDNNGTSAAIAYIPTVVRELRGQLRRLRDEAGMRRVVVTNLHPMGCTPVFTRPLNYTGCDPLANAGAAQHNAALGSVLAAIDPNNRTFLLLDVHTPFAAFLLDDDNNGGSDHNRRFKSTRRPCCESFRPDGYCGEEDENGTRQYTLCDDPGRYFYWDDVHPTQAAWAAVARTFRAAVKSFLST >SECCE4Rv1G0254120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:632965158:632966102:1 gene:SECCE4Rv1G0254120 transcript:SECCE4Rv1G0254120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGESGARRRQRRRWRLYWCYVCRRALRTVSSPTSDVFCPRCLGRFLHEIELPVPREPVHAPTERLFQPPPLFPYEGAPRHWVIYRGEDDDTADTPGPRRRRVPSPPPAPGTHGADAGPPATGIDPAGFFTGPNLNALIEGLTQNDCPGPLPAPASAIDSLPTVHVSPEHMADGSQCPVCKDEFELGETARELPCKHAYHSDCIVPWLRLHNSCPVCRQELPLPGGESPDGAGAGDGGEETVPPWQLLAGWGPLAWLLTLGEPGVGGWENGSGTRGREADADDAGGNVSRGATILQSFVLVAACFFFVSFLV >SECCE1Rv1G0026400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:370461515:370464923:-1 gene:SECCE1Rv1G0026400 transcript:SECCE1Rv1G0026400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGRPWTRLPALLLAALACAAVGECRVVHVGEAHRRSMLANGLGATPPMGWNSWNHFACDGNGEVVIRETADALVSTGLAAVGYKYVNLDDCWAEPERDAKGNLAANKKTFPHGIKALADYVHSKGLKLGIYSDAGYKTCAKAQPGSLGHEEQDAKTFASWGIDYLKYDNCNNGDTKPLQRYPDMSKALMQAGRPIFFNLCEWGDMHPARWGAAYGNSWRTTNDIEDTWDSMMSMADQNEVWAEYARPGGWNDPDMLEVGNGGMTNDEYIVHFSIWAISKAPLIIGCDVRHMSQDTYDILANKEVIAVNQDPLGVQGKKVRMEGSSEIWAAPLTGYRTAVLLLNRHAKDEAQITAHWDDIGLPAGTAVEARDLWLHKTLNTKFTDKMSFNVTPHAARMFVLTPLKSQMD >SECCE1Rv1G0047760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:628880444:628882487:-1 gene:SECCE1Rv1G0047760 transcript:SECCE1Rv1G0047760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARAQAAADSGICVLVDAEVVLLPEAVTLLIDLSRIDRDWLLVSVSRNVSGFPYNLAHSAMPEEIQADKWAADSSDRGVVLAWNNPGRPLLAGVVPSFLYGRGAHSRWLTHEVLSSETRLVFDASSLVLGLYPEDFTAKRGAGLSSGGRLPDGSWEHGVNRHLASVYGSYCHRPPGGHHSFPMLYEVVKHSEDYLLSKVEEPAFSRFVTGKEQDAHEEVSSNPWNKENNCLSDHLPSYSSEASDVPYSLEMLLRFVADDNKSVVLGVAGASYRDMLMSWVCRLRRLGVTNFVVCALDQETYEFSVLQGLPVFRDPLSPKDVSFDDCHYGTQCFKRVTKVKSHIVLEIIRMGYNVLLSDVDVYWFHNPVQFLHSLGPATFAAQSDEYIETGPINLPRRLNSGFYYARSDSATITAMEMVVKHAAKSNSSEQPSFYDVLCGKEGVNRLGNDRCLEPSTNLTVVFLDRDLFPNGAYKGLWGRRDMRSTCTKLGCFIIHNNWVNGRKKKLRRQMASGLWDYDPSSRMCLQSWGKANS >SECCE4Rv1G0267380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735866537:735867074:-1 gene:SECCE4Rv1G0267380 transcript:SECCE4Rv1G0267380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQRLAAAKRCSREAAMAGAKAAAVATVAAAVPTLASVRMLPWAKAHLNPTGQALIISTVAGMAYFIVADKTILSMARKHSFDDAPDHLRDTSFH >SECCE3Rv1G0197230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:816945695:816947529:1 gene:SECCE3Rv1G0197230 transcript:SECCE3Rv1G0197230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVAVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKHPMTVYGDGKQTRSFQYVSDLVAGLMALMESDHIGPFNLGNPGEFTMLELAEVVKETIDPMSTIEFKPNTADDPHMRKPDITKAKQLLGWEPKVSLKEGLPLMVTDFRKRILDE >SECCE2Rv1G0115240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:747973300:747975529:-1 gene:SECCE2Rv1G0115240 transcript:SECCE2Rv1G0115240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIGIRTATLLLAGLAWCGLLVATAGEVNGVFNVMDYGARGDGTTDATKAFMDAWTAACGARGSSATLLVPAAKSFLVGPTRFSGPCASSRITVQVMGTITAPPAGAWSGKNCWLMFYQVHGLTVTGGSTGLLDGRGQTWWAEKCKRHADCISKAPTALVVMNCADVELSQFRSKDSPQMHIAISHSSKVHLTQLTITAPGDSPNTDGVHIGRSKDVRVTRSTIGTGDDCVSIGPGSRFVTVDGIVCGPGHGVSVGSLGRNGATETVEYIDVKNVQFINTSNGARIKTWQGGKGYAKSISFSDISFTNVDHPVVINQFYVDRHHVPNMGAVALSNITYTNLKGTSRKKTAVEFDCSESGSCTNIHVNSVVITAAYGGETMARCRNAQGDTSGYVHPKIPCLR >SECCE6Rv1G0419410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:669390415:669392913:1 gene:SECCE6Rv1G0419410 transcript:SECCE6Rv1G0419410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWRRKCVAECRRCASPSPRRRRRLSVLAAWAHQTDDDATSSGEERPSCAPPPEVVWRRGCAPGEIARRRSSAPSLSSARVVRALQRLERKPATAFAYFKDSESMGFRHDLTTYAEIIRVLSHKGQGRMLFSLFSDILSPADGGGGGPEIVPLMDQLRRTCTASYDLLFATDCLITTCTTCRSAQDTIELFGDLCRLGIVPAVWTCNILLKFAAEGGDSEVVVSAYDQIKEFGLTLDAHTLVLITRSLFREKKADKAFQMWVEMIEMGVKPDVIAYSSFITGLCDCGKVDLAYGILQEINREGIQVEDMAYNMVMDGLCKEMRLQEAEMLLENKTRQGFTPDIYGYSYLIRSYGKAGNLLKVLDHYQAMVSHGFETNCHIASYLLQCFMKLGMTSQVTEHFQKLRDSGLHLDGVLYNIAMDAYCKDGNMDEAVKLLREMKAEGLTPDRIHYTCVIKGYCLKGDVPNARQAFEVMLKANVKPDVVTYNILASGFCKNGLVTEVFDLLDHMADQGLEPSSLTYGIIIDGFCRSGNLSEAEVLFNIVEEKGIDHIEVLYSSMVCGYLHSGRTDHAYMLFLRVAKQGKFVDRFSCLKLMNDLCRDGNAQGASMVCSMMLENNVIPDVISYTKLISAYCQTGDVHNARLWFLDMVQRGLSVDVIVYTVLMNGYCKVGQMEEACKLFDQMTSLGIKPDIIAYTALLDGHLKEYLQRCWQGVSKERRIYLLRTKQNRLLSSMKKMEIEPDVPFYTVLIDGHCKAADFEKARGEFDEVLQKGLTPDQYVYTALITGYCSQGEIEKAQDLFEEMVDRGIKPVVLTFSVINRIALRERQYQ >SECCE3Rv1G0208840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:935048885:935049589:-1 gene:SECCE3Rv1G0208840 transcript:SECCE3Rv1G0208840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEDVKLLGSVVSPFAVRVRMALLAKGVSYEYLEQDLFHKGELLLATNPVLNKVPVLIHAGRPVCESLAIVEYVDEVWAEGASLLPADPYGRAIARFWAAYIDDKLFPAWMGILRAATEEDRAEKLHAVLVVIGPLEDALAQCSGGKDFFAGDSVGYLDLALGCNLFWFKALREMFGLTVIDVGRTPRLTAWAKRFEQTKVGKEAAPPMKRMVEHVGKLRAMWAAAADAAK >SECCE2Rv1G0068900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25738846:25739400:1 gene:SECCE2Rv1G0068900 transcript:SECCE2Rv1G0068900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSRVAGGLLRALVFGVFGAAGAVVGAAYGLLSGFVDDEDGFAQGTLLGAIAGALVSLDLLHSLLTIWCRRHGSSSSSCTGRIKRTVAAVAGLTALADPHYCGRRGDRALDRPARSSGFGFFPPVAVAAAGGGCCPICLQEFEAGGEREGRRLPACSHVFHLECIRSWLLRKPHCPMCRHAVH >SECCEUnv1G0566130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:400630804:400631016:-1 gene:SECCEUnv1G0566130 transcript:SECCEUnv1G0566130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGSLAPKTKNLVVGGGLTGFVFGVYYYTMRAVGSTDELQVAIDKFEDLKKQDADTTIAANPSTRGSS >SECCE3Rv1G0151750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:41198507:41198884:-1 gene:SECCE3Rv1G0151750 transcript:SECCE3Rv1G0151750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEFGPCFGGRRRDDYGGRARSRGGGYWSAPVPAQVYQQEQPPAVVHDGAPKADHTDAAGHAAHLQDEAGSETPQRHPAWHHNKVADNAYTPRRHEAAAADHRDNNAAMDYHHHHHPRQVLASR >SECCE4Rv1G0282320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829040434:829041363:-1 gene:SECCE4Rv1G0282320 transcript:SECCE4Rv1G0282320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPLVRGVASKAAGALVEMVTRMCGLDDDRQTLERQLLAMERKLANAEERSETNCYVESWMKELKSVAYEADDVLDDFQYEALRRQSKIGKSTTRKVLGYITHRSPLLFRFEMSKKLKNVLEKINKLVEEMKKFGLENSVHREEQQHPWQQTHSKLDNSTKIFGRDDDKEVVVKLLLEQQKEQKVQVLPIFGMGGLGKTTLAKMVFNDQGVQQHFQLKMWYCVADNFDAIALLKSIIQLAQNKRCEMPDSIELLQKKLEEVIGQKRFMLVLDDVLFEDERRWEDVLKPLLCSLGGLGSVIVVTT >SECCE3Rv1G0152470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:47477837:47478379:-1 gene:SECCE3Rv1G0152470 transcript:SECCE3Rv1G0152470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTFIAMFLVMLAAMSSGLLVAYADTKFISRTCNKTNNTALCIAVLTTKPQSAHASTEHDLAIIALEIAIDTAKHNVKVIDDLDMKKQSNPEAFALAICLKAYTEASSALEIFADLDFKHGSYDGTLDVVSFAMGASDTCKEAFKRIGKKSPLSYIDREMTEHCSVASNLINLLVRK >SECCE7Rv1G0500960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:677319986:677321248:1 gene:SECCE7Rv1G0500960 transcript:SECCE7Rv1G0500960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHSNSRQQRNRGSKIKTLLKVTLLLGVAVWLVYQVKHSYDKKNEYYNATEEQLSHDDRSMFQSRKERLGTYGDGNVGKATETTDVIGKQEEEKSGGSVFDKDNTDSHDDDAGNTERSEAEEGQASRADDNAEAHRNETSESNSSDAETKTDVHSTGDDVPHEEEAQSDGSANAGQIDASGNGSDGEQGETKGAMESQDTKAGTGDEHSAETLPDETGNIPAVHNANPQGDAASSTSDAYGGHSNSETVHIEIGSEHEGARTSSGITSGDSEKGNSVETNPSDSILVEEKAGGDGEKGSETSTAKEASGAKEANPEEGNAAAEVRADQAANTQTDNSDGASAAAAEGANGGSLEETKAVENQIDGATKASSNGDQDDIKIETNTSANNEHKEQQNVDVGSGSSGSNDTGPEQTGKTETQ >SECCE5Rv1G0337340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:590895380:590896993:1 gene:SECCE5Rv1G0337340 transcript:SECCE5Rv1G0337340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAEAHQSRLLYELGALLLTIIRSPLGEEGRGPPRQVTAAGVASMMLGASMALMLCGSVTFMLGFFLMPWVVGLACVFLFVGFLTNLSGIWRAILCCPHKDASTWHIFPKPPFM >SECCE4Rv1G0244670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:518895546:518896778:1 gene:SECCE4Rv1G0244670 transcript:SECCE4Rv1G0244670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILHSHASPNWRDWAQLCLDLVKEISNRLLTFEIADYIRFRATCKPWRDFTDDPHRCDGMDIRFRPRNWITLAHCASPSRRTLLNVTTGACTNIDFPALDTHHHIGIADGLLILGHKRTNTIRLLHPFTNKFTDFPSHYMTSTSNRRFRVNLSSINGAGIDDSTIPSTLVIILRNGPRQVICAKPNDNLWAFVQAIDQRAYRSVEKARGHSLLTFGGRCYFTTSEGIIMALDLSLEAEPRMVCLLDKDPRLVNSHETIIYSYLVRSHDRMLMLRYLFGTNLLQKGGYNEEDIFMWQGCPSHMEVLEVDLPRRRLVTRHGIGSRCAAFVGTSHTVMVSTEKFPRIISNAVYLNYFMQGVFRHFAAYCFEDHTTISSRDFQDRRIGKRSPCACHWELEDYLICDVEKGYRV >SECCE3Rv1G0194150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:777540298:777542752:1 gene:SECCE3Rv1G0194150 transcript:SECCE3Rv1G0194150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAADEPCRCHIVAVPFPGRGHVNSMMNLSRLLAARGAAVTFVVTEEWLGLLRSSSSAPPPPGVRLRAIPNVIPSEHGRAADHAGFLDAVATEMEAPFELLLDRLQEEEEVPPVAALVADTYVPWVVGVGNRRGVPVCSLFPMPASFFSAYYHFDSLPPRLVDEHAPAAGATTDKSDHRLGHYISGFASSSVTLSDLEPLIHNKRTVNHVLAALSSIKNAQCLLFTTMHELEAGVINSLRSALPCPVLPVGPCIPYMTLQDQHSKSNGKSNGEVTTSPGDCFTWLDSQPVNSVLYVSLGSFLSVSDSQLDEIALGLASSGVRFLWILREKSSRVRELVGDTDRGMIMPWCDQLKVLCHPSVGGFLTHCGMNSTLEAVFAGVPMLALPLFFDQPIDGRLIVEDWKVGLALREWADKDGLIGSQVIARAVKRLMACDEADTKAIRRRALEWKEICGRAVEKGGSSYDNLSSLMQMVCASRCTELNQQCCKTDA >SECCE2Rv1G0090720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:287864383:287865246:-1 gene:SECCE2Rv1G0090720 transcript:SECCE2Rv1G0090720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFASTTTCIGNGSTTLFWEDRWINGQAVLDLAPLLYQCIPKQRRKARTVADGLAHNSWARDIQGTIGLHEIGQYLLLWQASITLLVPWMIWKHRNACVFDNDTPSLEILVNRIKEETRSWAKAGAQGLRVVLPATWDVH >SECCE3Rv1G0205000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:897842525:897846032:-1 gene:SECCE3Rv1G0205000 transcript:SECCE3Rv1G0205000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYLGDLLKQAAEEELGMLLGVSGDIDKMGVKLGDLKNLLVDAERRRITDDSVQQWVTELKRAMYEATDILDLCQLKIMERGSSAPDMGCCNPLLFCLRNPRFTHEIGGRIKKLNQTLDSIKERSSAFSFLNLTSYEDRTRVRPSAASRKTDPVLERSGVVGDKIEDDTRTLVEKLTNKTDIDDIMVVAIVGVGGIGKTTLAKKVFNDEAIQHRFNTKIWLSVTKEFSEAELLKTAIIAAKGKLPDGGAQDKSLLVPALAVAIRDKKFFLVLDDMWGDNEWNNLLKDPFSYGAPGSRVLVTTRHGTVARGLKAVHPYHHVDKLGPEDAWSLLTKQVLTTEKSEPTIDMLKDIGLQIIEKCDGLPLAIKVMGGLLCQKEKSRHAWGKVLNDAAWSVSQMPEELNYAIYLSYEDLSPCLKQCFLHFSLIPKKVLFEDSEYVGMWIGEGFVHGDSDRLEELGIEYHRELVLRNLIEPDTSYPGQIFCSMHDVVRSFAQFVSRNESLVLNSGESTSNTFSMQRYLRLSIETKGVESDTFELRSLQEQKSLRSIILIGNFKIQPGDSMAIFSSLRTLHMESIDCIALLESLHQLKHLRYLAVKNCTGINSLPQDIHKMKLLQHLSFEGCENLSELPDVVVKLQELRFLDLDCTCVTAVPRGFLALKNLRTIYGFPAQMDGDWCSLEELGPLSHLRCIRLVGLQNVSASSFARKASLGEKVYLSTLYLHCSSGFGDDGQKKEYATEKDQRVIEEVFDGLCPPPCIQHIYIQGYYGCQLPRWMRDTSTTLLNSLKILMLHDLACCTQLPDGLCHLPCLEYLQVFRAIAVKRVGPEFVQPSSHHHHPSYRAVVTFSRLHELVLNGLVEWEEWEWEEEVHAMPVLEELFIQSCKLRCIPPGLSSNARALKKLSIASVHGLQALEGFTSVVQLDLYNLPNLTNISNFPKLQKLEIDSCHKLESLEGMDQLRRLVLTTHYAIPIPSYLQAVKPSHLLLNWGPEALACMALGKSGPEWDKFGHIQHVEAYADDLGEHIEKKWHLLYTSEPYSMVTNINPQEFESGSEDEEDVAPLEEEGVVAN >SECCE5Rv1G0343260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637340591:637343311:1 gene:SECCE5Rv1G0343260 transcript:SECCE5Rv1G0343260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSCSKLIRWSPQFFDSGTPTTAKSAMAFPALPATLTCLTLLALLSLAMAADNNSTGLILVNCGASVQGDDDSGRTWDGDTGSKFAPSLKGVASPAPNQDPSLPSRVPFMTARIFTSNYTYSFSVKPGRMFLRLYFYPVAYANYAASDAFFSVRTPNLVLLNDFSALQTAQAISSAFLVREFSVNVSSGSSLDLTFAPSAHHNGSYAFVNGIEIVPTPDIFTTPDTRFVNGDNSPFSFDADTGFQTMYRLNVGGQAISPTGDSGFYRSWANDAPYIFSGSGVTFSKDDNVTITYTSKVPKYTAPADVYGSARSMGPTAAINLNYNLTWILPVDAGFSYLLRFHFCEIQYPITKMNQRSFFIYINNQTAQEQMDVIVWSGGIGKTAYTDYVILTAGSGQVDMWIALHPDLSSKPEYFDAILNGLEIFKLQNYGSPKYLAGLNPPLPQKPADASPSATSAEGKSVAAIVGGAVGGFIVLLVVCFGVCIICKRKNKKKKKISKDPGKSEDGHWTPLTEYSGSRSAMSGNTATTGSTLPSNLCRHFTFAELQTATKNFDQAFLLGKGGFGNVYLGEIDSGTKVAIKRCNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEDKSEMILVYDYMAHGTLREHLYNTKNPPLSWKQRLEICIGAARGLYYLHTGVKHTIIHRDVKTTNILLDDKWVAKVSDFGLSKTGPNMDATHVSTVVKGSFGYLDPEYFRRQQLSEKSDVYSFGVVLFEVLCARPALSPTLPKEQISLADWALRCQKQGVLGQVIDPVLQGKIAPQCFLKFTDTAEKCVADRSVDRPSMGDVLWNLEFALQLQESEEDTGSLTEGTLSSSGASPLVMTRLQSDEPSTDASTTTTTTMSMTGRSIASMDSDGLTPSAVFSQIMHPDGR >SECCE5Rv1G0354400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:723335124:723338314:1 gene:SECCE5Rv1G0354400 transcript:SECCE5Rv1G0354400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAELRRLLLVRGGAVLPLPLASRCRPASTAARDDEGAGSNAYDVLGVGETSSSAEIKASFHRLAKETHPDVAAAAGSRRFHQILAAYEILSDSQRRAHYDSFLRSQRLVIQKQSRPSQYVYPVYPDSSGIVMPRESNVVEWLKWYRLTVGDIVTKKRIATGSGYFDRLESELYTAIHAAYYGPEVESMDLLPDCFEAEERSVYETSELLHLVSGRDLLGIVSLVDSVRELSDACREKLTRSGSGTCGVSPNVAVNGEKCSMPRHADIHKQENEDSDSIPSDAFKDIQLQICGRVVATANRRLKCNCIENSDMEDHIHVFLVPNEVVAPDVIQEHLLLGTITGLDTSGEEGSCCVYDKHGIQTHVIVKHRTLMVKHMHWYQFGDEVSPCECRCSRARLPPSRFWLFEPRCYMHDTGGWYIETFGRDKKGRTIPSPRHWHGVNEHSEKRLHPALYLVALAYRSLDLEDAQRRKWSFRSFLELQLSHIRQLSKKIFSGIKGVDMGAP >SECCE2Rv1G0083870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172099549:172099923:1 gene:SECCE2Rv1G0083870 transcript:SECCE2Rv1G0083870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNTAVFLVGLLLSCVAMSSGARILEEETAPSKGEEHLPELPTLPKVELPPFPEVHLPPKPELPKVELPSFPEVHLPPKPELPTFPEVHLPTKPELPKVELPPKPEMPIVPEFHFPEPEAKP >SECCE4Rv1G0286370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849244470:849247901:1 gene:SECCE4Rv1G0286370 transcript:SECCE4Rv1G0286370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPPSSTASHNDDDDGRRQDGMWERRTHARILVSDADAGCIIGKAGSDVHAMEARSGAHIKLSGRGRPLPGTDRRVVLVSGLFRTVMDAAELVLEKLFYLGDQVIDAEATVVLVVPDACCGALIGKGGEVIKSLAEESNAGITVSPYDICYGFHDRLVTITGHLDNQLQAIFLILSELLDDVRYSSSVASLSFPSSSVRYGGDGQDEHVERYHSRPDTPVRSPDYDSDVQGTLTMGVADQYVGAVIGYGGRTIQEIERVTGVQIKIVKGEFIPGTNEREVVISGTREAVDAAEEMIVQRVSDAAKSRRRQQGGGGGDRRPVEEVE >SECCE3Rv1G0185170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:672522218:672523259:1 gene:SECCE3Rv1G0185170 transcript:SECCE3Rv1G0185170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHAGTGGGGGVVQQKLRKGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDAIIGLHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPSTHKPISGVAGVEPDQASKDDKPQGADGVALKQQQLQVFDPFPVADTFGAGFDGAGMPLYDHLGGKDAAGFVDYSSVLDVSENLGYGESSSNSSNWNSAPEASNALEGEALHWASESKVEPFAAYAGDEDDKFALPCHGQQEHSMAHFDFNLEYF >SECCE3Rv1G0185290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:673392661:673393826:-1 gene:SECCE3Rv1G0185290 transcript:SECCE3Rv1G0185290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSLYEFSCFAAGFAGNLFAFALFLSPVPTFKRILKAKSTEQFDGLPYLLSLLNCFICLWYGLPWVSDGRLLVATVNGTGAAFQLAYISLFFIYADSRKTRLRMVGLLVLLVCAFALVAHASVAFFDQPTRQQFVGAVSMASLISMFASPLAVMGVVIRTECVEFMPFYLSLSTLLMSASFAVYGVLLRDIFIYLPNGLGVVLGATQLALYAYYSRKWRCKDSSAPLLA >SECCE1Rv1G0010180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:61048461:61050692:1 gene:SECCE1Rv1G0010180 transcript:SECCE1Rv1G0010180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAMATLTTFPPLLIVTLFLLADAAATAGAVRFDYATLTLATLKLLGDAHLNNNTIRLTRDLPVPTSAAGRALYAAPVRLLAGFSTSFAFTVTTLNRGSVGGGLAFVVAPDAASVGDGGAFIGLDPAADVAVEFDTLMDLQFGDVNGNHVGVDLGSMVSTAVADLDLAGVELTSGRTVYAWIEYAPAKAMDVFVSYSSKRPAAPVLSATVDLAEYVKEQAFVGFSASTQGSTEIHAIEWWSFSTPSPPSPAPSKPPPVSAAPPPAPARGLDPTLPSTPQLPGITPPATPATVSAPPTSPVTAASAPAISVAQKNAKTPHPPPQGAVAGAATAGAVVAASFAGFALWALARRAKARKRDAVAVATKRDNVASAAAMARSPREFSYKELSAATRGFDASRVIGNGAFGVVYKGIVPDTGAMVAVKRCTNANADGAQARAEFLSELSIIAGLRHRNLLRLQGWCYEKGEILLVYDYMRNGSLDRTLFDASSPALPWRHRHEILAGVASALAYLHHECDRRVIHRDVKSSNVMLDESYRARLGDFGLARQAEHGASPDATAAAGTMGYLAPEYMLTGRATEATDVFSFGALVLEVACGRRPIGTEGRCNNLVEWVWSLHGEARVLDAVDPRLGGEYDEGEMRRVMLVGLACSSPEPERRPGMRAVVQMLSGEADPPFVPAARPSMSFSANHQLLLSLQDSVSDYNALGLNLSDESSSDSLSSSSLTSTLRKGGHDIGFSSTAGDAR >SECCE6Rv1G0389400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:150319062:150319946:-1 gene:SECCE6Rv1G0389400 transcript:SECCE6Rv1G0389400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNHNGYGYYDHSNGSYTNDDNPCYYGMSYATSEPSSYGYQYQQSPSTQPQSSYDNHYHQYDMSNSASSSVTPPYAGYDDIAPYAQQHHPEYLNFGGSSYQYDGTTSAMGMGVDMDQFSALMEATSISPAAPSWAEQQEAKKAKAEPPQLIGVRRRPWGKYAAEIRDSTRSGERVWLGTFDTPEAAALAYDQAAYTSRGTAAVLNFPVERVRESLRVLKLGKAAAAGEEDSPVLALKRRHCIRKRTPKGKGQDCDMKKKQPAAASSVLELEDLGADYLEELLALSLSEQWSNE >SECCE2Rv1G0089690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:260282073:260282645:1 gene:SECCE2Rv1G0089690 transcript:SECCE2Rv1G0089690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKKKAAVICCCALLAASILLGAALAAALYFLLLRPHPPRVVATAVDTQLGAFSVLPPALNFSLAVDVTVHNPSHAPFRYGEVVTAVTYRGAAVGRSAAPAGKIPARSTRTVGARVRVDAARVILSRHYVVDVVAGALRFEAKTAVAGKAAALRLFRVSADAEASCSVILYPFRRESSSHCTSTVRVT >SECCE6Rv1G0400120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:447631228:447633348:-1 gene:SECCE6Rv1G0400120 transcript:SECCE6Rv1G0400120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSPPPPMPKSSPRARPRHHHAPPGLCSLPAFSYNAHRGLVLGLTFLAYALYHASRKPPSIVKRALSKSWPPFYDPALLGETDVAFLAFYSLGMFGAGHLGDRLDLRLFLAAGMVGSGAAVAFFGAGYFLSLHSLVFYLFAQAIAGLLQSTGWPSVVAIVGNWFGGRRRGLIMGIWNAHTSVGNISGSIIAAAVLRYGWGWSFVVPGGLMAVGGVLVFFFLAPYPEDIGFASLPLKQASGASTDEEDSSSSTAGGAGEEARRDAVGIWKAFSIPGVLTFAACLFFAKLVAYTFLYWLPFYLTQTAIGGEYMSVTDAGYLSVLFDVGGIVGGILAGFVSDQLDARATTAAMFMYLAIPSLYAFHAYGSASKVTNITLMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTAIIDGTGSLGAALGPFVTGFISKTGWDSVFTMLILCALIAGACLSGLVKSEIQQIIQNWRNRTLNGTADPGAQPLLEGSS >SECCE7Rv1G0513680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:825120897:825124145:1 gene:SECCE7Rv1G0513680 transcript:SECCE7Rv1G0513680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCSFRSIGRAPAPPRGLTGARRAVRCCSSAAPAGASTSKLVLEVKERLQREHPGLPTGRSGRDDDEMILWFLKDRKFAVDEAVPKLAKAIKWRQDFRVSELTEESVKGLYQTGKAYVHDSFDVNGRPVLVVVAAKHFPSKQDPLENEKLCAFLVEKALRKLPMGTDNILGIFDLRGFGVENGDLQFLKFLIDVFYYYYPKRLGEVLFVDAPFVFQPLWQLVKPLLKQYASLVRFCDAETVRKEYFTEETVPPDFRR >SECCE3Rv1G0159400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:95768768:95770070:1 gene:SECCE3Rv1G0159400 transcript:SECCE3Rv1G0159400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVVSLLNAVFRRAFTSAGLRPSSVAVDADTTLHFWAHPSLLSSPDAESKQQQQRGRRPVVVLIHGFGPDPTWQWAAQVGPLSKHFDLIVPTLLFFGASTTRAPGRSDAFQAAVIAKLLASDHLGGVGEEGRVVHVVGTSYGGLVAYHLARALQQGGGAWTVGKVAVCSSDLAKGPEDDRALAAKGGVADVTELMVPADTKALRRLMAVCAHDPPKYLPECLARDLLRKCFAVQREGKIELIKGIASGHGFQITPLPQEVLIVWGEFDQIFPVAKAHKVKEKLGEKATVRIIPNTGHLPQQEDSKLFNKILLDFLLPPPSSSNGSAAAAK >SECCE7Rv1G0489830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:463711610:463720515:1 gene:SECCE7Rv1G0489830 transcript:SECCE7Rv1G0489830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RER1C [Source:Projected from Arabidopsis thaliana (AT2G23310) UniProtKB/Swiss-Prot;Acc:Q9ZWI7] MMDPSSTASASASASAPAPVASTHSSDSGGPAAALSAAVATASRRFQHLLDRSTPHVGRRWLGLAAVVLVYALRAWFVGGYYIVTYALGIYLLNLLIAFLSPQVDPELAEVLGEGPSLPTRSSDEFRPFVRRLPEFKFWYSIVKAFCIAFGMTFFSVFDVPVFWPILLFYWVVLFTVTMKRQILHMVKYRYVPFTFGKQRYNGKRAASADDLTLPKD >SECCE7Rv1G0526790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:898702543:898703868:1 gene:SECCE7Rv1G0526790 transcript:SECCE7Rv1G0526790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGMRRILNLGLYDGLRHMYSIRRLDLLKINFFHPTAAHGKVLPTLTPAEARASATNTTRISSTNLAAAEAAAPKIDAPKTELFIRPPQVDYSPCNQRTVHFLPTSSESKVVLADHGNRMMRFNVVDGTRCVDTLPWLHGPKVMPMSISLPPTDVHLHDLEDTGDLYIIDGLLYPHRAEKRPQFEALVWREITPWGHRFWNCDILPLPPWIAHHKKAFVHSHALVGDDTICFSISLTEDATAYRYSNSPGVEGAATYCFHMATREWSKAGDWLMPFHGKADYVPELGLWVGISSQGNLPCAADLSGVLTGEEPSSPDKMRIWARDDLPEEWQSDMLFRSKVASLGSGRFILMDILGNTASTSAKEFTLFTGMELAFSNDSKGKGHHNNSGTDSSGNESSSSGDDENGGKGKTGTMCGLRMMKHKSGRYTFNGKLKIEAVL >SECCE2Rv1G0066670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:15016268:15023309:1 gene:SECCE2Rv1G0066670 transcript:SECCE2Rv1G0066670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAASTLLPPPRAKKPTALLLLPLLLLAASLAPRASSADGVRYDYKAYTECKGHPEPALYNGGILRWAKKIKDFRTADQGNYSPSFVLYNMSAGTPYSFSCWVKFNGPGTIHVKAKILSLDNAGSQCLGTALVRNDCWSFLKGGFTLGSPSQTSVLYFQAATANASMVSIRSASLQPFSPEQWSQHREDRIQLIRKRFVNVHVSDSSGGRVVGAKVAVHQMSRDFPFGSAISKTILGNKQYQDWFRKRFNAAVFENELKWYATEPAPGKEDYALADQLLQFVQSSDAVARGHNIFWEDPKYTPGWVKNLTSADQLRAAVAGRIESLLSRYKGDFVHWDVSNEMLHFDFYESRLGRNATTDFFRTAKRADPLATLFLNDFNVVEVCDDLTSSADSYVSRLRQLADAGVTFEGIGLEAHFGRPNIPYVRAVLDKLGTLRLPIWLTEVDISNTLDQKTQAAYLEEVLREGFAHPSVDGIMLWTAMDASANCYQMCLTDGNFTNLPAGDVVDRLLGEWQTREVLGATNDRGSFNFSAFLGEYKLSVTYLNSSAEGTFSLAHSDDTKHITIRLQP >SECCE4Rv1G0293060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884436037:884436945:-1 gene:SECCE4Rv1G0293060 transcript:SECCE4Rv1G0293060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEKNVVAMLFLTTIVAVAAIRPAETYGAAEENTLKTATPSRKGVDATLAATHVVAAEKSIKKKAETATTPEEAAAAKQDAAKSASAASKYNAISHQATDKGSSTSPGRKEAAATLAAAHYADAEKSIKKAETATTPEKAAAAKRDAAKSVADALKYGAISRQAAGTSSSTSPNGKEATPDLAAMHIAAAKMFTKKAETATTPEEAAAAKRAAAKSSAAALKYDVRKEAGASSSTSPSRKEAAAATLADARIAAAEKSIKKAESAARPEEAASAKKGAVKSEADAMKYGAISQQAAGKNGA >SECCE2Rv1G0073630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:70068317:70071964:1 gene:SECCE2Rv1G0073630 transcript:SECCE2Rv1G0073630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTLLQKMPKRKTDRAHVLDKTKHLARLNVKDAGKILLKRGEGKLEKQFRMTCVGCDLFVCYRSEEDLELAPYIYVVDGALSSVAAETNPHDAPVPPCITQLQGGLVQVAIEVEDRAQRSAITRVNADDVRVAVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP >SECCE7Rv1G0494840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:590930404:590938503:-1 gene:SECCE7Rv1G0494840 transcript:SECCE7Rv1G0494840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHHLLGFLRRAAAGSFSAARGAGSLSHLHPIPPGNGAAVPISTRFLSSRPGGAARSLIDDEADLSDWVSDIKADSFNLGLSSGDEREVSTRKPAASRGGRRGRDSGGPPTRSRFDGGDFGGDRRGGRFGGDSRGGRFGGDSRGGRFGGDRRGGRFGGDSRGGRSGGDRPISERRGRVVSSDLSDDGESGFGSARGRRGRGGMSSGFSQRGGRGGDFGDESGFRSSRGQRGRGGRALGVSHRGGRYGDLDDEESGFGSPRGRRGRGGRTTGLSGRGRRGSDLDDDEDDSGEEVGFGSPRGRRGHGGRASGLSSRGGRGSDLDDEEDDSGEEVGFGSPRGIRDRGGRMSSLSRRGGTESDLVDDEDDDDDDDDDDDDAVEFGAPGGRHNRGGRAEKMGSLGSRRGGKVRDVDFGDRRSKGRKMSDFGSSEDDSESGEVDEDDGPSGFEDGLSGDDSGQEDLVNSAAKKSVSCESVEQESVLGTRDNGGADSYLSQRRFDECSLSPATLKGVKAAGYERMTAVQEATLPIILKGKDVLAKARTGTGKTVAFLLPAIEVVSKLPPHDHDKKRPPISVIVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKFLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHVAMKRDLEFVNTVVEGSEETHSQVKQMHLVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKLTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVLQLGVPSDREQYIHRLGRTGRKGNEGSGVLLLAPWEEYFLKSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKESAYQAWLGYYNSNKQIGRDKYQLVSLANEFSRSLGLNNPPALTKLILKKMGLSNIPGLRSK >SECCE4Rv1G0264930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:723904503:723906213:1 gene:SECCE4Rv1G0264930 transcript:SECCE4Rv1G0264930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIANGEMVCVTGAGGFIGSWVVKELLLPDRKNAHLLALDGAEERLTLCRTDVLDYGGLRAAFHGCHGVFHVASPVSNNPDLVPVAVEGTRNVISAAADAGVRHVVFTSSYGAVHMDPNRSPDAVLDETCWSDYDFCKNTGNLYCCAKMMAEITASEEAAKRGLELAVVVPSMTMGPMLQQVLNFSSSHVARYLTGAKSTYPNAVAAYTDVRDVARAHVLVYEHPDACGRYLCIGAVLHRAHFLQLLGDLFLQYPLTAKCEDDGKPMAKPYKFSNKRLRDLGLEFTPLKQSLYETVTCLQKRGHLPVHVVPAAAKHA >SECCE6Rv1G0413420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:623534655:623535755:1 gene:SECCE6Rv1G0413420 transcript:SECCE6Rv1G0413420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPSSSSCWHSVLPVAMLLVVVMDQAATAYLYDDIEVVWGDDHSFFYMDDAGDDEILALCLDETHGSGFHSKEAYLYARFDVDIMLVPDNSAGTVTTLYLMPEDVPWDYHDEVDLEFLGNVTGEPYTLHTNIFANGVGNREEQFRLWFDPAAGFHTYSIEWNPKRITILVDGVPIRSFRNKEEHGVAFPTWQKMRLHGSLWNADDWATQGGRVKTDWSEAPFFAHYRNLRVSWCRPSPGVAWCGDEPPESTWFDRGLDAAALQRARDAHMIYDYCKDFKRYEGAGLPKECTVD >SECCE2Rv1G0089700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:260283902:260290135:-1 gene:SECCE2Rv1G0089700 transcript:SECCE2Rv1G0089700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSKLKSVDFYRKIPRDLTEASLSGAGLSIFAALAMVFLFGMELSSYLAVNTTTSVIVDRSSDGEFLRIDFNLSFPALSCEFASVDVSDVLGTNRLNITKTVRKFSIDRNLVPTGSEFHAGPIPTVNKHGDDVEEYHGDGSVALSSRNFDSYSHQYPVLVVNFYAPWCYWSNRLKPSWEKAAQIIRERYDPEMDGRILLGKVDCTEEVELCKRHHIQGYPSIRIFHKGSDMKENQGHHDHDSYYGERDTESLVAAMETYVANIPKEAHVLALEDKSNKTVDPAKRPAPMTGGCRIEGFVRVKKVPGSVVISARSGSHSFDPSQINVSHYVTTFSFGKRLSSKMFNELKRLFPYVGGHHDRLAGQSYIVKHGDVNANVTIEHYLQIVKTELVTLRYSKELKVLEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTELPKSFSHFITNVCAIIGGVFTVAGILDSILHNTLRLVKKVELGKDI >SECCE2Rv1G0125430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:841137994:841142485:1 gene:SECCE2Rv1G0125430 transcript:SECCE2Rv1G0125430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATFFSFCSVQPLLHSASPVARNTDRTSRNRGFFRPSPGIYPGWEPASHELLHDFDLQESLANVQALLHQHPKSRRAMLTTVDHLKRLCIDHYFQDEISNVMDACVDLVHSDDLLDATLSLRLMREAGYYVSADDVLLKFTNDNGDFNHGLIKDIRGMLSLQDMSYLNMGESSLYKANEFSSKHLRLAIKYLEPNLARYVRRSLDHPYHLSLMQYKARHHLSYLRSLPTRNTSIENLALAEFQIKKLQHQREIQEVKRWWMDLGLAKEIPAARDQVLKWYMWPMTVLQGLSFSRYRIEITKIVSMVYIVDDTFDLVATQNELSLFNEAIKMWDPAAVDSLPSYMISCYKALYTATNDIAAMVTKEHGLNPITHLKQAWAALFDGFMIERKWLYTNQAPTSEDYLRNGIVTSGAPLLFLHLFFLLGHDLTEGNNDRILRIISCTAKIMRLWDDMGSAKDESQGGLDGSYKELYQRENPHGDAEKHMLEMIAGEWQDLNRECFSGTTSTLSHTFMEASLNFARMVRVMYGYDDEQRLPILEDYTRMLLF >SECCE2Rv1G0112880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:716327453:716329714:-1 gene:SECCE2Rv1G0112880 transcript:SECCE2Rv1G0112880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENAHIAANGDGLCVAQPARADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTMEGASLTIAMVAAVAAGSDTRVELDESARGRVKESSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAAATRAAMLVRVNTLLQGYSGIRFEILETVATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSMATAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILSLLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVKNAVKSCVKTVARKTLSTDNNGHLHNARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAEHDVETSVFAKLATFEQELRAVLPKEVEAARSAVESGTAAQQNRIAECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFIAMNQGKHIDALLECLKEWNGKPLPIC >SECCE2Rv1G0106390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:635282253:635282766:-1 gene:SECCE2Rv1G0106390 transcript:SECCE2Rv1G0106390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEDKNGNFGREFVKCESKPEGQIVKKCHHFEWMDDYIQRLQGLGLLDSRGNAIRELNLPHDSAAPAAAARPEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALEIFYLMAISR >SECCE2Rv1G0123350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:823689131:823691762:1 gene:SECCE2Rv1G0123350 transcript:SECCE2Rv1G0123350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGVAGESSGMKTKKLKIAVIHPDLGIGGAERLIVDAACQLAAHGHDVRVFTSHHDRNRCFEETISGPFPVIVYGDFLPRHVFYRFHAVCAYLRCIFVALCVLLWWPSFDVILVDQVSVVIPLLKLKASSKTIFYCHFPDLLLAQHTTILRRLYRKPIDMIEEATTGMADLILVNSKFTAATFARTFCGLHARGIEPGVLYPAVSVEQFHEPHDYKLNFLSINRFERKKNLGLAISAFALLRSVVSKQSGDALQEASLTVAGGYDKRLKENVEYLEELKRLAVAEGVSEHVKFVTSCSSSERNELLSNCLCVLYTPTDEHFGIVPLEAMAAYKPVIACNSGGPVETVVNEATGFLCDPSPIEFSKAMLKFVSDHELAVRMGKQARDRVVQKFSTKTFGDLLNSYVLNVYHQRIE >SECCE6Rv1G0452820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879484548:879485849:-1 gene:SECCE6Rv1G0452820 transcript:SECCE6Rv1G0452820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADHMYLGSNKFTGQVPQFPINISRLNLSYNSFSGPLPSDLKAPLLEELLLANNQFAYAIPSSLYQLTSLKRLDLSGNKLSADVMQCFKDSCANSANQFGSNMYSLALNNNNLSGEFPKFLQSASQLKFLDLSYNRFSGGLPKWLPEKMPQLQIMRLRSNMFSGHIPNDLTSIVSLHYLDISGNNISGSIPWSLSNLKAMMEVISEDTGDYNFEESIPVITKDQKRDYNFQIYKLLVNLDLSSNTLTGQIPEEISLLIGLTNLNLSSNHLMGEIPNQIGDLKYLESLDLSYNALSGAIPSSLSALTSLSHLNLSYNNLSGAIPSGPQLQALDNQMYIYNGNPGLCGPPLSKNCSANDAPQSGIEDINHMSSVYLAMGIGFVVGLWIVFCTMLMKRAWRAAYFQFIDKLYDKVYVQVVVRWARLMKNTQDDAP >SECCE2Rv1G0116640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:766384427:766386285:1 gene:SECCE2Rv1G0116640 transcript:SECCE2Rv1G0116640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHATAAAPHPPPSESTAAFDTKPPPSPPPPPPLSADEPDAPPKKRKLEELGFHDSPYYRIREAVANLRGRFLQVCQDTDSQKKDAALEILKEIKVVTELSKKMRLDVSAAAEPVKPSDIPTVRDVKIKPAGKVPSGGKNQVPQIGQDTGEKVPLKPVSLQTPAVGNHREANPSETANHGNQLLGGRLQGSYVVGGSPMGWNFLMWPGGKAVYYGLSKAEWLARQAAE >SECCE6Rv1G0424790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702428434:702429996:1 gene:SECCE6Rv1G0424790 transcript:SECCE6Rv1G0424790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDGGGNGVCFPYDVLLVILRCLPCHAIAKSRRVCRAWRTLVDAHNLLLLPTVFFDVTIGGVPAGRIVMRLFAKDVPRTAENFRALCTGEKGVGKSGKPLHYKGSAFHRVIPNFVCQGGDFTVGNGTGGESIYGDKFPDEKFVRKHTPGMLSMANAGPNTNRSQFFICFVPCSWLDGKDVVFGEVVEGMDVVRNIEKVGSRSGICTKPVVIADCGQL >SECCE5Rv1G0302810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:42155299:42155707:-1 gene:SECCE5Rv1G0302810 transcript:SECCE5Rv1G0302810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVPKLKVPGLCLLLLIMPLLLLPGSQGATCKELSRTYTSPNCATDRCVEHCQMEGFPNGVCEGNYFDPYKIVCFCNNKC >SECCE4Rv1G0271870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:764327670:764327930:1 gene:SECCE4Rv1G0271870 transcript:SECCE4Rv1G0271870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERFFTALVFCEAPLDGYGTSVITAGAINRLVPGSGGVTKPAVASKADAQKEQGLFNGMPTVQRRPAFELAFDGVNCFDTLVMH >SECCEUnv1G0531050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:15789842:15792031:-1 gene:SECCEUnv1G0531050 transcript:SECCEUnv1G0531050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASKFTTIMQLFSDWEIHVLILLSFSLQLFLFFSGSLRRRHDHRLLRITTWLSYLSADFTAAYALGLLSREVVTTSSTDNNDDHHKSMTSETPHQLMVLWAPFLLIHLGGQDTVTAFSLEDNELWLRHLLMLLGQACLVVYVLWKWVTLSYYQLLISAALLFVDGIIKYGERIWALKLGSQEGLRSSTSTEAKKASLQFGVGFSTERKEQTYQEIVRYALLRERGVRDIFAGRKLFDMDDLTCEYFLYQYDREAPRGDAQLNFKRAEIELSIMYDSLYTKSRVIQTRSGAILRCVSFASIVVAFVLYVKMMVSSSAYAEQTTSVYNNVDYRRSRVDAAITYILFIGAVCLEACSFFVVMIMSPLEWPLLEARAGWCRVLLTRVAWPMFMRIQPETKPWWSNSMGQYNFLSSCCNKSISTDRRWSSTVIMSKMAGVFGATELWNKISNTKHAYVTREMKELIHETIGHDRGWLPERICVPSAYRSLLVLPFEKALLSLHIWTDVVMHKVAKSMMVSSSGRLPIDMAAQDQDQEAAQRWRCLMDNCKRLSEYMLYLLVAQPAMLPVSSNVQDFMVAEAASDWSRGASSSNSKVQEFMVAADVASRKENFLEWLASEYEGPGLGFWEEQRVVLENQQGINAELAILEKVWVRMLVYAAGKSRPEEHARRLSTGGELITFVWLLMAHRRTGDVERSISLIKDDFSAGHLFELTTASIPYYRQIEMEESITT >SECCE7Rv1G0461240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:36718162:36719828:-1 gene:SECCE7Rv1G0461240 transcript:SECCE7Rv1G0461240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDQPQQQARSNRYGFEESGWVEKIRQSLGRKEDGHGIPVSVFDVPKQLQVHKPEAYAPQFIALGPYHHWRPDLYEMEGYKIDAARRALAAHSLDDLVSKLARHECKVRAHYETLSLMMLVDGAFLLEFLTIHHAAAEPQRVPVSSTWRMAHLADVGGTGRKSSAHGLILRDLLMLENQIPLFLLQEILQAQCQSVHESTTRLTSLVTGLVKEICPFKMKGNFREKEIHVTNHAHLLQLLYHLLVPKPSAGSASEKTVDAGHVDEEDIEVEDQRPDGQGQENPSAGSKDVMRLFAVVWSIASKLKGGRLRHVMKPIEFVANSPWRMLTGISAVKHPVDSFLMSDDGSQLASEITIPSVSELVSSGIQFAPTNGNLSTVAFDRKTATLHLPAVTLDCNTEVLLRNLVAYESSAAYGPLVLTRYTELMNGIIDTDEDVALLRKRGVVLNCMKSDDEAANMWNGMTRSVHHSKVPELDTVIEDVNRYYDGRWRVKMKRYMRKYVLSSWKMLTFLATISMLLLTTLQAFCSVYTCSRWFSDNVLNA >SECCE5Rv1G0339040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604459493:604459930:-1 gene:SECCE5Rv1G0339040 transcript:SECCE5Rv1G0339040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAGTGWPRQGEHAARYRQREEYAARRALFLQTYRLSAPGEDDDEAAATGLRGRAARLLREARVAGRAAAARAACRAWVAGKASAAGAASRARSWLGAGLGRAWRGWTRRPLPRAHGHGHRHLHHRSLLGCFGGRYRYLQGFA >SECCE6Rv1G0437180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782640844:782654172:1 gene:SECCE6Rv1G0437180 transcript:SECCE6Rv1G0437180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAISIGKSVLSGALNYAQSAVAEEVALQLGVQRDHSFITDELEMMQGFLMAAHDEQDDNKVVKIWVKQVRDVSYAVEDCLLDFAVRLEMQSWWCLSRKVLARHYVANQMKELRAKVEEVSQRNQRYHLIKGSSSKPTSAIGQPTISSAMMSASDDARLRQQKAKMDLVELINCKDDALRVIALWGTSSSDLEEISILKSAYEDPMIHKNFDCCAWITLMCPFNHTDFIRSIVRQICVNSLQKTGEEGKTTIGAPLLKMMAMTKQDGLAYELKRYLNNKSYLIVLNGVHAIEEWDCIKTCFPENKKGSRIIVSTEQVEVACLCIGAEDEALVHNKLFVDQSLYAFHSKEGRNSTEKGSNPFLVSTGDNSSTNKNILNQTETMATLEESRLIGRGNEKEEIIKLISNKDLQQFHVISLWGMGGIGKTTLVKDIYQSQENSSMFDKRACITVMRPFNLATLLESLIIQFRDNTETDLRRCLEGRRYLLVLDDLWSTVEWDTIKPYLPETAASCIIVTTREENIAKHCSKVETNIYKLNHLGPDDAYTLFTKKVFKETTNLDKQYPELVEEAKLMLKKCKGLPLALVTIGGFLANQPKTASEWRKLNEHISAELEMNPKLGIIKAILMKSYDGLPYHLKACFLYLAIFPEDQQIARRRLVRRWLVEGYSREVRGKSMEEILDGYFMDLISRSMILPSERSVYSRKGIDSCHVHDLIREIAISKAMEENLLFTLEEGCSLNNQGTVRHLAVSSNWKGDEYEFENIVDLSRVRSLTVFGNWRPFYISDKMRLLRVLDLEGEWDLFDHHLEHIGKLVHLRYLSLRGHADIFYLPNSLGNLRQLLTLDISGTSIIKLPRTINKLVKMQRILASGIGETQQGIYEDVDEQPQMSLPSHLVDCCLACRDLPSEDDAQAQFNRRDVCTAFCCSILPYYAAGRNPGGVEVPRGIWKLKALHTLRIVDVSVGKVVLEDIKKLTRLHKLGVTGINKKNGQELCLAIARLSSLESLSLRSYGKTGLSGCLYRLSSPPENLQSLKLFGNLVKLPEWIQRLKNLVKLKLERSMILEHDAAIEVLGNLRNLATLRLLKHSFVGEKVRLSIHGKAFPSLKVLQLHLIRNIELVEFKEGAAPKLELLQYFDWSRPRVGLFCGLQYLPRLKEFMLHRSNWRETEFKEDLRYQLANGPVLKRWNGK >SECCE3Rv1G0199890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:849358756:849360929:1 gene:SECCE3Rv1G0199890 transcript:SECCE3Rv1G0199890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRVPSSPLLPCILLLLSLFSVGTVSAQQKFGINYGQIANNLPDPTQVASLLRSMNVNRVKLYDADPKVLTAFANTGVEFVISVGNENLQTMASSPGAARQWVAQHVQPFIPATRITGIIVGNEVLGNNDTGMAASLVPAMQAVYDALAALGLGGRVTVSSAHSVNVLASSFPPSSGAFQEGVAQYVKPLLEFHSKTGSPFLINAYPFFAYKGSPGSVSLPYVLFQPNAGVRDGGLVYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEVGATAQNAAAYNGNLMQRIAMGQGTPLKPNVPIDVFVFALFNENMKPGPASERNYGLFYPNGSPVYAINAGTAGTGSGSGSMPGSGDGSVGRFDPYSSQSMFSSASRLAARRRLSSLTLLLVLPMLSALLAC >SECCEUnv1G0547560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:172172012:172174369:1 gene:SECCEUnv1G0547560 transcript:SECCEUnv1G0547560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAASPTPAPPHGGHAAAAAATGGTARGVRRLQYTTLALTFAAYAAFHASRKPPSIVKAVLSAGWAPFTGPEGTHRLGELDVAFLAAYAAAMFAAGHLADRADLRVLLGAAMLASGAASAALGAAYFLGVHSLPFFLAAQVASGAVQSAGWPCVVAVVGNWFGRASRRGTIMGVWNSHTSVGNIAGSLLAAAVLDFGWGWSFLVPAFLIAALGVLVLLFLVAHPGDAGLDMEAIMEVEMNGDGGEQVELLVEDKKEAEADDDHDDEFELDMGSQLPTAIGFLEAWRLPGVAQYAFCLFFSKLVAYTFLYWLPFYIRNNAVAGQFLSHKASGILSIVFDIGGVLGGISAGFLSDAIGARAITSALFLLLSIPALILYRTFGSISMGHNIALMFVSGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIVSISLAVVFLIRTVRDEIVAKISARR >SECCE5Rv1G0340000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611603514:611603990:-1 gene:SECCE5Rv1G0340000 transcript:SECCE5Rv1G0340000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDASARAAAINLWKDPNAESCCICGEEDAGEKHGELSCPYDYLVSSAGYVPCKARLAAWRDDRDAPSSHRALLRRFVRVTSNLPERCPCPARIAALFARFGPLRMWHVAMDAPAACKGFACVVFERREDAEKAIDELNCYCFDGHSLRIDWFYPSA >SECCE2Rv1G0090300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:274882413:274886896:-1 gene:SECCE2Rv1G0090300 transcript:SECCE2Rv1G0090300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLPPPPPASSTSLVSRARTAIHSAAARAERVLIDIKADLRDADGSSGHRTPSPRTSMDRQVDAEAAASASLQAPDVKPPLDEVPEVIPSADEEGLKIEAGSTSSSDLSFPPASIVKQLIAAIEDGKRFSSMTDMKFNGDQYLKEKGGLSLSVVKSLVRREKEERSSSEFFGDDETKSLMYSLFKFAEEFPHDESQCAPELLHSASLSRDIHGAPPGSFVHHLGEIIGSISSVHKMAFFWQSVVVELKKLWSDGQPVPRMSLNAAPDLNCCLLYQEIQVINCCIARKKRRKAAKETLDSLLKQECIDNSNPRYSNGDSRDSGIYASNSSGDHVLRLGADCASGNLTLLETGEPVYSPILQEGPIMTAELIKETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCVLEDFIRWHSPPDWSEDCAASNATVGEGSSRRGRLSDRMQTKEGNLWKELWEAAKPIPAVEQTPLYDEDLAVESIFDALEVIEPAKLFQQLLSVILSVCFVAAESVLPADSNLSKLFYDCKDYIIGIYQDDMSKEKLDEICKVYETMEAIVTHPEDALKITEQPDEKSAENKNRFKLKLNFAGKDRQSLWKRVAKDEKKTSPNDEKKSPEEKNTNFFSNLRERKAALFSKKNAKASELPSAPPPSVLGPFDESEWTIL >SECCE4Rv1G0292060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:880288788:880292639:-1 gene:SECCE4Rv1G0292060 transcript:SECCE4Rv1G0292060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLPLHLPPLRPRLAAASSSPRYPPPFLRTLPPLAPAPRLALPAAAARSGGGGDHEGNPGAAGDRGRVIPIARCYEAGLARLEVSGAARREQAVAAAAAADGGAAAEAHLGAGSEAMVMEAFLPGAGGPASTRVILQAREVKEKATKIKKDFGDDIFSENEPDSEIILAMALKQVVMQKLSNYRLEIFSPGSGRDFQDWSKPRKVPVDFSVSSSDGKLLSALAEAIFSCVIEDTEKSYLGGTGGLFQTQKLNCSSDSTVCIHRISEAEVANNARRCLESFNLTKSSHEVGRSKNAWWPAPKYGRLAEIGGPDFILWAHEFVPSYKLQINANAFENTKLEGCHELVNNRWEVPISHFQLVELGNVVDMYFEDQFTIPGKTFRSHWNAEPSKIRRNNGYLNNLFSFLAGSSVIFIVGVVAQLCWPQSFKGKRLFMGSSPTSSSQSYCSDVHSLDNSEVRGYCISVVKKIKDSCGCPGDIVVDENIGAWIGELPDCFKAINLGDNAASDDAQYSHTVIKENKNPLVSTPTGMTSHLEQNDDSQESLQNIASFQVVMSEEGKLVGFQPTSRLAVNHWAKNPLAALLYEGRKLSPAFLEPRLKISRPAKVVPIELLMSVNSESFFALARPVQDAC >SECCE5Rv1G0318330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:359451564:359453495:1 gene:SECCE5Rv1G0318330 transcript:SECCE5Rv1G0318330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASALPLLLVPRPVLSPRPTAAGRFLSLSTAAPAPAAVEPLKGGGRLARLQPLHAASCCNSAPTAASGGVEAVRAKGLQLVAWYLLSLDKHPVATKAVTSAVLTLAGDLICQLVIDKVPELDLKRTFVFTLLGLVLVGPTLHFWYLYLSKLVTISGTSGVISRLLLDQFIFSPVFIGVFMSLLVTLEGKPSLVVPKLKQEWFSSLIANWQLWIPFQFLNFYFVPQKLQVLAANFVALAWNVILSYKAHKKVIAE >SECCE1Rv1G0002530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9318647:9322054:1 gene:SECCE1Rv1G0002530 transcript:SECCE1Rv1G0002530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTTPLLYLCLLLAPCLLLLQEAHAARHGGISLRSQHIALLHWKATLASPPLQMSSWQENTSPCNWTGIMCTAVRHGRRMPWVVTNISLPDAGIRGQLGELNFSALPFLTYIDLHNNSLHGALPASISSMSALSILNLHHNQLTGKIPYEIGDLQSLAGLELSFNRLIGHIPASLGNLTMLTELLIHQNMVSGPIPEEIGKLVNLQVLQLSNSTLSGMIPTTLGNLTELNGLYLFGNQLSGPIPQKLGRLVHLQILDLSANDFSGPIPISITNLTKMNTLSLYENQITGPIPPELGNLAMLNQLALYTNQIAGSIPPELGNLTMLNALILYTNQLTGPIPSELGNLLNLQVLSLDQNQITGSIPHEIGNLMNLEGLSLSQNQILGSIPKTFGKLQNIKSLTLYENKLSGSLPQEFGHLINLVSINLSNNSLSGPLPTNICSGGRLQYFNVHSNMFNGPIPSSLKTCTSLFRISLGWNQLTGDISQQFGVYPQLIQMVLASNRLSGHISPNLGACTQLTMLHLEQNMITGSIPPILSQLPNLVDLRLNSNNLSGEIPSEIFTLTNLYRLNLSSNQLSGSIPTQIENLGNLGYLDISGNRLSGLIPEELGACMKLQSLKINNNNFSGSLPGAIGSLAGLQITLDVSNNNLSGVLPQQLGKLQMLEFLNLSHNQFSGSIPSSFANMVSLSTLDVSYNNLEGLVPTTRLLQNASSSWFLSNKDLCGNLSDLPPCYSTPVVAHKKGKILGLLLPIVLVMGFIIVAAIVVIIILARKKRSPQESVTAEARDLFSVWNFDGRLAFDDIVRATEDFDDKYIIGTGGYGKVYKAQLQDGQLVAVKKLHQIEEELDDERRFRSEMEISTQIRQRSIVKMYGFCSHPAYKFLVYDYVQQGSLHGTLENEELAKELDWHKRIALATDVARAISYLHHECSPPIIHRDITSNNILLDTSFKGFVSDFGTARILKPDSSNWSALAGTYGYIAPELSYTSVATEKCDVYSFGVVVLELVMGKHPRDLLDGSLSNGEQAMMVKDILDQRPTTPISTEENSLALLIKLALSCLESSPQARPTMREAYQTLIQPSSSSTTVPFSALTLQQGMHVDIRSQS >SECCE6Rv1G0422560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:690058918:690069699:-1 gene:SECCE6Rv1G0422560 transcript:SECCE6Rv1G0422560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATAGQQAGGTPDKLRHVESMSELPSGAGTISGISAVVLGESLADEEHGLVFPSAEFSASALVSSPKQYKEMYERSIKDPAGFWSEIADAFYWKEKWNPSEVCSENLDVTKGPVHISWFKGGKTNICYNAVDRNVEAGNGDKIALYWEGNEPGQDGKLTYSELLEKVCQLANYLKSVGVGKGDAVVIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVLTCNAVKRGPKPILLKDIVDAALVESEKNGFSVGICLTYENQSVMKRQDTKWQAGRDVWWQDVVTNFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVMHTSGGYMVYTATTFKYAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGAAVLVFEGTPNYPDAGRCWDIVDKYKVSIFYTAPTLVRSLMRDGNEYVTRYSRKSLRVLGSVGEPINPSAWRWFYNVVGDSKCPISDTWWQTETGGFMMTPLPGAWPQKPGSATFPFFGVQPVIVDEKGQEIEGECSGYLCIKKSWPGAFRTLYGDHDRYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSEELRKSLISKVRTQIGAFAAPDRIHWAPGLPKTRSGKIMRRILRKIAAKQLDELGDISTLADPGVVDQLIALKDC >SECCEUnv1G0537880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:70281377:70282537:-1 gene:SECCEUnv1G0537880 transcript:SECCEUnv1G0537880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEMTTGSIETALMSDPTAKLPGDVLVEIISRVPYKSTRCCKCVSTRWRDLIAHPDHQEKLPRSTLAGFFYRTYDMDRCQYDSHGYRSLSGNWCPIDASLSFLPKYKKIELLDCCNGLLLCRCCKPYPEQPDYVVCNPATEKWVTVPANKWSSDSYARLGFDPAISSHFHVFELVPAAALNADLKFDYNIKEVGIYSSKAGGWTHQIEWNDPFQICNFSAGTFLSGVLYLCSDSNLVAAVDVEGDCRFIPAPTLHDACGRHDVYVSRGQLYVAYYGAAEASIWVLEDSSSEDYWTLKHNVSYLQMFGSRSKKRYGVISVHPEDDVIFITVESKSKLSGDRLQLKLFSYEIDSKELRFICDLGRISRRPYLSYIPLFSESLADGH >SECCE3Rv1G0210490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943927241:943927831:-1 gene:SECCE3Rv1G0210490 transcript:SECCE3Rv1G0210490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIQHLAAAASPFSSDDQRIHEAYTVWMKSLVFNGNGCAVYGSDGAVAFRVDNYGCRGGREVFFMDREGNALIRIRRKGFGMFRRWEVCRCAHNGDQEEEATPWFSVRRTEKGGAAVTMHGGAGTCYTIDGCSARKSEYRVRGVDGAVVAEVARKQTPVGVVLGEDVLTLTVAPEVDHLLALGLVVVRGLINRSL >SECCE5Rv1G0314880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:279011391:279018372:1 gene:SECCE5Rv1G0314880 transcript:SECCE5Rv1G0314880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRILLPPRGSLLLLSPLRRRQTPRPPPFRTTATDVPHRASSFFSFPIRAFTVVSGMAAGTHQRTVEVRENVELTEEEERIFARLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGQNFCERVNEYSKFMGEEQKGIGVIQCNPDQSKHLETARMLIYGIWIDFVNLRSEKYAENSRIPTVEIGTAKEDALRRDLTINSLFFNINTKSVEDLTGRGLEDLKKGLIDTPLPAKSTFLDDPLRVLRAIRFAARFSFTLAEDLKEAASDEKVKSELGSKISRERIGHEVDLMMSDKHPVNAMRYVRDLGLFYVVFAFPEKLEPPALDKHDWLCVSHLEAAWNLAHSIGRSVFSGGSDSKSQDEQQRLCLYSALFTPVRNMFYMDKKSKKVPVVSYIIRDSLKLKASDADTIVNIHVASKKFAELILLLESNENLETVREKLDDEYLEIPTDLVKRVFAGLILRELKGFWRVALFISTLVYPEVGNASDSLGKQDELHQRKERYVGVERSITDLDLDGVWRMKPLLDGKAIMGVMQVKSGGPLIGKWQQRLVKWQLAHPRGTMEECMEWMKQSEQQPKRQKIECST >SECCE5Rv1G0359970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:766835750:766839922:-1 gene:SECCE5Rv1G0359970 transcript:SECCE5Rv1G0359970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGGGDASAAARRRWDLTSKGAENIPMVKEAVEISTDEEPDGVVICPPDGNTRDCEEVVSGSDHDSSPEGQVTCVEPAVDRESEEDKLINQDSLKLIDQEMSALPKSPTKPSMSGSERSKRTVPQPFALSTQRRASGGGNGAVAHPSSNGEKSGQGSSASPASMIKKSTLVTPKKMPQPGLTFQPQDDDSCSVTSSTTASARAGRTKTTVAFAPTFVCDDRADKRKEFYTKLEEKHKALEAEKNEAETRKKDEQEAALKQLRKSLVIRAKPMPNFYQEGPPPKAELKKVPPTRAKSPKFTRRKSTGDGTPATPEAVNTSAPSHRAHRHSMGNPKDANKAQCSPKNGVGAKARAVKPVS >SECCE4Rv1G0254980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:643210888:643214200:1 gene:SECCE4Rv1G0254980 transcript:SECCE4Rv1G0254980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIAAAPSARKGETYTDTKRRDDVRGANIASARSVADAVRTSLGPRGMDKMISSGDQEVIITNDGATILSRMSLLQPAARMLAELSRSQDAAAGDGTTTVVVIAGALLRRAQSLLAAGAHPTAAADSLHRLAARAVEVLEGMAIPIELTDRDSLVKSASTALNSKVVSQYSSLLAPLAVDAALSVVDPAHPELLDLRDIRIIKKLGGTVDDTELVRGLIFDKKASHAAGGPSRIENAKIAVIQFQISPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDAVTELSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFREDKLGYADVVEEVTAGDGKIVKITGIRDMGRTATVLVRGSNQLVIDEADRSLHDALCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWSKELHGMESYCIKEFADALEVIPYTLAENAGLNPIAIVTELRNRHAKGEKNTGINVRKGQITNILEENVVQPLLVSTSAISLACECVRMILKIDDIVTVR >SECCE5Rv1G0368060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826413184:826415160:-1 gene:SECCE5Rv1G0368060 transcript:SECCE5Rv1G0368060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVQSWWVLPLTLLPAISGGRDGCTTATTLATVATSFAYVAVLACLAWAAAALLYWAHPGGPAWGRYWRGRGQGAGLRRAIPGPRGLPVLGSLGLMSGLAHRSLAAEAARRPGAKRLMALSLGPVRAVVASHPDVAKEILDNPAFAARPLNHAAYGLMFHRSIGFAEHGPYWRALRRVASGHLFGPRQVDAFAPYRARVAGDVVAALRGAGAGVVELRGVLRRASLYYIMRFVFGKEYDVSAPTSSGEVEELLEMVHEGYELLGKENWCDYFPGLAAVDPQGIGARCAELMPRVNRFVHGIIREHRAKAMTAAAVDGGEAPRDFVDILLSLQDSEGLADADIAAVLWEMIFRGTDAMAVLMEWTMARLVLHGDVQAKVHRELDEVVGRSSPVAESSLPALPYLQALIKEALRVHPPGPLLSWRHRAITDTYVDGHLVPAGTTAMVNQWAMSRDPEVWDAPLEFRPERFLAGGEAPDVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTAWMATLLHEFEWAPAAPGVDLSEVLRLSCEMAAPLQVRVRPRRDA >SECCE5Rv1G0326480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:480525314:480530179:-1 gene:SECCE5Rv1G0326480 transcript:SECCE5Rv1G0326480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDCLVLGAGQEVGKSCVVVTIGGKRVMFDCGMHMGYHDYQRYPDFARILAATPGADFTSAISCVVITHFHLDHIGALPYFTEVCGYHGPIYMTYPTKALAPLMLEDYRKVMVDHRGEEEQYSYEDIQRCMKKVIPLDLKQTIQVDRDLVIRAYYAGHVLGAAMIYAKVGDAAMVYTGDYNMTPDRHLGAAQIDHLKLDLLITESTYAKTVRDSKHAREREFLKAVHKCVSGGGKVLIPAFALGRAQELCILLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKIKDSYTVHNPFDFKHVCDFQRSFINDPGPCVLFATPGMISGGFSLEVFKRWAPSEKNLVTLPGYCVAGTIGHKLMSGKPTKIDLDKETHIDVRCQIHQLSFSPHTDSKGIMDLTEFLSPSHVILVHGEKPQMSFLKERIESELGMPCYYPANNETVSIPTTKNLKISATENFITSCSTAQAGDSPQKSNLICGNHLSGANGDKKLAEGILLMEKSKDAKILCEDELLQLLGTERHSVQFEPLLGSRIGEAETGIVDDLASE >SECCE7Rv1G0479350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:251485702:251494327:-1 gene:SECCE7Rv1G0479350 transcript:SECCE7Rv1G0479350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase SAC8 [Source:Projected from Arabidopsis thaliana (AT3G51830) UniProtKB/Swiss-Prot;Acc:Q96328] MVTDADGAPLLADEPLRPGSTSRELELREFRDRYVFRSLDGGGAFAVARSDGSLRPLSPEEAAAGSDCKVSKIYGVAGMIRLLAGSYVLVITSRKDAGSYGASTVYHANSMKFLCCNEAIKHLTSEEKRDEAYFMSLLRIAETTCGLYYSYDRDLTLNLQRASKLAAGRVHKPLWKQADPRFVWNRNLLEELIEAKTEQFTLKDRLVRITLFSRRCNRRLGTRMWRRGANLEGATANFVETEQLVEYEGLTSSFIQVRGSIPLLWEQIVDLSYKPRPSIIEHEEMTKVVERHFHDLSQRYGDTMVIDLTDKQGDEGNLSNAFAAEMQNFPDIRYVHFDFHHICGGGNFDNLQVLYDEIEEAIQKQGYFLMNSKGEILLDQSGVVRSNCIDCLDRTNVTQSFLARKSLDSQLQRMGALSSAESISQSDSINDKFKKLWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSKSSSSPFQIVGFESAPYLPVASAIIVGGITVTTFTLSQVGRSAQHLISSIIFAGLTAGVVALVKANGKQLCSRPRLCGLI >SECCE4Rv1G0292870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884025091:884028406:1 gene:SECCE4Rv1G0292870 transcript:SECCE4Rv1G0292870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAVIKADTIDEAVEWILNELGRDTTSSRENAIYFDGWDGLGASAVLQAVAKTLGVSNETSTRPGGWQFEKIIHIDCSKWESRRAMQREIAEQLKLPNWVMEIFDKQDEEDDFDGLDQGSRTEIAQVVREIYQTTQNQRLLVILHNGCNEEIDIFNFGLSLYGYANSKMLWTFRGRFRLDPKMTDNLKSTTTHVLLSASRYGRDPQELWSYLVRHEAAQLSCNKHSCVFIDSAIAAECVLYMLKQCCIGSHIVDYDWAIHTSNYWVCDGIIPLTDIDKAWEVGDVVQHEVRLLNIDNRLSNDESTIMLSSHLAKSAEHMPYCISTTTCGFVQSRFSVILENMFHHSHGLRVLKLSWCTFNFSSPPFLCCQSLRFLWLEHCQDDLTRITTNHHHTDEDKEKDELDSNTTTRSYSCFQNLWVLDLRYTDCDQILSERVMDLMTQLRELNVMGAENWDMSHLRGRLCTVRKLRVTKSTCFFNNNIFSEMESMELLDFSGNHITQGMTSLSGPASNSSLKSIIIDGCDGLKSISFRGCKELANVFLKGSLRGLEELELSGTSVKVLNLIGVLSLHPKRIILLNCEKLRAILLWRMIFASQWPDVLHIDTTPPSIPGYDTEAPPAHPHADQSVHRQKEEMFKGGWRISLTDTRLLRLLSPVKKSFLRNSSLHMDVCTAATIGGSKIQETRSDKLVQVQQHASILMDSKYRDALKDGSVESMMMWDCPKIYTRSLEYTCIIKVIMHGQGSALVFPYFISWRATSMHVYDNASITSISVPKQGWDELGWCRVERCPKLDTVFTVPRYSAYTFGDLETFWASQLLSARYIWDGPVQAYFFRLKFLHLDHCPMLVHVLPLCLGYWTGLSGLETIEIVYCGDLTEVFPSSPELQEQDKIKEFRELRRIHLHELPMLRRICGRRIFAPKLETIKIRGCWSLSRLPAVGRDTKPPKVDCEKEWWDNLEWDGLEKYHHPSLYEPTHSLYYKKAQLPRGTVLR >SECCE5Rv1G0306330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:90916666:90917877:1 gene:SECCE5Rv1G0306330 transcript:SECCE5Rv1G0306330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSGGGGGGEGLAVMDDHSGRSTASPPPPPLTLPLPHDDLLLEILLRLPPEPIYLLRASLVSKHWRRLVHDARFLRRFRAFHGAPPVLGFLNNQSGPSLFVPTSDAFAAVPSSTMSHDSWWALDCRHGLALLQNRNSGNLLVWHLMSGEQRCLPRPPPALDEYDCGLGFNAAVLRAAGNEDRLDCRSCPFLVAMALTHGVDAGLTSACVYSSETSVWGDVISVATAEDVEARPTALVGNTLYSQMTGGYILEFDLDNYSLHENEVPNDMFCYFEGVLMTAEDGGLGFAVVDDAGLHLHLFSMVATIGGTLVWALRRAIDLNKFFAPDVAVRCKTVPVEAIGFAEDADVVFIYVYDCVYMLHLKSMQFDEVSEKGTYNSIFPYTSFYTPALPCLLSSRNDEM >SECCE4Rv1G0215840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8030571:8033922:1 gene:SECCE4Rv1G0215840 transcript:SECCE4Rv1G0215840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAAISTFSSSSSSSPSSVEPRTHRRGLLGGRSARAPLPPARTVASSRAPLVVSSPPPTPSPAPGHAKVDRSGRFCSPRAARELALMVSYAACLEGADVVRHFDRRVAARREPGYVFNKACVQSYNFMSFCGGPLEVATEEEAEKLMSQNEKDSANEAEVLSAPPRLVYNNFVLRLARDMLVAVASGWDQHVEVINKIIPQHWKDEPVARILELCILHIAMAEMTSKGTPHKVAINEAVDLAKRFCDGGAPRVINGCLRTYVKDHMNNGTSQAAESKP >SECCE2Rv1G0065010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:6385815:6386462:1 gene:SECCE2Rv1G0065010 transcript:SECCE2Rv1G0065010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFILSMDRAKSGVLFKESRPILLPDKIERSSSARTALFRFVLVLHFLIIESMGDMSYLEYFCGLLCLQFFRTLFSLPHDMSAKHERMLRSKGQTHQPKGNEQQNDKMRCPGHPHIERRVEGFGPVAFPAPPPSSSGACLGGVPPESGLEALALPMSRLLMAVGHDYYKKVKMNLSISHGGVCIFMLGVLLSCDLMAYVRHVAHASYSICSGRAA >SECCE2Rv1G0070230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:34005582:34006553:-1 gene:SECCE2Rv1G0070230 transcript:SECCE2Rv1G0070230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLADECGLEELEVPTVDLEGEEPSLTEQLVAACRDPGVFRLVNHGVPGDLTARLFGLARGLFELDAANKSRLPGYFCGTPALAALPVKERNWLEGLHVDAGAGNCLSSADGAGSEADGTALAAFMEAVSGEYVAHMARIARKLFDALASGELGLDSEQRASYLTERGSIFRAYRYPAASGAGRRQVGMEAHTDSSVVSILNQDMVGGLQVFHGGRWCFVRPVEGALVVNVGDMLQAMSGDAYRSPEHRVVSPAVVDRMSLSLCYFAFPREDAVIVGPPSACREERYRAFSYGDFREQVQADVKATGSKVGLARFRVAVTQS >SECCE1Rv1G0060940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712769377:712771248:1 gene:SECCE1Rv1G0060940 transcript:SECCE1Rv1G0060940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGGGSEYGGRVTAFVALSCITASMGGVIYGYDIGVAGGVSSMEPFLREFFPDVYSRMKGDLRVSNYCKFDSQLLTLFTSSLYISGLLTAVLLSSWVTARCGRRPSLIVGGTAYLAGAAVSGGSVNVYMAILGRALLGVGLGFANQAVPLYLSEMAPTRYRGAFSNGFQFSLCLGDLAATITNYGVQKIKSGWGWRLSLSLAGIPAVFLTVGSIFLPETPNSLVRQGKERSVVKTSLHKMRGFEAVDQELDDIIAANILATKPGDNGMHMILSQRRYRPQLAMAILIPSFAQLTGISAIGFYAPVLLRSIGVGESASLMSTIILVFVSSVSTLVSMFTVDRFGRRTLLLIGGIQMIICEVLIGAIMVAKLVDEGGISKMYAIILIFLMGIYVVGFGLSWGPLGWLVPSEIFPLEIRSAGQSITVASCFAFTICISQSFLAMLCQMKAYLFFFFAGWIMVMTAFVYFFLPETKGLPIEQIGKVWGKHWFWKKVLGVGEVTTRY >SECCE1Rv1G0011050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:70519805:70520101:-1 gene:SECCE1Rv1G0011050 transcript:SECCE1Rv1G0011050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEDWARGSRPRTRRLSAVCSSCSRGESGSPRAGRGTDRESPLRGLSPSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE5Rv1G0353870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720459148:720459381:1 gene:SECCE5Rv1G0353870 transcript:SECCE5Rv1G0353870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHCEIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE6Rv1G0437750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786190254:786192107:-1 gene:SECCE6Rv1G0437750 transcript:SECCE6Rv1G0437750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVSYSDPSPPLPDEEKGKEEEQRCGAAMEVDAEASYSYGFLPQGRHQPYYAPPPPPPEDGELWEYFPCPFCYIEVEMPFICSHLQEEHCFDTRNAVCPICAENLGKDMSAHFRFQHSHLLKRRKPSRPSSSPWPSAASPPAYEVNPYMMMNRPCQDPEPDPLLSQFICRGSDDQTETELGSRDGASQRQRPSSAHPAAGVQRPVSRLELEERLQRIEFLAEIITSTIL >SECCE2Rv1G0119100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:788311033:788311665:1 gene:SECCE2Rv1G0119100 transcript:SECCE2Rv1G0119100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVDSAADAMLAAPLDFDQAQQADVASPELQPDAFRPTLAAPSSSGGQYNRNTPTTACTMEVQLGAVTSRVCQLEIAGEEDTHAQPRRLFRSKTPPLLPSSPARRPSAPPRSRAAPAPTRHSARQAANPSSVPVAQRASLRIVKELGLLGPREKMTAEVAAALLRRFNEPLTEVDIAVIAKLTRLDTDALKIAAGMAGPDGTAEEASV >SECCE2Rv1G0111760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:703895275:703896303:-1 gene:SECCE2Rv1G0111760 transcript:SECCE2Rv1G0111760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G13250) UniProtKB/Swiss-Prot;Acc:Q0V7R1] MRLLAALLLLAAAAAAAAAAAELPEFREAPAFRNGAGCAGAPTIHIAMTLDGTYLRGSLAGVLSVLRHAACPESIAFHFVASSASAPRRLARLRAALAAAFPTLPATVHRFDARLVRGKISSSVRRALDQPLNYARIYLADILPRSVPRVLYLDSDLLVVDDVARLWATDLGPAAALAAPEYCNANFTLYFTDAFWRHPAYSSVFANRTRAPCYFNTGVMVIDLDRWRAGGYTAKLEYWMDVQKQEARIYELGSLPPFLLVFAGDVKAVQHRWNQHGLGGDNVAGQCRELHPGPVSLLHWSGKGKPWLRLDAGRPCPLDALWAPYDLLRRRGARDDLLAAVA >SECCE2Rv1G0081280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:137843839:137847299:-1 gene:SECCE2Rv1G0081280 transcript:SECCE2Rv1G0081280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYPVLNDQPIDQWNFTELKDELSRRNLPTDGLKDDLVKRLFEELQGGILGGEGPVAGSPPVDDLKEDETPGSADASFCQAALEQNVDEGPSHVATLEGYPVGSVTEASEKSDDATTEVIQDAVVSTEEVSQTTLVAEASEKSADATTEVIQDAVVAEEVSQTTLVAEASEKSAYATTEVIQDAVVSTEEVSQTTLAGEASEKSADAATEVSQDAVVSTEEVSDAPIVDMATTDEISPSDAVATNGDHLESAPSGSNVVKEASAQADRHSEVIAEKAPEEGTAPSGSNVVKEASPQADRHSEIIAEKAPEEGTIKKVIANYLPCDVASTDVKLDATSAEAKLGADSLEQDAVSSPPDASVSHVDPLDVDAVAAAPGQNAETLIPVIDLSDNALMNGKDLEDSGRTNSTCKPAVVGTKDQVTEANPVLGSQIKCVPIPHDSISTNVKGDLNADNSDLEIEVKRDVVKPPCNIPSTGDDLQALDDDKELSKNGTPLQEIESKTNMILDKKEDSPGGAFPEKLNLDRSLMEDDVIESKHVDTIIRSDDLGGKTLVTSDHEEVKEVILFNTVANDSSVETMDVHEEKLVTSSEKRKLEDQEVVADEPIKRQRHVDTLKIPKEQTSKLSSSDSPKVVVRPALNHFVGRSDSTASGGSHKERIVPLPQKPATTSLRVDRFVRPFTLKAVQELLGRTGSVCSFWMDDIKTHCYVTYSSVDEAVATRNAVYNLQWPLNNGSYLAAEFVDPLEVKLKIEHPPPPPPPTSLSKDTTPNAAAIQQVEANQTMLHHGAGAAWGLPPTPQPHTKLYPTSNPRPEREVLPSPPKQPETTIKTLDDLFKRTQASPMIYYLPLSEEEVSAKLAARRRRNRRR >SECCE3Rv1G0213590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961528968:961530650:-1 gene:SECCE3Rv1G0213590 transcript:SECCE3Rv1G0213590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSLLAVASTPVVEVLLLALLGAYLASPRCGLLAPSARADINRVVYAVFTPALLLASLASTVTLQDALSWWFMPVNIGIIFFAGGVLGWLAVLILRPPPHLRGLVVASCSAANFGSLLLIVIPAVCREDGNPFGGDCTGRGLSYASFSMALGGLYIWTHTHGVMKRSSEVCRRMVADQEATAAEAHDHNKKDSVSVTVRPEEKGKEEQEKDELSWNDRDGEEDGLSPLPSSSSNQHHTAALAPLLSSGKMTSSDGLWAKLRQGAHQIAEELMAPPTVGAVLGFTVGTVPWLRSAFVGDSAPLRVVQDSLKLLGDGTVPCVILILGGNLTKGLRKTTMSRWVIAAIVCVRYMILPVVGIVVVRTARELGFLPPDPLYQYVLMLQFALPPAMSISTMAQLYDVAQEECSVVFLWTYLVAALALTAWSTVFMSILAPA >SECCE6Rv1G0453470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:883210367:883211266:1 gene:SECCE6Rv1G0453470 transcript:SECCE6Rv1G0453470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKLKWSPLLPILLLAGMVGISHAGNIAVYWGQNGNEGTLADACNSGLYAYVMISFLSTFGNGQTPAINLAGHCNPLSGNCNVFSSEITTCQSNGVKVLLSLGGGAGSYGLSSTEDAQSVATYLWDNFLGGSSSSRPLGDAVLDGIDFDIETGNSAHYDELATFLSQYSAQGKKVYLTAAPQCPYPDASLGPALQTGLFDNVWVQFYNNPPCQYASGDPSNLQSAWNTWTSSVKVSGGFYLGVPASTAAAGSGYISPGDLTSAVLPGVKGASNYGGIMVWDRYNDVQNSYSSQVKDSV >SECCE1Rv1G0005640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:26913605:26913975:1 gene:SECCE1Rv1G0005640 transcript:SECCE1Rv1G0005640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTALLALVVLVWLVASATSSEELHCCTDHHSWGNGLKNIGCRLPEQNAECNTWCQSDCRGGECKMRAGLHFCHCYC >SECCE3Rv1G0200920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:858714295:858715857:1 gene:SECCE3Rv1G0200920 transcript:SECCE3Rv1G0200920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSEISMKASSSMSSDASGNTEPGQQGARFSSMDQSCFARPGQSIPGYPPFFGPQSSNFYLPDDGVAKACDPFEPNPPQNNPVADWDPQAMLSNLTFLEQKIKQVKDIVQSMGNRGSQDVGGSSELAAKQQLVTADLTSIIIQLISTAGSMLPSMKTPLLSSNPAVRQLNTPGSPMGFGSIVNQRTSTVREEMVPDITKSSDYEELMNTLNTAHDEKDDLIKCPNPCVGEGPEPVPMEDHDVKESDDGGEAEHLPPGSYVVLQLEKEEILAPHTHFCVICGKGFKRDANLRMHMRGHGDEYKTPAALAKPMRDSGSDPTPVTRYSCPYVGCKRNKEHRKFQPLKTILCVKNHYKRSHCDKRYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPMDDIKATGASEQPQGSEAMDDMVGSTGYNFPGSTSDGIPNLDMKVADDTRGYFSPLSFDPCFGALDDFARPGFDISENPFSFLPSGPGSCSFGQLSGDS >SECCE7Rv1G0478740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:240815859:240817662:-1 gene:SECCE7Rv1G0478740 transcript:SECCE7Rv1G0478740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPATQCRGNGGAGEGSMRTVECLRGRLLAERVASKAAKEEADQLAARLDELEKRLSDEVKIRDRAERRLGRAIKRLESLKILDVGSSIGSLSSNSNACSGQQAAPETEEGGGPASSLSTVDSVRSGPREDKGWDGESVKGSSAGSCAQANSSQDGSWFSVVSEQSGSGVCKEEESHSDYTKKCGSGDVDHDSERREEEPGASSGWSKSEASYRDEEDDRLALVLVDNPHYSAEPAEAKEKEGEEKEEKDGLAMVLADPQPRATSVGDDDVQSVLLALRQVKEQLRYTIQRRSEGLVAHRELYGH >SECCE1Rv1G0048710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637201729:637202824:1 gene:SECCE1Rv1G0048710 transcript:SECCE1Rv1G0048710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGVAAKLQVLMACALLLLAVGCQASPFWPLEIGYYHDKCPQAEAVVKGVMEKAISQNPGNGAAMIRMLFHDCFVEGCDASVLLDPTPFSPTPEKLSPPNDPTLRGFELIDAIKDALEAACPGAVSCADIIAFAARDASCILSRGKVNFQMPSGRRDGTFSNASEPLKFLVPPTSNLSDLVASFVIKGLNTEDLVVLSGAHTIGRSHCSSFVSDRLNTPSDINGFLATFLRGQCPADATPGGNDPTVMQDVVTPNKLDRQYYKNVLSHTVLFTSDAALMTSAETARMVVENAKIPGWWENRFEKAMVKMAGIQVKTGYQGQIRKNCRSINHY >SECCE6Rv1G0388990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:144912229:144913543:1 gene:SECCE6Rv1G0388990 transcript:SECCE6Rv1G0388990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQGKSSSLERLHDVEQRIVRVVELSGAVMEELGNSQGPRAEAVAAHCRDFMLSMKEIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKLEYVIEKMDAMQLNMEQNSNGV >SECCE6Rv1G0438700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:791782661:791784068:-1 gene:SECCE6Rv1G0438700 transcript:SECCE6Rv1G0438700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVFGIPISVQTVIATGEYKEPITQKDVADYTMKNINAGGKDLEAQKFVDNLKERYGNGISVKCLMYNATGTTLSLAVYHDWHGHIYDTPYPSDIQNGQWGAFLHVHPTGAAAGSAGAVVYRSKIPSSSSSCDWLFSWTVPYIGGNGVYTEIREEGHYPSVGSWEYIYNVKLANSNLSSTDKNYGYVSKTDIGEGTTMNARAVFQLPY >SECCE1Rv1G0002910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:11424810:11428265:-1 gene:SECCE1Rv1G0002910 transcript:SECCE1Rv1G0002910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLEWKAAEIVAVLGWLLSPVITLLLPKVLACLGFDASQKLRELEIHIIPELKKTVRVVDQERMMQRGNRVKTDLDALDKMAAMLRHALEDAEDILDDSQEKIVVRCWHRLRRAFAVCIALGKSSCVWIARVVRTKSARLLQWAQESCLSLFLLPRSEEPIPANNSAAITNKPIPVIIDIPASDVEPDPVTTNAEASGNEIVPVTAAAAASNEPNQVTSSSEASSNKPDPVTTSVEASDNEPDRVTTASHSSGRWLSSLCSSFDLFKNCGTSLYSWLAHVFEAACFYRDWSYQVVGIKKCEENASLFDMLDLLFTAMSRLKLKKRIQQVENTVSEVKKSPLLGVAGNSTPKDIANKNRRELGAASKREVFGREALRDDIMARLREIPQGDAPNYSPCYSVIGIYGVAGSGKTTFAGYIRDYIKEECKEEKLFDTIMCIHVSETFSVEDIFQEMLKDISEDSLSSISDHRGLNKRLKEALRGKRFLLILDDLWVKNKNDQQLEELISPLNVGLKGSKILVTARTKEAAGALCADTLIEMPDLDEDQYLTMFMHYALSGTRVALKEFEQVGREIARKLHRSPIAAVTVAGRLGANPNISFWKNVAKLDMLNDTMDALWWSYKQLNPDIRRCLEFCNIFPRRFKLEKNQLVRLWIAQGFVKTSYAIEEMEDVAEGYIQELVSCSFLQPKGTRSLIERSNTDCFTIHDLLHDLVNKVAGSDYLRIENERGHRGEGWKGEVPRHVRHLFVQNYDGELITNKILGLENLRTLIINVVAWDTPVEEKVLESICMRLLKLRVLAIDFNQRRQLSILIDKFMVPESIIQLKHLRYLAIPKGRLCKVILPCTLAKLVHIQLLDFGEGEISEFTFSDLTNLRHILCPHVNFPNIGRLSSLQTVSDFVVMNRQGYEIKQLRDLNKLRGRLRIIGLGDVKSKEEAVEANLAAKERLMELTLLFCRRTVEVEAEVLEGLCPPVALEKLSIWFYSGSRYPDWMVGKLNRGPKGLQILELYHCRQLGPGPQLVGFPHLRNLSIGWCDWDTLAGKMEHLTSLETLYMIGCKNIRSLPTLPRSLLKFELSYCHDELMKGCQTVGDPNWLKIKHIPLKIFHPRM >SECCE2Rv1G0109570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:678485124:678491043:-1 gene:SECCE2Rv1G0109570 transcript:SECCE2Rv1G0109570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYILEHTNGPRLLHSSKFTSGQGTFGCDVEHNTVSHVPQQNSGDSSHEKQQFTLRNRYLLGLSNGFLRRPALGVPLASYESKAHISVFPLGARYFRQSVRTASETDGQPKSGIMNGKSEDQNQPEKEASPEECDQAVEGLSTAKAKAKAMVQEVQKTDQSIIQKFWATLLGIGPALRVIASMSRADWAAKLKHWKEEFVSTLQHYWLGTKLLWADVRISSRLLVKLAHGKSLTRRERQQLTRTTADMFRLVPFAVFIIIPFMELLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGDMKKTAEDLDNFLDKVRKGGHVPNEEILSFAKLFNDELTLDNMNRSRLVNMCKYMGIQPFGTDNYLRFMLQKKLRDIMNDDKMIEAEGVESLSDEELRHACRERGHLDLLSTEKMRHQLKDWLDLSLNQSVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERKRKLEFLEMQEELIKEEEKEEEATKEDLALKELTEPTAREEEELKKSKEHEKNDHLCNISQALAVLASASSVTKEREEFLSLVNKEIELYNTMLEKEGTVDEEEARRAYRVAREESDHAAELVAGEKVSSALIEKVDAMLQKLEKEIDDVDARIGNRWQLLDRDRDGKVTPEEVEAAADYLKDTMGKEGVQELISNLSKDREGNILVEDIKKMASQTEENSEQKETTR >SECCE2Rv1G0119900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:795082728:795089401:1 gene:SECCE2Rv1G0119900 transcript:SECCE2Rv1G0119900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGQGKGGAPTWAPSVGTVFRPFVAAAGAAEPSPSGSGSGVTARISKLHGVKRKPCVARLTADIIHTFERCHPEFKYSETLNPKRFLTHPSTPAHNDGVDNANWDLILYVNLELVNKASNRRFVVKEMLGQGTFGQVVKCWDTETNKYVAVKVIKNQPAFYQQAIMEVSLLRTLNQKFDPDDKHNIVRMLDYLSFQNHLCIAFEMLGQNLYELLKRNHLRGLKVQFVQAFSKQILDAMIVMRDGGIIHCDLKPENILLAPTAKTAAAVKVIDFGSACLEGKTVYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELFIGLPLFPGASEYDVLKRMLKILGGQPPDDLLREAKNTAKFFKHVGSSYLGIGAHDGPGTAYRMLSEDEVEGRETKRPKLGKWYFPQQRLDQLIYTYPWDNTKLPETEKADRVLLVDFLRGLLEFDPNKRWSPFQASGHPFITGEPFTGPYEPVSETPRIPVAHAAAVDHNPGGGHWLVAGLSPQVGSANRCLPPNNPYPPKMPFSYGSSYGSLGSHGSYAGNAGLASSYGSYGDVNNGNMYYSPLGPSGFSHVSSSPDIRLRPRLSYDRGIRLSPGSMGPMSLGASPSQFTPPNYQMHTPANSTGKHGSGSPASGGIHGSPLGKAGPVGQYSKRKNMPMPPHEYASQHGQGRHGDGVSFSHSDAYIRGHAGYSQHSLPSSGHSSWRPQIASRSGFSVEASSSHGPSQAYNSHNAPPLPSFDVLPDTSAPSTLDPSDWDPNYSDESLLQEDNSLSADLSSSLHLRDATGQPGGSARSTRAQSHNFASSNPLPTSQSYGAGQQLHSDSHGRGTRPTVPISYGGFNPPNYPQQSHRGRHGHQFLQPRYNQPTNSHMRPPMGSHQSGQPAWPPYGMGEGVPWGGSGVHPFTTGGLPSSLPRKDYGSIF >SECCE3Rv1G0161500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:118276138:118276651:1 gene:SECCE3Rv1G0161500 transcript:SECCE3Rv1G0161500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRFTSKEEKNGNFGHEFVKWKSKPEGQIVKKCHHFEWMDDYIQRLQGLGLLDSRGNAIREFNLPHDSAAPAAAAHLEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIDLGTLALGIFYLMAISR >SECCE7Rv1G0475600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:189781367:189783355:1 gene:SECCE7Rv1G0475600 transcript:SECCE7Rv1G0475600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPPPPDASPPPDAAAAAVVGEFRSRGRHQLPASVVASVAASAAAAALLLAAFALFVWLRRGRKTRAAAAAAGAQPAPALLRRLSYQQLRRATGSFAAGSKLGQGGFGPVFRGALPRSGQPVAVKVMNAAGSLQGEREFHNELSLASHLVGSGHGSTPSILLPFAYSLSAHPCRRRMMLVYELMPNGSLQDSLLGKRCPELVSEWPRRFAVARDVAAALHYLHFVVHPPVIHGDVKPSNVLLDSELRARLSDFGLARIKSEEDDELDSGVLGDNAVGNGNPGGGCHDDASVAGESMTAIVVNGEDGAAKSPDDDEGFTTASHAEAASTSGCDKTSVGSGFNGRTCNGGGAAASGARSDWWWRQDNAAGSGGGGVKDYVMEWIRSEIKKERPKSDWIAGASTTTPTTSAEKKKPKRRAREWWREEYAEELTKKQKRRALAKSKSDAAVMTGLQWWEKDCDLEEKGRSRWRMMKSWSRRSSNGNSTIDWWADGVRSSKDWTSTEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDMYSFGVLLLVLISGRRPLQVTASPMSEFEKASLISWARHLAHVGRLLDLVDSALLDVNRDQALLCITVALLCIQRSPTRRPSSEEVLEMLSGEGEPPHLPVEFSPSPPGGFPLRSRRKGR >SECCE7Rv1G0501330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:681515232:681516377:1 gene:SECCE7Rv1G0501330 transcript:SECCE7Rv1G0501330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVRCLLMAAAVLAPLFAGSEGAFLSSKFYDRRCPSLQTIVRSAMKQAVATEPRMGASILRLFFHDCFVNGCDASILLDDTANFTGEKNAGPNANSVRGYDVIDTIKAQVEAACKATVSCADIVALAARDSVNLLGGPTWTVQLGRRDARNASQSAANTNLPSAGSSLAGLIATFGGKGLSPRDMTALSGAHTIGQARCATFRDRIYNDTNIDAPFAAGLKKACPQTGGDATLAPIDAGTPTWFDTTYYENLAKKQGLFHSDQELYSGGSQDVMVRVYMHNPDIFATDFAKAMVKMGSLMPSADTPTEIRLDCKKIN >SECCE7Rv1G0459050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:24135646:24136512:-1 gene:SECCE7Rv1G0459050 transcript:SECCE7Rv1G0459050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCWLLLHLLAFLLPAVSATSCHADDLRALQGFARNLQGGGVLLRAVWSGPSCCGWEGVSCDGTSGRVMALWLPGHGLVGPIPGSSLAGLTQLVELNLANNKLIGTIPSWIGVLDHLCYLDLSDNSLVGEVPKRLMQLKGLVTTRRSLGNRRTLQQQQPNIISGTNNKVRSGRTNVVSGNDNTVIFGNDNTMAGSNNTITTGSNNTVTGSNHVVSGSKHIVTDNNNVVSGIDNNVSGSFDTVSGSHNIISGSSHTVSGSHNTVSGSNNTVSGRNHVVSGSNKVVTGS >SECCE6Rv1G0431760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:747376274:747382741:1 gene:SECCE6Rv1G0431760 transcript:SECCE6Rv1G0431760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNTRGGGHFEALKNYNMCTPIGVGTFGKVMMAEHKLTRHKVAIKILNRRKMGAMEIEEKANREIKILRFFIEFIHPHIIRVYEVIETPKYIFFVMEYCKNGELLEYTIDKGRLHENEARRIFQQIISGVEYCHRNMIVHRDLKLENILLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYVGPEVDVWSCGVILYALLCGSIPFDDDNIPNLLKNIKGGFYILPSYLSDLAMDLITKMLTVDPMNRIKIHEIRDHPWFQNQLPRYLAVPPGNSAHQAKMIDEDTLRDVVNLGYDKDLVCQSLRNRLQNEATVAYYLLLDNQFWATSGYLGVGYQQPMESASPSTRNYLLGSNDSQGSGLWPYYPVQRKWTLGLQSRAQPDAIMIEVLKALKELNVHWKKNGDYNMKCRWCPGFPQVRYMLLDANHNFLDDPTIMDNCDNNGRLPTVIKFEIQLYKTRDDKYLLDMQRVTGPQILFLEFCAAFLSNLRVP >SECCEUnv1G0533010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:25424230:25424588:-1 gene:SECCEUnv1G0533010 transcript:SECCEUnv1G0533010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFNGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLVH >SECCE4Rv1G0291900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:879736021:879737501:1 gene:SECCE4Rv1G0291900 transcript:SECCE4Rv1G0291900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKSSVEDLTLMLPHDLLADVLRHLGSPRLLAASRCVCKAWRDIIDAHRLLRTDLLPLSMAGIFTVMHRDDSGIHKYFSPVLSPIIIAPLDYLDTDDPECLTIVQHCNGLLLLGYGEKRVLNPATQQWACLPPPPPMFMPGMEDADWQYESGMDNHHQYLIFDPTVSPDYEVFSIKYVPWYPSPDDIHLASREIKEREWPPSKFVFHIYSSRTKQWEEETFLREGEAAVKIGYMLQTMQTTQRYETYWRGSLYVCQHEFLMRINLSNGTYQVIDLPQGCNVGFFYNFIIGKSDKGVYCSMLYGFDGLHYGYDGYGLQIWFLDESCCQAKWMLKRDINLKPLLADFPWKNDNRPWTFQYGNVDDDQDGMRNTRMDEEFDWSFDYCEPQDSGYTPFRYAISLLGFHPNEEVVFFHTPSKRVVAYNFDCSKIEDLGSFPTESHHEIRMSFPYTPCQTGELSNN >SECCE2Rv1G0127530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:853903751:853911176:-1 gene:SECCE2Rv1G0127530 transcript:SECCE2Rv1G0127530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVPKKKRKVSLAENEEVAARIFEKHRSMAAQQPAGLPDDQARALAAAYAGVCAAKEPIRTPGDLARLKGVGGWVVDVMEDYFPGPSLDLSPPRSNTPGETGQKSTRNKPYVPRLKSAAYAIMITLYRELERGKEFMMRQELIDAAEASGLSQHAIGPNNSRNSRNDGYSYTGWNSMKTLTNKDLVEKKSNPAKYYLTEKGKETARDCLARSGFDGPAGPLTAAGHPAVVLSDSDSDEYDGSSPLIGSERSGLPNSKAGSSSSFDNSRAANSPLSSRGMFGQQSFSAMGSAEKALLAMPPHQSNESFLKAYEVVLILDDRDTFGPRSRRKVVDNIRSQFNIHVEIKHLPVGDALWIARHKELDTEYVLDFIVERKNVDDLLGSIKDNRYKDQKLRLKKCGLRKLIYLVEGDVNTVDGSESVKTACFTTEVLEGFDVQRTTGYADTEKKYGHLTRAIIDYYSRNFSAGADTSRLCLTYDEFVKRCSDLEKVTMSDIFALQLMQVPQVTEEAALAVASLYPTLISLAKAYNMLDGDRRAQEEMLKNKSGMVNAGASKNIFKLIWAEG >SECCE6Rv1G0378470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9183605:9187097:1 gene:SECCE6Rv1G0378470 transcript:SECCE6Rv1G0378470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ATP synthase 24 kDa subunit, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G21870) UniProtKB/Swiss-Prot;Acc:Q9SJ12] MALAARLVSRSRQLYSVQASLANGGLTQVRSFAKEAAPVSGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPTAVANYAQVMRTVREKADLLSDPQRIKHTIETFTKGIPDARTYLDTLQQLRKKGGLIDDMGIEDMMMEALEKVEKDIKKPLLRSDKKNMGLLLAEFDKINKKLGIRKEDLPKIEEDLEMQLAKAELTELKKEVVEAMEGQLKREEFKDEAMPDVRKLDIRNFL >SECCE4Rv1G0246990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:551751013:551752407:-1 gene:SECCE4Rv1G0246990 transcript:SECCE4Rv1G0246990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPVLWCALLCCLYSVALGGNEHNFVVLPNRASVPLAHRHGPCAPSGVSGKPSLAERLRRDRARANYITGKASARRKTLSEGDASVPTFLGDSVDSLEYVVTLGIGTPPVQQTVLIDTGSDLSWVQCAPCNSTQCYPQKDPLFDPTKSSTFAPIPCATDACKALSVDGYDNGCTNGTDGASLCGYAIEYGNRAVTTGVYSTETLTLPNAGVSVNNFSFGCGSNQHGPYDKFDGLLGLGGAPESLVSQTSSVYGGAFSYCLPPASGSAGFLTLGAPSNNTASFLFTPMRRLAPELATFYVVTLTGISVGGTALDIPPAVFSKGMIIDSGTVITGLPKTAYAALRSAFQSAMSQYPLLPPSENGLDTCYNFTGNSSVTVPKVALTFSGGTTVDLSVPSGVLVEGCLAFRGESSDGSSGIIGNVNQRTLEVLYDSGRGNVGFRSGAC >SECCEUnv1G0570510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:473419536:473419781:1 gene:SECCEUnv1G0570510 transcript:SECCEUnv1G0570510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTPADVPHSWAVPSSGVKCDAVPGRSNLTSISVQREGVYYGQCSEIRGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN >SECCE3Rv1G0205660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900894509:900895663:-1 gene:SECCE3Rv1G0205660 transcript:SECCE3Rv1G0205660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRSDISAPPPATEHEVPDELLELVFLRLLCPRSLVRAACACKRWRRIIADSAFLRRLRSLRAPPLVAGHYRVDEPVHRACRPVCNPVFFPSPLADAVGLRPEHLSLGFLPGCDGGSWHIADSRGGLLVLNKCASRRFRDLVVCDPTTRRYRVIPPPASLRGRLYRGAFLLDGDAASERISLSNFRIIVALGLNVVARACVFSSCTGCWTTAPAAADRRVKPTTELRLARHVGNSVYWATLRTEIITLDKDTAQFSCSLFPDDMYFCYGLEVVGCSGGKVRIARLDHRDLKVFILMQGSHEWVLENSVPLPQLIGEVQGDGELPKKVVSVGEGSVLLCREKGVGLVSVDLATMESERLHGNKYYGPAYMYQLPWPPTIRACLP >SECCE4Rv1G0229980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:157031532:157032470:-1 gene:SECCE4Rv1G0229980 transcript:SECCE4Rv1G0229980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLICACLLQLLFLGSSPAAAQSPSPARPLDAMLQDYAYRAFARPHTGIVYNGTVPADLAGVAVSGLRLRSGSLRRKGFPDYFEFSIPPGVIVQPYVERVVLVYHNLGELSEKYYPLPGYTYLSPILGLLVYDAANLSAVGLSELSIVASGSPISVKFSNVRAVPPGSPAPQCVWFDLDGVPQFRGLEANNVCATYRRGHFSIVVNSSEVAPAPGPSGAIAPPIPTNGGRDKGSSDAWKIAVGVVGGVIALGLLALLLVCFVRYKREKKMEVMERNAEVGETLRMAQVGRSQAPVAFGTRTKPVIESEYVA >SECCE7Rv1G0490340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:479883800:479886831:1 gene:SECCE7Rv1G0490340 transcript:SECCE7Rv1G0490340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAATQVGTYFLRNYYNLLQQNPDVVHQFYSEASTMVRVDDLNGTNTTANSMMDIHSLIMSLNFTQIEIKTANFANSWGDGVLVMVSGLVQTKEYSNQRKFIQMFFLAPQEKGYFVLNDYFHFVDQEQVQPTEVRTQEVFEANMASNTVQSSAEYLHESQTMQAVPVTSEENDVVDSYTYSEPPQQAVSQSDNWGDESLQEEPLSSFSNGMAMAPEEPAQPPTVQPHVEESVGEPVKKTYASILRTAKAPPPFPVAQSVPVNKPHPTTEANQATLATSSVAVDKPKSDFYAEGHDEEESKSVYVGNVPQNVTEADLENEFKKFGQLIPDGVAIRSRKETGGYYAFVEFEELSGVHNALRASPLEINGRQIYVEERKPNSGIRGGRRVGGRGRFGGGGRGYARGEEYNGSRGKSNGYQRVPHHERGILGARN >SECCE3Rv1G0190160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:735603824:735604714:1 gene:SECCE3Rv1G0190160 transcript:SECCE3Rv1G0190160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMARYVAFHQQWIAGQQAGLSELAEAAANAAAGRATDAQLRAVVERCMRGYQEYAASRRALARENGAAFVAPPWCTAFENSVLWLGGCRPSLAIRLLYSISGEGLEEDIEEFVSGRGRGLAEEMGLIGITATQLQQINDLHRCTLRDEGYLTERLASLQENIADRPLLPIVRERAAAAAAALAGQDRSAKRDAIPGRLVVAESSGGLAAEVDAAMESYSAGLASLLEEADELRMSTARMLATEILTPRQAVEMLMAAKQLHLAVRDWSRRKEEGAQNARLPRAAAATTAPSVSNP >SECCE7Rv1G0494490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:584450510:584452306:-1 gene:SECCE7Rv1G0494490 transcript:SECCE7Rv1G0494490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVTAACCSSHPRLRPVRSNGGAGAGAPPRRAGAAKFRALTSPLLRRPSSSAFSRACSSSPPPTPGDKECDRELFHEYSLLSSDTPWEPEDVWRTFAAYLLVLHIPLSFGGLGVVAKVLHSSSLDPLTTVVSTVMLQLGELTLGLALLQYTAKPGRQVGAFFAGKFSSRRGWIKETLLWLGLLMSTVFLTSLIADRLIGPEDAYDPVLKEILSGGGTSRLVCWFLYCVVAPLSEEIIYRGFLLTALSSSIKWRNAVIVSSVMFSVAHLSGGSFFQLFVVGCITGLAYCRTGTLAASFTIHSLYNAVILFTTMMS >SECCE7Rv1G0473890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:162544283:162550609:-1 gene:SECCE7Rv1G0473890 transcript:SECCE7Rv1G0473890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHGDVSDDEEEGAVMDPALLSSSSPPAGAAAAAAPRLVVGYALTKKKVKSFLQPKLLLLARKKGISFISIDETRPLSEQGPFDIILHKKTSKEWQRFLEDYHEVHPEVTVLDPPNAIEHLNNRQSMLEEVADLNLSSFYEEVCTPRQLVIMKDPSSIPTAVAMAGLTLPLVAKPLVVDGTSKSHELSLAYDEASLSMLDPPLVLQEFVNHGGILFKVYIIGEAIQVVRRFSLPDVNTYDLLNNVGIYRLPRVSCAAASADHADLDPRLAELPPRPLLEKLGRELRGRLGLRLFNIDMIRELGANDRYYVIDINYFPGYGKMPGYEHIFIDFLQSLGQNKYQRCLSGG >SECCE3Rv1G0175020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:414466368:414470699:-1 gene:SECCE3Rv1G0175020 transcript:SECCE3Rv1G0175020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPPQPQAAHASPPFPAIFTPPSALSSTSLRGSPTVPSPAHFSTPPGPPVFSSPLRPAAVPFRTTPVSPQAVPFASRTASSSSSVSLPTSSAPHTLNGAATPHGHVPSGAPSLEDSSIDSPYVLFSARKVLKQKKLLNAPSLGFGALVSPGREVSPGPEALERDPRRCLNCGAYVNLYCDVLIGSGQWQCVICKKLNSSEGEFVVSSKQDMVQWPELVSSTVDYVHPGNRRPGLVPVPVSRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTARIGIISYGRTVSVYDFSEGAAVSADVLPGNKSLTQESLKVLIYGTGVHLSPIHGSLPVAHTIFSSLRPYQLSVAEVSRDRCLGAAVEVALGIIQGPSAELSCGIIKRSGGNCRILVCAGGPNTFGPGSTPHSVQHPNYAYMEKTAMKYMESLGHEAQRHSTIVDILCAGTCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRASTKAAGSHGLFEIRCSDGMLVTQVIGPGEEASPDSHETFKHDASFCIQMHSVEGTQSFSVSMETKADIKNDFVYFQFAVRYSNMHQTESTRVITSRLQTVDGLSAYLSSVQEDIASVIIGKRTVLRARTASDALDMRLTIDERIKDIALKFGTQVPKSKLYRFPKELSSLPECLFHLRRGPLLGSIIGHEDERSVLRSLFLNASFDLSLRMLAPRCIMHREGGTFEELPAYDLTMQSNYAVVLDHGTDIFIWLGAELATQEGQTAAALAACRTLAEELSELRFPAPRILSFREGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLAPELRARLKSSFIHFDDPSFCEWMHSLKLVPPEPS >SECCE6Rv1G0402180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:480941273:480941830:1 gene:SECCE6Rv1G0402180 transcript:SECCE6Rv1G0402180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVYPSTAALPTRHSPPSFLRPSSLSWSASITQHRSPLSPRLALSPPPAANRRSFVVRAAWTRRSRGELDKSPNRKSWKQRTDMYMRPFLLNVFFSKRFVHAKVMHRGTSKVIAVATTNAKDLRNTLPSLIDDSACRTIGRLIAERSMDADVFAMAYEPKKNERIEGKLGIIIDTIKEHGIIFA >SECCE6Rv1G0396350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:364011346:364013917:-1 gene:SECCE6Rv1G0396350 transcript:SECCE6Rv1G0396350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MAAPPLSLARRVAGASSPAAAAHSSSRSRSFRPRLLPSKRWSGVVKMGAAVGGGQGGEEEETRQAKEMAAARRRWETLIREQKIKTLTPREAGYTFKLTDKVLLDVRPSNERQKAWVKGSTWVPVFDVDMSSDLNGLSKKAFNFMIGGWWSGSSTMSFNKNFVQQVEEKFSKDTDIILVCQKGLRSIAAAEKLYNAGFENLFWVKGGLEAAEEEDFEREGSQPFKLAGIGGVSEFFGWTDQQRAQAAKEGWGYRLLFTGRLVGAIVLADALFVGAQSIGPLLQQLQPH >SECCE3Rv1G0153580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:56265786:56266454:-1 gene:SECCE3Rv1G0153580 transcript:SECCE3Rv1G0153580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFIVLFSCVLLLGLYAPPSLSDSPTLQDVCPMVLQSERKLFINGYLCKHPSTILASDFKTLLLNHAGKLDNVVRSSANIVTAAEFPGLNTLGMSMARTDIGPYGLVLPHSHPRASEMMFVHDGSVLAGFFDTTGKLFQKRLCEGDVFIFPRGLVHFIMNYGLRLATTFSVLNSQNPGVVGITHAMFAPDSDVVEGLVARMMKFRDMEIPDNKTTDFRWTS >SECCE6Rv1G0453050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879952974:879956458:1 gene:SECCE6Rv1G0453050 transcript:SECCE6Rv1G0453050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSQVLSTEIDEDHINILEDIGFRIIEKCGGLPLAIKVMGGLLHQRGGMRSHWQQVLDDSKWSTTKMPQELNYAVYLSYEDMPPYLKQCFIYYSLLPKRTRFSVHQVIALWISEGFVHGSSNDLEECGRKYYDELISRNLIEPDQRYINQLFCSMHDVIRSFAQYISKDESLVAHDEGIDILKKLNSQKFLRLSIETNRLQSGALDWKSLQEQKSVRTLLSTVTIKMEPHDSLVTFSSLRSLHIESADVATLVKTLHQLKHLRYLTLVNSDISVLPGNIGEMKLLQFLYLDGCEKLVNLPDSIVKLGQLRLLSLPKSCMIPRGFCGLTNMRTLSGFQAHMDGDWCSLDELGSLSQLRFLSLYQLENVSAASFAANARIAEKIHLNELLLYCTSILGPDGLAKEKGSVSEEEQHRIKKVFDALCPPPNVEKIDIDGYFGQQLPSWMMSRSRAPLNNLKFLVLYNLACCTQLPSGLCQLPSPFPRLNKLSLSGMMEWEEWEWEEQVQAMPRLETIELNNCKLSRFPPGLVSSASALKNLSLQNLQHLRCIENFPSVVEITVLGSPYLERITNLPNLQKLNIYTCPKLKVVKSIPALERLLLADYVMEKLPDYTRDVNPRLFLLFCRPWLLASVAAGQLGVEWDKFRHVNHVKVYAHGGNSGGKWYVLYTRGNDKLDTNIRHSTVFEETLSSCMVDTQGFESMYKMRRSTFSYICSLVRLPFLEDMMARDHTFVDGRLLSLQDRVAVALKVLSSADSSVTVGSSLGVNESTVSLVTQVFVEAMWDRAQHHCSWPGSTKIEKIKHKFDKVHGLPNYCGVVHTDRITFGSQTAVENAVVLVQAVVDPDMRITEFWLNGGLLECIEKYAWLNGSKVKLSDGSEVGEYIIGDVGYPLRPWLLTPYQLENGLPLSDSKVEFNRRHSAATAVAPRALARLKDTWKCLQGEGWHPNNKRVMERTIGACCMLHNIVIDLEEDEGASMPSGQEGIYVEKASKLADEDAVRVRDALSQHLTGCRGKITQLLAC >SECCE6Rv1G0415400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:641417098:641421070:1 gene:SECCE6Rv1G0415400 transcript:SECCE6Rv1G0415400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHSKQLLDPEDVDVVPTADRERGITPVEFRLVKIHMSFHIWRLAQQVKVRQRVIATAITYFRRVYTRKSMTEYDPRLVAPACLYLASKVEESTVQARLLVFYIKKMCGSDDKYRFEIKDILEMEMKLLEALDYYLVVYHPYRPLLQLLQDAGITDLTQFTWGLVNDTYKMDLILIYPPYMIALACIYIASVLKDKDTTSWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGLQEDRIIPVMNKLPSKA >SECCE3Rv1G0200570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:854654016:854656498:1 gene:SECCE3Rv1G0200570 transcript:SECCE3Rv1G0200570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNYGDTIPLHPSSAQSDMDEIESLMYDAPSATVLPARPPSPPRASIPISTSPPPPSSKPPLPASSVPIFVPQGQSAAPPASVSVAIASEGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPNREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICLLKDNVILKIIVVTVTLAWSSWAAYPFMSAAVNPRRKALAIYPVFLMYISVGFLIIAID >SECCE7Rv1G0464310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:60040323:60041382:-1 gene:SECCE7Rv1G0464310 transcript:SECCE7Rv1G0464310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRQGPARFRALDYGERNGYLKGVVTDIVHDPGRGAPLARVDFRDPVRYKHRKELFVAAEGMYTGQPVYCGRRAVVAIGNVLPLASLPEGAVVCNVEQHVGDRGALARASGGYAIVISHNSDNGTTRIKLPSGAKKVVQSGCRAMVGQVAGGGRTEKPLLKAGRAYHKFRVKRNSWPKVRGVAMNPVDHPHGGGNHQHIGHASTVRRDAPPGQKVGQIGARRTGRLRGQAAVNASKDSRGD >SECCE5Rv1G0325240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:463281058:463282338:1 gene:SECCE5Rv1G0325240 transcript:SECCE5Rv1G0325240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGDDDFDSRGGGVDSSPLSALLRPTPSPSPAGPFSMHGSLYGLQDFTSAPPQQQQQHHQHQHQHQHQQQQQASWSAQFMAGPASSSTRVAPGDAGMGASAHQAPDPSAAATTAPPRGSRKRARASRRAPTTVLTTDTSNFRAMVQEFTGIPNPPFAAGAGGPGGSFNSRFDHIFSSSALRSAAGAAGDPASSLPPYLLRPFAQKLQTAPSPFAPFTSPSSSTPPSSNMGIANANARAAATAAATTTASANQRGVAVDDFQLTSSALMRMQDHSSNYLSFQNLLGSTQPPSQHMFGGMSHGASRLHEQSPSEFMQGAGSGSMGLTHGGMMVSEGMHMHQQQRSDVPQGGDELSGVVRAGASGSGGGGGGSCKLNYPPHTGASSSSAAASTDMPPDGASRSSRGEGLDPWLCTSE >SECCE4Rv1G0264400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:720481470:720482996:-1 gene:SECCE4Rv1G0264400 transcript:SECCE4Rv1G0264400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLVGVLSLLCCFVLYYRHLQSKKTCKEEPTEWPLVGHLFGMIADLRRSNYHDWATVVLAGTRYNFSAHAGLIGVRFFVTCDPSNVRHIFTSNFPNYPKGEEFAQIFDVLGNGIFNADGESWQRQRAKSQLLMAGPRFRSFSARCSRDKVEKSLLPFLSHAADIGARCDLHDMFLRLTFDMTCNLVFGVDPGCLQISLPVVPFARAMDDVLATVILRHIIPPACWRLMYWCEVGPEKKMVVARRTIDQFAADMIAKRKSDHKLCGESVSESSDMLSSFISNGDASDEFLRDTSVNLLLAGRDTTGTALSWFFYLLCKNPRVEQRILDELAPIAAAKMLAAANDMMVFDVGELSSIVYLHAALCECLRLYPPVPFQHKAAAASDVLPSGHEIKAGNKILIYSYSMGRMEAVWGKDCMEFRPERWLADDGKLRYEPSYKFMAFNAGPRTCLGKEVAFTQMKVVAAAVLWNFAVEAMPGHVVEPKLSIILHMKNGLAVAVKRRNFPGVHG >SECCE5Rv1G0349140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682079889:682090318:-1 gene:SECCE5Rv1G0349140 transcript:SECCE5Rv1G0349140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRSGGANNEGAGGTSARKGSAATNAKDPKSATTSTSSAGGRESRSLSTRETSDEQKPNLRRSNRETKGKNPIVITTTSSASTPVSQKSIRGRSNPNTPGTPKSSARKLKGSTRKASTPRTPGRVKKSTVSASTASNGVSSPVATPDKTVKREIDEHNSTKNDASESGTMPLKKQKRLTTQSYTNLFKTSSEEHEKSPAYEGNASKVHAEDSGSVLRHEESGAHEEDNQAHLSQVANKFLEGYTSGLCEVPKVILETDGLKTDVEKSAPISEAHMTADLCSSNPARDATEPTDDCSELALHVAMGQKADSSKFVEYWVPARLSRVQLEVYCYTLLSNSPALRSHSKTDSVGALRNILVSLRKCCDHPYLVDKTLHLLLTKGHPVTDILDIGVCASGKLLLLDKMLQEIWKKGQRVLILSQSCGGAGNPMGDILDDFVHQRFGFESYERVERDLNVRKKQSAMSMFNDTTKGRFIFLIDSRACVPSIKLSSVDVIIIYCSDWNPTNDLRVLQKISIESHSECVPIFRLYSSCTVEEKALILAKHDHVLDSTVQNITPILSHSLLSWGASFLFSRLEGLKNYACLRKDSDAEKLFMDKVLLDLKKLSTKDDPSTKMTNAAISQAHLSGPFYSRDSFVVGEREGISAPDSDMPKFWVNLLDQKSPRWQYITEQAKRSCRKIQNMEEGKIPADEADEASTKRRKIAGILDSSANVLAGEDKDSILPEINTTSSSHRKIAGILDPSANVLAGEDKDSILPETNTTSSSQLISVDDTWQEQGVGNLQDTQKSLHIQLKPELSKLYELFELPGSVKCLCEELLEYTLKNHQVSQVPKDILHAFNIALCWRAASLSRHKVDHRESLALAVEQLKYECSETLAEKVYKKLRILKKEFSHRVGRTSKSNQSISVKNISPYQQETSTKYGTDKSIPKQAASVGGKESHQEDSHDLVIEPIVPGEKEVLYVPEIHEKQQLSKDALLNRITEKRIKLVDMVFSLRKKNIHDKQTNEVAMFDMHRHKGVKKLREACRIVVEHLRRSQADPEDRGGQTKLIVEWFTMLLYAFLKHMRYQREKLDLQQSMVWTKELQLKENFLHEAKFGQLDLTFDEHICLPDSGFAIEEFSHFSSCVDTATLANCPQSLHEISAMEVTLVRSVIPSDVINAETTRNGSAEVLIHNEGMPASEGIGLTENTVNNSFDCIDSQGGASLAVQHQVNSSPAIDNSINQESSSGDHRRTEHVERQSGVGSQPLLGETDQHLGDAEMGVNTGNGDNTQADPPYLEPHTLAPVLSEASLQMSKEVEADANLVLQSAQPIAAPAQLLQREAEQVDRSGMIPAQTLQPEMQPSASREAYTQAGLITQSAQPSMVPTELSQRNVEQASLYCVPSSQCLPSGMHPWVPTSSILLERTHHDQCQLSHQPEAALGSSAKLVAPMVFNHPPVGDEPLKNELHRLRSYIDSLNKTNELKKSQLRTECSQEIDKVKQKYDLLLQEQDSTHLQQTKTLDNLCEKVLLNQSVADYFRAKFVSSSGLQARAHSPPNHQTPQASQQVSPRPSAVASTASPAAASSVGRAPVLAHHIQPLQVDRPSPSSPAGPLPHVQPLKVDRPSPSSPAGPLPHVLPLKVDRPSPSSSPSSQVVRSRPSILDSIVRSPSTTFSLAPVRPRGSFGVQSELARAPAPHLRRRLPPQVHSMASANQQQLPTRLESMSARTRATPVTPVNIRQSCPQAVPPGNPSLSSLHPSLYPTLAALLGQSSSHQIQQVPLLPSSSQPTHLSSPVPSTPNPVLPLTSPRGLTMTSSVSSAASNVLPSRGVGPSASGTPQSDSDSPRWMNG >SECCE5Rv1G0301750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:33834586:33835536:1 gene:SECCE5Rv1G0301750 transcript:SECCE5Rv1G0301750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MTPRRSLLVSKLAHIAPDLGLAMDFRATLCPRHPDVFTFANTSHGHALQLVDPPPPPPSPLPPPLRPAVQPDRLIDRPRRFPHLPLRRGLNLRRAHRDYLLRFHSLPEASPFEPLEEGVSAEMAERRACAVVREVLAMTVEKRTLVDHLTHFRRDFGLPNRLRALLVRHPELFYVSIKGVRHSAFLVEAFDDDGRLLVEDGMLVGRDRLEELVREGKKIRRARKKGVFPVDGDSDEDDEEYGNVAEGSSEVDAEFGDLFEEDSVAGEDWNEVGDGGGIEGGDEDENDPEADAMEEFWVKKAAAEGLVDSGTEHDVW >SECCE1Rv1G0046030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614251332:614252825:-1 gene:SECCE1Rv1G0046030 transcript:SECCE1Rv1G0046030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVSAVVGELMNRFVSFLANRYLHSNRQAQSEEKQLKKLQQLLLRARMVIEEADRRYITNSGMLVQLSMLADAMYRGYWALGASKYISLQESMEEGEVRNPSLPKRFRMVQGSARKNKATYPVDLQGTLESLEDVVAGMTEFVVLLGGYDRMLRRPYDVYLYNDNIMFGRHAEKQKLLNFMLQHGSFVGVPAVLPIIGGPMVGKRTLVAHVCKDERVRSHFSSILHLNGDSFSTLADHDRSLLPGKILVVVELLSDVDKKDWAKFCLAVATSMDNESKVIIISRLKSTELLGTVEPILLNTLSYEEFSYLFKTLAFGSANPVQHPRLARIADELAREFQYNSSLGAAILFADLMRRNLSVHFWLSMLSRLRRVVQKNISVFGEHPKFLIERGHQIDVTDLVLHPMDSPLCIVPTSTRGSSRTEITVERESLPRVRLGDLVMDPGVRPQGNFNVVSWESRLPPYTSFIHFAPNGNGAPGVAERSTPLSGSKRPAVP >SECCE2Rv1G0122560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:817177527:817179644:-1 gene:SECCE2Rv1G0122560 transcript:SECCE2Rv1G0122560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNTSIMSSFFCIACAAVVILGKTCSCLQFTYPNFNASDRDDFSFSPGSAISNNSLQITPNTGNMSHRSGRVVYVKETLKLWNSRRTALTTFRTDFMLNILPQNGTGEGMAFMLTNNPSLPSNSNGQWLGVCNNQTDGAKTNRVVAVEFDTRKSNEDDLDGNHVGIDINSIKSLRQYPLNNLSIFLSSGSDVWVSIRYHGTLQLLRIFLVQYSIRGQHLFQGDTYIDLSQYLADDVYLVFAGSTGDSTQLNQIKSWNFTTVDNNDKVGSGRWRKVLLALVTLLVFSICLFVGLFMWRRLTRRRRLAYRNLEKMIDAHGPVKFKLRELRRATANFSPTRKLGRGGFGTVYLGYVDRMNLEVAVKRVSTDKQADTNRGEKEFVAEVNTISKLSHRNLVKLIGWCHKKGELLLVYEYFPMGSLDKLLYARERTASSTSSMSASTDTPELTWEQRYKIIRGVASALDYLHHGSSKRILHRDVKASNVMLDTEYNARLGDFGLARVIQHDGVTHHSTQVVAGTRGYMAYESFFTGRASLDTDVYAYGVFVMEVISGRSPSNAVQHQYIHDSDHRGKEDYTSGGGARHPLPMHIVDWIWRLYGEGNALHAADPLLGGEFDQAQVDCAVRLALACCHPNPRERPSMRTAVQVLIDGAPAPEPPVNKPAFVWPPGGNQREMELPDVGLLFTGGARQHSSFCSMTSTSLTGR >SECCE5Rv1G0356030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:735913457:735914419:1 gene:SECCE5Rv1G0356030 transcript:SECCE5Rv1G0356030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFSSSWKRAGAGGGDGDLESGGGGGVEMTAPPGAAAGASLDRFFEDVESIKDDLRELERIQRSLHDGNESGKSLHDASAVRALRSRMDADVAAAIKKAKVVKLRLESLDRANAANRSVAGCGPGSSTDRTRTSVVAGLRKKLRDAMESFSSLRSRITSEYRDTVARRYFTVTGSQPDEATLDTLAETGEGERFLQRAIAEQQGRGEVQGVVAEIQERHGAVADLERSLLELQQVFNDMAVLVAAQGEQLDDIEGHVGRARSFVDRGREQLQVARKHQKSSRKWTCIGIGILLVVILIIVIPIVLKNTNKSNNNNGQQ >SECCE5Rv1G0352770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711576673:711583164:-1 gene:SECCE5Rv1G0352770 transcript:SECCE5Rv1G0352770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLRRGLGILLVPLPSPLSRPPPPPIPLAALLLLPRRLDAFSRRPFCGYSGGRAVEQFSDDEYDHEYEDHRPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSELYGKVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGFVQEHLDRSLLPFDKDGGKTESGSEKAEHVNLDEKQDPLLDESVMEKILQRKSLRMRNFQRSWQESPEGAKMVEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGIRGKDTHLLFCTSGILLRRLLSDRNLNGVSHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTHPVRAHFLEDILERTGYKMTASNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALQNSNFETYGSRTRDSLANWNPDCIGFNLIEAVLCHICRKERAGAVLVFMTGWDDITSLKDQLKAHPLLGDPNRVLLLACHGSMATSEQRLIFDKAPPNVRKVVLATNMAEASITINDIVFVMDCGKAKETTYDALNNTPCLLPSWISKASSRQRRGRAGRVQPGECYHLYPRCVYDAFAEYQLPELLRTPLNSLCLQIKSLQVDSIGEFLSAALQPPEPRAVQNAVEFLKMIGSLDENENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPILTVVAGLSARDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSGYEYCWRNFLSAQTLQAIHSLRKQFSYILKDAGLIDSDANTNNSLSHNQSLVRGVICSGLFPGISSVVHRENSMSFKTMDDGQVLVYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVTKGSAAGHLKMLDGYIDLFMDPSLSECYLQLKEELDKLVQKKLEDPTFDIHKEGKYILFAAQELAAGDLCEGRFVFGRETSRARLRDNEDGKSNIIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAMVEFKGMQFVGKPKRNKQVAERDAAIEALGWLTQTSGTKLQDEGDASPLDLTDNMLKLLSRPRKRTRNNPKK >SECCEUnv1G0568090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:422553088:422554610:-1 gene:SECCEUnv1G0568090 transcript:SECCEUnv1G0568090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLVCAALFFFLLLLSSSKPAISSPSDGRRYKSIFSFGDSFADMGNNPIVFGWYNVFDHVTRPPYGTTFFGRHTGRNGDGRLIIDFIAENLGLPYVPPTLAHNGSFRRGANFAVGAATALDAGFFHERDIPGGSSKFPFNTSLGVQLEWFESMKPSLCRTARECKKFLGRSLFLVGEFGVNDYHFSFQRKTVQEVRSFVPDVVATISMAIERLIKHGARSLVVPGVIPSGCSPPILTKFAGAPPAAYDSKTGCLRAHNELGLYHNALLQAALAKLRAKHRDVRIIYADFFGPIMEMVESPHKFGFEKNVLMVCCGGPGRYGMNTTVPCGDAAATTCRNPSARLYWDGVHLTEAANRQVADAWLGEINASTGVSRKQGAKEPY >SECCE1Rv1G0009610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:55856370:55856857:1 gene:SECCE1Rv1G0009610 transcript:SECCE1Rv1G0009610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMCLCFIILTIAVVVSADECEGDRQEMIKQCAKYQQWPANPKVNPSDACCAVWQKANIPCLCAGVTKEKEKIWCMEKVAYVANFCKKPFPHGYKCGSYTFPPLA >SECCE5Rv1G0373160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856477696:856478127:-1 gene:SECCE5Rv1G0373160 transcript:SECCE5Rv1G0373160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKLALLIAMNLVLLVAVHGCGSCGNTPPVPVPSPPIAVPPPTAVPSPPSPGGGGGTCSIDTLKLKVCANVLNLLKLNLGVPTSEQCCPLLSGLADLDAAVCLCTAIKANVLGIKLNVPVDLVLLLNQCGKTCPANFTCPS >SECCE4Rv1G0218390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:22248022:22249132:1 gene:SECCE4Rv1G0218390 transcript:SECCE4Rv1G0218390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKALLLAILGCICLCSSTVLSARELGDAAMVERHEQWMAKYNRVYKDGAEKAQRFEVFKANVAFIESFNAGNHKFWLGVNQFTDLTNDEFRATKTNKGLKRSGSRAPTGFKYNNVSTDALPAAVDWRTKGAVTPIKDQGQCGCCWAFSTVAATEGIVKLSTGKLVSLSEQELVDCDVHGVDQGCEGGEMDDAFKFIIKNGGLTTEANYPYTAQDGQCKTNVASNSVATIKGYEDVPANDESSLMKAVANQPVSVAVDGGDAIFQHYSGGVMTGSCGTDLDHGIAAIGYGITSDGTKYWLLKNSWGTTWGENGYLRMEKDISDKNGMCGLAMQPSYPTE >SECCE6Rv1G0412480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614757282:614757869:1 gene:SECCE6Rv1G0412480 transcript:SECCE6Rv1G0412480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARARPTMAMAIVLLATLWASHTASCLVRPHDVAGCQPSGYLPGRSGNCETSNSPDCCVDGERYLQFLCSPPVSAATWAVLTVNGFGRGKDGGLPSECDGAYHDDSEMVVALSTGWFSGMSRCGRSIKITAAKGGGSVYAKVVDECDSVHGCDAEHNYEEPCAYNVVDASPAVWDALGLDQNTGLQDVTWSDE >SECCE3Rv1G0192560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:760416730:760419876:-1 gene:SECCE3Rv1G0192560 transcript:SECCE3Rv1G0192560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGSGAALFSEEDLREVSGLLLGEGFVQMTCGCTSRRYGDAVGRLLIYPSGELEISCECTPGCREEKLTPSAFEKHSGRETAGKWKNTVWVMIDGEKVPLGKTEFLKYYNLSNKSANGSNRSRNGRPLHRDEFIRCTRCDKERRFRLRNKEECRVYHDALAKPNRTCANLTADSVTCDDDEERGSRKVLKGCSRAASCAGCEKCVCFGCKMCRFTDCGCQTCIDFYRNSKE >SECCE2Rv1G0116710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:766655547:766657250:-1 gene:SECCE2Rv1G0116710 transcript:SECCE2Rv1G0116710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSATSVHDFTVKDSSGKDVDLSVYKGKVLLIVNVASQCGLTNSNYTELSQLYPKYKDQGFEILAFPCNQFGGQEPGTNDEIVQFACTRFKAEYPIFDKVDVNGNNVSPLYKFLKSSKGGLFGDSIKWNFSKFLVDKEGHVVDRYAPTTSPLSIEKDIKKLLGSS >SECCE4Rv1G0294830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:892702292:892704269:1 gene:SECCE4Rv1G0294830 transcript:SECCE4Rv1G0294830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLYGPMLRLRFGSSLVVVAGSADVAKQFLRTHDAKFSSRPPNSGGEHMAYNYQDVVFAPYGPRWRAMRKVCAVNLFSARALDDLRGFREWEAALMVRSLADAATAGVAVPLAKAANVCTTNALSRATVGLRVFATAGSELGAEEFNEIVLKLIEVGGILNVGDFVPALRWLDPQGVVTKMKKLHRRFDDMMNRIIAERRADAIATTAGEEGGKDLLGLLLAMVHEDRPLTGAGEDRITDTDVKALILNLFVAGMDTTSITVEWAMAELIQHPDMLKQAQEELDAIVGRDRLVSESDLPHLTFLGAIIKETFRLHPSTPLSLPRMATEECEVAGYRIPKGTELLVNVWGIARDPALWPDPLEFRPARFLPGGSHAGVDVKGGDFGLIPFGAGRRICAGLSWGIRVVTVTTATLVHSFDWELPAGQTPDMEETFSLLLQLAVPLMVHPVPRLLPSAYQIA >SECCE2Rv1G0137750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:919423145:919425003:1 gene:SECCE2Rv1G0137750 transcript:SECCE2Rv1G0137750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNGVYHLFYQYNPLGATWDNGNLSWGHSVSHDLVNWAALDTALDPTAPFDANGCWSGSATILPGGVPAMLYTGIDYNNVQVQNVAFPQNPADPLLREWVKPSYNPVIPHPADVPFRNFRDPSTAWVGSDGLWRIAVAAKVGGDMGIASTIVYRSKDFRRWKRKASPLYVSHAAGMIECPDLFPVAEPGMEEGHLDYASSTLRGAVRHVLKLSVMNTTQDYYAVGRYDDVADTFAPEVDIERSVDDCRTWRRVDYGHVYASKSFFDASKNRRVLWAWANESDTKDDDIARGWSGIQTVPRKVWLDDDGKQLRQWPIKEIETLRRKRVVRLLEARVNAGGVNEIVGAGAQADIEAIFEILSLEEAETFEPNWLQDPQKLCGEKGASVRGGVGPFGLLAMASGDLEEHTAVFFRVFKHIGKYKLLMCTDLTRSTRKAEVYKPPYGGFVDMDIEKHGRSISLRTLIDHSVVESFGGGGRTCITARVYPEHAQNGDSHVFVFNNGTGAVKVSKLEAWRMATATINIARGR >SECCE6Rv1G0451180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871412831:871414745:-1 gene:SECCE6Rv1G0451180 transcript:SECCE6Rv1G0451180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADAARNVVGIIGNVISFGLFLSPTPVFWRIIKAKDVEEFKPDPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEGSYLIIFFLYSTNNKRLKMIGMLAAEAVFMVAVVVGVLLGAHTHEKRSMIVGILCVIFGTIMYASPLTIMGKVIKTKSVEYMPFTLSLVNFLNGCCWLAYALIKFDLYVTIPNGLGAFFGLMQLILYGCYYKRTPKEDKSVELPTSNPAGAGNVSVAVER >SECCE2Rv1G0070530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:35886740:35888776:-1 gene:SECCE2Rv1G0070530 transcript:SECCE2Rv1G0070530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTARALPRYFLLLLFAGFLLLRSPLPVVAAGDVALVIDKLKPALQGGGAANAQLATWNASTPLCLWRGLRWSTPAGRPLRCDAPGARANLSLTGDAALLLTSIRLPAAALAGRLPPELGAFAALETVYLAANSLSGAIPLELGNAPALAELDLAGNTLSGALPPSIWNLCDRLAELRLHGNALTGAIPAPAGPNDTCDRLRILDLGANRFSGDFPAFLTGFRGLQRLDLGGNRLEGSLPESLAAMRGLQMLNLSYNNFSGQLPPGFAASSFTEESFLGNSPALCGPPLQQPCVSPSGLSSGSVAGMVIGLMAAAVVLASVCIGWAQGRWRRNRVRRAAEEGVETEEGGEGKLVVFQGGEHLTLEEVLNATGQVVEKASYCTVYKAKLADAGGSIELRLLREGSCKDAASCGPAVRRIGRARHENLVPLRAFYQGRRGEKLLVYDYFPHRTLHDLLHGGSGLESRPALTWPRRHKIALGAARALAYLHESRHGDAPVVHGNVRSSNVLVDEYFVPRVTEYAVVGRLLVPSAAEAVLSAARADGYCAPELQTMKRCAPRTDVYAFGILLLELLMGRKPSPAANGGDDLPSLVKAAVLEETAVEVFDPEVAKGVRSPAEEGLVQALKLAMGCCAPVAAARPSMAEVARQLEESRPKASRSALYSPAETRSEAGTPTTAA >SECCE7Rv1G0522810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879269414:879272625:1 gene:SECCE7Rv1G0522810 transcript:SECCE7Rv1G0522810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAMSLPWSFLALLMIAMVTASDQDALLAFKAQLSHGGSLASWNTSAGFCSWEGVTCSHWRPARVVELSLDGRGLTGALSPAIGNLSFLRTLNLSFNWLHGEIPASLGRLRRLQRLYLNDNSFSGTFPVNLSSCVSINKVELHNNKLGGRIPAELGEKFTRLAVISLSNNSFTGSIPASLANLSYLQFLDFSKNQLVGSIPPGLGSIQSMQFFTLSGNNLSGTLPPSLYNWSSLESFHVGQNMLYGSLLDDIGNKFPMMKELALGDNHFTGIIPSSVSNLSYLTILSLGQNRFSGYVPPTLGKLRALQYLHLSETKLEAKANKGWEFITSLANCSQLGFLSLGGYFFEGFLPASVTNLSKTLQELYLMDSRVSGVIPADIGNLVGLNRLAIVNNYISGVIPESIGKLENLIELGLYNNSLYGLIPSSLGNLSQLNRLYAYYGNLEGPIPVSLGELKNLFLLDLSTNYKLNGSIPREIFKLTGLSWYLDLSYNSLSGPLPNEVGSLVNLNQLILSGNRLSGKIPDSIENCLVLEWLLLDNNLFEGSIPRALTNIKGLTILNLTMNKFSGNIPEALGSIGRLQELYLAHNDLSGSIPAVIQNLTTLSKLDLSFNNLQGEVPDEGVFRNITYLTVAGNINLCGGIPQLHLAPCSTGTLRRNKKKTPKSIVISLAIAGAILLSLSVIILIWILHKKHKQNKKTIVQYSLADDQYERIPYHALLRGTNGFSEVNLLGRGSYGEVYKCVLDNDERILAVKVFNLGESRYSKSFQIECEAMRRIRHRCLMKIITCCSSVNHQGHEFKALVFEFMPNGGLDGWIHPKSQDPTRNNTLSLAQRLDIAVDIVDAVEYLHNYCQPLVIHCDLKPSNILLADDMSARVGDFGLSKMIQENTSKKIQNSYSSTGIRGSIGYVAPEYGEGSAVSTCGDIYSLGILLLEMFTGRSPTDAAFKDSLDLHKFTENALPGRTLEIADPTIWLHREPKDDITSSRIEECLVSVFQLGISCSKTHPRERTMIRDAAVEMHAIRDAYLLSADDHIGEH >SECCE4Rv1G0285380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:844135579:844136375:1 gene:SECCE4Rv1G0285380 transcript:SECCE4Rv1G0285380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKGTESTSSCRKEELLQFFSTYDKTKDIFAFIRLLVAIQICSHSAEYVPHILEVATGICSLKVWCCRNVTPAHVETEAPMMRALASALEVPLIVETFQGEYARDIYTGPGVPGRPAVTLLYTGNHYDIIYPRAPSAESSSHQASQREDPGDQSSSHQASKREDPGDQSSSHQAS >SECCE2Rv1G0128900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:865702944:865703870:1 gene:SECCE2Rv1G0128900 transcript:SECCE2Rv1G0128900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKITSCFTFLKEALILPTLNPKLFAPVLLFFAVTAFLDPLVQVVFVHPLADGMTSRLTEINSTDPSSAEYTKLMEKLMETEEFKQVSKRMVRITIAKLTVGPALGLVKQILALFAVSTTYSGELYSLAGLLRELVNGRISLKGPSITIAVVGALDVAGAVLAALRYYVMSGRSGVLSVQGLVSLLAHLASICFTVIALVGVAASVADSRKCRGVRALRQAWRLVTRVRRKEGLVLLLVASLGPAVVAPLHGFALGYAERSMAACLCLMAVYALLSGAQQLFSLAAATVYYYQAMDSKEVIDALWLC >SECCE3Rv1G0158330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:87228901:87229895:-1 gene:SECCE3Rv1G0158330 transcript:SECCE3Rv1G0158330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVMDEGLWCERVFRDHQLSVVRLHTAMNNAFRGNGFIVSSNKTICDIVSCEHVVEMLPVGGTMAVYFFNSDGLIPRRYNARIIRTDKGRDLAWLRAQDVYEPVTCLRFFDPPYVSGWNVVALAYTTLDGSFATILEPGTYSGQITNEPDEVSLTCSCTSKQGASGSPLILPRIGRVVGVLAGASYASVYAVPVRLIRECLIEWLGGDKNLDKLGTEGLVALVAMA >SECCE5Rv1G0365280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:805861688:805864844:-1 gene:SECCE5Rv1G0365280 transcript:SECCE5Rv1G0365280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAWPLSAAAGFLPASLSLTLLLCSLVVVLALGVAAVFLEHIRKIGCMHSIERTAVSDAFFEDPGSLNKVPCPSIFDPAEKYISLIVPAYNEECRLPEALTETLNYLKQRSAADKSFTYEVLIVDDGSTDCTSKVAFAYVKKHNIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLAKLEAEIRALAGKALSASSSQRLSDVEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRSAARKLFTNIRLKRWCFDVEIVYLCKRLRIPMAEVSVSWTEIPGSKVRMTSILHMVFELLLIRVGYGLGIWKIYS >SECCE4Rv1G0288150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:858684579:858688913:-1 gene:SECCE4Rv1G0288150 transcript:SECCE4Rv1G0288150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHLDPRLVEEVQCTLETPHFRRVERVETRHYIPVYEKKATRDEHILEFAKLDFNILQTLYCQELKDLTIWWEDFQAQTDLQFARDRMVEIHFWMLGVVYEPQYSYSRIMLTKLVSFVSLFDDLYDNYSTTEESNIFTAALERWDEQAVEQFPTYLKALYINILHNTNVIDEELKHQKNMHTGLAKEMVIDIAKSYHAEVKWRDEHYVPNSVEEHLQISVRTSACMQIISLVFISMGDITTREVLSWASTYPKIIKCVCTVGRIGNDMVSHEREQLSEHVASTVQTCTKEHGITVVEANVKLMVIIEEAWMDIVHECLHREHPMTLLEKATDLARTMDFMYKREDAYTLSFSLKETLTSLYVNFI >SECCE1Rv1G0018320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:187205104:187207379:1 gene:SECCE1Rv1G0018320 transcript:SECCE1Rv1G0018320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT5G53190) UniProtKB/TrEMBL;Acc:A0A178U9N2] MFPDLHVTTGIIGSVVCFLLYAAPILTFRRVIKKGSVEEYSCIPYILTLFSSLTYTWYGLPVVSSGWENWTLSGISSLGVLFESTFISIYIWFAPREKKKLVMVMVSPILIIFGVAVFFSIFSFHTHQMRKVFVGSIGLVASILMYGSPLVAVKQVIRTKSVEFMPFNLSLFSFLTSLLWMLYGLLGKDPFLTAPSFIGCLMGILQLVVYFIYSKCKEAPKTNPDIEQADELKVVTIQDNVKGQKP >SECCE5Rv1G0316280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:316488922:316489875:1 gene:SECCE5Rv1G0316280 transcript:SECCE5Rv1G0316280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRAEETTGGCSPACNRDGGIETVIDELPADVLGIVLRCLDGASLAAVGCASAGFRELANDPDAWRALCLQMWPSLRQVVSCGGDGGYRALYADAFPFPTASPAVSSSLPVRLISAVDLHHNGVCIMSRVVETDASSAWFLGSPFRVDALVQEGFTSPSPVTPGDLRLSWILIDPATGATVNVSSRRPVSIERRWVTGDSVARFTVVLGGGVALEAAVMCDDRHGHMREMSLCAANADGGGVSGQDGLAAVAAAMASARQRRGAEEASRQLYEEFAKGKRERKERKKRREGIVDLCCSGVGAAAFLAFLVMLTFQ >SECCEUnv1G0549030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:196197132:196197386:1 gene:SECCEUnv1G0549030 transcript:SECCEUnv1G0549030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSWMPMDRLVNISGSPRYPAYKVADFGWGAPSRTELITMNNCGQVVLLAAKAGGGGVQASVCMHPDHMDAFKSHFLNSLSG >SECCE6Rv1G0386390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:95388836:95389535:-1 gene:SECCE6Rv1G0386390 transcript:SECCE6Rv1G0386390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPGRSSTKAFRQGFLRSFLLSLKSCGNGATAMGLQERKRAVRSSADIAMATTRGSGAMWPQALLAAASSSSSPSWTRRLPAAATVKTTTRRKNMARRRCRQSRPTTSSGDIARRLVRKRTKVLRGMVPGGELLDGASLLREAMDYVVHLRAQVAVLRRVSNAMQHRPSSHHMAGAVAPRAQLKPETAGTAQASEGNEE >SECCE1Rv1G0047170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:622001311:622006422:1 gene:SECCE1Rv1G0047170 transcript:SECCE1Rv1G0047170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHETRSRTHATEEGKDAPKRQKEEHKEQEGGQERPSKNKKPVEAPTKTKKLKGGESELDGKENSTREYTDFCKAIREHLSVEDMRRILEANGQDASGSEDAVVQSCEDMMFYGPLEKCPACGGQLECKGWKYKCAGKYSEWASCIFSSNNPPRKSGPIKVPEEINNDYVNKWLKQQEGKGYPKRDVDEEAHIFSGMMIALSGRMSRSHAYFKEQIMNHGGQVNNSVLGVTCVVASPAERDKGGSGGFAEALERGTPVVSEKWILDSIQKKEAQPLAAYDIVSDVVPEGRGLPLDKLDPSEEAIETLAAELKLAGKRSVHKDSKLDKDGGHIFEKDGIIYNCACSICDLGSEMNQLCIMQLIMLPQKHLHLFYKKGPIGHDQMAEERVEDFGNRVNDAIKEFARLFEEATGNEFEPWEREKKFEKKSMKMYPLDMDVGFDVRHGGAALRQLGAAAAHCKLDPAISFLLKQLCGQEIYRYALTEMAQDLPDLPVGMLTDLHLKRGEEVLLEWIRDAEPAQESGPAADALWIEISNKWFTLFPTTRPYIMRGFEQIADNVASGFETIRDINVASHLIGDVFGSTLDDPLSECYKKLGCSINSVPEDSEDYKMIVKYLEKTYEPVKVDDVVYGVSVDRIYAVESSAFPSYDEIKKLPNKVLLWCGTRSSNLLRHLHKGFLPAVCHLPVPGYMFGRAIVCSDAAAEAARYGYTAVDRPEGYLVLAVASLGKEIKEITGTPGAEDVKSLEEKQLGVKGVGRKTTDESEHFTWRGDVKVPCGKLVPSGNEDGPLEYNEFAVYDPKQVSIQFLVGVRYEEQNMEVVPDE >SECCE7Rv1G0483260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:320180270:320180872:1 gene:SECCE7Rv1G0483260 transcript:SECCE7Rv1G0483260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKTKISKAADFLRKAVRALRGRASVLRARLLFLASLRHRTAMVGTVSRNLRALMPGRQRDPVHDHRKALTSSTMAAEEDGPAAMHGVDVPGLSELLQEVIGDDNDDPCGYPDWTHSLFDDDHDYCNLQEGDDVDEEERGGGAEAMEEDQLPDDEPSVMDVIRRCREGDGMEFNIEEEIDHAADMFIRRVRSRMNNRSF >SECCE4Rv1G0274920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:789040368:789042119:-1 gene:SECCE4Rv1G0274920 transcript:SECCE4Rv1G0274920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSIRRSRRRRGSMRPPQAVPLPPAPSSPPREAAVQGRLSKKMCHALGATFSTSDVSHVWADLSDNLIHQIIALLRSFHDFLAFISTCRSWRAAAYSFSSAYAFTFPPLHLKPDFSYTRKRSKLGVFSLLRDCKWLLGDPAKRNLSLRCSVPRNSPNCLLYLGCSYGYLIFSYQEHCLLANVYAGTKVKPPKIKSSSNPEIYFGFLVAPLSSPSSRLILFSKTSMFQWRIGANSWSEHPLRPGAAGIMQIVIFKGQVFAIDFSERLHIIQLEPQFSMQTVPDLCVKDLDVGLHDKPWLVACGDTLLMVVLSVTRDQFDRILSGTFQVFRLDFSVKPAKWVKREKLETCALFVSKDRRTPTFSCICPERWGGKSNNIYISSESEDSNQPWAPVELGQMVPDSIVPYSFSSGAPSDYCSQLRSLWVFPNLVYGAGQ >SECCE4Rv1G0248760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:575266761:575278899:1 gene:SECCE4Rv1G0248760 transcript:SECCE4Rv1G0248760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAIGQNDWPEDWPELLPFLLKLIGDQSNGNGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYRIISSPHLYENSLRSKALGIVHSCISMLGSMSGVYKRETVNLMTSMLDPLVEQFCIILNSPVLSPNPDDWSMQMEVLKCLLQLIQNFPRLPEAKISAVLAPLWQTFVSSFKVYHLSIIQASEDADNVGYDSDGSERSLESFEIQLFELWTTIVGNSMLAKVIAGNIKELAYYTISFQQITEEQVQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYEDYGIDAILEASQVCFRESRELKQAGSADWWRLHEASLFALGSLSEHLCEAQDSGYNVRDLLEQMVTDIVGTGVHQYPFLHARAFSVVAKFSSLISKGICEQYLCNAAHAIASDVPPPVKVGACRALAELLSESNQSSMPNIMGILSSLVDLLRQASDETLHLVLETLQAAIKSGGEQSTSIEPVISPIILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIATILSKSKIQPDGLVAGSLDLLTMILKNAPAAVVKAVFDTCFTSTIQIVLESDDHGEMQNATECLAAFISGGRQELLVWGGESTLKMLLSAASRLLDPELESSVSLFVGSYILQLILHLPSHLSPHIPELIAAIVRRMQTSDIAGLKSSLVVIIARLVHLSAPNVDQFINLLLAIPAQGYGNSLAYIMSEWSQLQGEIQGAYQIKVTTTALALLISTRHPELSRIEVNGHLVKTSAGITTRSKARVTPDNWTKIPLPSKIFALLADTLAEIQEQVGDDADNIDEEDSDWEEVQNGDATPHDIMYSASVPSNANPSVEHLNAMAKVFDEDEDDSYDDDLAKADSLNEVKLSEFLTNTFVNLWDSDRPLFEYLCQGLTNPQRVAVQKVLRK >SECCE5Rv1G0363680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:795689488:795690546:-1 gene:SECCE5Rv1G0363680 transcript:SECCE5Rv1G0363680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNPSLDMLAAAAGMESAAAAAAEAAPRGRVVRIIVHDADATDSSSSEDESAPPPLAPMPTTKEERRRERKKRRRVMEAGRRDDSGPPPPPTSGGARPPSVRYRGVRQRRWGKFASEIRDPIQGRRLWLGTFDTAEEAAAAYDAAKIRISGSRRPADAATGCFPFSFPPPEPAKPTVLRLPMPQPAKPTILRLPMPQPAKPITSPPPPPPPEPAKPAISPPPLPAKPFALPLKLRLKLSFNPQVKDKGWNWCSAVDVKEEEDLNCDGEAKVGDVSIAGEVKVEGGGCAGEVKVEGGGCADGTKEVGGSSEVQAVKPMWAMITGKRKKRSGCGTRVGALHASSVCVEEVGGT >SECCE6Rv1G0384110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:60719038:60720468:-1 gene:SECCE6Rv1G0384110 transcript:SECCE6Rv1G0384110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRTILMDRYEIGRHLGQGNFAKVYYGRNLASGQAVAIKMIDKEKVSRIGLIVQIKREISIMGLVRHPNVLKLFEVMASKSKIYFVLEYAKGGELFNKITKGKLSEDAARKYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESTRQDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVAGFLPFHDTNLIEMYRKISRAEYRCPRPFSVELKDLLYKILDPDPSTRASVSRIKRSAWYRKPVEVNGLKIKQDTRDKVNKGEPTTSESTEGSNSEVNQEASSSLTNLNAFDIISLSTGFDLSNLFEEKYGRREVRFTTRQPAEAIFAKLNEVAKKLKLKIKKKENGVLKLAAPREGMKGILEFDAEVFEFAPSLHLVELKKTNGDTIEYKQLMRDEIRPALKDVVWAWQGESHPLPEKFIRGEQQQSPLPSQQQQSPSPSQQPQSNQSH >SECCE4Rv1G0253710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:629233912:629235156:-1 gene:SECCE4Rv1G0253710 transcript:SECCE4Rv1G0253710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMTRPASPTPHRDLRPDSAAASPYATAPSSPHAGRLAVPATPFGSVEGASAAKGSPFLTAPSSPNPFDLLPPVTPRLAGANPFDLFQHFTSAPASPRRAAAIYAHFAEGNHGDREDEEEDDEDEGFHPRSSYSTTASAVPFDWEERPGTPKAGMGGEAAWDTDFEFGTVVDKTAPAESLTTADELFEKGRIRPLKPLLKTTDELSDKGKIRPLKPPPGLLDGGSVGSSPRSPIAKGALRSPRRRSRVGSGTDFDPFAAALLEATKAPSPLGGKNTTAVASGSPPKKADPFAARPASRSAGWRRWRLSDLLLFRSSSEGGRINKDPLPKCPPAQQPDAPLTKASAPPAAAAKFNARAVSMDKLKKQSGDKSAAAAAEGIVGCARLSPLQRFARGLGGHSWHHGRGMAAQGTKG >SECCE3Rv1G0149190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:23026888:23029678:-1 gene:SECCE3Rv1G0149190 transcript:SECCE3Rv1G0149190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALLLLLLLMPASAMAIGHVCGSGGNYTANSTYQSNLAVLNATLPGNASSSPQLFVTANANANTNRTAGMVRGLALCRHDTTNLTACRECVASSFAYAQKMCPNHTAATVYYDYDETNALKPGCLLGFSGDRGFLSTSSGTTGNGTFFQYFNTVNIAGNAGVVAAAVRQLLAQTAQYAAAAATRFATGFMDGGTTTTLYSLAQCTPDLSAGDCLACLQRLVGSINATNSVRLGGRIFRLRCNVRFEAFMFFDDKNMRRIASPSSMAPAPAPAPARKRHGVKPWVIALSVAASVALVALCFIVYCLRRRRRKSTKRKGALQGKRTHEFQEGDEQVWEMETELADFSVFDFNQILEATDNFSEENKLGEGGFGPVYKGRFPDGVEIAVKRLDSDSGQGFIEFKNEVELIAKLQHRNLVRLMGCCSQGEEKILVYEYLPNKSLDFFIFDEDRKALLDWDKRIAIIVGTAEGLLYLHKHSRLRVIHRDLKPSNILLDSEMNAKISDFGLAKIFSSNNTDTNTTRKVVGTYGYMAPEYASHGIFSIKSDVFSFGVLTLEIVSGKRNSHECGAFINLLGHAWQLFEEESWNELIDAALVPNIYSTEMMRCINIALLCVQENAVDRPTMLDVIAMLSNKTMILHRPKHPAYFSLSTAGNKEAPTTTQFCSVNDVTISTVTPR >SECCE4Rv1G0221990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:53130041:53130934:1 gene:SECCE4Rv1G0221990 transcript:SECCE4Rv1G0221990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKEHVLLSQPDKQVLLAEIPASGSRDSQPAVTLRLLVELCSDYGAGPVDVDTMEDLTCRVPLADLARQGAAERAFKELVARIDNPALRPEVAAETAAAAARVRARCGAERDVEFRLRVVFIDDASEEKEEEDEDESGSDMEFGEFDLSGTRSLRGQQTDAGYGCDYDYEEDDEDEDGCGAQFTVRPYRGDLARAGGGAPPSLLLSGFEARSDGPELTEEHEVTSYDIQLVVRKALGGGGSVVEDEAYRRALDGGTPVSPESRAAMAGRALQSARQQQQQGSKSPRPIFPMRTGF >SECCE2Rv1G0095790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:424851909:424864793:1 gene:SECCE2Rv1G0095790 transcript:SECCE2Rv1G0095790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWCPGIGPRVRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAVLLFFAIVLDVAWFILFSHAIWNSTHDEKYGQLFVFSLRLALWMQTIGFSVRFLSSFIWIQMYRLGVSSSTPTYYEANDARNSFLSPRSNSVRRGSMADDILGGSIYDPSYYSSLFEDVRNNACNHQGDKQSGSNDSGSTSAGQSPRLKSFGSRSFLSNDVEAGLRRPLNSCSVETS >SECCE1Rv1G0015980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:133000518:133007500:1 gene:SECCE1Rv1G0015980 transcript:SECCE1Rv1G0015980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAAAAATAVVAAPTPARLLLVSARRAAPRFGHCRASPFTRLPRSACYASTIMGDETSTSASGSAQEPAAPSVQGAGSVKQQISNLVALSLRATVPEVDVEPIVEVCTGKFGDYQCNNAMGLWSKVKGSGTSFKNPNAIGQAIAKNLPVCDVIESASVAGPGFVNIVLSKSWVAKRIQDMLVNGIKTWAPILPVKRVVLDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVEVLRRNHVGDWGTQFGMLIEFLFEKFPNWEELGSQAIGDLQVFYKASKQRFDEDAEFKDRAQQAVVRLQGGEEKYRAAWKKICEISRTEFDQVYKLLDVQLEEKGESFYNPYIPQVLEKLSSQGLIEESEGARVIFIQGHQIPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFKAARMAGWLPDPKEKKFPKTSHVGFGLVLGADGKRFRTRSTEVVRLGDLLDEAKSRSKSELLQRLTENGKIVDWTEEELEKTSKAVGYGAVKYADLKNNRLTNYTFSFDQMLSDKGNTAVYLQYAHARICSIIRKSNMDVEELKVSGNISLAHPDERVLGLYLIRYAEIVEEACTNLLPSVLCEYLYNLSEMFTRFYTNCQVVGSPEEPSRLLLCEATAVVMRQCFQLLGITPVYKL >SECCE1Rv1G0057160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692564906:692566382:1 gene:SECCE1Rv1G0057160 transcript:SECCE1Rv1G0057160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGGGGEGGDGGDHGHKEPATTHGDGGHDEEDPSGSSLSPPSLLPDLAVVPVESENKKRKHEQPEVFFPEGVLVEILSRVPYRSLCRFKCVSTPWLVLCSDHGVRKRSPQTLSGFFHFNRGWHFHNYLSGKGPPMVDPNLPFLRGTYGHFEVEQCSTSLLLCRCWKAPHPKQHVWNSFPKGRAVQRFRWGPEADQFDYVVCNPATQQWAVLPPLPVELHNQADDDLFRVISRQYAISGHYFLGFDSAVPSRFVVFVPIFGIGLPMTWIYSSETRGWTSIQCQLDDYVNYPRFFFLSGTILYFCNFLSIVTVNLEGNVWREMKLPPAMTNIYVGPSFGQSQGCLYAWRMDDCQLSVWALENYDVGQWTLRCTVDCLELFGRDCREEDEVYCMFAIHPQCDMIFLTDRKEKTLSYDMNNKKVHVICAPGDFPEGLPYTPCFEEWT >SECCE2Rv1G0068610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:23995280:23997609:1 gene:SECCE2Rv1G0068610 transcript:SECCE2Rv1G0068610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQDLDDAALWASVDTAVAEASRRALPRPPPSPAVSLWPAPDDDPHRGEALQPARPFKLPRVAASHGRGRATPPPPPPSSPPPYRAPDASRPLMLVQSPHPELPWVTEPGAAGSPVPVAAAAAAAHGLFPSAAASVASFRKYQEVAVSILDKSDYTVISGNPYIKKSGWRKISCFYNISFEIKDHSIEFDDSHNVNRAEFLVRASMQSGRFSDGWGSCDRREKRFNKPNHDIPSTAETRAKNKACQDLLGIGHNRPG >SECCE4Rv1G0295050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:895463576:895465060:-1 gene:SECCE4Rv1G0295050 transcript:SECCE4Rv1G0295050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAADALLPLDASTFAAESRAVVDFLEVYYRDIESYPVRPDIEPGRLRTLLPDAPPEDGEPVDVILEDIRRHIVPALTHWQSPNFFAYYPANASTDGFAGEMLSTGLNVVPFTWAASPAATELEGVVVDWMARLVGLPESFLSSRGGGGVLHGNTCEAVVCTLAAARDRALSSLGHEAILRLVVYASDQSHCTFQKGARIVGIPPANFRVIPTSAASAYGLTADSVRDMVKADVASGLVPLYLCATVGTTGLGAVDPVRDLGEVARRYGMWLHVDAAYAGSALICLEFKDYIDGAELADSVSMNPHKWFLTNMNCCCLWVVSPALLTSALSSNPEYLNNVGQEGAAEVVDYKDWQIALSRPFRAMKLWVVLRRYGAAGMRAYIRRHVDMAKWFERMLDADERFEVVVPRRFSLVTFRLRPRQEGHDDMKMEALNRKLLVAINASGRAFMTHFVVDNKFVIRMAVGAAMTEMQHVQDAWELVKDKAKEVLATP >SECCE7Rv1G0469570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:110576711:110580142:1 gene:SECCE7Rv1G0469570 transcript:SECCE7Rv1G0469570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSVPARSPGGLTNINGSSSATDSNDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAVVVYDITSPESFKKAQYWVKELQKHGSPGIVMVLVGNKADLHESRSVPSQEAQEYAEKNSMLFMETSAKTSDNINQVFEEIAKRLPKPTSS >SECCE5Rv1G0336890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:587664316:587665266:-1 gene:SECCE5Rv1G0336890 transcript:SECCE5Rv1G0336890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSVALLLVLLINTGLCFGATKPDPTKDWDQPQDRVKFNFTDATAANYKAMLKQVGDKIVAHSSNKAYGIPVLAPQTPDAKPYRWLFVELSGRGSDTTTLALRQDNLYVAGFTDRAGRWYVFKNKATLNLIPGAIVLSFDDSYTGLVGGYKNLGALRLGRDASVAAVDVLANRPLADAAKTAVAVMAVTISEASRLTPISGSIAKHWVPGVLIGGDQADLVVNWKVISCALMVSYQHDQNWLGKEAGDLAKPPVNIKNLYQAKTTLHVMLWPKNNMCSEEIKWLVH >SECCE3Rv1G0199070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:842625854:842637585:1 gene:SECCE3Rv1G0199070 transcript:SECCE3Rv1G0199070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQNWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPVKNREQMIETMFEKYNFSGVFIQIQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGYSYPHLTKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYISYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGLADMAFHCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITKQEYQEEGVACLRKCGQA >SECCE4Rv1G0261130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700297951:700301384:1 gene:SECCE4Rv1G0261130 transcript:SECCE4Rv1G0261130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTARRSTPELVAPARPTPRETKILSDVDDCYDLRFYSIGVEFLRCRPNGRPRTSPAKAVKAALAEALVYYYPIAGRLREVPGNKLVVDCTGEGAVFVEASADVALEEFGHPPLRPPYPCVEELFCDAGDTKVVVGKPLFFIQVTEFIGGGFVLAFQACHSIVDGFGLIQFIKSIADLARGEVQPAVLPVWERQILMARTPPRITNIDPAYTPVLTGSEYDVDATVNDVMLSTTVESMVHKYLLFSAREITHLRGYIFPSDLTKSATAFELLTAVMWRCRTIALGYEIDTKVRLIFTLNARGRWKGDFPIPRGYYGNALVYTIAETTVGDLFTKPLGHTVELIRKAKTDMSLERMRSMVDMMALLRGRPTLPAQQVYWVSDISQIGDDTVDFGWAEWVGGGMPVPKLSSFHTRCKDGHGEESVTVSILLPGLVMDKFAKEIASWLNKDNGGNYFTPSSL >SECCE5Rv1G0335650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:577035624:577038133:1 gene:SECCE5Rv1G0335650 transcript:SECCE5Rv1G0335650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLALNRLMSMQPDWRCRPTQGRNGLVTSSVAKRKGSPCSLHDDNSGSVKKSRYSGPSLPEDIWHHIYSLLPLRDAARVACVSHTFKSYWRHFPNLSLTRETLGLDDWVDGLLCPCEIKMDLARKTGHILKNHSGIGVKALKLEICGFPPFNNSCNLDRWLHIAVKPGIEELDLKFLSTYSALCQKKSHATICEKISEAFFRRKKSHTDVYNFPCSLLDGSGKSIQQLHLSNCALRPTTGLGRLRSLTSLDFFCVRITEDELRCLFSSSIALEKLVLRSCNELSFLEIPSLLQRLNHLVVMDCGNLEVIKIKAPNLYFFHYGGTLIPLSLGDSLQYLYIGALLGRQAVVHYPWADLLRMVPHLEDLEISSYCARDTLVVPGKFLHLQRLCIGAFNPDYDYLSLVSFLDACPSLETFILSVDVDLVRQESVLRESSHELRQMPGHMHRNIKDVVIIGFCSAKSMVELTCHMLENAKSLEYLTLYISSNPEILCSDVENGRCLPMSKHMRMEARKALLAVERYILGKVPCSVELEVVKPCSRCNTLEI >SECCE5Rv1G0325820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:470029313:470033240:1 gene:SECCE5Rv1G0325820 transcript:SECCE5Rv1G0325820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 17, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G23050) UniProtKB/Swiss-Prot;Acc:F4KBF3] MAAAAAHKPLAAITADDLAAAGAAEAAALHSAVRSALGAASGRGPAEVWGELSRAVLRPGVPFAVHRMLYYGCYVGSPSTTPPAWTPDSEEAALTNVGRVLEARGSEIIGQAYKDPVTSFRDFHKFSNENPEAYWKMVFEEMGITFSVEPSCILRDSDAYPGGEWLPGAVLNAAANCLTAKPGRTSSDVAIVWRDEGKDSEALNFVTVEELRKKVCLVANALDALNLAEGSAIAIDMPMNVNAVVIYLAIVLAGYIVVSIADSFAAPAISTRLKISEAKAIFTQDCILRDDKELPLYSRVVEAKAPMAIVIPARGSTPIKGLRTDDLSWEDFLGRADRTKAGIYTAVEQPAYQFSNILFSSGTTGEPKAIPWTHLTPLKAAADGWCHMDIRKGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFVQDAKVTMLGVVPSIVRTWKNTDCTAGFDWSNIRCFSSTGEASSVDDYLWLMGRACYKPVIEYCGGTEIGGGFITGSLLQPQALSAFSTPAMGCNLFILDSNGNPLPHDSAGIGELALDPTLFGSSTTLLNADHHEVYFSGMPEWNAKVLRRHGDEFERTADGYYRAHGRADDTMNLGGIKVSSIEIERICNRVNDAILETAAIGVPPVGGGPEQLTIAVVFKDQSPQAEDLNQLKLMFNSALKKLNPLFKVSSVVVVSSLPRTASNKVMRRVLRKEFTQAKHSKI >SECCE2Rv1G0078430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:107794623:107795504:-1 gene:SECCE2Rv1G0078430 transcript:SECCE2Rv1G0078430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVLQLRTSDGKVLVAPAWDYRPAAAQALPLEIRVPSRALERVLQYWTKHSLAKATGESRESLARWDADFQRLLEEDGLAKEAAAAAQELRRHGVDHGGRPHRHAATAASDVAAPAKAARADPVRAWCPLVHHLKGVNHGERSHAPAMPGAFHASAARPGPATTLPAAAGADSVDVRCAICARGRQMAEDEESACHHRKRPASKAAAPVKKVSRPVGSKVIRAVVSRPITQLPVTAVAPVVKKMTPAVSTLRARRGKGELSCKVPKQIRVTAAAPMKQPIPWLRPVVLRPC >SECCE7Rv1G0473000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:152356178:152359159:1 gene:SECCE7Rv1G0473000 transcript:SECCE7Rv1G0473000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPGPARLALAVLVLASVLPAYRSDDLNSDAQALEALRKAVGRSALPSWNSSTQTCQWQGVACENGRVVELRLPGAGLMGALPSGVLGNLTALRTLSLRWNALSGPIPDDVSRMTELRAMYFQHNAFSGEVPAALYTLRNLVRLNIGQNKFSGEISPDFNKLNRLGSLILDSNDFSGEIPKLDLPTLEQFNVSYNKLNGSIPHKLRKMPKDSFLGTGLCGGPLGLCPGETAETPAGSPAAMPGAGGSAADVAGGKKKKLSGGAIAGIAIACVFGLLLLLALLFFLCRKKKSSSAPRSTAAVEKGRDLGMGPLDAEPKGQNGNGVHGAGAAAAVPAAAAAAAVAAAKSGGGSTAGSKKLIYFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMESGAAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRSSGRTPLDWEARSAIALATARGVAHIHSTGPTASHGNIKSSNVLLTKSYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPNMSDAAARIDEIRRSASSQHATTTDAAAAPAPEGDEPSL >SECCE5Rv1G0359300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:761109093:761110714:-1 gene:SECCE5Rv1G0359300 transcript:SECCE5Rv1G0359300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEQGKKNGGDGGGRTNKYAVACSVIGSIISILMGYDTGVMSGAMLFIKEDLGTNDTQVQVLAGILNVCALAGSLTAGRVSDWVGRRRTISLAACIFLAGSILMGLSPNFATLLAGRCVAGVGVGYALMIAPVYAAEIASADIRGSLTSLPEICISFGILIGYVANYFLAKLPLVYGWRTMLGLGALPSAVLAIGVLAMPESPRWLVMQGRPDEALVVLRKVCNTAGEADVRLADIKSAAGFVEGDAASAPAPGSGGKGVLKELFLHPTPTVRRVLVAGLGIHFFQHLSGIEAVVLYSPRIFKAAGIATRHKILAATIGVGVTKTVFIMTAILLVDRVGRRPLYLSSLAGIVVSLSCLGLGLTVIESSPHGHGAPWAVVLAITTVFTFVASFSIGVGPITWAYSSEVWPLRLRAQGASIGVAINRIMNAGVSMTFVTLYKAITIGGAFFLFAGLAVVAATFFYFCCPETQGRPLEEIEEVFSQGWRARRRQQPSSLPEADSKA >SECCE4Rv1G0223300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:68361405:68364693:-1 gene:SECCE4Rv1G0223300 transcript:SECCE4Rv1G0223300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHKKRYNCKGLVDNKVKVKEEKHDNIIMKEKMKKNEAEGDKKAEENQNSSKRKMAKNKEEKEKKMRVVDQNDDEEEREDQGKRKENGKKENLHKEKKKESEKEEQGQREIEKEKEEEQKEINEEKGRTANGKEEEYSKDQNDKKNCPHFFRALIPNSFMEHVTIPVGFQKYLEDCKEMVSLRGPSGNKWPVELANISGELCFARGWKEFLSDHHVGYGYLLVFRYDGKSQFSVTVFLPSSCEAPYASLALPQHKDIDVAREEDKGLTSTNADGTTPQEEDTHNGTSADGATQNESSEEEDSLEDEGAEEVEDTFSETSGNEEDCERRMCSDNALEPTQEQQDDRRKTDDGFLIGKRARFRKVDDIMAEVDRSKKFRAAKGKNIEAPFGDPASGGAASSDSLAESKHRPPMMSKAEEIHHASNSTFKSATSSENSTEVASHPSKDSKVEGKISAAPLMVYTMGAASPSKRVPLKTASEKTTSSDNLAVHTGVFALESVCTDLTTWHKSFGKRLSKQNQFPMFNKSNGENQPGRVLIKVMRRPGLKSQRRPVTQREKEWTMERAERFKSHRPFTVKAMKHNDVYASYFMIIPDMFVKTFLPEESRNMTLWDPQATPWKVWYEYTGGESPRAAFSSGWGVLAMHNNLEKWDVCVFELLDQEYNIKMHVYKVVLEITPCVIAPKRRTCE >SECCE2Rv1G0115320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:748775299:748779147:-1 gene:SECCE2Rv1G0115320 transcript:SECCE2Rv1G0115320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGENRRPAVGKPVPGVREMGNRRPLKELNNLAGAHPYPCAIAKKPMLEKSGRGEQKKPALVTHRPMTRKLALLLENKEQLNHLATAAEPVVDPQDEPIPDDTADTDEEIESIDDDTDMDEEEQEDIVDDVSLMDIDSADSGNPLAATEYVEEIYRFYRENEKMSCVRPDYMSSQEDINEKMRAILIDWLIEVHYKFELMDETLFLTVNIIDRYLEKQVVPRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTKGEILEMEKSVLNTLEFNMSVPTPYVFMRRFLKAADSDKQLQLVSFFMLELCLVEYQMLKYCPSLLAAAAVYTAQCAINRCWQWTKICETHSRYTRDQLIECSNMMVQFHQKAAGGKLTGVHRKYSTFKFGCVAKVEPALFLLGG >SECCE3Rv1G0154920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62750008:62752005:1 gene:SECCE3Rv1G0154920 transcript:SECCE3Rv1G0154920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILESLLGSCANKLQNIISDEAILILGVEEELAEVLRRVELIRCCIYDAEKRRTKELAVNNWLGQLRDVIYDVDEILDVARCKGSKLLPDHTSSSSKSASYKGLSVSSCFCNIGSRHDVAVRIRSLNKRIENISKDTTFLKFSSSTQPTGNGPTSKLIRSSNLVEPNLVGKEIIHSSKKLVYLALAHKVNKSYKLAIVGTGGVGKTTLAQKIFNDQKIKGSFKLQAWICVSRDYNEVTVLKEVLRNIGVYHEQGETIAELQRKLADTVEGKSFFLVLDDVWHPNVWTDLLRPPFHTAISGVIMVTTRDDQIAMRIGIQHAHQVDLMSVEVGWELLWKSMNIEEEKEVHNLRYTGMEIVEKCCRLPLAIKVTASALASRDLTENGWRKFLGKYSGSQGMLSDEIEGALYLSYDELPHRLKQRFLYCGLYTEDFIIYRGVVTKLWIAEGFVEEQQGQLLEDTAEGYYYELIHRNLLQPDNAIFNQAQCRMHDHLRQLACKLSRDECFIGEVETLRGENMSKLRRLSVVNKKDKLVLTSMDNMVVKVSTFLAFYGPWKIEDAFFKRFLLLRVLALNYSVVQNIPDHIGKLIHLRLLDLDHTGISHLPESIGSLKNLQVLSLINCDALHSLPSAMTQLRNLRCLRLTGTKVNKVPKGIGKLNLLTEL >SECCE5Rv1G0313550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:261706584:261706835:-1 gene:SECCE5Rv1G0313550 transcript:SECCE5Rv1G0313550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >SECCE3Rv1G0174330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:383026959:383051435:-1 gene:SECCE3Rv1G0174330 transcript:SECCE3Rv1G0174330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCVPLVGRTVEEMNIDAAAAAAAGADLVEIRLDYIEGFRPREDLSRLLHSCPLPVLVTYRPNWEGGRYDGDDATRFETLCLAMELGVDYVDIELKVADKFVDFLSGNKPDKCKLIVSSHNYESTPSCEELANLVARIQEVGADIVKVATTAADIVDVSRMFQVMVHCQVPMIGLVMSERGLMSRVLSPKFGGYLTFGILDGTKTSASGQPTLEELLDIYNIRCIGPDTKVLGLIANPVKQSKSPILHNKCLQSVGYNAVYLPLLADDLARFLDTYSSPDFSGFSCSLPFKVDAVHCCHEHDAVAKSIGAINTIIRKSDGKLVGYNTDYIGAISAIEDGIGGLGSKDAAMSPLSGRLIVVVGAGGAAKAIAYGARKKGARVVVANRTYEKAVTLANAVGGQALRLADLENFRPEEGMILANATSLGMYPNVDGTPVPKKALSFYDVVFDAVYAPKVTRLLREAKEHGVKVVSGVEMFVRQAMGQFEHFTGGIEAPESLMREIAAQYT >SECCE2Rv1G0104280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:604428158:604432566:1 gene:SECCE2Rv1G0104280 transcript:SECCE2Rv1G0104280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPLSSLQEEGGPDESSSSSAFSAAAVPPRPATHHSLHKYAPMDWSAYFDEERPVAIPDTEDVFNVYMAGSEGPVVFCLHGGGYSGLSFALAANQIKEKARVVAMDLRGHGKSSTSDDLDLSIETLTNDVIVVIRALYGDLPPAIILVGHSMGGSVAVHVAARRAVRNLHGLVVVDVVEGTAMASLIHMQKILLNRAQHFPSIEKAIEWSVKGGPLRNIDSARVSIPSTLKYDESKECYTYRTPLEKTEKYWKGWYEGLSDKFLSCPVQKILLLAGTDRLDRSLTIGQMQGKFQMIVVRHTGHAIQEDVPEEFASHILNFISRNKIGPNGVEIPGLIKKWQH >SECCE3Rv1G0196440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:808694875:808699143:-1 gene:SECCE3Rv1G0196440 transcript:SECCE3Rv1G0196440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASTSLFGPCALTARLGAGRPSGGPRRRVDARGRGRLSVVAVQTGPQKPSPSPAADEAEALQNLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKAEPAWMLDFRLAAYRRFLTMAEPTWSDNVYSPVDLQSLCFYSAPKTKPKLNSLDEVDPELLKTFDRLGIPLGEQKRLSNVAVDAVIDSTSIATTHREALMAKGVIFCSISEAIREYPDLIKRYIGSIVPPGDNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADERSTVSYLEGCTAPAYDSNQLHAAVVELVCEEGAEIKYSTVQNWYAGDEQGKGGIYNFVTKRGRCKGRGSKISWTQVETGSAITWKYPSVELVGDDTVGEFYSVALTKDYQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQMNSGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCTSGRVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRAVFEHLPYEFAQEVDALMNLKLEGSVG >SECCE5Rv1G0371380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846800328:846801608:-1 gene:SECCE5Rv1G0371380 transcript:SECCE5Rv1G0371380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMESSYLPATTESIEKAQEAKDASESISILYRVIQDPSSSADALRTKELAITNLTNYLTKENRAEDLRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCMEMVEWTRAEKRTFLRQRVEARLAALLLESQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALDDPRAIFSLKYMLLCKIMVNQADDVAGIISSKASLKYVGPDVDAMKAVADAYSKRSLKYFETALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIEHVAQMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEEQKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >SECCE3Rv1G0210160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:942571293:942574016:1 gene:SECCE3Rv1G0210160 transcript:SECCE3Rv1G0210160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVPLALGKIGNVLADEVAKALVAKVLSKVGNLIDLNDKIEQIEIQLKAMNNIIREIGTMYLTNEFMKGWIGEVRKLAYRVEDVLDKYSYHSLQMAEEGFLKKRFLKGSHYLQVFSQITDEVVKIEKDIKQLIELKGWSQPPQYVHDPLSEMERQRSQDRFPELVKDEDLIGIEDNRRMLTEWLYSDEMDSRVITVSGMGGLGKTTLVTNVYEREKANFQAHAWIVVSQTYTVEALLRKLLRKVGYTEQAAASNIDRMDAYDLKEEIKRTLQDRKCLFVLDDVWNQDVYYQLRDALQNSRESRIIITTRNNHVAAVAHSSRCIDLKPLGDNDAFELFCRRVFYNKKDQQCPDYLLETAKSIVHRCRGLPLAILSIGGLLSSRPQTKYDWEQLYNQLPTELSNIDHVRAVLNLSYHDLSGDLRNCFLYCSLFSEDYPMPRETLVRLWVAEGFVLRKGNSTPEEVAEENLRKLIHRNMLEVMDRDELGRVSTCSMHDIVRELALCIAREERFVSTNDYGTMQQTDMDARRLSSCGWKENTARKIELPRLRTLVSLGATPAMLSSVLSKSSYLTVLELQDSEITEVPALIGTLFNLRYIGLRRTKVRSLPGSVEKLYNLQTLDIKQTKIEKLPNGIVKLKNLRHLFADRFADEKQTEFRYFIGVQAPKELSSIEELQTLETIQASKDLAEQLKAMMQLRTLWIDNISSADCANIFAALSNMQHLSSLLLSGKDENEALSFEALKPRSAHLHKLIIRGKWAKGTLDCPIFRSHGENLKYLALSWCHLGEDEDPLGMLAPHLRNLTYLKLNNMRSANVLVLSADSFPQLKTLVLKDMPHVSELKIMDGALPCIDGLYVVSLSKLGKVPRGIESLASLKKLWLLRLHRDFKTQWDINGMHLKMTRVPEVRV >SECCE2Rv1G0099920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:529698580:529700386:1 gene:SECCE2Rv1G0099920 transcript:SECCE2Rv1G0099920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTGRRPATMRAVQYSSYGGGSAALKYVEIPVPSLKKGEVLIKVEAASINPADCRIQKGLLRPFVPKFPFIPVTDVAGEIVEIGSAVKEFKVGDKVVSKLIFWKAGGLAEYVAASESITAPLPAGVSCADAAGLPVAGLTALQAVKVIGTKFDGTGVGSNILITAASGGIGSYAVQLAKLGNHNVTATCGARNLQLVADIGADEALDYKSPEGAALKNSSGKKYDYIVNTTNGGKWSAFKPSLSSHGRVVDVAPNFGNFVASILTLFSKKKLSTVILSLGMEDLRFLLELVKERKLKTVIDSRHPFEKAADAWEKSLSGHATGKVIVEM >SECCE2Rv1G0065190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:6930351:6930914:1 gene:SECCE2Rv1G0065190 transcript:SECCE2Rv1G0065190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILLFLILAPLCVSVMARLKCPSVPHNGAVATCYHGCRTKLIYDICIRTMRQGYIDMSPSHKEQTTVYAILVLSVAVESMHITSNMLTYQMQKNASISVQEWAFYKACLTDYYAALNSLYHNHDVMLPNCFFKGINDFYLSALAYLNSCRDRFIGPVMFTSPVYPMVLADRNKAVLAYSIGKLLL >SECCE2Rv1G0089170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:253996170:253999803:-1 gene:SECCE2Rv1G0089170 transcript:SECCE2Rv1G0089170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHSCFSPYLAGVAATFLLPVLYAPLAAADDEPPPWPICGPYPPSGNYTPNSTYQANIDLLSATLPRNASLSPALYATGDVGDVPYIVYGQALCRGDAANASACEACVAAAFRGAQLACPLYKDVIIFYDLCQLRFSNRNFLLDDDYIVTTYTLLRSRVVATPAFDAAVGLLLNATADHAVEDSSRRFGTGEEGFDDRRNPKIYALAQCAPEKTADVCRSCLGIIIGQLPKSFRGRTGGGMFGVWCNFRYEVYPFFPGRPLVQLPQFVERPPASAPPVTGGGEKKRNSAGKVLAILMPTIAVILAISVVYFFCWRKRRPEKDVYRPSTSDDIQHIDSLLLNLATLRIATDDFDNSKMLGKGGFGIVYKGVLPDGEEIAVKRLGQTSRQGIGELKSELVLVAKLHHKNLVRLVGVCLEEQEKILVYEYMPNRSLDMILFDSEKNKELDWGKRFKIINGIARGLQYLHEDSQLKIVHRDLKASNVLLDVDYNPKISDFGLAKIFGGDQSEDVTRRIAGTYGYMAPEYAMRGQYSIKSDVFSFGVLILEIITGRRNSGSYNTEQDVDLLNLVWEHWTRGNVIELMDPSLRNHPPVDQVLKCIHVGLLCVQRKPASRPTMSSVNIMFSSHTVRLPSLSRPAFCIQEVSVSETSTAYSEAYPLTENSTVMSSNQVSITELSPR >SECCE7Rv1G0459450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:25943318:25944010:-1 gene:SECCE7Rv1G0459450 transcript:SECCE7Rv1G0459450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFDCGAIDCGDCCDECFDCCDEPCFCCVCFSDAISGKALLRILCGLVIFAVLATVVTLLVIALVPRRVGVSVEDAALARLALADKNATAYDISIAVAVRNGNWFMPTEHTAPLYAELLFDGARFARVGLATAGSVVRPRRREVYHATAAADNAGVALGRAGVADFINDSAAGMFHLEVKLVGEVMYRPHHKKHRLDAICRLELGLSTATSPAMFRKVKCDLQKDHGAR >SECCE3Rv1G0188810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:719061480:719065005:-1 gene:SECCE3Rv1G0188810 transcript:SECCE3Rv1G0188810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASASPSPAALRAKTPVPGAHPSPKSSLAFPWPSPGASASAAASGRLHASLHLGGVRGVGTANGTGLHVLHPDVKPLAVPKMAGGAGAQKSILLYHCEEMRDLAQQVAARNDDIELCTISWRKFPDGFPDLFIPNAQNIRGRHVAFLASFSSPGVIFEQISIIYNLPKLFIASFTLILPFFPTGTSERMEDEGDVATAFTLARSLSHIPISRGGPTSLVIFDIHALQERFYFGDAILPCFESGIPLLKSRLQELPDSDNIAIAFPDDGAWKRFYKQLQHFPMIICNKVREGDQRIVRIKEGDARGRHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGIFPNKSWEKFKPDNGEGPEHALSHFWITDSCPLTVEAVKDRRPFEILSLADSIASALQI >SECCE7Rv1G0465310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:68673519:68675567:-1 gene:SECCE7Rv1G0465310 transcript:SECCE7Rv1G0465310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSLAPIRCKAAVSRAKGAPLVIEDIVVDPPKAYEIRMKVICTSLCHTDITFWRGKEDFPIPPVFPRILGHEAYGVVESVGERVEGFAAGDTVVPTFLGQCDSCSSCASAGSNMCSAVPFVVGPGMRRDGTTRFRDSQGEPLHDFLAVSSFSQYTVVDVNQVVKVDPAVPPKLACLLGCGAGTGVGAAWRLAKVQPGSSVVIFGLGAVGLSVAQGAKMCGAAKIIGVDLNPDKEELGKKFGVTDFVNPSKLGESPLSQVIIEMTGGGADYCFECIGAASVMTDAFRSAKQGSGKTVILGLEKDGRPISLPSIEFLFGKCVMGSLFGGIKPKTDIPILAHKCMNKELELEKLITHEVGLQEINTAFDLLLQGKSLRCIIWMDKLEV >SECCE5Rv1G0362280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:785373884:785375026:-1 gene:SECCE5Rv1G0362280 transcript:SECCE5Rv1G0362280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTDDLVVEILSRLPLKSLCRFKCVCKSWHALSSDPHYSKKFPRTPVGLLHQIPLYHTGIDLVRLPSSDKEIDTTLSFVPCYEDLELMDCSNGLLLCYHGGIRMYFADISHVIVCNPATEEWMSLPNTEPGPSSSFCDLVLCFDPSWSQHFHVFSFQCQSSMVGEVFTEVKIFSSENFTWSSCRWEIETGFDGSSRFMNGVLYVSHLLEHVLLAIDAPDPCTQLLSQRIIQLPGFPNDPGMFCCCSGCLCQSSGVLCYVQQEFDGCMMRIWRLEGTNSWVVRHRLSMNNVFGRDLLLRTDHNGFWYFDYDIHDFDLERELVILVDRIDHKLISFSISTGKGLEILKMSGFSYGYQSRLYLPYYGKFPASVLQIGQDEC >SECCE5Rv1G0340910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:619392874:619394197:-1 gene:SECCE5Rv1G0340910 transcript:SECCE5Rv1G0340910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Isoform 2 of Heat stress transcription factor B-2c [Source: Projected from Oryza sativa (Os09g0526600)] MAAEHGAGAAAAADGAGAEPPPPPAPMPGLVASSDAAGQRSLPTPFLTKTYQLVEDPSVDDVISWGDDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVVQSAGLAAAAAAAAAGAVTVATAAIPMALPVTRSGSPEPQLSSEEQVLSSNSGSAEELPLAAPSGSGSGLGGAAAGSSSGDMGEENDRLRRDNARLTRELGQMKKLCNNIVSLMSKFASSQQQDGGPGSLSSVVNCSGESALAPPPLPAGILDLMPSCSALATAAGLAVSGEPETDARLFGVSIGLKRARDEEEDGDGEELPNGDGEDVKPEEAAERRPDGGSEDRQSWPIYRPKPVYRACNGQDGAGAGSGSDQDRSNSR >SECCE4Rv1G0287540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:855555644:855557011:-1 gene:SECCE4Rv1G0287540 transcript:SECCE4Rv1G0287540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKFSSYHLAAALRRERDPSAALRLFLSPPTTPVSAPFRYSLRCYDLIISKLAAARQFPAMESLLSSLRASPLQPREPLLRCVISAYGRARRPAAARRAFAHPAFPGPRTTRALNALLHALAVCSTPLAELLSACRDAAVPPDACTYNILMRAAGGSIDHARLLFDEMLQRRIAPTVVTFGTLVAAFCDSGRLEEAFDVKDAMAKQYNVRPNAHVYASLMKGLCQRGDVDKAVRLKEEMVANADVGLDPAIYATLVRALFRGGRKGEVVGLLEEMKGRGIQADTVVHNAMIAGFCEDEGDLDAAFAVLDDMQNSGCKADTVSYNTLVAGLCKLGRWRDANELVEDMPRRGCSPDVVTYRMLFDGMCVAGAFHEADQVLDEMTFKGFAPSKDGVTKFIQGIKREGNAVLLESVLCRLAKVNALESTAWEKAVTSVLNDPAQLMIEKHLDNLRFN >SECCE2Rv1G0101000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:551961784:551963412:1 gene:SECCE2Rv1G0101000 transcript:SECCE2Rv1G0101000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSMLKVGYTVLRSETPATDLVNTFMDWAARRSLLLLALFMPPYYVYKLTASAAATVAPEDVAGKVVLVTGASSGIGEQIAYQYAKKGARLALVARREGSLHEVAAKATDLGSPDVLVVPGDVARPEDCKAFIQATVERFGRLDHLVNNAGLANVCWFEEVPDVGSFKQILDVNFWGTVHPTHAALPHLKKSRGKIFVNSSAAAVLAMPRMSFYNASKAAVLSFAETLRMELGDEVGVTVATPGWIESEMTKGKHLSKGGRVEVDQDTRDAQVGLFPVVRAERCAEDIVDAVCRGRRSVTVPAWYRALFLWRSLAPEVGDVLQRVFYRRSSGDGGSQMRARRVLEVTGAKRVLQPPSLHTADIKRE >SECCE4Rv1G0260010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:691964197:691965242:-1 gene:SECCE4Rv1G0260010 transcript:SECCE4Rv1G0260010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMFCSALNPSLISGLLNSIEHLNGTNFPTWKEQISINLGVMDLDYALREKAPVPLSSDDENLAEKTKVYEANKEKWERSNRLSLMIMKSTITLGIRGAIPDSECAKTYLASVEEQFKGSTKVYASTLIMKMLTTKYDGASGVREHIMTMNDMAAKLKGMNMEISEGFLVHFIMTSLPVEFGPFKINYNTQKEKWTMGELTAMCVQEEERLKIERKDYAHLTSMNSGKRKFNGENKTQKKLGPSHKAESSKSGANRSKPSVANEPSAPKKPYCKFCKHDGHKQKDCDGFKAWLVKKGIPYREDASKGGANS >SECCEUnv1G0539400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75733144:75735510:1 gene:SECCEUnv1G0539400 transcript:SECCEUnv1G0539400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRPLAALCVLLAFIAAAAATEVVELETVTVAVEARSSYIVHVAAAHAPRPPRRGLLATRAYGSFLRDHIPAELSSPAPAVLYSYSHAATGFAARLTGRQAAHLASSASVLAVVPDTMLELHTTLTPSFLGLSPSSGLLPASNGASDIVIGVIDTGVYPDGRASFAADPSLPPLPPGKFRGGCVSGPSFNGSALCNNKLVGAKFFHKGQEAARGRALGADSESPLDTHGHGTHTASTAAGSPVAHASFYGYARGKAVGVAPGARIAVYKACWEEGCASSDTLAAFDAAIADGVDVISASIGNVGDAPDFHADLIAVGAFRAVSKGIVVSASAGNSGPGEHTANNIAPWFLTVGASTLNRQFRADVVLGNGDTFTGTSLYAGEPLGVTKMPLVYGGDVGSNICEEGKLNASMVAGKIVLCDPGVHGRVVKEQAVKLAGGVGAIFGSTEAFGEQVMTTPNVHPATAVPFAAAEKIKKYIGVQTSPTATIVFRGTVIGRRTPPSPRMASFSSRGPNFRAPEILKPDVTAPGVDILAAWTGAASPSGVTSDTRRARYNIISGTSMSCPHVSGVAALLRQARPEWSPAAIKSALMTTAYNVDSAGGVIGDMSTGEASTPFARGAGHIDPNRAVNPGLVYDAGTEDYIDFLCALGYTAEQVAVFGSSANCSTRAGSSVGEHNYPAFSVVLTGNKTAAVRQYRVVRNVGADARATYRAKVTAPDGVHITVTPRTLRFSARRRTQKYVITFAQRTFGSVTKNHTCGSIEWSDRKQHSVRSPITITWPAGQIAEM >SECCE1Rv1G0035900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:502876208:502882912:-1 gene:SECCE1Rv1G0035900 transcript:SECCE1Rv1G0035900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPQQHHHHKPKPSLSGSSSWIRRSPPPSPPHRKPWGRGRYACRAVPLLVLTVYSLFTVLRIPSSSLVVNTADSERVDRREDVEAFKTHLPSNQNSLEAREETRSPASLPCSAFISGEAGGGQAEEGVLCCDRSHYRSDVCYLRGDARTDPSTSSVLLYNAPRGATPEKVRPYTRKFEESIMRSIDEVTIVPVAAAGVGNGTADKEDARDSLRRRCDVRHPPGVPAVVFSTGGYTGNVYHEFSDGLIPLFVTAERFGGEVVLVVLEYHYWWLGRYGAILERLTNYKIIDFRYDRRVHCFSEMIVGLRIHGELVVDPKLMPNGKSIKDFQALLHQGYSGKSSATSVAPLPLPLATPARPCVRPEDHSKAAKPKMLIFIRKQNRVILNLPHVVTACRRAGFAPHVMNLRRQTPLPVIHAALASADAMVAVHGAAVTHFLFMRPGTVLLQIVPVGLDWAAESFYGKPAQQLGLEYLEYRVAPEESSLAAEYGLNSTVVQDPSVISSQGWWEMKKVYMDRQNVTVSVKRFGELLRAAKLHLRKNATACGKPAGASHAAVR >SECCEUnv1G0535740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:59789524:59790191:-1 gene:SECCEUnv1G0535740 transcript:SECCEUnv1G0535740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEEGRTLADYNIQKESTLHLVLRLRGGTRGGYLNVEPNLLQLALKYNEKKMVCRKCYARLPLRSTNCRKKKCGHSNELRPKKRFVNKLSN >SECCE6Rv1G0448780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857770757:857772576:1 gene:SECCE6Rv1G0448780 transcript:SECCE6Rv1G0448780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPSQHIQMEELSSHCYSVYLGWALVLASLVLAITRIKTANNGLRLPPGPWQLPVIGSLHHLLRQLPHRAMRDLARRHGPVMLLRLGSVPTLVLSSPDAAREVLKTQDLAFATRRLTATMGVLTCGGRDMIFAPYGDYWRQLRKIAVTEVLTAERVRSFRAIREEEVATMLRAIQSAGPGRVVELRALLSALVTDGTFRAVMGNRCDSKQRDQFLHELDRIVHLATGLNTADLWPSSWLAGRLSNALRRAEEIHATVFGIIKGIIHEHLERREEGHGGEEDVLDVLLKVHKDGVIDMVDIEGVIFDIFSAGSETSVTTLEWVMAELVKNPATMVKAAKEVRRAFEGDGTVNEGRLGELPYMRLIIKETLRLHPPLPLMLPRECREPCKVLGFDVLKGTQVIVNTWALGRDERCWGPDAAEFRPERFESSSGAGVEVDFRGTDFELLPFGAGRRMCPGMAFGLASVELPLASMLLHFDWEVPDISNPAEFDMTEEFGVTARRKANLLLRRSLRVPLPSSPLL >SECCE7Rv1G0502660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:699455148:699457604:-1 gene:SECCE7Rv1G0502660 transcript:SECCE7Rv1G0502660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHMFLGLLLLSMHTIPPSIATKEDTLAPGQPFAVGDKLVSSNGKFALGFFQPGAGNTSKSSTSPHWYLAIWFNKIHVITPVWVANRETPIAGPDLRATQLQISEDGNLVVLNNATQSVVWSTNITNARTNTNISNAGTNTSNTRAILLGSGNLVIESMSSEVLWESFDDPTDVLLPGAKIGWNKVTGLNRVGISWKSRIDPGRGSFSVGLVTNGTRMVTARRRGYPSEVYWWWSPDDHSSMQIPAIRALLQMSPQTSMVVPEYVNNRQEEYYMYTSPDEISSFLFMDVFGQTRLNVWSQDNQAWHSIYVKPADPCTPYAACGPFTVCTGTSHPPCECMESFSRTSPQDWELGDLTGGCSRNIPLDCNGSRSSTDVFHPIARVTLPYGPRSLQHAPATRSECEWACLSNCSCTAYSYQDSKCSVWQGGLFSVNKDDGIEISSEYTLYVRLAAGDLPSSTRDRKRKPAAGVVIAASVISFGLLMLMLLLVILRNRFNWCGVSSQATDQGSVGVVAFRYADLGRATKNFSVKLGAGGFGTVFKGVLSDLTSVAVKRLEGARQGEKQFRAEVSALGLIQHINLVKLVGFCCHGDKRLLVYEHMCNGSLDSHLFESNGTVLNWSTRYQIAIGVARGLSYLHQSCRECIIHCDIKPENVLLNESFVPKIADFGLASFIGRDFSRVLTTFRGTTGYLAPEWLSGVAITSKVDVYSFGMVLMEIISGRRNAFVVHTSSNEHVAYFPVRAINKLREGDVQSLVDPELYGDFNLDEVERVCNVACWCIQDNELERPTMGEVVRFLEGLMELDMPPIPRLLAAITT >SECCEUnv1G0571490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:507803242:507803487:1 gene:SECCEUnv1G0571490 transcript:SECCEUnv1G0571490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTPADVPHSWAVPSSGVKCDAVPGRSNLTSISVQREGVYYGQCSEIRGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN >SECCE3Rv1G0149090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:22304233:22306833:1 gene:SECCE3Rv1G0149090 transcript:SECCE3Rv1G0149090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAEEKVALGMKHMADGGSNTEEEAAIAMKLMDDGGSSEEEEEPALPVNLLEHHGGSCSVEADGGLGGWTSAGSSTLSVHHDHAPPPLSATAEDVPCAHKRKPSGRYVLAAHRADEKDGLRREISRAPKPKVTYRVAGWVCLEGCPGADGGHPVHVEVRTHDGARVGGGVLLAEPGKWAEIKGAFRVDEHPRRAEVYVHGPPAGVDIKVMDMRVCAVDKIARLRHLRKKTDKVRKRDVVLKFSRPSEDGGDADAAAAAVNGASIRVVQVENSFPIGACISKSSIQNPAFVDFFAKHFDWAVLENELKWYYTEPVQGQVSYADADELIALCDRLKKPVRGHCIFWAVENSVQPWVRALNADQLRAAVESRIRGLVARYSGRFPHYEVNNEMLHGAFFRQRLGDDIDAHMFRETAAIDPAPALFVNDYNVESANDPNATPDKYVALITDLQRRGAAVGGIGVQGHVTHPVGDVICDALDKLAATELPVWITELDVSAADEAVRADDLEVVLREAFAHPAVEGIMLWGFMQGHMWRSHGQLLNADGTLSQAGNRFTGLRQEWTSHARGKVDANGHFKFRGFHGKYVVELATGAGGKQVRRAFDVHKGDAPLVVDMNL >SECCE3Rv1G0151870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:42246924:42248501:-1 gene:SECCE3Rv1G0151870 transcript:SECCE3Rv1G0151870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLPQQWQLVLLVGILLPIISYLLVKKRNTEERRLKLPPGPKRVPVLGNLHQVGPLPHRSLRDLAKRHGPVMLLRLGTATTVVVSSAAAARDVMRAHDANCCSRPASPGPARLSYGRESVSFSPYGAYWRNMRGLFAAELLGARGVGAAWAARREQVDRLMAAMGDAAGPVSLDEHVFHVADGVIGTVAYGSVYGAKAFAGKYEWFQQVLQEVVDMSASFSAEDFFPNTAGRLLDWLVGIIARRERIFRDLNSFFEAVLEQHLDPAHPKPESGGGDLVDALVRICEEHGFTRDHVKAVVLDAFVGGVDTSSVTILWAMSELIRKPRVLKKVQEEIRAVVAGNGKSDQRVQPDDLQRLTYLKMVIKETLRLHPPLTLLLPRETLRRVEIGGYDVPAGTRVLVNAWAIGREPASWGQDAEEFQPERFKDGGRHEKVDFRGAHLELMPFGAGRRICPGLAMGVANVEFTLANMLYGFEWELPEGAVAEKLSMEEVGRLTIHRKTPLVLLPTPYIPPESWLGGRTTA >SECCE7Rv1G0513000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:817867480:817868154:1 gene:SECCE7Rv1G0513000 transcript:SECCE7Rv1G0513000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEYMPRGSLDGLLFRDGACLSWRDRYYIMVGVARGPAYLHHGCRECILHCDVKPENILLDEDMSPRIADFGMAKLVGRDINRALTTMRGTIGHLAPEWISGQPISTKVDVYSFGMVLFELISGRRNYNLYSEVDAAGTGGSKSLTFFPVWAAGKVLEGEAGAVADPRLRGKVMPEELERACRVACWCIQDEEAQRPTMVQVVQALKGAVHIQAPPVPRTLT >SECCE4Rv1G0228560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:137240640:137242448:-1 gene:SECCE4Rv1G0228560 transcript:SECCE4Rv1G0228560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVYQALVATVAAFVGISLPCLLLAFLCRHRKNRLLEPDHRCSSSSSLPISAPAGISSELSSSWSLYGSAVDSSLKKLSLDDLARATGQFSPDNIIGDGSFGFVYRAVLPDGGPAVAVKRLSADHAAGAGNREFRAELEVLGSLSHRNLARLLGYCAAGRDRLLVYELLERGSLDAWLHGDAASAGGSLPWPARLRVTRGAAAALAFLHHDRHPPVLHRDIKSSNVLLDEGFEAKLADFGHARVVTGGPAASQLSTQAAGTAGYMAPEIREGVGASVKADVYSFGVLMMETVTGRRPSWPMKNIRGKEVELLNWAREKVEAGISSEIADHRMGLEGEKETKEVKAFLDIAQSCTEESPKYRPTMKEVVERLNRL >SECCE4Rv1G0219350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:30030279:30050294:-1 gene:SECCE4Rv1G0219350 transcript:SECCE4Rv1G0219350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLTWLSSRCAAPASPSSPPQRASRAHLHSLVALARLLPAGAPAGGPPPPPPPLLAFLASRAFLSPAFWPQSFAPAPFLAKLLPLLAAAPASPALSSALSAALLAALDAADPASAPLARAFLSAAAAKPPQLLPADTAPVAQRLFLEFPGSDEAPPRAKGKGEDAAGDENGGIKEAVQRFEGEQIEALERKEVAFRLIVQMFGGEGGLEADKVAKVRNAAAWQVRSLTDFLKIRKRDWKEQGAQLRVRINTKLSCCQAAVVVLVRSVSALDTDSKASKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVVSTCSQADMTGCSPGALFEAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKGKDAVPVMRLNVVRLLAELCVCLKRWEVVDMILPLFIEHLEEGDASSPSLLRLKLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGAAENNTLPSEATAERTETLPAGFLLVASNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDPVSSVEPSLLKLFRNLWFYIVLFGLAPPIQNNQASTKPVSTPLNTGESCVALQAVAGPYMWNSQWSVAVQRIAQGSPPLVVSSVKWLEDELELNALHNPGSRRGNGDEKAAVGQRTALSAALGGRVEVAAMSTISGVKATYLLAVAFLEILRFSGSGGILSATLNKSNSSFGCVFEYLLTPNLTPAVTQCLTAVVHRAFETMLSWLEERTSDIGEEANVRESVLTVHAGFLIKSMSQRDEHVRDLSVKLLTQLKEKFPQVLWNSSCLDLLLISVHNELTSGPVSDPAWVATIRSLYQKIAREWITSALSYAPCTTQGLIQENFCKPSGAQRTQHTADVVSLLSEIRICTGKNDWNGIRTANIPAVMDSAAAASGARKEAPDITLEVLSTAVVSATVKCNHAGEIAGMRRLFSTMGGLNMGVSPPGTQSGQAPQSFDEVFLSKFVRLLQDFVVTAEKQPIDNSQFRETCSQATALLLDHLVSDSRTNLEGFSQLIRLLCWCPAYISTPEAMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTMDTKRGLFASDMKYCGPDAKLRPHLIPGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSMEQLLLLGRMLQGTMKSPTHFSHHPAATGTFFTAMLLGLKFCSCQDQFNLQKCNMGLQLLEDRVFRAALGWFAYAPEWYESPNKSFAQREAQSVSIFVHNLQNTSSTDSGSKPQGREGEPNTADQIHPVWGSVDNYATAKEKRKQLLLMLSQNEADRLEVWAQPINTKDTSTFRGKISSDKWIDHSRTAFAVDPRIALSMPMRFPTNATLQSEITQLVQTHILELRTIPEALPFFITPKAVDENSALLQQLPHWAPCSVTQALEFFTAPYKGHPRVMAYVLRVMETYPPETVTFFMPQLVQSLRYDDGKLVEGYLLGAARRSNIFAHILIWHLQGECEEADNEKEAAVPKTNAFQSLLPAVREKIVDGFTPEARDMFEREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKITIPGDDLYLPTATNKLVRGIQLDSGIPLQSAAKVPIMITFNVIDRDGNPNDVMPQACIFKVGDDCRQDVLALQVIALLRDIFEAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFEAARQMFIVSSAGYAVASLLLQPKDRHNGNLLFDSQGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAGRRHMNGIVTTVQLMVDSGLPCFSRGEPIANLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >SECCE4Rv1G0253410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:626386426:626387406:1 gene:SECCE4Rv1G0253410 transcript:SECCE4Rv1G0253410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSIFADLRLDPRSDVHLPQTLRAGEHVLRIGCHPRGTGHRNDGDLSVALRLQSKCRSVKAEFEAFLLTGGDDGAPPLKAKRSTLTREKTPDDGVDVHGWFHFMRSDVDSLFAAHGAATLVCGAVILRGDDPVPVPASDLGDHLGRLLDRADGSDVSFSVAGETFRAHRAVLAARSPVFRAELFGSMAEAAMPCITLRDIEPSTFGVLLRFMYTDELPTDEELPSSSQTTELFQRVLAAADRYDLGRLKLLCAQKLWQSVSVETVATTLGYAEMHSCPELKKRCLDFFMADKNFKKVVVTDGYFWLIQRFPSIIYDIRARVDET >SECCE6Rv1G0418720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:664307665:664309149:1 gene:SECCE6Rv1G0418720 transcript:SECCE6Rv1G0418720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYAGGTSFNSPHAGAHGRDCFCISGPKHIAQSVDWSNEEHRRCIAACLVKATYIMEEDRPKCRVYDMGLAPPWWQSFHFQLRDLLKCGTENADEFIFDAVFEYCPPAGCQRHPSAPNYVFALRGTMPRHPKSAHDLYNDIKVFLSDLPCCRRTQKARQAVANMLIRKGTERCVLWLAGHSLGASLALEVGRDMAEQHQAYLQTFLFNPPNVSPMPALNLLNPSEVAKKDVFTGSYLVKAALGATVMRSYCTRMEKLFQRLSPWVPELYVNERDIICQGFIDYFEQRHEVEERMAGVGRAAMKISYRDMLSSLIGSSKEQPHLLPSARLWKNSNTTFGTHALMQWWRPDSDLKLTCRKFTYPGPGA >SECCE4Rv1G0220990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:43512373:43517444:-1 gene:SECCE4Rv1G0220990 transcript:SECCE4Rv1G0220990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAGLYGRLNRASTRGFLAYVAAGAACAAALACFVVSSSDPAAAPNGATPRLSSRSARVWPDLEFNWRLVVATVVGFLGSAFGTVGGVGGGGIFVPLLNLVLGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVLDYKLALLFQPMLMLGITIGVELSVVFPYWLITVLIIILFVGTSSRSFYKGILMWREETRILMETQEREAQSKSSSATSDVVLDASYAEPLLPQSKPTEKSGLETLMFNLRWKHILVLLAVWSSFLVLQILKNNSKTCSTFYWVINILQVPAAVSVFVWKAVQLCRESRARRMNGNLECVCEANIEWSPTQLIFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLGRFPIPYALYLIFISILAGFWGQCLVRKIVHVLKRASLIVFILSSVIFASALTMGVVGTEKSISMIHNHEYMGFLSFCE >SECCE3Rv1G0179640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:545861523:545864162:-1 gene:SECCE3Rv1G0179640 transcript:SECCE3Rv1G0179640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAQLRTKAAQAAEFASKHGGAYYKEAMEKNKQYVVQPPSVEKCQELSKQLFYTRLASLPGRYEALWKEVDGVKQLWKNRKELRVEDLGIATLFGVELYAWFCIGEIAGRGFTLTGYKV >SECCE2Rv1G0077200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:97767970:97773939:-1 gene:SECCE2Rv1G0077200 transcript:SECCE2Rv1G0077200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEAGMVVVLPCLLLLLALSSPLAGAAAYTEYSCNGTTGNYTASDAFGANLARLTSELPINASTSPSLYASAAIGVGPDRAFGLALCRGDLTDAPTCSGCLENAFQQLRRLCAGDKDATFYHDHCTLRYSAEDFLARPEDNNPVVNAMDVNGSTYAEWDSGNATSRSLFLSLVGTLFGEMAMYGAYNSSVRRFASAMMYIKPQLPTVYGLAQCTPDLSPGQCWHCFQGLEKQNEQWYDGREGGRILGVRCNFRYEGYQFFFGTADVRIGFRGDSSGSNGSKHKRMLVVVLCVSITVFCFMLVGCLILIRRLRKTGKIKIEHTHSRGSSETEQALKLWKIEESSSEFTLYDFHEMDAATDNFSEDNRLGTGGFGPVYKGMLPDGTMVAVKRLAAQSGQGLVEFKNEIQLIAKLQHTNLVKLVGCCVQEREKMLVYEYMPNRSLDFFIFDQERGLLLDWKKRQRIIEGVAQGLLYLHKHSRVRIIHRDMKASNILLDKDLHPKISDFGMARIFNSNMMEANTNRVVGTYGYMAPEYASEGIFSVKSDVFSFGVLLLEIVSGKRNSGHTQHYGDFVNLLGYAWELWREGRGFELVDPTLGDCNALTNIMRCIKVALLCVQDNAMDRPTMADTAAMLGNDAVPLPDPSWPPHFHLRVTRDDEGGVDGLGVRTRPMYSIDSCSTNELTISTIQEGR >SECCE2Rv1G0087950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:235922521:235925020:-1 gene:SECCE2Rv1G0087950 transcript:SECCE2Rv1G0087950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTHTGINTTPAAVTASASASASMDAALLLEPKHEMLLQQPSPAGHYAALDHHLIPPPSALVVPCEPPRPLEALLQGPQLPPFLSKTYDLVSEPQLDGVISWGPAGNSFVVWNPSTFARDVLPHNFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEGFLRGSKHLLKTIVRRRSSPTQQSSLQPGSSIFRKIQSNSSGESTLDPELCSLRREKNALLQEVARLKEEHNQTIEHMNALNQRLETAEDRQKQVVSFLAKLLQNPDFLRQLKMHRERRDGIDSARVKRKFLKHVPHGSRDSGDSSSPRTAESTCSPAHPVGHDAIADLQSFLLEDTDLSDGMLPGNFGLDGVEASEDIGTLVQVFDQQDLGTGAELLAIPPVSGAAHCQDLTIGRSKGKNVMCSGGTDGTSSQANCLVSLPGKLMDADGEQIWGADAFFQSSCSGTSQQGYASDPYLMEIADKPDRFWELDFEALDDGDLHLDKCVIGDPALEQHGGNMKP >SECCE4Rv1G0232570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:204394088:204394597:1 gene:SECCE4Rv1G0232570 transcript:SECCE4Rv1G0232570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVADELKAKAEVYYEDEICQQCTRLLLTEAGLPNGLLPLKDIVECGYVEETGYVWLKQKKRIDHVFQSLGRVVSYGTEITAFAEKGRIKKVKGIKTRELMVWLPVEEISLDEDEPTTGKLICKSIAGFSKIFPASAFHIPEKENEKANCARPKPMVLMDRTARVKNN >SECCE3Rv1G0172510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:297060132:297070513:-1 gene:SECCE3Rv1G0172510 transcript:SECCE3Rv1G0172510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPATAKPKTTKKHNARLNNPFPRAVPAAAFRNGDAAPSLSFGPFSKLAHADDYPVGSRFRLNWNPSLGGAVSLARVFFSSSSGGGPRSRVMWETIPGVAFVSAASATTEADECRGSFALRDGRARLLPDRQNAGADALRGAAFEASDQTRFPVLLITGVVSAKRADPASSCCCGLRAGRRARARAGKPVLSGRYWVFLGEKSDTQVSFSVKIADYQWSCGHADPSSPPPAATTAPRPHRINILSLRLRLAGRVHRNMSKKKKLSAQEEVSALLPMTEREFNRVFLTYASERDERFYGFGEQFSCMEFKGRRVPVLVQEQGIGRGDQPITFAANLLSYRSGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKPDRVQIQVYGNSVQGRILEGESPTELITSYTGSTGRPPVLPRWITSGAVVGMQGGTEAVRRVWSLLRDHDVPVSAFWLQDWVGQRKTAIGSQLWWNWEVDDDHYAGWKDLIRDLRCDGVRTMTYCNPCLVPMSEKGNARRHLYKEAKELGILVRDEAGEPYMMPNTAFDVAMLDLTNPAASSWFKGILRGMADEGVSGWMADFGEGLPLDARLHSGEDPVSAHNRYPELWARVNREFADEWKSEAGEDEEEGLVFFVRAGFRESSRWAMLFWEGDQMVSWQANDGIKSSVVGLLSGGLSGIPLNHSDAGGYCTVDLPLLRYRRSEELLMRWMEVNAFTVVFRTHEGNKPGSNCQVYSNSRTLAHFALCAKMYKAWEFYRIQLVKEAAEKGLPMARHLFLHYPEDWRVQELTYQQFLVGTEMLVVPVLDKGRTAVTAYFPTSDGASWRHLWTGEEFGGGRRSGHGSVGEATVHGFEAEVSAHVGCPAVFVRVGSPVGEGFATNLRDLGVI >SECCE7Rv1G0503450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:712327851:712331371:1 gene:SECCE7Rv1G0503450 transcript:SECCE7Rv1G0503450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEMDAEMVVGYFRGKTILITGSTGFLGKVLVEKVLRLQPHVKKLLLLVRAPDVESAKLRIQTEVIGREIFLVLKEKHGMGFDDFIEEKICPLAGDIIHENFGLDTANLRELPKDIDIIVNIAATTNFSERYDVAFDANVLGAKHVCAFARKCTKLKMLLHVSTAYVAGEQEGLILEKPFLMGETLREGTHLDIESELNLIRDTRRELKANCSSEKAERRTMKELGLKRARQFGWPNTYVFTKAMGEMLLGHLRGELPVVIVRPSIITSILKEPLPGWMEGIRTIDAVVIGYAKQTLPFFLVDLSLIMDVIPGDMVVNAMMVAMAAHSEERAQSIYHVTSSLRNPAPYAILAETGHRYFYDNPPRTGRKGEPARLNKMRFFSTVARLSLYMAVRYRLPLEMLRLVNIALCGAFSRRYDDLSRKYRFIVQLIELYAPYSLFKGCFDDMNTERLRMSMKKEQDGNGAEEYYFDFDPKSIDWDSYFYGVHIPGVLKYMRD >SECCE5Rv1G0333790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:561551115:561551489:-1 gene:SECCE5Rv1G0333790 transcript:SECCE5Rv1G0333790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVLRPHNILQPQTQRIRAAHRRPSNPGTTRRSPPPSATAVSTTGRRHHGRPSSSFSSSYGKVTSAAAPARRPAVEVYAGPAFSAASPEPSSLPLPQFPLQKPAAAVNDAATRDLRRMLRLE >SECCE7Rv1G0460750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:33064786:33070087:1 gene:SECCE7Rv1G0460750 transcript:SECCE7Rv1G0460750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGGGGGRRSKVTPNLGADREGTRLLNLTVLRRLDPAVADILITAASVTAYSFDQDAVRWSRKGVEGSLFVVKRNTQPRFQFLIMNRRNTENLVEDLLDGFEYQAEVPYIIYRNAASEIIGIWFYEPEECEEVAHIFSRIHKAYSRASPKKMVPSVKSDFEELEVASDVPSSEDTLEQPTTPSSMVPGDVGFKLLSALLTAAACVGAPTGGAGPVEPNQPVRAVPSSRRASPAPSAVSSQPPASHNLLPPPRASAATVVPQVAHVPASAPTIQPASLTKPPFFPPIFTTSSQAATAHAAFPSSAPPPFLPPLAIHHRQSAPCLQPFPLPSAPPPIDPPHSQSAPLAQPFAQSTAPPPLDPQHRQSAPLAQPFIQSTAPPASDPQQRQTAPMLAPFQLSSAPPPPRPQHWQRSQSLEHFARPAECPPPPYGALLLQPFPPPNPPPPLLAPTASYGPVILSRDNLRGALLRLVLNDDFIDMFYREIVKG >SECCE5Rv1G0318190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:358417000:358417849:-1 gene:SECCE5Rv1G0318190 transcript:SECCE5Rv1G0318190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAAVYRRVMKAVQKHVGGAAEKKHFREFVAAEFRSPAGTEADARARLRLAGDYAYHLTSIQHQKELLFSYNIAVDRSDEMKKILNKSAASVGLQLPDVYQP >SECCE3Rv1G0200110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:851112242:851112922:-1 gene:SECCE3Rv1G0200110 transcript:SECCE3Rv1G0200110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKPSSRRSSFTLRQPPVVDVGCNCRRPKLFSSLFSSSSLPFRGRGGKPKSPNASSTSTTTAFTATTLGGRSGTTATSADSASWGPASFTNNSLYEDPAAARRRGQEPEQETRRRRRQRRRRRRAAWDGVGGGHGEQEAEAYGRVARESVPVAVESAEPYEDFRESMVQMVVEKEIYAWDDLNDLLTQFLTLNSPRHHPLILHAFADLWTRNGLFSPPSPCQF >SECCE5Rv1G0370170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:840914230:840916606:-1 gene:SECCE5Rv1G0370170 transcript:SECCE5Rv1G0370170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMQLQGPIITSLCWADQAAASASAAQVPFLALLQGATMGDGGPGVKRESYGAAAAFACRPAASDVDLLESCVTQLPAPPVVEAPAAKRRKRPRSRQRAPPPEKRKKPEEAECQRMTHIAVERNRRRLMNDHLASLRALIPSDYIPRGDQATVVGGAIDYVKQLEQQLVALQALAAARRGEGPVGTAATAASDGVFVSPQYTSFSQAGGVGSGVDVEAMAVVGGHVRVRVAGRRWPGRLVRAVAAMENLRMAVLHLAVTSVGHDAVVYCFNLKMEDGCEVSTADEVATVVHQIFAYAAGSCC >SECCE7Rv1G0496230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:610028282:610030547:1 gene:SECCE7Rv1G0496230 transcript:SECCE7Rv1G0496230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLHLQPYLKPCPRALPPMSSSLFLCSSQVRYASLPAPLRQPGRHAARLPPAAAAFVSRSLHRPPPLHWTGSGVRMISSCFVVKRRRRRNGIYASLFGVGAPEALVIGVVALLVFGPKGLAEAARSLGKTLRAFQPTIRELQDVSRDFKNTLEREIGLDEDPPSMSYRPPPPMNNSPQPAVDPDVKPETTVPYTSEELMKVTEEQLAASAIAAWNAQQSPSSEQQEAAVATTPSQCNDSTLSGGSDGPGAVTEESNSGNTENAKPRDEA >SECCE6Rv1G0428720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:730003615:730006007:1 gene:SECCE6Rv1G0428720 transcript:SECCE6Rv1G0428720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAAPAVTFPPGRRAPLPPRCTHSERGVAFDPGSAFYRSDSAPGRDLAVLAATLHRRRRPDPSAPFLCLDAMCGSGVRALRYLAQAGADFVWANDASDALHPVIAGNLSRFEPVPPEGQRRWVVSHLDATRLLAERYLRREYFDVIDVDSFGSEAEYIRAAFLALKIGGLLYLTSTDWRSARGYGGKCSLSSYGAYVLPVPYPNEIGLRMLLGGAAREAAMLGFHIKPVFSYYASHGPIFRAMVQLCHGKEDGISNYGFICHCKSCGQSQTFGFDELGQISCGCADRTDATPITVVGPLWTGPLHDRSSITEMLNLAEEWGWAHTSENGVTLEKLLGTMIEESDPRLPPGYIRLDEIASRAKVNSPPLGTLIHSLQKEGYAACRSHIGANAVKTNCPISSCIVVAREIRNLR >SECCE6Rv1G0438760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:792457152:792459703:-1 gene:SECCE6Rv1G0438760 transcript:SECCE6Rv1G0438760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein SPC25 homolog [Source:Projected from Arabidopsis thaliana (AT3G48210) UniProtKB/Swiss-Prot;Acc:Q93VK9] MEGGLAGGGAAADLCRDMAAVRAACERRIAQGRDRTAAAASAFRGAVLSARSLASGSVAHREKLKALKDQLRGMEAGLTEAVSTQLKKESECKLTRESISNATATNEQLRGLLLEQRARRDELASVISLQLEATEALEANVDAMRKKNLDEAITWYNKFLGFRVVAGEGVKFVFNKVDVQSPDDDYSFCIKVNKDEYSLIQCIPLLKDTEELVKDLNRTNDLFKFVRTMRARFQSAGIKGVHPATSFCTDTSSTPISSPPAVSVDTTSEGTTNQSRTRSRSKNKDLHSKRGVSPWSTASPLTSVSSTRRSPRLAAADAGSRH >SECCE5Rv1G0331380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538324377:538330774:-1 gene:SECCE5Rv1G0331380 transcript:SECCE5Rv1G0331380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGKDGSMIRASSDSVLLRNIKCQILPAASVKIFSYDNLRLATRKFHSDCLIGEGGFGTVYQGWIDENTLSSCKSCTGIPVAVKRLNHEGLQGHREWLAEVNYLGHLSHPNLVKLFGYCLEDEHRLLVYEFLPRGSLESHLFKRGSGQPLAWNLRMKVALGAAKALAYLHSAETNIIVRDVKSSNIILDSDYTAKLTDFGFATNGPVGEETHVSTRVIGTYGYAAPEYIATGHLTQKCDIYGFGVVLLELLSGRRAIDKARPEGECKLVDWARPYLKHKHKIRCVIDASLGGLYSFSAAQNIAALALECLCTNSKKRPTMDSVVSVLEGVQEDEEPEAEKHQESGKKVTASASRNKGKSRWNIFGRGWL >SECCE1Rv1G0057610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694212686:694213822:-1 gene:SECCE1Rv1G0057610 transcript:SECCE1Rv1G0057610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSVVAAGAGRLPRPSAESDMIYAGSSAASRGSCYYHLLVVEGYSRIKGLPNGGTIMGRTFKAGGYQWVLRFHPNGYAPEDVGSISVYLVLGQDVARPVKVHLQFSLVDEVSKQDQAFIRAIKACDFSGSGSTWGRSCFVKGEDLEKSEHLKDDCFTIRCDFIIAEAAVAPLVKVPSSNISEHLSHLLMTKVGADVTFEVGHETFAAHRCVLASRSAVFMAQLFGPMKEGTTSAAIQIQDMEPDIFKALLGFVYTDLMPEMEVEREAEVEEGGANEVTWLRHLLAAADRFDLERLKSMCEERLLEHIDLSSVSVILAVAVQLQCCGLKEACLEFLKVQSAADLGQVMATSDWEHIGATDHSVLNQLIAKLASKV >SECCE2Rv1G0069050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:26347181:26348979:1 gene:SECCE2Rv1G0069050 transcript:SECCE2Rv1G0069050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKRARYCCVLCGLPKKGHVCRFGGGSSSARLVQGREEAAPPTDPAPAGAKKEEVTIITDLPNDVLGTITSALPIDEAARTQVISKGWKHIWRDYTPLNLDDRDLHKMAWAGDNLVDLISDILEVPRQVRARRLSLSTLCRHSGDRDRYPIFDRWFRSKVLDHLEDFHFSYQRVNNTEPLQAGELMPPLPPSALIFSSLRIASFGCCHFPDTLGGITFPYLIDLTLCRTTNFENTLHAMIAASPNLGNLYLHRNYHFRRVHISSQTIVRFGMFVGLDSDVMQELIIVNTPSLEEVMLWDRGYCGPRIIRVLVAPKLHILGCLHSDIMSTVQPGKTILQAMVDSSLVSSLHNVKILKLVIHGLKLNHVIDVLQCFPFLQQLHINTLTSHVPNKQNDIPVATTVECVDRHLKQVVVRNYSGRKPDYNFAKFFLLNAKALESMKLHVPFSRNNEWRSRQRLKMDVKNRVSPNAWVCFEDTE >SECCE5Rv1G0302040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34996985:34998918:-1 gene:SECCE5Rv1G0302040 transcript:SECCE5Rv1G0302040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21190 [Source:Projected from Arabidopsis thaliana (AT4G21190) UniProtKB/Swiss-Prot;Acc:Q8LG95] MLSLRCWAPAFGIALERAVVLRPRKFNSLVVCGARGPRPRYPRVWKTDKRIGTVSKSQKLVKCIKDLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYQTLLNALVEDGRIEEAEELFQKIFSRYMEGLPRVFFMKMISLYYRLGSYEKMFEVFADMEELGVRPDTSIVRMLGDVFMKLEMLDKYEKLNRKYPPPKFEYRYIKGKRIRIRVYPDNSTEEVTQRDSGRDELEDAGSMNPDNELEEAPRTGLDRNVLGDVASGDLGFV >SECCE2Rv1G0082380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:150483204:150483734:-1 gene:SECCE2Rv1G0082380 transcript:SECCE2Rv1G0082380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMPSLLLLFLVIPTVLAAGGGGKSKLKHIHLYMHETFSGPNATEGLLVPSPFGANATFRQVAVFDDELRTGRERDSPLVARYQGIIVAAGQAVQGHLTVATVVFVAGEHAGSALSVEGSFQGFQGTSERSIVGGNGKFRMARGYYLLKLLGQTLPVTAVSEVDFYVLPCDNAYL >SECCE4Rv1G0282460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829260027:829264035:-1 gene:SECCE4Rv1G0282460 transcript:SECCE4Rv1G0282460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPLVRSVAGKAADALVETMTRMCGLDDDRRTLERHLLAVECKLANAEERSETNNYVKSWMKELKSVAYEADDVLDDFQYEALHRQSKIGKSTIRKVLGYIKHHSPLLFHFEMSRKLKNVLEKINKLVEEMNKFGLENSVHRKEHQHSWRQTHSKLDDSTKIFGRDDDKEVVVKLLLDQQDQQKVQVLPIFGMGGLGKTTLAKMVYNDKRVKQYFQLKMWHCVSDNFDVIALLKSIIELAINERCDMPNTIELLQKQLEKVIGQNRFMLVLDDVWNEDERKWEDVLKPLLSSVGGPGSVIVITTRSQKVASIMQTLQPHKIACLNEQDSWKLFEQKAYSNGVGKEQAELVSIGRRIVNKCKGLPLALKTMGGLLSTYKQAQEWKAIEENNIMDNVGGKDEIMSILKLSYIHLSSEMKQCFAFLAVFPKDCVMDKDMLIQLWMANGFIQEKGTMHLTQKGELIFNELVWRSFLQDKQECGHIYDTSYVTIQCKMHDLMHDLAKDVTDECASIEELTQQKALLKYVRHIQLSHGELKQISGLCKGRTNLRTLFTSSGSHKDCTELLRLSANLRALHCSLSSVISSKAINAKHLRYLDLSWTDIVRLPDSICVLYNLQTLRLIHCEELRELPKGIARLRKLIHLYLYGCSRLERMSPNFGVLNNLHILTTFVVDIGDGLGIEQLKELQNLSNRLELFNLKKIKSWDNAKEANLSQKRNLSELLFSWDQEIDDEPTDVEEVLQCLEPHSNIQKLEICGYHGLEISQWMRNPQMFDCLRELKMSDCPKCKSIPVIWLSVSLEILILQKMDNLTTLCNKLDAESEGCITPLQFFPRLKKMRLIELPSLEVWAENSVGEPLMFNCLEELEMSDCPRCKSIPVLWFSVSLENLSLQKMNKLGTLCNNLDVEAGGCITPMEIFPRLKKLRLIELPSLEMWAEDSVGEPSDNLVTFPMLEELRIKNCPKLASIPMIPVVSKLRIDGVHSTAIGSVIMCIRLSKDIPMLPLDAQQSQSQRPLEKLKSLTLKGPNSLIRSSGFSRSQQMVWNYFSVVRILKISKCGDLVCWPTEELRCLDHLHSLYISNCDNLEGNTSSYEEDTLPLSLEHLDISECRSLAKLPSNLGSLAKLRSLCVSWCSRLKALPDGMCGLTSLRELTIWCCPALEVFPQGLLERLLALEYFCIAGSPELERRCKEGGEYFHLVSSIPPW >SECCE1Rv1G0009690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:56073250:56075563:1 gene:SECCE1Rv1G0009690 transcript:SECCE1Rv1G0009690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) UniProtKB/TrEMBL;Acc:A0A1I9LLL0] MAQAARLNLRMQKEIKLLLSDPPPGVSLNLPEDESDLPSLSSIETRIEGPEGTVYSKGVFILKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNISTILRSIGLLLTEPNPDDGLMAEISQEYKYNRQVFDINAQSWTEKYANPAVVGTSGWSSLDASVLAQNMQMENTQSLEPLPEVPNNDCGGSRKKMRLLGKKLSLKSEGSDENASTLKQDAVAGHLRSTAVSTVPTTCLSHVSVRQNPTSESISASADSGVISNKRYQVNRANFQLHGRRPSVTLDAPNQRSSGSVEAKFPNHLPVSASDTKDHVTQSSDDVLVKSMTKSIGESSDSVYKSSEGIRTTVGSLGQTMQLNLVKPESKSNDQNEYMAPDHLPSVSGFSNLQKRSSDVSKEISIACADLVQDNSHAEHVLPKTQSVIHKEYNQGRKKLSLLSKRLSLKSELPEMDRTSDKGYKPSDCSQDATKPNELPLSGPVPISQTKNLGFVDSQKSVSQSNCPAKRNATSMKNVVVSDSEDGADECQVIEPGLVQSHKSVSQSNCSTKQNVKLMEIVSDSEDSADEREKRPSRSRLSLMKRRLAGKLRS >SECCE1Rv1G0012220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:90386977:90388710:-1 gene:SECCE1Rv1G0012220 transcript:SECCE1Rv1G0012220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGAQAAPCSWLFVPSPAVRPPPPSGHPRCRLSVRATCGPASSRLPAGSGSTRHQARPRLVAAHSIPPPPPAPARTVRRDAATGLAFLLFVLGLVISAALSLVIFSFPAWRALQQMEIAAHKLSKLAAQEVPGTLSSLKLSFMEINDLTSQLKKIRHIFTTNRSGKDR >SECCE4Rv1G0222700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:62077035:62082108:1 gene:SECCE4Rv1G0222700 transcript:SECCE4Rv1G0222700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATEEGPKEIKNHQNSVDEMEMKVIKFSRGKAANLAALRDKKLKGQLTGKEKLIGISAKAAAQAEKWFLPIEGGYLEPEGLEKTYRYTQQQIGGAVDISSARKPFDMILPELGPYTLEYTSNGRYMIVGGRKGHIGMMDMLSMDLIKEFQVRETVRDVAFLHNEQLFAVAQKKYPYVYNRHGTEIHCLKEHGEALKLQFLDKHFLLASINSFGQLHYQDMSTGEMIANHRTGLGPTDVMRVNPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHNGPVTAVAFERGGHLMATAGVDKKIKIWDLRKYEVVHTHAARAESLDFSQKGLLAASNGSLVEIYRDVGGHDYKVYLKHRMVKGYQVGKVLFRPYEDICSIGHSTGFSSILVPGSGEANFDTFVENPVETAKQRREREVHALLNKLQPETVMLNPNMIGNVRQPKKKEKKTKREVEEEIEDAVEAAKSTKVKNKTKGRSKPSKRAKKKEEEVLRAKRPLLDQYNEIGRHPEKKQRVGDKAELPKALQRFAKNKQS >SECCE6Rv1G0378410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:8296551:8297014:1 gene:SECCE6Rv1G0378410 transcript:SECCE6Rv1G0378410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKKERVMAGAVFVLVILLSAQRLPVGVADPRSRFCHCYLGCFDPDCSSLGWQSCHDYCCAQVCHLPGEADFDLECRGGYHACTESTGDDTTSTTADAAVNYWSRRHIKDKAKAKHG >SECCE5Rv1G0351890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:705236193:705237118:-1 gene:SECCE5Rv1G0351890 transcript:SECCE5Rv1G0351890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLLDQDGKPVPSYTGTSDTKEFSVRVGYGFGGFMRKAQLEESEHLKDDSFTVKVDVTIMSEFHTQETPSILVPPSDLHRHLGVLLSSKAGVDVEFQVGGETFSAHRLVLAARSPVFRAEFFGQMREGTTTEAIRIDDMEAPVFNALLTFMYTDALPDMKQEEEYAMAQHLLVAADKYDLERLKLICEHKLCNRIDTSSVATILALAEQRRCHELKAACLVFLSSPTNLGAAMESEGFEFLTKSCPGVIKDLLKSQVAASILGKRKSRS >SECCE7Rv1G0469350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:108315288:108316725:-1 gene:SECCE7Rv1G0469350 transcript:SECCE7Rv1G0469350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIQQQDAAEEIVIAGAGLAGLAVALGLHRKGVRSVVLESSPERRTSGFAFFTWTNAFRALDALGVGDKMRGRHLKLQGLRVMSSSTGEIAREMDLRVNGKLGPHEVRCVQRNVLLQALEEELPPGTVRYSSKIVSIDDQDGDGVGAKILHLADGSTLRAKVLIGCDGINSVVAKWLGLAKTSESGRTATRGHARYPEGHGFEPKILQFVGEGFRAGLVPWSDTDVYWFFTWSPAPSPDANDVVDRSAVAMKQFVLTKMRGAKVSPEVLEAVERSEMSDVLAAPLRFRSPLSLLFAGISKGNVCVAGDALHPTTPDLAQGACTALEDGVVLARCLGDAIVCAGDGEERERVGAALRRYAGIRRWRSAQVIAVSYAVGFVQESDHAVVSFVRDKLLSGVLAKTLLMMPDYDCGKL >SECCE1Rv1G0059880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:708086113:708087273:1 gene:SECCE1Rv1G0059880 transcript:SECCE1Rv1G0059880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDGLHHADGTGGSDAAMAEVIGDDDLLSEILLRLGFPTFLVHTALVCKRWLRVAAEPIFLRRFRDRHPPRLLGFYVSSFSPRPQFVPVSEAQEIGSAVSHAGFDDIYQSVRIADCRNGRLLLKSGSFRCGGYTVFSPLCPTRGLLPILPLPKPHHIDMLRPREYCQRLFMDNSDDIVSVSVLLSGRKLSAQMYVMQSGAWGDVILVEGELPVPSNFVRSMMIVHDKLYIVINNGYICGLYFITPHPQLSFIKIPDKVNANFKLSHRDESGLFLIHGDGFHLSVWHLEMDDNGEDRWELVDKFLVRVAHDRRERFCVCGVGEDGGLVFLCLLTGRAIISVDLRSRKETVYEIKAQGIYCTTIIPLMTIWPPTFPTLNDEDDQDE >SECCE6Rv1G0398350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:419703841:419705423:-1 gene:SECCE6Rv1G0398350 transcript:SECCE6Rv1G0398350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLTLLLGVALPFAALVAAACYVYRRRHMPRNAPPELPVGPREVGGADPSASPGLAKLNAKYTASSGRVGVRFQQLHHHHHVRADTRHRGPGGGALQQGPFQWGDHPRLVIEAAEHGWVQFVFAVAPPKARSASSSPMWGLCPVCDAGTSRDMAEAAWEVPVGSSERLQAVRLNPVAAASGAAASSKKWLPGSLSSPLRNDQDLTSNNGLCIARMGMPLPGPPMNGTPFPQDAYFEITIIYLNTRRPEWSASRTSRRGQGGSGDSERSKLINFAPDTTDPIQETRAGKDDQGEKQRHMVMSLGLAAGSAAPARPSLAGTYASSIGFHSNGAVYLDGMKLAYESEKSAWAGVDKVVGCGFEPAKRKVYFTVDGQLVHAVSCNADAFSSPLYPVLASSFDVMALVNLGQSKFRYAPANARRTANPCFVRSASLGEGRSGSMGLDFDDSGDLFSMGRVDSGWTEASRVSKSRKESGGGTTAAGDLEAESDLFEISL >SECCE3Rv1G0165100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:169570196:169575348:1 gene:SECCE3Rv1G0165100 transcript:SECCE3Rv1G0165100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-9 [Source:Projected from Arabidopsis thaliana (AT5G03070) UniProtKB/Swiss-Prot;Acc:F4KF65] MADGNAPGSPASSLQSHRYAIKSSVHNTAASRRREQAIAIGKERREALMRAKRVCRAPLSGSDEATIEDGDMVIDEKADLETRTAQAVEELKSALSSQGKGAQKKKIDALRAVRRVLSQSEVPPIQVAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSVLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGADLRSTLIAQGALWPLARLMLSSKGSTARTAAWALSNLIKGPDPKAAYELINIDGVLNAIIRNLEKADEELATEVAWVVVYLSALSEKAISLIVRSHVPRLLIGRLLASENLQLLIPVLRGLGNLVAGDEYMVDSILIVGNSITDQALSSLIKCLKSDNRVLRKEASWALSNIAAGSFEHKKLIFTSEATPSLIHLLMSAQFDIRKEAAYTLGNLCVVPAGSTEPPNIITEHLVSIINGGALPGFINLVRSADIESARLGLQFLELVMRGYPNGQGPQLVERGDGIEAMERFQFHENEAMRNMANGLVDKYFGEDYGLE >SECCE1Rv1G0047280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:623716071:623744070:-1 gene:SECCE1Rv1G0047280 transcript:SECCE1Rv1G0047280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARDVEEVVQKLASDRARPRDVRVKLLGTWLQGDRAPTFCRLLARNTARAKPGHLASGATWPFLITALAKCVLADIAAKRRGATRSTAAGMLRAAVRCAEDARLSGHSLLLISVAKQLFSHILEVIKDAPSFQLEYSPILRQLLTVKEYRYQMKPRTYSNLVVLYMKKVATGFDANFSNQASSKEESFRCTWTLHVLLENPPGDYPDTMREEVLNGFCAIFSHIRREDGKLTSKLMECVNTFLLMDGPNLGDKSVEIHKAVQDFILRSWLMTRDLRLKSLFITYAKIQLKLARAIPKVLERKNLLGVIMDDLDQNVNTEAGLLWCEASRDAKDVSLRYSLEELMDLSATIFYQAYKSPPPMRGDDEKKLKTEDVISRITNSLKKGSLCWIGTTCLLVHKYGYRVDKSLLISWLQACCQSLKSALSKANVIRSQDSLLWIIRALKEFSAMFIVNTREESHCLLTKGEMSTVEGYWQDIWNSLIHALPLFSPTALVADSALNLLGGMIMRDQVHTSVVSEDTWNLQTFKQSPSPSALYFIACYFSRIGLQGDLSNSSLVRKNLLRSTLELIQSVSKGSSLLNEQNVVMIPEAIFSICAGFSSSAINSANASQLFDECKDFSKLLLESENWVIKDELGYSVEALSEISTESSTKVISDKCNRAHLPGHIQQQLLDQLMEFEGFMASNEQLEKVDLCTLVYRCSLLCNLIHCALLSRAIEENSLFLKELFGYVTGIIKYMISMVMKKHEELSHGLTSVGSALETAGSILSSFQTFLSAPIFRLRSVSNRASSVLIKGVTVLLDELLVEFSQLFSRLASSANNSDSENASKMLPISSVNLSEDLNPFVDHKSVDMDFDMTDSGEVDSITASVSGSIGISSRLLEWKLELVGVISTFFSVSAPHTWEILYNLVEKESDVKVSHAILLNLCQNISASSKSLSSVVRLIFDMRDKCASLLLGSADCLTHVHALLRTLMVTRDVGQNTDGKLQAYNEVSNENQDILLDLVTKGTEISITDWFFRIKLIDCISHFIHLFPDGAQDMIGHFLNMLHDTDYRVRLYLARKMVVLFETWEGHDELFRDVCSNIGVKMVQFSSEIPVKSREVLAVGPQSVPVIETVLITLAHLSVHSEEVEFECAFMISAVAAIQPSQRKLEYALFDSISRKLSYASRSKYLDQLMGPILFRWVACEVSLVSLVKVQEMFGFDTAKPKEFIEHICPWLLSFLILRGDAAGLNWISKTLLQPLSAVIKGYFVQIFGLCIAAKNGTGPEKDLAETVLYESLLQLGEISEFERDDLIRKHMVSIVGVLLTVSSTARQSELPYFSREILAHTIKQVVDGFVDTADDNSADTVVIDKVNIFRADRVFKFLLAIHQQVTEAGHPRHMSHRLCAIEVLIDVLGHRVVHYSTCFYIICIVGNYIWRQPLQGQCCNILSKLLAAFNANSSSETVAVLGTQLQLLVPKLITCCLPNDQEGGRPNGDLSKVLSLLRQLTVDADPLLYDYIRDLEPLPGLDCLKDIKVFHASLSDSYASRDQFLKFVHRAPHLPAELFLLSLRTHHKKLLSGEIICRGDVSVGNADTVSCWRSDPDVVSAVWTLVDLCSSSSVANEASSVLADFISRAGISDAHQVIFDVPNLTQKHSLQLQSGSTSKDDKLCSDYGISDDILLDLLKLLKTYLSDESVEIIEVSSRTLKGILSTSKGLNSLQCLDSLDRSLLMVHSRGINTQLVEQTLLGMDKYSTVPLEDSDIWQTDGQPYEQWLCTLVSSLVSHCDDIILRLCRSLVFLKVEAAELLLASALVNIAGNMDSNSSICGLISSKVEKIIFCDSNYMMKSVKLFLDALNVVRSFYVTERARASPYNTRASPSPYNTPKDGSRSARSKSRSPAATPSSSWRKVYWLSVDYLVAARAAQRCSCDFATLMYVELWCEEQFNKLDLGPPDFSQQESLPPHIGLLVEAFTHINELDSIYGITLANEVASQIIRYEHEGNWSSALEYYDLLVRSTPKENLANFAGTVLTGPSVSSKAEENMLNWKMHKGLMRSLQKTGCSHVLDIYSQGLTNQKACLQQDSEFVDIQYEAAWRAGNWDFSFFVPYSSQPSSRSRDYCLFNENLHSCLRALQNGDSEQFQGKLSHSKMDLVLALSNASKESTKYIHSTVLKLQMLDHLSMAWELRWKFCPDQAPKSNVGTEEFSLVPAVPKRNQLELLSKEWNFILCQTERNLDLFEPFLAFRRGLLKILGSEEHLIEHLFQSASALRKGLRFSLAAASLYELKELCCHRDQQTVPSTYFLSKLEEAKLLRAQGQHDMAIGLGKYILKNHTDERDKSDVYRLVGKWLAETRSSNSRTIIEDYLNHSVALDKKYMSRQCRTHFHLAHYTYNLFKSYEERLSSNEWQAALRLRKYKTKELDTLLKRLKSSSKAEKSDYGAKIQELQKQLALDREEAQKIQDDRDEFLSLALEGYQRSLVVGGKYDLQVVFRLVSLWFNLFSRKQVVDSMIKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTICQLLALANGDRVKDKQRSRSSFVVDMDKKLAAENLLKELSSFHGALIRQMKQMVEIYIRLAELETRKEDTNKKISLPREFRSICQLELAKVPVVTATIPVDPNCRYEEGTFPHFSGLVDSITIMNGINAPKVIQCIGSDGNRYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYNVVPFTPSAGVVEWVNRTVPLGDYLLDSNRIGGAHARYGTGDWTFLQCREHLACEKDKRKAFFKICDNFRPVMHHFFIERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSMNILIDEDTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGTEGVFKRCCEKTLSVMRENKEALLTIIEVFIHDPLYKWALSPLKALQRQKETEYNDSCLDDSQEAYEGNKDAARAILRVKQKLDGYEDGEMRSVEGQVQQLIQDAVDTDRLCQMFPGWAPWL >SECCE5Rv1G0323780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:440006671:440012250:-1 gene:SECCE5Rv1G0323780 transcript:SECCE5Rv1G0323780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGAANGSSGANGSSGPTRTVLVTGGAGYIGSHAVLQLLAAGFRAVVVDSLENSSELAVRRVAALAGDHARNLSFHKVDIRDEDALDAVFASTRFDAVIHFAGLKAVGESVQKPLFYYDHNIAGTINLLKVMAAHECKKLVFSSSAAVYGSPKNSPCTEEFPLLPHNPYGRTKLMAEEICRDIYRSDSEWRIILLRYFNPVGAHPSGYLGEDPRGVPNNLMPFVQQVAVGRRPSVTIFGNNYATKDGTGVRDYIHVLDLAEGHIAALRKLFDFSSNIGCEPYNLGTGKGTSVLEIVNAFEKASGKKIPLVIGQRRPGDAEILFAGTGKAERELNWKAKYGITEMCRDQWNWASKNPYGYGSPDSTKQNGSNSH >SECCE1Rv1G0044120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:599998367:599998790:-1 gene:SECCE1Rv1G0044120 transcript:SECCE1Rv1G0044120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAQGGGLRGRGALLGGVQDAPAGRENDLQTIELARFAVAEHNTKANALLEFERLVKVRQQVVSGCMHYFTIEVKEGGAKKLYEAKVWEKAWENFKQLQEFKPAA >SECCE1Rv1G0036660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:511106016:511109598:1 gene:SECCE1Rv1G0036660 transcript:SECCE1Rv1G0036660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPAAVALLLAAVLCLGGCRAASASPDFDYPAAFNFGDSNSDTGGRIAAGFEPMPPPYGSTFFGAPSGRFSDGRLILDFLMDAMDMPFLNAYLDSVGAPNFRAGVNFAQAGCSITPASATSVSPFSFGLQIKQFFAFKEKVTRLLSQGDRYRRYIPQLNYFSKGLYMFDIGQNDLAGQFYSKTEDQVIASIPTILLEFETGLKTLYDQGARKFWIHNTGPLGCLPQNIDLFGKDPAQLDELHCVAKHNRAAKIFNLQLHALCTKLRGQFAGANITYIDIYSIKYALIGNYSRYGFESPTQACCGYGGPPLNYDGRVPCGQTKSVNGNLVTAKGCSDSTEYVNWDGIHYTEAANFHVTSQILTGKYSDPPFADKMPFLIKPRF >SECCE5Rv1G0307150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:106362921:106364385:1 gene:SECCE5Rv1G0307150 transcript:SECCE5Rv1G0307150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMEEAEDYASCAFSLTCPEDGAELGDGVVDDGDLFLFYAGGGAAADDEDGDIEYVEQLVSKEASFCSSSHSGDADCSSAASEDWFLQARLAAVKWILETRGCFGFGHRTAYLAIAYFDRFFLRRRVDRAAMPWAARLLSVACVSVAAKMEEYCAPALSELDAGGGYEFCSASVRRMELLVLSTLGWRMAAVTPFDYLPCFSSRLDRHDGRGGGGHDPARVALKSIGFIFATAEAGSVLDHRPSTVAAAAILAASYGALLTKEALESKMGNLSPSCPIEKEHVHACYSMMVGNLRSRMSNGKRSLPCSDSNEAAKSTYDSVLVDDVADTAAFMAAVSEMNKRIRLEPPGIH >SECCE7Rv1G0522660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878893039:878895086:1 gene:SECCE7Rv1G0522660 transcript:SECCE7Rv1G0522660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVQHSLHELLMLLQGRFPSPQAALVVSVLLVVCPLLVLLVARRLGTPSTATVTAGAREDQLTKLPSPPSRLPIIGHLHLVGPLPHVSLRDLAAEHGRDGLMLLRLGAVPTLIVSSPNAAQAVLRTHDHVFASRAYSPVTDILFYGSTDVAFCPYGEHWRQVKKIATTHLLTNKKVRSYRHARENEVRLVVAKIREAAATGTTMDLSDLLNAFTNDVVCHAVSGKLFRKQGHNKLFRELVEANSSLIGGFNLEDYFPVLVKLEVIKRMVCAKARKVNKLWDNLLNSLIDEHASRPASEQGGEDSDFIDVLLSIQQEYNLTRDHIKAQLAIMFEAGTDTSFIVLEYAMVRLMQKPHLMNKLKTEVRSTISKGKEMIAEDDLSSLAYLKAVIKETLRLHMPAPLLVPHLSMADCKINGYMIPSGTRAIVNSWALARDPSSWESAEDFMPERFMEGGSAASMDYKGNDFPYLPFGTGRRICPGINFAIVTIEIMLANLMYHFDWKLPSESMDAGISMTESFGVTVHRKEKLLLVPVVPED >SECCE7Rv1G0461820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:40214370:40217236:1 gene:SECCE7Rv1G0461820 transcript:SECCE7Rv1G0461820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEFNQGDGGDLSMDTGELKNPGCGGSSDAGGDTNKFTLYASDSKDHQCIPCEVASQVRHMFPHSGPIKLEAPDGRMYNVEFVKFGPITLTTGWRRFVNANHIQQGDPILFVYCGSSRFKVDICSSSGHENSLPCSQPSPDIFRAVPPRDRHVLNEQAVPDPARVHMSTDFGYTMFPGTWLTKKQEKKVLELAHSSMSSEIPLHVAAIKKRNANQDYYVYVPLMLLDNFKEGVTEATIQLEAHGNDMVYGVGASKQRDDQILLQSDLSHFVASLRIQDSDLLVFRSKGKARLDVLVLDPSGCEKTCFAIGNSSNDQDVCEDSVKIVDPPPHSVNDLSSDDDEVAEKGTSTSRREQRPLRACRAKVQEMASTSSPRTKSGHKAGKSIKACLEAPMFNKPYISPNGTKLTRQQEEKVKEKVRAIGSDFPVFVKVMKVHDVGSPTYLDLSTKFALVCHLPLERTNVILGLEGNKMRWPSVLRKNNNARRIHSFWKDLVLLAGMKQGDICLVELADRSSESLTMMVHLIRKL >SECCE5Rv1G0307940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122460678:122463979:1 gene:SECCE5Rv1G0307940 transcript:SECCE5Rv1G0307940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNYRLLVKMRLWMVVTRLFVCAAALPGGEQPLSRIAVERTTLAIDASVHVKASPLVLGLKGENSEWVEVEFFHPNPSDDDWIGVFSPANFSDAICEPENERQQPPVLCTAPIKYQFAKFNNDGYNRSGKGNLKLQLINQREDFSFALFSGGLMKPKLIAVSNMVTFANPKAPVYPRLAQGKSWDEMTITWTSGYDIKEAVPFVEWGAKGGPQFLSPAGTLTFNRNSMCGAPARTVGWRHPGYIHTSFLKDLWPDSKYTYRLGHRLPNGTHIWSKLYSFKASPYPGQDSLQQIVIFGDMGKAEADGSNEFNDFQPGSLNTTNQIIRDLKNVDMVLHIGDICYANGYLSQWDQFTSQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGVFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTYYETEGTFEEPMGREALQELWQKYKVDLAFYGHVHNYERTCPVYQSQCVVDASDYYSGPFKATTHVVVGGAGASIADSAFTTSNIQWSHFRNFDFGFVKLTAFNHSSLLFEYKKSRDGNVYDHFTISRDYRDVLACSIDNCPRTTLAS >SECCEUnv1G0558130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:330135759:330137042:-1 gene:SECCEUnv1G0558130 transcript:SECCEUnv1G0558130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVTKSRPLLVRPSTEQSTPAVHTKVSSFDKPLAFSSFSSFHVFDRAIHEPAETIKRALSRALDHFRLIAGRVVVGDGDGDLCIACTGEGVEFVAATANCALVDVKLFDPPFAALLKDFAVDYPEARCRVADPLLLMQVTEFSCGAFVLGVTWNHVVADGTGIALLLRAVGELARGLDQPSVLPVTCADQLLPDLPPLADAIERTMVGQLKPKDYAYLDITVPTSTIGRIKAEVGDELGAPCTVFEAVTAVLWQCRSRVIMTGGDPDTPAPLVFAADARRAVGAAEGYYSNCVTTQVVAPPPTIREVAEGDIKDVVKLIRGSKERIPASFAGEEGEEGVPLPPCVVETLFGHSALFVTSWRNLGFEATDFGGGTAARVMCHVGPESLPMCVACLPCRDKGGANVLSRFLKEEHGGAFLAELANFT >SECCEUnv1G0549720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:206418238:206419311:1 gene:SECCEUnv1G0549720 transcript:SECCEUnv1G0549720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRFKTCRLLPGNVRNRELSLIQRRILRRLRNKRRSIKRNLSRRENLNSNIKSQTTRKLSLYYGDLPIREMHRGRERTSYIPFLLNQETRSDVIPVRLHFSDTLPQARQPISHRRVCLNNGLVTITHLKVSHGDLISFKENDARTRGFKIRRSFYIDISVGKIIGKFLSAISVGKRRGKFLPARIWRRTKTEWFRLLTTQRGCRLLLKSMELQELRSYMQEEDFERTKKFGSAKVCLGSSFAEHNRMKRNLFHFKYFFLLKRGKEKNRNLPTRTISPFVYKSSLYSNSTYCSGSPFTRKIRIKRIELPTHYSEVNHRTLKAVVSYGPNIGHIPHDIRLKDPNLPLRSGNGRGQNI >SECCE3Rv1G0164750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:165793303:165795860:1 gene:SECCE3Rv1G0164750 transcript:SECCE3Rv1G0164750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDERDRYGGNTRLYVGRLPSRTRTRDLEDLFGRYGRVRHVDMKHEFAFVEFSDPRDADDARYNLDGRDFDGSRMIVEFAKGVPRGQGGGGDRDRGGRGGDREYMGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGDSGHIERDCQNSPKNLKRGKSYSRSPSPRRGRVRDRSYSRSRSRSYSRSVSPRRDERRSRSPRDSRSPRRSPRDSLSPRRSPRDSRSPMKSPRGSRSPMRSPRDNSRSPRRSASPAKGRARSPTPPGSRSPAPRENSRSPMKADSPNNMSPAANGRSPSPRDGEDNGNHRAPSGSASPGGG >SECCE4Rv1G0278340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:808506897:808508646:-1 gene:SECCE4Rv1G0278340 transcript:SECCE4Rv1G0278340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSLPAGERPHAVMMPYPAQGHVTPMLKLAKLLHTRGFHITFVNNEFNHLRLLRSQQSQAAVDRLCSVPGFRFATIADGLPPSDLEASRDTPALIYSTLTTCRPRFMELVLKLNEEAEASGGAVPPVTCVVADSITSFATSVARELVLRCAVLWTASACGFMGYFHYKDLLDRGLVPLKEEAQLSNGYLDTIIDWIPAMPKDLRLRDLPSYLRITDPDDIMFNFFIHEVPAMSQASAVVINTWDELDAPLLDAMSKLLPPIYTAGPLHLTARNNVPEESPVAGIGSNLLKEQDAPLRWLDGRPPRSVVHVNFGSTTVMSKEHMLEFAWGLANTGYAFLWNVRPDLVKGDVKAALPAEFYVATEGRSMLSTWCPQQEVLEHEAVGVFLTHSGWNSSLEGICGGVPMVCWPFFAEQQTNCRFKCTEWGIGMEIGDDVRRAEVEAMIREAMEGEQGREMRRRVLELRQSAVASARRDGRSMRNVDRLIKEVLLA >SECCE2Rv1G0088610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:246608519:246611998:-1 gene:SECCE2Rv1G0088610 transcript:SECCE2Rv1G0088610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPINVSSISVHTASVSAGSSNTEPSVNKRKEHSTSEELLSSSEDDDDDFILPRRFEHENTHESKAVKAKKVSNNSPTIPTKNGRRRKKKCLPTIRCAPEFIKSTIKILQDCQKKRIKELGFGWVLSLSVDVLRIRNLPMFLMDNTSESLVINVGTQGGLKITPHAVHCVLGLPNGGIDPPPFSCTQKREELLKLKKLLGVPKHKDITSEVLCQHIRLGGNDDLTIRCFIIIVFNRLLFPTTSKYITGRDAAWTSDLHNLPNIDWCKVLVDDISRAVISWKSKRLAYPKRLANSKKLSEPTLSLTSCTLFYIIFYLDNLLSMNRLDAIEIPRITHFTNDIIANILFEDTQMANGLETYGKLALRPMEGTCYFEDSQSQPLFTACPPSPPPTVVLTNYSDNHASVHDGSSGDPNVSKEVPAKFDHLSPSGQPSQTVETLETEDEMMEEANLDVRHNTNATILPDKNQAQPPSPLPTVDSSRDDLENCASVHDGSCGDKTPTAAHGDIHTSQGSMLMTTNAVRTDYLSLEQERRKSPRDSEQLRSNGPSSEPQAAQTTEGTQVAPDTSMVRNGGSLLTMEKGDREARVETSVLDCRLCFRPLKPPVFQCNGGHLACGRCLAELRGGQCPMCKHGSGGFVPCLAVDGIVSSATIKCSHDGCQSYVTYHEHDDHHRACPHAPCFCTEPDCSFSGPPPALFGHLATLHSWPVHKIEYGKVLWLQVPVSEPRRLLLAEEDGRVFLLVVGELSVITAVSVVCIRASTSPWPQYPAMMWAYGPPAVAGVRCMADTEAMMSSTRPCDVAVEELPFVLLVPPTHVFGAGASKELSLEIRINKM >SECCE5Rv1G0370460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:842229274:842230762:-1 gene:SECCE5Rv1G0370460 transcript:SECCE5Rv1G0370460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVVAGLVMVLGALAGFRPGKATRAVPAVYVLGDSSLDVGNNNYLTGSSVPRANKPFHGVDYPGPGGPRPTGRFSNGYNVADFIATNLGFERSPPAYLSLKAHRRRLIRTALLRGVSYASGGAGILDSTGAGNNIPLSKQVEYFHSTRAAMEAKLGSGVVSDLLAESFFLIGIGSNDLIQFAMAKNKLAAQSDVAALYRSLISNYSATITDLYVMGARKIGIINVGLSGCLPMVRAHDATGACNDDRNELAAGFNGALESSLADLAPRLPGLAYSLADGFAHRQALIADPQAAGFVNATSACCGSGRLGGEAGCLPTSNICGDRDGYIYWDWVHATQRAAEIAAQAFFHGPTQFTTPLTFKQLAEKKGADLMEQ >SECCE6Rv1G0448700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857526717:857527559:-1 gene:SECCE6Rv1G0448700 transcript:SECCE6Rv1G0448700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFGRSISFPLTPTRSFSKPRHVRSVSLPGTTSSHPLLANLQAHIAALRCWIQQEPSSSLPAGLAAIQALHSSLSDLLLLPESGAALRCPTSNAADRLLDALLLLADAHQGFQECLLELRHALTESRAALRRGDTGRLASAARSQRRAKKELTRLAASVTAVSSKSARLHLVAISGEEAEMAYALVEAAAASAVASAAVFSAAVSISSAASSSKNTATFIPAFARRAMTAPENAEATVERLHALERCLDECDSVCHVVFRSVMQTRVSLLSILTPTIY >SECCE5Rv1G0299670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19274861:19275703:1 gene:SECCE5Rv1G0299670 transcript:SECCE5Rv1G0299670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTFETTRGRRFVVEIWYFSTVRRIKEYILKQEGIPVESQRLFFQGQELQDDLDTEHYPIVEGSHVLIVLPDDSPAAAVAAAAADGHAANSPGALVHAVASGPALGQGRSVALQLDASCTIFRLKEMLQERTDGALPAAKVSVFLDKAEMEDDKALAEFEPPADGLKMEVRVVVRQPPSCNNGNGNGNGNGVAKVNKRMSVEVKWGAKTATLEVSDMDAVKELRAELGSAAPHLLLPNDGAYFFIYKQNVMEEDRTLRWHDVKTGDTIEIFNGRVTGGA >SECCE5Rv1G0309960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:162783201:162785240:1 gene:SECCE5Rv1G0309960 transcript:SECCE5Rv1G0309960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVCVNGLRFRHLRPAFLAVFTVFLLWKWEKGSMYSPGILRPEPLVLNRNISMEEDLPIIDPLVQSVTEVGKEATSAPPPLTIVHNSEDGTYNAGTAPPQKKECDYRNGKWVPDNHRPLYSGPRCKRWLSESWNCRLTQRTDFAYEQFRWQPESCDMPTFQAAQFLRRMQDKTIAYVGDSLGRQMFQSMMCMLAASGKHHSDVEDVGSKYGMTQTHRAKRPTRPVGWAYRFRSTNTTVLYYWSSTLCDLEPLRRSNPSAGYAMHLDRPPSFLKKNLHRLHVLVLNTGHHWNRGKLKANKWEMYASGAPNNNREIASMWKAKNFTIHGVMKWLDAQLPSYPHLKVFYRSLSPRHFFNGEWNTGGTCDNKDPLAKGNRVFQNHSEDADGEGAVKGTRIKLLDVTALSRLRDEGHISKYSIKATPGVQDCLHWCLPGVPDTWNEILAAQL >SECCE3Rv1G0186800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:691099610:691101638:1 gene:SECCE3Rv1G0186800 transcript:SECCE3Rv1G0186800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIFSLTMGPLLRPGALAAALLALAVVGVAQGQQVGLLRVPNRSPPTRANQDRVVLPVDNAGGFAGLWNILSENAGVSAMHLAVMRHGKAVMFDTTTTGPSLMQLPAGNCRLDPRSDPPGGMDCSAHAVEFDYNTGAVRPLKVLTDTWCSSGAFDPDGMLVQTGGYFEGVKVVRHLNPQGNADWIEFPNSLAEGRWYGTQQVLPDGRFIVVGGRRAFSYEFVPAAGQLNTQFTPLPLLHDTTDDVENNLYPFVHLLPEGTIFLFANDRSIVFDPQNGQVLRELPKLNGGARNYPASGMSALLPLDLRRGERLSAEVIVCGGAPKEAFKVGEFNKFPHALRDCARINPSKPGARWAIDFMPVGRVMGDMLILPTGDLLLLNGAAQGCSGWFFAREPVLTPLLYSPRKRRGARFRALAPSNIPRMYHSSSAVLPDATVLVAGGNTNSAYNFSGVDFPTEVRVERYTPPYLAPELVATRPDIDMASVPANGVKYGDKLSLKFTSPGPAVTEADMKVSMYAPPFTTHGFSQNQRLLVLQVTAFKAEGNKYKITAHAPSKPTLAPPGYYMVFVLVKNVPSKAAWVKIHP >SECCE6Rv1G0384890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:70345396:70347944:1 gene:SECCE6Rv1G0384890 transcript:SECCE6Rv1G0384890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPARLPPPATAAAATAAAVLAAVALRRYLSSRSRAAASTHSTCCAPAATTFLVLSGKSPQDQQLLASAAGELSLAEEGGGELAVSLALDASGDAGFDAAAYMGALQARRFGRWMLWSPRMASTHDLVTQNFAKLPVGVVCLTDVQFKGRGRSKNVWESPPGCLMFSFTSQMNDARKLPLMQYVVCLAMTEAIKDLCCAKGLPELDVRIKWPNDLYLKGLKVGGILCTSSYEPKVYNICTGIGLNVDNEKPTTCLNAALQELKANSPRLKREDILASFFNKFEVIFDIFSNQGFQALEEQYYNSWLHSGQRVVVQDAHEGQSVNSVVTIKGLTPSGYLYAVGEDGKGYELHPDGNSFDFFTGLVRRKIDA >SECCE1Rv1G0056800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689253107:689256695:1 gene:SECCE1Rv1G0056800 transcript:SECCE1Rv1G0056800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPLWVLGPWLLLLLLQLADGSMGAVAPSPSVPSFVTNPLLRTGYHFQPTKNWINDPNGPLYYKGWYHLFYQYNPKGADWVNTLWAHSVSRDLINWDILGLALEPSIRSDQYGVWSGSATILLDGTPVLVYTGINRPDTPYQVQNVAIPKNKSDPLLREWVKPDYNPIIVPDSGMNVTQFRDPSTAWHIDGQWRILVGGEKGSHGQAYVYRSTDFKHWVRAKHPLHSAINGMWECLDFFPVLIQGKKGLDTSDHSGRVKYVLKSSLEKARYDYYTIGTYNKRTERYVPDDLNGDYHRLRYDYGKFYASKTFFDPAKQRRVLVGWANESDTVPDDVAKGWSGIHAIPRKIWLDPGGKQLVQWPIEEVEQLRRKSVSVTNKVVKPRNHFEVKGLETYQADVEVTFKIPSLERAEPFHHAFSNDAQKLCKMKGADKKGGVGPFGLWVLASANLEEKTAVFFRIFKDGHGKPVVLMCTDPTKSSLGRDLDKPTYAGFVNTNISSSGEISLRSLIDHSVVESFGAGGRTCIISRVYPSIAIGKNAHLHVFNNGDVDVKVSHLKAWEMESSKMTNSA >SECCE5Rv1G0328630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509348266:509348775:-1 gene:SECCE5Rv1G0328630 transcript:SECCE5Rv1G0328630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMAMSSFAAALPRGSASRFGARSLLALGRRALVVRAQTEGPSAPPNKPKVSTSIWDALAFAGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYSVAVLSVASLVPLLQGESVEGRAGAIMNANAELWNGRFAMLGLVALAATEIITGAPFINV >SECCE5Rv1G0307790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:121261512:121263965:-1 gene:SECCE5Rv1G0307790 transcript:SECCE5Rv1G0307790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSTRRRRPQRLRCIYRRGKVLRNTPTIRASDVGSCAASGEVVHVETSAATRRKSDGSNVTFHLTQLQWHHSELDSENGSVVCQEEAWFDSVSILGSDSDEDFSSVNGDFPAMSGAGRTHLVQCEDASCIADCIQKFEMFFDGSCVAQAVGQYLTRDANCMDKSGQSSVQEAERLKIASSETSDVSGAKVEEAKIKNDGVKMLTKLRRGDEACNNLKSFKDGEKSHESIFKSLTPVCTPRHANKVQPLGVASPRGQKKKSGVVRLSFTRTSFDGEQTTEICSRRYLIRPRAGLLIPQASEKISEGCWSILEPSTFKLRGESFFRDKKKSAATGNSPYTPIGVDMFMSPRKIHHIAQHIELPSIRPSEKVPSLLIVNIQMPTYPTAIFLGDSDGEGINLVLYFKLNDNFEKDISPHFYESIKRLVSDEVEKVKGFPLDSTVPYRERLKILTGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGTNYLEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCSVRLNRLDFVDHGQIPTLLPCDDD >SECCE7Rv1G0523310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:881691724:881692224:1 gene:SECCE7Rv1G0523310 transcript:SECCE7Rv1G0523310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP11 [Source:Projected from Arabidopsis thaliana (AT2G37000) UniProtKB/Swiss-Prot;Acc:Q9SJK7] MMSTAPSELVLYNLVPAQTLNPSPSPAAAADGSSAPDASAAAARRARPRRPSDRHAKVAGRGRRVRIPAMVAARVFQLTRELGHRTDGETIEWLLRQAEPSIIAATGTGVTPQEAPPAAVPVAGHGAAFVQVPYYTALLMQPPSADEPPLASAPPADGPAGGQENK >SECCE5Rv1G0360470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:770188855:770194859:-1 gene:SECCE5Rv1G0360470 transcript:SECCE5Rv1G0360470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALLAAAPSPLPPASWRRRPLVAAPPPALRCLRAPASAPPATPPPPRRALAPVARAAPARGGGEATEGPDQAPREWGALAGRLALGALLGSAALLGCRGAALAAAEDSIKASGFGLRVAASLRSLGWPDDAVVFTLATLPVLELRGAIPAGYWMRLHPVRLTVLAVLGNMVPVPFIILYLKKLATFLSQRSAWATRIMDLLFERARRKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASVLGMPFWSGLSANFVGVVFAGLLVNLLMNLGLKYAIGTGVLLFIVSTVMWGALRGLKKSLNTK >SECCEUnv1G0563680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:376390033:376390254:1 gene:SECCEUnv1G0563680 transcript:SECCEUnv1G0563680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYTIYKDHITLGDYEIHDGMGLELYYN >SECCE5Rv1G0356910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742697875:742698615:1 gene:SECCE5Rv1G0356910 transcript:SECCE5Rv1G0356910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSMPSVFLGLLVLLACAGSGHGVRVLHDNDAEGYVREFAFGSMAAAETETAPQDASLDDYEDEISRVEFEPGRGASYVVAAAAPGPAPGPATAGSDAATATTASMKWWLPPSTMPSFPLFPNPGGMPGMPIPAMPVPLPALPGMPAMPMPGGMPGGGMPMPMPAGIPGGGMPMPMPGGIPGGGMPLPGMPFSFKPNGWGPGAGAGTDTVPSPPRQAQPTPPATSASDGASDGSSDNPNPNEVIN >SECCE5Rv1G0374810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:864806907:864809897:-1 gene:SECCE5Rv1G0374810 transcript:SECCE5Rv1G0374810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHHQLLDEPTVGRGISMLQQAAMGFSGQPPSPPWLDLDLDLDIHELDSAPAAPANNKVTSSVGSGSHKKQHHNEYERDRRKLLNEMYSSLRSLLPDADHTKKLSIPTTVCQVLEYIPKLQNEVENLEKRKEALTRAKCKAPMLPMNDRTTPIVSATPLDAKNIVIQVSLLKNMAENLPLSKCIQVLEKEGLQLISSSTFSTFDVNRTFYSLHLQKSHGTLNKECPTLICDKLEKVVKEKATPHLE >SECCE1Rv1G0057430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693482474:693483685:1 gene:SECCE1Rv1G0057430 transcript:SECCE1Rv1G0057430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKRPHLQPPALSWSSIPLELAGLVLSLLPAEADRARFAAVCPQWRAAARQRPLPSPLPLLALPDGTFYSLPYPTPFRFPGCGFAGYQSACGSWLVFPRDDGCFLVDPFSRATVTLPPLSSVRLRPPNAVAKWSYEDGAKLADPYLTWMHMKDSDELHISKLVLCSQNLVAALVGIGYTSQILMCQPGALSWSVRAYDRCKGFEDMSFYQGKLYALTKEENLLVVNISEDHSTGDPQVSRIGQVIKGDPWFSIVFGTTTMLCKKLYLVESCGEMLMVRRTIFCRVPDDGGAIAGPSAFEVFKADFEHSQWIKVSTVGADQVLFLGRRCSRSLPFSQYGVPGDHILFLDDDEENRTEYGYAEENTSYGTYAIGSGRYRAVHPDISWKRGDEMRLAAWLFPHD >SECCE2Rv1G0078400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:107760709:107761842:-1 gene:SECCE2Rv1G0078400 transcript:SECCE2Rv1G0078400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAENFFEYFGDKKLLLMFKKLCQQKRRHKFGKIWKELDELTFKYMAEKEHAACGEMQQESVKHEVAELEAQSACNQDDSVKDVKEEDPANDTKGKITKFSDWINLKPKEKWSLAYDRDGARYDIMGSDIADIYKNDPVLKGITCLPLSAIVEVTFRRLVKYFENRSAAASKAIGNPSINFPECVQVDMNSKMQKSETHTLTYTYADEKNARGEVLDRKFTVKGRKREVTVHLKSEYTHSRNKSEGSTVETATCSCSRPQLLHKPCSHVIAICCKIGVSSATYMSPYYSLPYLGRTWSGNFDESKISRDYRNIMPFGCTTTWIPDKRLECGLPYFVTSDCLETVADESEQQCDTGNGSTEDNQGATTRTEEPNEI >SECCEUnv1G0529170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6796441:6798369:1 gene:SECCEUnv1G0529170 transcript:SECCEUnv1G0529170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGRMNAPASDDFPFAAMQQPPYVGFEHAGMVQAGGGGGGQRHQGAMMYDNLDFAAAFGQFQDHQMLALPPNGGGLLPMAPPPMPGMQLQMPPMGMHGHGDVYPGMGMGMVKREGGDAGRIGLNLGRRTYFSPGDMMAVDRMLMRPRLGGVFGLGFGRAHHQAPRCQVEDCKADLSGAKHYHRRHKVCEYHTKAALVSAAGKQQRFCQQCSRFHVLTEFDEAKRSCRRRLAEHNRRRRKPAPTSTAMSSKDSAPPSKKPNAGAISSSYTADNNTLSTTKSTISSTTSAISFLQQGEARAATAARPTALTLGASPEKDDEQQQIRNAMQLHHHQEQQHFITSLLQTNIINNSNIMSCSSVSSSPMPSAAAAAANGEVSDQNNANANNSMHMFEVDFM >SECCE3Rv1G0199940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:850005730:850007303:-1 gene:SECCE3Rv1G0199940 transcript:SECCE3Rv1G0199940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGTEHAGTAEPGAAAWRTRAVCGTEYSWCRAVPGGTGTTLLALRLSRRLWRRGATAAEAAVRSLQRAHPVLRAHIRAASTSSPTLAFPSHAPQLALVPQPSALDFDSLLERDLNINPWAADPGPDGAPVLFAAFYELPPPAGGAALFVRIHTAACDRAASAALLKELLAHLGGAGDPEEEEAAVEAGLEDRIPQKDAWKPFWARGVDMVGYSINGLRTSTLPFEETGTARSTQMVRLGFGREETTRLLDACKENRVKLCSAMAAATLLAARQSKKLDSGQQETYSVATLINCRQFLEPALDDHNVGFFYSAITNTHKIHGEEGLWELAKRCHDSYIGAKNGKKHLTDTADLNFLMCRAIENPQLTTASALRTALVSVFEEPATYDDLSGLQSKAGVEEYVGCATVHGIGPSVGLFDSIRDGQLDFACMYPSPLHSRKQIQEMFDKVKLILGEGCNAGDEKL >SECCE7Rv1G0476210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:199753494:199754972:-1 gene:SECCE7Rv1G0476210 transcript:SECCE7Rv1G0476210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCEGQHEAPAPRAGPALIVGATGYIGRFVAEACLDSGRRTFILVRPGNACPARAASVDALLRKGALVVEGRVDGKDGRRSVEAALRAHGIEVVISVMGGANILDQLGLIKAIQAAGTVKRFLPSEFGHDVDRARPVGAGVGFYEEKRRVRRAAEAAGVPYTYICCNSIAGWPYFDNMHPSEVRPPLDRFQIYGDGTVRAFFVAGTDIGKFTVKAAYDARSINKVVHFRPACNLLSTNEMACLWESKIGRTLPRVTLSKEDLLAMAAEDIIPASIVASLTHDIFINGCQTNFGIDGSRDVEVSSLYPDIPFRTIDECFDDYAHGLHLEEEAEESKKSNAPMVERLAVYPTCA >SECCE1Rv1G0041320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:570782192:570783529:-1 gene:SECCE1Rv1G0041320 transcript:SECCE1Rv1G0041320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSKRKNAMPSCGEAAKITAEVFPSNKRKKAVVSLCDRLLPDDMMLEVLLRLPVKSIFRFRAVCRSWATLFSSKDFCSLHMATSKVLPPAPKLLVVSPTQKLDSAIVCSYSPSGARHDLLFTIDSARPNSVEVLSPSPCCGLTLLYDAAALAYYVCNAATRAVTRLPPYRDPTHASTAGLGFDARTREYKVVRLINGYSHEQEALRCDVYTPGADCWRPATGRVPFRWTKSVVSAVDHAMMNRTQPVFANGFLHWLISPSLLGRRSRTAVISFSVAEETFGCIRSPPFWGPIEQLRPWSESEGEHLVVMNDQLCIVRNLRKRIPHGSALEIWGLLDYGSCDWSLNHRIDLFGHIKRELGEPQVVRVIGTVGNCKSGKKIVIATSKHMVYDKFQKKVYSYDPLCQVLEEMFSVTETHTSVQRWIPGSRFSLVEESLAPVHKIVE >SECCE2Rv1G0079660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:121782306:121783265:1 gene:SECCE2Rv1G0079660 transcript:SECCE2Rv1G0079660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAILISCAMLLAAVCHGLEVGYYRSTCPRAEALVRAEVKKAVRADAGSGAGIIRMLFHDCFVEGCDASVLLDPTPANPQPEKLGAPNNPSLRGFEVIDAAKGALERACPGVVSCADIVAFAARDASYLLSHARVSFHVPAGRLDGRRSIANDTLLFLPGPTSNLSTLVSGFAAKGLSTEDMVVLSGAHSIGRSHCSSFVPDRLATQSDIGAPLASLLRRRCPANPTTANDPTVVQDFVTPRKLDNQFYRNVLARRVLFTSDAALLSSQHTGRMVRANARFPASWEKKFAKAMVKMANIEIKGTGLGEVRKNCRFVN >SECCE6Rv1G0418930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:665470293:665471219:1 gene:SECCE6Rv1G0418930 transcript:SECCE6Rv1G0418930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLETAHVNLEDGCHDHCRSNQGVCDNIVCGCHAYPVKEGVLLNGLSNAAKLDLIALPKMFLYRWDLKWCPIFSKLKTLLLNEWFTSVDLVCILRHSPVLEILTLQLNNTKKIVGATGAQETITQSFVCEHLKFVYIECEKVDDGVRSILNILSSCGILHEQISIKEDPHSDSDCKLPFLPISTCLI >SECCE7Rv1G0491970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:541628587:541629108:-1 gene:SECCE7Rv1G0491970 transcript:SECCE7Rv1G0491970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRALLVAAVTAAALFGTALSATYTAGAPAGSWDLRTNYTQWTSTIRFYTGDELRFLYPAAAHNVVEVTRTAYDSCSSSSPVATFPSGNDVIPLAAVGTRYFICGVPGHCAGGMKVQVNVVSKVVRCRGRGARQRCTQTTPPASSAARAGAEPVLALGLGAVVAGLMLLY >SECCE5Rv1G0305700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:76003228:76007796:1 gene:SECCE5Rv1G0305700 transcript:SECCE5Rv1G0305700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQVGPNNHKNLNVVYQDLTTILGLGRFDSGNLTTGLPLKYDMDPTNLLRMRTIPSENGLRPAQYSSDNLQDMSSPCESPHAGKVKLMCSFGGKILPRPSDGVLRYVGGETRLISIPRNFSWKELVHKTLSIYNQPHTIKYQLPDEDLDALISLSCEEDLQNMMEEYYSLEKANGSPRLRIFLVSPNDCEETSLSARSLESEPEYHFVVAVNNLSQLKQSTSGNNLVSQLSQQLDNSLLPCSYSTACQTDIESGGKALAGTALNESSSQFFLAPYSQQMVAESAATSSPVLNRQRMSLNQSRLRMSSDKLTMNQEHANKYEVSNGSNLKAMLPDHQDKTQSDVGTGVGIGSTMHNLQTQRQAKDLGIPRNESYASSHTNYDKSNPMEMPFYSGKVCLHPETASWLSGMHEYTGQIHGMPHAFSDPLLNDRTEQPASNLSLTFDSYIPPSFSQKMFQANELERTISGTRPDLLCAKPPEIARIDEPNYLVSSHTDQRYDQGVTGGASFESAVYYQQDSLSSNMVQTGHDAGPVVQQRKVYHQENSAAPSVSPQCTYVDTRFTLHHTHGARLSSGELDALESSGLTSMHATDNSHSRFLHGFPNGSQTANSDRGSHVEKMNSGRVTDYGTAGCVHGNDKVAPGPHILLPIDPFEAFAPQRSVANGASAVYQNENFDQLLVHSSGLATSSPTELRNADLTVNMFGNGTFEDGLLQRDVPVFNQHNIICSDVEVIGSDCATINNEDMNLKGRMHNNFQMEARVIVEDVTDNMPSGIPSSIPIPQVEMAAEEQHHAIMSSEKDDDAKSDGPELANEDHGDKEPADGPISDAEIAELEASMYGLQIIRNADLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFAGRSSEQEKLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVAEFMVNGSLRNVLLRKDRSLDRRKKLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNMRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIALWEILTGEEPYANMHCGAIIGGIVNNSLRPPVPETCDPEWRILMEQCWSANPDIRPSFTEVTDRLRAMSAILQSRAQAPGS >SECCE6Rv1G0416640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649541981:649543314:1 gene:SECCE6Rv1G0416640 transcript:SECCE6Rv1G0416640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERSTAEPLPLPPRLSASPTPSPATVGALLTNAAGASRIRRECCSPRSLLSRILGRGGGGFGCRLRIPSYCSSGAGEAAREDAVQEEVAVPMVVVASKQETEVRESPRSSLQGMKAAREVSAASLGLGAGLLSRMAELRAQMERLVLDVRAEARGSSSTDVSDGHVDDGASVVKERIDFADAATAEDASLSRASRDAASACGDAGAGDAVAAGMDQMEAELEAELTRLQLDSDDGDGEEECVTPRRDHQLESEAKSDISSESGSSACVDIDSVLDDAAIECKEHEDSQEEGDADTDEEDEESKPCYGGVPARVLERRLHDLLQSRHEERIAELETELQKAQRKLRDKEREVSRWRDSAKLVSRHEDESRFR >SECCE2Rv1G0131630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:885849802:885852747:-1 gene:SECCE2Rv1G0131630 transcript:SECCE2Rv1G0131630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKAATASIIAAVGVVAVIGTIAAVTTSRKASEDGGNMSTSIKLSQLCSSTLYPAKCENSLTPVVNESSNPEEILRAALQVAMNEVGAAFAKYTDVGKGAADNITLSAIGECKKLLDDAIVDLKDMAGLRADQVVGQVNDLRVWISGVMTYIYTCADGFDKPELKKAMDKLLTNSTELSSNALAIITRVGQFLHQGQDAKSGSTVGGSRRLLGWYMGDAHDVESRRQLLAINGRLDEIADVRDASRRLLSETMDEITEMSHDGDRHLSESMDEIDEMSHDGDRHLSETMDEINEMSHDGNRHLSESMDEIDEMSHDGDRHLQDVSTSNLTGKANTSQVMSFGDGSSASMFFGVSNLTKEADFVRRRLLSMSFNDASSENEVKQYGEGNKRKLLSMSFNDASSENEVKQYGEGNKRKLMSMSFNDATPGNEVKQYGEGNKRKLLSMSFNDASPGNEVKQYGEGNKRRLLSMSFNDASSENEVKQYGEGNRRKLLSMSFNDASSENEVKQYGEGNRRRLLSTQLQSIADMSAQMNRRLLATELPEDLAGKRQFLSNKLVLINEVAKEANCELEAIGNGRFPEEEEEDRRVLATEVVGTIDDLPNHHSRKLLSSAGAFPEWVSSHTRRLLQFPGALQKPNAVVAADGSGNFKTITEALSSVPKKSTARFVIYVKAGEYKEYVTVSKDQANVFMYGDGPTKTRVIGDKSNKGGFATIATRTFSAEGNGFICKSMGFVNTAGPDGHQAVALHVQGDMSVFFNCRFEGYQDTLYVHANRQFFRNCEVLGTIDFIFGNSAAVFQNCLMTVRKPMDNQGNMVTAHGRTDPNMPTGIVLQGCKIVPEDALFPVRQTIPSYLGRPWKEYSRTVVMESTIGDLIKPEGWSEWMGDLGLKTLYYAEYANTGPGAGTSKRVTWPGYRVIGQAEATQFTAGVFIDGMSWLKNTGTPNVMGFIK >SECCE2Rv1G0100160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:535254747:535256227:1 gene:SECCE2Rv1G0100160 transcript:SECCE2Rv1G0100160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTALLLYTVISLSLSLAASALAPPPDTTQQAPPTVRAGYYFAADADLCPLAALDASLYTHLYYSSLSVHPMRHTLQLPADLAQARLLANFSRELKGRNPALRTLLSLATAGAEDAAAGAATASGDPAFATMAADPTSRAAFVDAAVRVARENGFDGIDVAWRFPASAVEMADFGFLVAEWRAAVPQGFLLTATVYFSNHVFGAPFAGVDYPSEAVAGSLDWINVMAFGLRPAGAAANITAFDAPLYDRASHFSVSYGVVSWLDAGVPAGKVVMGLPLYGRSWFLRNKANSGVGAPVVAAGPKQRGSNSTGAMSYAEVQALTATANGRAPVMTSYDNASVSSYLAVGDVWVAFDGAAVVAEKLGFAARCGLLGYFLWPVNYDDANLTVTRRASEVWEQSKISSDLRNVTGVRHGKAPFELPPALGSPSPASEPVPVPTSASFSWLCRKKLDAHLHLSVLIILLY >SECCE1Rv1G0012020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:85500039:85504960:1 gene:SECCE1Rv1G0012020 transcript:SECCE1Rv1G0012020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVASGATAAVESVVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDVSAFGSHGSTSHLRFRHFTSLATACAYLKDERGCDICGVEITDDALPVTAHPFRRSTAFLFGNEGTGLSQKECEVCDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFTERGREGSKFVVAEKPKGQSRGLYCSDSIEDVIEERKARRENACDIFEENGSSHPQESNGLGMMFTD >SECCE3Rv1G0157460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:81966115:81969607:1 gene:SECCE3Rv1G0157460 transcript:SECCE3Rv1G0157460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLTKIAMELLQDPLGWLFVASVVFVVLQRRRRCRAPPLPPGPNPLPIVGNMSMMDQLTHRGLTALAKKYGGFLHLRLGKVRVFAVSTPEYAQEVLQVQDAAFSNRPASLAATYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRPETWLAVRDECAALVRAVARRSGETVNLGELIFTLTKNVTFRAAFGAGAAGDAGKQEEFIAIIQEFSKLFVAFSIGDFIPWLSWVDPQGINVRLRAARAALDEFIDKIIDEHMKMGRNPDDVDADMVDDLLAFLPEAGTKKAAGDGGDDLQNTLRLTRDNIKAMIMDVMFGGTETVASAVEWAMAEMMHCPDDLRRLQQELADTVGLDRNVDESDLDKLPFLKCVIKETLRLHPPIPLLHHENAEDCVVGGYSVPRGSRVMINVFAIGRDVRAWKDADAFRPSRFMAGEGEAAGVDFKGGCFEFLPFGSGRRSCPGMALGLYSLELVVAQLAHGFNWALPDGMTPSELDMRDVYGLTVPRATRLCVVPTPRLTCSLVADDDVARQE >SECCE7Rv1G0516620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:846726647:846728487:1 gene:SECCE7Rv1G0516620 transcript:SECCE7Rv1G0516620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKDISGAIGLMAAALVLLGTWPVVLAVLERRGRLPQHTYLDYSITNFLAAVLIALTFGQIGGDTPETPNFLTQLAQPQDYWPSIMFALAGGVVVTLGTVATQYGWAYVGLSVTEVMASSLKVVIGTTLNYFLDGRINRAEVLFPGVGCFLIAACLGSLVHSSNAADNQEKLSNSRNYSTGNTAKEDLTQHLLEEEEPKDCEEAKPAVPNKAVEKAEAGTADFLIDLEDKRSIKVLGSNTLVGLAIVTFAGVCYSLFAPAFNLATNDQWHTLRDGVPHLVVYTAYFYFCLSSLLVGVALNVWCLYRPVAGVPRSTLRAYAGDREGRGLALLAGLVSGLGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTYTLLGSMLFMFVVAMAVLMASSAHRKPL >SECCE6Rv1G0402240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:482000532:482001629:-1 gene:SECCE6Rv1G0402240 transcript:SECCE6Rv1G0402240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPPPAPPYAGDPLAVTIPPSLPSPPPPPSSSLNLSPSLLIIAALLAFVFCASISIHFLLRCLSSRQSSSPAPSAVSRGHGASSRSYAEAAAAAPSAIPAAGPAAAAAGGEEVDDEKERLIASLPLFTMASALAALPKSSPDCAVCLSPFVPDAELRLLPACRHAFHAACVDAWLRNTPSCPLCRAAISLPHPPLPTAYTAAAHQEPLDARSSSASRSFRVEIGSVSNRRSSAAGDDRRTYSLGSFDYRVDEEVEAVVARIARPAAAAKSGVAGQRAAPQGPGEALAEAAGSRGWLREYVDRLASSASSLSGRWSARWSQGHHSHRQEESWRWDPEAAAMSAPRAADEEDAGLMGLYRWIVGV >SECCE1Rv1G0051060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:651019221:651022436:-1 gene:SECCE1Rv1G0051060 transcript:SECCE1Rv1G0051060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAAVMSEGESSEGEEVFIDDEDIINEIPLDEEDLPDQDDDDEQDQDMMDEAEDHSAYAFHGHTDEVFAAACSPVDASLVVSGGKDDRGFLWRIGSAEDVQELPGHNDTVSTVAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSESGFEWLKWHLRTHFIIAGSEDFNIWMWNADTNAFVNTFAGHSNTVTCGDFTPDGKLICSGSDDATLRIWDVESAHCRHVVGGHGYHTQGLTCLAITWDSQSIVSGSQDSSVHIVSIRSGQVVGSLVGHTNSVECIGISPRYNWVATGSIDETLIIWDLTRQAIRSICEHDDGVTCLAWIGSSRYVASGCIDGTVRIWDSLSGELARVLSGHRDAVQSLAVSADCNSIVSVSSDKSARVFDISMFK >SECCE4Rv1G0254280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:634205575:634206921:-1 gene:SECCE4Rv1G0254280 transcript:SECCE4Rv1G0254280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQLVATLLVLIALPAFAASAPGAGIAALLTHADAGLGLARPELLRRMAHRSRARRRRLLSSQETEPDRPMRAGLGGGGGGSIVTNEYLVHLSVGTPPRPVALTLDTGSDLVWTQCAPCLDCFDQGMPLLDPAQSSTYAALPCDAPLCRALPFTSCGGGGGGGGSWGERGCVYVYHYGDKSLTVGQLAADRFIFGNADGHSERRLTFGCGHFNKGIFQANETGIAGFGRGQWSLPSQLGVTSFSYCFTSMFESKSSLVTLGAAAELKSGTAFQSTPLLKDPSQPSLYFLSLKGISVGSKRLPVPERRLRSTIIDSGASITTLPEDVYEAVKAEFVAQVGLPVIGAEAGAALDLCFALPATAPFWRRRAVAVPSLTLHLDGADWELPRGNYVFEDHGARVMCLVLDAAAGEQTVIGNYQQQNTHVVYDLDRDVLSFAPARCDQLVASV >SECCE3Rv1G0181810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:618374936:618375676:1 gene:SECCE3Rv1G0181810 transcript:SECCE3Rv1G0181810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRSDSRRQEPTVARADGPPIPADESVDEFEFSFRSREPATAGASADELFADGRIRPFYPVFGRVFDDAHVPSAPGRRPLGRLFLEEARNSSVGSTSSSSSSAATDAGDLDGASPDSYCVWTPGASAASSPARSPRKSGSTGSLSRWRRVSEFVVGRSHSDGRDKLRFLSAPPSPAREQSKGKPRGRDSKAATELDTVAASHRMFYGTKASPGAARRTFLPYRQDLVGLFSTPKGLSRSQYHPF >SECCE1Rv1G0048410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:634711983:634716574:-1 gene:SECCE1Rv1G0048410 transcript:SECCE1Rv1G0048410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGGGGGGPCFSIRGYAARARAGAADEGGRCWPLGGPPEPLPPMEVRRFRWWADEAALADDMDEGEEERRKAAMRRKRSVVELFAAVPRVAGDDGRKVKRKLDKGKQAAGAQAKKGPKKDKAPAEIAARKKEKSENANANSLSISQLFQDAIRKRKLKKSPSKKRKNQEVSVLPNKKNINGRKKSVLSNQKAVENGCEVQTILKKHLGTGEGTFLKNSDVTCPSKSSLKSKHVTFSDAADICGLTASQTEDITEQSQVVQASQQLSQRDNSQVVNDQRNTEEPQLVHQRIDVLSGTNEQDSSSLSEKVGSTGASHTVPLTKPVYVNHCIEISKRDNGNRLSSMSSAALPSQGLAQKSAGVNFRVQEGPCPGIGRQAEENCQMMPRGPSVPAGLAVDKRLEYLMRTPLPHPYSSGYAASLKEALDRNRSTILQERLIANCHLTEVHPSVLRSGNDVVSNINTSTGSNKSTNAQPTVCVSACRNMYSDGYTGLPINSHGEFVRVQTAGTLNPDELLKTQCLGKDSLHPSTSPTFFTPNTSLDYAHLRINHEAPPFFTVANFGIRPDSHLIPTVPAAFNMGFRQRPSSERVEVHNHAIPSSIYSCRNQQGSSEQCLGARFLGHDDQLLKSLEMQSCFPRQNYKQNIQPAAEMTMRLMGKTVSLGTGIGGLENYGPCSSNQIRAEDRYFPGMCTQVSPQLFHEGLVDPAATFRILNGGRLPSEYASRFSSVPAAEQGPGFSKSNQSRQPQLAVPNKSMQPVSRYNEVKLGHQRPAVANQVQSTANHLQPGPAHCGRTASVATKPSYDPWNKFKNIAETRPGPSQFSFFPENSSSMTQMTPISNCMSGYSVQSSAASSTTQTKFTSLRPLPPSMVSSHVFSGEAARPHGSTASRPVVPLPREPGKSNAPGGVIFEDKGNMKQAPVVASRSSLESSKQINKSFKGPAEKDDVSLTLPKKPCIAVRKDLNPPPLLVEIYGSRPGGQPRDMPVRLNGGQPRDMPVRLNGGQPRDMPVRLNGGQPRDMPVRLSGPETILRAVTGDANTRSALTGSSSTAGTRPVKLQSGAKHLLQPCASASLDQVDSWPVRSVMQLEVENDARAVGASKKTDLC >SECCE6Rv1G0423230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:694866210:694869228:1 gene:SECCE6Rv1G0423230 transcript:SECCE6Rv1G0423230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLAAYTAKEDPATPAQPAVQTASAPPARAAGGHAQQEPVAATFPASSTVVAKSNNSSARTPGSSSNKRVIYIVAGDDGSHVPILRQVQVYGEEAIKGYEKTPAPACNVVKQARRDAGKQPVRRPRIQLISNNHKEQSADFMDDDEEAALALQVKLERSASYADRSLHLQTAEEHDVMEIDDISSDDTGSESDSDSSSGSESEKDEGKFFYPAPEALGTVKAPQVGMKFPTLEDAHEYYNTYALQTGFVVVRGRNYKRQRFQLDCNKNRKVKLVEDLNLKRKRKKNVIEKTNCQAKVIVKLIQGEWVITAVQNEHNHPLSPSRSFTRFLTTQKHMSPEERSFSRVLQQSRVPPGEILKILRRMSGFFRELPSKEKQALILQSAEQWRKANLDVEKTLNHFEELQLQDPCFFYTVQKDEDGMLRSIFWTDARSRLDYEIFGDFVSFDTTFSTTRHNMPFVPITGMNNRGRTIVLGCALLQDRKAETYKWMLQTFLKEMGGGQMPRSVITSQDEAMAKAIAEVMPQARHRFCRWNGKAQEKMAAFVAARGNMKAELDILVDNSLTETEFEQGWNALIQRHDASENEYLQLLWEMRKTWAPVYFMQDFFPFVVSARGSQGAFSLFKENVLPKDKIENLIEKYEEMQDKIKKTDEEDALEAATEPSCFSLQPIERHAARVYTRQIFLKVQKELLHSTAFKVQEIQRGSLYRLDKASSYENPEYDRDSFEVSVDPGVTDTYTCQCAKFARDGILCCHVFRLFTQFGIDEIPEKYIVARWTDGFREEQLERREEGRLVVAARREEDAARYAAMMSKAAGIGREICGDGAKCDAFMLELDRIREKMAGMTVENPAANDHV >SECCE4Rv1G0219840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33786942:33790767:1 gene:SECCE4Rv1G0219840 transcript:SECCE4Rv1G0219840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAQLVIGPAGSGKSTYCSGLYQHCETVGRRIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLENYLDDDYLVFDCPGQIELFTHVPVLRNFVEYLKRKNFTVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEDYLNPEAQVLLSQLNRQMAPRFHKLNKALAELVDDYNMVNFIPLDLRKESSIQYVLSNIDNCIQYGEDADVKVRDFIPEDDD >SECCE3Rv1G0205880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:903519479:903521490:1 gene:SECCE3Rv1G0205880 transcript:SECCE3Rv1G0205880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLDTEAGAGAQQKAGGGDSSGYTTAATAHAVDTDSWQQVGLLLVTGFNCAYVLSFSNLMMVPLGWGWGAACLLLLAGAAWYANWLLAGLHVVDGQRFIRYRDLMGFVFGRKMYYLTWFLQFTTLLLGSMGFILLGGRALKAISAEFTQTPPRLQWFIAATGFVYFAFAYFVPTISAMRNWLATSAALTVTFDVVLLAVLVRDGRSNERRDYAIHGTREEKVFNALGAVAAILVCNTSGLLPEIQSTLRKPSVTNMRRALALQYTVGAAGYYGISVAGYWAYGAAASEYLPNQLSGPRWASVLINATAFLQSIVSQHLFTVPIHEGMDTGMQRLEEGMFSRYNMTRRLFARGLLFGVNVFVTALFPFMGDFVNLFGSFALFPLTFMFPSMIVLKIKGECDGRLARLWHWGIIVVSSAVGLATSAAAVRLILHNASVYRFFADT >SECCE7Rv1G0461070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:35508863:35512895:-1 gene:SECCE7Rv1G0461070 transcript:SECCE7Rv1G0461070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPPPPALPEDVVEEILLRLPPDDPGCLFRASLVCKAWWSAVTHPHFRRRYIGHHRHRAPPVLGFLHDWEDERIPDFVPTTASPFSLAAPDRRFWRPLDCRHGRALFLSHHGQETQELLLWEPITGARQGIPVPSAFRCQRPTAAVFCAADGCDHRDCAGGPFGVVFVFYVEGGEVDEDWHVVTSACLYSSENGTWGQLNSRQYEFIMYFMHHSSVLVGRSLLYFLSDDGMILEYNLDSGEQAVFNTPPDHYGSGHERFNLMLAEDGGLGVAEGIDFQLILWKREASDGTDARWVLSRIVDVDGSFDPGTLAVLGFAEGANAIFVNTVDSLSMIELQSEQAKWKCDNHGLCNLIPVVSFYTPHSRLQVPGGEHHGPALRLNLLRRGGQQGAWEEKSLEWAQVLFDKGCKAINEKDLANAADCFKHALEIRVRHYGGLAPECASTFYNYGGALLCKAREATNPSGSGSKRAPNEESITPTTSKDDAGSSEASGSSVEHAPPSREGDYEEGADLHGKDQKDGNMTGDGDDSDLDLAWKMLNTARVIVAKSPDMTMEKVNILNALAETSMRREDRDRSIDCYFEALEILEHLVRPDHFRIFQQNVHICLALELASKVGDAIPYCAKAISVGKWRMHNLINAREALLSDKGRSGKSTLEDEISYVAGVLRRLQKKLEELQQAMSTPSDIMKRVVSQASHEIVGRDMKRANDKPISNEPSPKRLAADDSPSVNEI >SECCE5Rv1G0370480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:842339185:842342549:1 gene:SECCE5Rv1G0370480 transcript:SECCE5Rv1G0370480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYERIHHPPHRQSAGFSPAKLRAMLLGLEKHQHSGEDTSPEANDSGELDDRRSLECSTSTEMSSNSGHRSRNRAPDDDSFDSESSSSGQTTVKRPAAVSALLPPFSRPTPSKWDDAEKWISSPTANRTGRVMSATGFAPKKTSFALPEHGACPPAVAKVVAEVTRNTGTLTDSSVGFTQPDSIKPAETAPIVDEPEHVIRSVSMRDMGTEMTPIASQEPSRTGTPIVASSPTSTRTPTPNRSVEFGVGTIDSSKMGMSEEELQLNTRKEIMDLGERLGKTTIAAWASKEERATANFANVPADKAVEIDRETRAADWQEAEKAKYLARFQREEVKIQAWENHQRAKIEAEMKSIEAKMERNRAREHDRLARKMASARRRAEAKREAAEARRSQEAERTDEQAAQIRKTGHIPSSFSCWCWCL >SECCE1Rv1G0061670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:716787119:716805303:-1 gene:SECCE1Rv1G0061670 transcript:SECCE1Rv1G0061670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAAVEEVCARLSLGLPVADLWAALSGAFRAAGLPPGPPVRRVLFARLVALPILTLMEGEEGALVPSPEKDVEAAERRGARLVASPALGDNFLGIYDHRCSGSKLSDNQRKTLEHVAASRTSGVAQRTLSKRFHIEPNKFYFVVKTLQSQGLIAAKQAIVKSNGIGGESEDDSGKSLVGSTNLLYLSRYAKGLNMNSHQRIEITNTDALQDDETLGVDHKSHVSIHDYLPAMKAICDKLEEASEKVLAISDIKKDLGYSKPRGHRAWRNVLCRLLDAQLVEKISAKVDNKVVGCLRLLKKFNPDEFKPKSTALNYKLGMKCLATDQLMELPLDNCIYDMIQAQGPKGATLVELGRRLGGKHSNPKELGRRVSLMVQKFNLASQGEVIDKTTQYRFWTSENFSLHKANTALENCDALDDHDHRPDLWLSIPSKESDSLSPQGDSFVDDKLLFEEHCCEKPVVPVHHLPNNHEASVGVSQVEQVAFQSKRCRWPLSTSDNGRQKRILHILEKKNFVLMVELRKWLEKKENGKIVDRKTLIRTLNKLQLKCTKVNAPLVTDYTGSRSVDVILNPSVKVMSPELMDQIRNRLRNFDSQRRSGAAAKLKQKHTLAIHGLKVQRRAKVKRTPISEAIHANGFIGAKMVRAKLLHKFLWEYVSGLPNLDCVKEGQHDKNLNQSCQFSITAAIKEMPLELFLQVVGSAKIDSSTVTKCCGKTLSEIPIGVYSQLMGTHAKGRLSHLITILNRLKLIELVSNHVEDSDVRSGDIPTYSLELRPYIEEPATSIVQSSHVSVNHRPKFRHDFVLSKQESVDAYWETLKYCYVTAGSAESSAFPGNCVPEVSHVRSWSSVRVMTTKQRLELQTRLMNENEKGILPHKVCCIIAKDLNLSVQQVLYASSKNRQLHGQGSISDTQHQQKSNSRSTSQKRKRSANEIAMKFIKQKVEASGSSSRRLVKSILDGEITEKISPSPTGLPEQRLRAGSTPAHGIVGTFLHTNKDKRGSRRLGKKIFFWTSESDRKLLMAYTRYRTRRGAKISRVAWNSISDLPALPAACRKRMSSLRAKTNIRTAVNRICNILAIRYKRSKAKELSNSSYENSADSDSEQFIWDNFDDPEIRNAIDEILEFIRLGNMGQTNRISPEDERRNDDVAEEIPTEQVMQCPTSTSTIAPENVELCTCSNSMHPSKNMVIPCSVHENNITLSKAEITKRGVCKSLAIANALELLKVFLLSSLSGSEAQAALKATFQLYSESEIFTALSFLRGKNFLVTGNGMKPVTLSGKFFFDASYSPFPYGSGKKASEFLKWLVGQRKNIVDSTVYLHPDPQCGEIVHLFSLVLSGELLISPSLPSEGVGEAEADEPNSFSPLIEDTSDLDDCTYKRKGTELESSRTKRHKTLPHVDSRREKGFPGIQVALNQERIQTNNPMQVLHHKECLMFTLAMEMGSKDVDSQVESRDMLSDLNDLNSCRCLLSAFHLENSYSGWPWDTMKLYAEQLPSLSCNKNEPIVLSSDLFRNAFCIIRQTGEQGINLREMSQALHPLGMQSISLVVDMLERFQLAIKVNAFDGVQIVDSLHKPKYHITTLAEYSHCSCLRAPGSEMASTGDTRNILKEKHSTMPLNLHGTVRKLGEGHTVTVVDVERKSSSHLQSGSPGDDERPSTCCHVCRSRIYHPILPWINGDGSMNSTVYEGLSRRIIGYVMQYPGIWEEGVIRRMDVLNPQTCRTLLGKLTIDKHLYVHVGVFDEDVPSAPTMLQGLLKQGHYEGRSKSARRRYFANPMSTFML >SECCE5Rv1G0356820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742060011:742060427:-1 gene:SECCE5Rv1G0356820 transcript:SECCE5Rv1G0356820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNASMLAVIMACALLLAGSTCHAARNLADMTPAAAAPAASTVPGLPVVPTDTVTLMPPMPSVTLPTVPQVTLPPMPAIVVPKAVLPPMPKVTLPTVPQVTMAPMPAIVVPKVTLPPLPFIPYVNVPMPFATPPPSA >SECCE6Rv1G0446630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:845278946:845279686:-1 gene:SECCE6Rv1G0446630 transcript:SECCE6Rv1G0446630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVLSVGKSVLHGALSYAKSAVAEEVALQLGVRRDQAFVTRELEMMQAFLMAAHEEGDGNKVVKMWVKQVRHVAYNVEDTLQDFAVRLDRHRQSWWRIPRTLLDRRHVAKQMKELKADVEDVSQRSMRYHLIKGSGSGSKPATAGGQSAVLGETMSGVEESRRQQEKSKVNLVRLVNKRDNNNLKVIGVWGTSIVNLEDTSIIKRAYDHLKRHGKFECCAFIRLMMPTFNRIEFIQNIINPKHH >SECCE7Rv1G0509360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:779772890:779774320:1 gene:SECCE7Rv1G0509360 transcript:SECCE7Rv1G0509360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALLTEILKRITRTSDLNSLSLVSKQLYKIEGNQRGAIHAGAGLCTATKALTSLCARFPNLQKVEIDYSGCIPGHGKQLDNKGLFVFSSHCSSLIDLTLSFCSCIDDSGLGFLTYCKTLVSLSLNSVPKITSSGLFSVAVGCTSLSALHLIDCEKINSVEWLEYLGRDGSLEELVVKNCRGINHHDFLKFGSGWMKLQKFEFEMKRHDRLPGDVVYDSSYDAHSMDIYDFCCESLKVLRLAHIRTWPELGLRVVLGKCKALEKLCLEYVHALNDNDMIALSRSCSNLKSIKLWLNLQRYSIDVSNWETRTSFTDNSLYALALNCPMLQVVDLSFTSCSPDWPSEIGFTQQGFLALIQYCPIRVLVLNTANFFDDEGMKALSSSPHLETLELIACFAVTDAGMRFIAQTPCLSHLTLRVCHKVTDVGLAELGRAHKLESLVIEYCGEISLQGAQGVAKSVHYSNKFPDYLIY >SECCE7Rv1G0519300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864352901:864368191:-1 gene:SECCE7Rv1G0519300 transcript:SECCE7Rv1G0519300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLELQGVPADPATVTGAGAAHGFEFHEATVDAIQLGFSNGTLTSTALVQFYLDQIGRLNPLLHAVIEVNPDALPQAKHADAERHGGTATGALHGVPILLKDNIATRDALSTTAGSLALLGSVVKRDAGVVARLRCAGAVVLGKASLSEWANFRPVDNGWSARGGQARNPYVLSSTPCGSSAGSGVAAAANMAACETDGSILCPSSFNSVVGIKPTLGLTSRAGVVPITPLQDTVGPMCRTVSDAVHVLDAIVGYDEHDAAATGAASKYIPHGGYAQFLNKDGLRGKRIGVPNGFFQRYGQTQLNVYKQHLATMRELGAVVVEKLDVAANLSALLDEIGSNEAIAMQAEFKLSINAYLADLVHTPVHSLADIIAFNNKHPVEERLKDFGQPDLIAAESRNGIGPVERAAIQRLKELNANGLEKMMKEHQLDAIVAPNSAISSLLAIGGHPGIVVPAGYDDKGVPFGICFGGLQGYEPRLIEMAYAFEQATKVRRQPMFKP >SECCE3Rv1G0187420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:699314620:699322359:1 gene:SECCE3Rv1G0187420 transcript:SECCE3Rv1G0187420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPGMPADSVGAIVPFSGEPKQAASAPPVRPIRHGVPPPISRVYISWSSGNLLQVACLRPPGPEEGASRGAEEVGGRVVEVNLGVGAGNGSTEVEEEVDEAEMRRIEYGSVPAFALLQSRKNALADAAAMQHMHSVSEHAEWWQYVLEYSKTIGNLLGNQDSLPALMFEDPRAILKVREKPTSLKAAWELLEIFYVDKELHSWLPERLVDWLADYDSLLTKTDGTVYCKLNNFQKKLINLQIVENDDDYWNGLSAALSVGWLDVVVNMLRFHGSYQLDQMDNRETENGLVEAVAVLVSTMPRMRPDLPKGKLGQCCKTRPDFIKAWEKWRGQVSKLECSAFWIQCSHQKTRDGLKNLLHIMMGNIKDLTAATSHWLELFASHFLYIRPFTVGFEGMHHLAQKCIHLKPSFDTNGLTGLLNGILSENPEVVLAECTTKFGPWMVTHCMELLAADNDYADIMLHEERPNFGGISIEELHRLVYAQVLCSHSLTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRLVLKTLELCRLYELENVGTNIMKIAGIYHWKHGRKGTGVYWFQQAHDKVRLDRIAQQLFERIGKSVADDNFKQWEGLLELLGSDIGSAGGLEFLHRYRDFKRSLQQALEGRTGEAARQTVEFLIQLMRNPSTPQRFWLPLLHDSVKLLNCKPRPLLNVAETTLLLNKLQELSMAKLRPDFCSNHLPSHALSSVRLALGSNLARAILEEA >SECCE3Rv1G0211090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:947279461:947280435:-1 gene:SECCE3Rv1G0211090 transcript:SECCE3Rv1G0211090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQKGGNGRQKITIRRIEKASARQVCFSKRRQGLFIKATEMVVMCGAEVAVVAFSPGGKAFSFGHPSTEAIINRFLAGGGVGVPSVANDNELKKLHLQHGELRMLLKEVKGRKECVEEAMAKERAXXXAGDQIAMWLNPKLCDMGEEEMVAFAAKLRVVRAAVSERTNQVLLDEQNIHRMFQAPTPPLQQLFGGSTFEFGSSSSNIANIGMEMQQMHMAMPPMQGFAAEMDMHHMPMEMSLPLDSAYEMDMQQILMTTPPPSRFGAGMEMQKMVMVMSPQPEFATEAEMQQMFMEMSPLSEFSNGMEMPPPTGITAGVEMVQ >SECCE7Rv1G0497330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:623303709:623305688:1 gene:SECCE7Rv1G0497330 transcript:SECCE7Rv1G0497330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CUC1 [Source:Projected from Arabidopsis thaliana (AT3G15170) UniProtKB/TrEMBL;Acc:A0A178V703] MERYGSLGMRLDGGGGELPPGFRFHPTDEELITYYLLRKVVDCGFSGARAIAEIDLNKCEPWELPDKACKTTAEKEWYFYSLRDRKYPTGLRTNRATGAGYWKATGKDREIRSARNGALVGMKKTLVFYRGRAPKGQKTQWVMHEFRLEGVYAYHFLPNNTTRDEWVIAKIFVKPGAVPPSRKARYGLSSAGDTSCFSDSTSVSIGGGGGASASSALRHQLPDTSSLLAAAHAAADGESSSYGATANNNAAGNCRELVPCFSTAHMDATLLGIGQYEPATLAVEQPLAFFQAPRLVQAADNLSLPMFLPGGLQSSVSPLGMGGGGAFQHWPSSGYEMKLEGSRAPPQMAVGPGQLDGAYGWGF >SECCEUnv1G0527710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1701174:1705143:1 gene:SECCEUnv1G0527710 transcript:SECCEUnv1G0527710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLNALASYVTKMLADMARDEVAMLIGVSGQIDDLSLKLRDLNNFLEDADRRNITDKSVCAWVEDLKRAMYLATDILDLCRLKAMEQGPSMDMGCLNPLLFCMRNPLHAHDIGTRIKVLNQQLDDICKRGRSFNFIRLEGYQERKTNHPPPVDRKTHPVLDLSGVVGEKIEDDTRALVQLLTKEASDNSDNIMVFAIVGVGGIGKTTLSKKVFNDEAMQAKFSKRIWLSITQEFSEVELLRTAISAADGNLPGPGGGSQDKALLVPALVSAIKDKKFFLVLDDMWGVNEWNRLLMTPFSHGAPGSRVLATTRHEAVARGIRAMEPYHHVDKLGPGDAWSLLGKQIHGMDKSEPAIDMLKDIGLQIVEKCDGLPLAIKVMGGLLCQKEKERLVWEKVLNDAIWSVSQMPEELNNAIYLSYEDLSPCLKQCFLHFSLKPKKTLFQDIEYVGMWIGEGFVHGDSDRLEELGIEYHKELVLRNLIEPDTSYVGPHFCNMHDVVRSFAQYVSRNESLVLNNGESTSNTFSMQRYLRLSIEIKGVESDTFELRSLQEQRSLRSIILIGNFKIQPGDSMTIFSSLRTLHMESIDCVSLIESLHELKHLRYLAVQKCSDINSLPQDIHKIKLLQHLSFDGCGNLMSLPNSIVKLQELRFLDLDGTGVISVPRGFHALKKLRTILGFPAQMDGDWCSLEELGPLSHLRCIRLVDLQNVSAGSFARKARLGEKVHLSMLRLYCSNIMGDNGLKKENVTEKDQEVIEEVFDGLCPPPCIQNIQIKGYYGCQLPRWMRDTSTTLLKSLKILMLSDLACCTQLPDGLCRLPCLEFLEVYRAVAVKRVGPEFVQPSSHHHHPSSRVVVAFPRLHELVLNGLVEWEEWEWEEEVHAMPVLEELFIQGCKLRCIPPGLATHARALKKLTIASVQGLQALEGFASVVQLDLFNLPNLTNISNLPKLQKLGIDRCQNLESLQGMEQLRRLVLTVYYDTALPLYLQTVEPSHLLLDCGPGALACMALGKSGPEWDKFGHIQLVEAYADDLGEHIEKKWHLLYTSEPYNMVTNIDPQEFESGSEDEEDVASDSILEEEGVVAN >SECCE4Rv1G0268870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:745227294:745227533:1 gene:SECCE4Rv1G0268870 transcript:SECCE4Rv1G0268870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMRTTCTWTERPYEALLFPGIGFGPFLRSLGGRRRRLPSGGARAISEIPLWKSSDSNLVSDPRAKGQSQVHSFYGA >SECCE4Rv1G0242300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:474166404:474167219:1 gene:SECCE4Rv1G0242300 transcript:SECCE4Rv1G0242300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVWRLPKIEEMKNTGTEWLLETLHPLPEIDRCMLMMTLWRVWYNRNEVTHNKDPPPMDVSKRFLMSYLDSLLSLKYSDEDLLMGKQVVSYDHLYEPPVISPTPQRIPWVLPMEGWTKLNTDGSWSVDGSAGAGMVLRDNMGQIIFTSCRELFSCKNALEAELSACMEGLSLAMQRSELPIHVEMDSRQAVSMIIDESTDRSIFSSLVEEIKHLRSLRRTTFKHVHRSQNSVSDFLAKFARTQSRTAVWLNSGLSEVIELCNADHGTDLT >SECCE5Rv1G0359690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:763279405:763280493:-1 gene:SECCE5Rv1G0359690 transcript:SECCE5Rv1G0359690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGDPAGAAAAAAPNPSVRAPRLPRWTRQEILVLIEGKRMVEVRGGVRGGRGRLAAAAAGATGEAAAAALEPKWAAVAEYCRRHGVERGAVQCRKRWSNLAGDWKKIKEWERAAAGAREPSFWAMRNDARRERRLPGFFDREVYGILEGRGRGVVAGSSSGRDAAMEEVGAVRVEEDDDEEETGEEEEERGKGKAVAVAVQETVFDSGRPAGEEALFSEDEEEEDAETPEATPAPPPAVIALPISENSEASRQQSAQQGATKDRQGGQQGSTKTAGSPTLQQQSGQKRQRTGDAGEPRAEGMADKLLEILERNSQIMTAQLEAQNMNSERDREERREQANSLAVVLGRLADALGRIADKL >SECCE2Rv1G0115400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:749996994:750006481:-1 gene:SECCE2Rv1G0115400 transcript:SECCE2Rv1G0115400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASKKQHSGGIGGLRAEDVSPRLAFHNGVPADAALLAYDPLLHVLAVATRNGQIKLFGRDNTQALLQSPSPIPSKFLQFADGQGLLLSVNTQNQIEVWDIDTKRLCYLHPFEKQITAFTVLQKSFYIYAGDSSGNVSLLKLDLGQRSLVDLPYCIPFAESYGSTGNGGNGVEIVFVSPQPLAESNRLLIMFRDGVMTLWDIKASKVVFVSGRTTQQQLHQEEKNVTSSCWACTKGSKVAIGYDSGDIYFWAIPDIFSAENSSSLSNQNLPLQKLNLGYKLDKVPIISLRWVPSDGKSGRLYINGFSDQAYLYQVLILNEESESRIVKMVLPLTEACQGMEFVTGLSDPNKQRQSALVLLLKSGQICLYDDSEIERCLLQSQSRSPPTLPSHSFVKQSYGDSGINVAKFYTSDRTATASEDCFSSLASKYPWLLSMKDKGQISASSSNIHKTRNLYITGHMDGTISFWDASCPLLLQIFMIKQQHEDNASSGARITSLQFDMPSSILISGDQSGTVRVITFKKDSSDNILSFLQGKQGDNYDARSVKLKGAVTSTSMISNSKHFAVGTEKGIVSVIKIDDATILYQKQLECRVSGGIASLQFELYSHNGYDKDLLIVGMEDSSICILEEETGKLLNASPVQTNRPTRALLLQTLELSSNEASVSDNHDTALKEYSLLLCTEDAIRLFSLSHAIQGIKKITNKKRLNGSCCFASLIHSASSEIGIVLVFSNGKVETRSLPDLSLLKEASLRGFVNSKNLNSSSSITCSSDGEIILIKGEETYFFSTLCQDDIYRHVDNINMVYRKDCPLREESSYVVKSPKEKKKGLFGMIMKDTKGSKANESDANGNGQFIATTSEELASIFLSVNFTPPSARRSSSLKDDENIELDIDDIDIEDNTQKQKGPHFPGLSKQKFTKGLQALREKLKPKTEEKVNTENKKPEDEPSVRQVDEIKMKYGYATSDDSTSVPKMIGNKLQENIKKLEGINLRSADMAHGAQSFSSMAKELLRTTKNEKSSS >SECCE6Rv1G0434340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764830120:764831440:-1 gene:SECCE6Rv1G0434340 transcript:SECCE6Rv1G0434340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQEAERPSKKARGSLLPPAMDSGGLTAFALRLAKKLAEGDDTRDCNIAFSPLSLYTTLGLVATGARGRTLDELLALLGAASADEVAGFVRGLAADLSGSRGPVVTYAYGVFHQKDMELTPGFLHTAAESYNAEIRAVDFAEVDEVREESRKEINQWAAAATNNLISEILPKGSLTNLSRFVLTNAIYFKGVWETRFPKKLTEDHEFHRLDGADPVEVPFMTLPGACELLVSYNEGFKVLKLPYKGGDDSMSRHSMCVFLPDEEDGLHAMVSTLAEMGGSLLDHVPKRRSSVRKLMLPKFKLSFFCRLAQVLHGLGFREAFSEEGADLSGIVEKSVCDVCLDEVFHKAVIEVNEEGTVAAACTAVVGRKKQSARRSLEFLAVHPFAFYIVEEVSGAVVFAGHVLDPSSSQ >SECCE5Rv1G0312230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:219939345:219939974:-1 gene:SECCE5Rv1G0312230 transcript:SECCE5Rv1G0312230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLRVAPPDLQQGGNSRISYVHVPAARMSIVIYIATTINSSLFPLTKHPISLRSSGTGTEIGAFSTLFMLVTRGFRGRPTWATFRVWDTRLTSIFILFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSPVNWSNTSHQPGSISRSGTSIHVPMPIPILSNFANFTFSTRILFVLETPLPIPSFPESPLTAEIEAREEIPLKT >SECCE1Rv1G0026330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:369113311:369117172:-1 gene:SECCE1Rv1G0026330 transcript:SECCE1Rv1G0026330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAGVGTAKEAAAVGQVKAELEDAGKAAGRAVAVAAAPALSPLSETLWREKAAVEFLGDVSARLAWRDLTVTVALGSGDTQTVLEGLTGYAEPGTITALMGPSGSGKSTLLDALAGRLAANAFLSGTILLNGRKANLSFGAAAYVTQDDNLIGTLTVRETISYSARLRLPDNMPMDEKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDRLYLLSGGKPVYFGQASEACEFFAQAGFPCPPLRNPSDHFLRCINADFDKVKATLKGSMKMRFERSDDPLEHITTSDAIRRLFSYYQHSQHYLTARQKVDEMARVKGTVLDAGGSQASFGMQAFTLTKRSFVNMSRDFGYYWLRLVIYIVVTVCIGSIYLNVGTKYSSILARGACASFIFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLAFVISNTLSAMPFLILITFLSGTLCYFMVRLHPGFMHYLFFVLCLYASVTVVESLMMAIASIIPNFLMGIIIGAGIQGVFMLVSGYFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLVGLVFDNQDDELPKIPGEYILENVFQIDVSRSKWLDLSVLFGMIVIYRLLFFAMIKVSEDVTPWVRGYIARRRVQHKRREAELAMVRTPSLRGYVVDAAPELPADHP >SECCE7Rv1G0480190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:266878874:266880309:-1 gene:SECCE7Rv1G0480190 transcript:SECCE7Rv1G0480190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYGAGDLLGEAMYAGARVVVVEDCVEAPAAFVLHLLLKRALAASGGVALLALAHPFSHYDRVLRKMGCNLSMHRKSERLHFFDLQAFPGGTRGGAIADSLAQLYSGLQRVVETYRTGENAGRFTVMIDDVSLLEVAANGSADDVLDFLHYCVTLTSEMNCSLVVLVHEDIYSSEDGVGLLAHLRYIADLVIKAAPLSTGLAADVHGQLSVVNKGMLIEQRLAKGRKVWNFHFKVKENGADFFYPGSRH >SECCE4Rv1G0296260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:902133873:902135159:-1 gene:SECCE4Rv1G0296260 transcript:SECCE4Rv1G0296260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTDDSMLPDDLIVEILSRLPLKSFCRFKCVCKPWLAFSSDPNYREKLPKIPTCLLCQYQDFNKKATKLLGQPRNVEQIDGALSFLPQYPQLELMDCCNGLVLCMHRSMDWSRRTITCHFIVCNPATQEWTRLPDTRPYQEHDVCEAMLAFNPSCSPQFYIFNFKRNPLSSFLSGLDVFSSNLSTWLVYDAWWNSGMHTVIGYPHLFIDGSLYLFSLQQNSVRRILALNGFEAMSSRIPPNRQTIKLPHDPFVEPSNDLPVGMYTQGYFGQSQGAMHYALPETDGLEIRIWSLDVFGPYKWSVKYHLRMSDAFGRGNLAHYDSAQQCLDFPYDIAAMDLERDAMFLFDSQANKLRSYAIGNGKLHEVDEIEQDHEHIYQRFYHYVACYSKLPAYVPLPQPHLLEAKRPDRRTWRSKLLAIVGKSSLR >SECCE5Rv1G0364410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:801393525:801396213:1 gene:SECCE5Rv1G0364410 transcript:SECCE5Rv1G0364410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQPPLFSPYQMGRFSLTHRVVLAPLTRCRAIGGLPGPALAEYYAQRSTDGGLLISEATAISPAGAGFPRVPGIYNQEQIYAWKKVVDAVHAKGSIFFCQLWHAGRASHQAYLPDGAAPISSTDKPISASRKTLLPDGSYGTYPEPRRLATSEIPEVVEQYRQAAVNAIEAGFDGVEIHAAHGFIIDQFLKDGVNDRTDEYGGSLPGRCRFLLEVTRATVSAVGPDRVAVRVSPTIDNLDAHDSDPARLGMAVVERLNALRQEEVSGGGQLAYLHLSRALHGDGDAEEEDRRMRAMRSAYQGTFMCGGGYTRELGIVSVERGDADLVSYGRHFIANPDLVERFKLDAGLNEYVRKTFYTPDPVVGYTDYPFLDSQPESRM >SECCE2Rv1G0108510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:664847267:664849141:1 gene:SECCE2Rv1G0108510 transcript:SECCE2Rv1G0108510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPLLLLLLLALALTLPPAAPQPAPGSAAGPQEDDAKCLRGVKSDLRDPEGRLSSWTSNTSAGAVCEFSGISCWNPQESRILAVTLSGFGLQGKIPPALQYCRSANTLDLSSNALEGQIPPALCDWIPFVVSLDLSGNRLTGPLPSELANCRFLNSLKLSDNAFSGQIPASLARLDRLKALDLSGNRLEGQIPSQLGSAFSKDSFSGNSGLCGHPLSSRCGGGLGGTGLGIVIAAGVFGAAASLLLAFFFWRCTGKSKAGRRRQGRGGSESEVTAAEDGSWWAERLRAAHNRLAPVSLFQKPIVKVKLADLLAATQDFSTSHIVVAGSSRAGTAYRAVLRDGSALTVKRLHSCPLSEKAFRSEMGRIGQLRHPNIVPLLGFCVVEEERLLVYKHMESGALSKVMKEPGETLLDWATRLRIAVGAARGLAWLHHGFQMPQIHQNLSSSAVLLDEDYEARITDVGLTRLVRMAPGEGGDTSPFLNGDFGEFGYVAPEYASNPVGTMKGDTYAFGVILLELVSGQEAASVSSDVGEGFKGTLVDWVYQLKSTGRIADAVDKSLKGSDAEISEFLKVAFQCIVARPKERISMYRVYHSLKSIGTGRDVSEQFDEFPLSYNKDDSDT >SECCE3Rv1G0190850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:743759494:743759892:-1 gene:SECCE3Rv1G0190850 transcript:SECCE3Rv1G0190850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQQQGKRMQPYTTKNVLPSSSTHASRKAHKKYMEAITDMKNATTKSATWITLKEDAREDNITKLLQEHPFRSTKYIFEYMNNGFKHVQILLDEEDNRVDDSNKL >SECCE7Rv1G0508320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:771397172:771398591:1 gene:SECCE7Rv1G0508320 transcript:SECCE7Rv1G0508320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAFLLVVAALHVCCCHGQGGNDGAVAAIYSLGDSITDTGNLAKEAPPGAFETIKHLPYGVTFGRPTGRCSDGLLMIDFLAQDMGLPFLNPYLAKNMSFDHGVNFAVAGATAMDPADQFNGTFSMPFTANSLKLQLRWFKDFMKSTFDTDQEIRKRLQSSLVLVGEIGGNDYNFAFYANKSVSEVEKMIPAVVETIINATMEVLDMGASRVIVPGNFPIGCLPSYLTGMATPEPSAYDSTACLKDLNLFAAKHNTQLQRAVAGLRASYPDAAIAYADYFNSFLSLLKGAPALGFDENSTHKACCGAGGRYNYDVRLMCGMEGTAVCADPSAYVSWDGIHMTQAAYKAMSRLIYHGRYLQPQILNFPEKNG >SECCE6Rv1G0413400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:623283819:623284369:-1 gene:SECCE6Rv1G0413400 transcript:SECCE6Rv1G0413400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYRNGFHTSIILSALLLVCFATHAQCRILEDMKDVRVNIPGGLCARARGCQDVCICCLVKDACYDSFDECLADCPKTSPLDPVAVMNRSPSPSYLI >SECCE7Rv1G0522220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876307545:876309272:-1 gene:SECCE7Rv1G0522220 transcript:SECCE7Rv1G0522220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGLPLAIVIMAGHVACNPNKSAAEWSKVCKSLFPESGKDHGKEGGRDLTQEEVGRIVSHCYNDMPADIKTCSLYLSIFPKGQKISRKRLTRRWIAEAFVAEKQGLSVEDVAETYFNHLIRRKIIRPVEHSSNGKVKKCIVHDMVLEHIVAKASEENFITVIGGNWLMQLPSSKVRRLSLQESDSKRANDTEKMNLSHVRSLTMFGSLNQLPSHSFKFGIVQVLDLEGCMGFKGHHTEEICKMLLLKYLSLRRTDTKQLPKAIGKLENLETLDIRETSVVVLPKTVCQLERLVNILGGDKRTRKALKLPEELNKKKKMKALRILSGIEIVGGLADLHHLTELRKLAIYKLSTMSDDPSFKDLSSSIEYLGGYSLNTLIIDDESSKFINSLDDLSSPPKFLVALELSGKMVQLPSWITQLGALTKLTLSVTALRTDNLRLLSKLDALFSLTFSFRAEKQDSETLTILAENKLSSDGEITVPDAGFKSLKLLRFLAPLLPVLSFSKNSMRELERLELRFSMLEGLYGVENLAGLKVVHLTLEDKEGEHMTKEVQHEVEAAVKRRSDGKAPKIILDQ >SECCE4Rv1G0232010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193283320:193285230:-1 gene:SECCE4Rv1G0232010 transcript:SECCE4Rv1G0232010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITPEELLGLKEHMEARPPSPSIYLDIPPSPLYGGSVGDLVLPYITRILMEEDIDDRFFYQYPDHLHLLPAQQQFAQILDDAKSLLSGEGGDMEKMRSDASLQGIRGGSMCSDGAEVDNNGDESKLNPSNEDMLNFAFLKGMEDANKFLPRDNQLPASVDHAKEIFGRSISGGERKGRCDVDKLEEVVGRASKLMMPELEEDGAREMIDKIMLNGYELCGETMEELQITMENIRADRKNKKAVRGKQGKKEVVDLRGLLLCCAQEVATGNRHGAFNLLKQIRQHASVRGDAAQRLAHCFAKGLEARLAGTGSQVYMSLMAKHTSTMEFLKGYELFMAACSFKRVAFTFSSMTIFDAVEGKSKLHIVDYGLHYGCQWPGLLAWLATREGGPPEVRITGIDLPQPGFRPAKRLEETGRALSNCARQFGLPFKFHAIAAKWETIRAEDLNIDPDEVLVVNDLFNFNTLMDESLVIDRPSPRDVVLSNIREMQPDVFTQGVVNGSSGPFFLARFREALFFYSSVFDMLDTTTPPESYERFVLERDMFGQCALNTIACESADRVERPETYKQWQLRNQRAGLRQLPLKPIITKVATGKVKSLYHKEFVVDVDQGWLLQGWKGRILYAHSAWVADDTSSED >SECCE6Rv1G0394900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:310365238:310365453:1 gene:SECCE6Rv1G0394900 transcript:SECCE6Rv1G0394900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPTMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYENARKDTKSRR >SECCE5Rv1G0340750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:618305123:618306028:1 gene:SECCE5Rv1G0340750 transcript:SECCE5Rv1G0340750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTHVRKGKALHLAAMKAKLQGVVGNRLLLVTALAAFLLLFSARTLFSPSRGSGAASRLSGGAGDGSCSKLPAPVAEALVHYATSNVTPQQTASEIGVSLRVLQRRSPCNFLVFGLGHDSPMWAALNHGGRTVFLEEDASWIASVRSAHPGLESYHVTYDTRLTEADELIALRDHPGCTAQPDLAAAAEASCRLALRGLPAVFHEVEWDLIMVDAPTGWTPEAPGRMGAIYTAGMAARARRPGDGATDVFVHDVDRTVEDRFSKAFLCDAYLTEQVGRIRHFVIPSHREKPGTPFCPQN >SECCE5Rv1G0321110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:397314937:397316010:1 gene:SECCE5Rv1G0321110 transcript:SECCE5Rv1G0321110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLSASTCTAESEQGEHLFKIFDYSIHRGMGVGCYIESSWFTVGGHEWCLHYYPDGSTEDGKDDIVVALELAELDHKALKARVSSTISLFHWTTKRFSSSVTLPLANLPNPDYFVTHNINRGEMEASGYVVDDRLTIKCALTVIKEPYVIKEEAHVEEEAPPSEITDQLGKLLEAKEGVDVTLEVQGEKFPAHKLVLAMRSPVFKAMLYGPMMEKDSSRIVIDNMQPVVFKFLLHFIYNNSLSAVMGDGLLDGDDKREVTRHLLVAADRYGMERLKLMCESILCKDLNAESLATTLALANQHSCSRLQDACIRFIASSSTKIDDVVASTGYNQLKRTCPDTVTEMWEKASRLRKT >SECCE1Rv1G0022160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:280704260:280737017:-1 gene:SECCE1Rv1G0022160 transcript:SECCE1Rv1G0022160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDGRRRRPSAGGGGGGGGGGTWGGRRSGSSAAKEQRIRLGAEELLEGRLGFAPYTQGDRRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFPPYFYAATKEKTELEVEAYLRRRYEGEIADIEIIEKEDLDLKNHLSGLKRKYLKIQFDTVQQLMRVRSDLMHVVEKNEEERDAVDAFESIYGVKRVERPQDYVNCIIDLREYDVPYHVRFAIDNDVRSGQWYNVGVSGSDVLLQRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDSVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGHFKVKNVADEVGLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDSSQGECRAKFSCHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKASIICPNKHQADLEKFYNNRLVESETYIGGHVECLETGVFRSDLPTKFQLEPSAFEQLIENLDRDLQYAIAVEGKLDIDSVTNYDEVKDAIKQKLVSLRDHPIREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRTLEWVWRGETYTAKKSDYHHIKRQIESEMIQAGGVTSSKPFLDLSKPEHLLKLKDRLKKYCQKAYKRVVDKPITEVREAGICMRENSFYVDTVRSFRDRRYEYKGLNKTWKGKLSEAKASGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGRPLELDTDGIWCVLPGSFPENFTFKTKAEKKLTISYPCVMLNVDVARTNTNDQYQTLKDPVSKLYTTNSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYAAVASVANRWLDLLDNQGIDISDSELLGFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQGTPVSERAVPVAIFETDAEVAKFYLRKWCKVSTEANIRFILDWSYYKQRLSSAIQKIITIPAAMQKISNPVPRVLHPDWLHKKVREKDDRFRQRKLRDMFSPLNKDMGMHNLNGTGDIEDLLTSDKGLRKATASHGFNIGKENHPNGSPSADASLGHCKNQQKSVIRSSEPLRDDSADERVDKSTDYQGWLEARKRKWKYVREQKKRRRLGAAASSEGPSNNLFSARNVSQLHGNGRNRSTFFQKQELSLFRSHWQIIQLAPSTLPGRFFAWVVADGIMFKIPINVPRVFYLNSKAPITDEFPGRRVKKILPHGKPSFNLIEVVTSEEQFRAEGRKLAAHLAEPDVEGIYETKIPLELNAILQIGCVCKVDKSAKKRNIQDGWDLAELQMKTTAEFSYLEQTVSFFYLYHSLSEGRAVYVIYFPTSLRVHAVVVNPFRNKELSPAFLEKQFRDACQTPDALHENLTFHVDYHTSMDAGSKYVQRMLLEYRQQHPGPVIGIIECSKLQAIRESVRALDDFPCVTIPCNARDNNYQALGWQATAGRTSMQRCAASTQWFNERISLARYAHVPLGNFELDWLLFTADVFFSRALHDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPALTYPGAYRRISVELKIHHLAVNSLLKSSQVDEMEGGSIGNFENDIPPGPNGTETDYNDASLCLPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCSPRSRLHDPALHRLLHNVMKKVFALLLAEFRKLGANVIFANFSKIIIDTGKVDLPSARAYCDSLLKTLQTRDLFEWIELEPLHYWHSLLFMDQYNYGGIQAKTQNVTSADSSDGDDDIDIVSSWNIAEYLPKATQDHFVLIVSEFLYVPWKYMKEQVACRAAIRDDTSCTPSITIMAAENLEGQVVDYLRGQIGTYFAEKLLTIVSDILLHFKGKGKSESVGPSNSELDPHSHKGDAALEFIKHICAVLALDQNVQHDILRMRKNLLKLVRVKEFAPEAQFQDPCASFILPNVICSYCNDCRDLDLCRDSTLQGHEWRCTVPQCGQPYHREEMENALLQIVRQRERLYHLQDLVCVRCRQVKAAHVSEQCSCGGSFRCKEEAPQFLGKMRVFLNVAVSQKFELLQDCVRWILEVR >SECCE1Rv1G0017980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:171624915:171625616:-1 gene:SECCE1Rv1G0017980 transcript:SECCE1Rv1G0017980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASTPARRTMEPGLARRLWHVVLAVCHMLRRGLCRKRLMMDLHLLLGRGKLAGRALRGLLAQPATAHGHGHRISSSSTSAMASFYGHHARAVEFSCTTTPSYPQYYGLFPFKSRGGRTGGGRGTARDDYGGLDAAAVARAFEMMSADVESGRATPAVAGMATATPSPMVAWILGRSPAGVRRLRVTDSPFPVVPEDGNSGSNERVDAEADDFIKRFYEQLRMQHSIAIPEC >SECCE7Rv1G0497590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:627856660:627860262:1 gene:SECCE7Rv1G0497590 transcript:SECCE7Rv1G0497590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKQEPSTPAAGGTPWKGRLRSYHATPLNSPSTWIQSRSRNRDEDADACKFKKQAAPTTPGRSRCTRDEGGGAAGRPPKALPRRSARFAGRDPEHPIVLDEADEECEVRGNQWAITPVQRSTRFQRGDKSVSKPLVEKQSHHKLLPFTPNPRDIPHNNKTQNAVKKEKKLENAPRSSQRIAAVKASTKMEKHNKLQTVYEDSQDAPARRKTANASYKKSEMQEPKPSHGEELTGKRKRGAGRKQASRKQTHQEHKSDCQEIVPITEPRNIIYKKSENNPSSIVQPKIGDDTLMNTKECSEELSGMKEGVQQHCCASDEWTEEQDTILRQAYFTARPSPHFWKKVSKMVPGKSAEDCFNRVHADLSTPTPIAPRPRSKTQFSPLAHFTLSDPKFPNLLEPLAGRPRTAKQKSLLAQKTVRHLLKKHSLIDQAQEADHFSIFETSPSALQLNIPLDDSPGTPDNYLKSFSLHKYSVSSSARKRPLSRLKTKQAEQSPAVLKPLKNTVLHEKYINQLTRREGAKKPRKKAAGTNATDPERPLSEQRAGSVKAAKNALISEATDFIGQFKKLQANSLAHVLENSEDDEDNSV >SECCE7Rv1G0515120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:838542803:838552191:1 gene:SECCE7Rv1G0515120 transcript:SECCE7Rv1G0515120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MDEISGGAFLRAADGEVDLAALASDEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAAAGGGAGGKKAVRVGRCVKEKAGLASTAFWREKGYVDWWMRLEPRVRARITGAFFGKSAKALANEIEGSDVASSDSGSFLADSSYGSTRQSFFRKNQPGCGDVANILSCKKKPAFAKELKRLLVLQEIVCLKSNITCCGGDAIFLTSLMSAGTVADNILMRLRRLLMVVSTESINLELIGDGASNNPKKNVEKTSVGSRKGKKKSSSSKKLAASSKSSKDNGGTSTETRSSRIVSKSNQQTPSVRCTITGSASGETPCKEIAATPKVEQAIRLADCNNQCNKKKNKRKGKAKHSDLIRAENPGPGKLKTAAAHVATESLHKSAEAVDAPLCVPSHVNVSSNDIPEAVGCSESSSIFDGTEEKGIKSSRKLEDTLCSSMVISSVTTECCQTAQKPANFSVNEQSPSHTSLNESMVQPSLCSPSSSDNVLSGNPCRNSADSLVRSAQDKTGCDITQGALHGLAPGVGKGYEKQVDHSSVVTTDKLLPSVIPANILQSAISDNGTTVKNGVDEYYAFNRNLLGGTSYEWPSVAPHFVSPEMQQRPAAADRLHLDVGYRWPTQFDQPFIPANHQVRSSPVEAGCNQMLSSLSVPLSFDWPPVFRGYGKLTQNAALSYDPVFAPHMQSSAWPGFPAQLIQRGGICSEKDRKYFSDSDPRNTSDVGDDTESYWFSEEESDGRATSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSRVVDDLAIGIPSSTYNPTGASSPPSSPSPFCSQNEPSDPSPQPACHSVTGNDINNEASHSPSSMQDSPEDKTTSAVKSTSCASEIVKGDTLPYAMLRPIVVSNISRRLSRSDFRGGHDHRSPCVSSSRRDIPLVRRPPSPVLLSVPRMPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFNDDKIFNRAQFCLDGPEVVWPSWVNKGTSTGTLVQSIEDTVLQDHLVKISQLSRDQHPDVAVPLQPPDMLNGSPHKASLSLMHNALHEEIDQFCKQVAAANLVTKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLGNQDWVRSDSLKTIENTAIPVIMLVAQVPCDTNMSNEYPSVLDSSQEIPVNVLGEQGSPPRSDNSSSEGSNALAGSKMNKDECGAVRSIRLDISFKSPSHTGLQTTELVGELTQQFPAALPLALIMKKFLADRSLDHPYSGGLSSYCLVLLITRFLQHEHHLGRPTNQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLQFTAECNMPASSFSLLKKIIPSIDSNEL >SECCE3Rv1G0152520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:47792998:47794350:-1 gene:SECCE3Rv1G0152520 transcript:SECCE3Rv1G0152520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTREPWMEVLPPAAMGYYGGPRGAGGWFTGQQQRAWTADENKQFERALAGLDLRRPDWDKVAHATGKTVGEVMDHFKSLELDVRQIESGTFPGYGPGPGPGPAFTLQWDGSGGHAGAADFRHGYRFGGCGGGRRHGGRTPEQERKKGVPWTEDEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLSSGGGKDKRRSSIHDITTVHLDDQPPSPSQSSMVTQSSAPAPSAATGQYSLPADTKPHGGANAPYSSPPSYGMGLQDQGLQCGPLHDQLAANRSMLY >SECCE6Rv1G0412680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:616396043:616397822:1 gene:SECCE6Rv1G0412680 transcript:SECCE6Rv1G0412680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSCLAALALLLPSVHAGAGEAEAKSRARGFASVSGARFMVGDRPFYSDGFNACWLMYMASNPGDRSKVLDTLDQASHLGATIIRTWAFSDGGDSDRPLQITPGVYSEKMFVGLDFVIAEAKKRELYLILSLVNNLTHFGGKKQYVQWARDKGHYLPSDDDFFTDALTQQFYKNHVKKVLTRVNSFTGVAYKDEPTVFAWELMNEPRVPSDLSGKTMQAWVALMSSYVKFIDGKHMVETGLEGFYGETTPERKRFNPGGSTMGTDFIGNNRIPTVDFATIHSYPDQWMSGSSSQEQVEFTKKWMAAHIEDAATALRKPLVVAEFGWKSSGNAVAARDDYFRMVYDAVYVSAKGGGPCAGGLFWQVMAPGMDSWADGYDVVLERSPTTAAIVSQECARIAA >SECCE6Rv1G0387480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:113346074:113351323:1 gene:SECCE6Rv1G0387480 transcript:SECCE6Rv1G0387480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQLQAQARFVGVAATNAGVELELDDHQSRWVSQVRRRMEAGAEELGAVAKVFDVPRLLRATKPEAYAPQHFALGPYHYQRPELRDMERYKLAAAKRAEKLFTGDRRFDDLVQKFVKMQEMIRAPYNRFLELNGQTLAWMMAIDTCFLLDFLESYHVDGATDMVSSAANWINAMVRDAMMLENQIPLFLFAGALQLRHASEEAAADAMHAVLDRFIREVCPIKTTALAIAGDIAKRAHLLELLYHFLVPASAVFAEDAVELPPLVPEEALSIDALEQQIPDYDKVKQACMQLSSFDVAPVRFIKKNLISKPMSAASSLPGLIMRKVPLLSAMAPLLGKFMASTDVEARLKGVNLATIINSPLAQEIMIPSVAQLAACGVRFMPAPEGMAGIGFDAATATLTLPVLHLDSNTEVILRNLVAYETAAVRGPLVLARYTELMNGIIDTPKDVKILRECGIIFNTMKSDKEAADMWNGMCRAVRPSKVPLLDGVIKEVNAHRNRRAAVKARRFLKRYVFRSWRLLTLLAAVVLLLMTALQTFCTVYDCKRWFGGILELPQITPGGRQ >SECCE5Rv1G0363210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:791559930:791563097:1 gene:SECCE5Rv1G0363210 transcript:SECCE5Rv1G0363210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTALLLPALLLLPSLLAATAATEGRTITVSTTCQSSCGGVDIPYPFGIGAGCFRKGFEINCTNAGVPLLTNTSIQVVNLYVDPPESRVLLPIRWQCYQVNVSDDNTVVRNEDDKSSFNHEKNIPSQGVYRISNKHNVLFVLGCATIGIVKTIESQTLASASGCMSFCRNATAAQDGRCAGTGCCKVGIQPELTSVAFQFPNMRKEMPQMLKYSPCDYAFIADEDNYTFQRSDLYMDRTRTMPARLDWAIHEMPSCADAMDAADQYACKSNHSECVDSTNGTGYACKCSQGYEGNPYLVDESGCTDINECDDQGKYPCLKPGKCENHEGSYDCTCPPGYRNHDTKKEECTLLPSSFSLAAKISIGVILGSFLLVVALLSTLLRLQRRRTKGFFKKNGGLVLRDVRTLNIFTKKEINKITKNQSEVLGKGCFGKVYKGILPDGTTVAVKTSIKINKVRKEEFTKEVEIQSQMIHKNIIKLIGCCLEVDVPMLVYEFAANGSLQDILHGNTRLSNFPLDLRLDIAIDAAEGLSYMHSSTSRTIRHGDVKPANILLDEKNMPKISDFGTSKFLTKDKDHTGLVVGSMEYIDPMFRETGQLTQKSDVYNFGVVLLELITRKPIVYDGNHRLVVDFCDVYQKENSGEAMFDKDIATEEDTYILEEIGRLAVKCLANVVEDRPEMKEVAERLAMLRRARRSGNIHNKSPNYFEEI >SECCE1Rv1G0036070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:504993727:504998388:1 gene:SECCE1Rv1G0036070 transcript:SECCE1Rv1G0036070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIISKSGKALVSRQYVDMSRIRIEGLLAAFPKLVGTGKQHTYLETESVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSSLDEDSICKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQSKINETKDVMKKRASELDKIRMEKGKLDKGGYSSISGPRVIEKTFSDMNISGTGFGSGSGLSGIGADMDSFASKPKGRPSAAATAPGKGFGMKLGKSQKTNQFLESLKAEGEVILEDAQPSSVSSRASPLIPSDPITVTIEEKLNVIVKRDGGVNNFDVQGTLALQVLNDADGFIQLQIENKDVPGLSFKTHPNIHKELFNSQQIVGAKDPNRPFPSGQNETPLVKWRIQGMNESNLPLSVNCWPSVSGNETYVNIEYEASEMFDLNNVVISIPLPALREAPTVKQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFIVPPADPSTFFPISIGFAASNTFSDLKVTGIHPLKEGNPPKYSQRVRLVAANYQIV >SECCE4Rv1G0291700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:877643767:877656238:-1 gene:SECCE4Rv1G0291700 transcript:SECCE4Rv1G0291700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMQTPGPPQPPRPPMMGSTAPQNMGQPMPMQWPPGPPQQPPQFMQPAPQQYRPVGQAMPGVNMGMPGQMQHFQQPGPHMPHSGHVPPASQAVPMPYQSARPMSSAPMQPQQQAVFPGGLMPTMGTPMPPPSYTFQPTSVPPGAQPWGTAPGQGAPLVSPMVQPGHQSLSASVPPVSSTEPSSADWQEHSSGDGKKYYYNKRTKQSSWEKPAELMTPLERADASTEWKEFTTAEGRKYYYNKVTKQSKWSIPDELRIARELAEKTSNQQPVREIESTTVAPVGSTSVSVEPSVPAKQSSSLVGTVASSAHEAIANLPPPSAGPSYNGDISSSSMQNGGTSAAVVAPVTMSTGVPSVASDEGTNRNTYGSSSLPSITETKVGASAEDLEEAKKTMPTAGKINVTPLEDKTSEEEPVVYATKLEAKNAFKSLLESANVQSDWSWDQAMRVIISDKRYGALKTLGERKQAFNEYLNQRKKIEVEERRVKQRKARDDFLTMLEECKDLTSSLRWSKAITMFGHDERFNAVDRPKEREDLFENYLVELQKKEKAKAAEEHKRRIAEYREFLESCDFIKANTQWRKVQDRLEDDERYARLEKIDRLDVFQDYIRHLEKEEEEQKRTRKEQLRRQERKNRDEFRKMMEEHVADGTLNAKTYWRDYCSQIKDSRAYLAVASNLSGSMPKELFDDVMEELDKQYQDDRALIKEEVKSGKIPMMASWTLEDFQAAVRQDEKYKGVSNINMKLIYEDQIERLKEKDLKEAKKRQRLGDNFLDLLYSIKEITAASTWDDSKSLFDDTQEYRDLGGETYAKELFEEYIVRLKERLKEKERMREEEKAKKEKDREEREKKKEKEKEKKEKDRKEKERDREKEKEKEKGKDRSRRDEMDVDDDVEIHASKDKKREKDKEKKHKRRHHDTTDSERDEKDDSRKSRRHSSDRKKSRKHTHASDSDSENRHRRHKRDRDSSRKNAGHEELEDGELGEDGEIH >SECCE4Rv1G0233010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:221952377:221952770:-1 gene:SECCE4Rv1G0233010 transcript:SECCE4Rv1G0233010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETRRAALCFVLLVAIFLQGNPTLVSADEECKYRIPYVPFCKGWSCKVECWLEAKLFRARLQEHKCIRGGIKGACYCLFCGKHLQ >SECCE7Rv1G0505170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:731138995:731140466:1 gene:SECCE7Rv1G0505170 transcript:SECCE7Rv1G0505170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNVAMRVLLLLAVVSAAYAAKDKEEKKESADGAAASGPGGEYDIAKLGAKPDGKTDCTKEVEEAWASACGGTGKQTIVIPKGDFLTGALNFTGPCKGDGVTIKLEGNLLASNDLAKFKSNWIEIMRVKNLVITGKGNIDGQGKAVWTKNSCQKNYNCKILPNSLVLDFCDDALIEGISIINSKFFHMNIYECKGVTVKDVKVSAPGDSPNTDGIHMGDSSNVSIIDTTIGVGDDCISIGPGTKQVNISGVTCGPGHGISIGSLGRYKDEKDVTDITVKNCVLKGSTNGLRIKSYEDAKSPLIASKITYENIKMDDSGYPIIIDQKYCPNKLCTSKGDSARVTVKDVTFKNITGTSSSPEAVSLLCSDKKPCEGITMSDVKIEYSGTNNKTMAVCTHAKVTATGVDKANTCAA >SECCE3Rv1G0179460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:542244703:542248539:-1 gene:SECCE3Rv1G0179460 transcript:SECCE3Rv1G0179460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSENLRVELLSRTPPFGLRLWVVLGISIWAAILFVLGLICFLLVYWRRRGNHHDIAEPEIPDVTKEIAVDEVGSRAFVENISAPESHIFPVERNPEKGPGKMFAHLITSKSSDDHNLIECSSHQYDRAQELYSGDEGSSAYDKREYSQCATMSMSPRAGLPEFPHLGLGHWYTLRELEHSTNGFSNEYIIGEGGYGVVYHGRLINGTDVAIKKLFNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGSHRMLVYEYISNGNLEQWLHGTMRHQGVLTWEARIKITLGIAKALAYLHDGIEPKVIHRDIKSSNILIDEEFNGKLSDFGLSKLLGEGKSHITTRVMGTFGYVAPEYVNTGLLNEKSDVYSFGVLLLEAVTGRDPVNYSRPANEVHMVEWLKLMVGSRRAEEVVDPEMEAKPTKQALKRALLVALKCVDPVADRRPTMGQAVRMLEAEDVLSREERRKSRPAHVDGGDT >SECCE7Rv1G0503410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:711254817:711272964:1 gene:SECCE7Rv1G0503410 transcript:SECCE7Rv1G0503410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREAMRKKARAVPEWLNSPLWSTPPLASTPADPFGTDFSPPPAPSPKPSPSVPPPPMREQAVDSHAGREGETREEDGAGAALRAHLLADFKAALSKKVVNMGELRRLACLGVPDCGGTDVRPLVWKLLLGYLPTERSLWPYEQEKKRSQYSAYKDEFLLNPSEKLRRIEESKLSRKKELTGERNGLLPRSEVTNEEHPLSFGKSSLWNQYFQESEILEQIDRDVKRTHPDKSFFSAKPNQESLRRILIIFSRLYPSIRYVQGLNEVLAPLFYVLKNDLDTSNSTSAEADTFFCFVELISGFKNNYCKHLDNSRVGIRSTLSKLSQLLKKHDEELWRHMEVITKVYPQYYAFRWITLLLTMEFSFNVCIHIWDAMLGDPEGPPDTLLRICCAMLILVRKRLLVGDFTANIQLLQHYPQTNIDHLLHIANRLRGTMPS >SECCE6Rv1G0443610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:823643903:823645096:1 gene:SECCE6Rv1G0443610 transcript:SECCE6Rv1G0443610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLTELPGGLLAEILLRLPSPEDLARASAACPAFRRVATDGAFLRRFRRLHAPQLLAFLDLDGLQPALPPHPSAPAARALARAADFSFSFLPSHCRWVPMDVRDGRVLLGRDHGQDARPPICRELAVCDPLHRRYVLLPPVPDALVASAERPPPMRRRPFDEPLLLPLGENDEGTAFTVISMVHCETKLAPFVFSSTTGQWRAAAPMLWSAMPVPPMDHTYLRRHQAYGCFYWESTLIKRRELLVLNIQRMEFSIADLPSSGWGTLGVAIVEAGEGRLGLFGIRDGTAGAKPDLCYTVRRNKGKNSGQWQMVKTFSLGSDGLNYIKAATERCVLLICSEAPRFVGLSMEMPELEYISVDVKKLQLERVCVKPFVNSLSRTRIYAHFPPSLSSPTI >SECCE7Rv1G0470600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:122528034:122530943:1 gene:SECCE7Rv1G0470600 transcript:SECCE7Rv1G0470600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGTMEKLVFLFLWAAALMEGSMVKSLPYDSSASVECLVEPMEPHYGGGVIVNPDFNAGLRGWSAFGYGTVAEGASVATGNRYAVAGNRTRPYHSVSQKVYLQNDTHYTLSAWLQVSHGVAADVWAVVKTADDFIHIGGAVAKAGCWSMLKGGFTAAMAGRAEIYFESNATVDIWVDSVSLKPFSKEEWTAHRTESTRAVRRKMVRLQAKDSAGNPLQGADVSVENVRTSFPLGAAMSREILTNPGYQQWFTSRFTVTTFENEMKWYSTEPAPGREDYTVPDAMLALAKQHGIGVRGHNVFWDDPKQQPRWVQSLPYPELLAAASRRIRSFVSRYAGQVIAWDVVNENLHYSFFERQFGWDASTAFYAAARLLDAGSALMFMNDYNTLEQPGDMAAAPGRYVDRLRQIIASYPENGAGMAIGLEGHFTTPNIPYMRAALDSLAQIGLPVWLTEVDVAGGPMQAQHLEEVLREAYAHPAVQGVILWSAWRPQGCYVMCLTDNNFRNLPQGDVVDRLLAEWRTAAQTGTTDEQGYFQAEVAHGDYKVTVSHPSLNTSVSQSVTVDLGSGNHFFIQA >SECCE4Rv1G0242730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:488398448:488403316:-1 gene:SECCE4Rv1G0242730 transcript:SECCE4Rv1G0242730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTANPTTDLFSRLSFRRAVSPSPLRLPSLPTPAPRVSVAIVALHKRNPKRLKYDSQRQFTRGDGGMLRVKVEPSGEDFWKLDPVVDLINSGAVGVIPTDTVYSIVCDLSNNDSIERLRRIKGIVNSKPLSILCRSLRDIDTYTTGFPLGTNQGQANIFRAVKRILPGPYTFILPATKELPKQCIKHGSSTRYAKRRQVGVRMPDDPICQAILQNLEEPLICTSVKYLAEDEWILDPVTIADIYEPLGLDFIIDGGARIADPSTVVDMTGSYPTIIRQGKGAKLDWMVTGTDQEAQSTYSRKAA >SECCE5Rv1G0357860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:750084910:750085341:-1 gene:SECCE5Rv1G0357860 transcript:SECCE5Rv1G0357860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTAVVGFTLIAAQLLLLASTPTTAISIPPATTTGTTTVPSGPATDVPALPFPGEGEVAKAAADCWRVVLQAESCAVDILKWLASRQLAGRVSPACCGVLQRVGDRCLRDLFPHSAVGRLYAPFVSHACGIPKRATPSGRQ >SECCEUnv1G0560110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:344737005:344737783:1 gene:SECCEUnv1G0560110 transcript:SECCEUnv1G0560110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGESPVTCVDFWANGFGMRARIALRELGVAFEYVQEDLRVGERSELVRRMNPVHRSVPILIHRGRPVCGSLNILEYIDEVWSAPGETRCLLPGDPAGRADARFWADFVDHKAFPTQTRFLKSKGEEKYAAKEELVEQLKRLEEVLGDKGYFSGEEFGFLDVVLIPFSSMFRGYEQHGGFDLEFECPNLMRWVKRCKERESVRAVLPDETKMYDLHKEWYGIE >SECCE6Rv1G0402290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:482563709:482564171:1 gene:SECCE6Rv1G0402290 transcript:SECCE6Rv1G0402290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSASTSSLFAIVPLDAGGATSRHAMDACYLCGKPLSRDFDIFMYRGDTPFCSEECRAVQIDTDEMRERINAKILKERAARNEQRHGTAASEPNITRAGNVPVAS >SECCE1Rv1G0057380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693462856:693465804:1 gene:SECCE1Rv1G0057380 transcript:SECCE1Rv1G0057380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRNMSWTHQGANLESEEGQAQPENSNNGGTGSNSSNQGVQVTLRVPGNTTNGGVRDSRSYYESINNQRQHAQNFYPYGGVDPSFVYPSTMYNPGMPAPSANRYVPHASFGQGYPPPSPSYHQVATGTRDESSSSSSFGDATRESMKTKNAVTESGHHFDHVFASSSSSAHVPQNPAQGTWGASFESHGAPNGSSADGPNRSTPMATHPALVRHGNYVFPAGHMGQGNTWTTHPANVIADGVPYWAYNNAVHNPSGQFAHPGTMGMPNGSLQDYQAGPSATFHGPLPHFNQIPMHSMQTPAMLNHIQMQGPQRQSNVVQGANPAGMVQGANPAGMVQGANPAGMVQGANPSGMVQGANHSGMVQGANHSGMAQGPNPSGMAQGPNPSGMAQGPNPSGMGQGANRSRRVFTWDPCLPFSSSGHTNGPPVHAFFTDQVYNGSLRLLQQAAMATMSTFYDAIHLIDEQWDMGLDIDSMTYEELLALQEQIGDVNTGLPERYIQQNLRVHWYVVPGAARGPDQSVEKDACIICQEEFEARERVGILDCGHKYHEECIKQWLMVKNLCPICKATALPPE >SECCE3Rv1G0201480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:864670399:864672917:1 gene:SECCE3Rv1G0201480 transcript:SECCE3Rv1G0201480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGEHFLRQLSSSNGLQAAQDGYGGGGGRRRGSRRWSKKRAGRGYGGGGKGEAASSASAGRKRVMVVVDDSSGAKHAMMWALTHVANRGDFLTLLHVLPHYGAGAEEAPSLANSLGTLCKACRPEVEVEALVIQGPKLGTILSQVKKLEASVLVLSQTKPSTFCWLSCLARSSGEEVVEQCINQAECLTLAVRKQSKGVGGYLVSTRWQKNFWLLA >SECCE3Rv1G0156080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70156171:70156362:-1 gene:SECCE3Rv1G0156080 transcript:SECCE3Rv1G0156080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSGICPRTTCGGTEGTDSASVPHPLRKKLINHHKIKKYDTLCLTLLVIVPCCCGRCSFARV >SECCE5Rv1G0304310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:57731480:57733841:1 gene:SECCE5Rv1G0304310 transcript:SECCE5Rv1G0304310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDAAGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPDRPPTVRFHSRINMTCVNAETGLVDQRKFSLLSNWRREYTMENILIQLKKEMATSHNRKLVQPPEGSFY >SECCE2Rv1G0142840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943015161:943017213:-1 gene:SECCE2Rv1G0142840 transcript:SECCE2Rv1G0142840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEQHVQQPAAAVKSPTLEHDQQKPSNPRVSTCSTDKDDGLALCRVCHCVEPDLRGEAALGLLGIIPPSPHPSVDNDTTKTSTSKDAPTFLELISPEGDIFKCAATDIESGHLHPQDDIVNLGCSCKNELALAHYACALKWFISHGSTVCEICGNVATNVRPEDFNMVLASLKDYEALRERTSTGDLSYLHYRADAFVDPVALAAVRRQRLCEISSWFNAHNAHFVFSRSHNNEEVPVSPSNNSVDYSVTAARAAHARRTFGSSGAFVAVSLGFVLLAWFVAPHVGKRAAAIILHMLLGGLCSLTIIISLRFVFPRIQFGSIRCWAILFVSWFLVFGVWASRTRTARSAS >SECCE6Rv1G0419880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:673564103:673569367:-1 gene:SECCE6Rv1G0419880 transcript:SECCE6Rv1G0419880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWRKAKMSLGLNLCVYVPRTLDDLDAGPPSTGSSTAALVSPAASSSASSYATSANTTPTADPGSARGPGALMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTIMKPGQGHALFTAECSHTFHFHCISANVKHGSNNCPVCRTEWKELPFRGPLVAAIPQGSARINPVNGQQNGGHMTLLRPLPRARSSGRLHHVTCLLPDTDRSVFNDDEPLDLSEATVDHQQGCSRTVEITTHPEFTEIPESTSERSFTVLIHLKAPLAQNLHVPGDDSSPNTGRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTESGRKQSLLAVNSLTSNGGTNIAEGLRKGSKVIEERQAKNPVCSIILLSDGQDTYTVSPSTGPHKPYTVSPTASAQKASAEYCALLPSTNGSQQVPVHVFGFGADHDAVSLHSISQTSGGTFSFIETEATIQDAFAQCIGGLLSVVAQDLRVKVESVHPDVHFGSIRSGSYSSRIADDKRNGSIDVGDLYAEEERDFLMTLNVPQGCGEETALLKVGCVYKDPLMKETINMAEVQVKISRPAFVSVQTVSIQVDRQKNRLHAAEVMAAARFSAERGELAHAVSLLEDCRRMIMGSASGQSGDRLCQSLDAELKEMQERMASRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTTSMVDMVLRSQTLTRSSTPKPTPQMRHAKSFPARPQPR >SECCE4Rv1G0228380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:134965161:134969786:-1 gene:SECCE4Rv1G0228380 transcript:SECCE4Rv1G0228380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFIGFVIPFVASLMLTKRKTEKKRGLPVDVGGEPGYAIRNYRSEQPVETHWEGIFTLAELFEQSCKQYAYMPLHGTRKLISRETEVAADGRSFEKLHLGEYEWKSYIEAFKAVCNFSSGLMQIGHLKDERVAIFADTRAEWQIALQACFRQSITVVTIYASLGEGALCHSLNETEVTTVICGRKELKKLIDINGQLDTLKHVVYINEEGVSSEVSLAKQCTSWRVESFEEVERLGLETPIEANLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLATVSAVMTIVPALGKKDVYLAYLPLAHILELAAETIISAVGASIGYGSPLTLTDTSNKIKRGTQGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGAAKKLFDIAYSRRLAAVNGSWFGAWGLEKLVWDMLVFQKVRAILGGRIRFILSGGAPLSGETQRFINICLGAPIGQGYGLTETCAGGTFSEYNDTSVGRVGAPLSCSFIKLIDWVEGGYLTSDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEATLSVSPYVDNIMVHADPSQNYCVALVVAAHGELENWASKQGIAYSDFANLCQKQETIKEVLQSLAKAGKQSRLEKFEIPARIKLIPEPWTPESGLVTAALKLKREVIKKGYQDDLAKLYR >SECCE6Rv1G0441430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810935586:810935924:-1 gene:SECCE6Rv1G0441430 transcript:SECCE6Rv1G0441430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGGCSTCLEIVFAAVLPPLGVFFRYGWCSSEFFISLPLTMLGYVPGIIYSVYVILKTPPELPSIDGERPYYILA >SECCE5Rv1G0333610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:559541550:559545618:-1 gene:SECCE5Rv1G0333610 transcript:SECCE5Rv1G0333610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLLLPLLLVATLLFSTSSPAAASPHISAVISQSGLDFAKDLLVPRAAETLAHLSVPDIERSVSIPIIGAVRTVASRIVLGGVAVADSTVAAGDTGVVVAASLSSVNLTMEWSYSYSSWLVEISDSGNASIQVEGMDVGVSMGLKNENGSLKLFVMECGCYMKDLDITLNGGSSWFYQGFIDAFSNHIRSSVENAITNKIVEGASKLDLFLGGLPKEIYVDRVAAMNVTFINDPRFSSSSVEFDIDGLFIPSDKTARQSDMLFGDTKLAPPLGSSSNMLWISLDEDVFNSVSALYFKAGLLQHMVDKVPDQFLLNTASWRFLIPRLYRKYPNKDMLLNISAISPPSVRINVGRIDTTVDLDVIVIVLGSDDIVPVACISLSVAVSGRASVSGNNLVGKVELNYFSFDVKWSNIGKLHTGIVQSLTRIVLKNLFVPYVNSYLGQGFPLPIIKGFVIRDAYILTSYSNIIVSSDVSFIEPTNRSGIKQVGSVSKDPAVTMKQLV >SECCEUnv1G0533690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:32663579:32663967:1 gene:SECCEUnv1G0533690 transcript:SECCEUnv1G0533690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQLNLSPAPKQQQHDDGDGGDAVEAVPLWVPEQSAEAKADKAPGGRPERSIHLIPLLTFLCFLLLFLCSHAPSSSDMSSFGGGRKAGNRRLMML >SECCE4Rv1G0285620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:845784801:845786195:-1 gene:SECCE4Rv1G0285620 transcript:SECCE4Rv1G0285620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGEANTVTAGGPRRRRRVLLFPLPYQGHINPMFQLAGLLHARGFAVTVFHARFNAPDPSRHPAYDFVPVPEGLPAAGPGTVVETLEHILALNGSLEAPFRERLAALLGAPRARDEVACLVADAHLLTLADVARRQGVPTLVLRTGSAACFRNFVANPMLCDKGYLPVSAESQLDAPVRELPPYRVRDLMGADSSGRHAHGLMCKLLSRAVEAARSSAGFILNTFDALEAADLAATRRDLAGVPVFDVGPLHKLSPASSSSLLPQDRACLDWLDAQAPASVLYISFGSLASMSSEDLAEAAWGVADSGQPFLWALRPGLARGAALPDGFAAATEGRGLVVSWAPQEEVLEHGAVGGFWTHGGWNSALEGACGGVPMLCRPCFGDQMGNARHVEHVWRAGIALDGGVLERGAVEAAVRRLMRGDEGEAMRGRARELRSRAAAAVADGGSSRLSVDKLVNHILSL >SECCE1Rv1G0047480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:625875463:625877085:1 gene:SECCE1Rv1G0047480 transcript:SECCE1Rv1G0047480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSAGPGAKRMKPTAPQIYQEAPPGAEEEDDQESPDRISSLPDAILGEIISLLPTKEGARTQTLASRWRHLWRTAPLNLDCRDLPADDNGNLLGVFLSAHEGPVHRLCLPSRHLQDRAAAVDAWLRSPALDNLQALEFYLDTPVRYGSFMHSPPASVFRFSSTLRTAAITQCHLPDNVVEMLRFPQLQKLELVEVKISEGSLASLITSGCPALESLLLDTCGSFHICGLRINSPTLKIIAVDSRSVELIIENAPSLERLLNFIIHVKMRLTVISAPKLEMLGYISEYADSKMTFGSTSIQDLRIDSLTTVVRTVKTLAIHSNFNLHMVIDLMRCFPCLENLYMKIRKSTAGEANSWRRKHKNFLTSHDMRLKSIFLGYYQGIRAHVDFVTFFVLNAKVLESIRLEVGSRDFNERYFAEQRSVLQMEKSASRGARLCFKTPCDHDGSHALHVGDLDSTDPFACGC >SECCE7Rv1G0514560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:834544186:834549620:-1 gene:SECCE7Rv1G0514560 transcript:SECCE7Rv1G0514560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSTRARAAIAPAPDPQSPPAAMGGLLSTPAPSPSTSVSLGLGSPAAAADDDDGSLKSPAVEAPRRSLRLAGAASPDTPAPASSDRDGASSGARGRRRGRPRVSARAAAVAPDASPVVGESGSDGGGGEVTASGDTGSGVQWTRVSLRSGSRLGKRAVEPDAHAEVGLGPDGGGSGSGDKVHDEMLHQDAEGSAKRRKGVSARLADYVADSESDSDDDFVLPAKGSASMLAGAAAHYVPDSENDMEDFLLPGGHGMKVPENLFAPFHLTEQNVVDMGSHITGQGSGGSMRTRSGGIGQVNDRNEQLFSEESMLMHDSAEKAAADMDFSEEVLRHESGNRGEGSTKLVLGNNNSGAADSVGVQSGTRTRKFSRDDKGKGKMVVEEVLLPQNLSEDELDWEPVVLEENQSVSGAVDADVEPLRRQAARERAIKLAPKFAFFKADEDVHSDEDDEEELEPAADAQDWPGPYSTALRIMDDRDAKLRARELNPSKLANDADNVILWTPLKNKKAPLRPVPSLASLCMQTLASHAEGIESLGGIPEELKHKLLTELCRSRKMNTHLLTEILCDNPVALQLRECSWLNEDDFEAVFGKCMTESLEVLQLDLSGRCMPDYILPATLAKVPNCMPLLRKISLMGNYRLSDNGLDKLISAAPSLSSLNLSECSLLTSTGIENLANRLQSVLSELYINDCLNVDAMVILPALKKIKQLEVLSMSGIQSVCDKFVNELIPIHGSNIRELAFAGCLKLTTFSIKTIGVNCPQLSSLDIRNLNRLRDSATRHLRDGCRLIKKLKLQKNTFSDVALSQYLEESGGSLTELSLNNIEKVGNLTARAIALKCSVRLEVLDVSFCRGLSNEALGLIVDSCSSLRTLKLFGCTQITDIFLKGHSNSLVKIIGIEGSILEQLGHC >SECCE4Rv1G0269650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749747326:749748351:-1 gene:SECCE4Rv1G0269650 transcript:SECCE4Rv1G0269650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLRATVALVILVLLFMPGAMAATAASFDASRTHVAFDAQGRGPYSGVSDGRILRWDGPKLGWYGTEADVESRCGRPLGLRFNHKTGDLYVADAYKGLMRVPPGGGEATVLVDQIDGMPLRFTNGVDVDQVTGKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIEGVDAGKSEPFSDLPGYSDNVRPDRKGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGSKKVRPTEIMERDNGKIYLGSVELPYVGVVKRK >SECCE3Rv1G0171520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:272646593:272653031:1 gene:SECCE3Rv1G0171520 transcript:SECCE3Rv1G0171520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDAAVRASSDGRLRTKYDNAVYVVQRAFALYPFEEIAFSFNGGKDSTVLLHLIRAGYYLHKTSCGDEAQINTVQNCPLRTIYFETPCAFPEINSFTYETVSTYGLPLETIRSDFKSGLEGLLKEKSTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLTCKVKYCSLYDEGYTSIGSIYDTVPNALLSDSSTGNSFRPAYMLSDGRLERAGRAKKTSNKTETNSVASNGLNNAEGEQMISRSASVIVVGDEILFGTVEDKFGAALCKKLHEIGWRVSHVTVVHNEIDSVAKEVEQCKSTDDVVFIFGGLGPLHSDVSLAGVAKAFGVRLAPDEEFEEHLSQLIGNSYIGDRNEMALLPEGITELLHHKMLPLPLIKCKNVIILSATNVDELDMEWNCLLDTQESGLLRTKPFVSKHLSTLLPDVKIAPVVAKLSLEFSDVYIGSHRISRTGPLVVSLIGKDYQRVEGAAAKLSGSFEGLFSQVDSCK >SECCE1Rv1G0038910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:543827976:543832730:-1 gene:SECCE1Rv1G0038910 transcript:SECCE1Rv1G0038910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartate aminotransferase and glutamate/aspartate-prephenate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) UniProtKB/Swiss-Prot;Acc:Q9SIE1] MAFSSLSTPSSSSPSSSFTLPTKPSPGAGSLSFSKASWERQATGRFRMAAVRAEAVEVDTSISPRVSALRPSKTMAITDQASALRQAGVPVIGLAAGEPDFDTPAAIAEAGINAIRDGSTRYTPNAGTMELRKAICNKLQEENGLTYSPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPFWVSYPEMARLAGATPVILPTSISDNFLLKPESLASVITEKSRLLILCSPSNPTGSVYPRELLEEIAAIVKKYPRLLVLSDEIYEHIIYHPAKHTSFAALPGMYDRTLTVNGFSKAFAMTGWRLGYLAAPKHFVSACGKIQSQYTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVRSFRELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKDSETLCMFLLEKAQVALVPGDAFGDDKGVRISYAAALSTLQSAMEKIKDAMALLKAPAAVQ >SECCE6Rv1G0404700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:523262824:523265419:1 gene:SECCE6Rv1G0404700 transcript:SECCE6Rv1G0404700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNARKDVAGGGGGGGAFVAVPCVDIKLFVASLAFLTLFVALWQLQPYGSLLAAARSSASAPPCALPLATAVLHGKNITEVHSANSTSPDTATKTAPAATSAQATTAGVAAVPMRLARPVARAEDPNKPVLPRPHVSAAARAEEPKRPVPRPSGTAAVRPEDPNKRVLRPYGSAAALFVQMGAYRGGPRTFAVVGLASKPTHVFGTPYFKCEWLPNPTAADPAPPTVRTKAYKILPDWGYGRVYTTVVVNCTFPSNPNAANAGGKLLVHAYYSTASRRYERFVALEEAPGSYDEARFSPPFQYDYLYCGSSLYGDLSASRMREWVAYHAHFFGPRSHFVFHDAGGVSPEVKAVLDPWIRAGRVTVQDVRAQAEFDSYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYLYLPNGQALDQVLGKLSGYTQFTIEQNPMSTKLCVKNPRNDYSREWGFEKFVFRNSITKVRRDRKYAIQARNAYATGVHMSQNVYGRSTHKTETLIRYYHYHNSINVLGEPCQEFVPRPSGGRKVTFEGVLYVYDDAMKRLAGEIRRFENETIGPSSRT >SECCE1Rv1G0037950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:533129401:533130021:1 gene:SECCE1Rv1G0037950 transcript:SECCE1Rv1G0037950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERVKGTVKWFNVTKGFGFISPEDGSEDLFVHQSAIKADGYRSLNENDTVEFEVITGDDGRTKAADVTAPGGGALAGGSRPGDGGGDRGGRGGGGYGGGGYGGGGGGYGGGGGSYGGGGGSYGGGGGGYGGGGGGGRYGGGGGGGRECYKCGEEGHISRDCTQGGGGGGGYGGGGGRGGGGGGGGGCFSCGESGHFSRECPNKTN >SECCE3Rv1G0170330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:251454912:251455944:-1 gene:SECCE3Rv1G0170330 transcript:SECCE3Rv1G0170330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVFRRVNIKELISNVPVYTSSTETSGGMSLVFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTIFSLKEGHVRFERNKLTGRKWIHVEPVAGHTLHPVYANGSATAADMELL >SECCE3Rv1G0168490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:221899451:221900062:1 gene:SECCE3Rv1G0168490 transcript:SECCE3Rv1G0168490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHMESALRQGLTEPERREVEGVVEEHHTFPGRASGTCTSLVTQRVQAPLAAVWDIVRGFANPQRYKHFIKSCALAAGDGATVGSVREVTVVSGLPASTSTERLEILDDDRHILSFRVVGGEHRLRNYRSVTSVTEFTDQPSGPSYCVVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAIASTATTSSSPPPSDEQSF >SECCE2Rv1G0114440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:734829538:734831753:-1 gene:SECCE2Rv1G0114440 transcript:SECCE2Rv1G0114440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAEEEDLPATDMPRVVGVLSALLERVTERNDAAAGPGALEPASASAFRAMTKPSISVRAYMARIARFAGCSPACFVVGYIYLDRLLRRRRALAVDSYSVHRLLITTVLSAVKFMDDICYNNAYFAKVGGISLPEMNYLEVDFLFGVGFELNVSPETFGHYCAILQSEMLCLELEPEPLLPPTAAAAATPGSRMHCCLSEDDGTSATTSSNSSSTQQQQLAA >SECCE3Rv1G0182940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:640058599:640063241:-1 gene:SECCE3Rv1G0182940 transcript:SECCE3Rv1G0182940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEESELAESEPDDSGNTLKKSKLSSLVKGFHEDYQYLHKHCKDLISKLENVGHSSTDSDSSDSDSEGDRSDTDVPNQKVDALNEENGWMQKLAGEHQGEEQSMEAEIQKLKQNAEEKTKEISDLKKLLEKAITEKESTSSDVANLSSENEHLKLLVEGAEKEAAESLKTSTDMENEMRTLSGEKQTTEKERDDLKILIIDLENKTEDMSKQLQDTEEKCNSLSSQLEKAQLAEKEVQTLLSEIQKSKNENLMLSVECDNLKANEKNVDIKFSELRETFAETKAKNDSLIAENSLLESKLQLLGVEIDGMTVEKEELMKNLNKERGAAEEEKLRVVSEHSKCLNELEQAQCSIKELEKEIESTKLALSDNIAELLKEKNSAASELKQLEASLENLEHEFEQQLKQISVMQKDNEDLALVNSKLHNELAAVLGEKNEAVASSIDLENKFQQQNQQISSLQEEIEGLKAAKAVMYNEVIVQEEERHAAFAQLEQLEAYVKDLQSGIELKQNEISLFQQANEELQEKNSSLDRQLEEVKTDLQAEIIFLQGEKQQAVDDLQQSNTSVKTLERELEQQREQNYILQLANEDLQKNNCNLKKQFEDTMISHNAEITVLQEEKLKTLSELQQSEATIKNLRIELEQGREQILILDLANEEMKNRNASLNKQLEESRSSLSEEIVALSEQKETSLSELHQSHASIGNLEIELEKRNASVSVLQQANDDLQKNISSLTEEFNEVKAELQKEIKVTQEEKDAVLTQLKQAEFSIKNLESEIARLKDDLSIQLENNSRLNKQFEESRTNLQGGILGLREEKETVLSELHQSHVSIGNLEIELEKRNASVSVLQQANDDLQKNISSLTEEFNEVKAELQKEIKVTQEEKDVVLTQLKQAEFSIKNLESEIARLKEDLSIQLENNTSLNKQFEESRTNLQGDILALREEKETVLSELLQSHASIGNLEIELEKRNASVSVLQQANDDLKKNISSLTEEFNEVKAELQKEIKVTQEEKDTVLTQLKQAEFSIKNLESEIARLKEDLSIQIENNSSLNKQFEESRTNLQGDILALREEKETVLSELHQSQASVRNFERELEKQSQSISALQQANDESKQNKCALTQKLGEVKAESQRKIEAEAACQVNEMNSNVKDLEKIIDILSSQKAKVEGDLKVMVKICLENLSSMNEFEDRVTQKVSDHATKLDDLQQGFNDIGSNFQRLHHAYDDVSTKASQLEVLEKNHIEQIDQLEEKNAEILDQHRHLEEEKLCANKDNAELQRLVQELDFQLQLAKQKLEVAEAQSKRKEDSYVMEVETSKTEIVHLEEQIQLFSGRISLLEETFVQIKESAKSVVSKLENQLDELESRSIKALRASLTAYLHVVESSVSAAEAAEKMVQLEKIIEEKDEELAARVQEKREAIKQLSDTIDYHKNNSDDLIRYIRSHNRPRLPFCL >SECCE2Rv1G0080250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:128573974:128575700:1 gene:SECCE2Rv1G0080250 transcript:SECCE2Rv1G0080250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASHQPPIMMNGVSFVVSRGVCRRRLSHSQEMKVQPEAVRDWSELPLDVLASVFAKLGAVDILMGAGLVCHSWLEAAKVPSLWRFVDMEHHAVLRGKKKKSCDVLCAMAKAAVDRSNGGLEVFAGSEFVTDQLLKYIAERSPSLKSLSLDYCNVTNEAFTELIIKLPLLEELLISLCPFVDGDAYEVTSRACAQLKRLMLRQGSYGGIRDGALGIEMMHELRYLTLVNSNITTEELVAIIDGCPHMERLCVRNCRNIVVDGTLRAKCSRIKTLILPPLQHMQHVRPRYIFHPDDSIFTDTFDDWRSS >SECCE6Rv1G0430950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745184078:745185521:-1 gene:SECCE6Rv1G0430950 transcript:SECCE6Rv1G0430950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCKQPRTRPQDAAGVPTTGGRARAGGGAADVAPPGYMRPTSSSGARTGREVASAPKVASATTAQPVAAKAAAPGGSTPRAARPTCSSAQKSARGLGGNGGCGGEGHRACRYAYCSFKGHAPAGPPLGAFLASRRRLIKTEQSMKHRGVSAFRDPRTNPSSSNAVAGGKGGGFFAQVACPGAGARPKTASSGSCCSGLSAEEIESPYVNFGRRGLRGGKTDKWGASVDGSCGSSDVISDGFAEPPGTASSHSPSPGRKEQIGQEEGKASSWVHQQEAEEEDSLDCRSDISEELGPRYQGNNMSKDGSSVESSMDDISSAFGGMNFQDAGADAAATSQGNKLTMSRRRTPRGGERIRAFNPRAPNFLPMMPDPDAEKVDLRHQMTDDRKNAEEWMVDYALRRTVNKLARAQKRKVEMLVQAFETVLPPVLGEKKSDDKKSFACN >SECCE6Rv1G0428920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:731549723:731551033:1 gene:SECCE6Rv1G0428920 transcript:SECCE6Rv1G0428920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHDNDKNIEIWKVKKLIKGLDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDEGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLATKILKVVDVSYGGENGFNQAIEISAEVLSNVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTMAALEMGAVETLIVWENLEINRYVLKNSATGETCVKHFNKAQEADQSNFKDKATSADLEVVENTSLLEWFAENFRQFGCTLEFITNKSQEGSQFCRGFGGIGGILRYQVEVNAYEDVSDEEYDEDFE >SECCE2Rv1G0135460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:907071476:907073612:-1 gene:SECCE2Rv1G0135460 transcript:SECCE2Rv1G0135460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSTHTSGTKSFPRQREEMKDADPEKKYPHRAHLFIHTHKPKTCKNKIINAHVEGLKDILDKNPELADNSDGKTAWKGDALNKVLGDDNPGHVHGLGLVPNPKKLFDVSTSRVFQNTHFTSVEDTPNEDMLAFRVEMEKLYQVNKNQNAKIMELEEKMRRMERQPNQEISDPMATIGLEPLVDGHNSNRKRVLAPSIDGLQLVKKRSNNLQNKPSGSNDADLQASNKNSVSDKNKETMVRNGGSGRQLEKCSATQKNQETPDHNFSARQGEINVAAHKNVVPNKETLLENVSARQGEKTSSANKLTKKTTKGANASSKSAQSGSLSWLGTSELPAGTKVFFKSLKKHNRDVALATIVSCDPNFKLDGAEIRNEFWAVHVDMTLVKTENLVRSRKNCTTLGNAEKTKIAWPSTFIQKING >SECCE4Rv1G0281940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:827239649:827239864:1 gene:SECCE4Rv1G0281940 transcript:SECCE4Rv1G0281940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPTMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYENARKDTKSRR >SECCEUnv1G0532280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19708174:19710496:1 gene:SECCEUnv1G0532280 transcript:SECCEUnv1G0532280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLVARSLSTAHLSGRLRRPLPTAVAVPGGSLSTADRAGRLRRSLYTAAAATHPPWAIIHDTSEVDRSSSAPGARFRPVDPPGVSHIFAPAHLIDPTERPKPNAGSSDGVLQYLDGNVVQLLFGNVGAASGDGHLLLSYHDLRAEGPCSRWDLAENPEVHRFVCNPLTGQMLRLPDFGGSRRIFALHNMGLLTQADGGLGCGPPDRFAVADFVLNGAAILRFLSEEGKWKAVRPVNGNTSLPRLMEMNQETIAFGGRLWWVDLTLGAASVDPFADQPEIRFVELPSGSVLPAPPRVDEADPCKVEERGLLIMEVTNRRRIGVSEGRLRYAEVTPGGPFLLSSYALDDDEGSGWKLEHQVALRQVLADGGYSAQTAPQIAVLDPVDANIIYLRVGEDVVVVDLYNGKVIGGCRLQGEYISLVPCVLPPWLGSSRIPAQGKKDDMEVTDDLISSA >SECCE3Rv1G0182220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:624386128:624391965:-1 gene:SECCE3Rv1G0182220 transcript:SECCE3Rv1G0182220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BASS2 [Source:Projected from Arabidopsis thaliana (AT2G26900) UniProtKB/TrEMBL;Acc:A0A178VXV9] MAPSATCPLHSMASVSRALRPRPRLAAPRLGCGLRVGCSMPAYGSAATQKAEWGLAIALAPATTVLPVFRSRQISCKAEANISSNLPASEPTGASQYEKIVELLTTLFPVWVILGTVIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGYAIALTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIAMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTIVGVLAHEYFPKFTERIISITPLIGVILTTLLCASPIGQVAEVLKTQGAQLILPVALLHAVAFALGYWISKWSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRGLPADDKDDFKE >SECCE7Rv1G0525800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:892690335:892692548:1 gene:SECCE7Rv1G0525800 transcript:SECCE7Rv1G0525800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMETNIGQALAAVLTLLVTTRALWYLLWRPYAVARWFGRQGIRGPPYRFLVGSLPECQRMVVAGRAKDLDASSHDCVTTVQPFFRKWASQYGKTFLYWLGPTPALCCTDMELVKKVLTDRTDMFQKDYLNPSLDPVLGNGVVFANGDDWKRRRKFIHPAFNQGKIKAMSAITLECTQQTMERWRAQIQEGSRQHAEIDMSHDSDEIAMSVIARVMLGKNYKEAWDVLVAGKEQLKLATYAFADPPVTGFKYLPTRRNRRTWQLDKLVRSKISRIIEARLATSVYGDDLLGQMLQLQACSKTETLSNEEMVGECRTFFAAGYDTSASLITWAMFLLASYPRWQEMVREEVVREYPADELPLVDSAGKLKLLNMLLLETLRLYDPIAFLQRKTSSDTTLAHMKVPKGTMITIPLVMLHRDKEVWGPDAGEFNPMRFQNGFSKAAKHSHALLAFSCGPRVCAGQNFAMVEVQIVIATILKSFSFTLSPTYVHKPSNFITLTPRYGLPLIVRKQQQAA >SECCE5Rv1G0365650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:808334688:808338460:1 gene:SECCE5Rv1G0365650 transcript:SECCE5Rv1G0365650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGGGGGGRHPKAPSSSAAVDHTPMADQPLYPRNLDHAFSRRDSDAFSVASSMGTGAASHAGGPITSLSDRASQAAALRAVNAYLVPAAIHLRPPLPPAKDIVAAFHHLAARLRYPLKPAAWEDDLLALLRSLACPYKVTRSALKAPGTPHSWPPLLSVLYWLTLLCRATDGLGASPPPSTDLMTYITESYYLFLTGEDDAVAALDDDYHSKARAQVGALGVAIQDLEKEVQDLEAKRSKQISAPSRLKALEDKKDAFTADVQKFEAVVKSWSTKIKEKEDALVEKEKELEAKVMNCQQTMAENEELAKQVETQVVNVRDVDRMAREMQAVEHDISKLENANAVLEEKGWELEAALVSKLEDIEGLAELCNQSLRKLKPSIDFQYEVNAKGSSPAEILGTTYKTILKPALNALANETKRLIISKHDESIDLQKQLQGIVKMLEEKKSHVSVLQAKHNEMTVQLDSLDREIQSHVSRCAADARKLKDELEKKEHHMSTVEKEAEEFLKNSEEGLQAALRETDEETQMCARELLKLIDSITEYKEFVEQSTAEMKQELYECVDDIASLSAKIV >SECCE5Rv1G0331800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:541373713:541375731:-1 gene:SECCE5Rv1G0331800 transcript:SECCE5Rv1G0331800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAVVVLAIVLVASASLANAAVTLQQKDVLRAFIESRAQKRASGPAAEPDTWADPASSFRHLPTKCEAPPAGTREADRIAALPGQPPRVNFDQYSGYVTVSEEYGRALFYYFVEAPYEVASKPLVLWLNGGPGCSSLGAGAMAELGPFRVNPDGKTLSRNRHAWNNVANVIFLESPAGVGFSYSNTSVESGDAGTAVDAYLFLLNWLERFPEYKGRDFYIAGESYSGHYVPQLATIIVALGELGLTDMNLKGIFVGNPYLDDYMNTKGSLEFLWNHGVISDEVWSNISEHCSFGRFEGTACGQAKKSFKTGDIDRYNIYAPVCIESPDGSLHSSSYLPGYDPCIGAYIDAYFNNPKVQKAMHVRTNTEWSECANLNWTDAPVSMVPTIAWLVDNGLRVWLYSGDMDDVCPITATRYSVKDLNLAVTKPWRPWYTPDNEVGGYAQQYEGGFTFASVRGAGHMVPSFQPKRSLVLFYSFMKGVLPPAVSVWSP >SECCE5Rv1G0302570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:39943840:39944151:1 gene:SECCE5Rv1G0302570 transcript:SECCE5Rv1G0302570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVTKLAGQRAVVIFGMSSCCMCHTVTSLLRDLGVNPTVVDLDVVPRGKEMEKALVRLIGRNPAVPAVFIGGRLVGCTDKVMSLHLGGKLVPLLRNAGAVWV >SECCE7Rv1G0474490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:172610589:172611293:1 gene:SECCE7Rv1G0474490 transcript:SECCE7Rv1G0474490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPSFPGSDACEGDGSPHGVKKMWTKEEDDLLREQVRRCGGPHNWDSICRGLPGRNSKSCRLRWCQHLDPRVEAVKPFTIEEDMLIVKYQAAYGNRWSTIAEFLSGRTDNAVKNRWNSVLRKRQEHAPSQQGQTRPWAPSAPRHATGPEVTPRCLPLFPGSCEEVSEADTSAPARKCLDLFPLAPGDIRANAAAAAPPSDMACGAGDPLTELRIWPEARVVFDVMPLQAYRT >SECCE2Rv1G0080070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:126608682:126610433:1 gene:SECCE2Rv1G0080070 transcript:SECCE2Rv1G0080070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPPAVASPASEHTKSKKKKSKSKDATADPAAAAAADTTSLADAEAKTDGYMIKPQALVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPLAEYLRYGVINLDKPSNPSSHEVVAWIKRLLRVEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCIARFHAAVPDTARVARALESLTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDAERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDAMWALDNHKDESYIRRVVMPLEVILTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIDVGEEVVLMTTKGEAIAIGIAEMPTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMIAEGLLDKHGKPTEKTPAEWLRNVVLPTGGDAMIASLAAAPEPEKVKVEQQDVVPSEQVKEKKKRKTDEDDVTASTPAKKMKVEEVTEAVEGEKSEKKKKKKKDKGEPGSAVSEAVKEEKSSLSDEDKGGSEKKKKKKKSKEGGDDVAPESAEVEKSEKKKEKKKKKKEAEEAQ >SECCE1Rv1G0015110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:121154609:121157644:-1 gene:SECCE1Rv1G0015110 transcript:SECCE1Rv1G0015110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHVPVGRRRTQPRPTHHIKSPSLHPHGVRRPGSSSSSFGTVTLRLLLRQRARMAHTAAAVAVLGLTKPNVVEPLQVSFAAIDIEPSYWEGDVLAVAVTEKDVCRSPGSSFENAVLRRLDSQLGGLLSEASVEEDFAGKSGQLVVLRLQGQGFKRLGLIGLGRSAPSTAAACRGLGESLASVAMSVRAGSAALALASPGGIHEEFKLNAAAAIASGAVLGLYEDNRYRSESSEVHLTQVDLIGLGYGPEVDRRLELASHVSSGVIFGKDLVNSPANVLTPVVLAEEALKIASMYGDVFTATILDEEKCRELRMGSYLAVAAASANPPRFIHLCYKPPGGNVKRKLAIVGKGLTFDSGGYNIKVGAVCSIELMKWDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVDNTDAEGRLTLADALVYACRQGVDKIIDLATLTGFCRVALGPSIAGILTPSDELHEEVAAASEVSGEKFWRLPLEESYWETMKSGVADMLNTGAIPQGGVITAALFLKQFVDEKVQWMHIDIAGPVWSHKNRSATGFGVSTMVEWVLKNSS >SECCE3Rv1G0144140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3236685:3238879:-1 gene:SECCE3Rv1G0144140 transcript:SECCE3Rv1G0144140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNSAACPRSITLQTLVVISSTLSLLLAKTGAENTLGQAVCPSFSCGHLQDIQNPFRLRGDPPGCGVQEYELVCTDGQAIIHIDTGRYFVTNISYSDLVFWVVDASLDNSSCPLPERNQHPYICGLSSANTTLLFPDSGQWAAFVSCSRMIKDNAIYRPVACRSTNTSFVYVLTTMLSYLVQNVEPSCGYLAMTPLGSWRPMPNDFAGYDYEDVVKFMRTGFALRFPLYSAPSPRTYSRIINRCLNGSVSNFHEKMSSSNILDQTWAIVGIDMHFLRCVNDYSYQTKLFWAAVVIVSAISIVKFIIVFAVLSRLVFAPLSVLAFLAYKYWLTKISVDAVERFLQMQLALGPTRYAYTDITAITSHFKEKLGQGGYGSVYKGVLPGDVHVAIKMLVSSMSNGEEFISEVSSIGSIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLEKYIFSPEKSFSWDKLNQIALGIARGIDYLHRGCDMQILHFDIKPHNILLDSDFTPKIADFGLAKLYPRDNSFLPVSAARGTVGYIAPEMVSRSFGAISSKSDVYSFGMLLLEMAGGRRNVDPRASRSQTYYPAWVYNQLSKQEVGEISEAVCILHQVERKLCVVALWCIQMKPDDRPAMSEVLDMLEAGDDGLEIPPEPFFCGDEFAPAADSSVLSEISSFSG >SECCE3Rv1G0148780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20412823:20413611:-1 gene:SECCE3Rv1G0148780 transcript:SECCE3Rv1G0148780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLKLFGFHFSSAEENHTTMDMDTAAEHEPAEPEKGGSDSSSSSTTTTTATAAAAAGGGGGEGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAAAAGRAAGGGALYPRGAGGMNPMVSAFAQPPHLLGGAGDGGTGPTSWVYFSPRAAAVAGGAQGQQFHVSHGCVFPSGRGATQASASPAVFSYAPTASSAAQAAAAPYVVQADVVDHHGRRLHAASFPRYPGMVMAPEPMAAAPEDALGLDLQLSL >SECCE2Rv1G0132500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:892055983:892057939:-1 gene:SECCE2Rv1G0132500 transcript:SECCE2Rv1G0132500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G20810) UniProtKB/TrEMBL;Acc:W8PV18] MVRPAGLLDPATRRRPFLDQRRPSFRRRWQQRPLWARLLLSLLLALACALLLLGLAGSPDPSSPPATDGSSGSADADADADPSPLLRQRSYLEVITDSHNMTDEMLSAHSLSRQLMDQISLAKTYITVAKEANNLQFVAELGALVRREQSILARAAANGGTVVKEDAEKAIRDMAVLFYQAQQFRYDSTVTILKLKGQILSLEEKSKAEADKSTKYGQIAAEELPKGLYCLGVRLTMEWFNSAELQRKFSDRSPAVQSNLRDNSLYHYCVFSDNIIAVSVVVNSTTLNSKHPEKIVFHLVTDEVNYDPVRAWFALNDYRGAIVEIQKVEDFTWLNASYVPVLKQLQDAATQNFYFSGSGNRGTPIKFRNPKYLSMLNHLRFYIPEIYPELHKVVFLDDDIVVQKDLSDLFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVEWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEALDPKWHVLGLGYTTVDPETIKEGAVLHYNGNLKPWLKIGMEKYKGFWDNYVDYSHPLLQRCFMR >SECCEUnv1G0549490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:204596893:204598564:1 gene:SECCEUnv1G0549490 transcript:SECCEUnv1G0549490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSDLDRQISQLRDCKFLPDAEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDTPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQV >SECCE4Rv1G0215830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:7745991:7747626:-1 gene:SECCE4Rv1G0215830 transcript:SECCE4Rv1G0215830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKTVVVVVQENRSFDHMLGWMKSLNPDIDGVTGAETNRVVVADPSSKAVNFCDGSEYVDPDPGHSIQAIYEQVYGTPFVDAHATPITPPGVVSPPMSGFAQQAEKEKPGMAETVMSGFRPDAVPVYRELAREFAVCDRWFASNPASTQPNRLFVHSATSHGLVSNNTKALVAGLPQTTIFDSLDDAGYSFGVYYQYPPSVLFYRNLRQLKYIGNFHPFDLEFRRHCREGKLPNYVVVEQRYFDLKILPGNDDHPSHDVSEGQRFVKEVYEALRSGPQWEETLLVITYDEHGGFYDHVPTPVGVPSPDDIVSDAPFFFNFDRLGVRVPAFFVSPWIEPGTVLHRPSGPHPTSEFEHSSIPATVKKIFNLRSFLTKRDAWAGTFDVVLTRDTPRTDCPATLPEPVKLRPTEAVEHAPISDFQAELVQLGAALNGDHAKDAYPHKLVEGMTVADAVSYCNSAFKAFRDECERCKKHGKDGSHIPTMPPSKKRSGFASKMLVCFACGHS >SECCE2Rv1G0113400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:722351182:722353447:1 gene:SECCE2Rv1G0113400 transcript:SECCE2Rv1G0113400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MAFFNGGSRAVVEILTRFQSAERPMPVDHTFFEFGSVRYHVQASASDPENVYLSISTPSLSHEASPSTGLPEFTLQEARKTYHKFAEIVEPSKEGYALTLKLNFSGLARPKDRARAVRQVSLLQSVVLGSQLKHLLGSLGSSGATKLVYNHRDPFFVSRTPGKINAIFPMRFRDDTDLAVATSFFQELQDAGSSYAKAPRCSWSAIPPPELRGESVHHLTTNGGFVSFDIFERHVKRKRAAKTAWILLNFQAYVKYHIKCTRNYIQSRMRKRQETLAEVIQNARLRAGDDKKKLQVRKKSKRRLFSLGKAKKLTKGFRAVIDGIRRLRLRIRVKALDRFRRCFVMPKLATKKHDYLRLGA >SECCE2Rv1G0142610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:941611097:941612107:-1 gene:SECCE2Rv1G0142610 transcript:SECCE2Rv1G0142610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYMPTVLRAPRAVAILAMVVAVALATAVNAQQCGSQAGGATCANCLCCSKFGYCGSGDAYCGAGCQSQCSGCGGTPTPPGGEGVSSILSRDLFERLLLHRNDCQEARGFYTYEAFLTAAAAFPSFGTTGSTETRKREVAAFLGQTSHETTGGWPAAPDGPYAWGYCFKQEQGSPGSYCDPKPEWPCASGKKYYGRGPIQLSWNYNYGPAGRAIGVDLLNNPDLVATDATVSFKTALWFWMTAQANKPSSHAVITGQWSPSGADNAAGRVPGYGVITNIINGGLECGRGQDDRVANRIGFYKRYCDVLGVGYGNNLDCYNQRPFNSGLSVGLASE >SECCE5Rv1G0316320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:317834449:317838350:-1 gene:SECCE5Rv1G0316320 transcript:SECCE5Rv1G0316320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTQASPSSASSANFVMASRAFSKQALADLRAHFASLAAQSGTQGRAISRPVFLDYFGLRGALGDRLFQLVAKESSVEDGVTFEGLIVSKATYERGTKDEADEFIFQLCDVTGDSILTRSDLEAVLASIHETIFADNKEAREGSNKSTFEAFLDSAVFSKDAEGVSEKSMSLSDFRNWCIVMPSLRKFLGSLLMPPDSGRAGFQVPLLHYPENISSELLLLNKEYAWHIGGGFSQHDVQEWKLLYHSSLHGQSFNTFLGNVTNGDAQTVLVIKDTEGSIYGAYASQPWERHSDFYGDMKTFLFKLYPEASIFRPTGANKSLQWCAVNFTSENIPNGIGFGGKPHHFGLFLSAGFDQGHSFTSSTFTNPSLSNTSRFRPEVIECWGIQVKGSNDEKPELVKGTVLERFKEDRNMLKLIGMASASD >SECCE7Rv1G0475040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:181392311:181394257:-1 gene:SECCE7Rv1G0475040 transcript:SECCE7Rv1G0475040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKALLAKPIKLADQVAKQSGSGQCLRHECKELRARAEKLAEVLRQAARADLYERPAERIVAGTLQALAKAGAMSARCFDSHSRLRRFLTFNPVSGFPRTLALLDTAIEDVAWLIRISSPRADADANGAHDDDDDLRGLPNIAQNEPILFLIWDHIARLHTGSLAVRADSASTLASLARDNPHFSKLIVEEDGIPPLVKLLKEGTDDGQEAAATALGFLGRDEGSVEKLLHAGVCSVYSAALKEPPMRVQAAAAEAIASLAHQSPRCQDLFAQNNAVRHLVGHLAAGTIQEHSRYSVGGSSTRHAAPPLPEHMRSLHSVVLASTPSMLPGVSGHSANGPPNSSEGSNGRNNQMQSAAAGRTTPNRLTAAPPSRPQLSSNGSSGRGPRETDDPATKAHMKAMAAKALWKLARGHPGVCKSITESRALLCFARLLEKGDDGAGTHLQYYSAMAIMEITRVAEHNLPLRQSAFKPSSPPAKAVAEQLLSIVRRGEYDDPLLLPCITSLGCLSRTFTASETRVIGPLVRLLDDREPPVAKEAIVALTKFACTENHLHVNHCKAIVDDGGARHLVQLVYLGDELQIEALILLCYIALHVPESEEVAQAGVLAVLLWASKQSQLVQDLRVERLLSEAKARLDLFQSRGSII >SECCE7Rv1G0507190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:759311540:759311818:-1 gene:SECCE7Rv1G0507190 transcript:SECCE7Rv1G0507190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFFAITTPTGIAVGVAMASFYDPYSPRALVVEGILDSMSAGILIYMALVDLIAADFLGQRMSSSPARLQAGAYIALFLGAIAMASLAIWT >SECCE1Rv1G0012120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:87084759:87085839:1 gene:SECCE1Rv1G0012120 transcript:SECCE1Rv1G0012120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYSDVGKYRGQNGWTKEGWQAMKDRINARPPGANFTVQQLKDREQRLKKELNVVKSIVEKSGFGWDPKNKVPTALDEKWEELSSEQRKWRHKPFPYYDVLYEIHEGKMAEGKHCKRTTDGTEERYKNMSHLPEHGSYTDDVMRAASMDSPEATLSAPGSAIPEYDWGGNIYGDDVDLSYGGYSEPLGSNENHVSAAEDLTSVDSPRRKRLRNSKGSDDGSDKTKGKRGKDIVLANLVSVREEEMQTYKEMKTKQIDSYKEIKMAQMERNDPKNDPYGMPNCIQKLRTLGLTPSDQHKMINHLKEDMLNRQTFMEVDDDVLSVIIKEVVAPHV >SECCEUnv1G0571170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:498473294:498473671:-1 gene:SECCEUnv1G0571170 transcript:SECCEUnv1G0571170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGHVDDGNRRHLGPPGFGRRRGRQQSTPRAERRTSKSRSAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTR >SECCE5Rv1G0324120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:442898753:442900132:1 gene:SECCE5Rv1G0324120 transcript:SECCE5Rv1G0324120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine desulfurase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G65720) UniProtKB/Swiss-Prot;Acc:O49543] MALPRRLLPLFLRRGGRLPSSPAPAPTRALSTAALPADALAAEEDDDAITIKGVRISGRPLYLDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDAAVEEARARVARLIGADPREIFFTSGATECNNIAVKGVMHFYRDRRRHVITTQTEHKCVLDSCRYLQQEGFEVTYLPVRPDGLIDVAQLADAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICREKGVPFHTDAAQALGKIPIDVNQMGIGLMSLSAHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPIVVGFGAACEIAAKEMDYDERRVSALQQRLLDGIRSKVDDVVINGSMEHRYSGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEEEVDRATELTVHQVLKLREMSPLYEMAKAGIDIKSIQWSQH >SECCE5Rv1G0321060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:396435741:396437324:1 gene:SECCE5Rv1G0321060 transcript:SECCE5Rv1G0321060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPSAPRYHHHRKPPPPPPPPTAQAASPRFCSAAAAEDAAAEADYTSDLPEELLAVVFGLLGSGDRKRCSLVCRRWLATEASSRLRLALDARAPLLAAAPAILARFSAVSKLALKCDRRAESVGDPTLALVAHRLGPGLRRLKLRSVRAVTDHGVAALAAAAINLRKLSVGSCTFGAKGIEAVLRFCPQLEELSVKRLRGLADSEPITVSSPRLQSLALKELYNGQCFSCLITSSPNLKTLKIIRCSGDWDPVLQAIPQGALLAELHLEKLQVSDLGVAALCGLEVLYLAKAPEVTDVGLAALATKSPRLRKLHVDGWKANRIGDRGLATVAQKCAALQELVLIGVNLTSVSLELIAANCPTLERLALCGSDTFGDAEISCVATKCASLRKLCIKACPVSDAGMDKLAAGCPRLVKVKVKKCRRVTFECAERLRASRHGALAVNFDTPGGAGELQDASVDESGVLENAGSDVVQDDFDDQIGVPDLLCGTSGRPSGWKARMCALLSRSLSVSMFRRRLRGSSHNS >SECCE4Rv1G0225900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:101309743:101316458:-1 gene:SECCE4Rv1G0225900 transcript:SECCE4Rv1G0225900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAQITTDLRSSDALRQSSALLQALQQCAAGRDVSALARTAATEILAAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLRSDLSFPDPDVAASSIASFPSLPSHLLPTLLSSAHADIAAALSSPAESLRLAAVTSLSSLLPRDDLALMCSTNPSLMGHATTWWGRLTELALDSADAVAAAAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAADAIDFIWSRRNMLIARSMVMPVESFRVTVYPLVHAAKMVASGAVNTLRQIAKPGDTTVADTVEASAEKLVGVSDIVSHLLPFLSSLEPPLVFEVGINMLSLADAPGGKPEWASAAIIAILTLWDRQEFSSMRETIVRAVVANLHLLDLGMQVSLFKRLLQMLKNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDARVKDDLNSITSKSLFREELVASLVESCFQLSLPLPEQKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKTGASQDQILNETRLRNLQLQLIRDLREVHTSRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKTLFNTDSSATSSNRIQDVQAVLICAQRLGTRNARAGQLLSKELEEFRSSTSADSVTKHQSRYVLQIIKYVTNHPDNRWVGVGDATGDYPFSHHKLTVQFSEAAAAQDRKLEGLVHKAIQELWRPNPSQLTLLQTKGIGALHKDLPKACTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEEDSQMTRQKRALRPELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSIYSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITREIESDLQGWLDDITDGAVEYMPEEEVKSAAAERLKISMERIALLKAAKPKMPPAKTEQEEEEERKQSEELDGFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAQ >SECCE4Rv1G0245660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:534794901:534803158:1 gene:SECCE4Rv1G0245660 transcript:SECCE4Rv1G0245660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLAARRLVSTAAARRLLPCTSPPPSAPAALCWLSTDVSPPPPLPVPPPEPTVGPPKKDGASFSAGSGAGGAYRTGQGAASGGRRSGGAGYEEEQQKVLRASLLHVPRMGWSESAMIAGARDVSVSPAIVGAFPRKEAALVEFFMDDCLQQLMDRVDAGEGELLKNLMLTERLSRLVRMRLEMQTPYISKWPQALSIQSQPANVSTSLKQRAVLVDEIWHAAGDAGSDIDWYVKRTVLGGIYSASEVYMLTDNSPEFHDTWTFVNRRIKDALDLQKSYKEVAYLTETLGAGIGGSIQGVLNKVFQK >SECCE3Rv1G0159080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:94111748:94112251:1 gene:SECCE3Rv1G0159080 transcript:SECCE3Rv1G0159080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRVYDRALVDPEGKRRAVHVVDGPVVANGGFIRAPRRPTNQSKSGGLRALGKDALAPEDEEPQDLPPKDKRFGYYYSKGWQSPFKYESTSGQMLSWKYDAYEHEEVHARQPPAAARFGGRSACKGSRKFKHDEIKTYYLDAADVVDEQRDCLCDFDS >SECCE7Rv1G0500660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:671260851:671262104:-1 gene:SECCE7Rv1G0500660 transcript:SECCE7Rv1G0500660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVENKGGRNYLTWTDEMDEAMLNVFVEHYNRGYRAQNGWKPHVHTAVVNNARAKCNVDITKENVISRCKTIDRHYVNVSKMLSTSGFGRDLIHNKLMVDSEDVWSNYVKANKDVACYRHKVIMFWDSISLVFSKDHATGTGARTGTESAAEMATENVNNINTESAATSSTQTSEEQKRKRYRSDDSIASMLGEKLDNFTSAYKADIAQVAPLKTPSSPEEILDALNAIVGLDDDGLLAAYDILIVDDRKFKALMALPERMKKKWILKQINH >SECCE4Rv1G0221140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:46153957:46155514:-1 gene:SECCE4Rv1G0221140 transcript:SECCE4Rv1G0221140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVDFASGDGEAWRAALEAYDRRLAALDKPDLLDADSFYRHDLPLLLHRRDPDPFLAKPELVQLMQWKLSRGKWRPRLMDFVKSLDDKVVESASRKAFAALPDLSKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNVKEYTLKQYLAFAEKLQAKAEELSVGGESFTPSDVERALWSSAIASKPPKAPAGGKRKR >SECCEUnv1G0558970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:335363253:335367957:1 gene:SECCEUnv1G0558970 transcript:SECCEUnv1G0558970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSSAVAVSTPQGVAERRGIPAASFVEDVETYLRQAGLEVNSALAFLQERLQQYKMVEMKLLAQQRELQAKIPDIEKCLDIVATLKAKKALGEALIADFELSEGIYSRAKIEDSDSVCLWLGANVMLEYSCDEANELLKSNLENARASLEVLVGDLHFLRDQQTITQVTIARIFNWDVHQRRSKQSVMKET >SECCE2Rv1G0110790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:694147393:694149175:1 gene:SECCE2Rv1G0110790 transcript:SECCE2Rv1G0110790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLEAIKELVTDANFDCSGTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLGNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPNQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSSEFSRICKDLSSIGDTVIISVTKEGVKFSTAGDIGTANIVCRQNKTVDKPEESTIIEMQEPVSLTFALRYMNSFTKASPLSDQVTISLSSELPVVVEYKIGEMGYIRFYLAPKIEDDEEMKA >SECCE2Rv1G0114670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:737532871:737534703:-1 gene:SECCE2Rv1G0114670 transcript:SECCE2Rv1G0114670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCYSDWPPLPPLHPSRRSSPNTSLCTIKRQLASFVLHCSRSCASPVLEPKNLHGELHVLSAPAPPAKAAPVSTLPDAAKLGITNKFIRGLCSDRQTEPLAFECYRRALQQPEFRPDKKTMNALTVQLLRAKQWSSLEHLVQDFMAYGVLPERRTCARLVATCVKARKFGLADVVLGVLEGKKGPAAAVCFSSALQAYNKLHMYRSTVLLYERMKAACLSVNADAYRAVMAAFGALGEPDTVASLFKQYRSRKWYPSETCLETYTIACDALGRAGRALDALKCLREMEADGISPDAAIYSTVIGSLADARETASSEDVYHEAWKKGMLRDPDMFLKVIIMQVETGLLEDTLGVAKDMRDIGLRVTDCVMSTIVNGFVKRRGLKPAIRAYDKLVAIGCEPGQVTYASAINVYCRLGRSDRAESVFSEMIERGFDKCVVAYGNMISMYGQIRRASDATRLLALMKQKGCEPNVWVYNSLLDMRGKLGDSRQAEKIWKEMMRRKVQPDRVSYTAIVGAFNRSGELDRCMDYYQEFRETGGTGDKTLAGLMVGVFCKTSRFNDLIQLLRDMKLQGTKLDRRLYMIVLNSLREAGLEVHVRWLQDYFNSVEEKT >SECCE5Rv1G0343950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:642749606:642750562:-1 gene:SECCE5Rv1G0343950 transcript:SECCE5Rv1G0343950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRRRLRRRKNSRRVPEREVDIDAVPGELLELVFLRLPSPAHLIRAASTCRRWRNVIAGDGGGFLRRFGSLHGAASDHVVGHYRVDERYGHPRPPGLNPVFVPSSSSSSPWADAVANRNLSLDFLPRAEFGDCGWELADIRGGLLLLFHSELAPGLLVCDPLRRCYREIPRSAWFHGCHMLGAFLLEGEGEGEEDAGISLSNFRVTCALFRFGDRNARACAFSSAGGGWTSGAGRSSTPVCHDRELAPIYFTGSSKRAAYWTVGDNVILALHKENAEIFSGIVLGDAQYALLRDKRHAREYAYQLPWPPTIEACVI >SECCE5Rv1G0317170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:338346079:338357366:1 gene:SECCE5Rv1G0317170 transcript:SECCE5Rv1G0317170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMVSILEPSKPNFFPAMTKIVGTLGPKSRSVEAISACLKAGMSVARFDFSWGDAAYHQETLENLKLAIKATKKLCAVMLDTVGPELQVVNKSEVTISLEENESVVLTPHQGQEASSKLLPINFSGLAKAVKPGATIFVGQYLFTGSETTSVWLEVSEVQGDDVVCVIKNSATLAGSLFTLHCSQIHIDMPTLSDEDKEVMKKWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTLIFAKIENVEGLNHFDEILEEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYFKRTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSTTNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >SECCE3Rv1G0165620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:176652626:176653273:1 gene:SECCE3Rv1G0165620 transcript:SECCE3Rv1G0165620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPSTGVPASDGPPAPYARQQNYSFNGRVLLMAAFLLFGLTIFFTLIRFLLYVLVARSGGRRRRGSFTAGILRSINSFGGTSGRRGLDASALSALPVTTYQKEGAATAGADCAVCLSELADGEKVRELPNCGHSFHVECVDAWLRSRTTCPLCRAEAELPKENGKAEVAAQPSSSSAREPPQQALFGAGGTLIVTVQGGFPDTQRGVRGSTSG >SECCE2Rv1G0085400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:190632686:190633582:-1 gene:SECCE2Rv1G0085400 transcript:SECCE2Rv1G0085400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEMVFCGTASFKDVDKGEPMNSGGGKKTQRKEKKKRAAGKKENPYASRGLDKFSMVLAELESRREKVLRRVDDGDHVMVRFVQSDTKGWVPIVVKLPAEEPATKAEPKKKCKFKLAASSTPPPTQPPSPRTESTSPRGGDDAVMHAAAVTAPAVAASPTKKKASAAGRLSWGNKAIRPSQYWPFVAVLLLLSLVVFGRMFAICCTSIWWYLVPILNGEDGGPRSMGKTGRHLGKKASDKKIGEKITWASLPPSHGKKGSSGDHEVISPRRSHGKKSSSSGDHEVISPRSHAHGKKG >SECCE4Rv1G0258780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679304411:679305466:-1 gene:SECCE4Rv1G0258780 transcript:SECCE4Rv1G0258780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTRGVAAATISLAVLLLIFCFSPCTAAATATTAVPSIDATRTRHLPLPRGLLRGPESVAFDAKGRGPYSGVSDGRVLKWNGDKLGWSTYTYNPDYSSEACTASLLRSETATEGHCGRPLGLRFHLKSGYLYIADAYKGLMRVAPGGGEATVLVTEVDGVPLRFTNGVDIDQVTGEVYFTDSSKNYNRSQHEMVTRTGDSTGRLLRYDPRTGKAVVLQADITYPNGLAISADRTHLVISSTGPCKLLRYWIKGSKTGTMELFADLPGYPDNVRPDKKGGYWVALHREKAELPFGIDSHLLALRIDAEGKIIEEMRGPKSVRPTEVVERKGGRLFMGSVELHYVSVVTRK >SECCE4Rv1G0254570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:639282821:639284912:-1 gene:SECCE4Rv1G0254570 transcript:SECCE4Rv1G0254570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVARYKIALCQLPVTADKHGNIARARARIEAAAAAGAKLVVLPEIWSCPYAVGTMRSYAEDIDGGRSPSVSMLSEVAAASKITIVGGSVPELASGQLFNTCCVVGPDGEIKAKHRKLHLFGIDIPGDITFRESDTFTAGQEPTVVDTDVGRIGIGICHDIRFPELAMLYRSRGAHLICYPSAFNMSTGNLLWDLMQKCRAVDNQLFVATCSPARDPNSQSDFVVWGHSSLIGPFGEVLAAAGHEDATVIGEIDLSLIEAVRENLPLETQGRGDLYRLVDVERECSS >SECCE1Rv1G0001840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6403158:6407153:1 gene:SECCE1Rv1G0001840 transcript:SECCE1Rv1G0001840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPWPSYSSSSRGKKKRPRSPNDDATSSQGRTENSTSLEDNLIFSDTLIALQLMRTQFPKLEKVVTQPFILQSQLYSSVKDRTQVDRDLESLKKDRVLRVFKLNTGQDDHAIMFMDDYLKQMESAVRRSKGKNQDGSEVFEWFEKYVLNSKLDVSIDHLELCSLLSHGGDARDKHITLLMNAGLLTRQLIDPNMYWFSIPSIGPILKGLSQGRKEVLSLLNRRKYKEMLLSSLEKTRLRLSPLDVRFHLRDLIGSGHIKTVQTPTGLLARVSAD >SECCE1Rv1G0059810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707862598:707863101:-1 gene:SECCE1Rv1G0059810 transcript:SECCE1Rv1G0059810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRHGEGGVASARRPGRTDMDDPSTTLLQRVQAHLPNATQAVGLLTLLLAGAALLVLAGLTLTGAVVALVFLGPLALLTSPIWVPFSFALLVVAAAALSFVGFAVAALAAGTWAYRYFTGRHPVGADRVDRARSRLADTASHVKDYARREYGGYLGNRTKDAAPGA >SECCE7Rv1G0526740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:898422740:898424309:1 gene:SECCE7Rv1G0526740 transcript:SECCE7Rv1G0526740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGITLQNLPKELPVDFLKEITKNFSNELLLGEGAFGSVYKGILNDGGVVAVKRLAENSPVPRDKIFANEVLNIMVLEHENIVKLVAYCREANNRLVQSNGRHIIAEITDTLLCYEYLPQGSLDRNLFGTSGSSIDWNKRFKIIKGICEAIHFLHTLSSPVLHLGLKPQNILLDGNMTPKIADFGFSRIFGQEQTRMNTRSDVGSVGYMAPEYLYNGEISARSDIYSLGLIIMEISTKEKNSPSTDQKHARNYVDGVKQLWNSEKIMSEYPDIEDHCVDQVEACINIGLQCVDINQHKRPTIQKIVNMMNKLPLN >SECCE6Rv1G0451330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872054649:872061067:-1 gene:SECCE6Rv1G0451330 transcript:SECCE6Rv1G0451330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAEGPIRADAAERADAAREDEVREYKSDVRKLEELFKKLNPSAEEFVPLSRRQQGDGARRLLSADAPVFVSPAVDYYAPHHPFQHPQMHVLQVVGGAGRDSSSDGSANGQPNRRRRNGFNQGRRRMGVRPRRADREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVMRFAFIEFADDVGARAALTLAGTILGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKNVPEDVVKNFFEGICGEVARLRLLGDYVHATCIAFVEFVQAEGAILALNCSGMLLGSLPVRVSPSKTPVRPRSPRAMSH >SECCE2Rv1G0097990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:473228569:473229619:1 gene:SECCE2Rv1G0097990 transcript:SECCE2Rv1G0097990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRLSLALAALAALLSGCAAQPGIRFGYYNKTCPGAEGIVFRETTRIVRASPDLAASLLRLHYHDCFVQGCDASVLLDSTDGSPTEKDAMPNESLRGFDAVARVKDKLEKACPATVSCADLLALMARDAVILSKGPSWPVALGRRDGRTSSAVNCGELPPLYGNITVMIEVFAAKGLDAKDLVVLSAGHTLGKAHCSSFADRLYNGSIRSTDPTLDGRYADRLRMRCRGSGDSGAAAEMDAGSCGTFDTSYYRQVARRRGLLRSDADLMEHPFTGAYVRRAATGRFDAEFFRDFRVSMAKMGAIGVLTGNQGKIRTKCNLVN >SECCE5Rv1G0367600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:822817235:822821678:1 gene:SECCE5Rv1G0367600 transcript:SECCE5Rv1G0367600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARRCTDLSSLSSPAPLLSRLSSAASRLLQMRKYGSRKEDGGGLRNGRSSSASRPGFIDPSSWKYFDSRTVGIPAGAIPRNCMAVLQMLKRKGFEAYLVGGCVRDLLLKRAPKDFDVITTASLKQIKKHVFTRCFIVGKRFPICLVRWRGSTFEVSSFTTIPTQVKGNENVNCSEEIDGHDEGDILRWKDSLRRDFTVNSLFFNPFNHRVYDYVNGVKDLRKNKVCTVIPAHVSFKEDPARILRGLRVAGRLGFQFSSETSTAIRDLSPSIIDIDKTRLAMEMKYMLSYGAAESSIRLLRKYGLLDILLPFQAAYLSDQLKGKSSDRGLMLMDLLANLDKFFSADRPCHCSLWLALLAFHNALVNSPQDAQVIKAFAALMHFGTWDSATEFLNEDVGAPVTYAPEALEPSGAKVDNLMERTSHLASLVKSSVDTLTCLNTLKQSVVRYPGASQFSGLVFVSAREKGRVLGIFNGLDYDLTSYDERRGMHGIDYKLLEDGVTAEVRFVLGKVIMDTMSAESPCASTDDDDALPRQAADVTDAEHRPLSTLFQW >SECCE7Rv1G0514640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:835444439:835446975:-1 gene:SECCE7Rv1G0514640 transcript:SECCE7Rv1G0514640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKPVLYSAAISSCSYRVRIALSLKGVEYEYRAVAWNDPDYEKINPIKYVPALQDGDILVSDSLAIILYLEDKYPQHPLLPQDLKRKALNLQIANIVCSSIQPLQSYAFVGLVNGKLGSDESLQIVHHYIDKGFRAIEKLLEGCDSKFATGDEVQLADVFLAPQIHAGVTGFNIDMSKYPHLERFYKAYMEIPAFQAARPENQPDAPSLSQ >SECCE2Rv1G0085810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:196869102:196870769:-1 gene:SECCE2Rv1G0085810 transcript:SECCE2Rv1G0085810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin-inducible gibberellin-responsive protein [Source: Projected from Oryza sativa (Os07g0583600)] MADTPTSRMIHPFSNMQRQNPKQFQFQYSDSPQRPCRPYQSSPDTHVVPQHHYSLKSHSSDASYENQVAQMKYTLDSSAAAGCMRHDSPSSHSFTPPSIRSGSGSPSSHDDSHSDSTNGSPVSASCVTVTTEDPNDLKQKLKDLEAEMLGPDTEIVNSLESSVAKQLSLEPEKWAQMMDFPRGNLKELLLACARAVEEKNMYAVDMMVPELRKMVSVSGTPLERLGAYMVEGLVARLASSGHSIYKALRCKEPKSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHIAQGAQWISLLQALAARPGGPPSVRITGLDDSVSAYARGGGLDLVGRRLSHIAGLCKVPFEFHSVAMAGEEVEEGHLGVIPGEALAVNFTLELHHIPDETVSTANHRDRILRLVKSLRPKVLTLVEQESNTNTAPFPQRFAETLEYYTAIFESIDLTLPRDDKERVNMEQHCLAREVVNLIACEGAERVERHEVFGKWRARLTMAGFRPSPLSSLVNATISTLLQSYSENYKLAERDGALYLGWKNKPLVVSSAWH >SECCE6Rv1G0418060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:660813646:660815802:1 gene:SECCE6Rv1G0418060 transcript:SECCE6Rv1G0418060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAGNRSSSVIVGLTESGHHTCTIDGYSLTIDSHPTGTYGRSPSFEAGGHRWSITYFPNGVDATYADHTPVKAKMAFHLADEAGEETEQAELLSQQEVRYYTRGSKSTYRLFIKRANLEGSEHLRNDSFTIRCNIAVVKEPHVKDTTPFVSVPPSCIIQHMGELLESENGADVVFEVDGETIPAHRCLLAARSPVFAAELLGPMKEGNVVTSGVVHVGDIEAEAFKALLYFTYTDSLPEMIKEDESVTCQHLLVAADRYNMERLKLICEEKLCEFIDVSTVPTILALAEQHRCKGLKKSCFDFLATSANLSAVMDTDDFEHLTTSCPSIMRELMAYILVALAE >SECCE4Rv1G0231400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:183152371:183154246:-1 gene:SECCE4Rv1G0231400 transcript:SECCE4Rv1G0231400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKKPTWSSSASACSGGSRIGLSCAGTTQKKKRARSDAVVAPARGSSVYRGVSRHCASGKYEVHLWDRHYRGTVQNRRGRQGAYDTEEAAARTYDLAALKYWGAQCGGLLLNFPVDTYKHELERMRRVTKEEYVAILRRDSSGFTRGASKYRGVTKHHKGRWEARIGCGSGGGGSDGKRYLYLGIFITQEEAARAYDLAAIELRGLAAVTNFDVGSYYVDKQLQPPLCKVDLDPEPAGQLLLPKVEPKEEEPEPVPVLRDDVDDVDCAIAEVLQALCMDRADFEARYPPRGAPGWWPSDDDLRELPADVGFEDDIESVLFDAPPAA >SECCE1Rv1G0054560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675318111:675319910:-1 gene:SECCE1Rv1G0054560 transcript:SECCE1Rv1G0054560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGTSSEDVPIIQAENLTSNVRSILYSRTFLSIISGVVAGIWGFTGLTGFVFYFLIMMVASLGLLAKSKFSVHTYFDSWSRILVEGVLAGLMSFVLFWTFAYDIVHIF >SECCE7Rv1G0470420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:120030924:120034461:1 gene:SECCE7Rv1G0470420 transcript:SECCE7Rv1G0470420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISLLLSAPLPCPPPPPPLLRHGCRRAPTPLRVSLPPPPLRAALAAASGDAGGRRLVETRCARAAAAVGVSGGAGDAGSGAGTGITAAAAATVALAVMNRVLYKLALVPMKNYPFFLAQVLTFGYVVVYFSILFVRYKAGIVTKEMLGLPKSRFVLIGLLEAMGVASGMAAAAMLPGPSIPVLSQSFLVWQLILSVVVLGRKYRANQILGCLLVTAGVILSVVSGANGGSSLSDVKFFWPAVMMASSACQAGASIIKEFVFIDGAKRLEGKRPDIFVVNSFGSGFQALFVLLLLPLLSNLKGIPLAELPAYVNRGAACFLNIGGNLNDCPGAPLLPLLFITMNMAFNISVLNLVKMSTALVASLTATLAVPLSIYVLSLPLPYMPGGTSLSTSFLAGAAVLVLGLLLYNLPQNSADQVKTE >SECCE5Rv1G0320120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:382150178:382155067:1 gene:SECCE5Rv1G0320120 transcript:SECCE5Rv1G0320120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYAYRYCMCFTRKFRSPDAHPPPDVRAAHAGAAHGDDGLRRFLADVQREDPAEADRVLAALAGGGAAGGIARFVGRSPAAALPTLDDFFGFLFSPDLNPPISNKVHHDMSAPISHYYVFTGHNSYLTGNQLNSDSSDVPIIAALQNGVRVIELDMWPNSAKNCVDILHGGTLTAPVEIMRCLKSIKEYAFCASTYPLVITLEDHLTADLQAKVAGMITETFGDLLYVPSSDTLNEFPSPEALMKRIIISTKPPQEFREFLKAQDNQKIDGDTDKLAEEGSLRRIDSNADDSDGKDEPDDEDDEESEEEDPKFQQDTACEYRKLITIHAGKPKGHLRDALKVDPDKVRRLSLSETQLAKATTSHGADIIRFTQKNILRIYPKGTRINSSNYDPMKAWTHGAQMVAFNMQGHDKSLRLMQGFFRANGGCGYVKKPDFLLKTGPNGEVFDPKASLPVKKTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYARVGIAGVRADCVMKKTRTIEDQWVPVWDEEFTFPLTVPELALLRVEVQEYDMSEKHDFGGQTCLPVMELKQGIRAVPLHDRKGNRYKSVRLLMRFELI >SECCE4Rv1G0262930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:713612769:713613893:1 gene:SECCE4Rv1G0262930 transcript:SECCE4Rv1G0262930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRITSAIAAAGLLLLFMLVAADGDGGAMRYNAMFNFGDSLSDTGNLCVNKSATAELMLTFANPPYGMTYFGHPTCRCSDGRLVVDFLAQSLGLPFLPPSKLPGADFRKGANMAIVGATALDFDFLKSIGLGYPIWNNGAINVQLQWFRDLLPRVCGTPQSCRPYLGRSLFLLGSIGGNDYNAMLFFGFNVSRAKSYTPNVVDNIAAAVERLIELGAVDIVVPGTLPIGCVALYLTILPSGNKSDYDEHGCLKPLNDLVMYHNSLLQDKLNRVRARHGPVARIMYADYYAYAMDMLRDPARFGFTTPVAACCGAGGPPYNFRLDARCGMKGATACGDPWRHESWDGVHPTEAVNRLVADGWLRGPYCHPPILQ >SECCE4Rv1G0270340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755133048:755134103:-1 gene:SECCE4Rv1G0270340 transcript:SECCE4Rv1G0270340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAAAAHGGGHHFGPPVGTFHPFHGHFSGQQQLLQQHPAFQHFQDHQQQLLGGMLAKQELVDESNTTINSGGSNNGNSGGEGEQHLGGGGGGGGSTQQQHTGGGGGEEGQLQQQQQQALAVMRRPRGRPAGSKNKPKPPVIITRDSASALRAHVLEVAAGCDVVDCVAAFARRRQVGVCVLSGTGAVANVSVRQPGGAVATLAGCFDILSLSGSFLPPPAPPSATGLTVYVSGGQGQVVGGSVAGALVASGPVVIMAASFGNASYERLPLDDEEPSAAQGLAQAHSSPPPLPLPTHQLQQQQQQQPSSSLAQAPDHHLPHNLLNGLQQLPGDPYGWATPGGSGGRVSPY >SECCE4Rv1G0242570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:482415200:482417511:-1 gene:SECCE4Rv1G0242570 transcript:SECCE4Rv1G0242570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSVSKAFVQHYYQTFDSNRAALVGLYQDGSMLTFEGEKFGGPAAIAGKLGSLPFQQCQHKIDTVDCQPSGPQGGVLVFVSGTITTGPGEHPLKFSQMFHLLPAGGSFYVQNDMFRLNYG >SECCE4Rv1G0229770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:153347089:153354605:-1 gene:SECCE4Rv1G0229770 transcript:SECCE4Rv1G0229770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIHECAVVLVQEPDHAAAAANPDPSSISAGAWRPFEDAAAAVVGRIQPSVSSEDRRAAVVHYVQHLIRCSVGCEVFPFGSVPLKTYLPDGDIDLTAFGFASSDENLANEVRAVLESEELRKDAEFEVKDVQYIHAEVKLVKCLVQNIVVDISFNQIGGLCTLCFLEQVDERFGKKHLFKKSIMLIKAWCYYESRILGAHHGLISTYALEILVLYIFHLFHKSLDGPLAVLYRFLDYYSKFDWDNKGISLYGPVPLSSLPELVSDAPDTHDVDFLKREEFLKECAQKFTVPPRNFERNTRLFSRKFLNIVDPLKHNNNLGRSVSKGNFYRIRSAFDLGARKLGKILQVPINSAVPEVNQFFRNTLKRNHTMVRPDVQDIALDFNVERDNKGCSPLDSNSFGDLSDQFNSISISDVNNHGSLKEKERTPMAEHEEMKSVSNPVTGSIGMRDNSDFCETAPSTSETLSSGKALYAPHLLYEPGNGKVGVNHDINLAHHGMTSKGYPGTKYGNENSHPVDNRLPSSKNSDSNGAHIKEVGGDGGATNDILSDLAGDHGTNLSNLYYAQGCQQDYPINHDYPVNQVYYQMPAPPPAQYQNNRSPNGHSRKNGYGYAGTSGISPGSYPSGYFVVRPFYQPDDTMRARGTGTYFPDPTLCKDRPPAGRGERGRHSFHPNHYHRAHRYPRMDMPADMVLPEEWRQVPVQPLQIYIPGASDHGIPSPLNIPLSSPSPRAPRDGIHRNGFVHPQDNKLEFGTLGALPLEVKGTSQDHPNKSSSAANSQPSAPVSPVPSALNPGKGSNRMRNGGPYHLKDNGDFPPLSS >SECCE2Rv1G0068150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22217541:22219151:-1 gene:SECCE2Rv1G0068150 transcript:SECCE2Rv1G0068150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGATLFFVSLISVLILAYLLSREPSPSSKKRRPPGPWRLPLIGNLHQILTSKLPVVLRDLAKKHGPVMHLRLGQVDAVVVSSPAAAREVLRDKDLAFASRPTILMSEISLYGNLDVAFAPYGAYWRTLRKICAAELLSDRKVRQFSPVRDKETMSLVSSVREASRGGEPFNLRRLLVVCSNSITGKTAFGESCSSELQEQFLAVMDEVVKLGTGLCVGDLFPSLWFIDVVTGLRGRLWRARRQQDKVLDKIITQSQMRPGDHVLSSLLSIRDKGEIDSIPIGLDNVKAIIMDMFSAGTETTSSAAKWVMSDLMRKPEVMMKAQAEVRRTFDNKSSEDHEGHIVELHYMKMVIKESMRLNPVLPLLVPRVCRETCDLGGFEVTEGTRIMVNTWALGRDPKYWHEHEEFRPERFEDGTASYKGSRFDYLPFGSGRRICPGDTFGVAVLELMVARLLYYFDWSLPAGVKPSELDMEMSVALTSRRRNQLHLMATPYNGISHADIN >SECCE2Rv1G0121900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:812100457:812100696:-1 gene:SECCE2Rv1G0121900 transcript:SECCE2Rv1G0121900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLAVALLLLVVLASCDGRELNQKDQALATARSAGAGGAVDESKVLGLPDLPVVGTVTGTSTITGPLVVLPGIPAHP >SECCE4Rv1G0246610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:547174146:547174520:1 gene:SECCE4Rv1G0246610 transcript:SECCE4Rv1G0246610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKAKVQDAASSAKAGIDKAKATAGEKVQKATTTDPGKKREAEENKEDRMLKVDSDEREEKGDHAAERSGRRTIVTGT >SECCEUnv1G0537330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68656904:68657917:1 gene:SECCEUnv1G0537330 transcript:SECCEUnv1G0537330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPTGAMAGEEIDETISRAQAEMSQIMVSLPRCPVYLTRQYRGFWIREFVLDGLAAAQASFEPRPTDVLLTSCPKSGTTWLKALAFATLNRATHSPSDSGHPLRHRNPHDCVAFLESRPIAETMALPSPRLLATHIPCSLLPSHVTECGRVVYVCREPKDAMVSFWIYNNKIAAMLRRVAGLQSPSPTFEEAFELFCKGQSSSGPHWRHALEYWEESQRRPGNVLFLKYEEMLQDPTSNAKNLAAFMGCPFSCAEEEAGVVQEIVQLCSFEELKSSEVNKNGRSSAMQGIKNDVYFRKGAVGDWKNYMTLEMAARLDKIVEEALQGSGLTFGISM >SECCE1Rv1G0040480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:560528530:560529568:1 gene:SECCE1Rv1G0040480 transcript:SECCE1Rv1G0040480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKADGDGCSRERTISWADDQTKFMLDWCIEYMKEQHAGFRFRKHHLMKCADALNRKFAMGVTVAQVDRHFRHYKENWKYIAAAISKSGNVFDDIRCVITISESEKSCLNDRARRLLSKPIKFFYEMQELFTGTSADGSLAMDQHTCTIDSDDSDNDEGLYDLNCYPQYEGPLEEDSDTLPTTYGPKRPPVHVRADNSSSSTSRVGTKRPRGSRSPSKKPKKIKSRFVESAEEINSTLKSLQQSLAAPVPQMPQVIDPYASLWQRLEALPITMDQRITVGMYLSSKDNEGLRGWLCCASEKTFETWVWKFFNKDDV >SECCE4Rv1G0222680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:61643328:61644944:-1 gene:SECCE4Rv1G0222680 transcript:SECCE4Rv1G0222680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQEVLPHGDVRRQHARRVLVFPLPFQGHINPMLQLADVLHGRGLAVTVLHTRFNALDPALHPEFTFVSVPDGIPADVAASGRIIHIILAMNAAMEASADVHDVLASVLADDDQPPAACLFIDANLLAVQKAASALGLPTVVLRTGSAACFSCFLAYPMLHHNGYLPPKESQLYTPVKELPPLRVRDLFVTSSGDHEMVRKVLARASETVRNSSGLVVNTFDALETAELDRIRRELDVAFVLAAGPLHKLSSRSTGSSLLHEDRSCMEWLDKQAAGSVLYASFGSLASMDGAELSEVAWGLANSGQPFLWVVRRDLVRGSDGPGLPEGFDRAVEGRGKVIPWAPQQEVLAHFAVGGFWTHNGWNSTLESISEGLPMICMPHFADQMMNTRYVEAVWGVGFELEGKPERNKIAGAIHKLMNEREGEVAREKARELKKKVASCLERGGSSLLAIDKLVEHISSL >SECCE3Rv1G0191260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747563560:747563976:1 gene:SECCE3Rv1G0191260 transcript:SECCE3Rv1G0191260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSHLVSLDEQPPLPLMVCPFCNNGMVQWWVSRSTRNQGKHFYKCEHEWTRKCSFWKWEDSYINVIRAKWPRLFTVASREDKKFHRIIIALLLVNLLALFFLCCKVA >SECCE6Rv1G0378070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:4670523:4672652:1 gene:SECCE6Rv1G0378070 transcript:SECCE6Rv1G0378070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKASSLSELGFDSGGASSGFFRPVADGCPVTPTSSAVPHLRLTKVSVIGAGNVGMAIAQTILTQNLADEIALVDALPDKLRGEALDLQHAAAFLPRVRIVSGTDPAVTKNSDLVIVTAGARQIPGETRLNLLQRNVALYRKIVPPVAEHSPDALLLVVSNPVDVLTYVAWRLSGFPASRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYMVGEHGDSSVAIWSSISVGGMPAFKSLRDSHRNFDEAALEGIRRAVVGGAYEVIGLKGYTSWAIGYSVASLAASLLRDQRRVHPVSVLASGFHGISDGHEVFLSLPARLGRGGILGVAEMDLTEAEAAQLRRSAKTLWENCQLLDL >SECCE2Rv1G0101450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:565587541:565588864:-1 gene:SECCE2Rv1G0101450 transcript:SECCE2Rv1G0101450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSDNDSDNYEERKKRVCHHVQSMSNIYAGASTLAGKYCDNYLIKADPRTSILSGFGWLQETVSTPGETYTMLRMNARLFFQLHDLLVQRRTQNRFKHSGDTVHRKFHEVLLCVIKMAADYLKPKDPNFSSVHPRIRKDRRAYPHLKDCIGALDGTHVRASIPAGDQVRYIGRSGSTTQNVLAICDFDMHFMYTSVGQPGSMHDTSVLYHAIEADKDTFPHPPKGKYYLVDAGYPNRPGYLAPYKGERYHVPDFQRGVAPRTPKEKFNKIHSSKRNVVERAFGVWKMKWQILLKMPNYSVETQKMIVAATMTLHNYIRYHDKGDLHFLRVDRGPNYVPTIPARYQRYAIPSNASDASTSEASDKDMDRFRNRLATDIALGW >SECCE1Rv1G0057240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692788442:692790280:-1 gene:SECCE1Rv1G0057240 transcript:SECCE1Rv1G0057240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGLCKYVESALALWRCDLSHIRRSFRKLQPNANSFRDDYGGGKNLEGLKDEEALLVAHSLFDICKGAFSDYSVEYMDCDAVRSMFSGQWESMCKVVEMELSLMYGILYTKTSMVHTWHGYTIGVVSPPLTIAALVLFFIQDKECMNLRPIDKAVSYILLGTTLLHDVISLFRALASGWTYSYFKRMSYNWLKHQFLCQERWAKLRQFVVSLHLSRLSLWLWTCACPREPKSYRRWAGTFGQCNMFDQCTIGNRRSLYSIPGLTTESKGQLSSRGYEWEDHSRGLEIPDNVKKLVFINMCKHLFPGSELPHEQFVRVNVPPCQCHRPDKQTVAEYSPRSYEQETDHLRYCQAPPEHYDNKAADIKHTDQSDEDMRFHLELQEVILIWHIATDVFLARRSSIEGGKSEELVKAIKQMSDYMMFLLAKRPQMLPGRTLDGLHEKTCRAVELIWKVAIPTSHATKEKEVVAKWMLNNIDDLNDPNSPIWARTQKMDKDNLNELGMNMTTVWRGAQLAQMLLNPSFGGGESKGTVQVLAYWIPDLQQPHRDMEGMLEFIMDAWVRLLMFASIRCSRDSHAKQLSCGGELATLVWIIMEHTKNSHVKKHLKSLLH >SECCE3Rv1G0159610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:97643024:97646448:-1 gene:SECCE3Rv1G0159610 transcript:SECCE3Rv1G0159610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTHLLRPGVAALLLALACALCAAAEGDPRTAVAGQSCAPGSAVSGSVLADNFVPAMDDLNSNVSANGFGTSAVGARGPNTVFGLGQCHRDLSPLDCKLCFAEVRSLLPKCYPRVGGRLFLDGCFGRYANYSFLGEALGPADAAFCAPGNASNPRGFADAARAAVANVTEAAARGDADGYAVASASTGGATAFALAQCWQSLNATACGQCLRAAARAAAGCAPATEGRALYTGCYLRYSTRLFWNVNATSGSGSSGKNGVVWILVGSFLGAFIVILIIAFLAWKKGILRRKKQSKSFIDMYGDGVSVRIAQSSLNFKYEELRKATKYFDPANKLGQGSNGAVYKAVMPDGKEVAVKRLFLNTREWVEQFFNEVELISQVRHKNLVKLLGCSVNGPESLLVYEYYFNKSLELFLFDASRSRKLTWGLRVGIIQGIAEGLSYLHEESETRIIHRDIKASNILLDDKYKPKITDFGLARAFAADITHLTTGVAGTLGYMAPEYVVHGHLTEKADVFSYGVLVLEIITGKRCSGSIGSHGGQALLTKVWNYYKNNTVERVIDPTIYKDTIRDEALHMVQIGLLCAQANPGDRPTMTKVVELLRNHTHDVEIVLSDPPFLNVEGVEDMKQGEQSRLLSAHSGPSVSGSSRSYLNGR >SECCE4Rv1G0216330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10420514:10427114:-1 gene:SECCE4Rv1G0216330 transcript:SECCE4Rv1G0216330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELK4 [Source:Projected from Arabidopsis thaliana (AT4G34460) UniProtKB/TrEMBL;Acc:A0A178V0Y1] MASVAELKEKHAAATASVNSLRERLRQRRQTLLDTDVEKYSKAQGRTAVSFNPTDLVCCRTLQGHSGKVYSLDWTPEKNWIVSASQDGRLIVWNALTSQKTHAIKLHCPWVMTCAFAPNGQSVACGGLDSACSIFNLSTQADRDGNMPASRVLTGHKGYVSSCQYVPDQETRLITGSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVLSLSINSLNTNMFISGSCDTTVRLWDLRIASRAVRTYHGHDGDINSVKFFPDGQRFGTGSDDGTCRLFDMRTGHQLQVYNREPDRNDNELPIVTSVAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGTLQNSHEGRISCLGLSSDGSALCTGSWDKNLKIWAFSGHRKIV >SECCE7Rv1G0520360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:868402366:868404617:-1 gene:SECCE7Rv1G0520360 transcript:SECCE7Rv1G0520360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKLLAEVSPQELLGALAELHNHMLGYVKCMSLRCAVDLGIPDVIHNRGGTATLADIATDTKVHPAKVADLERVMELLSTSGIFTRGAGVGDAEVYGLTTACRILVGWRNLSPMVPFLLNPLVDFRSKPMVTAAGSLFELEHGCSQWEMVSKDAAFNDVLNHSMAADSQVFLEVVIMDKGRIFRGLGSLVDVGGGNGAGTKVIAKAFPRITCTVLDLPHVVGKAANDGNLRFVAGDMFESIPPADAVVLKNILHDWGHEDCVKILQRCKQAIPARNAGGKVIIIDMVRGSAPGDRKISEMEATQNVFMMCISGMERDESEWKRIFSDAGFNDDYKIMPILGPYSVIEIYP >SECCE1Rv1G0050220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:645453643:645456136:-1 gene:SECCE1Rv1G0050220 transcript:SECCE1Rv1G0050220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSQGPKSTARRRPLRLLSGNRTPHPAPPGSLRRKPAAAAAAPPSAAPAAAEPALDRLLLASSDLAGLVSQIDKLVSSALQCQTISRRGEQEIESFSCFLSDTNSSLKQWSSRLKQALEASPAKSENPSKHTMETRSKSAAKGNDKLFPSNSILPPAGPAVSPPHNLVCSSSSNNLPETDLIVSPSPLVSWRTGACMVDSGKQLFLLTPLPKSKARSSMCPRSSKAQFRTTASMDGLNLPDLPVWKLTISDDNSPDLEQSVKGKEARAGIATPYPSKAKKSSSEDNLFSPFSFSIQKSRRAPLPTPCPKTALRGKQHVFSPISEGSIKDDILSAGPSESDKPPSGGSDEMLSDEKELASRYPDLYGFNQPTGDRRQKKEADVALDWFLSPLKTCVLMDPSPTDDKPVPLPAKDNKSMIESPWEGWESNNKLEGRRKLSDCNPIQTLSVHSKALVGTPWKGLESNNNLKGKQELAEDKLIQTPAVHSRALLGTPWKGLESTNLKGRHAGETTLKKELWARFEAVSTNELHLDRSVFQKSDGRRFLDMLEEAE >SECCE2Rv1G0140830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932949203:932951941:-1 gene:SECCE2Rv1G0140830 transcript:SECCE2Rv1G0140830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylcysteine lyase [Source:Projected from Arabidopsis thaliana (AT5G63910) UniProtKB/Swiss-Prot;Acc:P57681] MPPLLLLLPVLLLVHPPSPQAAAAAEDICIVGSGISGASTAFFLTNYTAPDPAPQLRVFERRDRVGGRLATVTVAGELFEAGGSVIHPRNLHVRRFADLLDLAAKTGGDDDGDWLGIWDGARFVFKTLRPPPPGSSWLRRKLHGLANSLLLLKRYGLSLLKMDSFVQEMLQKFMLYYNGFESRPVFDNVEEMLKWSGLYGLTRRTLEDELVDAGLNTQTISELVTVITRINYGQSTSISGLAGAVSLAGSESGLWSIKGGNWQLAAGLLKTANATLHLQEGIESISDAGDYYVLKSNKGHEYNCTVTVVATPLDEVNITFIPPISIPPRKMQHTHTTFVRGLLDPKFFGLSSVSDIPELIGTMELPDIPFSCISVRKKHGEHDMTYKIFSRVKLEDALLDQIFSTRKETIRIDWPAYPHYQAPEDFAPIILDGRHLYYVNTFESAASAMETGAVAAENVARLIISRLPLGLRAGLSSMAAPEPHVESFAGKEEGSQRVDL >SECCEUnv1G0528930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6226011:6227371:1 gene:SECCEUnv1G0528930 transcript:SECCEUnv1G0528930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTVAKSPPALVPPAGPTPGGTLPLSSIDKTAAVRVMVDFIQVFHSPSSSADDQVAAMRQGFARALVPYYPVAGRIAEPSPGEPVVDCTGEGVWFVEAAASCSLADVNGLEDRPLAIPKADLIPRPPAAHKLEDQILLAQITKFSCGGYAVGICFSHLVFDGQGAAQFLTAVGEMARGLPEPSTKPIWSRDAIPNPPKPPLGPPPSFTAFDFVKSTVEISLDSIKRVKDQVASSTNQRCSTFDVVTAIIFKCRAAAIGSAPDAEVRLGFAAGTRHLLADALPTPDGYYGNCVYPGGLARASKEVEEASLVEVVTAIREAKDALSARFLDWLGGGAKDSHYNVSLDYGTLVVTDWSHVGFNDVDYGFGEPTYVFTLNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEEPHAAVFTQELEKLA >SECCE3Rv1G0192440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:758454221:758455073:-1 gene:SECCE3Rv1G0192440 transcript:SECCE3Rv1G0192440.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSLLLLTTLLAFAFKASVAQWTPAFATFYGGSDASDTMGGACGYGNLYNGGYGVNSAALSTALFNNGASCGMCFTITCDASKTPSCKQGTSITITATNFCPPNYALASDNGGWCNPPRQHFDMSQPAWETIAVYQAGIVPINYRRVPCQRSGGMRFTINGNDYFELVTVSNVGGSGVVSQMWIKGSKTDWIVMSRNWGANWQSNAYLNGQSLSFRVQTDDGRVVTADNVAPYNWWFGGTYTSWQQF >SECCE7Rv1G0508040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:767916469:767917316:1 gene:SECCE7Rv1G0508040 transcript:SECCE7Rv1G0508040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTNDFHTYSILWNPKHIIFMVDDMPIRDFKNLEGKGIAFPKNQPMRIYSSLWNADDWATQGGRVKTDWSHAPFSASYRGFKADACVVTAGGRPRCGASVGTEVAPGTGAAGEWYNQELDLTRQQRMRWVQSNYMIYNYCTDPKRVAKGVPAECSM >SECCE6Rv1G0390060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:172522449:172551757:1 gene:SECCE6Rv1G0390060 transcript:SECCE6Rv1G0390060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRELLAVVEAALLGPAPTSPAQRVELLHAVRDAAPAFRALLSYPVPKASDRTQVEAKEVRLSDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVSANREWVLYGREPLEIYRLAAGLWYMERRDLITSLYILLRSVVLDQGLDADLMYEIQNQMEALFSDGLRQRIITLVKELNREEPSGIGRPSSERYVLDFRGALVERHAIVSRERLSLSHCLALSALIKLMGPKEVKDTFAILKDCTAEVNENSTVELQITYGVLFSLVITFVSDALSNSHEKTSLPSSDSSFRHEFHELVMKTCNDTTAEGFVGVVRLAWTVLLMLTQDRNSARNSVINASSGAVTDIWSCLDIICRLNAFKFLRERVLQAAAYQNDDDDIVYMYTGYAHKLMMCFLSHPTSRDKIKEIKEKAMNALSPYSLPRDHREDPNISGEQIGQPTNQPFVSLLELVGEIYQKEPELVHGNEELWTFVVYAGEDHTNTQTLVAFLGLLSTLASSDVGATKVYELLQGKIYRSVGWNTLFDCLSIYEEKFKKSLQSSTSMLPDFPEGDAQALVAYLAVLQKVVENGNPSERRKWFPDIEPLFKLLSYENVPPYLKGALRNSITAFVKVSPQLKDAVWSYLEQYDLPVVTVPPGQHAATQVYDMRFELNEVEARRESYPSTISFLNLVNALIAEERNISDKGRRFMGIFKFVYEDVFGPFPQRAYADPREKWELALACLEHFHMVLRMYDIKDDDIYAAFNTSGPSTSNASVEKQLPVLELVKDFMCGKVAFRNIMNIILMGVDTLINERTTQTYGILLEKAVHLSLEIFILVMERDLVLADVFRPLYQPLEIILSQNHRQIISLLEFVRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLKEDVAKSVIEDYAACLEFRFDDFQVIENTKDDVGVLILQLLIDNICRPAPNITHLLLRFDVNGPIERTVLKPKSHYSCLKIILDNLEKVTKPDINALLHEFSFQLMYESCLDPLTCGPVMDLLSTTKYQFFSKHVGTIGVSPLPKRNNNQALRISMLHERAWLLKIQALALHVSDISSSVYRESCLAILNDTFGHCAENMKNASMFQSPGTPICTSNGLMNRNKVLELLEVVQFRCPDTSMKYPQLLSNLRVESKIEEILRNSATSEFGGVYYFSERGDRLIDLDAFHQKLIQMSQELHTQLSESEKGELKESFHHMLKWAWRYNKNLEEQAAQLHMLTSWSQIVEVAISRRMSLLEYRSQLLFELLDASLGATSSPDCSVKMAYILTNVALTCMAKLRDERFICPTGADSDAVTCLDIISAKQLSNAACNSLLFKLIMAIMRNESSETLRRRQYALLLSYFQYCGGILDSNVPPSVIRFLLLEEQEGDDDELTLQNVLKEQSELARANFAIIKKEAQAVIDLVTKDAIHGSETGKAISFYVLDSLVSIDHEKYFLNQLQSRGILRSCLTDVSNYLSKDMSFSSEFSQRFCTIDAQFSLLLRISHHYGKHGSQILLSMGALQNLSSCNLLGYQKKGSSRLNSNIVKERAGEIDKKWSLTAPVLRIITSFTSLVDSADFLEVKNKIVREIVDFAKQHQSIFNSILRENISGANAFSLERLNMVVSILSKIWAYEENDECSYVQDLFSMMHSLFSLDFGSLNFIQSPNMIENQKSELVLFGICFGLISYLYFLATKKNMRFQISDGDNNKLGRQQLTLQMVSNLLNSVTLALERVGEEKYLLLNKVRDLNELSRKEVDEIIKVCMKQDCISPNDNIRKRRYIAMIDLCCMAGNRDQLITLLLQVAECAVTILLVHFQDEACAEDLSSFSDELLPVLERLEHLKEDKVGRNLKLFYRSVSTLKEMTVRSMAM >SECCE1Rv1G0026190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:367058854:367062021:1 gene:SECCE1Rv1G0026190 transcript:SECCE1Rv1G0026190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCKSSSRARLGKAHVVPDSEWRMQDFGKTHVPDLEWRINDFSSLLETGAKSATSDAFHCSGYNWYLRVSLMHKEIGSETPYIALHLKTSQASMVPGHTVHVGFELSIYNHTKGMYCGCKDTYNFHFKNTYSKEQCLIPLQELLKSSAFLVDDSCVFGVEILKIDVSSPEKKAVVVQKKDTTVQNLFVQKKGFVKGTYTWNLNNFLELDLDHFVRSPTFEVGGHKWYARIYPRGDKYSTDCLSLYLYLDASDELHLESKKVVVMTLSILDQKNGKHLTATSGLLVFTGGHGWGWADFLGLKKLKDPSGGYVVGSSCVVKVDLTIVGSSNDS >SECCE2Rv1G0141310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934834984:934839743:1 gene:SECCE2Rv1G0141310 transcript:SECCE2Rv1G0141310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRPAAAAFPPLALLLLAFSAPSWLSPSPAADAVGGCPLDFSWANFTTAAAACSDGAQRAACCRYINAFVATSIARYANATGRLGVPPAFSEMCLSAVSDTFRLRGIATDAAVFCGLGPKIRVDYQCAGRNTVLEMMQSPSFNDVIGSCRGPLSLDITCKTCLNYGIVYLRRLIGSDDNVALSVCRSAVFVTLATQHGVLSYDDILTCFFGVQGITTFPGTVSVTSTPASTPNVTVPDDSTAPKTKSVPLPQKHQKPYHISVVPGIGIGVIILAILLQIVLVVLIRRKNQELKDAELPAQSPDNAFRQGQSWRCAEGQSPMFQRYSYKETTKATNNFSTVIGKGGFGTVFKAQFSDGSIAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVNLKGFCVERKERFLVYEYMENGSLKDHLHSSGRKALSWQTRLQIAMDVANALEYLHFFCNPPLCHRDIKSSNILLDENFVAKVADFGLAHASRTGAISFEAVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVVLLELVTGRRAIQDKKNLVEWAQEYMSSGEIPPELVDPTIRDSVDIDQLHLAVGIVQWCTQREGRQRPSIRQVLRMFSERLDPGNGSFGEGMDDADGGFYPGRSSRGGGAGIHHNELVPCGGGDMRSLHSSSSTTRSYCSRSMLLEGGHAHSPPETF >SECCE2Rv1G0125970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844315559:844317515:1 gene:SECCE2Rv1G0125970 transcript:SECCE2Rv1G0125970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIVGSPGTWSGMALRLSQCAFAAASAFAMFSAYGFSNYSAYFYMNFASMLQFMWSLSLACLDIFSLRNKKDLHAPASLLLIVIIDWAVAVLMFSGVCAAACLTIFFMKDVNFCEGFSRLGCNLFALSVTLAFITWSLQAASSFSGFWLLVSFF >SECCE7Rv1G0495100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:594221080:594222300:-1 gene:SECCE7Rv1G0495100 transcript:SECCE7Rv1G0495100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKKSKDVLHLKIKSKDKSSVNEEDEKKEIEIEIDAKVVEKEEVSSDGSKSAGKVNETKKDKEKEGKNKSEKHVDEHEDDQKTSKEENKKAEKHEDGKKEKKKLEKKHEDDGKTSKKDKKEKDEKKKEDGEGSEKEKAKKGKDKKSESKEKDKNSVKETGADADDSSPKNKKKDKDKAKKKDEKQEKDKDKEEHENKEKHVEVDQTREIKLQDNESAKKETDASEEKDGMDKTKDKRKRDSAKKQDEHKEIGDKDEQGNKKDTEKKDKKKDKTDRKGGGKEKDVDDEKEEGKKDKEAKEKKKDKTDKEETKKKKKDGEEEGKKKDKEKKKDKGGKEKTNDPAKLKKKLEKIDAKLQDLHAEKEDILRQLKELEEGMTTEEKKPVQIVEASGKPKPKEDDLVTAP >SECCE2Rv1G0125400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:840550808:840553718:-1 gene:SECCE2Rv1G0125400 transcript:SECCE2Rv1G0125400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPAKHGKDDSTKAMVKFDGAHISLRKKPKNQSKVAPEELQPIWEEFQPIYLNGKVQFADCLHCHNRLGYNGDSFLRRHLKTCPAKPEATSAEVTEWMSPQDSCLPIVTIDDISSVSPIKWKSNIWEGFTPIFVEGKLQGADCIHCHKRLSKHGGHLNRHALACSKRHGYDHSHQKGNRSSLPKLNSRVRDESSPARKAQITKCSSKLRRASSSSGITYRPIQVVADHLSLPAPDGTKCSSKLRKASSSSGITYQPIQVVADHLSLPAPDGTKCSSKLRRASSSSGITYWPIQAVADHLSLPAPDGTGLKKQKTSSMTNATAISTSKFGQDSPYQDIARLITLHGYPLSIVENEDMRRILKNVSPMTNKVSLSDMEEHLLALFQKKKINFKDEIALTSQRISLSASIWTHDGPEPTVNYLCLTAHFITEDWIIHRLVIKFGMYWCSPTNLERIIHCKEACVPESESGSYNVMWDAIRDWNLDQKILSLTSVGEIKNDANTLKLKEMLIKKRCLPIRGKLYNIACVDDMLNSVVSDGRSYILFLVGDIVKDFFGACASSSSMQQQLLEVISQMSLKCPQEDAKWWHKLYFRLEVVLQFNKLFPAAEVLSPEDMTATWSICKILRTFYRVIEVISSPSSPTANVYFSEVWKVRTVLQEEASNDHAEIVTLVTGMQEAFDEYWQNSYVWLSIPVVLDPRFKMSFIQFRLQRAYSTDSLGYLSEIHDTVQELFDEYYNATDQLSGVRLLSSAALDAADNDPLEDWDEHLNCQMSSELDDYLGEVLVPRKDDFDILTWWMEHTTKYPTLAAIARDVLAMPASAVQSEAAFSSSGPVIPKHQSTLSIETIEALVCSRDWMR >SECCE2Rv1G0128550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:862770263:862770670:-1 gene:SECCE2Rv1G0128550 transcript:SECCE2Rv1G0128550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCGKIQSIVRLQQTLRRWRSRTAAAPVPSGHVAVCVGGESRRFLVRAAHLNHPVFRELLRQSEEEYGFPSTPGPVALPCCDEDRFLDVLRRVSSEDRLLRRGRTFCCRVQVVTSRDIAARPLLQGMAVKKLVW >SECCE4Rv1G0253750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:629406442:629413150:1 gene:SECCE4Rv1G0253750 transcript:SECCE4Rv1G0253750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDRASRGLRQQVALASDHDFAFQLQLNEAIQASLRVPSPNRPSSSAAAAAASCPCRSCQPAPALWSSGAAFAELTRQEKNRRDAHAFRAAHAQTNTSARVASRGALLARELADTPDRRRAHGGDHFERPLDPSRGPLFRIFYKGLSNKEGAGRWGIPDPRDAVVAVAVYDPQGKVVRTIQKRVESFEGGRMDLEALALMEGIHAALELGIRCVNIVSDFKALHKYMLGTWRPTKNKIEDVVNEALSLMRKFKQCEFSLIPRGQVGYATKLATELVGTKKRETCAICLEDTDLSKIYAVEGCAHRFCLSCMKEHVKIKLLGGTLPACPQDGCTTKLTVKDSKIFLSPQLLEIMVQRIREGQIPPTQKIYCPYSKCSALMSSREVISSWALMYTVAGGPTLRKCIKCKGLFCISCKVPWHAGMSCCDYKRKYPHVRPEDAKLQNLAQQRSWRKCVKCNHMIELAEGCYHITCVCGYEFCYTCGKEWKDKKATCSCRLWDERNIIHDRGGRR >SECCE4Rv1G0249240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:581109273:581110445:1 gene:SECCE4Rv1G0249240 transcript:SECCE4Rv1G0249240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSSPKDPALEAALRRNRRWIVNNQIKRLLLRFPSRSAPVRLLQSRFKTLDLLGRAANWLRKYPSCFELFTGEGPAGSGGELCFGFTKRMAELVDAEEAAVTASEPAMADRLARVLMLARGRRLPVSKLAALRGPLGLPDDYLLRILPAHTDLFRLANPYPHRRNAAELELLRWVPSRAVSAVEAAASASNSLPRFTCSLPSSWAKSHDKMEDFNSTPYISPYSEDGPVPGTDAEAEKRAVAVVHELLSLTLWKKISVMKLEHFRWEFGLPEDTARMLLRHSCLFYVSNRYKIHTAVLREGYEGSELRVKDPVVAAKDRLGELMQEGLHEFNQRRRAVNLEKKRRKGEVDVKKEEEELEDEAGALLDSAEKREERRRFYKVLFGDDNK >SECCE3Rv1G0159950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:100888311:100891644:-1 gene:SECCE3Rv1G0159950 transcript:SECCE3Rv1G0159950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPAMAPAAAWWPGAGAGAGAQEQEEMRWRQLDGGVSAVSFGFVATAMLVSMFLAMAVLEHFLRAPPMGPPEPSPPRGPRGILLRLFRRGRGGGRGPPGADLEAARKLDARCASPEMAVYSKGVSVLMPGQDVPTFIAHPAPAPCPPERVRWPSHQPAPFAGSSSNPC >SECCE7Rv1G0519620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865282697:865284533:1 gene:SECCE7Rv1G0519620 transcript:SECCE7Rv1G0519620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQELQAVAAVLDLVTGAGAAHGFEFHEATVDAIQLGFSNGTLTSTALVQFYLDQIGRLNPLLHAVIEVNPDALPQAKHADAERHGGTATGALHGVPILLKDNIATRDALSTTAGSLALLGSVVKRDAGVVARLRCAGAVVLGKASLSEWANFRPVDNGWSARGGQARNPYVLSSTPCGSSAGSGVAAAANMAAVTLGTETDGSILCPSSFNSVVGIKPTLGLTSRAGVVPITPLQDTVGPMCRTVSDAVHVLDAIVGYDEHDAAATGAASKYIPHGGYAQFLNKDGLRGKRIGVPNGFFQRYGQTQLNVYKQHLATMRELGAVVVEKLDVAANLSALLAEIGSNEAIAMQAEFKLSINAYLADLLHSPVHSLADIIAFNNKHPVEERLKDFGQPDLIAAESRNGIGPVERAAIQRLKELNANGLEKMMREHQLDAIVAPNSAISSLLAIGGHPGIVVPAGYDDKGVPFGICFGGLQGYEPRLIEMAYAFEQATKVRRQPMFKP >SECCE3Rv1G0200040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:850343592:850344239:1 gene:SECCE3Rv1G0200040 transcript:SECCE3Rv1G0200040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARHKSSPSSERFLGAFLPRAAAGDQPASAAFELDEDDLFGSGVGSPERPQPPPPRRPLLISSVRAANPSPRFRRPPGGILEALPERLGPLSPPPPSSSASTSPASPATALPRMIPAIPRPAPTPAMHMPQSAPVNVPVARMRRPPFEAFASEPDEEDDEEEMLPPHEMVARSRARESPMTTFSVLEGAGRTLKGRDLRQVRNAVWRKTGLLD >SECCE4Rv1G0217790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18784804:18785478:-1 gene:SECCE4Rv1G0217790 transcript:SECCE4Rv1G0217790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSKTIAVALFAMLFLAPAVLAADPDPLQDFCVADLDGKAVSVNGHPCKPMSEAGDDFLFSSKLAKAGNTSTPNGSAVTELDVAEWPGTNTLGVSMNRVDFAPGGTNPPHIHPRATEIGIVMKGELLVGILGSLDSGNKLYSRVVRAGETFLIPRGLMHFQFNVGKTEASMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLTKALRVEAGVVELLKSKFAAGF >SECCE7Rv1G0484180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:341027209:341027622:1 gene:SECCE7Rv1G0484180 transcript:SECCE7Rv1G0484180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALRDHAPARPAGRRPTRFTALELAAAEQLLHLSESSCSSGAAFTPRGSGTAASAACSSSSPRSVNAAPAATARDPVVGFGADYEEDDEQEVGGRPRTSRRYRSVAELYDVTDASGARRRKGKAVAGGPTEERRK >SECCE6Rv1G0423380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695957598:695957972:1 gene:SECCE6Rv1G0423380 transcript:SECCE6Rv1G0423380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDVPVPEGEEPKSAVEIVEQFLKTKVKQSTFLRNVGLQSSRNNSGKATTEVAAHVRDLEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAYLMALFGAKVV >SECCE5Rv1G0343210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:636906484:636906870:-1 gene:SECCE5Rv1G0343210 transcript:SECCE5Rv1G0343210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRKLHPLIIMAMLLLLVVATEGHKHCPEDLCEGPSGKFKGGCSVDDECVDTCREEGFNAGFCKVGPFSGACKCCKPCVF >SECCE1Rv1G0013280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:101711741:101718751:-1 gene:SECCE1Rv1G0013280 transcript:SECCE1Rv1G0013280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGGMLAAAILKVVGEQIASVIKGQITLQKNFDKDMEKMKMALESVEAVLEDAERRSISEASVRLWLKRLKDAMYAISDMIDEFEADTQVAQHSARKFPLKKHLLQLVPCFPAARQTTMANEIKMMREKLKDITDQHQSFTLTAGTSANELHAIDIRETSSTMGDEAQIVGRINEKEKILASLSESRTKEFTILPIYGIGGLGKTTLAKIVFNNSQFRDYSQVWIYVSQTFNLKKIGNSIISQLSKGESQYTEMQMIHNFLREQLADKKILIVLDDLWEDNRSQLEELKAMLKVGGATKVVVIVTTRCEGIANNICTVQPHKLALLTDDMCWTIIKQKSGFKSRDGQEELEQVGKDIAMKCRGVVLAAQSLGHMLQSMTSGEWRSVRNSDIWSVSSSDDSPSTQVIASLRLSYSFMPSYLKLCFAYCAIFPKGHRIIKDDLIHHWIFLGFIKATNMLSTWQLGERYIRQLVGLSFLEHSKSASTAEVYFENFTLLTMHDLVHDLAKSVMVDEILFAGKHGRTGGNCHYALLSDCSKPLESSKIRALRFMDCGKIQLHDAAFSSAKSLRVLDLSECSIHKLPDSIGVLKQLRYLNAPGVQDTMIPNGITGLSKLVYLNLRGSSSILALPASIGEIEGLMYLDLSGCLKLEELPESLARLKKLVHLDLSNCSSVEDVSKFFGSLRELQHLDLSYCKSIGELPQTLGGLSELQYLNLSFSSYIECCQELEVLGALTKLEYLDLSSERCDLQKLPEAFGRFVELKYLNLSGCHHIVELPTLFGNLKNLGHLDLSNCAELNAVPEALSGLTKIQYLNLSRIRQGSMQRLRGMEEVIGNLTNLRYLNLSRCMTSIFGNYTSKISEGQFDNFIYRITTLSNLEHLDLSYSYDISTLPQSLCNLRKLHTLDLSYCRDLEEIPESISTIDSLKFLYLNGCHVMSELPQLSRNSITLPRFVVHAGDVSSNLVLLQHTDPAKLHIFRLENVRSAEEAQHIRLVEKQTLTELKLVWGDAERFVDDKILLEELVTPSTLKKLDIQGYNIASFPAWLMDITHYLPNLVSIKMCEMPNCKSLPPIHQLPKLTHLVLSGMERLEEWNTSYSSDEEYVIEELTIRDCPKLRLKPVPPRAIRLKISNSDIVLSSWGECTMPHTSASSSPVTVELQVENSKVPLHQWKLLNHLHGLTYFSISDCHDLTGSPEIFQHLSSLETLILEGNYQEELPEWLGELTSLQKLIIMRYPGLKALPDNMRQLTKLQSLNLYRCNNMASLPHWLGELISLKILDIWGPNDMRSLPESIRRLTDLQELSVCACPELKHLVESENKMKLAHIKEGVCVLPTSLKRLVIRGCDGIRSLPDIQQLTILQKLEISSCLELRQWCESEENEMKLAHIKEKEIRS >SECCE6Rv1G0396720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:374146695:374166254:1 gene:SECCE6Rv1G0396720 transcript:SECCE6Rv1G0396720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSREQPAAAAAADGGVEENVMAILDSFVGIKDSRDLHDDRAAFLEAVRSVCLAGDKPSTPTERMYDAVFQILQSSSSLELTIASFRLLMELGKQYPRVYLTNSGSHQALVIIKESWSPFHLSNNVASREIGRNTSHSDHLFDSLRFSLLTEAMVEASNDTGANNGLKHTENMVLFQYLVRTLEADFVPRHIAYKESLDWVIIRESVLNVLLGSRRLVFKTFVKNCISLLNQHQWEVEDDISSKSASDLDSSLTFSLLEFEKEALISVKKLFIMVINLDLIRKEADKLGLTSRADGLRNPILDVILEELTYNTIYLSPFLLAFTEWKWKLQIILQYFSRYQIKSAVRTRRSDNSQQNLTVESALSMFSTEASAKAMVKMMCPEVAQLLLAHAYQVCLSVDGDSSKANDAAKMMGASLLEISCKFVSAFQNLRKINANIQISQFEKEALFTAATLARKLQNK >SECCE6Rv1G0442070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814049735:814053700:-1 gene:SECCE6Rv1G0442070 transcript:SECCE6Rv1G0442070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVKQPLLHHRAYPSHAASASSPALPSVPHAGTAGTPGAAGAAAASFAGGRRFPGGLDVPNLKKRGGGTRSWIRVEAASASVQTLEVDKATMMRRCELPARDLRLLDPLFVYPSTVLGRERAIVVNLEQIRCVITADEVLLLNSLDSYVFQYAAELQRRLLQRAEGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSSVFGDQSLTTFNAAAAGTSVSAPVSPVSSPTESRKLEKTYSLCRSRHDSVKSSDNTVTEHIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGVFGMNFETDVFSIQNAFQWVLIITGVVGAFIFCFFVWFFKHKRLMPL >SECCE7Rv1G0459540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26463989:26464585:-1 gene:SECCE7Rv1G0459540 transcript:SECCE7Rv1G0459540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKRGWRKGRKAPAGENKRCPSKRKVADAAGACEASPPTLDVAMLRKRLLEDLQAFPEAAVLKEYQLKEAVDRLIKESKPETATPTAKGMVRFRDDQIRMAFIHGNLLGFPTIEDLPPTGVVSQGWLDERRRQLDLEVLPEKRDEPDNMRLLLAKIRVDLLTKGYVELPELYLDYTPPQVVARSPPKHIPTAVASG >SECCE7Rv1G0492550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:552768018:552775414:1 gene:SECCE7Rv1G0492550 transcript:SECCE7Rv1G0492550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPEILEVRCAGCGETLEVEQGMTEFACPGCAMPQALPPELMPQPPPRPRRALPLHHGGGARDQMPCGGCGAVLAVPRVLRYITCPLCASDLVVDGDRLRLHQAGVQVISPAAVASITPTSLRRSEVQEEPRSQVIHVGQVQVGRYNKPIRFEQEREPSFARSVHGESTNSPRSNSKTEVHVRCAEDAPVDQLFHRDEAHIKLPNGTVPRHGFKKKADLSASPGSICAERIVPDHPIKVSNALRSQGGPSIHSFHTEEVHGQHQSNIVGNHENQKARHHTIGGKQVHTESHGNTTGCNQKRKRSSWTTGGKRMKKHMGSGKELHPKCNKYSAAQPEYTPNSNTVQEPVSSPDENQFNPTDVDRIIANLYPSSLSQKQVPRAGSHELDNIDATLPPVSRDHGISPVNHVSRCHCQCSADAMGALANRSFNSAQEHEIPQGSSNGICSHGKNGAQGQQIQDDGHPVQVEVECHHNKAAGQHKSVAKGCMHSPNEREYIENRSCTDILEQVAVATACCHPTPSPLLTATRLPSTIFPSVTRSSVHLSPPYCEPPETIHSQDAHAADTGYMKSKVRKGRGPAKLTEPRRVADRPVLTPTNVDTWDIDPPCPKVASTITLLLKQWHPGSTYIMACQQTNEVHPEQLVLHFHQYHSDRRAIILDEFLRRYKWAPGREAECLKLFNRRTVRQFTGLLCDEKRKARVKLFASRKVKGASDATKSNGQSNLDGKGAREKSKLPRRDPAGVGHEDDIPLQWKQFPPEWMLPKWWEMLCEHWASEENLQFSALMRKNRFTGGSARHTAGSRSITMHRKLMMMENGGKPVSEVELFNKTHKHGGGKGEFVTEKARRTVEAFQRRLEEAGDTELDPHVVWSEEVGGRNRGRYYGLPGIIDKGQIGNLSKSIPGSLGRKRRQLFTQDQVQEMINHATRQMNETWENRFQSLEKNMRGMASSDMSQHASEAGPGAEDDEASDEHTSDSTDDGTYQSAEDDSGGHSGD >SECCE7Rv1G0502560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:697571875:697575783:1 gene:SECCE7Rv1G0502560 transcript:SECCE7Rv1G0502560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSLVSGLLHLAGSKLASKIFCEFSSALGVKKDLHQLFDLVEEIKRFLHNVGDRVINNDTAFTWLNKLKEIAYSIDDLLNDFHMEAEKQKTKEIDRGNILIVKCFCDRPKSIWFQHKMANKIKKIREKFEAIIAERKDFNTLRDSLPMDSNVDRQIVTGELPLTVIDEAIILGREHDRSYIIYELTKSRDQGAILPKKNDQEMVSVMSIIGLGGSGKTTLAKLVFNDKIEIKKHFKVRVWVYVSQKFDICGIIGKLFEAITDKKSEDHSLQYMSTVITEQLDGKRFLLVMDDVWNKDLLEWEQLMLHLRLGAAGSRILLTTRSQEVAEIVNSTLLYNLQVLSEDNSWKLFLQSSGRAMEDLDSEFIDIGRDIVRKCGGVPLAVKALGGIIGGKISSWRAVKESELWKVDDRVFTSLRLSYCHLPAYLQQCFLHCSILPKGHFMDKDRLIAQWIARGLVIPLNELEQLEDVGNDIFDSLLKISFLQDIVQDKYTGIVTCKMHDLVHDLSRHILQDEIAVTPEKAINDPNQSCRYLSLTKSIKNIDRKLIKKARALYVCDGTFVFDKPIRKAIHVRCVILEHVYTASLSPAIAVLKFEYLGYLRMTHLQSETLPEPISCCWNLQALHVIDCRELLRLPESIGKLKKLRSLDLSGAWKLESLPQSISECDNLHTLCLISCLNLGSLPLRMPQNLQSVNLNACSRITQLPDSVVQLESLKNLNLSFCSDIKELPCSFNWCKLHALKLSFTKLTRLPDGIVNLRRLKELDLEGCDELCGMPVGTGQLTRLQRLALFVAGDGREYASMSELHGLVMLSGDLEIKNIGYMKGSDDDGEQAYLSEKNDLQELKLQWRSSNREIDMEQELALLNRLQPPPGIRKLCIYGYPGQQFASWIIKQDESSFSLEGKMKQLDPPPFSHLTHVKLANYKNLKHLSGLVNLPSLNTLMLDNMYVLESIGGGPFPSLKELHIQKMPRLSVCSMMTIRTSAHGESGQSNQKEIQSFPCMVTLEIIKCPNLNVEPSFPSSLESLLLTSSNTLLLSPGSPDVLDPATEDSCSASTSDGLMLPSSQPLLKALIIRSMMVLSSRWGLLQQFIALQSLEISACSDLTQLPESMRKLSSLQQLKIWNCGSLQILPDWIGELVSLRTMDVRSCTSLSSLPQSMVQLNSLQCLRIGYCDALQLPEWLGRLQSLRSLDIWGLPKLKCLPASLQHITTLQELKISCFDALVELPEWLGEISALRQLKIETCPGLTSLPNSMQRLTALQELEIIRCPELRRRYRKGEGPDWGRISHIPHVDIQRITDED >SECCE5Rv1G0350000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:687533533:687535941:-1 gene:SECCE5Rv1G0350000 transcript:SECCE5Rv1G0350000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLATDVRLSIAHQTRFALRLASTISSNPKSVASNAAFSPVSLHSALSLLAAGAGSATRDQLVATLGTGEVEGLHALAEQVVQFVLADASSAGGPRVTFANGVFVDTSLTLKPSFQELAVRNYKAETQSVDFQNKLMGRESHNRSHQGHSPLGSINNTTKLVLANALYFKGAWAEQFSSYGTKNDYFYLLDGSSVQTPFMSSMDDQYLSSSYGLKVLKLPYKQGGDNRQFSMYILLPEAPGGLSSLAEKLSAEPDFLERHIPRQRVAFRQFKLPKFKISFEIEASDVLKHLGLHLPFSDEADFSEMVDSPTPQGLHVASAFHQAFVEVNEQGTEAAASTAIKMVPRQARPPSEMDFVADHPFLFLLREDISGVVLFFGHVVNPLLSS >SECCE6Rv1G0416000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:645857718:645859078:1 gene:SECCE6Rv1G0416000 transcript:SECCE6Rv1G0416000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTSGVAPAPSRATAAPSKLPNASEAKKRKISAKKKAADGSGTLKKKKLAGRRTAPASTEAPASSTVEPAADAHHVLDEMPPSLNDDAYMSTMGVGSNNSHWSQTNEIHLDDHEFEVDEEGEGIVEAPKGRAGNYTINDDKLLCNTWLQVSRDPSVGGDQSRDAYWGRMKEHFDAQNMSGIDRSERSLRSRWSTINSDCQKWVAAQKAVDKLNPSGTNEDDRYNIAQNLFREETRTTKKGKIKKGKIFTLPHCYEVLKDDEKWRKREDLDDLHLSNKRKRTIELNDDEEEDDASSEEGMRSPTPNSVSYSKPKRPDGCKKDKTEKKKRKGDDELTNAMEAIVKARKEANEVRKMARNQDAAAEERRLAAEERRVAAEERKVALEERKVAMEERTRLME >SECCE6Rv1G0431130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745661349:745662244:-1 gene:SECCE6Rv1G0431130 transcript:SECCE6Rv1G0431130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMVREVLYMYSVVRQAYERIVSVCGSPEQAQNMVALLVWLDQGTISAIHHVPAMAPEAVAVVAEEANAILKCLRHQLPVLPPIPLISTLCMQGGILIEPGFFAIHQDLVVHGVAHFLDGAGKFVFDDRLHVLLRKSKTGLVGNPPELMAPYTPQLMAMPEDCRSMFITFSKGMPPHREEIFEYFREKWGDCTVRVPMEKTKGGHMPMYGRIIFKTEAFVKLVLNGERLVKISIGHRQIWLRKYVPRTTKATA >SECCE2Rv1G0110170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:687455643:687457109:-1 gene:SECCE2Rv1G0110170 transcript:SECCE2Rv1G0110170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLSALTLVAVVVVASVGRPCRGEFTVVVPDAGALVDAPQPGFSDRARTDPAEQRAVLEIMAATGNGWASGIADVCRGRWHGIECVPDRADVYHVVSLSFGALSDDTAFPACDPARATLSPAVLALPHLRSLFFYRCFTANPQPVPPFLGRLGPAFRSLVLRQNGHVGPIPAELGSLSGLRVLDLHGNRLSSAIPATVQSLVHLQLLDLSYNRLAGQVPNLRFQQLSILDLSHNALQGRVPASLGQCRSLLKIDLSQNRLAGTIPDALGDLPDLILLDLSHNALSGPIPAAIGRLSTLRSLILGDNPMQLSTIPGDFFTGLRALTTLVLSGMGLQGSLPESIGGLSQLRVLRLDSNGFTGVIPVSFRRLEKASELRVDGNRLVGPIPFGKQMIWRLGKKLRVGGNEGLCYDAKQQGLEGAMALAGVADCGGVGSGTTTQHLSWKNSGGATATATANVTSSDANSNSGRGGGHFFLVVLVCLQLALL >SECCE3Rv1G0168280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:218244384:218245617:1 gene:SECCE3Rv1G0168280 transcript:SECCE3Rv1G0168280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRAPTAAAAGASSSGAMAVDAAGGVEKPRFDALMPSEMSGGRPQYRKVQVPPHRFAPLKKAWLEIYTPVYEHMKVDIRMNLKAKRVELKTRHDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >SECCE5Rv1G0318440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:360634353:360641314:1 gene:SECCE5Rv1G0318440 transcript:SECCE5Rv1G0318440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRNLHGSADRPVQPPPHCPRRSRSVTPAPRRDRLLGDYAGTTRTNPLFDRGGRASSPPPPPEDSGDRGRKESRGRGSGRARSVSVAPPQLRRAATSAPSSAGTDGGGGGRASRARSVVGEARPCRGSVAISQRSHSTVPVEQVLEIPPEFDPDSAEFVSDISDYATEFKQRDVVEIPLEFDPDATQLVSVERHNATKLQWEGIEIPLEFDPDSVELAPDITEYTSKLKQSHERARKLRADLAVEEQREQELSRMLKGIVTVPSLSETHKRRPRRKSSIERLRVSRHLAEEAISYFEECVSISTLDSTDFSSLEESHQNSGGTVPRKSNSRFLLKGGSSSLESHFPTDRHNYKEESDNQTQCSISITGSDVSDSVVFSRAKSPGLGTRSNSSDDFDGLDTPRSKSSCFSFTHEPAKAVDNCDVRQYLKSFSRVISKERSNYCADDYAVQKASENRLTDTVAFKNRIEYGGLVLCNIRTF >SECCE1Rv1G0053650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:670467169:670471004:-1 gene:SECCE1Rv1G0053650 transcript:SECCE1Rv1G0053650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGSSSSSAAANGGGGLAITERQKPAPSRVAALFQMLAKRKLFSSSSSKKTKLLAPVREQRFLSPGRPPGGGGGGGEKTPVAKKRPLLLDSADYARSKSESHSTSRLPPPSQDSNSSEMCTPGVVARLMGLSSMPAISHERSVRATDSSEVEVAGHQNECSQGLPGSSGSVATSHQRQQKPGQAMDEWRHDNAGKFSADSQALWSGRHHNHKVASPLKSPRSISSRNKARLIEAAARVLEPGLQSRNRHRAQRHARLEYPCNGDGVATPAAPAAAVRNSPDQLSREMGDVDASMSSGAGNVGAASLHNSAPRQWSEENCKKIAADRKPNQHVPCQGQPGGNIKVLPVSNSSEKARFKESGEMIFNATADTYQDVRKVQPRSVSRGNVVSGPLKQNNLKQNALPTVSRTEDPQHMIQRQKHRSGEQYVASTGKDYVSLNKSMNSSTSLRSKAKAMDEIRLPRSNAQNKNLSTKGHRTSGLRSDSSNKPKPRTASPKAMEKDMIIAKGAGLVSEKPKTASANYVRNDLLRPAEPRNASRCNDSDIVSFTFSSPMKATPTSLPSKNTSAVLGSPNGPKRNSHRDCHNISSERELVFREKLRGTSSMDEAESVWFNRDELKNRDIPGSRGRETASWSEKASDFPVLQRSSSEELLRELDSLMHVFGELPNSVELRETHKKLELLGRCHLQANGKANDTTRSVPGGDRQPGRLRPTYADENCTSGNSNYSKEAQLEDRRSSETSAPPSRARDAATQRNARHTEPNSGQHGAHRLAPAVQEGSKSARLGHGEVTSTVDLLLTNVCFSGFHHKSKEAFLLRTSESVLTTLTPRSSTTTTSRPKAPGASPLRSLASDLVTECLDSMCAELCDSGYTQFTRFAATVRTEQRLAAEVRREAARRGAEAGQQALDDLAAGDVERAAAAGVAREAFRIGALIERDLVQELAHELGQDMLRAAAVNL >SECCE3Rv1G0158840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:91274975:91278451:1 gene:SECCE3Rv1G0158840 transcript:SECCE3Rv1G0158840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDMGGFRRGRGHVAAARLLLCCAVVAACMGGGALAVDAQGAALLAWKRTLGGGGAGALAGWSSADKSPCRWTGVSCNADGGVTELSLQFVDLLGGVPDNLAAAVGATLERLVLTGTNLSGPIPPQLGDLPALTQLDLSNNALTGSIPVSLCRPGSKLESLAVNSNHLEGAIPDAIGNLTALRELIFYDNQLEGAIPASIGKMASLEVLRGGGNKNLQGALPPEIGNCSNLTMLGLAETSISGPLPASLGQLKNLDTLAIYTALLSGPIPPELGKCASLQNIYLYENALSGSIPAQLGGLSNLKNLLLWQNNLVGVIPPELGKCTGLNVIDLSMNGITGHIPASLGNLLALQELQLSVNKMSGPIPAELARCTNLTDLELDNNQISGTIPAEIGKLTAMRMLYLWANQLTGTIPPEIGGCVSLESLDLSQNALTGPIPSSMFRLPKLSKLLLIDNVLSGEIPQEIGNCTSLVRFRASGNHLAGAIPAQIGKLGHLSFLDLSSNRLSGAIPAEIAGCRNLTFVDLHGNAITGVLPQGLFQGMMSLQYLDLSYNAIGGSLPSEVGMLGSLTKLVLGGNRLSGQIPHEIGSCTRLQLLDLGGNSLSGAIPSSIGKIAGLEIGLNLSCNGLSGAMPKEFAGLTRLGVLDVSHNQLSGDLQLLSALQNLVALNVSFNNFSGRAPETAFFAKLPMSDVEGNPALCLSRCPGDASDRERAAQRAARVATAVLLSALVVLLIAAAVVLLGRRRQGSIFGGARPDEDKDAEMLPPWDVTLYQKLEISVGDVTRSLTPANVIGQGWSGVVYRASVPSTGVAIAVKKFRSCDDASVEAFACEIGVLPRVRHRNIVRLLGWASNRRARLLFYDYLPNGTLGGLLHGGATGAPVVEWELRLSIAVGVAEGLAYLHHDCVPAILHRDVKADNILLGERYEACVADFGLARVADEGANSSPPPFAGSYGYIAPEYGCMIKITTKSDVYSFGVVLLEIITGRRPVEHAFGEGQSVVQWVREHLHRKCDPAEVIDARLQGRPDTQVQEMLQALGIALLCASTRPEDRPTMKDVAALLRGLRHDDGAESRKMSGGGGGGSFGKWSQQSKPVPLPRPGQTQAQTHSSSLAYSTTGSV >SECCE4Rv1G0293550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885980159:885981673:1 gene:SECCE4Rv1G0293550 transcript:SECCE4Rv1G0293550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIILSSNNNTTGHEIPLSSPLEMGSSSALGEKAHVVCLPAAAQGHINPMLDVAKMLHARGFHITFVNTEYDHARLVHVQGTAAVAGVPGFHFATIPDGMSSSDNKVTHDVPSICKAITEVCLGPFRRLLAELNDPATGHPPVTCIVSDVVMDFSMEAARELGIPYVQLWATSATSFVALRHCRLLFDRGLAPIKDFKQLTNEYLDTPVEDLPGLRNMRFRDFPTFIRSPAPDDYMLHFTLGTVERAVGASALIINTFDDLEAEAVAAMEALGLPKVYTIGPLPLLAPSSKISMSLWKEQEEVLPWLDDKEPGSIVYVNFGSTTIMTDDQLVEFAWGLAMSGRHFLWIIRPDLIRGDTAVLPREFSVETAERGLIASWCPQQQVLNHSAVGVFLTHSGWNSTLDSMCGGVPVISWPFFADHQTICRYQCTEWGVGMEIDNDVRRDTVAGLITEVMEGENGKVMKKKAQEWREKAFKATKPGGSSRHNFEELIHDVLAPAPQSSR >SECCE5Rv1G0351430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:699395788:699400686:1 gene:SECCE5Rv1G0351430 transcript:SECCE5Rv1G0351430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHPDPASPPPSRQEGSLPPPPEPMPTEEAPPTPNPDVEEVKGAPAEEPEAVDRDEEEAKGREDGDEQEQEEERRGRGRKRGRRPGAPRGLVMVKRELLARCMTCPLCNRLLRDATTVSECLHTFCRKCIYKKFDDEEVESCPVCDIDLGCTPVEKLRADHTLQDVRSKIFPFKRKKIKAEDVASPDSPPNKRKERSISSLVVHTPRLTPTGSTGRRTKVVTRKAAATVDNPVKKENSDKSAHSSSLPANLGKVPKTKRQILSNAEEASNYSSNKDTEDDSKDMVDNAELWRPLNCLVEAANRTKSFRSSLQDSGVKREQLNGSPSSTNGNKPNKKEHPKRPKTEDDKKDAPVPPVTLKRKLKGTGRRRSGLRAPADEDPNGALTQNEKRFNCIWFSLVASLEQKGDSPLPQIPSHYLRIKDANIPASSIQKYLVQKLSLPSESEVEINCCGQPVNPTQPLRNLVELWLRGRSAQATQAIVGSPAEEFVMVLNYGRPKAMEP >SECCE2Rv1G0110760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:693742141:693743316:-1 gene:SECCE2Rv1G0110760 transcript:SECCE2Rv1G0110760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWPALKPSPARKKPPPEAMAASSALRDLEETDDGWIVLPPAPPKSGKPPRPPPRATGADAVNASSGEAFDPTSNAIVGRYLPLRRALLCDGLPRQIHDADVYGAHPGFLAAVYPPANGRPEWFFFVCRAQCQGGRRRAGPGAYRLGSEARLLGGAAYCHAFRYYEDEAEVGSSSTRETEWRMDEYGDCRSTAAAFDMVVCKLYPARGGIVHTMLGVHEPASPSNRPDVNRPQVLVQLYLDSLNLGDPRRCRMYGAADVFAAHPAVLTAPFPAANDRSEWFFAVHRRRRELEENDEDKARPRRAGPGAYLLVREGRVVNGNGGDIGYRRVFSYREDDATVRRVSRTEWWMEEYGFGKDFPHGELPPAKAPMDQDEELVVYKLCLKMAGHRR >SECCE4Rv1G0261460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:701587006:701590253:1 gene:SECCE4Rv1G0261460 transcript:SECCE4Rv1G0261460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKWHVVALLGVACTVAAAVAADRGLSFAGAVAPPEEASYLRKLASSLWQSDGTTYHHVWPPMKLGWKIVLGSLIGFFGAAFGSIGGVGGGGIFVPMLTLIIGFDPKSSAAMSKCMIMGAAVSTVYCNLKLKHPTLDMPVIDYDLVLLIQPMLMLGVSIGVICNVIFPDWLVTVLLIILFIVTSTKAFLKAVETWKKETIIITEAAKQSEQTSEQQEYMPLSEGLDAASQSEILSDHDRNETPSDEAVSIWKNVYWKEVGLLAFVWVAFLALQITKNYMATCSIWYWVLNLLQIPVSVGVAMYEAVGLLQGGRVISSKRNDQTSLRAHQLVVYCFLGVTAGVVAGLLGVGGGSIMGPLFLELGVPPQVASATATFAMMFSSSMSVVEYHLLNRFPVPYAVYLTILAFFAAIVGQRVVRKLIDLLGRASIIIFILSFMIFISALSLGGVGISNTIHKIARQEYMGFENICNYEA >SECCE5Rv1G0355000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:728268025:728268495:1 gene:SECCE5Rv1G0355000 transcript:SECCE5Rv1G0355000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGSGKLRWLWRAPARAFGRARDFYVRSITGCARYVPADAAFGAYPVLVPAPLPRSQSCGSGADYGGEDDLRELIRAASQRRDGEQQRQAVHLHAVPRSQSVAGAASMAPIDEDAPCEFAAGAGATLYSRSQSYAGGAMGARKSHCHSKMSALGS >SECCE4Rv1G0243240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:498760345:498762042:1 gene:SECCE4Rv1G0243240 transcript:SECCE4Rv1G0243240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTRKIVKKRVKHFKRAHSDRYIGLKQSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKDIVERAAQLDIVVTNKLARLRSQEDE >SECCE7Rv1G0456630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11148861:11149598:1 gene:SECCE7Rv1G0456630 transcript:SECCE7Rv1G0456630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQALGWSDGEVMRSESKPCSRLMRHTAGIFSVGGALASWVLCRLHYGPRITVPRSVRWASCGAICTSSASASLVRLLSPECEPQNISAYDRPAHKPA >SECCE7Rv1G0502700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:699816171:699819280:1 gene:SECCE7Rv1G0502700 transcript:SECCE7Rv1G0502700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGRSSRAAGKRGGGAASSSAAASACVYYATTGVLVALCVAGAYFLTSTSSASIAGSDGEDKAAAVTAYRHTTRSSFAYEVTREKAPPSPPREPEAEDGALGKEDAGSEEDGGAEQERGSAVTAAAVDDPHAKPDLDERASGGEDSKSDAAAVDEEQSSEHVRVAASEATAEEEEDATAATDGDKEQETQDNDQDEEQQSHLQMPRATVEERSLDGGIEEESIARQRQSDEEERMSAGDDQPGTGILRREAQEDEAAERQSEEDRPDQEQTEEERGSDQSLVEEDGRTLVEVESDPGQEEGGGDDKAAESSEHKEDTDGSGASLENHNVVDTLQGEDSAVGAGGDQSAWATQRDQSHREKDRRQEDAGDGNSTDGEEQHEWRTCNVKAGADYIPCLDNEKAVKKLRPENFRRYEHRERHCPDEGPTCLVALPRGYRRPVEWPKSRDRIWLSNVPHTKLVQVKGHQNWVKVSGQYLLFPGGGTQFIHGALHYIDFLQQSVRGIAWGKRTRVVLDVGCGVASFGGYLFERDVVTMSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKAFDLVHCARCRVPWHADGGALLLELNRVLRPGGLFVWSATPVYQKLTEDVEIWKAMTALTKSMCWELVTIKKDRLNGVGAAFYRKPTSNECYESRRRQQPPMCSDDDDANAAWYVRLNACIHRVPTGAAERGARWPADWPRRVRAPPNWLNTSQVGVYGKAAPEDFAADYQHWRRVMDKSYLNGLGVDWSRVRNVMDMRAAYGGFAAALRNEKVWVMNVVNVDAPDTLPIIFDRGLFGMYHDWCESFSTYPRTYDLLHADHLFSKIKDRCAVLPVIVEVDRIVRPGGSVIVRDDSGVVGEVEKLLRSLHWDVRLTFSKNNEGVLFAEKSDWRPELVAEPA >SECCEUnv1G0557670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:326429115:326447863:1 gene:SECCEUnv1G0557670 transcript:SECCEUnv1G0557670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYHRKRKPSGPISGLSLPSAKMVGNWEFGSVTKDWESLHARKLEFLNFISTLRKPTQSYVASAEANMINSSVEHTEQKNSEVLIILDSDNEEESMAAYKQLTPEKNKQLSSEKNKQLIPSEQAGTLTACVATQGIADVNETMRDGDQNSHIVPYGQSATLMSQYPFPRYQPSVQFERVVLLERPEEERVHDLAVASHAEKMAETLVFPALPKERKQRKYDPSSQTDGDAEAGPRKRKRKGKNEVSAPVFDLPSETYNPVEEDEPMEEEDKPENESDGLDGFWNEYSLALESSKLDTPEEVASEKEVGEKEEVDNGCSHDIRIHEDLGHVCRVCGMIVRRADTIFDYQWKKPSRSRSYFSETRSKDSDDIVIDDVRVTEELMALDVAIHPRHAKQMRAHQLAGFHFLVKNLVSDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSSRPLVILPKGILGTWKREFQQWQVEDIPLYDFYSVKAEKRAEQLEILKSWQAKMSILFLGYKQFSQIVCSDGGGNVAAACRDMLLKVPSLLIMDEGHTPRNRETDVLESLSRVQTPRKVVLSGTLFQNHVSEVFNILNLVRPKFLKSDSSRPIVRRIMSQVAISGGRISKGAADNAFTESIEETLLHDDNFTRKAHVIRSLRELTKDVLHYYKGDILDELPGLVDFSVFLKLSPKQKEIVHKLESYEKFKRSAVGTAVYMHPCLSGMSEVDAADRAINLTDASIDSLVESISMADGVKARFFTNILALANSAGEKVLAFGQYILPMKFLERLLVKTRGWNVGKEIFVINGDTSQEERELATDQFNNSADAKVLFGSIRACGEGISLVGASRVVILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSQEESFHQTAFKKEVIPKLWFEWSEQHCTSDDFRLNKVDIDDCEDELLDNKAMRQDIKVLYKR >SECCE5Rv1G0354970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:728112603:728114876:1 gene:SECCE5Rv1G0354970 transcript:SECCE5Rv1G0354970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKVGSLDARATKFRTVPIAVTPEGFWCCPSQTVLQKTVKNQNQQTRPKVGASPPASKASSVQRAPTISSERRTHSTPTRSRANSDEQRCPSAADNATSNPPKVASERPQKQHKISVGFGQIEMSDLRVVLYGKDGVAVKMSVHKNILAENSTFFADKLSRQSPVSSIEVPDCEDVEIYVETVGLMYCNDVKQRLIKQSVPRVLRILKVAELLGFRACVLSCLNYLEAVPWAGEEEENVVSSVRHLQTEDYGVTPILKRVCSDLTSPPNDTFVRIIELVLKSSDDRGRREMKSLVLKLLKESSSSFASSSADLCVETLYSYCQNCLESLLTVFQQASDSDFSEQSSELKEPVLRQITLEADNLLWLTEILAGRNAAEEFAGLWSNQRELAGLHSKLPTKSRHLVSCVTARLFVAIGKGEMLPSKDTRQLLLDVWLQPLMDDYNWLQHGCRSFDRTVVEEGIGSTILTLPLEDQQTILLSWLGSFLKVGNSCPNLQKAFEVWWRRTFVRPYVEQQGSRSQSARS >SECCE2Rv1G0108820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:668465389:668472476:1 gene:SECCE2Rv1G0108820 transcript:SECCE2Rv1G0108820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLRPAAIAGGRQVWPVAEEQADAAAAASQRLVEAVARGDSREAGELLASGRADVNYAGVVWLDARRVAEAALREGAAAELRASREEIRADVSPLFLAAGNGDVALVRALLAKGADVNGKVFRGYPATAAAREGRAEVAELLVRAGASQPACEEAIVEAALQGQAALAAIFMRSDLVRPRVAVHALVSAATRGFVDVVDTLVKCGADPNATSRVLLRSLKPSLHLNVDCTALFAAIVSRQVAVVRQLLQAGVKRDTKVRLGAWSWDAATGEELRVGAGLAEPYDAAWCAVEYYESTGSILRMLLQNGYSSGATHLGRTLLHHAILCGSVGAVETLLASGAECEAAVRTSRSGRFRPVHLAARLGQPEILQTLMDKGCDVNARAEAGDVAVILAARHKREDCLRVLVSVGADVALLNLAGESAASVASSGGWKAGFERAVLGAIRSGAIPLSSDRHVFSPMMFTARCGDAAALEVLLAQPGVDVDEQDADGCSPIMAAAKEGNVDAFRALVFAGANVKLCNKRGETAIGLAQQSKKRDLFEQVMLDFALEKGMPAGGFYALHCASRRGDSAAVHHLASTGYDVNIPDGDGYTPLMLAAREGHAAVCELLISHGARCDIQTARGETALSLARSALATASFNKAEDVIMNELGRQLVLQGAHVVKHTKGGRGRPHGKSLRMVAAAGVLRWGGSSRRNVMCVEAEVGGSSAFQRHRQRKGRRGDDAYAPGLFRVVTATGKEVHFVCQGGEEAAELWVRGIRAHTRAVFGKRGN >SECCE2Rv1G0097810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:469649581:469650258:1 gene:SECCE2Rv1G0097810 transcript:SECCE2Rv1G0097810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAAVLFSLLLLTTCAADTHLHFFLHDVVSGSNPTAVQVIKGPASSSNALPGVAFGDTTVIDDALTETSSPTSAPVGRAQGFYMMSSQSGMVLMMCVNLLLTTGDYNGSTLAVIGRDDVMTTTRELSVVGGTGKFRMATGYVLWKTNSSSGADATIELDVYTTGINGTATIDANAPVSPIDGGGAGASGGATGKSSSGAAALRGAVPYGWVAAVLVALAGCVW >SECCE2Rv1G0066900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:16395923:16396708:1 gene:SECCE2Rv1G0066900 transcript:SECCE2Rv1G0066900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEITKVDLRGLEPGGRGWDDARDAVTASMVAYGCVVVQHDGFGRDLRQALFGCALPELFGLPVEAKQRNVYNDVQYGGYIGQIPGMAYESMRLQDVAGAGPIRDFADLLWPQGNPAFCDTIGEFAKDGMKLEQTVTRMVLEGLGVRNRKAIDAHHEMLGYHLRMSYYGTSLDNNDATKVSLPAHRDYVMTNVIVQHEVEGLEVQLGDGSWFAVPPEPDSASSSPATY >SECCE6Rv1G0411260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606307639:606311075:-1 gene:SECCE6Rv1G0411260 transcript:SECCE6Rv1G0411260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSNLFQWARSAISSLRSRWSGTEEQTLQDEVLHLQSGLLGLRDALPAMYDLIDRAEWRIHEQCVAKLLPKLRDAVYDADDLLDEFGWYELKVAVEGNASQSPFVDFFNSVIQGSFNKVKDIQNRLKKLSRQLEKMGLCEETPHFDKSVRPDTSSLPDETKIFGRDLELKQVIGLLGVPTNISGAHLKRKRASTIVRGSTGTSSNISNESRIPSIPVLPIVGIGGVGKTTLAQHICNHQQVKSHFDLVIWICVSDDFDVTRLTKEAMQASSDNLDSLQHALSKNVRNKRFLIVLDDMWDDALKENGLRWKRFCAPLRNVIPGSMILITTRSPKVADGVGTMEPFILDGLKDDVFWNFFKLCVFGSESLNSEPELELIGRSILPKLRGSPLAAKTLGRLLKLNLHVTHWNKVLESELWELRQNETEILPALRLSYMYLPFPLKRCFSFCVVYPKDHKFEKGYLAEIWVAEGFVEPQGDIPIQDIGCQYFEDLVERSFFQKVRDTYVIHDLLHDMAQLVSEHDCFVIKNMSDFQKVPQDVRHLSILSSTKFNRSKLLSLCKHTKLRTLFCNKSLRNKTVASVVDHWFNELRHMRIIIFSVIEELPDSVSNLKHLRYLKLSRACPFDSLPSAICCLYNLQIFSASECKLCPRFESNGFRYDQDCSLKFDAAREREVGMRLIKNMNQFCGDLEIYNLGTLTKDRVAQVGLKNKRYLNSLTLGWSLARSQEHSAIEVLEVLQPSINLNSLLLRRYPGVSLPNWFQPQNLANLTSLSLHNCDGLESISFSRISQRINLNYMRALPVDNSNDSIGTFLALTVISIYGCTNLSSLEQVLHPASVPAIKKITIQYCNKLVSVPTERFGDLHCLEELYVSDCPNIYSYGLVAPSLERLVLGSSGNLAGNIECSSLACLSLSCHCVTSIEPQMWSLPALLELNITGCRSLTSIGQPEHFFTNISSGGGTTSVIFSSLTSITIYDCQKLSTMDDLLTEEYLPVIERILVGRCGKLISLSSETFGSFPFLEDLKVHDCPSINWQSGLVLPSSLQRLYLRSCGDISAWVPSSLENLASLVSLQMIECPHVVSIPGEVWSSDLTSLKELTIKDCPDLVSIGGAEAIAEINNVCISGCPKMKTDVMRGRF >SECCEUnv1G0566780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:407967078:407967920:-1 gene:SECCEUnv1G0566780 transcript:SECCEUnv1G0566780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKGTLPNGRHVAVKFLHDTTGNGEEFVNEVISIRRTSHVNVVTLLGFCLEGSKRALIYDYMPNGSLDKFIYTDNSKETLGWEKLYEIAMGIARGLEYLHRGCNTRIIHFDIKPQNILLDEDFVPKIADFGLAKLCNPKESYLLSMDGMRGTVGFIAPEVFSRRFGVVSTKSDVYSFGMVLLEMVGGRRNLRASVERESEVYFPDWAHNHLTQFGSLQPFDLGLDGPEEIAKKMATIGLWCIQILPASRPTMSKVLEMFEKSSDELEIPPKQLIYSPIQ >SECCE6Rv1G0379460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:14000221:14001823:1 gene:SECCE6Rv1G0379460 transcript:SECCE6Rv1G0379460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRKKRKSAPAAAVADRIGALPDSLLQHVLSFLPAQESVRTCVLARRWRHLWKAARALRIVGLDGQEPAGVEDLRVFVDHLLMLRDRTDLESVEMRFHSCSQGEEPYVSLWTRFVLMCNVRALALEISHAYFYPDDLPLASRYLKTLDLHGLGLQKAFLDFAGCPALEDLKMSECNISVDRMSSPSLKHLSITGCLSDLRARLRISTPGLVSLKLDDFFGKTPFLENMELLQTACVNLGKSFSDVCMNYNNSGVFCGDNKNGCVNCISHDDGSSDCVLLGGISSAKHLELISESSKFIFTRDLKCCPTFSKLKTLLLNEYWCEAPDMNPLACILKKSPVLEKLTLQLFSKGPTHKVEMKGSHSPMEGSSAISEHLNIVEVKCNVVDEKILKVLKFLSAFNIRKLTNDSLHDLYVFKLAFICGNETNKKAGSK >SECCE5Rv1G0363290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:792174679:792174954:1 gene:SECCE5Rv1G0363290 transcript:SECCE5Rv1G0363290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGKKRAALASLFGFKNKRQEEEEQEATAATRQQQHAAAAPQQRYQHHRVRPSDDDDYTRHWYAERDIDGKASEFIDKVHRRMLANEQDG >SECCE2Rv1G0067830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20203126:20203744:-1 gene:SECCE2Rv1G0067830 transcript:SECCE2Rv1G0067830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSRMETTPNLPQDILMAILATFEIPDLLRAGSVCSSWRSAYQALRNHGLYNQSQTPCLLYTSESDGESTARLYSLVEKKAYRLTLPDPPIRTRSLIGSSPQGLLITVDDRSEMHLLNPITGQQIALPSVITIRQEQEEEDTLWC >SECCE5Rv1G0372080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851181544:851183153:-1 gene:SECCE5Rv1G0372080 transcript:SECCE5Rv1G0372080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAASTLSSPWRLLLQRALDANAHLKHSTFFQLATVGAGGRPANRTVVFRGFQEHSDKIQINTDARSNKIGEIKEWPLGEICWYFTDSWEQFRISGIIDVIDGSSPDPAKLQQRERAWFASSVKSRLQYLGTSPGVPVANDDHVTDVHLDPSAGPVDAYCLLTLDPEKVDYVDLKSNQRLMFTRTQEGDESSDWMAEKVSP >SECCE4Rv1G0247120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:553065880:553068189:1 gene:SECCE4Rv1G0247120 transcript:SECCE4Rv1G0247120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSDLLCVLLLSLLLLAASLRLPAAAGSNRQLVVAAAAARIGDEFLCQDPIGRPSSSSSMSSNASDELSSLPAAPVAAFTQQGFTAPAAAFTQEGFTAPAAAFAQQDFTAPAAAFAQQGFTAPAAAFTQEGFLGDEAFSSGDRYPKEDEDELLSGDGYARDLDRSVEEEEPLGEEEEDEDDLLLLSVKQGAQVEPSSNNYEEPGSSADVQCPECGKLFRNDKSMFGHLRSHPNRGYKKGSGHLKSRPSRGYKPPARPKPLPSRNGKPSPLPNRNGKLSPPANVTHPVARYSQRDPELNRFEVMMAYVMLTLKQRGDGVARDQSYKRKHESSEVDVPKKDLARSKTGDDVVLGDKHGTSVAEMVGDDVVHRSEQGSSLVEMAVDDSVLSDKHGTSVAEMPGDDAVHRSEQGSSLVEMAVDDVVLGDKNSTSVAEMAGNDVVLGDKHGISVAEMPGDDAVYRSEQGSFLVEMAGADVVLPNEHHGSVAVMAGNDVVHGSEQGSSLAEMAGDDVMLGDKHGISVADMPGHDAVHRNEQGSSLAEMAGDDVVLPNEHHGSVAVMAGDAVLIDQHGTVEVPRKKGRKKSKESTEARRKEKKVPSASTVKRSYTCKQCKAEFPTHQALGGHMAAHNKDKRLQAQNEQAAAAWEAHQNQIDRSLNRLVTSSMKPAWEAHQSQKDQSLNRQETKGGGEEPRQGGGMFMSTRELLMERYTKLFNQGWLSRQEAGGSTRQHTEKKDGGSPSVAPPLADGGRRRPFDIDLNAVAPEKE >SECCE4Rv1G0219780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33674709:33675170:1 gene:SECCE4Rv1G0219780 transcript:SECCE4Rv1G0219780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYSRVWLPSLLLVCALVESSYGSRPPPRELQMAGVLSPTMVHGAVEAEPHPRGDGAAKVRPSTEEGPAGHRGANADDDDDDDDAASVMGVGGVVSEQRKGSEAPVLQLALGRMLGSKLARRVLGGEAEDSAAGPSCRSNNVHITCAPPAQH >SECCE6Rv1G0384630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:65402365:65407208:1 gene:SECCE6Rv1G0384630 transcript:SECCE6Rv1G0384630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSPSAAAGGGGLPDQPASPEVSEEHKCLNSELWHACAGPLVSLPAVGSRVLYFPQGHSEQVSASTNKEIESQIPNYPNLPAQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPFLPAELGNASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELMAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFISAKRLVAGDSVLFIWNDNNQLLLGIRRANRSQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTYPMYSSPFPMRLKRPWPTGLPSLYGGKEDDVTSSLMWLRDGANPGFQSFNFGGLGMSPWMQPRMDSSFLGLQPDMYQAISAAAFQNTTKQVSPTLLQFQQPQNIAGRSALLSSQILQQVQPQFQEMHHQNINDSAIQGHNQSEYIQQQLQRCQSFNGQKSPPPQQQESHHQHQQQQSQCMQTPHHHQMQEQKHTPDFQSVPNGLSVFSQLSSTTQSPPSALQTVSAFSQQQNFQDRSISSLSPSNVPSMHDTLRPFPSEAGSNLQGVPRTTPVPVSDPWSSKRVAMESVIPSSSHVNSSHIQQLDSAPSNIPQSSSLAPLPGRECLVDQDGSSDHQNHLLFGVNIDSQSLLMQGGIPSLQEDNGCIASLQDDSDSSTIPYSTCNFLSPSQNDFPLNEALASSGCLDESGYVSFSENSDHVNQPTAAFVKVYKSGAFGRLLDITKFSSYHELRSEVGRLFGLEGQLEDPARSGWQLVFVDREDDILLVGDDPWQEFVNSVSCIKILTPQEVQQMGKQGIDLLSSAPARRLGNSCDNYVGRQESRSLSTVIASVGSVEF >SECCE1Rv1G0053220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:667910222:667911814:1 gene:SECCE1Rv1G0053220 transcript:SECCE1Rv1G0053220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTHAPSPSPSLSSLLSRPRSAAPQLRLRPAAHRRVRCATDAAVPAPAPAPAATNKHRRAADEDIREEAARHRAPANDFSAWYEPFPPGPGGSADERYSLDEIVYRSSSGGLLDVRHDMAALARFPGSYWRDLFDSRVGRTVWPYGSGVWSKKEFVLPGIDPDHIVSLFEGNSNLFWAERLGRDHLAGMKDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRLPRLVCAQAANANPLYRYYKSGWTQFQPQVAEPTFASAIQIGDPVSVDRAVVALKATNGIVAEATEEELMNAMSLADRTGMFACPHTGVALAALFKLREEGTIGANDRTVVVSTAHGLKFSQSKIDYHDQKIEDMACKYANPPVSVKADFGAVMDVLKKRLKGKL >SECCE7Rv1G0516770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:847309388:847312799:1 gene:SECCE7Rv1G0516770 transcript:SECCE7Rv1G0516770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSGLAAVVVVLLATVAPAANPAMVLERAVPLNGVSLGHLIELDRARQARMGVVNVPVQGNANPFADGIYYTSVKLGNPPKKHTFLIDTGSDISWVACKGCMGCPTRNALKIQMDLYNPDSSSTSSKISCLDDNCKAAGKSGYEVCQTSDSTRSLCGYNLTYGDGTQVLGYYVSDTMYLDTIMRNQHSANTSAPVIFGCTKSFSGVMSTDGILAFGQQQLSILSQLNSLGVSPKKFSHCLKGSEEGGGIFILGEIMGPKLVFTPLGGPHYSLNLEGIAVNGQDLPIDSSLFATSNKQGPIVDSGTTLTYLIDGAYVEFVSAIAAAVSPSVRPFGTKRAGMGDTYFLSSSSIDLLFPTATLYFKGGAAMTMKPGNYLLQQGSMGNDIVWCIGWQSTKSIQNGHGITVLGDLALHDKIFMYDLKKMRLGWTDYNCSLFNRNTRIDVSGASSYCSGLIAIGDDVVWLIIILIVI >SECCE1Rv1G0044860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:605933227:605933893:-1 gene:SECCE1Rv1G0044860 transcript:SECCE1Rv1G0044860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVALSSFAVVGRSAARSPVVAPRRRALVVRAQTEPGMESTKETTSASTSSSSPSTSATPTPIPAAPKPRTKKANPSVWDALAFSGPAPERINGRLAMVGFVAALSVEAARGGGLLDQAGSGAGLGWFLVTAGLFSVASLVPLLQGQSVESKSSGFWSADAELWNGRFAMLGLVALAATEFITGAPFVNI >SECCE3Rv1G0169840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:243919796:243922101:-1 gene:SECCE3Rv1G0169840 transcript:SECCE3Rv1G0169840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFVLGISRVDHCHPRILSHQIEPLTILAFRAISEHICKVLDPKLIANGRFQLLTILLCAVIHAKGIRGEDGCKLCCILICGVISDYIHHGPIDAKESAIDLFGDLPEGVLCTIFSKLSLEEAVRTSAVSRKWRYLWTVCPNPSFDGNTMCGNNNYEKRVYTLMFTRIVNSVLEQYRGKLVEELAIKIEFNWMLVEHLDNWVRFVVSSRAKALVLDLAPERRQPPGRDDRYKFPFEHFDEDSIRRLQKIHLSFVDFEPPMHFSGFPNLRKLDLNLVNVSGKDIPLMLSNCCNLEWLSIVRCHLNGELKVNVPLPHLLYLKIASCNITNIEFLAVNLATFEYRGVAVPIDLSNSSELKCANIWYYGDTLEHTITVLAKVLINVQHLTLDTACEPPKIPCLMHYQCKFSQMMYLELRLVYVEEFNTLSLVSFVRSAPFIKKLELHFRFPSYMHLVQESDEPIRKLPERLFNNLKSLYVTGFKACIGQVEFLLHMVENSPALEILSIDHSDKYPLEGHEQDTKMVVDAVHRIARRYLEGKISSKCTLILH >SECCE6Rv1G0413460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:623774787:623775551:1 gene:SECCE6Rv1G0413460 transcript:SECCE6Rv1G0413460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKLSMGRQKIEIRRIESEEARQVCFSKRRAGLFKKVSELAVLCGAEVAAVVFSPAGKAFSFGHPSVEAILDRFIPSAAQVGAAGGAAGLGAAGDRNLAELNRQYGQLREQLEAEKARKDRAEEAMAKERATGSPAAAWLDADLRDLGEEELMAFAAALADVQAAVAARANQVLQEALDVGRARSASRMLLAPPPPQQQLAGGGGFEFASCSANSREMQQMLMAMPPPPEFAATGMEMVQQGLGQNAGFPY >SECCE1Rv1G0050830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:649513662:649516933:1 gene:SECCE1Rv1G0050830 transcript:SECCE1Rv1G0050830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAMIAAVVMAAAALPRPAAAASGVGVNWGTMMSHPMHPAAVARMLAANGVDRVKLFDADPWTVSALAGSGIQAMLAVPNDHLASVARDPRRARDWVRDNVTRNLDAGVDVRYVAVGNEPFLKSYNGSFINITFPALKNIQRALDEAGVMVKAVVPLNADVYNSPESTPVPSAGNFRKDINTLMVSIVNFLHRNDAPFIVNIYPYLSLYQNANFPLNFSFFDGGSKPVYDKGLVYTNVFDANFDTLVWSLRKAGVPDMKIIVGEIGWPTDGDKRANTKYAQKFYDGFLKKMAKNVGTPLRPGHMDAYLFALVDENQKSVLPGRFERHWGLFTYDGKPKFPMDLSGNGQNRYLLGVEGVQYLPSQWCVFDKDLKDKYRELPASVNYACSNADCTALGYGCSCNGLSHDGNISYAFNMYFQTMDQDVRACSFGGLAKIVTTNASQGGCVFPVQILSASESVVPLRVLAASLVVSVAVFILM >SECCE3Rv1G0174000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:370322503:370335993:-1 gene:SECCE3Rv1G0174000 transcript:SECCE3Rv1G0174000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSDDEPEEQDVDIENQYYNSKGMVETDPEGALAGFDAVVRMEPEKAEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQHFNLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQKEDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYQRALSIKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNVPEKDVEQLLVSLILDNRVQGHIDQVNKLLECGDRSKGMRKYQAIDKWNTQLKSIYQTVSNRVG >SECCE5Rv1G0321440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:403916166:403920001:1 gene:SECCE5Rv1G0321440 transcript:SECCE5Rv1G0321440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKKGWFERIKRLFTSEPKQKPKPDKKVKSKRWLVGKLKTQHSFALPAPEPEPATGQIQIRQAEEEQSKHAVAVALASAAAAEAAVAAAHAAAEVVRLTGPPTPAPAREDPASSGHELFAAVAIQSAYRGYLARRALRALRGLVRLQAVIRGQAVRRQTAATLRGLESLVKIQARQRARADVEHENDHDGMDALLRRGRELYAAALQEQQQNSRGWDGSTLSKEELGAVMRSREEAAIKRVRALQYASIQNEKIGIRRQPMSRDEMETLNQRWSWLEEWVGSQPFDKDVAVDVVTHPHPPPPRSRDSLACLEDDDDPDDGRRLGYSSRRSFGRARRTPGRGSVDDGLQACSPAVAFPGYMASTASAKAKFRSMSTPKERFAMPSDAYSEQCFADRLMSPIPSMSPMPSIASDMGFARSSRPPVAQRSPRVKGAPMTPSRIRSRRSPSRHSFGSEAALHQMQMEHYTPIR >SECCEUnv1G0561050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:351659738:351661822:1 gene:SECCEUnv1G0561050 transcript:SECCEUnv1G0561050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRPAPTTVLLVLLLLHLYYAPRWAFSLSFSLNFSDPGAGSSVDLDGDAYIAPPRLELTKNVLSSVGRASYRYKVPMWNSATGEMASFTTNFSFRIMPEKAGLNGTGGDGMAFFVAHFPSEIPPSSKGGGLGLLPAFTNGAGRTRVVAVEFDTLRNSHYADINGNHVGIDVSSVTSVASTDTTTRPGKNLTSSYVMEATVRYHNESQMLAVDLLIDDALYQVNATVDLRRCLPEEVAVGFSAATGDASELHQILSWSFSSTLPPLPTRNDHKKLVMILLSVLVPFLLVCAAVVLWRRHKKMRANKDSQERCLNRADLERGVAAGGPRRYTYQELVAATKHFAEEEKLGRGGFGSVYRGHLRLTPAPADHRAVAVKMLSAESSAQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRKGLLLVYELVTEGSLDRHLYSMDGRYLTWPQRYKIILGLGSALHYLHGEWEQCIVHGDIKPSNIMLDSSMSTKLGDFGLARLVDHDTGLLQTTKAVLGTVGYIDPEFVNTRRPCIESDVYSFGVVLLEIVSGRRPVTETAGKSFTLVRWMWGLYGRNMILDAVDERLRGDEANDRWMVRVLVVGLWCAHPDRSERPSVAQAMHVLQSDEVRLPALTLHMWTVPDPMSPSGPYEAFSIDSSTSGSSCVRSSLVNTGDDILSSGSSSTALLRHSKDLAN >SECCE7Rv1G0490580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:497125802:497129928:1 gene:SECCE7Rv1G0490580 transcript:SECCE7Rv1G0490580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLSRNRRTVNRPYGGVLSGPAVRERIIRAFLVEEQKIVKKVLKIQKTKEKTTKS >SECCE4Rv1G0219720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33515643:33516662:-1 gene:SECCE4Rv1G0219720 transcript:SECCE4Rv1G0219720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERRLPQLHLTLDAPTWAFRCPAPAPVAAPTPSTSAARPDGEFRLSDFDFDKLSVLGRGNGGTVHKVSHRRTSALYALKIIHRGHPGADDEVDVVRRVDSPHIVRCHSVLPTASGDSALLLELMDGGSLDSLVRAGQGGFPEAALAEVAAQALSGLAYLRARRVVHRDIKPANLLVNRAGQVKIGDFGIAEVVSRAGKYRAAYEGTAAYMSPERFDTERTLQGDGDVEGPVDPYAADVWGLGVTVLELLMGRYPLLPAGQEPSWAALMCAVCFGELPALPDGAASPELRGFVAACLQKDHRKRASVAELLAHPFVAGRDVAASRHALREVIEQRV >SECCE2Rv1G0074320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75459427:75461178:-1 gene:SECCE2Rv1G0074320 transcript:SECCE2Rv1G0074320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAARLLIACLNVAFLLLVAFFCVSRPAVPRDSATSMNGWSLLLLLLEREGHGHGGGSDGAHRRLEVDKDRCGYDAAEYSVAGRCYPRGRGYVDYLYLFYCVFGDEHRALGYAAMAAWLAVLFYVLGDTAAVYFCSSLEGLSRLLRLSPAVAGVTLLSLGNGAPDALSTVASFASGGGGKWASSVVGLNGLLGGALFVSSPVLGVICLRVGGRGVAIDRGSFFRDACFLLIALAAVALVLAAGEVSIWGALAFTSLYLVYVLVVVFIHGRSHDGEADSAAWVDNTTASSELCNVVETDFYPDSATPLLPETAPLLRYYAGDGDGDATKKNRSVFWSVVRVLELPLYLPRRLTIPDASEERWSKPAAVTAATLSPVFLSCLWSHATGSPLLVLLLGGIAGLSLGLLAFLSTDADAPPTKFLSAWLAGGFVLSVAWEYVIANELLSLLVSAGLVLGVDPATLGMTVLAWGNSLGDLIANVAVAVAARRGGAQVAVAGCYGGPVFNVLVGLGLSLLLSCWAGYPKPVTIPWEPRLYQTLGWVAAGLLWAFVMLPRRGMKVDRMLGFGLLAIYLCFLCTTPSLFA >SECCEUnv1G0561620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:356588228:356588902:1 gene:SECCEUnv1G0561620 transcript:SECCEUnv1G0561620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRPPPPRLMLNAVSCMRNAQTVLRDMNVSVHDGMALVLTGANGSGKTTFLRMLAGFSRPSAGEILWNGHDVTSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGRSAPAIDLMGLGRLINDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDSEGVKLLQYIIAEHRNKGGIVIVATHLPIEIEDSMNLRLPQRFPRRKTLVDLVR >SECCE1Rv1G0061450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:715966185:715971081:-1 gene:SECCE1Rv1G0061450 transcript:SECCE1Rv1G0061450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKSRGDTAVNTIVNLAEEAKLAREGVKGPGHQVLTVCKSLFAGGVAGGLSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASRGILYLYRQQTGDENAQLSPILRLGAGATAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMFHALGTVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQSNAFDLAKDNELHVVTRLGCGAVAGTIGQTVAYPLDVVRRRMQMVGWSHAASIVTGEGKEALQYNGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEAVKDVLGVEMRID >SECCE3Rv1G0197920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:824670309:824671790:-1 gene:SECCE3Rv1G0197920 transcript:SECCE3Rv1G0197920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKDGDQPLHIIFFPFLLPGHLIPMADMAAVFAARGVRCTVLTTPVQASTIRSVTDRANSSAAAGTPISISVVPFPDVGLPPAAQSGRDLATSREYHDRFLQTAELLREPFRRFLSDHLADIDAVVSDSLFQLSAHAAAEHGLPRIAFFGTSMFARACTDTVLRSNVFESCSHDPAAVVSLPGLPHRVEQRRSQMMDPAKRPHEWAMFQLIHAADRSSYGELFNSFRVLEPGYAEHYRATQLRRRAWLVGPVALASCSVEDVASRGAVAGALSPDAAGCLRWLDAKPASSVVYVSFGTMTNFSPEQMRELARGLDLSGKNFMWVIANGAAAASSDPCMPEANRGYIIQGWAPQVLILNHPAIGGFVTHCGWNSTLEAVSAGVPMVTWPRYADQFYNEKLVVEVLGVGVGVGAEDYASSVETHPVIAGEAIAESIRRVMEEGDGDAMRKKAKELGVMARGAVEKGGSSYDDVGQLMEELIARRSSVGCTADG >SECCE6Rv1G0402730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:487589492:487589845:-1 gene:SECCE6Rv1G0402730 transcript:SECCE6Rv1G0402730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILALLSHMVFGLLPPVLCGLLFSESNDRENKMKDVTGASLASAREGYGHKMAMTYFKTIIYYLAIVVSLSGMSYVAGVMIMRLLEYCGTIDQGGASAPHASLRLLFSHLGGAHTSA >SECCE4Rv1G0215560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:5255287:5261729:1 gene:SECCE4Rv1G0215560 transcript:SECCE4Rv1G0215560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAAGSSEQGTPDSEMGDGDNDSVGYGTEMELDAAPGSAPAAYPARPSVHDGVDPFEGMEFDDEEDAWTFYNLYAHRVGFSTRISVMHRSRRDGSIMSRQFVCAKEGFRTYRGKHEQAALASSPGGPDDGGRGGRRTRAVTRVGCKAMIRVKKQDGGKWAITKLETAHNHPLVPQNQAHCLRPHKPLSECGKQRSSSSSYGVRRNGGMFLAIEPPPPPPPSTPPVPQTSIVAQAAVVPHYIGDGIGNATRVILDYVKRMQAEDPAFFYAMQFVEGHPVGNVFWSDARARTAYKDFGDAVFLDDHCKRSKYDLPLVTFTGVNHHCQPVLFGCAVIRDNSEASFAWLFETLLLAMSGQHPVSLTTEYDGAIQSAAHKVLPHTRHRFCRWHILNEAQCKLSDFLNAFPSFYDDLVNCINMSDTIDEFEANWEALISKVGSGHNEWLDSIYNCRQQWVPVYLRDTFFGDEPSKQGCMSRSSFFDSHITAKTNSQSFIQHYEKALDSCYEREVKEEFETKYSLPDIKTPSPIEKQVADLYTRTLFLRFQQELIGASVSTLEVAEEDGRACTYKVTTSQGSEKPRMVQFNSSECSAKCTCQMFEYLGIVCRHILTVFGAQGVSTLPSQYIIKRWTKNATDRSSDKKPDEVSRVKEPKEEQRSTVEDGEQSQTWRYNSLCREALRYAEEGASSVEVYTVAMQALQEAANKVNMAKRGIGQVAAPLAVMPITAQLPECSGKIQDSFGQQKKRKRNSNNSRENSAPYQFMHMQQPSNHLFVGPSTSGGSQGPSQLVAAFPVSSSAQHGQTSGAHNSTDGNMATASVAVDKFHGFSDRDASTTAPSSGNVVQAGETKSSGVASQINESHELSQANGNKGSSVNTVNSTASPQLVTVPIGFCLSSMDNSKMPTAGMNSSSSGGMMSNGNASFGIRQCQSSAQVPATHSEAKTVAENTESRATTADSSSIRAAAIAAGARIASPSDAASIIKAAQSKDAIHIRPGESLPNQLKPLAPRPLSSLAPASAPSSAQHQQQQQPGQNSFGDSTAAKEAIFGSTDGSDGDEYDDDDDDDDEEEDTDDEGLTGDEGEQD >SECCE6Rv1G0422770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:691243380:691244945:1 gene:SECCE6Rv1G0422770 transcript:SECCE6Rv1G0422770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSKTHHHASSSLLPEELNLLQKARCSPDDDNGVHNGGGGGGRSALGQWKCRLLDSLRPRRPRCVVCLQVQHVTGMPPAAEGRGVVVGWRSKGGEGEHTAPARVSRGGAAAFDEVFLHYFTAGSSTLRSFTVWAALVEDDSARGGSGGGDLGAFPVDLAEAAVAESSHPQFGGKALSFPLGGAAAGALLTVSVYCRVMEQEEIHGANGHARERKNKGKSLSYASCLPDLSCLRTRQAAAAAGAPRRATSLRSERGGFITIENSVAEMEEEEGFITMEKGTVSSRSRRAALALEALAAEEEAEDEKPCLFMELSSSSGEASSLEVEGVEEEFLAMLEDKYWAEMARSKEIEKGLSVTLDAGLDLGLDLDSLIRDAETELARAEQAWRSKVGAAIVEEEEYKELVRRWGSTTRDRDRDVLTTSSSGCSWGFGFGSPI >SECCE7Rv1G0522020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:875821453:875824004:1 gene:SECCE7Rv1G0522020 transcript:SECCE7Rv1G0522020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVNTTEEEPMLAVVRSTAQLAWADAGPEVADPEVARLCAEAQQHVLAARWLDMAALMLASADLLLLAPHLSDKDLECTLTVICNLVTKAGSEDEALEIARLICAKLTHQPGDKPTLRIKVLFSLYNLLPSLSGKAFVYRKALELAAAGKAADCVVPTFKNIDAFVAYWGIGKPEQRELFLAVTRILKDHKGMTKEYFKFLNKYLATFDGSADDAEAIGAAKEEAAAAIIEFVKSSDLYQCDLLDMPAVAQLEKDEKYQPVYELLKIFLTQRLESYLAFQTANSTLLQGYGLVHEECITKMRLMSLLDLSGHCSGEIPYSAITKALEINDDEVEYWIVKAISSKILDCKVDQLNQLVIVSRHTARVFGMPQWQSLRSKLGVWRGNIANAINTIQANKVTEDGGQGMQGLMIR >SECCE1Rv1G0000510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1969819:1972109:-1 gene:SECCE1Rv1G0000510 transcript:SECCE1Rv1G0000510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSKKPYVVAVAIQAIYTGIFVVSKAAFNSGINTYVFIFYRLAAATALLLPITLINACRQSRSTTATPAPALSCRLIFKLFLYALLGNTFTLNLYNVSLKQTSATVGSAATNSMPVATFLLAVLLRMEVVKVRSHSGFGKLAGVALCFAGVLVIAFYAGPSIRPLAHNPVFTHKPKSVSSGAEWIKGTFLLILACATWSLWIVLQVPLLKEYPYKLMATTLQCLFGALQSFVVAVVAERDFTKWKLALDIGLLAVLYSAFFGTGALMYLQAWCAEMRGPVFVTMWNPLALIFTIFFSSLFLGEAVHLASILGGILLVGGLYSVLWGKSKEKENNITSLAPEESEVQEEEERAATEEKREEGELTSQV >SECCE5Rv1G0299130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14842927:14846132:-1 gene:SECCE5Rv1G0299130 transcript:SECCE5Rv1G0299130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRWTATRYAAAEASLLGVLRRFGARAGKTVPRGELRQAAREHIGDTGLLDHLLKHTADKVPRGSAERIRRRHTADGAMEYWLEPAELAALRREVGVDPYWVPPPGWKRGDPVSADGYALKAKMQVEELTKELAGVKRHMQQLVKAHQGTMNNEVKPEAVKACISHEPYQDKYECVLKANGNLEKQVLSLEEKYASATRANGKLEEEVLFLKEKYEAMLEKNTRLEGQVAALSTSFLSLKEGMQFLNDGEQQIMGAEPRLLLCAKECRQADRQESNGGGEGSDAAAVGAGDQLADVGKRSPRKCSEWPDEAQPASPRTPTAARAASVDDDECAMDGGLELPPTPPSASSTNAASSAKLLLLPAPGSPVQHPPQPPATSSSARVDAGLQEPAQPHSGVLELQLRHAAQDASSLPFPCGVTTGGGRVGTELALATPSY >SECCE5Rv1G0334390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565366150:565369262:-1 gene:SECCE5Rv1G0334390 transcript:SECCE5Rv1G0334390.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGSGGGGGRGPGDDLHGLNFGKKIYFEQDVAGSSSSSGGRRGKGPARAGPAGGGGGGGSGGASTPAAAGNASQSQQPRCQVEGCGVDLSGGKTYYCRHKVCLEHSKAPLVVVAGIEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGPMSARYGRLAASFNEDPGRLRSFLLDFSYPRAPAGVRDPWPAVQPGDHRMPGTTQWQSSHHEHQPHRSAVAGYGDHHAYNGQGSSSGGGGAPMIPGGYELPSDECMAGVAGDSSCALSLLSTQPWDSSAHSSSHNRSPAMSTTSAFQGSPVAPSVMASNYMAASSSGSWGSPRGARSMQQQHHHHQHHMQHDAVMSEVHPSSVHHGQFGELELALQQGRATPNPPHAEHGSGGAFGHSSNAMNWSL >SECCE2Rv1G0089010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:251406674:251412376:-1 gene:SECCE2Rv1G0089010 transcript:SECCE2Rv1G0089010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPFALRLGPRLAAPTVASATRGGGRGSGRPTASRALVSKKPNKDHRLWIRKDSAGSGKKALCLVNTVSKLPNEKEAVYGALDRWTAFESEFPIIAAAKALEMLKKRRKWLQIIQVTKWLMSKGQVLTWTTYDTLLLALSMDGRVDEAESIWNTILQTYTRSVPKKLFSRMIQIYNSRHLPDKVLEIYADMEELGVRPDEDTTRRIGRAFATSGQEDKQKPVLEKYLKKWKYIHFNGERVRVRRAGPLA >SECCE2Rv1G0130320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:875461598:875462011:-1 gene:SECCE2Rv1G0130320 transcript:SECCE2Rv1G0130320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRGAEGNIIFSSCRRLYSCREILEAVLYACKEGLEIAIQRSTVPIQVEVNSATAAMLLKSEVDDRSKFTFLVRDVKKLLRSNNSCISHVSNTQNLASISLANFAKGGSRTTTWVGSGPPKVLQAAAMDCNDTNIE >SECCE4Rv1G0214880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:2075895:2078741:-1 gene:SECCE4Rv1G0214880 transcript:SECCE4Rv1G0214880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGHLARGAAAVICFMIFHVAPSNSRAQARISGGNGTCISKERDALLSFKAGLLDPAGRLSSWHGDDCCQWAGVRCSSRTGHVVKLDLRNNYTTMAFQGLYTMHYYKFSSLSLSRNEMSSSLAALQELRYLDMSYNDFNHTSIPAFMGSLQKLRYLNLSASGFGGRIPSQLDNLSNLQYLDISGDYYNALYTSDLSWLSHLSLLSYLNLTEVDLRAVRDWVHTVNRLSSLRVFCLVDCGLNSTVSATSESNLTHLQVLDLSSNSFDATLERNRFFWDLTSMKELHLSHCEWHGPIPEQLANMTSLEVIDFSANDLVGFIPSNLENLCNLKVLNFDDNSIDMSIGEFMDRLPRCSWGTLQKLSMRNTTMAGNLPLEVGALGNLTLLSLGNNKLDGVLVKEHFSGLLNLEYLDLSDNSLKMDIEPNWVPPFRLKHINLESCTVGPSFPECLRWQTRIDYLLLGNTSLDDVIPDWFWATFSQASALDASRNMLRGSLPSNLQHMSANSIDLGSNNLTGQVPRLFPINITSLDLSSNSFSRSLPAELKAPQIFMLSLADNKITGTIPSSMCQLTSLARLDLSGNKLTGDVVQCWKESDNSSSVSRANSADQFGSNMFSLALSSNGLSGEFPKFLQSASQLMFLDLSYNRFSGTLPKWLPEKMPRLEILSVRSNMFSGRIPKNLTCLESLYYLDMAHNNISGSIPWLISNLKAMRVIYKDATDYAYADSMPVITKGQTRDYTFDVFSRVVNLDLSCNSLTGHIPEEISLLIGLTSLNLSSNQLTSKIPNKIGDLKQLQSLDLSNNKFSGEIPSGLSALTYLSYLNLSYNNLSGLIPSGPQLQTLDNQIDIYIGNPGLCGHPLPKNCSTSTTDTEQSVVHEDADHNHITYLYLGMSIGFVVGLWTVFCTMLLRRNWAIAYFQIIDKLYDKVYVRVAITRARLMKKTRKDAA >SECCE7Rv1G0457050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:13907667:13909282:1 gene:SECCE7Rv1G0457050 transcript:SECCE7Rv1G0457050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKGNKTLMGQTNHTIDQVVGNLPEMMFNKPAFRWIHQMMEKMGTDIACVRLGGVHVVAVTCPSIAREVLRKQDANFASRPLTFASSAFSGGYKNAVLSPFGDQWKKMRHLLTSEIVCPSRHRWLHDRRADEADNLTRYVYALATGSASASGVDVRHVARHYCGNVIRSLVFGRQYFGEPQPDGGPGPMEVEHMDAVFASMGLLFAFCVSDYLPWLRGLDLDGQEKMVKEANATVNRLHDTVIDERWRQWKSGERRELDDFLDVLITLKDAEGKPLLAIEEVKAQSQDITFAAVDNPSNAVEWALAEMANSPEVMAKAMEEMDRVVGRERLVQESDIPYLNYAKACIREAFWLHPVAPFNVPHVALADTIVAGYRVPKGSHVILSRTGLGRNPTIWDEPLSFKPERHINMADGGNMLLTETELRFISFSTGRRGCIASLLGTAMCMMLFGRLLQGFTWSKPPGLSAIDLSESEHDLFMAKPLVLHAEPRLPMHLYSTTGI >SECCE4Rv1G0285550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:845253355:845254476:-1 gene:SECCE4Rv1G0285550 transcript:SECCE4Rv1G0285550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEEQPDWLNLPSDLLTIIAQRSRDAVTGLTAFRSVCRTWRSAAGPAPRLLLLLPRPRPTQLVFPLARGWSIVFDVRDAACHLSHLATGATAALPRLNASRDAGSDVVRHVRYLDCNDLETAIRSGWIYPTYLDFADSLRFAVHIPPGSGSPAGMTVMMYHMMHDGETGMLFCRPGDAAWTKVGKPNHTGYGYFDLAYHDGRMFGMGVSGEMAVFDATTLDALQPVQRPPATPNLANKMYGICCRMEEFNYVHLVALPGKLVLVRTTVKSSRPVAFTIFQLVSAPDGRLAWRMVADAGNYELFVDGYHTTFRENDLANGGGTWIYYVHETPYLAYTAAYRYSMQHKKLECVYKSPKGASPEYSTKSTWFVP >SECCE7Rv1G0462260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:44863107:44866073:-1 gene:SECCE7Rv1G0462260 transcript:SECCE7Rv1G0462260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSLCLVALATVTIFALFLEKFTASSGGKSKSKRPRLPPGPWTLPIIGSLHHLLGGLPHRRMMELSRLHGPLMFLRFGEVPNVVVSSAEAAELVMKTHDLTFATQPRSATIDVISGGGKGIALAPYGDHWRQMRKICIVELLSAKQVKRMESIRSQEVAKLLRSVSDAAASSSSIVNISNLVAVLSNDITARAVFGGMHAQQSEYRRELGQVVKLIGGFCPADLFPSSRLVRWLSSGERNLRKSYGGIQRIIDNIIDGRKAERESHVASSADDEDLLGVLLRLKEEDSLAFPLTSESIGAVISDIFGAGSESSSTTLVWAMSELTKNPEAMAKAQIEARKVLGRGRVVIANADLGELHYLQMIIKEVLRLHPPATLLVPREARDNCEIMGYDIPKGTKIHVNAFAISRDPRHWENPETFKPERFSNNNIDYKGTNFEFTPFGAGRRVCPGMLFGTSTLEIALANLLYHFDWVLPNGACPYTLDMSEKFGITVSRKYDLELIAIPST >SECCE3Rv1G0183210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:646094813:646097882:-1 gene:SECCE3Rv1G0183210 transcript:SECCE3Rv1G0183210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-dihydroxy-2-naphthoyl-CoA synthase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G60550) UniProtKB/Swiss-Prot;Acc:Q8GYN9] MDSAERRLARVTAHLLPSSLPLASAPLLAPSPAAASSSPAGDSYRRVHGDVSSEPPEWRAATDEDGKGFVDIIYEKSVGEGMAKITINRPDRRNAFRPLTVKELMRAFSDARDDSSIGVVILTGKGSKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLSRFYAAEEAERMGLVNVVVPLADLESETVKWCRQILRNSPTAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >SECCE6Rv1G0387790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:122978588:122979739:-1 gene:SECCE6Rv1G0387790 transcript:SECCE6Rv1G0387790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNRAKWTSRHEKSLMEVLTEYNLSHYRGQNGWTTEGWNQVVKELNNLYPEARFTKDQVQDKEAQLKKHYKNIKSIVNRSGISWNDIACVINTTPEKWEEIIEDPKLKMYEGKSFPLYEALGVLYEGHIAQGRHCLTSRKPPFVTKSGSNFGAKEKMVASTSKKNARHGRYDNIRTSSIIDINDTPTLDDVDERETTVHDEEELGSEDADCDQPQISESRAKGKKTKKQKGATSVQRLEDSMMAYVNFRKEQASKKEKVSQQGKQPSITECLEVLNDMDDVPDEVKIFDSDVFKDAANREIFLGYNSRFRGMWLKKELDKISPQPPPCKYHYLGFLHFLSPLKKVCKA >SECCEUnv1G0534310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:40371286:40371486:-1 gene:SECCEUnv1G0534310 transcript:SECCEUnv1G0534310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKDVRIRVILECISCVRKGANEESTGIFRYSTQKNRHNTPGQLEFKKFCRYCRKHTTHHEIKK >SECCEUnv1G0528790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6065486:6067603:-1 gene:SECCEUnv1G0528790 transcript:SECCEUnv1G0528790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTASSLRGLSSPAAKTPTRKALSVRAMAPASPSKGDAAAAESSPSPRRQVLVAGAAVAAAALVPRPAPAAFAAADGKFMPVIDRKAGYSFVYPFGWQEVSVQGQDKVYKDVIEPLESVSINMIPTTKDDIRDLGPPDQVAEALVRKVLSPPTQKTKLIEAKETDIDGRAYYTFEFTAEAPNFTRHALGTITIANGKFYTLATGANERRWDKMKDRLHTIVDSFKIETKV >SECCE6Rv1G0385790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:85182311:85186389:1 gene:SECCE6Rv1G0385790 transcript:SECCE6Rv1G0385790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MATAGDPASLPPSASPFSSTRALESVPFSSGNPRIEETRGVVLLHPDPPAAAAAAASSSSSHLPAERKPRVFVPAVPNHMTYADFCRFCGSFVPHMLEMRIVRIDGAEDQYSVLIKFDTLSSTDSFYKHFNGKRFSSLEGDVSRVCFVEDVHYTQLIEHAHSLITSSAEQPTCPVCLERLDQDPGGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSMCFVCGTSENLWICVICGNVGCGRYKGGHAIEHWKETEHCYSLELETQKVWDYAGDNYVHRLIQSKTDGKLVEYNCYGGHEADGICSLCSGDAGMDEALLNSKVEAIVEEYNDLLTSQLDKQRNYYESLLSEVKEENEKEISAATSKSVSMMKLQKLQAKLDKCLEEKSFLDDINANLVKNQEMWKERVRKVQEREQAALKLKDEKIEKLEAELRDLIAHIECQNAVAAAPGSISSDIQGGTILPGPSTPSKSSSSSPVRPTKDRKRN >SECCE4Rv1G0287940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:857396857:857401002:-1 gene:SECCE4Rv1G0287940 transcript:SECCE4Rv1G0287940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSQSAFKKENPLGMDAMSSEEHASQSKLLQEFTNVPSIESAWLFKTNNEDRSTAMFSISQPDMLANNKRKYILHSHIVTHGTMPVECHWSPFPIEMTGVSVVVPSPSGSKLLVVRNGDKGSRTRLQIVNQSHVEKEIHVDQSIHGPLYTDEWFQGISWNHEETFIAYIAEQSPQPKPSFDDAGYRKEGSSEEDCNSWRGQGDWEEDWGETYSRKGRPSLFVLDIASGEVRAARGIAEAVSVGQVVWAPQSSSGNRKLLVFVGWLEHNGFQNTARKLGIKYCSNRPCSLYAIQYPFEEEPNADNASVSGSKSVPPSVATNLTPSISSAFFPRFSKDGKLLVFLSSKRAVDTGAHNATDSLHRINWPSDWKAEQQLSVTDVVPVVMCPRDGCFPGLYCSSMLSDPWLSDHCTMVLTSAWRSTEVILSIDMLSGEVARISPEDSDHSWRALAINGNNVLSESSSPIDPPQIRYGRRASTEDHDTCAWVWDKVTSPLTAASNKVKALLSHHKLSTLRIPVPNPLDELSNGGRLPFEAIFVSCEDSSQKPTVLILHGGPHSTSVSSYSKSSAFLASLGFNLLIINYRGTLGYGEEALQSLPGKVGSQDVQDCLAVLDHVIKEGVVDASKVAVVGISHGGFLTTHLIGQAPERFVVAAARNPVCNLSLMVGTTDIPDWCYAVACGTEVRHLASESPSLNHLRIFHQKSPIAHISKSSFSMVPLTPSFHVKAPLLMLLGGADLRVPMSNGLQYARALRERGGEVKTIMFPEDTHEIDIPRSDFESFLNMGVWFKKYLKES >SECCE1Rv1G0047310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:624243638:624248353:1 gene:SECCE1Rv1G0047310 transcript:SECCE1Rv1G0047310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BREAST CANCER SUSCEPTIBILITY 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G21070) UniProtKB/Swiss-Prot;Acc:Q8RXD4] MADMGSLEKMGRELKCPICLSLLRSAVSVTCNHIFCNHCLTESMKSASTCPVCKVPFRRREIRPAPHMDNLVGILKSMEAASGTNVVSTQVTSAAQVADGSKPASSGKNSKRLPKSTVQKKKISSEKKAKKTKPTLASASGPTKKPSFSTNKRIHVTPFPESETPARPQKIMKSEETKDKLNGDVQEGKDKASASDTLGGFSLSPFFWLREGEDAEGGTLETLSEPPSLATPLRHNAPTFSDIKGSDAGTPPNTTPNSKAVGPDAFDSEIFEWSQRPCSPELRSTPRKKQGKFEDILDHIAEKDDEEDIQLGGSFDESGHTNNAAQPVNANKEMKQKGKTARGGNSRKTKLLNVITKEADANQQDSNITKSLASKSCEKNSSTIEKNTSSRRSKAGNNINLFPSTDDNSMGTFHPRENSLEIEAHDEHLSERSQKKEKSGQQKKCARKLGTTGKSTVETTESNSEPRSKRVRKMPDGVNAEKIGVTDVSRNETEMPLLHSFMKSCTQHKLLDSRSKRSSKKSKFSEETNSQIGPDSMKSNISANTSSIVLGRCQNSEATRATPSPIDASVKNKCANGIKQTDCSGMKNFGKLQACTGNTFMKKCDDTVSKVFCAFCQSADITEESGEMVHYHNGKQVPAEFGGGANVVHSHKNCMEWAPDVYFEDDSVFNLTPELTRSKRIKCACCGVKGAALGCFDKGCRKSFHFTCAKLIPECRWDNENFVMLCPLHQSSKLPNEVSEPQKQSKRTTTPKCPSQVRSSQACSNNWKWPSGSPQKWVLCCSALSAAEKGTVTEFAKLAGVPISKSWSPCVTHVIASTDPSGACKRTLKFLMAILHGKWIVSIDWVKACMDGMEPADEQKFEVTTDVHGVREGPKLGRQRVINKQPRLFNGMQFYLHGDYTVTYRGFLQDLVVAAGGTILHRKPVSRDHQKLLDDSSPLVVVYSLENQVKANLDGNDDYRRRQADDAQALAFASGGEAASSAWIIDSVAACKLQPLTELKEGLSYQWA >SECCE5Rv1G0332140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:544768833:544770011:-1 gene:SECCE5Rv1G0332140 transcript:SECCE5Rv1G0332140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPARCASGHLWLLFLLALAVAELGAAQQNPPPPGGQYYTNFSPSMAIVIVVLIAAFFFLGFFSVYIRHCYGDNAYSATTLPIGAAAARSRRQQRGLDPAVLETFPTMAYADVKEHKAVKGALECAVCISEFDDDETLRLLPKCSHVFHPDCIDTWLASHVTCPVCRANLVPDDGADAPATGDQPAELLSVHPAPQPQEPASETEAAHAVVIDVEESEDDRIIREETDELTRIGSLKRALRSKSSRGPARFPRSHSTGHSLATRASIGTGTSAERFTLRLPENVLREVVAAGKLRRTKSLVAFTAGRQGSMRRALRLGGGGGDGSSRGGRSVRLGQSGRWPSFLSRTFSAAWGSRSTRRAESDGSSKGGKPAGAGGKSVECNDQACAAGQRV >SECCE2Rv1G0132360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:889442731:889450966:1 gene:SECCE2Rv1G0132360 transcript:SECCE2Rv1G0132360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCVRDLYPLRSLRRIPRPISSEVQSPAFGQLRRNSTKASQQGSAQKPVPGPKEEPSQSGSKVPKLLLGTLVVGAAGMAAYQAGYIDLQFMDEKLPSTIREQNLTKMYENLKSPFEQKVDQKQTMLDPNNGIVQDTPKGFPAEGIPTAGEQPTPAEEKETETVTQGTLPVQDEHGADTKLPSQDTISVDIKPNVVNDKAAGEVPLGQADKISNTVSPVESSPTTTEVQKDPLGANVGEQKSLAETYLLQEEHDIPKDVSANETKSVGIVGGVKASDDGKVMLDIIDAIHAAEKKQADTDAYMYSEEKRKLKERYEKELKDTRARELMYAEEAAILDKELKKEKMKAAAAVKELQEKTEQKLMDELQRKDEEASQQVEKVQELAKAELAAALAKEKASQIEQIAEADLNIDALCMAFYARSEEARQSHSVHKLALGTLALEEALSSGLPIRTEVDQLRKSLEGIDKDSLLELALQSLPEDVLEYGSDSRMELKQKFNSLKETIRHFSLIPSGGGGMLTHAVAHVASAIKVKEDQSGDGVESLISRVEDLIVGGDLRAAADALTGGLQGTEAEEIAAEWVKQARKRAIAEQTLTLLHSYASSITFS >SECCE3Rv1G0209960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:941314644:941319313:1 gene:SECCE3Rv1G0209960 transcript:SECCE3Rv1G0209960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, High-affinity K acquisition, Root-to-shoot K transport, K-regulated salt toleranc [Source: Projected from Oryza sativa (Os01g0930400)] MAEPPKVNGNGAAEGGDANSAFASVKVPPSPPGRLQRFDSLHMEAGMIPGGHSYAAKVGWATTLHLAFQSLGVVYGDMGTSPLYVFSSTFTGGIKDTDDLLGVMSLIIYTVLLLPLMKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDATVSHYKLESPTNRVKRAHWIKEKMENSPKFKVILFLVTILATSMVIGDGVLTPCISVLSSVTGIKQSAKSLTEGQIAGIAIGILILLFLVQRFGTDKVGYTFGPVIFIWFILIAGIGIYNLIKHDTGILKAFNPQYIVEYFQRNGKDGWISLGGVILCITGTEAMFADLGHFNVRAIQIGFSAVLLPSVLLAYMGQAAYLRIHPEDVADTFYKSIPGPLYWPTFVVAVAAAIIASQAMISGAFAIIAQSQVLGCFPRVRVTHTSKKYHGQVYIPEINYALMILCVAVTAIFQTTDKIGNAYGIAVVFVMFITTLLVTLVMAMIWKTSLLWIALFPIIFGSAELLYLSSAFYKFVEGGYLPLCFAAILMLIMGTWHYVHVHRYKYELKNKVSNNYVAELATRRNLARLPGIGVLYSELVQGIPPILPHLVEKVPSIHSVLVITSIKFLPINNIETNERFLFRYVEPREYRVFRCVVRYGYNNKVEDPREFENLLIGNLKQFIHQESLYSESSHSLEGEDNAFEESGDAMEPSVEVQDARLPKRFVDGITASPVNGCMDEIEFIQRGMDDGVVHLLGETNVVAEQNAGLVKKIIVDYAYSFMRKNFRQPEKITCVPHNRLLRVGMTYEI >SECCE6Rv1G0378980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11675141:11676598:-1 gene:SECCE6Rv1G0378980 transcript:SECCE6Rv1G0378980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALVTEILKRITSTSDLNSLSLVSKQLYKIEGNQRGAIHVGSGLCTATKALTSLCARFPNLRKVEIDYSGCIPGHGKQLDNKGLFVFSSHCSSLTDLTLSFCSCIDDSGLGCLAHCKKLVSLRLNTAPKITSFGLLLVAVGCTSLSALHLIDCEKIDSVEWLEYLGRDGSLEELVVKNCKGINHHDFLKFGSGWMKLQKFEFERKRGIYDCVPGNVVYDSSYDVHSLDIYDFCCESLKDLRLAHIKTWPEVGLRVVLGKCKALENLCLEYVHALNDNDMIALFRSCSNLKSISLGLNLQRYRSEDGYCETRTSFTDNSIYALARNCPMLQIVDLKFTGCSRDWPSEIGFTQKGFLALIQSCPIRVLVLNTANFFDDEGMKALSSSPHLETLELILCHAVTDAGMRFIAHTPCLSNLILRACHNVTDVGMAELGHAHKLESLVIEYCGEVSLQAAQGVAKSVHYSEDFSDSLMKAIGLGAY >SECCE5Rv1G0341830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:627591854:627596205:-1 gene:SECCE5Rv1G0341830 transcript:SECCE5Rv1G0341830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCCCSTSSSLLAGARFAAPAPAAAHARRRGGGGVRRAAVLALALARAPRPAAAASRPARRGVTRAVFERFTERAVKAVVHSQKEARGLGEGAVAPRHLLLGLVAEDRSAGGFLSSGITVERAREECRGLIGAAAAQKAGGLDTDVPFDAGCRRVFEVAVELSRNMGCSFISPEHLAIALFTLDDPTTNNLLRSLGADPSQLASVAVTRLHEELAKDGRDLKGASSLKVPEKAPAGAAAGRSAFSKSLSKKKDKGALDQFCLDLTTQASGGFIDPIIGREEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIFLVAKRIMSLDIGLLIAGAKERGELESRITSLIREVREAGDVILFIDEVHNLVGSGTAGKGKGAGLDISNLLKPPLARGELQCIAATTLDEHRMHFEKDKALNRRFQPVFVDEPSQEDAVKILLGLRENYEAYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARIESYQKKKEGQSSVLLKEPDEYWQEIKAVQAMHEVVSSNKMKYSPNKSNQQNASLNTEAPHQDKAESTIEEPIVVGTEEIARVASLWSGIPVQQLTADDKKILVGLDDELRKRVIGQDDAVAAISRAVKRSRVGLSDPDRPIATMLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLADSQGRRVSFKNTLIVMTSNVGSTSISNGRRSMGFSTEDTESSRYVAVKSLVMEELKGFFRPELLNRIDETVVFRPLEQTQMLAILDILLEELKGKLLAVGIGLEVSDAMKELICREGYDKNYGARPLRRAITQLMEDVISEAILFGEYKPGDTILVDTDNKGKPCLSRLNQPPVVQVSDSTRTF >SECCE2Rv1G0138970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:924530187:924533602:-1 gene:SECCE2Rv1G0138970 transcript:SECCE2Rv1G0138970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLLILLAAAAAAIVGGEVAAAASIHPGDLAVLEDLRRSLTNPEVLAWPMGADPCGSPPWAHVSCDRAGRVNNLDLKGLGLAGALPASFAALDALQGLSLQTNSLSGPLPSFRGMAALHHAYLNDNAFSAIPRDFFDGLDSLEEICLDNNPLNASAGGWEVPPTLAASSPQLQSLRLTNCSLVGGIPGVLGGISGLQMLTLSYNSLTGPIPDSFARSGVQKLWLNNQLGETKLSGTLDVLAGMVHLQQAWLHGNHFTGPIPDAISNCNQLTALYLNSNSLVGLVPPGLAALPLLHDVKLDNNNLVGPAPALKAGNLTISHNGFCAVKPGDTCAPEVMALLQFQAEAGYPVKLTSSWSGNDPCKGWLGVTCSQGKVSVLNLPSSGLNGTISKSLGDLSALSDIRLDSNHLTGHVPASLTGLKLLKKLDLGMNDLNGPLPAFRPDVNVILTGNPNFNTQSSPGGSAPKNVSSSQGQGNKKSKVLLATTIPVAIGVVSLLSLGAVVLFCKKNVSSVQPQTSNDSFGGTSSGTSSRDSDIHMTEAGKFLIPVSVLRCATKNFAQDNVLGRGGFGVVYKGVLSNGTMIAVKRMESSVISNKALDEFQAEIAILTKVRHRNLVSIMGYGIEGNERLLVYEHMSNGALSKHLFHWKQYELEPLSWKKRLNIALDVARGMEYLHTLAQQCYIHRDLKSANILLGDDFRAKVSDFGLLKSAPDGNFSVATRLAGTFGYLAPEYAATGKITTKTDVFSYGVVLMELITGMAAIDERRVDEETRYLASWFGQIRNDEEQLRAAIDPALDLTDEIFESVSVIAELAGHCTSQEPSRRPDMRHAVTVLAPMVEKWKPSSSEAEDRMGTDLDLTLLEMVKGWQESEASMTDGSIMSLGLEDSMGSIPARHSGFAESFTSDDGR >SECCE6Rv1G0378320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:7297882:7301295:-1 gene:SECCE6Rv1G0378320 transcript:SECCE6Rv1G0378320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTAGGSSTRSWQPRPTADTTDLGFWLRWRVAVCALWVLCCVAAAAYLVWRHEGPRAHRRPGAAKQEGRRRPDGLLYDDEAWRPCLRDIHPAWLLAYRLVSFFVLFSLLIVIVISDGANIFYYYTQWTFILVTVYFGLATTLSIYGCSKFAACNAVAAMSDAEQGPYAIHGAAPKPIVDGGEDDGTREIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYSMNFLLIGMHSVNAVFLLGEASLNSLRFPWFRIAYFFLYTALYVVFQWIVHASTPTWWPYPFLDLSSNLAPLWYFAVAFMQLPCYLIFRLVMNLKHHLLSKHFPDSMVLGY >SECCE4Rv1G0225570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:95824057:95827117:-1 gene:SECCE4Rv1G0225570 transcript:SECCE4Rv1G0225570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGRPTKRARRGRVLAEPCLLDLRAFPGRKERAGAASFRANVRGFLSRHASPAPAPAEWEQGGVLGDAGAVWQVGFRVGEEGEASVMVMDVVEEDVPRARRVHCDHCTVAGWSRHPVCGKKYHFIIRNEKMASCKTCRRCGLMVQLFETRCPACSHGSALPHDESKDWDYVQIDDPRHLLHGIVHENGFGHLVRINGHEGGSSLLTGYQLMDFWDRLCTYLRVRKVSVLDVSKKFEVDYRVLHAIATGCSWYGQWGFKLGSGSFGITSETYSKAIENLSSVSLSHFFPHSRYPRNQLQDTIAFYQSLSKRPLTTFRDLFLYVLGLAASKSVHTDLVTVHKRELAYDANFKEENWSDENIKQAMDVVLKVLRAADRWVAIRTLKAATAHPIGSPQLVDYCLKTIGGTRTNDGMVIVRCNSETNTIEYRLTNEILPPKNASMPTREHLLRDIKFLYDALLYPHTMQPYKPEHIHEHANRSAMVLLDCKQFIKHYDMEEDFLPENQSVLHIWCQVELLDQAGDPPSLPAELLTLPQTATVADLKMEATRTFRSIYLMLQSFVADQLLDCPTADDTTQVKLLFGAKGTVSIQGKCVGGERRVAIYRMERGVDKWTVNCSCGAKDDDGERMLSCDSCHVWQHTRCVGISDYVQVPKRFVCASCKLLHKSKMYSSFPNKRCKTGSFSHASSGSWRPNIS >SECCE5Rv1G0298910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14328748:14332203:1 gene:SECCE5Rv1G0298910 transcript:SECCE5Rv1G0298910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamoylputrescine amidase [Source:Projected from Arabidopsis thaliana (AT2G27450) UniProtKB/Swiss-Prot;Acc:Q8VYF5] MAGAGRKVAVAAVQFACTDTLADNVAAAERLIREAHKKGANIVLIQELFEGHYFCQAQRMDFFGRAKPYKENPTIMRMQKLAKELDVVIPVSFFEEAGNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKYATIGVGICWDQWFPETARAMVLQGAEILFYPTAIGSEPQDMNLDSREHWKRVMQGHAGANLVPLVASNRIGKETVETEHGNSTIKFYGNSFIAGPTGEIVKLANDKDEEVLVAEFDLDEIKSTRHGWGIFRDRRPDLYKVLLTLDGKTSSSSS >SECCE5Rv1G0309880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:161429943:161433078:-1 gene:SECCE5Rv1G0309880 transcript:SECCE5Rv1G0309880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVVLASLLVVIGLAHGEAQCPRYSGRPRPHSVTITEFGAVGDGVTINTVPFQNAIFYLRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLEKDAVIIGIKDVTQWPIVEPLPSYGRGIDLPGARHRSLINGHNVTDVIITGNNGIVDGQGLIWWNWFRSNKLNYSRPHLVEFEDSDEIVISNLTFLNSPAWGIHPVYCSNVTIHNITIETALDSPLTNGIVPDSCSNMCIENSRISVGHDAISLKSGWDNYGITFGRSTTDIHISRVDLQASLGAALAFGSEMSGGISDVNVDQLHIHGSSKGISFRTAPGRGGYIRDAIVSDVQMEDVHVAIEFTGDWSSHPGDHFDPSALPVISGITLKDIIGTNISVAGVLLGIDGDPFTNICLSNINFTIADSAHSASWSCSNISGYSESVFPEPCSDLHSQFSNSSICSSALSYHALATA >SECCE7Rv1G0467050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:83001299:83001652:1 gene:SECCE7Rv1G0467050 transcript:SECCE7Rv1G0467050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAAILFLLAVFAGAGAASAFGSEKPATVVVVMPADPRGPMLARFAVLVYGLSRNTKLTYAGVSGVDQHPDKGGVRYQMVVTAANARGAMAKYQAVIWGVPETARWTLLQFKRMD >SECCE6Rv1G0378120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:4782683:4784437:-1 gene:SECCE6Rv1G0378120 transcript:SECCE6Rv1G0378120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAATVFRGCRALMSPAAAAAAGGKKTASAAAKGGKKPAPAAAKPKAAKKPVDPNNLRGIMRPVPVSDALRKFGGATHISRSGVLKIVWDYIKANDLQNPLNKREIICDEKLKSIFPGRDTVHMMEVTKLLSPHFVKTT >SECCE4Rv1G0229890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:155095352:155095850:-1 gene:SECCE4Rv1G0229890 transcript:SECCE4Rv1G0229890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAHAAATLALLMAALVLSAAPAPAEGAVANCGQVVSYLAPCISYAMGRVSAPGGGCCSGVRGLNAAAATPADRKTTCSCLKQQASGIGGIKPDLVAGIPSKCGVNIPYAISQRTDCSKVR >SECCE7Rv1G0465690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:72807910:72812713:-1 gene:SECCE7Rv1G0465690 transcript:SECCE7Rv1G0465690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGDGGGGGEPEDRGRVELVDLLEAIRTSEVLESRTSLINQLEGSSQFSAEDLGLILETLSASWDDSRCSGVSHCTLHKSVLQVALKCTESDTTACLQQFLTLGAKASSWCGKHLIWFAESIDESEALQEEEHNCLCLEIISLTLNISIKLLPMAAKCITVDVVHTIGGFVSELLTLTESSIGDKTINGTAAHVAKAAPIFLDETIKLCRAYCEAAKSDIGRICMPTEETTVKDELPDLTSGVVRITACTIQTLCKIGTYAASSGGSQVALLNVSWKGVVSLLQLGKGLIEVKVSVSDIISTLISLVIESLRVAADTWCTSLQEALGISEARRAFLPIKFFLINAVRICSVYPSEALTIYKDMIRCVLVISSSSILFSKDPLLKAAYEPLVELLESNSFHLLDTLMKSSEVTLESKCQLVQYFLENEEANGPAQMGQNDQREKNLVSLGCIFSLDPDVDNRNRALLPAKLIVFLHFLSISPNLDEEVVIELSKKLQYLLNMLTLEGVYSFVLGCHIPTVYSADHPPVVVWQPVYTFLIQALKTYMIAAAAASSVAWNELEAFLLESLFHPHFLCLEILTELWCFFTRCAESETSTYLINQLFLLLKTAASSEKVLAPLSAFRKVARAFCIILSYASCATVDQIYTCVLNDHNSSKLSVLHLALLMEGFPFDSLSNGMKVHAVNQLFTSFVGYLQSSLKTHGAIGLPTSSSGVIGLPVHALASALQRCEIKDYSHIDGKSITTMFKFSISLINLYRTAPDSSKGHLSQLISSVLDIISNMRHLCAFYQMEKLTLELHTLFMSSSDNPKAVLSQCKPSLASFMAILGHLNSSEDDSNSLCSAMPDLYHLLVRERHWALIHLAMGSFGYFAARTSFTQLWRFVPGDAALSYNTDTGVDIDENGFMLELRAFLQKEVALRADKWSEEQVCFLVSEGRALKKLVETTSEIPQAPEREEKAAISMDVNTKKRKIPDGIGEGMALLQNGLKVMRNALDETDSAELRDRLATHLSRLESAVSQIAGFSDKI >SECCE3Rv1G0196720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:811737909:811742001:1 gene:SECCE3Rv1G0196720 transcript:SECCE3Rv1G0196720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGLLGRACRLGHFCRRSSATASLPTPSPPPPRSNSKRGRAVRAGARAASSSAPRTMEAKREEPRVVTLRPVEATPESFAPFGQVIAASPDGDQFGPHDAQLDLSRGIPRFYIMRLESRPLKFSSITHHASVTQCLGSIGGQDWYLGVAKPSIVDEQSGQDGGRSPVQSRAGHYYLPPDPAEVCVFRVSGPKFLKLHAGTWHAGPLFKADAVDFYNLELSNTNVVDHTTHYFKKQDGVTFVIED >SECCE2Rv1G0064570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4393079:4394713:1 gene:SECCE2Rv1G0064570 transcript:SECCE2Rv1G0064570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIRQLAKELKNLDESPPEGIKVIVNDDDFSTIFADIEGPAGTPYENGVFRMKLLLSRDFPHSPPKGFFSTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARLARLYTGIHAHKHKNKSKTGAICESTTTLNVGQSNNAVPSRNIPSAPALVCTSTSTKVLGTQDQNAAPSDPSVGSSTAHKKDGPLAVKIPAEKKKTDARKKSLKRL >SECCEUnv1G0560100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:344480644:344481177:1 gene:SECCEUnv1G0560100 transcript:SECCEUnv1G0560100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRFGMPIQAEAVPRKLADPFDFGGGHIDPDRAVDPGLVYDIDAREYNKFFNCTLGYLGGCESYYLNLNLPSIAVPDLKDHVMLRRTVTNVGPAEATYHLVVEAPSGIDVSVEPSVIKFTQSSSKSATFMVTFTTRQRVQGGYTFGSLTWSYGITHSVRIPIAVRTVIQNFVADTS >SECCE6Rv1G0412640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:615554459:615554833:-1 gene:SECCE6Rv1G0412640 transcript:SECCE6Rv1G0412640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSVVQIVEQVLKTEVKQNTFLRNVGLQSSRNYSGKATTKVAVQVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE1Rv1G0026860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:375404753:375406032:1 gene:SECCE1Rv1G0026860 transcript:SECCE1Rv1G0026860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQIEHTNLAVRGLNLHLAQVGKDELGTVVFLHGFPEIWYTWRHQMLAVAAAGYRAIAPDSRGYGLSDQPPEDVEATWEDLVADVLAILDALSIKKVFLVGKDYGAIPAYDFALGHPDRTRGVMCLGIPFSPVPFNFDTMPEGFYVLRWREPDRAEADFGRHDVRRVVRTIYILFSRSDVPIAEEGQEIMDLADLSTPLPPWFTEEDLDAYAALYDKSGFRYPLQIPYRALHRMTKHVDPKFQVPVFMVMGEKDYCFKFPGFETAMRSGVMNTFAPDLKITYIPEGCHFVQEQFPDQINDLLLGFLKDHP >SECCE6Rv1G0414670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:635582561:635584036:-1 gene:SECCE6Rv1G0414670 transcript:SECCE6Rv1G0414670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARAALRRLGPASAGDGPLPARLLLLAPLASKPSSSTPPEYQMPSVTWGVIQGRRERLVSRVLALDFLRSAGVTDPAGELEAVELPSSLDVLQERLDFLLRLGLSTDDLSAYPFLLACSLRKNVIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLAPIVKALRGLDVDRQDIPRVLERYPVVLGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHYPFFLSMRVGTTIKPFCDYITSLGLPMRILARIIEKRPYILGYDLEETVKPNVEALLSFGIRKEVLPLMIAQYPSILGLPLKVKLAAQQYFFNLKLKMDPDGFARAVEKLPQLVSLHQNVILKPVEFLRGRGITDDDIGRMLIRCPQILLLRNELMKSSFYFFKSELKRPISELLEYPEYFTYSLESRIKPRYMRVASKGIRCSLDWFLNCSDQRFEERMRGDFIEGDAPGPSFTMGGKLQMPGSQLVSDDDNEESDDEVLYRRTVML >SECCE5Rv1G0350480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:690857215:690858237:1 gene:SECCE5Rv1G0350480 transcript:SECCE5Rv1G0350480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRSCYSSSPFLRGISRAAWRHTVAGTPSLSGSHILCHHLPLRPPTPPIALSLAERFFSSSSSKRSTRRSAAKKGTPTDSAAGGQFYVVRKGDVIGIYKNLADCQAQVSNSVCDPSATVFKGCSLRKETEEYLAKRGLKNALYAINAADARNELFDALAPCPFQQPDGIATSSLETGPSKKHPKVAEQEPLPDSHLSCILEFDGACKGNPGKSGAGVIIRRSDGSVIAQLREGLGIATCNAAEYRALLLGLKYAANKGFKYVHAQGDSKLVCNQVQDLWRAKNDNMADLCKKAKQLKGKFLQFQINHALRKFNADADAQANFAVELPLGEIQEQSNFPC >SECCE3Rv1G0151290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:38187471:38195072:-1 gene:SECCE3Rv1G0151290 transcript:SECCE3Rv1G0151290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSCWFWFLAFAWVWWPPLMLVAAEEQQGDGCSTKCGSITISHPFWLTDWQTERSCGSPGPQDFELACYNGSYPLLPSYMPNRPGFAIIGISYGERIMRVVDLGKLQLLHDASNSFNSCLPMWNTSAKLGRPFRIAPINQELILYNCTEKAAAAARREKELVQAKTMRCVNTSNTFVRAGVPYDPTGNYTGYALEGCVPIVLPVLRFPSGETNPSHYERLIQSGFLLKWELPRPLPPPAPRNEPPPPPGSERRAKKIILIGITTAAATFLFACLYVLIWHRNGKGLWFLLCKTSSKTEKNYEAMIVSYGSLAPKRYMYSEVMKITSSRNNQLGKGGYGVVFKGKLHDGILVAVKFLHDCKGNGDEFVNEVMSIGRTSHVNVVSLFGFCLEGSKRALIYEYMPNGSLDKYIYSENPKEILGWEKLYAIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDKDFSPRIADFGLAKLCHTKESKLSMTGARGTIGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRRNVRSVVAKSSEKYFPDWIYDHFAQDDGLQACEVTNEIEEIARKMILIGLWCIQVLPVQRPNITKVLEMFERSLDDLDMPQKQNFCELLESSAHNMDVQSASSTRPKEISLAN >SECCE3Rv1G0172630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:300631891:300636457:-1 gene:SECCE3Rv1G0172630 transcript:SECCE3Rv1G0172630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab escort protein 1 [Source:Projected from Arabidopsis thaliana (AT3G06540) UniProtKB/Swiss-Prot;Acc:Q8LLD4] MADASAGGSLDDYPTIDPTSFDVVLCGTGLPESILAAACAAAGKTVLHVDPDPFYGSFYSSIPLPSVPSFLSADSSTPCPSSSTAASSAATAAYTAVDLERRSLYSEVETSGTVPEPSRRFTVDLVGPRVLYCADEAVDLLLRSGGSHHVEFKSVEGGSLIYWDGALCPVPDSRQAIFMDSTHRSKEKLNLRDNLKEKTLLFRFFKLVQSHIAASSSADKDGEGEASGKISEEDLDLPFIEFLKKQQLQPKIRAVVLYAIAMADYDQDAADSCAKLLRTRDGIKTLALYSSSIGRFTNAQGAFIYPMYGHGELPQAFCRFAAVKGALYVLRMPVTALLVDQEKKRYIGTRLASGQDILCQQLILGPSYKIPSLDMPSGASDSNMMRKVARGVCIISSSIKEASSNVLVVFPPKSLEEQQATAIRALQLSSNVAVCPPGMFMVYLSTPCTDAFMGKQHINKAIEVLFSTRASDDSEGHLETTSKNIEDTKPVLIWSCVYIQEITQGTSGTVLSCPMPDENLDYRDILESTKQLFTGTYPDKEFLPRNAAPKYADDDSDLAE >SECCE3Rv1G0195070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:789326236:789328907:-1 gene:SECCE3Rv1G0195070 transcript:SECCE3Rv1G0195070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNYGPEEQVLWPASVLAGVLMCAAVCEITKKVSSSCFKCYDGLSPMQKLEWNNRGFSTVHALVAAAVSFYLVMVSGLFSEDVNGIIIDRKSWLSDSMFGVSIGYFLTDLAMILWYFPSLGGKEFLLHHGLSMYAICLALFSGKAHMYILMVLFTEATTPFVNLRWYLDVAGQKTHNLYLYNGLALFAGWLIARIILFVYFFTHVYFHYDQVKSIFALGFYSVMTVPPTLAVMNVFWFWKICRGMVKTLSKMRKHTTNGKTD >SECCE1Rv1G0029930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:421899303:421899883:-1 gene:SECCE1Rv1G0029930 transcript:SECCE1Rv1G0029930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPARSTVSAASAGGGIAISAADDLADSIDALYRKDEAMAELKSEVMEALQKEVRSLDDNSWMFAAPRSRINLVSRPGGYLPKQQGKIAELDQALKKTRNC >SECCE3Rv1G0146630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11991444:11995967:1 gene:SECCE3Rv1G0146630 transcript:SECCE3Rv1G0146630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSAAAAAVEMDAGAAFAPSVWGDFFVTYIPPPTQRSEEWIRERAEELKRRVHRMFFFEDGGVAKAVALVDTHVHLAGEEWPEYVGYDDLHVAATRFRLLRQHGIWVSTGVFDKFRDGTGSFSASLSNDPRALLSLYHAAHMAVPGEATLDDAIAFARRHLETMNGELQLQSPVAEQVARALDHPLPRFTRLLETMRYLPEYAQEETHDGTLLELARLKSHIMRSIHLTELKALSLWWRDVYNAVNLKYCRDRMVEVYLWSCGMIPEEENSRARLLFAKTFGIVSFLDDTFDVHATIEECHSLNEAFQRWDESAVSALPEYLRMLYIKTLSNFKEFEDLLEPQEKYRMSYAKKAYQRQSEYYMQEAQWTNDKYEPSFEEHVELSGMSTGLPMLNLMALMGYDGTIATQEVFEWMSVPVPDTVRAGALISHFLNDISSYKLGKNKKDVGSTVECYKLEKGWTGEEAVAAIASMNEHMWRVLNQTYMEVGRALLPAAQLVVSIARTCEVIYLRGRDGYTFGSHAKDLVKALFLDPIPL >SECCE4Rv1G0274240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783402278:783403048:-1 gene:SECCE4Rv1G0274240 transcript:SECCE4Rv1G0274240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFGHLILFNKKSCIIVDVSRGVSVSPPQLPLVECAEPNYGALTAPLTSPNSHLIVEAGPHNLFWRVGSDSWVRCSTRHGHIKQIVVFKGRVFGMDSDRRIFKVHLTPQISIQELPVIESSMISKRNLSNAWLVACGDMLLLVGCRGSIVVSGVTFEVFRLDLSFEPALWFKVEKLEHWAIFISTDKRSQALSCMNPEIWGGRSNCIYCYNHESERWIALELGKPLQGDVFIFMGCDGKVQPTWVVPSMLSLCR >SECCE2Rv1G0112500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:710439608:710442414:1 gene:SECCE2Rv1G0112500 transcript:SECCE2Rv1G0112500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAVPHTAGSDASVVDVEMVEASEIRRRTRPGDRVSPPGDWGEWHPSDVPRGDQSASLERVFADQRVPPWREQLTVRAFVASFFLAVMFNIIVMKLNLTIGVIPSLNVSAGLLGFFFVRLWTSAIERMGFLKQPFTRQENTVIQTCVVAAYGIPYSGGFGNYLLAMSDRIASQATEANNPQNIKNPHLGWIIGFLFLVSFIGLFGLVPLRKIMIIDYKLTYPSGTATAYLINGFHTPHGAKIAEKQVKKLGKFLALSFLWGFFQWFYTATDECGFQKFPSLGLQAFNNRFYFDFSSTYVGVGMICPHIVNISILLGGILSWGIMWPLIANKRGSWFSADLPESSLRGMQGYRVFIAIALILGDGLYHFLKMLILTVYSLRSRLKTGNASPFPVSDDETSNGTAAISYDEQRRKELFLKDQIPWYIAYGGYVAVAAVSIGTVPQIFPQLKWYQILVAYMVAPVLAFCNAYGAGLTDWSLVTTYGKLAIFAFGAWTGASNGGVLAGLAACGVMMSIVATACDLMQDFKTGYLTLASPRSMFISQIIGTAMGCVIAPCVFWLFYKAFEDVGISGSEYPAPNAAIFRSMAILGVDGFSSLPKNCLTLCYVFFVGAIAYNLIRDLVPKKVSRFIPIPMAMAIPFYLGPYFGIDMFIGTVILFVWQRLDRVKSDTYAPAVASGLICGDGLWVLPQSMLALAKVKPPICMKFLSRGVNAKVDAFIATLS >SECCE3Rv1G0185570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:675837939:675844934:-1 gene:SECCE3Rv1G0185570 transcript:SECCE3Rv1G0185570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEAMRGRGEDAEKGERERKTEGARKVPFFSMFRYASRADMALMAVGTVAAMVNGMGDPLMTVVFAEVIECFGAGDNVLQRVSKVVMYYIYLGIGTALASFLQVSCWTMTGERQSIRIRSLYLEAVLKQDVSFFDVEMTTGEAISRMSADTVLVQDALGEKVGKYVQLLTTFVGCFIIGFVRGWMLALVMLACIPPNILSFAIMSRLRAQISARRQASYADAGNVVEQTIGAIRTVISFNGEKKAIALYNTLTKRAYKATVLEGIATGLGTGGVFSVFFCGYSLAFWYGAKLIINEGYTGGQVINVVFAMLTGSMAIGTASPSISAIAEGQSAAYRLFEIMNKEPKIDITDTSGIVLDDIRGDVELNNVFFRYPARPEQLILNGLSLHVPSGTTMAIVGESGSGKSTIISLVERFYDPQAGDVLIDGINIKSMKLQWIRGMISLVSQEPLLFMTSIKDNITYGKEDATLEEIKRAAELANATNFIEKLPNAYETMVGQNGAQLSGGQKQRIAIARAILKNPRVLLLDEATSALDVESERVVQEALNRIMVGITTLIVAHRLSTVRNADCIAVVHQGKVAERGVHDDLIKDPDGAYSHLIRLQQAHTEETPEMPYVAGSRFKSTSLSLEQSIRDSPRNRRQHSSKSLGLSRSDDLFRHVASKEEHLEIGDSEAPKKAPIGRLFNLSRPEAPILLLAVIAATVHGLLFPSFSIMMSGGIRTFYYPAHQLRKDSTFWALMCLLMAIISLVSIQLEFYLFGVAGGKLIERARALSFQSIIHQEVAWFDDPLNSSGALGARLFIDALNIRRLVGDNLAVLVQCTVTLICGFGIAFASDWKLTLSIIGVIPFLGLQNYIQLRFLKGFGEDAKVMYEDASQVVAEAIGSIRTVASFGAEKRVITLYSQKCQASMKQGMRSGMVGGVGFSFSNLMLYLTYALCFYVGAQFVHDGKSTFQNVFRVYFALVFTAFGISQTSDMASDSTKGRESATSILAFIDRKPKIDSTSDEGIKLEKVDGTIEFNHVSFKYPSRPDVQIFSDFTLGIASGKTTALVGESGSGKSTVIALLERFYDPDSGTISLDGTELRKLTLSWLRDQMGLVSQEPVLFNDTIRANIAYGKRGEATEEEIVTVAKAANAHEFISGLPQGYNTNVGERGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQDTLDQVMVSRTTIVVAHRLSTIKGADTIAVIKDGSVAEKGKHESLMGIKGGVYASLVELHSKAA >SECCEUnv1G0570820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:483424864:483425241:1 gene:SECCEUnv1G0570820 transcript:SECCEUnv1G0570820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKNQLIRHGREEKRRTDRTRASDQCPQKQGVCLRVSTRTPKKPNSALRKIAKVRLSNRHDIFAHIPGEGHNSQEHSIVLVRGGRVKDSPGVKSHRIRGVKDLLGIPDRRKGRSKYGAERPKSK >SECCEUnv1G0558490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:332928890:332931627:-1 gene:SECCEUnv1G0558490 transcript:SECCEUnv1G0558490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAASTRPLFLMAPSPPLVSTVRLALGVPRRRLVAAGARKKRGRQDGDAGEERVDAHSFNPKAGEVTGPFPEAVLLRKKKVKEDGEALPEFADDEEEKLYELLNIQLESGLNLQRMRHYEVVYLIHEDRVEEVEDVVSKVQDFIREKKGRIWRVNNWGLRRLAYKIKKATHANYILMNFEIQAQSINEFKTLLDKDERIIRHLVMKRDEAITEDCPPPPEFHAMRSQQLDDEYIEEEYGRVDDGGDEVELESSDNVDDDVEDGDEPEIILVDEVDDDNAEDLRRRNRKVKLEKYTVEKVLRFIF >SECCE3Rv1G0153600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:56329436:56330104:-1 gene:SECCE3Rv1G0153600 transcript:SECCE3Rv1G0153600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFIVLFSCVLLLGLYAPPSLSDSPPLQDVCPMVLQSERKLFINGYLCKHPSTVLASDFKTLLLNHAGKLDNVVRSSANIVTAAEFPGLNTLGMSMARTDIGPYGLVLPHSHPRASEMMFVHDGSVLAGFFDTTGKLFQKRLCEGDVFIFPRGLVHFIVNYRLRLATTFSVLNSQNPGVVGITHAMFAPDSDVVEGLVARIMKFRDMEIPDNNTTDFRWTS >SECCE2Rv1G0128160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:858368653:858370260:-1 gene:SECCE2Rv1G0128160 transcript:SECCE2Rv1G0128160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRLAVFLIGMASFFSVVAGQLRPMAAGGLPGDLFALGIASKIRTDCNSTASASSDFGRIMEAAPEAVLHPATPADIAALIRFSASSPVPFPVSPRGQGHSVRGQSLAPGGVVVDMRTLGHGHHRINVSTDYVDAGGEQLWVDVLRATLKHGLAPRAWTDYLRLTVGGTLSNAGIGGQAFRHGPQIANVHELDVVTGTGEMVTCSRDNRKDLFLAALGGLGQFGIITRARIALESAPKQVRWVRLAYSDVVAFTRDQELLISKQASEAGFEYVEGQVQLNRTLVEGPKSTPFFSEADINRLAGLASETGSSAIYLIEAAMYYDESETTAPSVDHKLEMVLAQLSFVPGFVFTKDVTYFQFLDRVRVEEAVLRSAGVWDVPHPWLNLFVPRSRILDFDAGVLKGILGADNPVGLILMYPMNTAKWNSHMTAVTPPTGEDVFYTVGLLRSALSADELERLQRENQSVLAYCDKEGIQCKQYLPHYTSQDGWRRHFGAKWSKIAQLKAKYDPHAIMSRGQKMFPLPSVPAASTATA >SECCE1Rv1G0041230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:569607586:569615410:-1 gene:SECCE1Rv1G0041230 transcript:SECCE1Rv1G0041230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCRKRPDSDPGGSGEAEPPADKRPCTAEPSTSAAAAAPALPEQAASDMDTSSSGHAAEADADADVDGDDGDGDGDGGSSCESDGDGGPGGGKFRRMVAAVASEGAGGGALLASLTELCEALSFCTEDAGGYFPVDPAARALVRLAGSEVASPDELLLAVRAITYLCDAMPRAADAVVRHGLLPVLCSRLLAIEYLDVAEQCLQAFEKISLRQPAQCLQAGMITAVLAYIDFFSASIQRVAVSAVANACKKVPADCSQFVLDSIPMLCNLLQSEDKMVVEKVATCLISIVDSFSGSVELLDQLCHQGLVEKVLPLINASGLTSLNPSTCSNLIGLLAKLACTSLVAVKSLFELNVGSTIRGILVSSDLSHGMPYLPSENQNNQVNEALKLAIQLIPSAARDVEDTYMVLAKEKIIVDEPGFLCQFSMDILPILIKAVNSGANSYICYGCSSIVNNICYFSKPEMLQELLKETNISSFLAGLLSRKDHHVLISSLKIIEILMQKLPDAYLGSFIKEGVVNAVEALLMQEDCSKSSPPLSDDTQQSETQPVIRNKPTCFCYAFDSRQSESAETRACRIGQGNLFNFARHVKTTYFTAEAVNSEMGLTEILQKLKTCCAVLNDSADKSLNQDSLQNEEHLSTILSEVMMELHGGETMTTFEFLESGLVKSLLNYLSNGKYLQVDDNLKDYNAEHFCAVLKRFQSFARICFSRMEQGWGDMLLTLLVRKLQNALTSLDNFPVIMSHNFKPRSNISDIPIRHPTISPCIRVRFKKDEDETNLSSYDNSVNLEISSSLHSIEQFLWPKVSTCTSDQNTESPPSSVAFESKRAEDDPQERDSSPESSPSSEGIIRENQNSSVEPCSKNGSPSSAGGQPERNKSTGTDCAVQPKLVFSLKGKVLDRSVTLYQSILQDQINAGADVILDTQFWRSVHDITFRTAANPEKDPENLCNAAISTNDSKTGLMWQALPFFSSLLLGKIPCKLDRSNSSYDILFMLKVLEGLNRYSFHLVSNERNHAFAQGKLTDLDDLKPSVSSVPLQEFVSAKLTDKLEQQMHDPLVVRSRCLPLWCTELMSACPFLFSFEARWKYFQLTAFGSSSMQRGHIIDTSGSNISTERGSPISRKKFKVDRDDILASAAKMMHSYAKSNALLEVEYEEEVGTGLGPTMEFYTLISHDFQKSGLGMWRGELPCEAGTDNTHIGPRTVVAPNGLFPRPWSASGDCASFSEVNKRFHLLGQVLAKAIKDGRILDIPFSKAFYKLILGQELNIYDINSFDPELAMTLMEFKALTCQRKYLESCSTRECQSTSDLSYRGCKIEDLVIDFAVPGYPEYVLSSEGTSDNVTGENLEEYVSFVVEATVKSGITRQLEAFESGFSQVFPLSTLRAFSEDELERLLCGEQDNWDFVKLVDHIKFDHGYTSSSPAVLNLLEIIQEFGCHERRAFLQFITGSPRLPPGGLAALNPNLTVVRKHSNNDADDDLPSVMTCANYLKLPAYCSKERMKEKLLYAITEGQGSFHLS >SECCE5Rv1G0305130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:66675753:66677510:1 gene:SECCE5Rv1G0305130 transcript:SECCE5Rv1G0305130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEVANSAAVESCHKLLALLSQQQDPALLKSIASETGEACAKFRKVVSLLNNGGGGRGGHARGRFSRRRKPVGFLSQKGFLESSSNTPLGMLMSRSAATPSPSAGSAGQLRPQVGAPPAPRSLDLVSSGSKSVHQFGPPKMVQPLSVQFQFGATAHRYPFQQQQQNLQAQMFKRSNSGMSLKFDSPSGGTGTISSPRSFMSSLSMDGSVASLDGKPPMRLLGGPAASDPLNVRQCAPKRRCTGRGEDGSGKCTTGGKCHCSKRRKLRIKRSIKVPAISNKISDIPPDEYSWRKYGQKPIKGSPHPRGYYKCSTVRGCPARKHVERCVDEPAMLIVTYEGEHSHNRLPTQSAQT >SECCE5Rv1G0343810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:641280775:641288552:1 gene:SECCE5Rv1G0343810 transcript:SECCE5Rv1G0343810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSLLPAPASGVRASHAPELAFPPVSRKGGVSLRRRGARHGVRAEVNESASAVAIDALSQVKHVLLPITDRNPYLSEGTRQAAATTTSLAKKYGASITVVVIDDKPKESFPEHDTQMSSIRWHLSEGGFTEFGLMERLGEGKKPTAIIAEVADDLELDLVVLSMEAIHSKQVDGNLLAEFIPCPILMLPL >SECCE2Rv1G0084500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:175832769:175835279:1 gene:SECCE2Rv1G0084500 transcript:SECCE2Rv1G0084500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSNSHCPSNGAKVLPGRGKKNQEKIQLDKNAASWACQKDRQYIEKLETEMSNCYQEIDYLQDQLNIRNVEANIMGEHIHGLELKLTELEKFPERVRVMDNDLMRSDSQCWLLMEEVQCKEEELQKAALQIEKLESVTLDMQCEIESLKLDLTTLEQRLFDAESFGQHTADYKARIEKQLEEYELQLQDARKIIDHLEVENKQLKKEFLPGRAPKQSSSAAVEQLDKTLEHDGHANYERGHEILEKTGKQSEEHELLIEQLKVELREQKLKAKEDAEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQQIQQLEAQVSKEQRTLSGALRRLQESHELADTQATEIKKLKDALARLNSAMNLGRVCKSCSCGFCPMLVQLSNCSIEGSLDLRSSDASNADETPENQALVEWRPDEASDGATVNNVGC >SECCE6Rv1G0402550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:484702221:484707064:-1 gene:SECCE6Rv1G0402550 transcript:SECCE6Rv1G0402550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPPRGAPRDAVGQRWLAVFAFQAALSAAASVIHLVVSPSRRHPNLGVPPAFLLALHPLLSCAATGLLALAFLISASPHPGPPPVPKLAVAASLFASAGALCVAAAASLVPKDAGWAAVAGMGFRGAVLGAVFAAHYFGRGRWLLQFPIVQRPMFYGLKMGLLPSIKRALKMSLQVFLLSLVLILILPRQFRMGESIGSQIITQIAIFIVTTGVSFCWEISHHFVQVVHTRRCSFTPPQSSAAAETNPTDYILETLELSNPRSLMQYLAFQDLCAVSECNIEPWRRGAFFEESGETYKRIVTACLKPLEDFTSKLAEALEGLTSDRPELLLQQSKLYGAFSDSQICSWCARTLATLTARSRQEDRYGVAQLTGCNAAAMSTLLSALVAVEACLGKKTNPQPAHSLGPASIRWGNFSTARKGNVTAIASTQRGGLHTKAYSMADVFRTSIYQIVSAFLDDMRANAKASSLEKNWISEGRKPIYGSRAVLVQKLSLFIEYRAV >SECCE3Rv1G0195430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:797475793:797485463:-1 gene:SECCE3Rv1G0195430 transcript:SECCE3Rv1G0195430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGSPYAAILLVLCVFQVDVVKGQSTHPIEANALHAIKGSLIDPINNLKNWNRGDPCTSNWTGVICHKIPIDTYLHVTELELFNMNLSGTLAPEVGLLSQLRNLNFMWNNLTGNIPKEIGNITTLNLIALNGNQLSGSLPDEIGYLKNLDRLQIDQNQISGPIPKSFANLTSMKHLHMNNNSLSGQIPSELSRLPELLHLLVDANNLSGPLPPKLAETRSLKIIQADNNNFSGSSIPAAYNNIGTLLKLSLRNCSLQGVIPDLSGIPELGYLDLSWNQLTGSIAVDRLASNITTIDLSHNFLNGTIPANFSGLPNLQFLNFESNSLDTIPAAFEPPKAVIVLLSGNPVCDNPARAAGLCQPKSVREAPSGQGPQVSIDCTSCPTDKNYEYNPLSPIPCICAAPLGVGFRLKSPGISDFRSYKKAFEMDSTSVLNLSIYQLYIERYTWEAGPRLNMNLKLFPNNTNLFTMSEVARLRQLLAGWEITLLDIFGPYELLNFTLGSYADEFPEAVSSGLKKGTLAGILVGTIIGAIAVSVIATFFIMRRRSKRRIVSRPSLLSRLSVKVDGVRSFTLEEMATATNNFDDSAEIGLGGYGKVYKGNLADGTTVAIKRALEDSLQGSNEFVTEIELLSRLHHRNLVSLIGYCDEEVDQMLVYEFMPNGTLRDHLSETCKRPLNFSQRLHVALGAAKGILYLHTEADPPIFHRDVKTTNILLDSKFVAKVADFGLSKLAPIPDLEGTLPEHISTVVKGTPGYLDPEYFLTNKLTEKSDVYSFGVVLLELLTGMKPIQFGKNIVREVKAAYQSGDISRIIDSRMSWCPPELATRFLSLALKCCQEDTDARPYMADIARELDDIRSTLPEGEDLLSVTSMETGSLATLTQSTSNSFIATTGEHFDSSHASGSGLMDSGVPSRMAVTPR >SECCE5Rv1G0324480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:447477495:447480137:1 gene:SECCE5Rv1G0324480 transcript:SECCE5Rv1G0324480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTPSGKTITLQVEGGHDIADVKARIHDKEDVPPDQQRLIFAGKQLEEGHTVADYNIHKESTLHLVLRLHGGRGGKGGTYPKIEPNLLQLALKYRQLKLVCRKCYARLPLRSANCRKKKCGHCNETRTKKKLKPRDP >SECCE4Rv1G0266040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:728969375:728970817:-1 gene:SECCE4Rv1G0266040 transcript:SECCE4Rv1G0266040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPKRASAGNGLPIRVLSRRLVKASDTSIKPHVAAFNNLDLYPNSAQGSVTCVYPKPPTGDFNSVVAAFEAHLPSFLNYFYPMAGRIAVNPSSGMPELHCFNQGTELIVGDAGVELWTLDWGLSVESLKRIQLPYAAEVPLSVQLVSFTCGGFAVVWATNNLIGDGNVGIMLVRMWSELVRSGTISEGGPSHDRSLFSPRDPPQYDPSLDGMFTQWDHEHEVNALTAEASFIERLYYVEERDIAMLRQAASTEGQRATRVQAVSAYLWKALAGIVGASKLLPEAEKRCRMLWWVDGRKRVSSPELRSALRNYAGNVTSYVLADEAVGTVLSKPLVDVATMVREAITSVNYDELYQDMVDWLEVHKPQKFIETSFIGLGSPTITQTVWTTFPNDTDFGFGHAALAMPVDANLGRLCTGFLCVSAKPGNPGTWIISAFIWPRLAAALESDEQRVFKPLTAEYLGLTRAKYALRDAAARPRL >SECCEUnv1G0534420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:41421046:41422360:1 gene:SECCEUnv1G0534420 transcript:SECCEUnv1G0534420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQEESGEDIVIVGAGLAGLAAALGLHRKGVRSVVLESSPALRASGFAFATWPNAFRALDALGVGDQIRELHLHIEGLRVMSASTGEIAREVDFREEFRCVRRDVLLQVLAAELPTGTIRYSSRIVSIDEHGDGAKVLHLADGSTLRAKVLVGCDGINSVVARWLGLAKPSHSGRSATRGLARYPDGHGFAPKFLQLFGNGFRFGFVPCNDNDVYWFYTWSPSQDDGGVHESGAKMKQYVLTKLRSSKVPAEALELVERSELSDDAPAAPLRFRRPLSLLFAGISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGEAILGGGGAGGTGGTDEKVRIESGLRRYVGQRRWRSIELIGTAYVVGFLQQSGNRIISFLREKVLAGVLAGRLIKMSHYNCGTLSS >SECCE7Rv1G0512220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:808626602:808627747:-1 gene:SECCE7Rv1G0512220 transcript:SECCE7Rv1G0512220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE1Rv1G0026120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:365983359:365994462:1 gene:SECCE1Rv1G0026120 transcript:SECCE1Rv1G0026120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVRSVRKSAALRPRGPTKVQPARSMSLDYKYSAARAGVEAGPAPNGIGRRAAADEEGEAVPPEGDADSPYSSKATTTEEEESGGGGGEADSASSAAAAPRRPSPTAAAGPSPRDTRWGDTSSYGAKKQHRVFCQLPNGDWALCTVITTSGDESVLKVPEGKVLRLKTESLQPANPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDMIYTKAGPVLVAVNPFKKVALYGNKYINAYRNKTMDSPHVYAIADSALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGSGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSMTGRICGAMVQTFLLEKSRVVQCAVGERSYHIFYQLCAGAPTTLREKLNLKKVDEYKYLKQSCCYSIAGVDDAEMFRAVTEAMNIVHISKEDQDNVFAMVSAVLWLGDVSFTIIDNENHVEITVEEAAETVSRLLGCSIEDLNLALSKRHMKVNSENIVQKLTLTQATDTRDALAKALYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQDCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLDTNSCFRGERGKAFTVRHYAGEVAYDTSGFLEKNRDLLHMDSIQFLAKCKLSIPQTFASKMLAQSDNLESVPYRPSVADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYGQELVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGNLENTRNRTLHGILRVQSCFRGHQARRHASERIRGVLALQSFIRAANARQSYSSLLRKHRAATLVQKNLRGWRARRHFIKIRKASVVIQSGIRGCLVRRCAGNVDLLNVLREFESKKEAEGDQILIKASFLAELQRRILRAEATVREKDEENEMLHQRLQQYENRWLEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVEQSWESNGNHVGGGSHSQQLAAPRITGREMNASMSVIGRLAEELEQRSQVFADDAKFLVEVKSGQADASLNPDVELRRLKQNFDSWKKDFGSRIRETKVILNKLASGGGGGGNESSPNSAKRKWWGRLNTSKFS >SECCE7Rv1G0463680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:55953428:55953967:1 gene:SECCE7Rv1G0463680 transcript:SECCE7Rv1G0463680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSTAASSDETVRSPGGATAAVAAARPYYECVFCKRGFTTAQALGGHMNIHRRDRAKPARLTTSVARNTECNLAYPPAPPATSLVSSGDFAMLYYGRHIGAGVDAEAVNPGSPIPRELSLFGADDDSHDDRDDCLQLGLRCHGSGSGDGSERRPDGELPERKLDLELRLGLGPRPRH >SECCE1Rv1G0053160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:667859510:667860523:1 gene:SECCE1Rv1G0053160 transcript:SECCE1Rv1G0053160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVVDSAGSSSGQKRKSDGHEDSVVPGDPNLQLSLGCIYSSASTQCTASTPPAAVNLLLAHLPVCAAGGAIAAGAQIGASPTAVATSSSRMLASSASVPFMAKQAPTTSLVPPIKSDVVVPLRLPAACSAQPPWTRRRITNGVLPQPPSSNNGVSQASTNGGAPLTSSPFPWATNRAAIHHPIPYLFERGITTVEGEVKCRRCNVQKTVSYNIAVKFREVRDFVSCNIHDMDDRASAAWMSPAVPDCDGCGQRNSLRPVIPAEKERINWVFLLLGQTLGLCTLEQLKYFCARTGQHRTGAKDRVLYSTYMELCSQLCPDRLFNLTAERQKRGQQYS >SECCE3Rv1G0195850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:803296170:803297784:1 gene:SECCE3Rv1G0195850 transcript:SECCE3Rv1G0195850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKFVRCDNCAKCVPKDKAIKRYKVQNIVEPEGISYFTEACVLEGYHLPKIYIKERWCVGCAIHKKKIRVRSRKDRKNRAPPEKVRRRGPRPEGQAPRPGGVGGGPGRVGGGSGGAGGGFGAGSAGGGFGAGAPAPNFAGDWPQN >SECCE7Rv1G0466640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:80414100:80416611:-1 gene:SECCE7Rv1G0466640 transcript:SECCE7Rv1G0466640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNERLSKFKQQQERCQTTLSSIAATQASTTKSHNAPRSRPANAPSAPAKQIQAIKFSNDTERLQHINSVRKSPVGAQIKLVIELLYKTRLAYTAEQINEATYVAINSNKGVFDSLTNNPKVQFDGKRFSYKSKHDLKGKDQLLHLIRRFPEGLPVVEVKDSYPTVLDDLQALKASGDVWWLSSMDSQEDIVYPNDPKSKIKVDADLKQLYREIELPRDMIDIEKELLKNGHKPATDTTKRRAAAQIHGQRPKPKAKKKQKEITKRTKLTNAHLPELFDLPR >SECCE5Rv1G0375450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868258787:868260082:1 gene:SECCE5Rv1G0375450 transcript:SECCE5Rv1G0375450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLLAAAPPAKRPSISSTSTTTHVTAADGTTTISSLGQDQLLDIFLRLPNLPALVRAALTCRPWLGAVRSSPSFRRIFRALHPAPLIGLFIDIDGAAAPSFVPLRRSDPDVIAAVRRGDFLLTSLPVNEDEDTSWCITDCRHGYILLWNKIFWKNPTVAAVNPMTWAMDIIPVPRDVWSGRSGRRRNFAFLGFHLLSSEENPRSFRVVCVCSDKQRVRVAVFSPETRDWAVHPWVHVGGYNSLKSSAGTLVGGSVYWPFHGEGRMIRINTATMETSFLDLPWQVIVKGCNFKAGETKDGQLCIVYASDDFLLHAWIRSLDADGLEVWVLQNIISLSEEIDEIIEGCVLDLPVDLNVVQVRSGYMYLSAKCMTHPGTLRCWFISLSLETMEVELLVDGCFGGRVSPYSMAWPPCLVGDDRSIGHEVEGSH >SECCE5Rv1G0369750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:837617556:837618475:1 gene:SECCE5Rv1G0369750 transcript:SECCE5Rv1G0369750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAMSSAVLQGAWRKGPWTALEDRLLTEYVQQQGEGSWNSVAKLTGLRRSGKSCRLRWVNYLRPDLKRGKITADEETVILQLHAMLGNRWSAIARCLPGRTDNEIKNYWRTHFKKARPSRRARAQLLHQYQLQQQQQHRQYLHALHLLQQQQQQMQMQMQMEQESQQQVMMMTQQSPPEEDQAVITTGDNMNSMETAECYCPCPAASAVLDLPLPADDEDALWDSLWRLVDGEDGSSGGDSGEY >SECCE6Rv1G0415080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:639002442:639002860:1 gene:SECCE6Rv1G0415080 transcript:SECCE6Rv1G0415080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSLVFMVALLCISYLAVIGQCRLMDGRSHKNRHANSSVNDPISYSALDESRLTLKFCAERDCKDKSDKISWDQCMCCLTRPDDPCFRTRDECKANCPACNPTC >SECCE4Rv1G0276740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:799296251:799297493:1 gene:SECCE4Rv1G0276740 transcript:SECCE4Rv1G0276740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEEFEEYAEKAKTLPDTTTNESLLCLYSLYKQATVGPVNTARPGMFDLKGKAKWDAWKAVEAKSKEEAMADYITKVKQLLEEAAAASASS >SECCE1Rv1G0006490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:34290479:34290913:1 gene:SECCE1Rv1G0006490 transcript:SECCE1Rv1G0006490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secreted protein with a CLE domain, Maintenance of the floral meristem (FM) and the vegetative shoot apical meristem (SAM [Source: Projected from Oryza sativa (Os02g0324400)] MRRPGGAAPVVVLLLWLAALTFAFHGCGGGGLLVRRGSSVAAVERDRRPASLPRKMLLAVDVESRSLDPSSAAGAPQDQDQQRHHHHHHHRGGHHHRQRHHRLPSKWNWQRVPPSAAPGDGEEIDPRYGVEKRLVPTGPNPLHH >SECCE4Rv1G0219240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:29031014:29031693:-1 gene:SECCE4Rv1G0219240 transcript:SECCE4Rv1G0219240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSGSATAVLALALLCVLLHGDFAESKVYTVGDRAGWALSSGGWPRGKRFRAGDVLVFRYGRGAHNVVAVSAAGYRSCSAARGGRTYNSGSDRVTLARGTNYFICSVPGHCQAGMKMAVTAA >SECCE2Rv1G0106630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:637938756:637942370:-1 gene:SECCE2Rv1G0106630 transcript:SECCE2Rv1G0106630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALATAPAPSRPGLPPPTRCSHLRRPKAPAISKLPRRVIAALHMDRGTPLRCTPAETDAAATTSDQTETAAAVEAAEQGNNGAPAADASAASIEGLDGIKIRRRPVTGPSVHHVGPFQFRLENVGNTPRNILEKIVWNKDVEIWQMKEKMPLYRLKGPLDNAPPARDFVAALKASYDRTALPALIAEVKKASPSRGVLRENFDPVEIAQAYEKNGAACLSVLTDSKFFQGSFDYLEAIRNAGVKCPLLCKEFIIDAWQLYYARSKGADAVLLIAAILPDRDISYMLKICKILGMSALVEVHDEREIDRVIGIDGIQLIGINNRNLETFEVDISNTKKLLEGERGQLIAQKDIIVVGESGLFTPDHVSFVQDAGVKAILVGESLIKQEDPGKAIAGLFGKDISPVSSVV >SECCE7Rv1G0479410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:252729915:252731525:1 gene:SECCE7Rv1G0479410 transcript:SECCE7Rv1G0479410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVAIPSSPVFSPSRRPLSCKAASASPESVSVSVSSPAPSTAGSPLRPFGLLRAQIREEASPSPKTSSAGSVLKRRRPAPLMVPVDGAAAAAAAAAAVAAVESDPSNQVEEEGDEFAAYCLRGRGRRRVEMEDRHVAKVALGGDPEVALFAVFDGHGGKNAAEFAAQNMPKFMAEELRKVDRGDSDEIEGAVKKSYLKTDEEFLKREESGGACCVTALLQKGGLTVSNTGDCRAVLSRAGTAEALTSDHRASREDERERIENLGGFVVNNRGTWRVQGSLAVSRGIGDAHLKQWVVADPDTRTLLVDPQCEFLVLASDGLWDKVDNQEAIDITRPLCIGNDKASCMAACRRLVETAGSRGSTDDISVLIIQLQKFSGSS >SECCE4Rv1G0243780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:506257617:506261517:-1 gene:SECCE4Rv1G0243780 transcript:SECCE4Rv1G0243780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFVSDPTDPVTTCPKTQRQDEEGLGTDPSFNSLTTESVGASPDAPWEGGAAATQADDEAESGAPGSPSPSRPSPSISWRRDPLRAACFQRLAASAEARRLREAKLLLESKPPAGKAEDPDEPFWPPKSRYWKRYMELKTMGSSPAIPVPNPEESSLSHIKSQDMLADKVVDQRDDSDTENSSGSASYQVVPYKNAQGENNLPVLSKKRKFIISTYSVQCSTCQKWRVVPSKLKYEQIRQNIMQVPFSCKYVHDWKPQVKCHDPTDISEDNGMVWAIDKHGIPQTPLGWERNITVRSEQGTRFADVYYISPAGRKVRSMKDVERYLEDNPDYAARLQLSQFSFKVPKPRNCPRQSELIEPTEVPPPVHEDPVHNYMPVLHGEANRVTHIPVTLALQVPVMLSKKRKLNR >SECCE7Rv1G0499730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:656511690:656523223:-1 gene:SECCE7Rv1G0499730 transcript:SECCE7Rv1G0499730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGAAATAAGGGGASRPPSFASQTNALLRKNLIFQKRNRKATIRLIIVPIYLCVLLSVLQRVINNLLDKPKYKCGCMCVDVNGTGPCQNVCGIQYSTLDQAGSCPIPNPPEWPALLQVPRLEYRATQDSSESCRKSQSCPAAIPFTGANETLSTTVTQNMFTDSPLSNLSDNASISGLLLGTDMPGTSTGFIEPAFISDVPIYVLQSECKSRDSVTLRTTIDAINVQKEIKCVQGLPLWRNSSRTINEETFKGYRKGKIGEGISEVAMAYDFQDSNEKRFNVLAFYNSTYQNISYVPMPFGLLRVSRSLNAVSNAYLQFLQGQGSGIKMLLEFTKEMPKQATRLTIDFSSLIGPLFFEWVVALLFPVMLTYLVYEKQHKLRTMMKMHGLGNGPYWIIYYAYFLILSTVYLVLFVIFGSLIGLNFFKTNDYSIQFVFFFSFINLQIVLSFLAATFFSKVNTAQAIAYLYIFGSGLMAGSLIRNFLEGGKFPRHWITVLEIIPAFSLYRGLYELSQYAIRASETGNPGMRWSDLNDHTNGMRDVMIIIIVEWLVLLPVAYYFDYAASVGNSSGLLSIIKRLLRKNPTWRRIAVNEVADNDVHRETVDQVLQQRNSGYPVVCDDLKKVYHGKDGNPDKYAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGFSKPTSGNAFVQNFSIHTDMENIYNSMGVCPQNDILWEMLTGRSLSGSALDLAVEESLRSVNLLLGGAADKQVRNYSGGMKRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWTAVKQAKQDRAIILTTHSMEEAEVLCDRLCIMVDGRLQCIGRPKELIARYGGYYVLTMTTSSEFEREVEDLALKLSPDARKVYHLSGTQKYELSKQQVRIADVFMAVENLKRRVEVQAWGLADTTMEDVFVKVATGAQSSDELS >SECCE6Rv1G0440990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:808514275:808518423:1 gene:SECCE6Rv1G0440990 transcript:SECCE6Rv1G0440990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNQQFRILEVPPIVQELVAGGVQEPPSQYVVRVQDRPATAVSEMPEPIPIVDLSRLSSNSAEEFTKLQSALENWNLFLAVGHGMEPSFLAEAMKVAKEFFKLPLEEKQKYSNIVNGDTLSMDGYGNDMVVVDNQVLDWNDRFNLLVEPESERNYSMFPTYPPSFRNFLCEYTTRCRAVAMLVLRNLAKLLNLHEEYFINMLGEKFITKAVFNYYPRCPRPDHVFGLKPHTDHSMITVNFIDANVSGLELQKHGVWYNVPIIPNALVVIIGDVMEILSNGFFKGPVHRVVTSLEKERLSLVMFYTLDPETEIEPVPELVDEKRPRRYTKMKNKHYIAKFYDTYAAGKLAIDTMKMCI >SECCE6Rv1G0382830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:47948375:47951527:-1 gene:SECCE6Rv1G0382830 transcript:SECCE6Rv1G0382830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLQLSNQTYSKKLRIPTLGLALLLLISFAPPTSSCTEHERASLRRFLAGLSWDGGLAASWQDGKDCCEWGGITCGQDRMVTDVVLASKGLEGRISESLGNLPMLQYLNLSHNSLSGGQPVELVSSSSITILDVSFNQLNGRLHELASPTPARPLQVLNISSNLFAGLFPSTTWEAMKNLRALNASNNSFTGHIPTYFCNSSPSFAVLDLCLNKFSGNIPQQIGDCSKLRELRVGYNNISGEIPDELFNATLLEYLSFRNNHLNGLLDGVHITKLRNLVTLDLGGNNFSGKIPDSIGQLKKLEEFHLDNNKLSGELPSALSNCTNLVAIDLKNNNFSGQLAKVNFSNLPNLKTLDVYFNNFTGTVPESIYSCSNLTALRISTNKLEGQLSPRISDLKNLNFLSLSTNSFSNITNTLHILKICRNLTTLLIGGNFKGELMPEGDRIDGFENLQVLDIGACQLSGKIPLWISRLTNLEILLLNSNRLTGPIPGWINSLSHLFFMDVSNNNLTGEIPLNLMEMPMLKSIGNATQWDPRVFELPVYSGPSLQYRVVTSFPTVLNLSNNQFTGVIPPQIGQLKVLVVLDLSFNKLSGQIPLSICNLTNLQVLDLSSNSLTGAIPAALNSLHFLSTFSISNNDLEGPIPSGGQFNTFQNSSFDGNPKLCGSILTRICGSSIHPSSRKQRDKKVAFAIGFGVFFGGIAILLLLVRLLLSVKMKGFTEKNGSKNSGDVEATSFYSSSEQTLVLMHMPQGKGEENKLKFSDILKATNNFDKENIIGCGGYGLVYKAELHDGSKLAIKKLNGEMCLMEREFSAEVDALSMAQHENLVPLWGYCVQGNSRLLMYSYMENGSLDDWLHNRDDDTSSFLDWPNRLKIARGASLGLSYIHDICKPQIVHRDIKSSNILLDKEFKAYVADFGLARLILPNQTHVTTELVGTMGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGRRPVPVSSTTKELVPWVLQMRSEGKQIEVLDPTLRETGYEEQMLKVLEAACKCVDHNQFKRPTIMEVVSCLDSIDTDLPT >SECCE4Rv1G0220130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:35557036:35562140:-1 gene:SECCE4Rv1G0220130 transcript:SECCE4Rv1G0220130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPRLLHRHLSVPLARRLTPCHPWQPAADVILRRGLASSAAAAAAAAAGREKSSRKTLGYLVGVAVAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKISRHARVGTTPSREIVIQFNADVADGMPWKFIPTQREVKVKPGESALAFYTAENRSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMDGVNNIVLSYTFFKVKE >SECCE3Rv1G0192010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:753725408:753729223:-1 gene:SECCE3Rv1G0192010 transcript:SECCE3Rv1G0192010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin dehydrogenase 5 [Source:Projected from Arabidopsis thaliana (AT1G75450) UniProtKB/Swiss-Prot;Acc:Q67YU0] MARCLVFMVFQIYCLISTVGLPLEPAELLQLGGDVGGGRLSTDPADVLEASRDFGGFTRGEPLAVYHPSGAGDVAALVRAAYGSARDIRVSARGHGHSISGQAQVPGGVVVDMSRGGKAPSRALPVYSPELGGHYVDVWGGELWIDVLNWTLSHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGETVTCSEAQNPELFFGALGGLGQFGIITRARIALEPAPRKVRWIRALYSNFTEFTADQERLISQSQHGGGRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLTSLKHHTGVLYCLEVTKNYDDSTAATVDQEVDALLGDLSFLPGTVFTTDLPYVDFLDRVHTAELKLRGKGMWEVPHPWLNLFVPASRIADFDRGVFRGILGSRTSGGPILIYPMNKHKWDPRSSVVTPDEEVFYLVAFLRSALPGAPQSLEALARQNRQILDFCAEAGIGARQYLPNHKSQPEWEAHFGEERWARFAGLKAQFDPRAMLATGQGIFPPPALLSDS >SECCE4Rv1G0223180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:67080374:67088298:-1 gene:SECCE4Rv1G0223180 transcript:SECCE4Rv1G0223180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITINSLTYEGSIPDAINQSRREKKLFVIYISGQDEISSSLEQSTLVDEHVAAVISRCCVFLQLKQGDVDTLQFSAIYPQKSVPSISVIGLNGAMLWNHEGYISPEDLKESLEKAWATLHLQETAATLLTASLASRMAEPANTASATMPAQEGSSTSENHSNSSGQSSESSAVRGFANSTNLVAQPPSSTSQAELLKTSEIPKSDSAPCNIAIEEKLDSACQAVLPDSPASSNMDGSTDPNQTVSTPKPKSENKVDGSSTKDPSKPASSIPTRSIPQLPVEQDKATTSRAIEVATDSAKKDDIQLAIRMPDGPSLQIKLTKEDVLRKVKTFVDENQGSGIGSYNLAMLYPRKVFTEQDMETTLYELGIETRQALVVVPNHQSVKVARHQSSLPSSDLDRTGNQDKSGGWGFLGAALSYVNPLSYLRGNPTPSNPDQLGNEGSQQYRPSSESQPLRGDGSQQTATHSSGNTLRRRPRQFGGNIHTLSSEEQDPSDNRNVFWNGNSTEFGGDEKK >SECCE2Rv1G0139030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:925101590:925105663:-1 gene:SECCE2Rv1G0139030 transcript:SECCE2Rv1G0139030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGESRGTRASAKEVKSESEKGEVTMQDEGGAGDALLAAESMTPTQIDVRMVVTLLHCEGCLLPLKPPVFKLDKLVGAAKVPCPYKAFGCERYVVFHDATDHQRVCQCAPYTCPESACAFVGSRAILVDHFAADHQRPAVTVRYGRSWSLSFSLSHGWHLLIGKEDCSVFLVSLCPLASGTAVLLMCIRPDGEAEAGQRFWCKLSIERHGGEKDYDLVLMTSSVISNALSTGAPPSGQGMFLVVPQELLSGDTLTLSVRIDLIPPAAVAPKSTTPQVRAPRRMQ >SECCE1Rv1G0055130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678309791:678310804:-1 gene:SECCE1Rv1G0055130 transcript:SECCE1Rv1G0055130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSTALDDLVAATPAARKSQRAPATPTGSSGRFHRLEVIGTGTFGVVYRARDRRTGEIVAMKCLRAGDGDDVGRYLSDFAAEVSALEACSGHPSIVQPRASGHLGSEAFLAMEFVGPTLRYVMKHVRFGRRHTELEVRLLMRQLCSGVRRMNRLGLMHRDLKPDNVLVDSQGNFKICDLGLSCSMADEPPYSNPVGTRGYRAPELLLGCTDYHEHVDSWALGVMMAELLAGKHPFHGRSDTEHLSEILDLLGTADIKDWSGYDGRRLPSGSQPGSFLRNKFPCPAGARIKGPPTLSEAGFEVLSGLLRCNPEKRLTTEQAPKHGWFKEANPRASRR >SECCE7Rv1G0490020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:471162211:471162937:-1 gene:SECCE7Rv1G0490020 transcript:SECCE7Rv1G0490020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVSYGLRALPTWSSSVSGDDHCSALAMSVPVRGPRSARPLRTPARMGNVNEGKGIFAPLVVVVRNIVGRKRFNQLRGKAIALHSQVITEFCKTIGADPKQRQGLIRLAKKNGEKLGFLA >SECCE2Rv1G0091520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:319893144:319915175:1 gene:SECCE2Rv1G0091520 transcript:SECCE2Rv1G0091520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase [Source:Projected from Arabidopsis thaliana (AT5G49570) UniProtKB/TrEMBL;Acc:A5PHD1] MVARRFLVRQAPADEEHAVEYDTEDGLDVLRFQIFSLTSVPPDLQKLVVETDGSVVDDGTDLDSIPEGLCLVSIDEGEDADAASASATAQEMPDEELARLIEIEMEADGNVVDDGTDLESISEVLRLVPIGERDDVDAAAVAAARVQEKSDEELARMIQAEEESLLLQQYSIQSNGGEVYRERVEPYMRQVLKYEDPLRQEAALKTVPVDELKEKALISLAKEGTFSPSKNEEDHAFLLQLLFWFKQSFRWVNAPPCGICDRETSMVGMGNPLPSEIEFGASRVEMYRCNHCSSITRFPRYNDPYKLIQTRKGRCGEWANCFTFYCRVFGYEARLILDFTDHVWTECFSNLYGRWIHLDPCEGIYDNPLLYEKGWNKKLDYAIGISKDGVHDVTKRYTRKWHEVLSRRIITSEDTVSAILMNMTTKCRSGLSSDEFLVLENRDRKESEELSKATYLEVNNSISLPGRQSGSVEWRAARSELGQADSLSCSLCPIRRCVDAHVSKIYGALSAILSHFCDNNIPDERIIEVLVTLRSLMQNLKDANFKSRRVTLDKKSQQIFEILPSAERLLSAISLKAELHTDGDPSVATDGNIMHTSLALPVALDAVDEILSNYKSNIFYTKGHQFPRGNRLCSGSVLASSEQLPIGIATAAFDGIRLSKWEEPDGAKGCWLMYKVHGVQTCELESYDLMSANDAPERDPMDWVLEGSTDQGSTWNTIDARSSVIFGSRFCRKSFTVDKRYKANVLRFRFLRVTESSANPRFQIGSIDFYGETHMA >SECCE6Rv1G0446820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846301332:846307173:-1 gene:SECCE6Rv1G0446820 transcript:SECCE6Rv1G0446820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRIGLAVGSTLPRLPLPSIPPLRPQPSRRRALLLLPLSSLSPHPRSALSSSAAMAAPPVARKVPRKLAEHGDVRVDDYYWLRDDARADPGVLAHLRAENDYTAALMSDTKQLEDEIFAEIRGRIKEDDIDAPLRKGQYYYYKRTLTGKEYVQRCRRLVPTDGPVTVHDEMPTGPDAPAEHIILDENLKAEGHDYYSIGAFKVSPSGKLVAYAEDTKGDEIYTVFVIDAESGQYVGQPLKAITSDIEWAGDDNLVYITMDNILRPDKVWLHKLGSDQSDDTCLYHEKDDTFSLGLHASESKQYLFVGSGSKNTSFIFYLDIPNQSKELAVLTPRVDGIDTTASHRGNHFYITRRSEEFYNSELVACPLNNVAETTVLLPHRESVKIQDVQLFENHIAVYERENGLPKATVYRLPSTGEAVGQLHGGRAIDFVDPAYAVEPEPSQFNSNVIRFYYSSMRTPPSIFDYDMDTGVSVLKKIDTVLGGFEASNYVTERKWAAASDGTQIPMSVLYRKDMVKLDGSDPMLLYGYGSYEICIDPTFRGSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLKKKNTFTDFIACAEHLIENKYCTKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPTIPLTTAEWEEWGDPRKEEYYYYMKSYSPVDNVKAQDYPHILVTAGLNDPRVMYSEPAKFVAKLRELKTDDNLLLFKCELGAGHFSKSGRFEKLREDAFTYAFILKALGMTPTTASSL >SECCE2Rv1G0069800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31132457:31133977:-1 gene:SECCE2Rv1G0069800 transcript:SECCE2Rv1G0069800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAWSELPGELLGLVIAGLPFPNDRARFRTVCRSWRSALRHHGHQARQLIVQPEGNFMNPSDGRWYPLPSFPDNTICVGSTDGWIALRHHDRGDGRNFLLHNPFSNTSVPLELDAVIAKATEVRKVLVRSTAAGDLIVAILTNNTRRPFILSRGGRDVWLPGPWDLLYNYIIDIAFVGDKLYAITQDENLFPIDIGLDGNGKLVVADGRRVVRQPPSHYGYHAWSESESESEEEASGVSGEEATETFNVGVAGTSDEEAVGASNEETMGAFDEYDGETLNEEASGTSDKDATVPLDEFDDKEVVEASDEEVTKTSNEDEKVVGGTSNVELRWPLYDDLDEEWDATSSETENVTSEEEDNEPCARDVNTINDAFDYVYDDAPSKALTSIFRYLVESCGKLLMVKHYMQLTAPNGLPTRFTCQVEVFEASAGAWVPMTDGLGDQALFVSMRFSKSVPLSCGNVKKDSIYFVNTGEVFNMRSNTCSPVRWEAGITFDTWVFPPELVV >SECCE4Rv1G0249800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:586724569:586728495:1 gene:SECCE4Rv1G0249800 transcript:SECCE4Rv1G0249800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVSPARPITCRHLTVAEHGRSVGRLDTRRGFYQPRGQDKKSLPLHGGARTAHPSRPHPLHASPNSTDARNPTPMASAAASAAPESDRAALVKAFDESRTGVRGLVESGVSTVPDLFVHPDPYASVPLAPPGVSIPVVDLSLPAPVAAAAAAAAARDWGFFHLVNYEALVPSDYPARALAAVRAFNELPAPERSAHYGRAMGGGVSYSSNVDLYRSAAASWRDTIQVGFGPTPPDTERIPPVCRSEIVEWEAHTTAVARAVMALLSEGLGLSEAALEEASCLEGKVMVCHYYPVCPEPERTMGLVPHTDPGVLTVLAQDGVGGLQVKHTNQDGESYWVDAKPVPGALVINVGDLLQIMSNDKYTSVDHRVVMSSCEEARVSIAVFFNPGKRGDSVFYGPLPELVSSENPAKYRSFTMSEFFGAFFKRDLASKALLENFKL >SECCE5Rv1G0300300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:25374843:25380762:-1 gene:SECCE5Rv1G0300300 transcript:SECCE5Rv1G0300300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALAGVMTSVIGKLTVLLGEEYAKLKGVHREVEFMKDELSSMNALLQRLAEVDRDINVQTKEWRNQVREMSYDIEDCIDDFMKSLGQTDMSKAVGLVQSVLQQLNALRARHQISSQIQGLKARVEDASKRRMRYKLDEHAFETSTSRAIDPRLPSLYAEPDGLVGIDQPRDELIKCLMEGVGASVQQLKVISIVGFGGLGKTTLANEVYCKLEGQFQCRAFVSLSQQPDVNKILRNILSQVCPQELPSTDIWDEGKLIDAIRDALKNKRYLVVIDDIWSTQAWRIIKCSLCVNDLGSRIITTTRSIDIAKSCCSPHHDRVYEIMPLTTANSKSLFFKRIFGSGDICPPRLEEVSSEILKKCGGSPLAILTIASLLANKGSTNEEWEWVYNSIGSTLEKDPGVEEMRRILSFSYDDLPHHLKTCLLYLSVFPEDYEIEKDRLIRRWIAEGFINTDGGQDLEEVGECYFNDLINRSMLQPVKIQYDGRVDSCRVHDMILDLLTSKSIEENFATFFGDQKQKFVLQHKIRRLSLNYYSQEHIIVPPTAIISHCRSLSIVGYAEKMPSLSKFRFLRVLDIENGEEMESNWFEHVRKLFQLKYLRLNVRSISALPELNV >SECCE6Rv1G0400920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:459497725:459498459:1 gene:SECCE6Rv1G0400920 transcript:SECCE6Rv1G0400920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVSDEPGSDPSKGVSGDAPTAARAGCFDCNICLDFAVEPVVTLCGHLYCWPCIYEWLRRDAAGGTVSAGRRPCPVCKAALTLDSLVPLYGRGGGGRSSKPQLHPAIPRRPTMHRGAVERRSAQTSGGSGRRHVSAEPDSSTRSSRDAHVDAARFDILYPPPHLGRGMNAMHMHPTAGGVLGGMTLALLPWVFRGRAPVPSYPLAELQNLNPRLRRQYLEVERSLHQLLFFLLVFVVLCLLLF >SECCE5Rv1G0368810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:830995614:830997729:1 gene:SECCE5Rv1G0368810 transcript:SECCE5Rv1G0368810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKSKASGEVAVMEVAGALLGVRTRSRTLAAQQQRAPSPTPSPQRKGHEDGDYLELRSRRLEKQPPPGPKDKGDAPQTPAAGARRTEQAPSFAAAEGFEADLEVSFGDNVLDWDATDRGTRETTPCSLIYSSETMSTPGSATGARNHSRRRAQTPVCRYVPSSLEMDEFFAAAEQQQHQTFREKYNFCPESERPLPGRYEWTVLDC >SECCE7Rv1G0519820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865847009:865849321:1 gene:SECCE7Rv1G0519820 transcript:SECCE7Rv1G0519820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQTRVFIVHMLPADASRFFARASATNMMTEGYVWIVTDNIGIVLDVLPQHTIGTMLGVVGFRPYVGKSARVSGFMARFVTRYRAKFHQDPDVRVARPTIFQYWAYDVVWAIASAIEKAINLGSSTPGNIGNLVQVSPAGQELLNSIMDEEFDGLAGRFRFVDRQLLVPAYEIVNVIEEKTRRIGFWSPVSGLSPFLNSSTQPVQDERRLHADQVLRTVIWPGDSTTVPRGWDFPVNGKILQIAVPVRRDFKVFVNVEASPNSSELVFSGYSIDVFEVAVKKLPYAMRYKYIPYDCANSYDKLVSEVYFKTFDAAVGDVTIIANRTRYVDFTMPYTESGVSMLVLARKDDDEPTMWVFLEPLTKDLWMATVAFMVVTGLFVWVIEKPINEEFKGSKWRQFSTSFYFAFSTWTFTHDQKFKSLQSKVIVVSWCFVMLVIVQSYTASLSSMLTAKRLQPSVTDPRQLLHNGHYVGYQNGSFVHSMLRRLGFEERWIKVCSTQEEYAKALKLGSKNGGVSAIFDETPYINSFLLQYNKGFQKVFPKGSPLVEDLSKAMLNLIEGPEGSNIEKKWFSDPILSLDYGSPDTDSLHLSSRSFLGLFIINGCVLVLMIIINLSRRACAKSTAKRNTTSASNSEAQPSLSGNGVPAIQSL >SECCEUnv1G0556540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:312023809:312029504:1 gene:SECCEUnv1G0556540 transcript:SECCEUnv1G0556540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGPVASAAPALPGGGDGVVRVVARICAPSSPATTTAPGTSLFQVAASRGRRPRASGPGAGAVLSFTPAAAAAPSTSSTSSQGRPAAGQRKEEHRLDWCYLQEETNHHVFLHELQPILAHHLHNHTTTTAGSTNACVVACGAAAAKDHLFKGSQEQPGLVTIAMEEILRFAASVGGAVRVSSYQVVQDTHVFDLLEPKEQEVLVLEDAQGKTHLKGLSKVHVKSIEDFARLGCFDENQDKQQPTKASSSTQQQQSTKPFSTQQQPTKAASSTQLPTRGHQGLIIHISSSDQDGKERAVAKINFLSLTDYVDPKQKTGGGAAALSSGNRSMYTLMNVVQALNSNQSFVPYRQSKVTRILQDSLCKTSGAVVIACLEEVSCQDAVSTLSLAARSSQVATQVANEQCRRSSSVTSFKRADVNLSAVAKSSSRPILSSTHQPNPVVEKQDRPQWNTSAVKAARTPIANKRSQPIMHSVKKSENTLPTPIKITQKAATPTISGRPQPIMHSAKNLESSLSTPIKMPPKDAKPTMSGRSQPITLSAKNPESAVSPPTKMKQKDAKPAMSGRSQVMMRSAKKTESSLSASIKTKQKDAKPTATSGSALLCPSTNSAKGEAAASAPAAVNEVEEVQSSQGMEIDAPSTDEGFDKTSDALDTVPSEVQKVVSSGTAIDAPSTDEGFDKTSNDLDTASSEIHKVVSSGMEEEVHSSSSLDAASSCTIDSGETCSSNIPDAFVEKTPVKTHMNTPKISDKLREISNSLKLLNARPLSVMTQKVAMEKTREEGMEKTEKVAAVETTQEEGMEMTQEEGMEKTQEEGMETTEEVAVETIQEEGMEKTQEEGMKTTEEVAVETTQEEGMETTLEVAMEMTEEVVIETTQEVATGTTQEVAVETAQEVAVETTQEVAVETVLEVAVQTTQEVAAETAQEMAAETTTQEVAVQITQEVATETTQEVAAETTPEVAAVETAQEVAAETTQEVAAVETRKEVAAVETTQEVAAVETTQEVAAAETTHEVAAVETTQEVAIETTREVGMEFLAPEPKTPAVHIKVEQAADPKTPAIHLKFEQAADHAASSFRTRSTGIKKSIVQECLSFLNSANKEQLKSLKGIGEKRANYIIELREHSPELFKGIDDLRDVIGMNKTEIKKMMSGIINSP >SECCE7Rv1G0519100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863370705:863372421:-1 gene:SECCE7Rv1G0519100 transcript:SECCE7Rv1G0519100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTLVIMATMLVYFLLRNKRVLLSQKQRGQRGRLPPGPAALPIIGNMHQVILNKPAVFRWIHGLLKEMNTDIMCLRLGATHVIVVACPQIASEVLRKNDEVFASRPTTFASGIFSFGYKGSIFSPHGDQWKKMRRILTVDILASSMERKLHHLRNEEYDHLVRYINKTHCSDMACPGNIVNVRHVTQHFVGNMIRRLVFGKRYFNDLPPSSTSGPGHDEVAHVSALFTALNHLYSFCVSDYFPALIGLDLDGHEKVSKDAMQTLNRLHDPIIEERIHERSSTLEKCGEKKEARDFLDVLVHLKDAEGQSFLSLQEIRTQTSEMMFAAVDNPSNAVEWALAEMVNLPEIMQKATEELDTVVGKDRLVQESDIPRLNYLKSCIREAFRIHPYHALNVPHVAMADTTVAGYTIPKDSHILLSQLGLGRNPKIWTEPLEFQPKRHLNTTNVLLTDPGLRFISFSSGRRGCPGISLGSSITMMLFARMLQGFTWTKLPGIQSISLQEGNAGLALAEPLVLQATPRLAEHLYI >SECCE3Rv1G0163600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:149543553:149547155:-1 gene:SECCE3Rv1G0163600 transcript:SECCE3Rv1G0163600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAAAAAKSDDEDNYEEYIPVSKRRAMEADRLRHQRLSKPAAAASAGSLPPPPPPPTANPAAAPDDAAPAAKPSLLVTSTQLKRAAPEVTATEQIILQEKEMIDNLSNKNTLMSVRELAKGITYTEPLRTGWKPPLRLRRMPRTKADELRRKWHILVEGDEIPPPARDFRDLRFPEPVLRMLREKGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEMLMPIVPGEGPFGMIICPSRELAKQTYDVIEMFLTPLKQAGFPEIRPLLCIGGIDMRTQLDVVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPKKIQNFAKSALVKPVIVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLIFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERQNAIEFFKNGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELVDPLEDAEAIAKESGVKGCAFCGGLGHRLADCPKLEHQKSVAIAGSRRDYYGGGGYRGEI >SECCE6Rv1G0412860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:618583843:618584223:-1 gene:SECCE6Rv1G0412860 transcript:SECCE6Rv1G0412860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCQVTLLLALVVLASSPAMATKCPPEYTCTKYINQGPCDAAKCMMDCVSAYKGAGEGQCFPQGCRCSYCCKPHQQTI >SECCE3Rv1G0207140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:918182968:918183662:-1 gene:SECCE3Rv1G0207140 transcript:SECCE3Rv1G0207140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREQQRGRRDRFIVIPFSSTCRSAASVDVVQSKKPQGAGGSGEGMSAAVVRPAKGESLSLVARLLRGFKNLSHQIFAVYDEEDEDEEEPEMVIGLPTDVKHVAHIGWDGSTSTTSSVRSWNRAPPPPGTTAPAAASASTSASASSSAPPPPQAQPPALSARQFELAMAAQASAAASTSGTGTSGAARRHRHYS >SECCEUnv1G0558460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:332868948:332869325:-1 gene:SECCEUnv1G0558460 transcript:SECCEUnv1G0558460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGRADDGHRGHLGPPGFGRRRGRQQSTPRAERRTSKSRSAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSLPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTR >SECCE4Rv1G0252790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:618501024:618504427:-1 gene:SECCE4Rv1G0252790 transcript:SECCE4Rv1G0252790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFPYSPAELAKLQLVQFGILSPDEIRQMSVVVIEHAETMERGKAKPGGLSDPRLGTIDRKIKCDTCMAGMAECPGHFGHLELAKPMFHISFIKTVLSIMRCVCFNCSKILADEEDTKFKQALKISNPKNRLRRIYDACKSKKTCAGGGELEVQDQQDTDEPVKKRGGCGAQQPSITVDGMKMVAEFEATKKKTDDQDQLPELVERKQILSAERVLNVLKRISDEDCLLLGLNPKCARPDWMILQVLPIPPPHVRPSVMMDTSSRSEDDLTHQLAMIIRHNGNLMRQERNGAPSHIITEFAQLLQFHIATYFGNDLPGQPRATQHSGRPIKSICSRLKAKEGWIRGTLMGKRVDFSARTVVTPDPNINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKKSIMGHRIKIMPCSTFRLNLSVTSPYNADFDADEMNMHVPQSFETTAVVLELMMVSKCIVSPQADIPVMGIVQDTLLGCRKITKRDTLIEKDVFMNMLMWWEDFDGKVPAPAIVKPRPLWTGKQVFNLIIPKLINLTSFSAWHAETECGFITPGDTMVQIDKGELLSGTLCKRTLGTSTGSLIHVIWEEAGPDAALKFLGHTQSLVNYWLLQNGFSIGIGDAIADADTMEKIDETIGTAKNDVKELIRQAQDKNLEPEPGLTMMESFENRVNQILSKAYDDAGSSAQKGLSESNNLKAMVTAGSKGSFINISQMTACLGQQKIEGKRIPFGFVDRTLPHFTKDDYGAESRGFVQNSYLRGLTPQEFFFHAMVSREGLIATALKSSKTGFSGGS >SECCE6Rv1G0380510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:23758721:23759453:1 gene:SECCE6Rv1G0380510 transcript:SECCE6Rv1G0380510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTKPAGVTPRSAPPCAGEWRPSGFVRLLQAPAVVALAAVLAVASPAQARPAGAPPPTPPTQQKAAETAPEDTMCDVPRTLSGEDGKEAERIKHPRSREAARCTSKCVSTCVLGGAGAPGVGGPFNVRRPLVVFKEGFRSRQYCLVECSDICNLIKDGEDGQ >SECCE3Rv1G0193920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:775677132:775677572:1 gene:SECCE3Rv1G0193920 transcript:SECCE3Rv1G0193920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQLVLWALLLAVLTTVMAAAEQDYGEYCRVGKSISNNPVPACREYVTRRCAVGDQQVPDVLKQNCCRELSDLPQNCRCDALSILVHGVITEDGARVGRMEAMPGCDRERIASIASYLPAYSQCNLKTPVGPGMGCALFGGGIS >SECCE1Rv1G0024270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:322537955:322539004:1 gene:SECCE1Rv1G0024270 transcript:SECCE1Rv1G0024270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDKKTHPSGIRSSGGQTTLQSFLVKPRVADAELNPHPPVAEDDEIPNSPPAPPKREIVRVTNKTIREKASAFSSVGSSAKRGADAGALDAAVFKRFNGSSPPAARAGGGAAAAEAGGDGGVRLDVEDIAAGGRSWESRKRKSPFGGNEGRTSSKAGHVVVLGDDPKPRPATRTRGRGRLAGRGEGSRGLYNHYASGGGLWQGEQEGVDGEEVGWTEDMWEGMGSITLGGMEWH >SECCE2Rv1G0130460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:876567727:876568807:1 gene:SECCE2Rv1G0130460 transcript:SECCE2Rv1G0130460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHHLHVASYLEKAASAPSSPATAPSTSPPSALFPFGAFQCLRPLAPKISLPDQPKKLVAPPDVLGRVRNATKLLSCTVRNHTVQVPVGGTTRWNPSTEQIKVLEALYRGGMRTPNSAQIERITEELGRHGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTLDSPSLPATPTKDGPGDKQEVSDEAMNSCKRRCMTWGDGHGDAAAEVAADGCTENVTLELFPLRPQGKAA >SECCE7Rv1G0460930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:34009559:34011516:-1 gene:SECCE7Rv1G0460930 transcript:SECCE7Rv1G0460930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPLRTSPPLRTSPPALPYDLVEEILLRLPPDEPACLLRISFACKAWGRAVSQPDFRGRFIKEHQHRPLPLIGFLHNSEYERVPRFISTTASPFSLPAPDRASWLALDCRHARALFVSDPEGSGPQQLLVWDLNTGIRRRIPVPPMHSSYYYRTAAVLCQADGCDHRYCNGGPFRVVFVFTVFQMQLPCSALASVCVYSSETGTWSGVPENITHQFDALTFKCHSSLLLGRSLLYFLSDHGFILEYDLASNVLTGIDTPYRHTDPEPTFNLMVAEDGGIGVCEIHDLWLRLWSREESDARWVNSRVIDLENLLPPAALVDAEAEMMVLGFAEGVNVIFFNTVAGLFTIELRSKRVKKVCDNHGFCNLIPVVSFYTAVDRIEYQDLLPSIPSEDVGDEEGGEEEKTVDEAQQLLDKGSNTIKEGGFVDAVERVSHDLNARSASNEESVKGTASEDDAKDSKTSGSNGEDAAPSSEKGDS >SECCE6Rv1G0422270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689256838:689257815:-1 gene:SECCE6Rv1G0422270 transcript:SECCE6Rv1G0422270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSSPASAVSGSAPPPPHVVEDCMGIVQLLSDGAVRRSLDYSHLPMLRHVPSDLPVQWKDVVYDAGHGLRLRIIGCTGQPPPTSQRRSIRSSRCSSTSTAEASASPASSGLTSTRARSGWPASSRRSCSPPTTGSPRSTASPPRTGTPRPSSRGGNMVHHVTVRLGSGALALGHRLRVVGCVILWPYFGSEERTASEAEAEAMAPSSEFDPGRNFDQMWRLALPDGATRDHPAANPFGPESAPLDDVPFPPVLVAKAGRDRMRDRVAEYVARLRAMGKPVELAEFEGQGHGFFVFTPFGDASDELVRVLRQFVCTCTATSHR >SECCE7Rv1G0503940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:717316300:717317377:1 gene:SECCE7Rv1G0503940 transcript:SECCE7Rv1G0503940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRNIPYSVLPTEDRDEDNIDRRFTYTPKSLRRIPWKSIALALFLLFLGSSLLFLSYFIFTGHMEGDSSQVYGLLFLGILAFLPGFYETRVAYYSWRGAPGYTFVSIPDY >SECCE7Rv1G0490430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:491651218:491656446:1 gene:SECCE7Rv1G0490430 transcript:SECCE7Rv1G0490430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNHSLYDNDNDNDDDDAPLSFKRSSSSRPPPSKQEGPSANITYVRSPKAVASNQQRNGINGASRSPLPPKPQSTSSNPRPSGSVQPNSSVERSQKSNTVDKSKMKRPHVEGDKSDESDDDKPLAFRKKPDTKLKKVDTGGENAYASEDDHKPLGMNINSAKAASNNSTNKTVLLKTAPLKTLQPDDDSDDDHKPLVQRFNPAKGASNSSTNKPVLLKAAHKIVHSDDDSEDEKPLASRLPTNAAPKSRASTSDSEDEKPLSARYSRGTAGTSVSISNSKDKLPSNKGPNNNSSAPRNSVKRPSDNNNQTSSALKKAKSSDASASGSVKRESKDDDNNSVERKLTPGESSKSKPPVKNIVKKSPLSVKKDNKKFKTKTKKIMKSSEFSKSLRVPPGSGGGKKWSTLEHNGVIFPPPYNPHGVKMLYNGQPVELTPEEEEVATMFAVMKETEYAAKKTFIDNFFGDWKKILGKNHIIKKFELCDFTPIYEWHLREKEKKKQMTSEEKKALREEKLKQEEKYMWAVVDGVREKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPSDITINIGKGTPVPECPIEGESWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSSLKGQSDKEKYEKSRKLKDHIHNIRVNYTKDFKSKDVSKKQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVDNVTCVPPNKLQFDFLGKDSIRYFNTVEVELPVYNAIEEFRTGKKDGDPVFDQLDTTKLNHHLKDLMPGLTAKVFRTYNASITLDAILHKETEDGTLLEKIAVYQRANKEVAIICNHQRAVSKSHDTQMTKLNEKIDELKAQMDELNKDLGKVKRGKPLGNGVDGKPKRTLAPEAIEKKISQIETKIEKMEMDKKTKEDLKTVALGTSKINYLDPRITVAWCKTHEVPIEKIFSKTILAKFGWAMDVEPDFRF >SECCE7Rv1G0526360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894762583:894765867:-1 gene:SECCE7Rv1G0526360 transcript:SECCE7Rv1G0526360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALGVAQWVVGKALAPVADGVLEAWAATRNFGPNVEALRMELLLVQATLENAARKEIGGPAMEELLQRLRDSAHNAQDLLDELDYFRIHDELHGTYNAADQHDKGGVHDIALNARHTAKAVGKMVSCCPRQHAKRRQRLPGESSSAQDTNQEVSGCMPKLIGKLLPCSSSGDPHIREKDCGSVREMPKFEFNRVDFSRKMKDITEKLQLVRKDVNGLLQSCDPRSVSNIAQCRPITKGRIDEPKLYGRDRVMDSIIQDITKGQYCDKGITVLPVVGSGGMGKTTLIQHIYRNQQVLNHFPVMIWICVSLHFNLDKVLEQIKTYSPRDESEKECSTTEELIEQRLKSKRFLLVLDDIWKINNGDDWGKLLSTLSKSEEKGSIILVTTRFEAIAQKVGTPCQSIKLNGLESGEFRKLFLAFVFGDEKYPRDKHFLLETGDKIMEKLKGSPLAAKTVGRLLNKDLSLRHWKRVLKSKGWEKQTDDNEIMPALKLSYDFLPFHLQQCFAYSGLFPEDYNFRSDELISLWIGLDILIPNGQDQIFEDIGLSNLNELVIHGFFREEKTKYGLRYVMHDLLHDLALKVASHDCLSLSLPSVGSGEIQPTTRHLSISTYGLGKYDAVSDKKLKSELEELKTRFKVEDLQTLMLFGEMDEGFVKIFGDFLGEANTLRVVHLPKMLCPVESMLHNFAGLVHLRYLCLGTKKNEMLLPLSIPKFYHLRILDLKWWNGSRDLPDDMSNLAKLCHFYVPTDDQLYSDIYNVGKLKLLEELKVFQVNKRSEGFEPKQLEHLTKLRELGIYNLEKIDTAEEAAQAKLMEKNYLRRLTLEWDSELSSVEPGVEAAVLESLQPHGDLQVLCIRGHGGPSCPTWLAEEFAVEGLQSLYLDGVSWEVFPSLGMAWDLHEIRLEDIARPKDYMIEECFCRLRKLTLIGLASFENWIYPAEQESSFAGDLLPPDAHMFPLLQVLVIRKCPKLLGLPFPNHIVSPDWFPKLQELEVTGCPEFSSVILISWIESLRRVMMKNVKLLASFRYSKSSNGAQLNITGKADLHSLDQVLVFDKETRLEKLELERCPPLELKHLLMLKSLKTAEISNGL >SECCE4Rv1G0222590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:61033977:61045042:1 gene:SECCE4Rv1G0222590 transcript:SECCE4Rv1G0222590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMVLVGKCWKESKLLWHIAFPAILTSVFQFSIGFVTVGFVGHIGVVELAAVTVAQNVVEGFAYGVLVGMGCALETLCGQAVGAGQVDMLGIYIQRSWIICGITALALVPTYVFTAPILQALHQPAAISAVAGLYTRWVIPQLFAYAANFPLQKFLQAQSKVWAMTFISGVGLALHVALNYVFVTRLGHGLFGAAMIGNVTWWIIILAQFAYLVSGCFPEAWKGFSMLAFSNIAAFVKLSLASAAMLCLEVWYYTAVLILVGLLKNAQLEVDIMSVCINYQLWAVMVALGLNGAVSVRVSNELGANKPKAARFSVIMAVSTSAAIGAVFMAVFLAWRTELPRFFSNNDEVVREAAKLGYLLAATIFLNSIQPVLTGVAIGAGWQTIVAFINIGCYYLVGIPLGVLFGFKLKLGALGIWAGMSIGTLLQTAVLVIICFRTKWKKQAMLAEGRIREWGGSSETLPAATNGSIDDQMVPVSAD >SECCE3Rv1G0212820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956841469:956842055:-1 gene:SECCE3Rv1G0212820 transcript:SECCE3Rv1G0212820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKKPLLLALAVVALLLAAAVDAQQATVDGSSSAAGGGVGRTLLAIDCPKACEARCGRNWKNEMCNKMCNICCGKCSCVPSGTGQDTRNECPCYANMKNTKNGKPKCP >SECCE5Rv1G0357800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:749450591:749452161:1 gene:SECCE5Rv1G0357800 transcript:SECCE5Rv1G0357800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNLGAWLCVLLAAAAAVVPAADALGMNWGTQASHPLSPRIVVQMLRDNGIKKVKLFDADQGTLNALAGTDIEVMVAIPNNLLDLMNDKDNARDWVRHNVSRYHFDGGVNIKYVAVGNEPFLASYNGTFDKVTFPALQNIQNALNDAGFSEIKATVPLNADVYNSPANNQVPSAGRFRTDVAPLMTQMVQFLANNSAPFTVNIYPYLSLYLSDDFPVDFAFFDGQAKPLVDGVNTYTNVFDANFDTLVSALAAVGHGDLPIVIGEVGWPTDGDKHATNDLAKRFYDGLLPRLATNTGTPLRPKQYMEVYLFGLLDEDVKSVAPGAFERHWGVLRFDGQPKFPMDLTGNGQNTMLVPAKGVQYMPRTWCVYNPNAEDKSKLVENVNYACTFADCTALGFGSTCYGMDVNGNASYAFNMYFQVQNQKDEACDFQALAVPTQTDPSTAACHFPIQIAAASSTSSGHRRTRAGPLGAAALLLLLALVQLLMSH >SECCE3Rv1G0186660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:688533379:688535103:-1 gene:SECCE3Rv1G0186660 transcript:SECCE3Rv1G0186660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAPTQPPAPHPLVAPLSFLLGKWRGEGEGTFPTIAPFRYGEEILFSHHPSKPVISYTQRTWKAASGDPMHAESGYWRPRPDGSVEVVIAQSTGLTEVQTGSYDSEKKTVTLQSELIGNASKVKQITRAFQVVDGELSYVVQMATITNSLQPHLKALLKRI >SECCE1Rv1G0049420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:640677335:640678333:1 gene:SECCE1Rv1G0049420 transcript:SECCE1Rv1G0049420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVLPVAHKLSLASPFLPRHRRASRSPAQQHCRRRRHGAVVAYMEPDPNSPAAILGRIVGALPVVGLVARILSDDGGVGGDTVDFAEFRRRVSKKCTVMDSQAFYDFNDRRGKVGDPFYVLLCCWLAAIGAGLLKTEEILEGVARLRMSNDIEYEEETFLDMMKIAREKRAKSKSQAPVIPMEARAEKALEAIYVCCFGQEMVEPEDERLLCTMLNAVFPSVGRPAVERMVSTMAKQVASGERRGPDAKVVPKDVAQRQLKDLEFLKQNKLDSI >SECCE6Rv1G0439750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:799079158:799080072:1 gene:SECCE6Rv1G0439750 transcript:SECCE6Rv1G0439750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQELPLGLGEFSIAMDASSLAALWPATPLLPPDLHPYHQLQEGVEELRRMLATATAELEVVKEEVHRKEQRIAALAEITRRTAEELDLLRHQHLLLVRELAAVTASSSSDSDGSVPTFSPPTTNPPTLLEASTAIDRSTAIAIDTRNMPVPIDDNRTAAVLEQLAAKRPLPQRGRLLEAVMEAGPLLESLLVAGPVPQWRNPPPVQPVPSPIISAPSGSRAPMGWGPW >SECCE7Rv1G0500470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:667754429:667756904:-1 gene:SECCE7Rv1G0500470 transcript:SECCE7Rv1G0500470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPSKSPTGGSSSLIFLGTGCSGALPDARCLLKPSTPPCAVCSMGISLPPEGNPNYRLNTSLLIDYCHDDGTHKYILIDIGKTFREQVLRWFVHHKVPCIDSIILTHEHADAVLGLDEVWVVQPRNDRNDVEQIPIFLTQFTMDSIARRFPYLVEQKPEDGDEDAQAAKIDWKIVQDDVEKPFVASALEFVPLPVMHGEGYICLGFLFGRRARVAYLSDVSRFLPKTEHAISKSGAGQVDLLILEANSLHGVGDSFSTHLTLSESLDAIKRIHPKRALLIGMRHFFEHQRENQMLAEWSIREGIPTQLAHDGLRVFIDL >SECCE6Rv1G0400720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:457314825:457318376:1 gene:SECCE6Rv1G0400720 transcript:SECCE6Rv1G0400720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSESRELLGIDPNELRFAFELQKQISCSLHLTNRTDQYIAFKVKTTSPKKYCVRPNNGIVAPRSTFDVLITMQAQREAPPDMQCRDKFLVQSAVVSQDIAPKDISGDMFTKESGNVVDEVKLQVVYAPPSKPTSVNEGSDHESLGSWSYQETTRELAEPETIPSDPLALISKLMKEEKNFAVQENNKLREELRLLRREISRQNVGFSLLFVLVAATLGILLGFFMKR >SECCE4Rv1G0244630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:518407276:518410171:-1 gene:SECCE4Rv1G0244630 transcript:SECCE4Rv1G0244630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKENGEVRDEKGVRSDYEPSRVSVSSQGEAHGNEDNRSKRVSVVPKKLLKKDTKENSPRMAKSSTSRQVQNKVQQKAPSNTQNRSPKPRKAAVNAARAIEVRRPDTVKIPSRPPSELSEEADDIVSEAGTIDDRGNEEAKEIDVLDEAPHCDQSTGTDDEIADIEEKMVDDDKAVLHRRNEELQSKLEKMEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRLYTHASKFWSPDRRASAAKNTVSGLVLVVKSCSNDASRLTYWLSNTVVLREIISKSYGISRQSTPTMTTKNFNGSAQWFDGKSTPRSMPVPWKNNSNGKQTELAFIQIADNWQETSTLLAALERIESWIFSRIVETVWWQALTPHMQTPAEGSSTPKARKVLGPSLGDQQQGTFSVNLWKAAFHDAYSRLCPLRAGGHECGCLPVLAKLVMEQCVARLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGELSFGSGAQLKNSIGNWSRWLTDNLGIDADDPEDEGFDIGNGNDERIGAAEAKSFKLLNELSDLLMTPKDMLIEKSIRKEICPSVGLPLVTRILCNFTPDEFCPDPVPSIVLEELNSESLLERHTAKHAISAFPCIAAPIAYRAPSRLDVEEKVSVAGGSAKLDRRASMVQRRGYTSDDDLDDLDSPLASLFDKSAPPSPSNGATPFTAQQRGASMENARFELLREVWSERRGSDPVNL >SECCE5Rv1G0330410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:528869083:528872644:1 gene:SECCE5Rv1G0330410 transcript:SECCE5Rv1G0330410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVAVSYVQVCSTKPRRGSGFWTTHRTACRRLQPPPMAAVSTAQTLPFLTAPTFQSSLLFLPTARRAPTSPAYADRPALAVASCPRPGGTHRTQAARPVLPAYAAASYSAASAAEGDEGMSDPELRLVLELATDEELLELEEILYGTSYFSPLLKSIAKRPNTVSIVALDDIEERDHFISKLESRFLYLAADARSVIRGWRPSYRNVLLQVRRELGVQCSSKLCSADLEAEIFLHLLDEYSSRQKGSFSFPWDKQKSPKENPSLEVNNWKVLTDAAWRIGAKGLESTFLKGGSALTVKTISESLAKRLSGKLLMETANYEIKKELVKQGGRLAAVNLESRAGLLAARQGLARAASRYVGLRSFMSLLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQIRLTRSCYLESHEE >SECCE1Rv1G0044090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:599730523:599734863:1 gene:SECCE1Rv1G0044090 transcript:SECCE1Rv1G0044090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASLLPFVIALVAIPISLALLDRLRLGRLPPGPRPWPVLGNLRQIKPVRCRCFQEWAARYGPIISVWFGSSLTVVVSTSELAKEVLKEHDQQLADRPRNRSTQRFSRNGQDLIWADYGPHYIKVRKLCNLELFTQKRLEALRPIREDEVTAMVESVHRAAAGPGNEGKPLVVRNHLAMVSFNNITRLAFGKRFMNANGDIDEEGQEFKIIVNNGIKIGASLSVAEYIWYLRWLCPLNEELYKTHNERRDRLTKKIIEEHAQALKERGAKQHFVDALFTLREKYDLSDDTVFGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDSVVGRDRVMSETDFQNLPYLMAVVKESLRLHPPTPLMLPHKASTSVKVGGYNIPKGANVMVNVWAVARDPKVWSSPLEFRPERFLEESIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHMLHHFEWSLPEGAKPEDISMMESPGLVTFMGTPLQAVATPRLENEELYKRVPVEI >SECCE1Rv1G0022190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:281509049:281509600:1 gene:SECCE1Rv1G0022190 transcript:SECCE1Rv1G0022190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSRATSRMQSRARVDIPVFVCPRCRVSVDRRVSHTTRNQNRPFYVCSENGLTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTVVAATQAPEEEMEGKAHTDRELAVELRMLKKKVRKLEDQAQIPIPICNYFWALVGMVITLVVMLKMYGKA >SECCE5Rv1G0309200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:148653314:148659520:-1 gene:SECCE5Rv1G0309200 transcript:SECCE5Rv1G0309200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTHFTPSQAHAAAASHHPTAAAAAATATGTARLHASASASAPAAAAALCPPFLAAGSHSVACPPVQNPIFSGPAAPWAVQPQRAAAGALGPEFRRARSTKNISKRNNRGAGGQDRSARASSAAAGRCVDKLLRVAPDDRRALGASLSSFRGELVGPDDYCHVLRELGDRDKSALRALEVFHAALPLVGNGSVDKGKLLTAAIGALGKMGRPDLARRAFDAGIAGGYGNTVFAHSALISAYARSGLATEAMGVLESMKGAGLRPTTVTYNAVIDACGKGGVDLRFTLGYFRQMLRDGLCPDRKTFNSLLSACSRAGHLEDARAVFDEMIHLGIGRDIYTYNTFIDAICKCGNIELAMQVLLDMEAQNMKPNVVTYSTLIDGYSKLEKYDEALKLYEKMKSLGIRLDRVCYNTVLAIYVKTGKYAEIAIVCDEMEDSGIEKDTVTYNSLINGYGKQGRLDIVSFLVQDMRRRGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKADVVLFSSFIDTLAKNGLVEWALSLLNDMTEMGIKPNVVTYNTIIDAFGKSKVHAEEDPEVGDMGIVGVYNGQIIRAANPVTRGRSAIDVRMRRSQELYFILELFQKMVQQGVRPNVVTFSAILNACSRCNNFEDAALLLEQLRLFDNFVYGVAYGLLMGYQEIWSQAQSLFNQLGRMDSPTSSAFYNALTDMLWHFGQRQGAQLIVLEGVNRRVWENTWSEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSKIAGASTLRHVIEALLNSIGAPFQVERFNIGRFVSPSVVVAAWLRESGTINTILLSDERAQHATPSNLVPRLEALQL >SECCE1Rv1G0041060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568405032:568410162:1 gene:SECCE1Rv1G0041060 transcript:SECCE1Rv1G0041060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRVQAVATVLALLGATGVAHSFEFHEATVDAIQLGFRNGSLTSAALVRFYLGQIRRVNPLLNAVIEVNPDALRQAARADAERRRGTATGALHGVPVLLKDNIATRDALNTTAGSLALLGSVVKRDAGVAARLRRAGAVVLGKASLSEWANFRNVRNGWSARGGQTRNPYVLSSDPCGSSSGSGVAAAANMAAVTLGTETDGSILCPSSFNSVVGIKPTVGLTSRAGVVPITPRQDSVGPMCRTVSDAVHVLDAIVGYDKFDAAATRAASRYIPHGGYLQFLKKDGLRGKRIGVPNELFLFQGFEEKQMRVYKQHLATMRKHEAMVIENLDIATDSQDIVSNEWTAMLTEFKLSINEYLADLSYSPVHSLADIIAFNKAHPIEERLKDFGQQNLILAQNTNGIGHIERARIRLLKELSANGLEKLIKEHQLDAIVAPEHYASNHLAIGGHPGIVVPAGYNEKGVPFGICFGGLQGYEPRLIEMAYAFEQATKVRRPPMFKP >SECCE4Rv1G0290360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:872387332:872393167:1 gene:SECCE4Rv1G0290360 transcript:SECCE4Rv1G0290360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEPDTTTKMSMIMIQAKNIDAAAGDIIGILEDTRRKGSAIFVRGWHGFGASAALKAVAQRLKSSKSKFDRVVHVDCSLWKSMRALQKAVAEELELPPSMMAIFDQQDEEDDFNGIDEGSRRMIADIRTEIFRKLASSRFVVIFHNGSGKYIDLYECGVPAISFFSIKVLWTWHGRFQFKNIDYWEQEKMELHTDVVVNYSHDDCIRDEALLEEAKEIVSYMGIPKPYMNHMIVDKCFQYACALGSFSWFSWGNWVKHFFNYFVCDGIIQGQGDMSAWRVANALQRNMCMDSHLGHTDADVRRHLQCRLRDRLVLVEHNGLLPDDIGMLLSEATSFFVLTYWRMSTLLDGIFQHSHSSKLRVLHLSQCNFSFESPPFLCCSQLRFLQLYSCTDITSDKHPCHNADMPCFQKLWVLHLYRTNWYQLLSEEMMNFMAELRELNVEEVKHWNISDLRCRGPSLVKVHIQEDYFTFRLCGELPDLSSARFLKTVILVDCIKLEQVVPGVLPPSLESFTFKTWFDRVMISSISFRGCSQLKGIILRRNLLRLQELDLSGTAVKTLDLRKAIAPKLKRVILLGCEKLCAILWPAKDERTKDLEVLQINTIQSTSPGQADWEEKPRDPIAAMGSSSIHVATATELGISAHASFDFKWYISPRDTRILRSLEPIKKIEHSHVYMEMGSCPTSIATVGDSEAAQGIRSLRKPDNYLYASDAFFQSDLQAGTDNEDAISWMWDCTAIPTPTAQDLYRGLLQQQQSNIDGINISADSPSCQVFNNARMLHVHDSSSITCITCPQGSYWRSLEWCRVERCPELRTVFRTAEQSEGDSFCHQLSTFWASQLLKARYIWYWSAMRVFSCINIVLLHLDYCPRLIHAIPLSESDRPCLEIVCCGDLREVFALDPKQKEQKVVQFPKLRRIHLYELPSLRRICRSKMSAPNLETIKIRGCWSLRFLPAVSGNNEKLPSVECEKEWWDNLEWDGVEANHHSSLYEHSHSSYYKAQLPRGTVLR >SECCE6Rv1G0429970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739003921:739007982:-1 gene:SECCE6Rv1G0429970 transcript:SECCE6Rv1G0429970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRSVAVAVTDPGAGDDTDRARLHQMGYKQELKRGLSVVSNFAFSFSIISVLTGVTSTYNTGLRYGGPASMTLGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKKWASLASWVTGWFNIVGQWATATSVDFSLAQLIQVIILLSTGGTNGGGYVASKYVVLAIYGFILILHGLINSLPIHCLSWFGQLGAFWNAAGVLVLVILIPSVAKERSSAEFIFTHLNTDNGMGIHNKAYILGVGLLMSNYCMIGYDTSAHMTEETKNADRSGPIGIISSVVLSNIFGWVYLVTLTSAVTDIPYLLSADNDAGGYAIAQALYTIFNQRYGSGVGGLVCLGVIAVAMFLCGVACITSNSRMGYAFSRDGAMPYSHLWHRVSKHEVPLNVVWLSVLVAFAMALTSLGSQVAFQAMVSIATLGLYISYALPIFFRVTTARKSFVRGPFHLGRYGVIIGWAAVLWVAFITVLFSLPVAYPVGKDVFNYTPVAVGGVLLLSVGSWVFHARFWFKGPIRNVDTY >SECCE7Rv1G0485200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:364641221:364642783:-1 gene:SECCE7Rv1G0485200 transcript:SECCE7Rv1G0485200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLSPRHRSIPLLLPGLDPAAVVHRRYSCGSSATAAARAMSSSSSSSASSGPTPYTTLVGRVRCEREIKRSKFIAVAASVPDERAAMSFLNEVKDPRATHNCWAYKVGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASESLKDAPTCLVKPKARVGMEVPFDLLGTVYNQLQHFHAEDIKQDYDTGKDGTVVVMFKVEYEKIESLGSAVNSACSRKIELLQ >SECCE1Rv1G0006940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:37069499:37070041:1 gene:SECCE1Rv1G0006940 transcript:SECCE1Rv1G0006940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRSLAIVLIVLATVSFGFPATDADATLLDKTCKKTTNALLCLAVLRVDPNSEYATTDHDLANIALQIASDTADHNAEVIHNLAKDVQGTPEGGAFNICLGAYVDAANDLGIDARPGFDGGDYVGARDLVLGAKGAGGRCEDAFKGINKKSPVTNINQQMTERCGVAGELIGLLTHK >SECCE5Rv1G0329580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:518698478:518699317:-1 gene:SECCE5Rv1G0329580 transcript:SECCE5Rv1G0329580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTVEKPDGVEIREVWAENLEAEFAVIREIVDDYPYVAMDTEFPGVVCRPLGTFKSNADFNYATLKANVDMLKLIQLGLTFSDERGGLPALGPDGRPCVWQFNFRGFDPRTDVAAADSIDLLRRSGIDFARHAAEGADSRRFAELLMSSGVVLNAEIHWVTFHSGYDFGYLLKLLTGSNLPDTSSGFFDLIKIYFPVIYDIKHLMRFCNSLHGGLNKLAELLDVERVGICHQAGSDSLLTALSFNKLKESYFGGLTEKYAGVLYGLGTEGGETTSVH >SECCE5Rv1G0350900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:694368360:694369508:-1 gene:SECCE5Rv1G0350900 transcript:SECCE5Rv1G0350900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSRRDAFKFRSMCPGWHAALPFTKYFAPMLMMLPFCPNSPDIAVTFFTAADGGETTFTRNLPLLRGKKLCSSSRGWLALVDEAGCVTLLNPLTGATVELPPADDRVLAASYRHYTFPDGNWVILPAVRGQHEWVRLEEMKKREIFREIVLSSSSLASGDCVAMAALAESSTVAFCRVGVDVAWTLLDTNVPKSCVTSVVHFGGSRFLAIFNGHSGRFVSPEVAVVGAISICDVAGAAPTATWIRSLHAAPKKKSAWACKYMQVNGELYLVASKLPGCTNLCRVYKSNIFSRRPKWIRVKNAPGVTLFVSTNFTMGDSEGAASISGFKENSIYCMDYNVYRNQLELKIIGIANGTCEFQPFHGNIQDSAGTLCWIQPNHWN >SECCE1Rv1G0015490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:125159989:125164177:-1 gene:SECCE1Rv1G0015490 transcript:SECCE1Rv1G0015490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQNSEYKNLFNHENIYLSEHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYVLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLANPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLAGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFVEWGPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESREIIEGLVDEYKACESPDYIKWGMEELGDANVAAALESKLVV >SECCE3Rv1G0167800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:208454124:208456553:-1 gene:SECCE3Rv1G0167800 transcript:SECCE3Rv1G0167800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETCVHHHHWSGWRIGGGSQFVPLKAMHTNGGGAARSLSIDLSPAKWAPLVFLFLLLTDGALFAASATTDTDTILPGEGISGNETLVSKSGGFELGFFSPGPGIHYFLGVRFRNMGHSPTFWLGDRVVITDLPAASLEVFGDSLYIKQNGASLWRTPPPGGNVSSAAVAVLLDNGNLVVRDQGNSSMVLWQSFDYPSDALLPGARLGLDKDTGKNVSLTFKSFSHNGSLSVDATRRNGFVLTTDGHANRGTFPDWMVSSQDNGSSLLLSHRDGPNSTQFLQFHLGQVSLMRYSEPDPAANGTGGWVARWSFPSDCKSGGFFCGDFGACTGSGKCRCVDGFTPSYPIEWGLGYFVNGCSRSIPLSCETEHDDSFAPLDKLQGLPYNTQDEVAGTDEDCRAACRSKCYCVAYSYGHGCRLWYHNLYNLSLAARPPYTKIYLRLGSEPKNKKGLQTRGIMVLVAGLICFASLVLIMVLLWRLRRNSFAAGKFEVEGPLAVYSYAQIKKATMNFSDKIGQGGFGSVFRGTLPGSTDIAVKNLKVLGEGEKQFRTEVQTLGMIQHNKLVRLLGFCVKEDKRLLVYEYMPNGSLDAHLFRENSGVLSWNVRYQIALGIAKGLAYLHEECEDCIIHCDIKPENILLDAEFCPKIADFGMAKLLGREFNSALTTMRGTRGYLAPEWLTGLPITRKADVYSFGIVLFEIISGRRSTNMMQFGRHRYFPLHAAARVNQGEVLCLLDARLEGDANVEELEVICRVACWCIQDQEKDRPSMGQAVRMLEGVVDIDMPPIPTSLQDLMEGDESDTMYSGS >SECCE2Rv1G0132740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:893883837:893886543:1 gene:SECCE2Rv1G0132740 transcript:SECCE2Rv1G0132740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAVLVPWLAWLAVSFLSVYLHSLLSRARSGLPPGPRPLPLIGSLHLLGDKPHRSLARLAKTHGPLMSLRLGAVTTVVVSSPAMAREFLQRHDSAFAARSVPDATGEHAAGSVAWLPPTPRWRALRKMMATELFAPHRLDALRHLRSDKVRELVDHVARLAREGAPVNVGRVAFTTSLNLLSRTIFSADLTSLDDHGRSEEFQQVVTAIMQAAGSPNVSDFFPLLAPADLQGTRRRQARLLARLHAVFDAEVDQRLRGRDAGQPRKNDFLDVLLDVAAREDGKDLLDRRTLRSLFTDLFSAGSDTSSSTVEWAMTELLQNPSSMSSACNELAEVIGSKRNIEEDDIVRLPYLQAIIKETFRLHPPGPLLLPRKPERTLEIAGYTIPKDSRVFINVWAIGRDKDVWMEPEKFMPERFLGSTIDFRGTDFELLPFGAGRRICPGMQLAIRMVHLVLASLLNKFKWSLPIELETDGIDMEEKFGLSLTKAVPLCILPTPV >SECCE5Rv1G0322270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:418274301:418296052:1 gene:SECCE5Rv1G0322270 transcript:SECCE5Rv1G0322270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGGNCRLGAVLFFSAWITLAALNRLVRPAPNGCHMTYMYPTYIPIHAPNNVSSDRYGLFLYHEGWKEIDFDERVRKLDGVPVLFIPGNGGSYKQVRSFAAESSRAYQNGPLEPSFYRDASSAFELEDSSLPSQYGRILDWFTVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHLARSKGGVRSTGNLPSSVMLVGHSMGGFVARAALVHPGLRKSAVETILTLSSPHQYPPVALQPSLGHFFSHVNEEWRNGYKKGVSHTSSRKLSNVVVVSISGGIHDYQIRSRLAALDGIVPSTHGFMVGSSSVKNVWLSMEHQSILWCNQLAVQVAHTLLSMIDPVDRQPFLSSQKRVFVFAKMLQSVVPQSLSWMNHVSGSQSSNFLPSDTREAGELQRNDTLFCPPSVLWTSDGLEKDLHIQSNLVTVLAMDGRRRWLDIKKLGSNGRGHFVFVTNLAPCSGVRIHLWPEKHRSSIENEVPASKRILEVTSKMVQIPAGPAPKQVEPGSQTEQPPPSAFLLLSPEDMSGYNFMTISVASRQTISGRPPPAASMAVGQFFNPVEGTSALSVGRIVHSSYLPEEIFLKEDHPMALTLSFSVSLGLLPVLFSLRTTGCGIKNIGDQIEADKNNLCKLRCFPPVALAWDSVSGIHIIPNIYSETVVVDSSPATWDSHHGAEKTTVLVLADPHCSYKVSLRASLGAATSRFFLLYSSEILGFMIAIILFGLMRQSSAWERDSSVPSILSAIETNLKPKPLMFLCFTPILLFLAFLFVTTQQNPRFGTFLFVTVVCYIVANGFTILVTLSSKLILYVAAILHVFAKRRWQSWEDGTHSPFVRQFLAFSFSFQSLKIVQMIKNNTNIAVAFATITLVCFVHPAIGLGLLLLSHSFHAHSALCSFLGASFRNIAQKKDLYKPKMDNNPILQSKSKPDGLEQLLPMDDSPTAAKSFTDSQLEVFDCRHGILILHLLATLMFVPSLIAWIQRIGVGQRFPWFVDSALCVGVILHGLLGSQPTASFISFKLPGRRGHEVGMSFVYLIGGCYSFISSMALAPYRALYAMAIIGFICFASRILETRGKVRGDNSSRKRHWHRH >SECCE4Rv1G0264980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724147285:724148690:1 gene:SECCE4Rv1G0264980 transcript:SECCE4Rv1G0264980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLERFDLRIASRELVRASNPPPGFPAVHAVSNLDLVLGPLPIYLVSIYPPPPCGLGPVLAAVRAALPAYLSRFFPFAGRVVRHPDTNVPEVACSNAGVELVVAEAAGVPLGAVDFARFDGSLGMIQIPFDAGLALSLQVVRFACGGYSLTVATNHLLADGRALIVLLNSLAEMVRTGGGVLSRGPLLDRSFLLVPRSPPRYSSFTPETMINPLLAAAMERRVYRIDAADLVGLQKAASPGGGRRTSRFVALCAHVWKLLARAVGDSDPNCRMAWILEGRKCIEPSEGALDMYMGNVVTYTSREASVAELLRAPLHEVAAAAGAAMASVMTRDRFQELVDWVEVNKTAYKDGGKWTEAVNLGLGSPALVISGMLPFAIDGDLGFGKPRMVSPWLRHGRLGSASLMAVPCPSGDGSWFIGGTRLWPRLVEVIEAGPESLLKPLTAASLGFEAPHGSRL >SECCEUnv1G0560880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:350107880:350109061:-1 gene:SECCEUnv1G0560880 transcript:SECCEUnv1G0560880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLTKKDLMIVNMGPQHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNAPEFLENIQIPQRASYIRVIMLELSRIASHLLWLGPFMADLGAQTPFFYIFRERELIYDLFEAATGMRMMHNYFRIGGVAADLPYGWIDKCLDFCDYFLRGVVEYQQLITQNPIFLERVEGVGFISGEEAVNWGLSGPMLRASGIQWDLRKVDPYESYNQFDWKVQWQKEGDSLARYLVRVGEMSESIKIIQQAIEKIPGGPYENLEVRRFKKEKNSEWNDFEYKFLGKKPSPNFELSRQELYVRVEAPKGELGIYLVGDDSLFPWRWKIRPPGFINLQILPQLVKKMKLADIMTILGSIDIIMGEVDR >SECCE5Rv1G0364590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802218999:802219853:1 gene:SECCE5Rv1G0364590 transcript:SECCE5Rv1G0364590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPVKPAKNVDKPLFTETFNVQASSADYDTFINGIRNKLGNPGHFSHNRPVLPPVEPNVAPSRWFHIVLKTSPASTGLTLATRADNLYWEGFKSSDGIWWELTPGLIPGATYLGFGGTYRDLLGDTDKLTNIALGRQQMADAVTALYGRTKADKTSGPKQQQAREAVTTLLLMVHEATRFQSMSAFVAVLLHPKAVEKKSGKINDEMKAQVNGWQELSEALLKTDVKPPPGKPPAKFTPIEKMGVRTAEQAAATLGILLFVEVPGGLTVAQGLQLFRASGGK >SECCE2Rv1G0110270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:688524020:688527637:1 gene:SECCE2Rv1G0110270 transcript:SECCE2Rv1G0110270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATASEMFLVCFESCCPCFRSKRKDGSEDPVLAKDGNSLSSSEMRSISDRIPSSPLRVPASPSRFSLSSPPSRNDPLNLSLETVIKLTRNFSPALMISESYFGKAYRMDLQNGLVVAIKRARKEHFASLHAEFKNEIALLKKIEHRNLVQLLGYIDKGNERIVITEFVSNGTLREHLDGQHGLILGFSQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTEGFRAKVADFGFARIGSTDPGQSEIQTDVKGTAGYVDPEYLRTNYLTVKSDVFSYGILLLEILSGRRPIEVNRGGREKITVRWAFERYNKGDVQEILDPMLTESVNEDILNKIFDVMFQCVAPTRADRPHMKEVVEKLWKIRRDYAKTQNRAEGSL >SECCE7Rv1G0474460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:172234234:172236193:1 gene:SECCE7Rv1G0474460 transcript:SECCE7Rv1G0474460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRAASDTDVSVHSTFASRYVRSSLPRYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAEGKPFDKPNIITGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEQAVEMVDENTICVAAILGSTLNGEFEDVKRINDLLEEKNKQTGWETAIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYPGIGWCVWRTKEDLPDELIFHINYLGSDQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCQENAMVVKEGLEKTGRFNIVSKDEGVPLVAFSLKDHSRHDEFEISDMLRRFGWIVPAYTMPADAQHVTVLRVVIREEFSRTLAERLVLDIDTVMAQLDALPSKLAPPALLPATLPKAVVVANGHVKKTELETQRSVTEAWKKFVLAKKTNGVC >SECCEUnv1G0529870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9606628:9607170:1 gene:SECCEUnv1G0529870 transcript:SECCEUnv1G0529870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTPTSSMAMVLVVLALVSYGLPSAHADVAFISNTCKKIKNPALCQDVLSYNEDSTHASTVHELASIALEIATSIAKFNSMNFGVGARYNQGTPVGDALDVCLQAYGDAIDNLEVLAKHSLHVGDYADALRKVLDAKAAGDVCDNALKRIKKDFAVESDRKMTERCGVTAELIGLLIHK >SECCE7Rv1G0506830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:753996621:754005191:-1 gene:SECCE7Rv1G0506830 transcript:SECCE7Rv1G0506830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELPANSPAMSTAGGADGAPERRLVFAFYLTGHGFGHATRAIEVVRHLIAAGHEVHVSTAVPEFVFTAELCSPGLHVRKVLLDCGAVQSDPLTVDPLASLEKYHQTAVVPRELILRTEAEWLLSVKADLVVSDVVPVVCRAAADAGIRSVCIGNFSWDFIYAEYIMAAGYHHRSIVWQIAEDYSNCETLLRLPGYCPMPAFRDVTDVPLVVRSLRKSRSEVRKDLGIAESTKVVIFNFGGQPAGWKLKQEWLPDGWICLVCGASDSQEVPANFIKLEKDTYTPDVMAASDCMLGKIGYGTASEALAYKLPFVFVRRDYFNEEPFLRNLLEHHQSSIEMIRRDFLAGHWKPYLLRALTLQPSYDGPTNGGQVAARILRDIAVGKKCASDKFNGARRLQDAIVLGYQLQRTPGKDVGIPDWYSEIGAHPIIENTKKIETAELCCEDFEILHGDLQGLTDTVAFLKSLSGLTENELKNSEVQSRERTAASVLFDWEREIYVARAPGRLDVMGGIADYSGSLVLQMPLREACHVAIQRNHPSKQKLWKHVQARQLENAGVVPVVQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYEKAREFFCQDPSQKWAAYVSGTILILMTELGVQFTDSMSILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNITPRDLALLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVMIPSHIRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLVSVSSTSDAPAQSDDYTEKGRDVKKSEASMEYLCKLPPHRYEAAYSKDIPETITGDAFLEKYGDHDDMVTVIDPKRSYSVKAPTRHPIYENFRVETFKALLTAVNTDEQLAALGELMYQCHYSYNACGLGSDGTDRLVNLVQEMQHRKTPENGGPNLYGAKITGGGSGGSVCVIGKNCLQSAEEVAEIQQRYKAATGYLPIVFDGSSPGAGKFGYLKIRRWQPSLTIPE >SECCE2Rv1G0102450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:577577135:577578400:1 gene:SECCE2Rv1G0102450 transcript:SECCE2Rv1G0102450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKLLPPGPGGRTGAARATVRGRVDHVVSLTSTTYGVLDLQIKHGATAGPKELPLPQEQEKPISREWKRASSAKRLTPLVVPDAKKPAPAPKPESGMEVINAWEIMAGLEDADSPAKKPAKPGRWSPARVLAMALSSPKRSSAKRRNTPGKENSPLQRCSGASKPSDVADEDRVLRPYNSIDNSKLSKASKRFSPGSARVARKPSQAENGGMSSSRRSLSPLFDPELLASIERELSEEGAHIKRVIGSEKPKQPKVIPAIVAEGKCPPGGADAVVLYTTTLRGIRKTFEECNAVRAAIEAHDVKVIERDVSMDSGYREELRLLLGGRELRVPAVFVRGKHVGGAAEVTRMEEEGKLKALLQGLPRARVWCAGCAGVRFVMCRDCNGSRKVRVDGERKETVQCGECNENGLVRCPICS >SECCE2Rv1G0070080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:33088957:33090432:1 gene:SECCE2Rv1G0070080 transcript:SECCE2Rv1G0070080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGRKWHGKGVVTRALVLGVAALALRLLYGAFVTVGGGWAPYPAVPSTAVVGRRTHAQAAAAAGSPEAWRSHEWREAVEFHAGVLGRHLADGLLAPSSRAVCLGGAQEALALRELGVAGAVAVARKRSPPLAVAGDDRRLPFDSSSVDFVFAGRALDSSKRPADLAGEATRILKPEGHLAVLTSSAGDAYSLRSLQALFPSLRLARSREINCPDASTLRELVFQKLQPTTASTSTDGSASNCTIGDHKIQLLTHAEPLIQEEPLKPWLTLKRNIQNIKYLPELADISFKRRYVYVDLGSRSYGSSIGGWFRKQYPKQNHTFEVFAIEADPTFHPDYATRKGVTLLPYAAWVKNDTLSFEINGDPGKEDEAKASGRGMGRIRPTAGKKMSGKVRRVQAFDFAEWLKQTVSEQDYVVMKMDVEGTEFDLIPRLFDTGAICLVDEVFLECHYNRWQRCCPGERSPKYQNTYEECLELFSSLRESGVLVHQWF >SECCE5Rv1G0327790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:496862896:496864435:1 gene:SECCE5Rv1G0327790 transcript:SECCE5Rv1G0327790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLVFSLLALFCLHGASADRVDFTSLFALGDSNIDTGNLLLLATPNVPVVNNKPPYGKTFFGHPSGRFSDGRVTIDFIAEEFGLPLLRPSLQNNPDVSKGVNFAVGGATSLNADFFERNKYVNFKLLNSSLNVQLDWFEKLKPSFCKTAGPSECFNKTLFVVGEFGVNDYNLAWVAGKSEAEVRSYVPQVVQNIANAADVLIKGGATYVVLPGIPPIGCSPSLLATRVSLNQAKEFDELGCLSDANRVAKYHNTELRDAIGGLRGKYAHAKVIKADFYSPIIDILQNPGKFGVAGGDVLRACCGGGGKYNWNISAVCSQPGVAACKDPSAFVSWDGTHFTEATYRYVAKGWLSGPYSDPPILNANN >SECCE4Rv1G0229120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:142883450:142885459:1 gene:SECCE4Rv1G0229120 transcript:SECCE4Rv1G0229120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26782, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G26782) UniProtKB/Swiss-Prot;Acc:Q9LW32] MAAARVQPPLDPRAASPSMAVPTSNTRSLQDTPIHTSTPSVRALFLRAVDPSRPASWSAAVADLLASGDAVAALATFAAALRANPAALLPALPPAFRAAAAATSLAAGRQLHLIALRSGLFPSDPFSASALLHMYHHCCRPLDARKAFDEIPSPNPVIITAMCSGYLRNNLVYPSLALFRDLLASGSAMAVDEAAALVAFSSSARVPARGITASLHALIVKIGLDVDAGVVNTMLDAYAKGGGRDLPAARKVFDTMEKDVVSWNTMIALYAQNGLSAEALGLYGKMLNVGGGIRCNAVTFSAVLLACAHAGTIQTGKRIHNQVVRMGLEENTYVGTSVVDMYSKCGRVEMARKAFSKIKAKNVLSWSAMITGYGMHGHGQDALDVFNEMCRSGQNPNYITFISVLAACSHAGLLDMGRYWYKTMKNKFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKVKPDAAIWGALLSACRVHKNVELAEISAKRLFELDATNSGYYVLLSNVYAEAGMWKDVEKMRVLVKTRGIEKPPGYSSVELKGKTHVFYVGDTSHPQHKEIYSYLGKLLEIAQKAGYVPNTGSVHHDLDEEEKESALRIHSEKLAVAFALMNSVPGSVIHVIKNLRVCTDCHAVIKFISKSAEREIIVRDLQRFHHFKDGSCSCGDYW >SECCEUnv1G0532330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19956501:19957049:-1 gene:SECCEUnv1G0532330 transcript:SECCEUnv1G0532330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGLLRALVFGVFGAAGAVVGAAYGLLSGFVDEEDGFAQGTLLGAVAGALVSLDLLHSLLTIWCRRHGSSSSSCTGRIKRTVAAVAGLTALADPHYCGRRGDRALDRPARSSSSGFFPPVAEFEAGGEREGRRLPACSHVFHLECIRSWLLRKPHCPMCRHAVHDR >SECCE7Rv1G0458720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22506046:22508014:1 gene:SECCE7Rv1G0458720 transcript:SECCE7Rv1G0458720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCTCIEQFRRTDELLIKYQYISDFFIAIAYFSIPLELIYFAKKSSSFPYRWVLLQFSAFIVLCGATHLINLWTFTMHTKTVDIVMTVTKVITAVVSCATALTLIHIIPDLLGVKTRELFLKKKADELDREMGLIRTQEETGRHVRMLIQEIRSTLDRHTILKTTLVELGRTLGLEECAFWMPSSSGSSLELTHTMRQQIPVGSSMEINLPVVNQVFGTNRAIIVPHTSPLARIHPVQGRHVPPEVAAVRVPLLHLSNFHPELLAKSYAIMVLMLPSDSARKWLAHELELIEVVANQVAVALSHAAILEESMRAHDLLTEKNVALDLAVREAEMEIHDCNVNRVGVELARPEDAENLATINSSASAAKVHNAKP >SECCE5Rv1G0363510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:793598421:793601444:-1 gene:SECCE5Rv1G0363510 transcript:SECCE5Rv1G0363510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP22 [Source:Projected from Arabidopsis thaliana (AT2G38730) UniProtKB/Swiss-Prot;Acc:Q9ZVJ4] MASAISAGPTPPAAAPASVEWHQRPPNPKNPVVFFDVTIGSIPAGRIKMELFADLVPKTAENFRQFCTGEYRKSAIPQGYKGCQFHRVIKDFMIQGGDFVKGDGSGCISIYGTKFDDENFIAKHTGPGLLSMANSGANSNGSQFFLTCAKCEWLDNKHVVFGRVLGDGLLVLRKIENVATGPNNRPKLACVISECGEM >SECCE5Rv1G0370570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:842740933:842742152:1 gene:SECCE5Rv1G0370570 transcript:SECCE5Rv1G0370570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLHVYNQTPRKEMFSKWKERFVISDDLVIKQASTSNVVSFLRGLGADFGIRDGYEEVDVDVGWAEVVSLLKTCISSTTIFTDVFLPKGNVRLALAKNSLITIQKPSYQETGVPTVSESHPEVFIKLFYDSKDRRVMYAECKHEFVDLLLSFLTYPMGCILRNLAGTSHLCGSFNNLYSSAADLDAAGFLTGPCFGDIKALLDPSLALFKIGCSCGNNNKRPPCHSCEFARDHTYVVDDDLRIYQSSAVSVLKHWYKRDLMEMDIAISDQEASLDII >SECCE6Rv1G0398070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:412349165:412359767:1 gene:SECCE6Rv1G0398070 transcript:SECCE6Rv1G0398070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTVSPPAAAAAAPATDDPSSSHSDPASATFSVERRGDASASCRWTLPDFPRTRARTFYSRYFEVGGFDCRLLLYPRGDTQSLPGYLSLYLQVLDPKTPSSSSSSTTTTTSSKWDCFLSYRLSVVHPSDNSKSLARDSWHRFSSKKRSHGWCDFAPSAAASFLLPPHDSLVIAADISVLSETASFSEADGRFTWKVFNFSLFREMIRTQKIMSPAFFPAAAAAGGSDCGLRISVYQSNVSGAEHLSVCLEGKEPVVQATSASSASALASTSAGSGVPDADRGCWCLFRVSILNQKPGGSHIHRDSYGRFGADSASLGWGDYLKMDEFLAADGGYLFDGAVVFNASVHVIKESNSFTRSLPPVAGISGAGGGRSGTRKSDGHFGKFVWRIENFTRLKELLKKRKITGLCIKSRRFQVGNRDCRLIVYPRGQSQPPCHLSVFLEVTDPRNTTSEWTCFVSHRLSVINQKGEEKSIMKESQNRYSKSAKDWGWREFLTLTSLFDQDAGFLVQDTVVFSAEVLILKETATMQELSDEDPEICSSSGYQIDTLPKHPSFTWKVENFLSFKEIMETRKIFSKYFQAGGCELRIGVYESFDTMCIYLESDQSSGVDPDKNFWVHYKMAILNQKNSLKTVCKESSICTKTWNNSVLQFMKVSDIVDPEAGFLVRDTVVFVCEIIDCCPWFDFSDLEVFASDDDQDELSTDPDELIESEDSDDVSGNEEDMFRNFLSRAGFSLTYGDNYTQPQVTLREKILTDASAIAGFLTGLRVYLDNPAKVKRMLLPAKVSTKGGGKKDSSKCDSSSTSLINLLMGVSVLKQAIIDLLLDIMVECCQPSDERSSYGSSSASSKTAPDSNGASSPSELIVEDEQTECACRNQYETAESDSVNFRRNLGLENAELSANEMPVKILEQSSCPPETPAIDLPGDESSDQASGTKWPDQSEELLGLIVNSLKALDCAVPHGCAEPRKRPKSVQKIALVLEKAPKKLQPDFIALVPKLVDGSEHSLAACALLDHLEKADAEPSLRLPVFGALSELEFDADVWKRVSCHALELLSDSNDEPLVAAISYVLKAASQCQHIPQAVRAVRWRLKRLGTEVPPCVLEFLSKTVHNWPDVAEALLKDIDSDPEPDNSCLFTPSSTSSKDGLSAEGMPSWQEQAVHGSNHLSDVFVLIEMLSVPGLFVEVARVFERALLQGAFGLQLVAMVLERRHSHKLSSKSGAAVYDLQSKQVLLDGQFEPSPIQEGDFTSVLALGEVLSLSTSARVQDFVRMLYAIMFKIYAEDHYRCRFLKGLVDRATNTSDNCREVDIDMDVLVFLVKEEFGIARPVLNMMREAAEVAQADRANLWHQICATEDENIRLREEMDMEQTKFTNEKAILAQRLTESEATTGHLRSELKAEKDRYIREKKELSRQMREIENQMEWVRSEKDEQIAKLSADRKNLHDRVSEAETQLSQFKARKREEIKKVTTEKNTLAERLKNAEASRKRFDDELKRHAAETQAREEIRKSLEAEVRRLTHKVGQTEGEKKEKEDQISRCEAYIDGMESKLQVCQQYIRTLETSLQEEMARHAPLYGVGVEALSLEELETLANIHEQSLRQIHTIRQRKGSSHLLSVPGLFPSSSMAVGPPSSLIHTSSIAPNGVGTHGNGHMNNAVDRWFNQT >SECCE6Rv1G0382360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:41871427:41873060:1 gene:SECCE6Rv1G0382360 transcript:SECCE6Rv1G0382360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLAMDAATGMDSGGVLLLLFVLLTGVVATFVRSCRSSGHGETAPSPPSLPLLGHLHLIKKPLHRSLAKLAASAHGGALRPLVSLRLGARPALLVSTHAAAEECFTAHDAALAGRPRLLVGKHLGYNYTALTWASHSAHSGVLRRFLAGKLFSAPRLDERTADRRAEVTSLVDNLLQDAAAAGGGGAAAVTLRPRLFELVLNVMLRAVTAHGHSGDLGRFQEFVEESFKVVGAPSVGDFFPALRWVDRLRGIDAAHARLQARRDAFVGGLVDDHRRRRSKAGGGHTTSVIDELLALQEADPEYYTDNVLKGIVLVLFSTGTDTTALTIEWTMALLLTHREALQRARDEIDANVGTGRLVEEPDMANLPYLQCVVKESLRLCPVGPLIPAHEATEDCTVGGFRVRRGTMILVNSWAINRDADLWDSPTVFMPERFLDTAKPAPMMPFGLGRRRCPAEGLAMRLLGLSLAALVQCFEWDVGEGRAIDMAEGAGLSMPMATPLAVVCRPREFVKDLLPSST >SECCE1Rv1G0060930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712734246:712735236:-1 gene:SECCE1Rv1G0060930 transcript:SECCE1Rv1G0060930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDWGLGAVVRSCGGGGGGPGYEAESPRREVVPVREDLAELMGRPARAASTPSSLYDMLGYLDLEHEELQQRAPFSITPSSGRERAADQHEVLISFPAATASTSGQALPARKQAGRKPGGAGALRRPKRGKSKKSQMKKVVREVPVAEGGVNGPDDQWAWRKYGQKPIKGSPYPRGYYKCSSLKACTARKLVERSPANPGVLVITYIADHCHAVPTTISALAGTTRYPPQSPVSDDTALTRGDDSADVSSSAAGADDESELWSPVDMDDFFASFDDDFDHFFQDHDALGRRVSL >SECCE2Rv1G0081210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:137070321:137070605:-1 gene:SECCE2Rv1G0081210 transcript:SECCE2Rv1G0081210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEILQFVMAVDCYPNVCVAYRILLTVPVTVASAERSFSKLKLLKNYLRSTMLQDRLNGLAMCCIEKGILDNVDLDCALNDFASRNARRSFF >SECCE5Rv1G0326930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:487603154:487603842:-1 gene:SECCE5Rv1G0326930 transcript:SECCE5Rv1G0326930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVISCSLLSGRPAAAPSRSSGNRFPLTTGKPAAVALPCFQRQSRPSSVCCASNPKGDQHVPKTDLPPFGISPVALLQAGSPQGERWGIQERAETVSMWFEVPGLSKEDLVVELDEDVLVIRKMKTTAEIEAAAAEAVASGSSKDAAAHDGDMYARLLVPAGYNKETIKAELASGVLKVHIRKVMECARRRISVNIDVK >SECCEUnv1G0535570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:59135174:59136352:1 gene:SECCEUnv1G0535570 transcript:SECCEUnv1G0535570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSEATGSFTDANLDFQRKILERSGLGEDTYLPPAVLRVPPNPCMEEARKEASTVMFGAIDQLLEKTGVRPKDIGILVVNCSLFNPTPSLSAMVVNHYRLRGNVVSYNLGGMGCSAGLLSIDLAKDLLQVHPGSYALVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNKRSDRRRSKYELVHTVRTHKGADDKCFGCVTQQEDEAGKVGVSLSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKKAFKAKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLGLTEWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRVGRRDRVWQIAFGSGFKCNSAVWRALRAVAPEAETGNPWADEIDRFPVEVPRVSKVGSA >SECCE2Rv1G0125690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:842633595:842634164:-1 gene:SECCE2Rv1G0125690 transcript:SECCE2Rv1G0125690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCYVGKATKIFLCLVTALLLAGLVLGFGLAHRTLWGGQKAEPACRWPRCQQQQPPPQPLYGGDPLPVVGTTTTAPPSNPLTEPAVAVFPGVASSAAVPPATASVPNFAPPRSFPGVASSTAVPPPVTSAPYLGPPSPFPGAASSTAVPPAPNFGPPRSFPGDAAAVPPTPHLGPPSPFTVGPGPSSHP >SECCE4Rv1G0287060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853068214:853076687:1 gene:SECCE4Rv1G0287060 transcript:SECCE4Rv1G0287060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYVQSMLTEMASQEVHMLLGVRDEIDNMDVKLRDLKNFLADADRRNITDTSVQEWVGQLKRAMYEATDILDLCQLKAMEEGPYTVDVGCFNPLLLCLDVDCFNPLLFCMRNPSHAHDIGTRIKALNKRLDTIKERSATFSFIPLASYEDRSSKVHASHAGNTRRETSGEFDRSGVVGEKIEEDTRKLVEIMLTEKEGSTNITVVAIVGVGGIGKTTLAQKVFNDETMKAEFDKTIWLSINQDFDKVELLRTIITLAGGLHLGEKRLAVLEPILCTTLKGKKLFLVLDDVWSHGAWGDVLKTPLSNVLARGSRVLVTTRDVRVARGMKAVVPYHHVDKLGEEDAWSLLKKQIISSEIDEGEIEMLKNIGVQIVAKCDGLPLAIKVMGGLLCQKDKKYSEWKMVLDDSIWSISGIPEDLNHAVYLSYEDLSSCMKQCFLYYSLLPKSRLFPNYEIIAMWISEGFLHGTSDDLEELGSKYYKELILRNLIDPNTDYADQCVCNMHDVVRSFAQFVARDEALTVHSDGLDWSSLQAHEFLRLSLESKASETDGLDWSSLQAQKKLRALISAGYINIKPGDSLVHFPCLRTLRVDFAQVVALLDSLHELKHLRYLSLQETDISSLPDSIGNMKFLQYISLRGCKKIMQLPHSIVYLKQLRYIDLRGTNIKGIPKGFHALTNLRIVRGFLACEHGDYCSLEELGPLSRLKDLGIDGLENVIISSSAAKANLGEKEYLIRLSLAYGSRLGDDGVVKEEISVSEEEQHRIEKVFHELCPPPRLEDLSIEGYFGRQLPWWIMSSSIVPINSLRLLFIHDLACCRQLPDGLCQLPCLELIQIDRAPAIKRVGPEFMQSYHHQSPCPSQMVAAFPKLHKMNLLGMVEWEEWEWEKQVQAFPVLQELMLRHCKLRCLPPGLASQARALNTLDISYVQGFISLENFPSLVELNVYINRDLEGITNLPRLQKLTITNCPKLKVLEGVPALQRLILTDKEMETLPEYMGGINPRRLELYCSLALLASIAGGQSGSEWDKFRHVEHVKSYAREGGNLRKWYVLYTADPYNLETNVNLSAFMSRGCWQGSKTHGSTCRERRHSLSIRKLYCIILPPFLNICLSKHFKWTQHTDVCRHILECGFTHFASYVVTR >SECCE3Rv1G0145250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:6032698:6033318:-1 gene:SECCE3Rv1G0145250 transcript:SECCE3Rv1G0145250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGAYFLAVAVFSMLIMSSLANHIPLCSDCETLCRTNCTMEAETYCSNYCKDCQGCTRGYFDEYCYPKCVNNCNNSCEKEGSSLVHPSPPPRPFCSDCEPQCRTNCNALVESRCRATCDDKLSSHEDCRRAVFKGCTADGSCCSSNGTCTCDCNTVAQDRCTGVSDNDNCTDCEACKHDQFDQCYSTCKNECNNSCKKKGCRHA >SECCE4Rv1G0243080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:495589521:495591065:-1 gene:SECCE4Rv1G0243080 transcript:SECCE4Rv1G0243080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPVLTLAVEKGPREGETRRCRAGAALRVGRVVSGNDLAVRDVGASQRHLTIEFLPPPSSRWAVSDLGSSNGTFINGARLLPSVPALLSHGDLIILGQSTVLAVSIAPDSDVKPGPRRSSRRAAAAAVVAEEKPTPSVTRLSTRKMVGTRAAAAALEPSETEKEGPDAAAAVVEEESHRVTRGGGRKKVAGAESLEAGKEEMEEAAVATLCCRRKKAVEPPELEKADERKEEKAAVATRGRKRKEAVESPELEKADGQKEENAAVATRGRKRKKSVESPELETADEQKEEKAAVATRGGKRKKAEPSEPEKGDEGKEDAVVVTCRDGRGVATTVAPLPLPPKTSRRVQGRVTRARARKAVLEEEEVSAAPREKEKTDKVAAGDEEVEGTANALEEVPVAQRGLAPKGMTNTEFAASHNVGKEINEGGGEEENGKMEVVNSGGELEDGEKGEKHAGRSSLDTITLQEWFERMERYLPRMINEAADEVIATLEEKHRRINEYTSVLGNSSYPS >SECCE2Rv1G0097890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:470874111:470874824:-1 gene:SECCE2Rv1G0097890 transcript:SECCE2Rv1G0097890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVYYSTWGHVATLAEEIKKGADSVPGVEVTVWRVAETLPEDVLGKMHAAPGREDHPVITARQLAEADGILFGFPTRFGMMAAQMKAFFDSTGGLWQEQSLAGKPAGVFFATGTQGGGQETTALTAVTQLTHHGMLFVPVGYTHGVGMFAMDEVKGGSPYGAGTFASADGSRMPSDAELALAAHQGKYFAGIAKKLKAV >SECCE3Rv1G0200560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:854541276:854542359:-1 gene:SECCE3Rv1G0200560 transcript:SECCE3Rv1G0200560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPANLMVCALLLLAVGCQASPFWPLQIGYYHDKCPQAEAVVKGVMEKAISQNPGNGAAVIRMLFHDCFVEGCDASILLDPTPFSPTPEKLSPPNNPSLRGFELIDVIKDAVEAACPGIVSCADIVAFAARDASCILSRGKVNFQMPSGRRDGTFSNASEPLKFLATPASNLSDLVASFVAKGLNTEDLVILSGAHTIGRSHCSSFVPDRLNTPSDINGDLAAFLKRQCPADATSGGNDPTVMQDVVTPNKLDMQYYKNVLSHTVLFTSDAALMTSEETARMVVDNANIPGWWEDRFEKAMVKMASIEVKTGYQGQIRKNCRAINYY >SECCE4Rv1G0218830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:24523414:24528974:-1 gene:SECCE4Rv1G0218830 transcript:SECCE4Rv1G0218830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWARSKQSSPASSSARRGAGGDAAAMESSSSRGSGGGSGHGSGSGSGSRGRSPRLERRNAAKHIDYEAGAAAAATSVSASWSTSSSERSPGTRPSRSLDLAARGGGADFRINGSAEGEVDELCRSLGLSGPEDFAIPVAAWEARKARSSSDLLSRPRPDPDSSAPPVEEPAPVVRTVSAPEAPWPAPHSFPDPIPEESIHSSSTSTATNSVEEPIVAAPEESPKATRAVAVAAPVAALSLPSPRRGGGEVGIRGVRPPVLSPPPPITGLALPPARQSSVAEIMSGSAWDIVNSFAPTEENSELRMAYGHVETSRMSDTEEDNPEENDEGLTGLEGELKGWRVGETFEGFTGTSSLSTTNDDDASSTNTEAVFVISPNGKFKRNIKSWMRGALLGSGSFGMVYEGISDEGAFFAVKEVSLLDQGSNAQQSILSLEQEIALLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYQKYKLRDSQVSAYTRQILNGLVYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVVNPRKTYGPAADMWSLGCTVLEMLTRQIPYPNVEWTNAFFMIGKGEQPPIPSYLSTEAQDFIRQCVRVDPDERPSASQLLAHPFVNRPLRASFDSLSPPINRP >SECCE3Rv1G0143730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2089020:2089613:-1 gene:SECCE3Rv1G0143730 transcript:SECCE3Rv1G0143730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSWNWKLLSLVFLLASSSTWGMARARDGGLKLIHLYMHETFTGPNATLFAMVLPLKGVGDNTSMFGMVGVLDDELRDGPDPSNSSLVGRFQSLFAFAGLVTPPGMQTATTLVFTAGEHAGSTLVMVGSIVSSEGPYETAVVGGTGAFRMARGYCVLKAVWSPTPVSTVYEVNLLVKMEGKLLAKMDAWKRTTYAL >SECCE6Rv1G0399440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:439941802:439957712:1 gene:SECCE6Rv1G0399440 transcript:SECCE6Rv1G0399440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTKLEDSPMFRKQVNSLEQLTDELKQRCSNLHKGCKKFMGSLDEGYAGDLLFADALEAFGAGRDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSERLTQFINEDLHDAKDCRQRLDRATMGYDQAREKFVSVRKGTRAEVVTGLEEDLHNGKSAFERCRFNLVHALANIEAKKKYEFLESISAVMDAHLRYFKQGFELLSQMEPFIHQVLTYAQQSKEMAMNEQDKLEKRIQEFRTQEEIANLRMASNVNTSTSGDGIHVVGLQSYKKIEALMQSTANGQVEIIKQGYLFKRSENLRGEWKRRYFVLDSHGTLYYYGNKGNKQSQGVASQQTAEPTGVFSRFRFLNPKASNQGDDSLSCRTINLRTSTIKMDAEENDLRFCFRVITPMKAYTLQAETEADQKDWIEKITGVIASLLNSPFPHQPLYGNLAAESHGSASSLDSAASLEESKSSEAHNDAINHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKIWEPVIIDLFRALGNDYNNSIWEALLPKEDQGMDESNSAILFMEKPKPTDAFSIKERFIQSKYVDKLLIAKDANQITIGILEAIRTNDVRAVYRILVLADASPNMTYDDLNNDVNHVLPVTDRKLFDPASCEKIVDSGKPEGCLQGCSLLHLACQHGHPVLVELLLLLGADINKQDFHGRTPLHHCVQKSNDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVR >SECCE5Rv1G0337900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:594630063:594631423:-1 gene:SECCE5Rv1G0337900 transcript:SECCE5Rv1G0337900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRRKSRPRIAGVRLGPSSPPRRGGKSGGAGRRLRLPVQLAQGPRSRKRTEGKRVLTRSASEPALWWRDHARVHPVTHPPSPPPPPLDRPHTCYDVLAPDSPFAGSASSSSSLLLLPDRRTPWEEAKVVVNVTVEGSAGPVRAMVSLGSSIRDAIAAVVERYHREGRSPRLDPASADSFQLHHSHFSLQSLNKNDKIGDVGGRNFYLHKNGGSNGLALQRGEPGVHSGGGEIAQSHGGQLAGAPYHHQLLAIVMKKLDKIGRRTKRVWRLLTCDCT >SECCE2Rv1G0074140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:74070632:74071363:1 gene:SECCE2Rv1G0074140 transcript:SECCE2Rv1G0074140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLRSTSVPSSPRSGEADVDQQLHSLNTATVSPLSTIGAVCVGLRRLGDIYDCINEHTCFPSSQILLCKTQQRVAVEQELERSLVSLDLCNVVQVSFSEFKANVKDMQLVIKRADDAALHVKIQSWFHQIKKARKTLKKNNKKSSSTDLESYRVVKLLAEAREAAVTMIGSSMELLSKQIATPNSNKWALVSKAFEKKRVTCEEEQLQVMELDTVDLESGVETLFRRLIQSRVSLLNTLCL >SECCE2Rv1G0119120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:788358703:788362299:-1 gene:SECCE2Rv1G0119120 transcript:SECCE2Rv1G0119120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVNKATLSSTLACVLALQRWNVGMEHIRRGIHFIGKNVFVVMDEQIAAPIGFNIIFPGMLSIAMGMGLRFPVRQTNVDAILHLRELELERLVADKSFGREAYTAYVAEGLGNLLDWNEVMKFQRRNGSLFNSPSTTAAALIQNYDDKALQYLNLLVSKFDGSVPTVYPTNIYCQLSMVDNLEKVGISRYFSSEIKSILDMTYSCWLQRDEEIILDVSTCAMAFRILRMNGYDISSDELSHIDEASTFHNSLQGYLSDTKSIMELYKASRVSVSENELNLDNICYWSGNLLKENIFHDDVQSRSILAEAEYALKFPFYATMDRMDHKRNIENFDFGGSQMLKTEHWPCCVNQDILALAIEDFTISQSVYQSELQLLERWVKENKLDQLLFARQRTTYCYLAAAATMFPPELSDARISWAKNSILINIVDDFFDVAGSREELENLVELIEKWDEHYEDEFYSEQVKILFYAIYTSTSQLGTMASVVQNRDVKKHLVETWLQLLRTMMTEADWRMRQYVPTVEEYMKLAVVSFTVAPILLPASYFVRQTLLACVVNGQEYNELFRLMGTCCRLLNDIQGFERESGEGKLDSVSLRVLHSDGSMSIEAAKDSIKRSIASCRKDLLRLVLKQDSVFPRACRELFWNMCKICHLFYSHTDAFTSPSEMVTTVNAVINEPLKLQISNPSFVAQSEK >SECCE4Rv1G0269800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:750014944:750018982:-1 gene:SECCE4Rv1G0269800 transcript:SECCE4Rv1G0269800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGAKVPAASSSASKDKGAKAAADALAAVHLSDRTCTAVLTSHPLSRDIHIESLTLTFHGHDLLVDTELELNYGRRYGLLGLNGCGKSCLLKAIGCRELPIPQHMDIYHLSHEIEASDMSALGAVISCDEERVKLEKEAEVLAAQDDGGGAALERVYERLEAIDASTAEKRAAEILFGLGFNKQMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNRKLKLYTGNFDQYVQTRSELEENQMKQYRWEQDQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDRILTFRFANVGKLPPPVLQFVEVTFGYTPDNLIYRKLDFGVDLDSRVALVGPNGAGKSTLLKLMTGELVPLDGMVRRHNHLRIAQFHQHLAEKLDLELSALQYMLKEYPGNEEERMRAAIGRFGLSGKAQVMPMRNLSDGQRSRVIFAWLAWREPQMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMEFKEHLKSKSGV >SECCE2Rv1G0102400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:576990959:576991438:1 gene:SECCE2Rv1G0102400 transcript:SECCE2Rv1G0102400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGDVEEVPEHGAAHSAEACTGGLAEESRPVAADATSSDHHAPVAAQEQAGRMSTSKEKREAGKLLQPADKEEEGESARERLKRHRREMAGRVWVPEMWGQEKLLKDWMDCSAFDRPLVPAGLLTARRALVAESCARRPAPAPPASSSPLRVQDGCS >SECCE5Rv1G0341500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:625077654:625078910:1 gene:SECCE5Rv1G0341500 transcript:SECCE5Rv1G0341500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQRRRQPAVAARLPRDVIASILVRLPASALRRHCRVCKEWRDVISDPMFIKAHMVQGPRAPTHTVVFVPSSGGRAGARAFLFDEHWRLTAMFVAGVSEVLIGTCNGLLCFHDQLKGVIKVVEPFTGESTAVPLPADSSRRRVAGSYCFCFDSTRSQFKIVHKGKPRGGQPYIPGVRHRQLQVFTFGADTDWRTLRSNASCGLNCNNELACNGGAVYWSYTDKEGNIPKYALFDLATEEITSVECRLVDVRPVFCNHPWWRPGQHCIIGIRWLVGESNGGYWPSNMDTMALDVDAVNLPDGRRLPRPQALQRGHLLLREENGDLCSHRIVNKSVHGLDLLFEKLLSGIGVEVESAKTSPTGQFVPVQGSRRSRTQQDISTFAYAPTVSPAPLALYLGTPMTSVLAALHVSTSEVAQS >SECCE7Rv1G0505350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:734317724:734318326:1 gene:SECCE7Rv1G0505350 transcript:SECCE7Rv1G0505350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGALPLLAALVLALAAAAAASASKGAPAATDFIRKSCHETQYPSVCMQSLSSYNGKPPPRSPQELARAALSVSADRARSASAYVGRICGPGLRGGKAKGSPARDCLENMADSVGHLRDAAKELDGSLGRAGSPAFRWHLSNVQTWCSAALTDENTCLDGLSRGVDAGTRAAIRSKVVEVAQVTSNALALVNKVGSGH >SECCE6Rv1G0408120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:568454376:568455122:-1 gene:SECCE6Rv1G0408120 transcript:SECCE6Rv1G0408120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVVASNRIPLVRAMENLLAASSGSALRPAAVAGGVRGYNAGAPLRSYDRDEAVEDTRRRGARERDVAAVPSFFSDVFRDPFSAPQSLGRLLSMLDDVAAASPGGARAAPLRRGWNAREDEDALRLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKESEQDAPRYSGRLELAGDVYRMDQIKAEMKNGVLRVVVPKVKEEERKDVFEVNVD >SECCE2Rv1G0064980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5870822:5873766:-1 gene:SECCE2Rv1G0064980 transcript:SECCE2Rv1G0064980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSSGRPNLPPPRPHLHGVPPPYYHQYPPWRPGAAAPPPVPFPTHVERHRAVAVSAGVNVKGHTLRLERDDDGGHLLAFSFDADSPGSITVYFFAQEEGDDCVLKGTKENLLKPVTVTFKEGHGQEFRQPSGTGIKLSMFEESELTKVGEDRVFPLAFKVEVGVPSNQELEREHDAEDSKSLVKFAVFVKKDSAEYGINIVQQILWVNGTRYVLQEIYGIGDRNTADRNVSEDDSGKECVVCLTEPRDTTVLPCRHMCLCRECAQTLRFQTNKCPMCRQPVESLLEIKVDSMPRHHEGGDQ >SECCE5Rv1G0321180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:398596562:398625195:1 gene:SECCE5Rv1G0321180 transcript:SECCE5Rv1G0321180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTISRIRLENFMCHSSLHIELGEHSAILTALCIAFGCRAKNTQRAATIKDFIKTGCSYAAIAVDINNQGEDSFKPDVYGNLIKLERRITESSSSTILKDQHGRKVANRKDDLNEIIEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVNELLETIRDQLNNADSVVQELEKSIKPVMRELDELREKIKNMEHIEEIAHDIDNLKKKLAWSWVYEVDQQIEEQTVRLQKLKERIPACQERIDRNTVIIDDLKKELTEKEELVRSLGDKTHEVNSMKKSMEDNIAEVVKLKIELEAEHVRGTRTLEKMNGRLKQMQAQLRDFQMQHMQFTQAEASQIEEDMQNIQREIDYLDSNVTRLREEEKEFSEELSGIQKSISDIANEIAESDKRILQLKSHMDGLQQRQSNTVTAFGGQKVLKLLQLIESNHGRFKSPPIGPIGAHLQLSSESWSVAVDCACGGLLDAFIVSCHKDLQVLRECASRVYYNNLRIIVYDFTRQRLIIPDGSLPTTEHPTVLSVIQSENHTVLNVLVDQGHAERQVLVRDYEVGKSVAFDHRMRNIKEVYTSDGFRMFSRGSVQTILPPNKRPRPERWCSSPAEKIAELKNEAEGIRRIISEKNAQKRKLANDRCNLEQKVANLKRKREPEERHLMNKKVQLEDAKRATAENNRHAAVDTTELEEDIKEEKNNIEQKELSLQKTNVKLTAALREVNDRRMAFKTFMDSVSEERLHFSSANDELDLVKRKIDAAQQEKTHYEGVMTTKVLPDIKTAEAEYADLQRHRQEYFKKASIICSESDMEALSHVAGSTPEQLSAKINRLKQRFDQESRRYAESIDDLRALHDKKERKILRKQQLYAGFRVKLNSCQKALDLRWKKFQRNAGLLKRQLTWLFNEHLGKKGISGFINVDYKSKVLSVELTMPQDASRDTVRDTRGLSGGERSFSTLCFTLALHGMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFAVAQGSQWVFITPHDISMVKPGDRVKKQQMAAPRG >SECCE3Rv1G0151160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:37007238:37013266:1 gene:SECCE3Rv1G0151160 transcript:SECCE3Rv1G0151160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKPPQPEKPKPEPQVLVPPVFDFPPLAARTRMLVPAYELMFGKLARRSLFDDYLHSGGVDAKIVLKQLGDSHADLNATMSTNGGEAHFRWQRDLNDPHTFVDFLVSTLKPTMRLSSSVYYPKYGIGAFGTFPLNMANRACSEDYGVMGLRYGSENLSIGASFVPFPSPGEVPYGAWLVGRKGNLSAGVQYKPLGGSKHPMPFTNLKNWNCAIGYGLGSTSPLSPSFTFALELVRSSQLVASFYQHHILAKELKYRGEPDIVETLNYIDLGLELATRVDKGKPTDDDGNSSFQVAASWQLNTDVLVKGKLGPSKSSAALAYKLPPLFTCSITVENDHSKGTRSYGLGIRVEDLREPRYHTLDQDCKVLKQHKMDIDGKERVLEFDFGPGNYDNLPADLKPIDKVL >SECCE3Rv1G0167210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:199861961:199862413:-1 gene:SECCE3Rv1G0167210 transcript:SECCE3Rv1G0167210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINIPDDKFYLGYAGYACRPGFLPPFRKTRHHLKEFSGRNNPRTAQELFNLRHSSLRVTVEKAFGALKNRFEILDQKPFHPYSTQVKLVIACCILHYWIPHWGFDEHVPEEDDVEPNDVVSSGYGVEAFDNDAWKNKSFEWTEAM >SECCE1Rv1G0061010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:713322239:713322574:-1 gene:SECCE1Rv1G0061010 transcript:SECCE1Rv1G0061010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAAAVKTTRATCLLLILLLLAGGRSRPVAGQAPPAPEEAATIEADADGRGNGTRGGGRSGATTKVLSTIDCQVCESTCRVKCLINNLLQWGTCFQHCKADNCNEWCR >SECCE2Rv1G0090910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:295933791:295959373:-1 gene:SECCE2Rv1G0090910 transcript:SECCE2Rv1G0090910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPASTYSWFSGIVRTASGNPPSSAMPPAGGVTSAPVSLPDSPAAVSGKGGVVAPVATTCARRKQLQGTLFKYGPKSAQVAFRTGDFTRQVIFVGGLADGLLATDYLEPLSLSLEVEKWSLVQPLLSSSYTGYGISSLEQDALELDQLIGYLINKDNSEGVILLGHSTGCQDIIHYMRTNFACSKAVSGIILQAPVSDREYRATLPETGEMIDLAAKMISAGRGMDLMPREANSDAPITAYRFHSLCAYMGDDDMFSSDLSEDQLKQRLGHMSTTHCLVIFSMADEYVPEYVDKKALVDRLCRALGDSEKVEIKWGNHALSNRVQEAVEVIIDFVKREGPKGWDDPWS >SECCE3Rv1G0200750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:857272535:857274992:1 gene:SECCE3Rv1G0200750 transcript:SECCE3Rv1G0200750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRHPQIAPSPPVSRRKAAAAASQEWLVVPAAGERRAGEFGRHRIMEMTGLPARDLRVLDPLLSCPSTILGRDRAIVVNLEHVKAIVTAAQVLVRDPSNPRLRPFLQELHARLALPDAATTNPATDGGGERDHLGDDQGNVPISGSAKIPPFEFKVLEVCLEHTSKCMETETSVLESEAYPALDELTTKVSTSNLDDVRQIKNRLVQLSGRVQKVRDDIEHLLDDDTDMCEMYLTRKLAFPGVNNESSVNVDSNKHACPDHHHEKEGEDTASSHGSSVRVKPDVEELEMLLEAYFVEFDGTLNKLCHLRDYVDNTENYIDLMLDKKQNQLLQMGVMLTTATVVVTAGIVVVSLFGMNIHIELMADPETPEMARIKNMKFWETTWGTVAGCAAIYVLAIYAGKKSRYLL >SECCE3Rv1G0168200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:216078737:216084689:-1 gene:SECCE3Rv1G0168200 transcript:SECCE3Rv1G0168200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHVVMSAVGIGIGVGVGLGLASAPWSGGGSGGPARAGVTLERVEHELRRLVVDGRDSKVTFDEFPYYLSEQTRVVLTSAAYVHLKQAEISKYTRNLAPASRAILLSGPAELYQQMLAKALAHYFEAKILLLDPTDFLIKLHGKYGTGGSEQSVQRSISETTLEKMSGLLQSFTMTPQKEQSRGGIRRQNSLTDMKLRSSESTNSMPKLRRNASTSSDMSSLASQGPSSNSAPLRRASSWTFDEKMLVQALYKVLHKVSKKSPIVLYIRDVEKFLHKSPKMYLLFEKLLAKLEGPVLLLGSRIVDMDFDDDELDDRLSALFPYNIDIKPPESENRLVSWNSQLEEDMKIIQFQDNRNHITEVLAENDLECVDLGSICLSDTMGLSKYIEEIVVSAVSYHLMNNKDPEYRNGKLILSAKSLSHALEIFQENKMCDKDTMKLEKHTDASKIAEKGIAPAAAKLESKPATLLPPAAPGAAAPAPPPESKTEPKKPENPPPPAKAPEVPPDNEFEKRIRPEVIPANEIGVSFNDIGALEDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQKILVLAATNRPFDLDEAIIRRFERRIMVGLPSVQNREMIMKRLLSKEKVDEGLDYKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKIKLEKGGTPLDPSKIKEKDKEIILRPLNMADLKEAKNQVAASFAAEGSIMGELKQWNELYGEGGSRKKEQLTYFL >SECCE5Rv1G0364310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:800574103:800574360:1 gene:SECCE5Rv1G0364310 transcript:SECCE5Rv1G0364310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVGDPNASCILRITYELGYLASIVAGCWVDGNLFIHGIRYMSTFTDLSGKVLAIGRPLMIANSVMLLLDRINSPYARTLSLTR >SECCE7Rv1G0502960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:702847732:702851603:-1 gene:SECCE7Rv1G0502960 transcript:SECCE7Rv1G0502960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASVNEGLAEIDGQIADIFRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVVKDEAGSVDPNTAKFLNERKQSLIKELNSYVALKKQHASDNKRVDLFDAPSGEDAFGEENVLLASNMSNQQLMQHGDSLMDETDQALARSKQTVQETINVGTETSAALKAQTEQMSRVVNELDSIHFSMKKASKLVKEIGRQVATDRCIMGLLFLIVAGVIAVIIVKIVNPHNKDIPDLPGLAPPVGRRLLSIVEGK >SECCEUnv1G0543250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:111998820:111999569:1 gene:SECCEUnv1G0543250 transcript:SECCEUnv1G0543250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSYQQTATTNLEAPAPAPVPKVKAAAVMTRNPSSPSGPPSPEMEATAEALTREDVLRRRRRRAARLLAAYRRLYWAMAEEVRARHRQYVWELGCSPLEAEQPLSEAKPGPAAAPRRKKCGVTGCKVRAMAMAKYCHYHILSDPNQVLYKGCGHIMIKSGGAQTGKSTHNTPILKASVPSLCNFHLQKSQKNISQAYKKVGFNPPSTGQISPDFSVLVAESVRQIQAKRREFRSAAAGKKYPKDGKVN >SECCE4Rv1G0279510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:815335223:815336635:1 gene:SECCE4Rv1G0279510 transcript:SECCE4Rv1G0279510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVIYAPPGMLGHLFPTVEFGKLLVAQGLEVTVVLGGGGGGNADDITRIRAANPSLSFHCLPTPTLPSADADSYEAKVFGLARASLPDLADFLRSASPAALVIDFFCASALDVGAELGIPTYFFLTTCISVAAYGLYRPVMEEQTTLSFRDLGTDLVHIPGLPPIPADHFPAFTLDRNTLSTKLFGDVPHQMCKSQGIIVNSCRSLEPRATDAIISGLCTPGRPTPRLYCIGPLIKPQEVVGAGTERHECLAWLDGQPKASVVFLCFGSSGRFSAEQIKQTAAGLETSGQRFLWVVRHPAAGDEHHQLAGDLEALFPKGFRHRTKERGLVVMSWAPQRDVLAHGAVGGFVTHCGWNSVLEAVMAGVPMLAWPLYAEQRMNKVLLAEAMQLAVAMEGYDKQMVEAQEVAAKVRWLIESDGGRELRQRTHAAMRAAKEALDNTGESTTALLQLARQWKNADDNGTYGIID >SECCE1Rv1G0033310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:466078702:466079379:1 gene:SECCE1Rv1G0033310 transcript:SECCE1Rv1G0033310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSWSRRKPAAGRLTHSPSLSSSATSSSKEHSGIGALLDDAAAPAAGGGKIVLHRVRSSTKLRTCKSFAAAEEAERRVVLYFTSLRAVRPTFEACRDVRAILRGLRVGVDERDVSMDAAFLTELRVLMRRDRPSLPQLFVAGRLVGDADDVRALHESGELRRVVAGAPQLPPTPCASCGGSRFAPCVACGGSHRRFSEKTGKFRVCAGCNENGLVRCTACCSRG >SECCE7Rv1G0477010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:213542818:213543294:1 gene:SECCE7Rv1G0477010 transcript:SECCE7Rv1G0477010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDFFDPFDGFPFGSGSSNSSGSLVPRTTSDTAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNILQISGERNKEQEEKTDTWHRVERSSGKFLRRFRLPENAKAEQVKASMENGVLTVTVPKEEAKKPEVKSIQISG >SECCE7Rv1G0514860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:837244918:837246736:-1 gene:SECCE7Rv1G0514860 transcript:SECCE7Rv1G0514860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFQRRGVRQYNRSDEPRMRWTEELHRQFIEAVDCLGGQDEATPKRILQLMGAKGVSISHVKSHLQMYRSSSSNTNSNGPPNASVDRRRGHSAVHTSWNNGQGNDMAAASDRISASSCTVPPHGHRSSPPYQIPSTEEVFRSWEQSRGRLSLEKATGWARHADSRTRQKNQQPTVGCECDLRLSVGRCEEEAMVVCSDADVSSTTTEEAAAVPARDRGANDYRRSDTAGLNLDLNLDLAVSSSCL >SECCE7Rv1G0495080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:593841674:593842609:-1 gene:SECCE7Rv1G0495080 transcript:SECCE7Rv1G0495080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDLPSLAFAIARFLQKENRRFPRTHRHRPASCRRRRGLGARSGPRRRFFARNHRQNRHRGYARQGNRPLHGQAPTVHQAGPSAPATAANHAGPSAPAPAVADDNTVPATPPPSVFTIPPMDWLLAGPSAPFLGEEEFFPCELAPPPLPPYCVKHGFGSCPARTGAPPRKPSPTPSDELPEHFIPPGYGPVPDLPSPTLAAAGTGGYSSIPDLNIKIKVEEEEIEDQGSSSTPPPTSPATPPPPPAPPLPPTPPPEARRILRQFAAAMAKNHAAPRGAWSPDALGLTGAPGASSSGAGRAAKRGPPRFH >SECCE5Rv1G0333110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:554535545:554537005:1 gene:SECCE5Rv1G0333110 transcript:SECCE5Rv1G0333110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAYKFRYIVVGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGQRMVTIDKKKIKLQIWDTAGQEAFRSITKSYYRCAAAALLVYDISRRETFNHVASWLEEMREQADGNNNITIMLVGNKSDLGQRRAVSTEEGEQFAKENGLAFMETSARTRHNVEEAFLQSSSMVYEKIQEGAIDLSKCSGVTPGVDESCNFDRDTLPSGAYGCCSS >SECCEUnv1G0560420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:347262513:347264027:-1 gene:SECCEUnv1G0560420 transcript:SECCEUnv1G0560420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVASSTNRSRGQAACSVDRLSSLPDPLLHLVMSFLPMPEVVRTSLLSPRWHYLWASVPFIHLDYKDFVNGGDDLKKRKFDQNRLQKFGDQLLLLRDGTLPLDEARIFIRSGPIAEKCCTWIRHAVRHKARLLHVYGLSVGEVIFFDNRLMIPSQHLKRIRLQMVTLDSISFRMLNFDFPALEHLQLEDCNVWPMQKISSRSLKTIHISFCDFEEGHEICAPNLNHLSILDSTFGGILVTRDLNSLVAVSIRLDNQDEILDHRIFDGLSQVTTLELHAPLPEPTLERSLQTCPVFGNLTSLVLGEWCMASHFAPLLLILRRSPKLKYLTLKHRTERRGECKVTVRNPSPREQSSSGGYPSIKRTVIYCSRDDPGVSALVKVLLPIVIPGGDISIKGH >SECCE1Rv1G0039980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:555652514:555655904:-1 gene:SECCE1Rv1G0039980 transcript:SECCE1Rv1G0039980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGMLCRSQAATAVCVPGDARSMVAGRRADRTIAEDARLQRDVRYVRLGGAGAVGRDGAGAARVSSRRVAAPPPPPMPRRRGAPVAVTLPMVTKSPVETPARDTAAGKRTSATPTAAVAPGDQVLQVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDLESKKVTVMGHVSPAGVLESISKVKKAELLV >SECCE7Rv1G0467660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:89742672:89746601:-1 gene:SECCE7Rv1G0467660 transcript:SECCE7Rv1G0467660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKAWQLRRAIHLRRRRPSSSANLRRAPKIGHRGYANNAPELNSNKGSLFDGQRCYTLGKKEGTSLCNSAVARASEEAGFSAEPEIGDKCEADVHLAAKLGPGIGKLIMSKCSFIFDSGRDTFEGNCSLRDVLKLGLWLSPETLRRFWRASWLKPEDFLDILIGFGQAAAEVRNARFLWNLYRWASWQSKDFRHLPRSNDIMVSILADAHMLSQAESLLLLLDDNNALTDASRLFSQITQMYSEAGHLDKSVALFDHARSKYLIPSASCYQILLNRLVGKRKEELVLRVYVDMLEVGLGSCTEGDILDFVINALVKGDKFLQAIRIIRQLKSLNIEISKGSLSTVAKEFCKKKDIGDMMNFLEEWRYLPEPRICNRMLASLCTNLGTDEAWFVLQRLESLGFAPDATTFGIFICHSCREMKPKAAFLYLSECFSRRIEPKVCAYNAIIGAVFTEGLYRHAKYILEDMIERKIMPELSTYRILLAGYCKYRQFDDIEEILRTMKTNGINDLPSGNCVLSKALSFLGLEYLGVKVKRDNTAGFPKAEFFDSVGNGLYLDTDSKRFEISLVQILDNALYLDINSKMFSACQQGNVASALLLKDEAFQWGHYISPASCSELIKSLCASPAHVMDVVDLMEEMPYTFDKLDAQSLNFVVQTLSKNGMSARARLILDRLFRRSLPVNQDSYTYLLIGFCTERNIVGFWECWNIATKYSWSPDSKDVIPIISHLCKWGVMEEALQLISTLLDCYPNLFFSAYCALLKELCRTGYTNVGCAMLEALLEKGLVVGPSLIFNVTEGFLKEQKTVESIGLYDISLNKIKVSDVLTHQFAFSSLAWFDAERCKDLVQSMMKTECSDVPACSSIVNELLQTGKVSQAISVVQASTLGKKLSDKLLNPILQSYCCLNNWRKVDAVLCIMLKIHASISISSYRLLVRRMCEQSQFSSALCLKELIQDSNKSTGLILYNILLFCLFKRRNILQVQDLLMDMKGSGISPDKTTYDFLVYGFHKSGDTNRSVTMLDACIAQGLTPSNRSLRIVLSHHCMSGNLEKALQLFHLIEGSGWKHGLVIELTLISALLSFGRYSEAKSCLNNLSRSALTMSYVSFDVLIKEFCRQGDVDMSVNLINTMLKSCRLPSEASYSSIIYRLCILKEFDRALDFLAEMQLENLKPSEVSCDALMRGLCAMGRTSDAKKILEMLKTFGSAPSFGMYRTVFDNYRRCNNTPEAAGLLHDMQQAGHIPNFEMQWSVISNLTSTDRKTEGYEQPILSKIISSSQFPMKENRRK >SECCEUnv1G0537070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67378286:67378924:-1 gene:SECCEUnv1G0537070 transcript:SECCEUnv1G0537070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISEDIMQEDEASTQPQQAAAAAVKDNSTEVEKEESKGRQPNSGNGLDLDNYSWTQQLPEVNLSVPVPEGTKGRSVVCEIKKDHLKVGLKGQPPIIDGELHKLVKVEDCFWSIEDGRLLSILLTKRNRSEWWKTLIKGDPEIDTQCAQPESSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSEEMQNQDMLKKLKSQYPDMDFSGMKMPK >SECCE2Rv1G0073350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:67185702:67186987:-1 gene:SECCE2Rv1G0073350 transcript:SECCE2Rv1G0073350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCLGLVVLAAMASAAAAQLSSTFYDTSCPNALSTIKAGVAAAVKNEARMGASLVRLHFHDCFVDGCDGSVLLADTGSFVGEQGAAPNNNSIRGMNVIDNIKTQVEAVCKQTVSCADILAVAARDSVVALGGPTWTVLLGRRDSTTASKTNAENDLPPPTFDLQNLTTLFGNKQLSMTDMVALSGAHTIGQSQCRFFRDRIYNETNIDTAFATSLRANCPQSGGDSSLAPLDTQTPNGFDNAYYNNLMSQKGLLHSDQVLFNSGGADNTVRSFASSAATFNSAFTTAMINMGNIAPKTGTQGQIRLVCSKVNS >SECCE4Rv1G0253180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:623561685:623561954:-1 gene:SECCE4Rv1G0253180 transcript:SECCE4Rv1G0253180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDKERSASKEEASRRQDIERSRAEARRKVEQMADTVQFNDPWIHHSDVTKSPEELLQARQQAWRYQAQLIEMARRRDFAQAMQIHG >SECCE3Rv1G0159930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:100535013:100535789:1 gene:SECCE3Rv1G0159930 transcript:SECCE3Rv1G0159930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILESPLMGEFIGYLKANWGGNSRVSQRRRRLRQLVAMVRGVADAAEARAAVRGSSLHRWLQLLRKEALRGQEVLDATTDPSAVVGSAKKFLAGLKSLFVCSAEVDRLTDAVDALERLAGPGADLDIFLKVLQLDVAMDVDDAPAPAPFSAAHYVDQGSYSVATAPGAKRKRAGSSGVDQAGDGDGEAASHSHGRGVLDRAYRHKRRALACKRHTSSSGPLLAGADRSVAVAMAMARVRRRIGTPSLGRPFSRISLE >SECCE4Rv1G0214840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:1964661:1966120:1 gene:SECCE4Rv1G0214840 transcript:SECCE4Rv1G0214840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPAVLGLALLLLVLNTNGVKARPAPAGRHQKKASSATFFVFGDDFADNGNLPLTDPVTKMSRQWAYPYGSNYVDADGFPRPNTPSGRFSNYKIQSDFIATMLGLEEAPPAHARTAEKTCDPSGMTFATGGACVLDSTSHEVPALAKQVDIFKKMVKDGIITEKQLSRSVALVAFSGNDYAGTGVIGLSSPNDINAYIGKVTKEIAANVDQLLELGVTKVLVNNLHPIGCTPSHTRTNNYTTCDIFGNLGASIHNDNLKQVMTSKKNVYIVDLYTAFTDIVDHAAGKGSELSKQFKRKLSPCCKSLNSKGYCGQHDESSAELLYTVCDKSSKFFYWDDMHPTHAGWEVVMKQLEKPMREFVNQA >SECCE7Rv1G0455540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:7837133:7840556:-1 gene:SECCE7Rv1G0455540 transcript:SECCE7Rv1G0455540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSMSARIPIFVIVLLSAFSFSSSSLTNYNGSETDLAALLAFKAQLTDPLHILASNWTTGTSFCHWVGVSCSPRRQRVTALSLVDTRLVGSLAPHIGNLSFLSVLNLTRNNLTGSIPVELGRLHRLRYLALTGNRFSDAIPTTLGNLTRLVSLRLGNNELSGQIPPEILIRMHNLKEIVLHENEFSGQIPLYLFNNTPSLAVIDLGNNSLSGPIPNAVASLSMLEFFSVHHNQLSGLLPQAMFNMSKLRVMAFEKCGNLTGMIPSNQSFSLPMLQFFAIARNNFAGRFPIGFASCQYLQVLDLSRNSFVDVVPTWLAKLSQLKLLILGSNDLTGFIPVALSNLTSLNALALDHGNLKGEIPPELGLIHELWYLNLGFNQLTGKIPDALGNLSKLSILGLSHNQLSGQVPTKLGNIVALNKLLLQNNNLEGNLDFLLALSKCRQLQILDMGSNSFTGVLPSYVGNLSTQLDMFIAPDNKLMGGLPLAISNLSNIGLIDLSHNQFIEPIPESIVMLENLVYLDLSHNDMLGPITTQMGMLRSLQRLFLQANNILGSIPSCFGNLSLLENIDLSNNQLGSTIPASLFHLDKLVKLDLSHNLFVGALQVNFSSLRQANYMDISSNFLIGSIPDSFGQLSMLTSLNLSHNYLKGSIPGTLEKLTSLASLDLSFNNLSGTIPIFLAKYTYLTSLNLSFNSLAGQIPEGGVFSNLTSQSLIGNAQLCGAPQLSFPPCFDKSRSINGHLLQFLLPTLALAFGAILISLHLGIRKKGDVKASIDPTGVIGHQIVSYHEIIHATNNFSEDNMLGSGSFGKVFKGQLSNGLVVAVKVIDMQLEEAIRSFNVECQVLRMALHRNLIKILNTCSNFDFRALVLQYMPKGSLEMILHQSQSSCHLGFLERLGIMLDVSMAMEYLHHEHYELILHCDLKPSNVLFDDEMTAHVADFGIARLILDDNSMICASMPGTVGYMAPEYGSFGKASRKSDVFSYGIMLLEVFTGRRPTYVIFGAQLTLRQWVHLAFPAELVQVLDGKLLQGSSISSCGLDDGILASVFEVVLRCSSDSPRQRMTMQDVVVTLKKIKAEYTKLIATLSTSSASAQ >SECCE3Rv1G0171230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:266388931:266389218:-1 gene:SECCE3Rv1G0171230 transcript:SECCE3Rv1G0171230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSGSAAARALAQRLAPATARGYAAAASSGAMRRGAAATADGKAAREAEKAAADASWVPDPVTGHYRPANRATGADPADLRAAHLGQTYARA >SECCE7Rv1G0501190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:680524533:680526089:1 gene:SECCE7Rv1G0501190 transcript:SECCE7Rv1G0501190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTRMALLLTACFLGCYVSVPSLAASGDFLQCLSSSIPSERVFTPSSPSFTSVLVSSIRNPKFFTPTTVRPLCIVTPTNASHVQAAVLCGRRHDVRVRVRSGGHDYEGLSYRSERPEVFAVVDLANLRAVRVDRGAATAWVDSGATVGELYYAVAKAAPGLAFPAGVCSTMGLGGHISGGGMGMMMRKYGLSVDNVLDATLVDANGRLLDKEAMGSDLFWAIRGGGGGNFGIVLSWKIRLVPVPATVTFSNIQKTVDQGAANAVAKWQTIAPALPEDLSIRVIVQNQHALFQTLYLGDCSALVRAMSSLFPELGMTRADCREMSWLQSTVYINSGDTKTPVETLLNRTTSLSTFTKNKSDYVKQAITKDTWEKIFPWFNGSAAGLMILEPHGGRVGSIADDDTPYPHRSGVLYNIQYVALWTGNGTDGPNWISGLYNFMGPFVSKNPRGAYVNYRDLDIGENTVVGGVTSYENGKVWGESYFGANFHRLAITKGKVDAGDYFRNEQSVPPLLSRKK >SECCE1Rv1G0034210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:478444058:478452116:-1 gene:SECCE1Rv1G0034210 transcript:SECCE1Rv1G0034210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRKDQLAPRIPTNIVRARQVHRLSPSSRYYACASLSHSAHASAYLPESHSTRRRSRSYREIGAGTATLTMTVTAFPPHASICSLISFLGHHLGALLADPAELLATRRRCVALLAGPSPLPASSADDDDDAVLAALQGAIDSLPTAASADAGLLHDVEAALQAPALLPEDGRTAGRDNRAVAACAYFYLALVRAAQGDAWQMAAHFLQAVLVSPAALAGGGLAPRALWDGLFDEAVLARAGGAGASEEDASRRAARRYKDWLMYYRVVAAAPDDGASADGGGCFQLGKSAGSVIPRWRSVSEDTTTQWTGHEEKRTASVSNFGGHGGFAELKYFLSCADQEFQEDAKGSSDSRCLHEMLEEAQSDSPISFYSHLDSSEASDSEAALHDKGRSAKIMPIDADFLSSKLHERSCHNKSLTWCTSAENAMIYAPESPLYTVDDTEMQPNNLQLSRSQGSPDNLSTSVFDLHNADTYAVSNYFNKDDISPQCTPRHELRCFSNFSTKFIKRSALSDLVSRGSMSRKFKPISNSDDWSDASSRCGNNSQVDFLERFEKAVSKLLVSDGLESCLDASSEVTTIWQLLSHTSEVRHKPSVRQDILEQLFASISTDKKDKVIRASVYVLVLMISEDRNVMRDIKRKDFYLSNLATALKRDVHEAVILIYLLDPSPSEIKNLELLPSLLHVACNSATQKWPTLLPLTPTSASIALIEILVTAFDYVTNNVHLATISSPPVLSKLVDVAKNNNLEEGMALAAILVRCVRLSGNCKKFLSQATPVEPFLHLLRRKEQRVKRAALEYFHEILQIPRSSAISLLQKIRQLGGIAIMHTLVACLHQTEPEQRVLAANLLLQLDMLENPDGRSVFKDEAVEVLLESLSSQENFTAQALAASFLSNLGGTYSWSGESYTAAWLSKKAGLTSKSHRNMIRNIDWQDTCLQDTEISSWSNKFARAVIGIGVPFISALAKGLQSKVKGTSHDCLVCAAWLASELASLGENDVRYYACEILLLDIVHHLHPGCELDERVLACMCVYNYTSGKGKQKLMSLSEGSRESLRRLSSFTWMAEELLQVTDYYLPRKPRVSCVHTQILEIGQPANGAATAITFFRGQLFVGYFNGTIRAWDIQGQRAVIIREVKEHRKAVTCFALSETGQNLLSGSADKSIRVWKMAQRKLECVEVFQIKEAVQKFDIYGDKIIVLTHKNVLKFSCSARSTQTFYKSKHVKSLALSQGKAYLGCGDLSIQELDVSVESKIEIRAPTRSWRISKQSISSIVVYKDWMYCGGSQVEGSAMKDWKRRCKPTITMAMPKGTNVEAMAVVEDFIYLTCNKSPNVIQIWLREKQQKVGRLPAGSKITSIFTANDIIFCGTETGLIKAWIPL >SECCE4Rv1G0254670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:640575737:640576822:-1 gene:SECCE4Rv1G0254670 transcript:SECCE4Rv1G0254670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGKKEATWPATMARYERLEKLGAGINGEVFKAWDTQENLIVAVKRLSGSGDDGFIISGLPEVMREAMCLGSCRGIPSTVQHRATCVAACASDSFIVMDYVGRLNLRGYMQRRVRCRRPFSEDEVRRIMKQLVEGVKAVHGVDVLHLDIKPENVLLDDGTEDRKQRPKKGAVEADVRGELKDDCIVYKIGGFGMSTKGRGEKQPEVTILTPYSAPELLLHSCKYSNRVDTWGLGCIMADLLSGTGASLFDGESDIEIMAKVFGIVGTEGIKEWSGYSGLAANQKSKLPGKGGVSRLRHKFPRRMLSSAGFEVLSGLLEINPEKRLTAAEALQKPWFGKQRRGFGGFFKSCVGGVLPET >SECCE4Rv1G0257630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:671179007:671196379:1 gene:SECCE4Rv1G0257630 transcript:SECCE4Rv1G0257630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRGGGEEPSSTASAAAAAAASTTEPNTPTSAHTSGLNRRGSRSAAMAAFSMEVFDNEVVPSSLSSIAPILRVAAEIETERPRVAYLCRFYAFEKAHRLDQNSVGRGVRQFKTSLLQRLEKDNSPSLAKRLKKTDARETESFYQEYYENYVRTLDKGEQADRTQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIMRWHTEVQEKKDIYAPFNILPLDAASASQSIMQLEEIKAAVAALRYTRGLTWPSAFEPERQKGDELDLLDWLRAMFGFQRDSVRNQREHLILLLANVHIRLEPKPEPLSKLDDRAVDVVMNKLFNNYKKWCKFLSRKHSLRNPPGAQLQEVQQRRILYLGLYLLIWGESANIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIRKEAGKSQHGKTAHSAWCNYDDLNEYFWTPDCFSLGWPMRDDGDFFKSVHDSRPVAVAGSSSTKGSSKSTGKTNFVETRSFWHIFRSFDRMWTFYLLALQAMLIFAWSEYSVTQILRKDLLYSLSSIFVTAAFLQFLQSILDFILNFPGHIRCKFIDVVRNILKIVVSAAWAVILPIFYISSQTKVNLPLKNLDKWFGYVKGVPQLYILAVAVYLIPNIISATLFLFPMFRRWIENSDWHIVRLLLWWSQKRIYVGRGMHESQAALLKYTIFWIFLLCSKLSFSYFVQIQPLIRPTKDIMSVHNIRYEWHEFFPNASYNIAAILSLWAPVLLVYLMDTQIWYAIFSTISGGMSGALGRLGEIRTLGMLRSRFHSLPGAFNTYLVPSDKGRSKRFSLSKRFAEVSPNKRTEAAKFAQLWNEVICSFRDEDFISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWRRICADEYMKCAVIECYESFKLVLNLVVVGENEKRIIGIIIKEIEANIAKNTFLANFRMSTLPVLCKKFVELVSTLKERDASKFDNVVLLLQDMLEVITRDMMVNEIKELAEFGHGNKDLVPRRQLFAGTGTKPAIVFPPPNSAQWEEQIKRLYLLLTVKESAMDVPTNLEARRRISFFTNSLFMEMPRAPRVRKMLSFSVMTPYYSEETVYSRNDLDLENEDGVSIIFYLQKIFPDEWDNFMERINCKRETEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYRKALKLQAFLDMASESEILEGYKAIADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRHATDILNLMVNYPGLRVAYIDEVEERDGEKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNENHGIRPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQVLSRDIYRLGHRFDFFRMLSCYFTTVGFYVSSMMVVIIVYVFLYGRLYLALSGLEFAIMKQARMRGNRALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCAVFFTFSLGTKSHYFGRTILHGGAKYRATGRGFVVRHVKFAENYRMYSRSHFVKGLELMLLLVVYELYGDVATDSTAYVLLTSSMWFLVITWLFAPFLFNPSGFEWQKVVDDWDDWNKWISSRGGIGVPANKAWESWWEEEQEHLLSTGIIGRIWEIILSLRFFIFQYGIMYHLNISNGNKSISIYGLSWLVIVAVVLVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSVGTLAILFTLLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKALGLWGSVKALSRGYEYLMGIVIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQN >SECCE7Rv1G0497060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:620544192:620544997:1 gene:SECCE7Rv1G0497060 transcript:SECCE7Rv1G0497060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAPPTKPQQHLQCRSPHAAATLHLLLLGVALAMASGTTRAHAVHAPCCNLLQGVNLAPCLAMAASGGGAVNISAACCSSLNQALDAGRRCLCSLLLANSVLASLVATLIPTLPMVLPLPGCYLYAPPLAACQVTLLQTSYDAPPESASVAAGVGDAAAGAVDPPPPQVNIAPPPKNGSAAGLKDGGRTDGSGGNGSREKQSVRRSDACRWPSVGEGRVYMLIFAVVMAVFLFD >SECCE2Rv1G0113680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:724943259:724945422:1 gene:SECCE2Rv1G0113680 transcript:SECCE2Rv1G0113680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFTSSSSDMHRRNQLRRGHVAAAAAAAALLAIGLGAGFTWADTGTFIYAGCSPSKYQPGTPYEDNLKSLLTSITNAAPNAAYSSFANGTGDGAAAYGLYQCRGDLGNGECAACVRDALAQLGQVCPGAYAASLQLEGCYVRYDGTNFVGHPDTAMVYRKCSASTSADAGFLRDRDAVLGALQAAAADGYKVGSSGSVQGVSQCLGDLAAADCTACLAQAVGQLRGACGTALAADVHLAQCYVRYWASGYYFRPSQDDSVRESCYTYDEFLYDFCTTSTADVRWITRTSGITSYENRTHYSSYAQQFRSQDDVGRTLAIIIGILAGLALIVVFLSFLRKSC >SECCE2Rv1G0096000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:430713813:430718602:-1 gene:SECCE2Rv1G0096000 transcript:SECCE2Rv1G0096000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALARALRSLLARCSTKCPCAAVAAASSSCLRSAVPRASYAACPRSILPTSCGGGVPAALTRFVASTAGPGPGDEGSGEEEECMAEWEEDEDEGADAEIGDGGDGGGVVLRDVKWGARALAAAEEVLGEHFRDDIAMFAFKVSPKGYVYVRLDKLTNRYGCPGIEEIENFNRLYKQKLDEIIERGEIPLDLALEISSPGAERLLKVPGDLDRFKDMAMRVQYHAEGDGLVSGQMDSIFMLESVDIQAEHCVWKLADVNQNRAGKGRPLNRKQKDWRLQTSFDAVMKATLYLD >SECCE5Rv1G0309810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:160083824:160084324:-1 gene:SECCE5Rv1G0309810 transcript:SECCE5Rv1G0309810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGCVVAEECTLAVSTERMWKAAFSGEDTTILPKACPGFIDDVDVEGDGGPGSVSTMTLSPAAAELAGSGVTRSRMVARDNAARVIKMEVLEGSKVSGQLKSQVVELKLEAAGEGACVVKLRVEYEKLDGGGALSAEDEATLAAGYLDLFKMVEAYLVAHPVEYA >SECCE2Rv1G0128090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857948512:857949475:1 gene:SECCE2Rv1G0128090 transcript:SECCE2Rv1G0128090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRRALLLVAVAAAAIGLASASFRDNCDIKWNAENAAFSDDGHGLTMSLKSNSSGCLLQTKQKFIYGSVSTRIKLVPGNSAGTVTTYYTSSEGADHDEIDFEFLGNETGQPYTLHTNVFADGVGKKEMQFVPWFDPTTDFHTYTISWTPCMIVWYVDDIPIRVFRNYRDKGIAYPIKRPMFGYSSIWSAEDWCTQGGRIKADWSKAPFVASYRDMVLDVCPCDGSDSCVYGCEGAFGQGGQQQNCAGLSDLQRTKMLEKQKYNRIYDYCVDYKDNKKPGPECSLPQY >SECCE6Rv1G0446580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:845181818:845183233:1 gene:SECCE6Rv1G0446580 transcript:SECCE6Rv1G0446580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSDETSEDSVMNISSSCDGIIKLPAMMHDPNFNGTDADVNVLCEHGEPAEHFVAFEGMHTDRRFLGCAKKEGINCGVIQWIDFEWPDSMEKALAKLWDMYEEIKSARTNDNLESSFAIHNLTEEKKKLQENYDNLYADVNSLLDAQQQRGLELNNQKEQKQCLDVKIAELENVVGNLKAELSKKEEEKKKIQEDYDSLYADVNALLDAQQQNGVELNNQKEQKKYVDEKIAELETVVCNLKAELSKKEDEKKKLLQKYDTLVNLTAAQANVIRNLKFNHLKEKERLTEESHKLQHHISELQKAEEKIKQKLQGVKAILDE >SECCEUnv1G0541020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93116162:93120616:1 gene:SECCEUnv1G0541020 transcript:SECCEUnv1G0541020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLADMAKEEVAMLIGVSEGIKDLSIKLGDLKNFLADADRRNITDESVRGWVGELKRAMYLATDILDLCQLKAMEQRPSKDMGCLNPLLFCLRNPLHSHHIGTRIKALNKELDSICERGNKFKFAKLEAYQDLKVTGCLATDRKTDSLMERSGVVGEKIEEDTRALVEVLISEGGGDKTGLHMVVAIVGVGGIGKTTLGKKVFNDEAIKGKFTKKIWLSITQDFTDVELISTAITAAGGDLPGGSGALNRALLVSALKNAIENKKFLLVLDDMWGIDAWNKLLMTPFGYGGPGSRVLITTRSETVARNMKATHCHHVDKLGREDAWSLLKNQVLTTDENEHEVDVLKEIGLQIIAKCDGLPLAIKVMGGLLCSKEKSRFAWEDVLNDDIWSVSPMSDELNYAIYLSYKDLPSYLKQCFLHLSLKPKKVVLTVNEIVSMWICDGLVQGGSNSLEEEGKRNYKELILRNLIEIDPKFPSQLICNMHDVIRSFAQFMARDETLVAHNGDAAKRALRSSSFLRLSIETKGVGSDEVEWRYLRDQKLLRTLIFTANLKIEPGDSLINFPSLRLLHIESASIAALLECVHQLKHLRYLTLKRTDMCRLPENIHEMKFLQHICLEDCESFVKLPDGIIKLQGLRFLDVEGTCVNSIPRGFQALTNLRLLSGFPAYIDGDWCSLEELGSLSQLNYLSLESLENVSSALLAAKARVNAKKQLTFLGLKCGGIVGDGLVQGSVSESEEGQIIEAVFDVLCPQPCIEQIIIERYFGRRLPGWMSSTAMVPLESLKILVLEDLPCCTQLPDGLCTLPYLEWIKVAGAPVIKCVGPEFVQQYNQLHRPSSQFAATFPKLQKLSFFSMEEWEKWVWEAEVKSMSLLEELRITSCKLGRMPPGLMSHAMALKKLQIWNVQRLHSLENFVSVVELDLYNIPELDMISNLPKLQKLEIALCPKLKTLQQMATLRRLQLGVSSWESQLPDYLQTIKPSHLLLTCSLDVLTSMAEGESSSEWDKFSHIKQVEAYAEDGQDEKKWHVLYTSESCNIQTNIHQDRLVEEEDHISCKDI >SECCE4Rv1G0248130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:568324816:568326234:-1 gene:SECCE4Rv1G0248130 transcript:SECCE4Rv1G0248130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSGDASGALPHVVLLPSAGMGHLVPFSRLAVSLSSPGHGCAVSVATVLPTVSSAESAHLDALFGACPAVRRLDFHLARFDASEFPGADPFFLRFEAMRRSAPLLGPLLAGAGASALVTDIALASVVIPVARDLRLPCYVLFTASAAMLSLCVHFPAYLDANAGGSVGDVDIPGVYRVPKASIPQALHHPEHLFTRQFVANGRELAKADGLLVNSFDAFEPEAISALRDGSVAAGFPPVFSVGPLAPVSFSAGEPPENQADYMQWLEAQPARSVVYVSFGSRKAISKDQLRELAVGLEASGHRFLWVVKSTVVDRDDEAELSELLGEGFLERVQGRGMVTKGWVEQEEVLKQESIGLFISHCGWNSVTEAAANGLPILAWPRFGDQRVNAGVVARSGLGVWEERWSWEGEEGVVSGDNIAEKVKTVMADKTVRNKAVSVQDAAAKAVTDGGTSYRSLAQFVQRCRDLSVSK >SECCE6Rv1G0401640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:472018180:472020258:1 gene:SECCE6Rv1G0401640 transcript:SECCE6Rv1G0401640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNGSPVISDPMAMSQSLMGVLPSNMMPFSVRPGGYSGAGGAGVNVSRRKIEEVLVSGLLDAMKSSSPRKKHNVVFGQEDLPEEDPAYTAWMAKCPSALASFKQIVASAQGKKIAVFLDYDGTLSPIVDDPEKAVMSPVMRAAVRNVAKYFPAAIVSGRSRKKVLEFVKLKELCYAGSHGMDIMTSSAAHYEHNTEKGKEANLFQPARDFLPMIDEVSKALLEVTSGIEGASVEDNKFCVSVHYRNVDEKDWELVARLVNEVLEGFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLSDSENVIPIYIGDDRTDEDAFKVLRERNCGYGILVSQAPKETEAFYSLRDPSEVMEFLNSLVRWKKHSL >SECCE3Rv1G0202410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:873578387:873580720:-1 gene:SECCE3Rv1G0202410 transcript:SECCE3Rv1G0202410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEVESKGSHGGDDRRKDRRSSWGCAFLLVNNCFQATAYFGVSTNLVNYLKVQLHSGSNAAANSVTNWQGTASITPLAAAFLADSFLGRYWTITVCLLISVAGYGVVTASASAALESAVFYAGLYLVALGGALQPVMSSFGADQFDVEADEEERGRQSSFFNWFYLSMNVGSLVGGTVLVWVQSAHGWLLGYGIPALLSVVAVALFLAGTGAYRRHQPPGGSPLTRIAQVVVAAARKCDVEVPADAALLHERDSDDGMSAILGSRRLAHTEQFRFLDKAAVETAGDKASPWRLCTVTQVEELKCVLRLLPVWACGIIFAAAYTQMTTTFILQGDTLDPRVGSFRFPAAVLTVFDTLSVMLWVPLYDRAVVPLARRLTGHRRGFTQLARMGVGFVVLTVAMLAAGTLEVARRRVVARHGTYTGADGAEYVPMSIFWQVPQYVVVGAAEVFTFIGQMEFFYDQAPDAMRSVCSGLSGAAFALGNYASSALVAVVVRATTRGGRPGWIPDDINDGHLDYFFWLLAMLCIGNFGAYLLVARWYSYKKTAD >SECCE1Rv1G0005130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:23835526:23838287:-1 gene:SECCE1Rv1G0005130 transcript:SECCE1Rv1G0005130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHYDESYVDCFINFDAMEEPSMEDLLGARQPRAVAGQPQQDAATLVHEAHPNPAPDAAQVNLAAPPSPSRDVDVQYFHAPAPPPIQHQQLPYELYFPGFQDEILMPEPIPQVHPSIQVQDAPSVHMQHHQAPAPAPMHEDDQYRHVPAAPADHEDHQMLDESLLHDLMQMLTPTADVHLEAAPSDYVQHLQAPATAVQHQHALGASSAHHFHGQMPGPGEASVHMQHRQARTPALMPYQPQAPAAPSDPELAPVHQMQDKSSDYSFGDIVLDDALLQDLMQMPLPMAHDIHAAPADHVQHVQAPAPAIQHHRQLPAESSTRGFQDQMQGESAARRFHAQVTDEASTCGSSRTPMPACQEDILSPHSSGCSSMVREYLMENDQFDIAEAPLMSDGGSNGVPSAGLMDDEEGFVPLVPGRLQCSQCHVVRQIRFQCEIPLVHIFLHSVTHTSFEDAIRNHNVSARGTFEHAILDRHHFAVGGQVPRAERMYIDFRNRTGEFVLNFLANILGALRMETGGTLEDSYETVQRAPARSNVNPPGPELRNDALQQVETTMLNMIINSTVVNAEAAQPAPPSPSAEPELAIAATTNTVHEILTPPNIFDSSNVVPEEHPISEAAKLQQEGTASLSAEEEGEVEMRQYLHEMMLKARRELDMPYGPVQKFCRGNTYTWMWRRISTLNRRIINFQEKSLNVTLNVLLRIKTEVDEAVAEKERLLAEIVRGMKKQRESRGKNDREAGTSGTKKAGGASN >SECCE5Rv1G0339290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:606495846:606500211:-1 gene:SECCE5Rv1G0339290 transcript:SECCE5Rv1G0339290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALSGSDLFTNQGFYLKVSDSSHATYVTLPEEQHDLILSDKIQLGQFIHVDRLEAATPVPILWGVRPVPGRHPCVGNPEDLVLTSSSKVAGSKKAQPANGSKPANGSKPTNGLKDAGVLSLEKEKSKLEKINAPHKTLGTENKKPVLTKSKSSLSKQALNGVTEKKETVKPKARPARVRSTPSSPTSVYSLPATFDRFSSDLRQRHGVKGPEKASSSRLSLLERAASVLKVTTAGRRSSAVNSISSSVLGIGSGPKALRRSWEGAVDTKAKNNSDSKTTKADRKPENRGPTTPRRKPPVNEKVIHKDDSKIHNPARKSTSSAPAPPVDADKAPKKHPPTLKRTSGGLSNPNVTNLVKIPPNSKKLTDVGSSWTSLPPSLAKLGKELLKYRESAQVAAVEAMQEASAAESLLRCLSSYAEVSSTAEEQNPQPTVEQFLALHSSLSRATVITDTLTKSAAPPECSAVSDAGTVVSATDDEAAAAVAAERQRRAKSWVNAALATDLSGFGLYNLKPVPATVSSPLAVVIVDESVKPVAASPNAVKSLSPAAKSRMSPAKGKPRTGPGATAAAVTPAPPEWERGVGAEERGQLARRLGEESRGWFLGFVERFLDADVSAAAPWDRERAARMLPQLKRVNDWLGEIGTRGEAPPPPPQQDGDEEAAATTAAAASANGCGVPEETIERLRKKIYEFLLTNVDSAAAVLGGAAAPPAPAPSSGKKS >SECCE7Rv1G0522490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:877835872:877841115:1 gene:SECCE7Rv1G0522490 transcript:SECCE7Rv1G0522490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPFQLQSQQGIQQAKALLAENKIMIKKETSPSEPFGGQPAKNASTPKPNMPTAAKVNAMVGNRTWFGGLFNGSGKKRQVNADKNFEMTPLQEQRMQKLKDRLNIPFDETRPDHLTSLKALWKISFPDTELTSLVSEQWKDMGWQGPNPATDFRGCGFVSLENLLFFARRYPASFQKLLLKTQGLRATWEYPFATAGVNVSHMLIQLLELNSARPKTLPGINFVRMLSEHEEVFDILYCIAFEMMDAQWLAMRASYMQFNDVLEATKSQLERELSLEDLQRIQDLPAYNLLLK >SECCE2Rv1G0112930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:717218129:717221058:1 gene:SECCE2Rv1G0112930 transcript:SECCE2Rv1G0112930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREDEMEMEMEMEEGAEAVAQVMAPPSRFRRICVFCGSSKGKKTSYQDAAVGLGEELVARNIDLVYGGGSVGLMGMVSQAVYSGGRHVIGVIPKTLMPREITGETVGEVKEVAGMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYDALLCFIDNAVDEGFIKPTARHIIVLAPTPKELLDKLEEYSPRHEEVVPRTKWETTEQLSCCKTPAALKEGRVIVPAQRGSML >SECCE5Rv1G0350750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:692023252:692025696:1 gene:SECCE5Rv1G0350750 transcript:SECCE5Rv1G0350750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 23, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42070) UniProtKB/Swiss-Prot;Acc:P93740] MLLLRSHHLLLPHAARLLARRAPPLPRTAAAAARLRPLRMSASNHSSSPSAPSPPPAPAMPKSRIPFCPACGSPTKLAVPDGDEKMRAVCSSCGRVHYENPKMVVGCLVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESAAQGASRETLEEACADVEIVSPFAQLDIPLIGQSYIIFRARMKTPTFSPGSESLECALFALDDIPFDSLAFSSIIVTLRMYIEDVKAGNIKFHYCTINKRIGAGPSDLRSFDIDNHLAV >SECCE4Rv1G0280180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:818728119:818730944:1 gene:SECCE4Rv1G0280180 transcript:SECCE4Rv1G0280180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASMEPELPGGSPADEDAEAEVASYELRDLPDQALQARLQRMQASILGGIAARLPDGGGTYRRKLLAVRRELERRKGQASAISAATAPHTPSPSPLPPPPRQGGPPTRLNKYLAVDRSDGMRLVQIQLFGSPHPRLPFPSIMETPLCPSKQLPEVHPIKPKVEPCEDLLSPAPDASEDCETTPLSCNHPFFTIILSRSHVQKPFQLYIPGRFHKHLPEERTSATLICRGRSWAMRYCGDLKMKKLDADWMDFAVDNRLQVNDACVFELVTSSREEVVFQVQILRGDLPKDITSKGYTADEPLVIVG >SECCE2Rv1G0134500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901873075:901873590:1 gene:SECCE2Rv1G0134500 transcript:SECCE2Rv1G0134500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRTILLAVAAMAILSTASAAIYNVGEPGGAWDLSTNYGTWASSRNFHPNDKIVFKYSPQAHDVLEISKVDYDSCSTTSPIATLNSGNDVISLNATGTRYFLCGFPGHCTGGMKVKIDVVSSRSSSSPAPASGPSASNAPPPVPVSAATSMGAIGFGLTILLAVAGLVA >SECCE5Rv1G0370040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:839542389:839545800:1 gene:SECCE5Rv1G0370040 transcript:SECCE5Rv1G0370040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANLDKMQLRQSYRNLWHTDLTNAIQADFPYCCLSLWCGPCVSYMLRKRALYNDMSRYTCCAGYMPCSGKCGESKCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQVACIFSIVAAIVGSEELSEASQILSCLSDMVYCSVCACMQTQHKVEMDKRDGKFGPQPMQVPPMQQMSRIDQPVPPPAGYAPQPAYGQPYGAYPPPPAQGYPPPPAQGYPPAGYPPAGYPQAQGSSYPPPGSYPPPGSYPPPPGYYGK >SECCE5Rv1G0304030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:53205862:53208922:-1 gene:SECCE5Rv1G0304030 transcript:SECCE5Rv1G0304030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLSHCFWLLVTLCFLSRRAAAAPRLPVRAVNLGGWLVTEGWILPSLFDGIPNKDLLDGTQLQFKSVTQNGYVAAEKGGGAGLVANRSQASGWETFKLWRIDETTFNLKVFGNQFVGVQSDGSVVATATSPGKSEKFQLVRNAGQNSMRIMAPNGLFLQANKDSTVTADYGKSTSWGDDDPSVFEVTRVTGLQGEYQICNGYGKAKAAPILKKHWSTYIVKDDFRFISESGLTAVRIPVGWWIASDASPPAPYVGGSLQTLDKAFKWAEEYNLGVIIDLHAAPGSQNPFEHSASKDGSQDWGTSAANIAQTVQVIDFLASRYAASSSLLAMELLNEPLAPGASLESLKTYYRDGYNAVRKHSSEAYVIMSNRLSSPDPTELLEFAGGLPRAVIDVHYYVLFNSMFDTFTVQQNINFIKTNYSSALSTVTKQNGPLTFVGEWVAEWQVPNATKEELQMFANAQMDVYGKATFGWAYWTLKNVNNHWSMEWMIKNGYISLKN >SECCE2Rv1G0088680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:247273218:247273730:-1 gene:SECCE2Rv1G0088680 transcript:SECCE2Rv1G0088680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCYLEFSKYPKWQTREVETSLTKQKKTIDASPGTATNDPADASSIRTDAISVRTDALEHEKRPDGVKKDKRGKADDSACKLSLETVWAAKQEKDEIKEVARNARYAQELELRKEEIALKKEDARNEREDARRQFELDERIMLIDNSGVTDEQKLFYQGKQKEILARGLG >SECCE3Rv1G0147980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:17204314:17205378:1 gene:SECCE3Rv1G0147980 transcript:SECCE3Rv1G0147980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSEVIGDDVATTTPAVRRRVDRWDDWDQRAMATSVDSSNSAMGRRFKQLDMIGAGGFGVVYRARDRHTGEIVAIKYLRTNKYGHDDSGDRYLSAFAGEVSALEKCSGHPSIVQLGASGQHDGEAFIAMEFVGPTLRHVMKRVRFGRRHTEMEVCLMMRQLLAGVMRMNRLGLMHRDLKPGNVLVDHRRNLKICDLGLSCSMADGPPYSNTIGTRGYRAPELLLGSTNYDERIDSWALGVMMAELLAGHHPFYGKTDMEHLSEILDLLGTADIKEWPGYDGRRLPGGWALQSSLRSMFPCPAEARRRRRPQLSEAGFEVLSGLLRCNPAKRLTARAALRHRWFKETNFRASKS >SECCE7Rv1G0519310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864406004:864406394:1 gene:SECCE7Rv1G0519310 transcript:SECCE7Rv1G0519310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCMMNIGKGQLGDNKVLICLWALWLVTLLVLSSEEMGTDACDRQISQTWPNTTCIIRGTCNKYCRREKFDRGICKELNYCFCYRNCAIECI >SECCE7Rv1G0509630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:782786930:782787670:1 gene:SECCE7Rv1G0509630 transcript:SECCE7Rv1G0509630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLLLVLAVVALVSGHVQAFDPNPVQDFCVADPTSKVRENGVACKDPAAVVAEDFLFGGLDKPGGTTSKRFGFTAHQVQIPGLNTLGESHVRLDVAPGGVFPVHYHPRAAETALVLEGSVYFGFVSSYPDNKLYAKVLRKGDVFAVPQGLVHFLYNNGTAPATLYASLSSQNPGLVLLGNSLFAGALPDDLLAKTLLTDQHTVQTIKANFRRP >SECCE4Rv1G0293050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884430628:884432217:-1 gene:SECCE4Rv1G0293050 transcript:SECCE4Rv1G0293050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNGVSIVALWERDSKANKRTSTSTPNPPDVEVESSIIPPTIESNLQLALVQAHDDGHTEPESAAGSPTPIVEDDEANDEVQFEVDLEALESDPGKRIPISRYNVTDQDKVRRRYIELGACQPKNHNFEYRDISGLQRRFSPSWFKDYKWLEYSVDKEAAFCFVCYLFKDKTRCPGGDAFVKKGFNNWNMKSRLKKHEGEVCSAHAEAQEKYDRFTTPQTSILESIASNTSQYKALYKQRLTWTLKCVRFLLRQGLAFRGHDESEDSLNKGNFLELLNWLAGNFEEVDRVVLKNAPRNCRMTHHDIQQEVIKYCAQETTKLVIEELDGGHFAILADESSDVYQNEQLAVCLRYVDKKGRAVVRFLGLAHVEDTTSLTLKAAIQKMLMDYNLTFAMVHGQGYDGASNMRGNANGLKKLIMDESPSAYYVHCFAHQLQLTLVAVAKESGDCTWFFQQLAHLLNALGMSCKKMRMLRIAQAEELINALELEEVETGSGLNQEMGLGRPCDTRWSSHFKTVNRVISMYGTLR >SECCE6Rv1G0399910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:444923864:444924604:1 gene:SECCE6Rv1G0399910 transcript:SECCE6Rv1G0399910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPLLSLTDAATVTAVPAPGRAPTRARIQAAPRHPATVSLRCHRARPLTPAAATGDSPSSAAFHGECFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGSFAFVGLPSAAYPIPFVAPGEESSRYAVIIGGANFGCGSSREHAPVALGAAGARAVVAEGYARIFFRNSVATGEVYPLELADSGASKECKTGDVVTVDLDNSVLINHTSGKQYKLKPIGDAGPVIEAGGIFAYARKTGMIASKSA >SECCE3Rv1G0188150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:710320813:710321354:-1 gene:SECCE3Rv1G0188150 transcript:SECCE3Rv1G0188150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNKPPAQKEGLAAIL >SECCE5Rv1G0325500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:466282583:466284033:1 gene:SECCE5Rv1G0325500 transcript:SECCE5Rv1G0325500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTRRHHSSSWRQKLPRLTKKILTLSLYALVPLAVLHYLLSPPPVAVPSSTSTSPLHDGNKQQGVAGAASRKRQGSTAPAPRCDYSEGQWVRDAAGPRYNGTSCGATIKDGQNCMAHGRPDTGYLNWRWQPRGCALPPFAPAEFLEQVRGRHVAFVGDSLARNQCESLVCLLGSEFPAELVLDGGKERKFRRWAFRSHNATVSVFWSPFLVNGTEKSSEPGGPDYNKLYLDQPDERWAAELPGIDVVVLSIGHWFMHPAMYYERGAVIGCHHCPEPNRTDTGFFGVFRLAVKNALREVVARARASPGREKLAVVATFSPAHFDGEWDSPDACARTEPYAPGKKEMLYMDRQMWQTEAEEAATAAAEATVRGSAVTVEALEVTRLSDMRADGHPGAYMYAFPFAGAGEKNQERVPSDCVHWCLPGPIDTWNEILLQMVKRWSDASSSLAR >SECCE6Rv1G0395560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:331773948:331790137:1 gene:SECCE6Rv1G0395560 transcript:SECCE6Rv1G0395560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFLVAASPSADEGPEAPQPPPRRWHRWSRIAVELDGRIDARFRHRESRRLLDSLAETRTFEHKYYTHGEERCPTYVNRMINASTFAGCYHPAREGVSAMEFDKKGVYLASVTISGCLTVHDFETLYCSLYGPSCNLPDDSSNYVLHISNSMPLCAVRWNPGNQDEIVCSSSQTDKVLLFDIGYVSSAPTEVLQKGKTKFHGLCSESRKGLTDLAFTPDDKSRLFASGLDGAVYMWDRRLSKTHCVELTALPESKFTSVKLNMDNRTVFGATRNGTIHVWDTRGGRASAAFQSHNEVQPLSSVKISTLLGKIASLKEQSNIVSSEILSIDFNPSCSYQLAFHLDDGWSGVLNVNTFTVSHLHCPPPAWLEGVDSVLHKRKATWLSTSSIYAVGSSSNNGMYALDFHPDTSSACHVDYNEETKGSEENQLAENKFIPLSERVLSCAAHPLSHSIYCGTEFSSLLMVSQKYETVRDPE >SECCE2Rv1G0104800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:611561775:611563752:1 gene:SECCE2Rv1G0104800 transcript:SECCE2Rv1G0104800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHVQSDEAAASAAVFASRYVQDPVPSYELGEKSISKDAAYQIIHDELLLDGSPRLNLASFVTTWMEPECDRLILEGMNKNYADMDEYPVTTELQNRCVNIIARLFNAPVSTGETAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAEGKPYNKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGCYVMDPDKAVEMVDENTICVAAILGSTLTGEFEDVKRLNDLLAAKNKRTRWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYPGVGWVIWRNKEDLPDELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQFLRLGFEGYKNVMENCVESARTLREGLLRTGRFDVISKEDGVPLVAFTFRGIRDGSLAFKLSANLRRFGWIVPAYTMPANLEHMTVLRVVVREDFGRPLAERFLSHVRMALSELDLAAKGPVPKMRLTIELGPARSAEEEASVKVVKREAVSGHRSVSLVSGKTKGVC >SECCE5Rv1G0304920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:63417487:63421987:-1 gene:SECCE5Rv1G0304920 transcript:SECCE5Rv1G0304920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGPIACPTAAQARLLRPLAHLRRLGSPRYVSCRSFAVRSKVTKAMPSMCVSFQPAFRQPVTRATWKKKHNIACYQREGAPQIEAKSMEEVYDSLAEHLLSVLKSIDNLDSKYIVGLAGPPGAGKSTVASEVVRRVNMCWSQKHAKDSSLNSDEDIATMLPMDGFHLYRSQLDAMEDPKEAHARRGAPWTFNPSLFLKCLQTLKEEGSVYAPSFDHGVGDPVENDIFVKPQHKIVIVEGNYLLLEEDFWREIRDMFDEKWFIDIDIDVSMQRVLQRHIGTGKEPDVAAWRISYNDRPNAELIMESKRAADLVIRSVDY >SECCE6Rv1G0402480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:484469277:484471847:1 gene:SECCE6Rv1G0402480 transcript:SECCE6Rv1G0402480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVLLAAAVVAVAVAAAGADIAADRAECSDKLVALATCLTFAQGQAPAPTPDCCAGLKTVLQTSPKCLCVLVKDRDDPGLGLKLNVTRALGLPAACSAPANISDCPRLLNMPPNSKDAQVFEQFAKQQAAAQSSPSGASSAPSTGAQKNAATRMRWLGVGGVGVAARAAALLFFAVPFLLLVR >SECCE1Rv1G0052670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:663458911:663459807:-1 gene:SECCE1Rv1G0052670 transcript:SECCE1Rv1G0052670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTVAEAHATHPDGGRVTAAAVVGCICLDHLACAECDRILGIPFLAIDASPGSASGSGSPPVPTAPIGVGLRLGIGPGTGGAGAGAERFDASISGMAERLGLAPAVGDRAEEVFRKMEAARAWPRGPGCRWGKGRSRLDMGRLYAACLSIACRNEGSPRSLREVALATEDGSAAARKEIGKLIAHIRKRLGEEEAGQAAGVGVVPVSSCVRRLGPLLGLGDREAAAALEAARRLEEGALDLRHNAETVAAAVVCVALERAGASRHIRDVATATGIAYLGVDIVCRKLRPHAALLFD >SECCE1Rv1G0011330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:75654676:75655644:-1 gene:SECCE1Rv1G0011330 transcript:SECCE1Rv1G0011330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKFESKEALILACDSMDGPEARSAAAARSASAATTTRAAAAAKKQEEAALHYYYYKPALAASHRARGEAPTQGAAAADSGRGYFSSSEVECSLGRLHRIRTSGGAAPRQKQQQQQQQHPAPEKTARTKKPAAATRGCSRPATPGARLASLLNSIFAGKRHSAQRTAPADQEPACSTAPSYARSCLSKTPPPSGARASRSRSTRTVRFLDIDGELAVAAAAVGHCRRIPVVEVEEELLRAVDVEAHMDGGEKSSDASSDLFELENLAATASESGRWDRDGSYGNELPVYGTTGVGLHRGIGHPRSYEYGPYGLGPSCRKLV >SECCE3Rv1G0196400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:808483798:808484916:-1 gene:SECCE3Rv1G0196400 transcript:SECCE3Rv1G0196400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITKRPRERRGNAADGEQLPQLLDSPLPTPRRSCASADAPGSSLRCAASPLRTHVPFSWESSPGVPKSGRDTREETMPPPKLPPGRWPPQCPTRTNWCYGNGSEASSDDCDASSFSDALDRASSSPARIGSFDRVTSKRFEDIFLGRAASFAKDRSYSSRHAPTGEPSAVVAASSSSARHTKHWRRRGSARHHDDDDGEWPKSNDPVKVMPRVEQMSPRACGLMVFFPWSAKRAACGFKSPSTTRRPVAVDHSPSRGRGNPTLRDALQEDNKTGDMDVQDPPPQQPRGEKRGREEWHGRGWGVSSLLDTSKRYCTDARKALSKLSIGLGADSGKPRVGRDRRSGKQDDTSTTTTATVVGAKLTKLKSNRN >SECCE6Rv1G0449640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863639336:863639650:1 gene:SECCE6Rv1G0449640 transcript:SECCE6Rv1G0449640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHARDELSLELTLAAAVVAPVPGFFLCVYCHRKFRTSQALGGHQNAHKEERAAKRRRDAWKPGRSVATVRVSEEPAAEGGIALHKRSTSSRERDHEVDLSLRL >SECCE7Rv1G0463400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:52558508:52563727:1 gene:SECCE7Rv1G0463400 transcript:SECCE7Rv1G0463400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRSPAAASASASPGAAAAAMAPGVGGVEPAVALDQVPRWSDPDQRLHSPSSPTAAGSEAPASSFLSFADPLDAAAGAGGHGACRFPVDPEVNSRIYLWRGHPWNLEVDAVVNSTNESLDEAHSSPGLHAAAGPELAEECATLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAESALSHCYRSCLELLVENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKITAVVFCTTSSTDTEIYKRLLPLYFPRDKQEEEIASLKLPADVGDENGEPIIDERKIRIKTLPAEAANSKYAVPVPADIPLSGSGLTRRRNSKLDSYLDPSFMSIIKDPDLRRKEQWEKSAQARKGFNFAKLLGFGDLGGPALTAAEEYSLHQRYLAKANSLNLSEIAEMKIIYRGGVDSEGRPIMVVVGAHFLLRCLDLERFILYVVKEFEPLIQKPYSIVYFHSAASLQVQPDLGFMKRLQQMLGRKHQRNLQAIYVLHPTLGLRTAILALQLLVDGDVWKKVVYVDRLMQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIVDPRTKHVYQRPSG >SECCE2Rv1G0139540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:927768412:927771462:-1 gene:SECCE2Rv1G0139540 transcript:SECCE2Rv1G0139540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKLGVEVASAHDLMPKDGQGSASACVELTFDGQRFRTAIKEKDLNPVWNECFYFNVSDPTNLPELALEAYVYNIHKSVEGSRSFLGKVRIAGTSFVPFTDAVIMHYPLEKRGMFSRVKGELGLKVYITNDPSIRASNPLPAMDPVSNNAPPTQAEQIAADITGTNLNASQRHQEHRHDEVRTLHTIAKDVQHHQHHGHLPASFAEQPSNSKYGVEQMKPQPQQPKMVRMYSAASQQPMDYALKETSPFLGGGQIVGGRVIGGEKHASTYDLVERMQYLFVRVVKARDLPNMDITGSLDPFVEVRVGNYRGITKHFEKQRNPEWNAVFAFSRERMQASVIEVLVKDKDLVRDDFVGMVRFDLNDVPVRVPPDSPLAPEWYRLVHKDGDKSRGELMLAVWIGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIIEAQDILIHDKTRYPDVFVRAQVGHQHGRTKPVQARNFNPFWNEDLMFVAAEPFEDHLILSLEDRVAPNKDETLGRIIIPLTMIDRRADDRIVHGKWFNLEKPVLVDVDQLKREKFSSRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGVLGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIMNNPNPKFNEQYTWEVYDPATVLTIGAFDNGQLGERHGDKPSSGKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSLVNMLYLYSRPLLPKMHYARPIPVLQVDMLRHQAVQIVAARLSRMEPPLRKEVVEYMSDFDSHLWSMRRSKANFFRLMNVFSGLFAISKWFSGVCAWKNPITTVLVHILFIMLVCFPELILPTVFLYMFLIGIWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSQEVVRMRYDRLRSVAGRIQTVVGDIATQGERVQALLSWRDPRATAIFVLFCFIAAIVLYVTPLQVLAALGGFYAMRHPRFRHRLPSTPVNFFRRLPARTDSML >SECCE6Rv1G0401940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:475472248:475472919:1 gene:SECCE6Rv1G0401940 transcript:SECCE6Rv1G0401940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATEDSSSGSETTTSSSVEALASPPSPTATTASSKKKRPRNDGRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRAAHLNFPDLAHELPRPATAAPKDVQAAAALAASADFPTSATAAANAGAKNPDGPEPSAASASASASLPPDTAEDALFDLPDLLFDLRHGPPSCQLSCASSWDDDVAFAGPGAGVFRLEEPLQWEY >SECCE5Rv1G0370910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:844492645:844500108:1 gene:SECCE5Rv1G0370910 transcript:SECCE5Rv1G0370910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSFKSEWGPAICMVLIELFTTGQLLLTKVVVDAGLLVFALLTYRFFLGAVLVVPLALIFEPGKLKELKLKAFIWIFTSALAGFTIPGLAYIGLGDTSPGYAVNFYNIIPIAAFILAVLFRKEPLDMTSLVGNIKVVGTLVCVGGTLVISLYKGKAQMLKVFPYKYWSTVATCFVGSIQTAVVVVAMNREKATWALKWNMSLLTIVYSAILNTAAKFVMISWVVTQRGPTYPAMFCAVTVFFTTILDSLLLGHDLSVGSVLGMFMILAGLYLFLWGKRKESIPPSEENPTEQMLVQSGDKNDKSVANV >SECCE5Rv1G0333340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:556265341:556266432:1 gene:SECCE5Rv1G0333340 transcript:SECCE5Rv1G0333340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATPAATEDPCPCVLMAPQIEADDGTLTTAGFYRWEEGQDAATLVMDAMPDYHSFHPDTEAAHDLAHCDGLLLVPTDAGARVLNPATSRVLALPSVVAPPPPLPSGRIVGHQAFGLGRDPRSGAYKVARFFYRSLDEVELYMGGCTYTYALGAEVLTLGGGGGADQHWRETPALPPCPVRPGRTATFFKGALLFTPHERVLGKEAPGFIHLSLDDELFGVTPGPPCDKRIDYAASSMAELRGELWLCVGSPRPGIGSVEMWACGDLAGAARWERRHLVEACVFPGHRSLRPVTASAGTILLRMGPSHLWCYRRQGREPGYDDIVNMRRIKYHRHDHEVVEYQWDTIYAVYVIPYIPSLVPI >SECCE3Rv1G0188420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:713290462:713292050:1 gene:SECCE3Rv1G0188420 transcript:SECCE3Rv1G0188420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGDDLPLDRISDLPDIILVTILSRLGMGEAARSSILSSRWRRLFPSTLLDFKAGSIRRDRAVEAVTSILAAHPTEPVRSFSTCRLSFRREDEDAVDGWLRDLANRGIEELHLHFSEKRQQKVPESLFACASLKRLRVINGTFPGATEAAASLAVLTEIDLSDVKISEDSLNSLLSHCTALEHLGIHSISKCDRLHVRSRSLKVLSSSGDIKELFIDDAPNLERVFGGYMDMRKIKIKIAHAPKLEFLGYLGMNNDIEFGDTKFTKFRKKNIHAGTIMPSLKTLAVELRHTAEGYVNEHYINWFMQLLKVFPCLETIYIKSDSWSEARDGSPGSWDVLTSVPCIDNHLEKVVFEVYRGQKWQRDMAKFLHGRSRFLKTMEFHCMDDTSSEDYGRAPPEEWVRKQQELLRLDSRAAKDARFLFFKSQLVVNHHECSHLESYQRGYYRDMYNL >SECCE3Rv1G0198350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:830944408:830946168:1 gene:SECCE3Rv1G0198350 transcript:SECCE3Rv1G0198350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHFTLNTGARIPSVGLGTYKAAPGVIADVLSAAVKAGYRHIDCAPLYHNEKEIGVALKRLFDDGVVKRQDLFITSKIWCSDLAPEDVPLAIDSTLKDLQLDYLDLYLIHWPFQVKKGTEISPENFVHPDIPKTWQAMEQLYDSGKARAIGVSNFSSKKLGDLLAVARVPPAVDQVECHLGWQQAKLRAFCQSSGVHLSAYAPLGRMKDVASNPVVTSVAESLGKTPAQIALRWGLQQGQSVLPKSANESRLKENIDLFDWSIPEELCVKLSEIKQVKQIRGDSFVHPQSIYKTCEELFDGEI >SECCE1Rv1G0008850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:50413897:50415327:-1 gene:SECCE1Rv1G0008850 transcript:SECCE1Rv1G0008850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRSIELPVVEQDGTMPSSSPPSDLDIMEEYVETVKERESEAKLKDVNLDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSHLGWGPGVAVIASSFGITLYTLWQMVEMHEMIPGKRFDRYHELGQHAFGKKLGLWIIVPQQLVVDVGTDIVYMVTGGQSLKKFHDLVCNGRCRDIRLTFFIMIFGSVHFLLSQMPNFNSISGVSAAAAVMSICYSMVAFFASVLHKHPAVTAIDYGLKAATTAGHVFGALNALGAVAFAFAGHNVVLEIQATIPSTPEQPSKKPMWRGVMVAYAIVALCYFSVAFGGYYAFGNSVDPNILITLDKPRWLIALANLMVVVHVIGSYQVFAMPVFDMMETVLVKKLKFSPGLPLRLTARSAYVALTMLVGMTFPFFDGLLGFFGGFAFAPTTYFLPCIIWLMLRKPAKYSVTWFLNWIFIAIGVALMLLSPIGGLRQIILDAKTFKFYS >SECCE5Rv1G0367130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819378780:819382342:-1 gene:SECCE5Rv1G0367130 transcript:SECCE5Rv1G0367130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) UniProtKB/TrEMBL;Acc:F4IM00] MERKQADYGNLDERYIIQGERYQGQQYSHIYYTRLHHMRTLLHALVPSRKPHLPVTTVLGLEEGKDCVLVGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHSDDNLILEDESGRVALAGAIPPAAYVTGVVVALHGKETSAGNFLVEDVMEAGLPAQTVLPSINEDKYVVFVSGLSVGSSTFNPLQFQLFIDHITGHLGDENEQTIASKIVRVVVAGNSVHIAPRFLNGQTVAAKDQPRIAEPIKELDIMLTQLVASLPVDIMPGCNDPANFALPQQPLHRCLFSGASTYNTFSSCPNPHQFDLDNVKFIGTSGQNIDDLYRYSDAKDRLEFMERTLKWRHLAPTAPNSLGCYPYTDKDPFLVETCPHVYFVGNQDRYETRLLKGTEKQQVRLISIPRFCESGIAVMLNLRNLECSTLSFSTSFDA >SECCE7Rv1G0484880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:350385992:350388599:-1 gene:SECCE7Rv1G0484880 transcript:SECCE7Rv1G0484880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKHFKYVILGGGVAAGYAAREFGKQGVQPGELAIVSKESVAPYERPALSKGYLFPQNAARLPGFHTCVGSGGEKLLPEWYTEKGIELILSTEIVKADLASKTLTSAAGATFTYETLLIATGSSTIKLTDFGVQGAESNNILYLREIDDADKLVAAMQAKKDGKAVVVGGGYIGLELSAALKINNFDVTMVYPEPWCMPRLFTAGIAHFYEGYYASKGINIVKGTVASGFDAEANGDVSVVKLKDGRVLDADIVIVGVGGRPLTGLFKGQVEEEKGGLKTDTFFETSVAGVYAIGDVATFPMKLYNEPRRVEHVDHARKSAEQAVKAIKAKESGESVTEYDYLPYFYSRSFDVAWQFYGDNVGETVLFGDNDPAAAKPKFGTYWVKDGKVIGVFLEGGSADENQAIAKVARAQPPVADVEALGKEGLDFATKI >SECCE6Rv1G0453670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:884219065:884223676:-1 gene:SECCE6Rv1G0453670 transcript:SECCE6Rv1G0453670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDETLLERILNGDEEPKDLPLALLQSITNDFSQDRQIGQGGFGVVYKGELQNGIIVAVKRIEVNQITIDDVSFRREFSSLMNTNHRNVVRFLGFCSNTHHTSRKEAGEINLVSISERILCFEYIRNGSLDKHITDELRGLEWEPRYAIISGICKGLFYLHEAKKIVHMDLKPPNILLDDHMDPKITDFGLSRSNEMSHTTGQRFGTPGYVAPEYEKDGKTSFKCDIYSLGVIIAELVTGCKSVPHKNNVLRRWRHRWNKPPTLLQYQQVTRCIEISVCCRKQESGRRPSILEIISILGESKSTDGHTDKESAYLDDDMLRIEPLELKLNEEMSWSVKLTNKTKASFAFNIERPSQQYTIWPDKGNVTPGCNEYLVQITLQPQQRVPQVTHNADKFIFQSTKVPEGLRDEDITEYIFHEEAGKVVDEVDLMIVLEPTKPQENCKSREDTNMPAEEVPEAKRRKIVESAFGKGKLGSSEKAEATSMDINSSARGKCTKETEQCNLYPLQSFSRCCPTSRKYLGKESDRAVDVTRGAMGSLLNKLGKLVTEDYNLEKCMKRDIESFSQELTKIHLDLPKLEKLDGVKIWVNEVREMSYNIEDMVDSFLVHVEPNSSRSGFRELTHEGLKLLENDTMTHREIGDVIRDIKNQVQAVLDMQKKYNFNVNNVIADPTAKAPIDPRMVIYINKKQLVGIEARTDELIRLFEEDGDVSKQELKMVSIVGLGGLGKTTLARAVYDKLKTQYHSRAFVSVGQNPDVKKVLMNILSALGNRFNDLEVCQLPPPPTTHPQTHQPMILHSN >SECCE1Rv1G0051560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:655247301:655248910:1 gene:SECCE1Rv1G0051560 transcript:SECCE1Rv1G0051560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Lysine decarboxylase-like protein [Source: Projected from Oryza sativa (Os05g0541200)] MGDGAPSRFRTVCVFCGSSAGRRRVFGDAALDLGHELVRRGVDLVYGGGSIGLMGLIARTVLDGGRRVVGVIPRALMPVEILGESVGEVKVVSDMHERKAEMARRSEAFIALPGGYGTMEELLEMITWSQLGIHNKPVGLLNVDGYYDTLLALFDKGTREGFINPDCTHILVSAPTAAELLTKMEEYTRLHQEVAPATSWEISELGYTKAPPTDG >SECCE6Rv1G0445950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:841967845:841971920:-1 gene:SECCE6Rv1G0445950 transcript:SECCE6Rv1G0445950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGSPPARRATSTGQEGHVMTERKRREKINGRLIELSTIIPGLKKLNRGTILADATRYVEELQQKIKALQEAAPGHNSTSLVKNLCYDPPSSSSSSSSSSGAPAGCGSNPRPEIEVQLSEKGVTLRIICGDAKGVVVRALSEVEGLHLSITHANTMRSSACTLIITITAEVDEGFTLTPEEIIGRLNSALEQHSTCTSTEVN >SECCE4Rv1G0222170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55069602:55074895:1 gene:SECCE4Rv1G0222170 transcript:SECCE4Rv1G0222170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEEALLQKPPPSVVVCHHEAAACHHEGCPGCAMDRRKESLRGRIPYKELFFVAATTLAGSLPITCLFPFMYFMVRDFNIAKKEEDIGSYAGFLAASYMIGRAITSIFWGIAADRLGRKPVIVFSMLSVVILQIFFGLSTKYWMAIAARLLLGSLNGLLGPIKAYAIEVCQTEHQALGISVVNTVWGLGVIIGPGLGGYLAQPAEKYPQTFSKESVFGRFPYLLPCLVVSIFAVIVLISCIWLPETIHKHKITEKDIRIVKALPSQQAHWDLPRKKSLLQNWPWMTTMLSYCVFGLHETAYSEIISLWAVSDRKHGGLSFSSGDIGQVLSVSGASLLVYQLLAYHWVHKFLGPLNSSRIASSLSILVLATFPFMTYLSGTKLYFALYTAAMTKSILGITIACGMGLLQNNAVRQDQRGTANGIATTGMSFFKAVAPVGAGILFSWAQKRHDAAFFPGDQVVFLMLLLVQLCGLISTFEPFLVLPPVEECR >SECCEUnv1G0532460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:20581050:20582853:1 gene:SECCEUnv1G0532460 transcript:SECCEUnv1G0532460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDEKGRCGRCREWQEHYYWEHMEDVSKIRFFKLMTGDFQQRTSIPEKIANNFIGKIANGRFALKAPSGEEWRVGVEKIADELFFMPGWEEFAKAHELQENDLLFFKCNGNASFDVLIFDASGCEKVSCFFANKKDTNMRKQFDNIECQHGEEHCILSDSDDTSVPLSQLVRSTHKAPSSKKPRNENESPNSSNYYLKKEVEEEEESDEDHTESNNYYYSRIANCLTGDEREEIFRQVPIQSGNPVYVVVLQKAHVRPANNLLIISSKFAADHLEGRSYEMLLLRPNREEKWCLKYYHSRVTRGFNSRRWNRFVRDNMLREGYVCVFELMKGARKATMTVHVLRKVDDKFVLLG >SECCE5Rv1G0343310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637489682:637493328:-1 gene:SECCE5Rv1G0343310 transcript:SECCE5Rv1G0343310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLRENPSIHIHEMKSVQLSGISKISGALALLLKVAIQFMMLIWFLCFKIPRPDVFIVQNPPSVPTLADVKVVSWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMQHELSQNWGIKATVLYDHSPDFFHPASLTEKHELFCRLGSSICSAMGSADCISVEKEVEDKSTTVLTSKINGGVSLKPNRPALVVSSTSWTPDEDFNILLEAALMYDRRVAATLCEEDSMDEGQLWIDIKNGKQFDYPRLLFIITGKGPDRKKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNRNGLLFSTSSELADELMMLFKGFPEECDTLKSLKDGALSTGSSSKWSTEWETNALPLVKQVIG >SECCE5Rv1G0351400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:699070870:699071934:1 gene:SECCE5Rv1G0351400 transcript:SECCE5Rv1G0351400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A member of Aux/IAA family protein, Regulation of lateral root initiatio [Source: Projected from Oryza sativa (Os03g0633500)] MAGLGFEETELRLGLPGGGNEAEEAAAAARSSGKRGYAETIDLVLKLEPASAAAPPSEADEEVADGVAEAQPSPAAADGQLKRSPSQSSVVTTAQPDADDPEKPRAPKAQAVGWPPVRSFRRNMLAAAEKGGAALVKVSMDGAPYLRKVDMGTYKSYQELSKALEKMFSSFTIGNTPQGMTTGMNESKLVDLLSGSDYVPTYEDKDGDWMLVGDVPWEMFVASCKRLRIMKGSEAIGLAPRAMEKCKSRS >SECCE4Rv1G0225150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:89024063:89025169:1 gene:SECCE4Rv1G0225150 transcript:SECCE4Rv1G0225150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQKSRVQECKVEHIDLIPGMPDDVAVDCLARVPHGSFRSMRGVCRGWNSAATAPDFALARAEAGANEDLVYLLQFGNPAAADGVAPENAQAYGVSVYNVTTGEWRREGAAPPVPMFAQCAAVGSRLAVLGGWDPKTFEPVADVHVLDAATGVWRRGAPMRSARSFFACAEAGGKIYVAGGHDKLKNALKTAEAYDAGADAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTGRQGGFERDAEWFDPASREWRRLERVRAPPSAAHVVVRGRVWCIEGTAVMEYRGERRSWREVGPSPPGLKAGTARAVAVGGGERVVVTGAIESEGGGTGHALWVFDVKSKNWTVVRPPPQFAGFVFSIGSVRV >SECCE3Rv1G0146570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11786779:11787554:1 gene:SECCE3Rv1G0146570 transcript:SECCE3Rv1G0146570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRCTNGHITCSECRTNAEYSCSSCAEPANTRCDIMERVLASMTAPCSFREFGCSATIPFTKKLTHEETCPHAPCHCPIPYCRLYANRGQCLREHIETKHCLVPYGDVTAGSLSPVRVCDSEPVRLVFLDARAVFLLVVERSAPSGRAVSVVQLVSEPVKEEEEEDFKYKIEVHTRAGVLSLSGETQSVGRLMRPYQATASLFVSDDVWSPRDSPVYLEFK >SECCE2Rv1G0071220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:41685914:41686483:-1 gene:SECCE2Rv1G0071220 transcript:SECCE2Rv1G0071220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIYILPGWEGSAHDGRVLRDAISRLDGFRVPQGQYYLVDAGYTNANGFLAPYRGQRYHLGGWTAQNPPRSTEEYFNMLHARARNIVERCFGRLKGRWAILRSPSYFPIKTHCRIIMACTLLHNLILQNMAEDPLAGEDGMMQDNMEILEGENDEPEFITHISTSNEWTNFRNTLSQGMYNSYRARGH >SECCE6Rv1G0397580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:396802864:396804371:-1 gene:SECCE6Rv1G0397580 transcript:SECCE6Rv1G0397580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAPLGYSIEDLRPAGGIEKFRSAAYSNGYEKRLEITFSEAPVFTDPNGRGLRALSRAQIDSVLDLAKCTIVSELSNEKFDSYVLSESSLFVYPYKVVIKTCGTTKLLLAIPRVLELAEELSLPLAAVKYSRGTFIFPEAQPSPHKNFSDEVAFLNGYFGGLKSGGNAYVIGDPAKPGQKWHVYYATQQPEQPVVNLEMCMTGLDKKKASVFFKTSADGHTSCVKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVMGLDPASMAYGDLVKRVLRSFGPSEFSVAVTIVGGCNLAGTWGERLNVGVYDSTNMVVQELPDGGTLIYQSFTAVGEDSTGSPRSVLNCYVDGNLESGSKMDAFFCWEDDAAQEKDERGDAKKMKSS >SECCE5Rv1G0333120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:554538331:554539989:-1 gene:SECCE5Rv1G0333120 transcript:SECCE5Rv1G0333120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTSRHLLLLVLWLSASASTAWAHGGGGHGDGDADAGAKARPDLRAPGLVAVKLWCLALVFAGTLAGGVSPYFMRWNEAFLALGTQFAGGVILGTAMMHFLSDADETFGDLVPHSAYPFASMIACAGYVLTMFAECVVSSVVARGRGPGRTAPSAGALEEGKLSSANGNSTEPQRADAHGPSTASMLRNASTLGDSVLLIAALCFHSVFEGIAIGTEADAWKALWTISLHKILAAIAMGISLLRMLPDRPLLSCFGYAFAFAVSSPTGVGVGILIDATTEGRVADWIYAISMGLATGIFVYVSINHLLAKGYKPQRPVAADTPVGRWLAVVLGVGVIAVVMIWDA >SECCE2Rv1G0080590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:131204837:131208424:-1 gene:SECCE2Rv1G0080590 transcript:SECCE2Rv1G0080590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKEDADGAVERCRERKNLLRAAVEARHALSGAHAGHAAALRNVGAALSDYASGEAHEGALRHSASAAAVLSSAGSSGAEAAALALPPPPPPPGPPEDAPSLVRSMSAPDLPLQPAIKKKPSGEAPIMEEEDEGEDARPPEPEPEDDGQLQPPPPPPPPPTQLPAPTRPPPSLPPGTRHKAAQGDTYEFIFGSPDGIPVPPPTLAPSAATAASWAAERADAPAPPPPPPEPEEQPLRPPPAPPAAADDVAKGKTPMVEAVTRRAVTQKAARRPEGKKGRTVVMAAPPAARLGDILRDLDDHFLKASDSAHEVSKMLEAARMHYHSNFAETRGFVDHSARVMQVITWNRSFKGIPQPEQVKNELDDAEGETHATVLEKLLAWEKKLSHEVKEFEVIKMEYQQKLASLNKKKQRGVSSSSFERTKSAASHLHTRYVVDLQTMESTIAEINRLRDQQLYPKLLELVKGMWHMWDTMYKHHKAQLKIISELKSFDISVAARETSEQHNERTVQLWQVVQEWHVQYGKFMASQKVYVGSLYSWIKLNVIPIDTNLKSNSSQPVETTPPIKRLLHAWHDILGKLPDEDAKKAIHTFSEVVHIILVHQDDEIKLRQRIQDTRKEHERKSRQFEDWVRRYMEKRAGVPPEAGNPDGTRADPLTERKAAMERLENSLKELEEEYTKQCKVVREKSLSLLRTNLPELFRVVSDFSLQSAAMFKSLWSISTTNDQLDD >SECCE5Rv1G0338990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604321347:604324265:-1 gene:SECCE5Rv1G0338990 transcript:SECCE5Rv1G0338990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGSPNNSEWRFNQTLRNVQGMLKGRSFPGKVMLTRRSEPISPPEYSPRSESDRYEYEQNEGSQEVEGQASGNTTDNISSKKSISPSTSSVNSLPDAQGLVSGARATDSARITKFTNELSRPAVILDKLRELSWSGVPPYMRPNIWRLLLGYAPPNADRREGVLTRKRLEYVECVSQYYDIPDTDRSDEEITMLRQIAVDCPRTVPDVTFFQDPQIQKSLERILYTWAIRHPASGYVQGINDLVTPFLIVFLSEHLEGNLDTWSMENLSLQDVSNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVHRIDEPLSKHIEEQGLEFLQFAFRWFNCLLIREVPFHLVTRLWDTYLAEGDYLPDFLVYISASFLLTWSEKLQKLDFQEMVMFLQHLPTRNWAHHELEMVLSRAYMWHTMFKSSPSHLAN >SECCE4Rv1G0273220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:774683161:774684907:-1 gene:SECCE4Rv1G0273220 transcript:SECCE4Rv1G0273220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGHRENGRQRPDPYKALHTQWMMPQRQIKDHHSMNLLALLSERDNAIMERDHALAEKKAAMAERDMAFAQRDSAMAERNAAIVERDNALAALELARTHGFNVNNGNGFNPGSLNGAKNFHHQDQHSHAQPSPLQLADSPYDHAREMHISDAYPISTAPVSAAGKAKKPKKNSSQASPLKRPSGVLRKTKKAAGDWRDVGMSGGGEDPGGAASEMKNEWKDQDLGLNQVSFDESSMPAPACSCTGVLRQCYKWGNGGWQSSCCTMSMSMYPLPVMPNKRHARMGGRKMSGSAFTKLLSRLAAEGHDLSATVDLKDHWAKHGTNRYITIR >SECCEUnv1G0542880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:104340145:104341257:-1 gene:SECCEUnv1G0542880 transcript:SECCEUnv1G0542880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKVSPLHGVVDARRWKAERILGRLVIVVHAAFLEAGFSVSRRHRRDRDLSSTCRLPTEVGATASTLSLEYTAPQLLPRHDMGGAALRVCTNGRHVIFYLQLQVCSIRISTYWVCLDALSVAPLLSGDLHGTARRLLGNDGSPTAALWRKVSDGFSRRIFSDLCREHGVVPGRAPTFMSLPSDAMAAILERLADGKDLLRVESTCTELRRFVADADRDRQLWMPRYKALRWSRLRCWWLFLLHGDTDSDEDLPETSWKVMFVRATRRREHWFLRPTRILVSPRFSTLDAWKWIFGSGSSELPVDAAACFGEMMTETEDDGDKSTAGRRHGHGKAPAAGGHEKKKQQGRHTRTGTGAIHSPSSRYRWKHR >SECCEUnv1G0532690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:23034563:23036135:-1 gene:SECCEUnv1G0532690 transcript:SECCEUnv1G0532690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGASMTTTRKRKAVALEGHPAPAPAGAAADPGMGEDDGGGSGEDGGDLDRLSSLPDAILGEIISLLPTKQGARTRILASRWRHLWCSAPLNLDCRHLASAWHELPALMHRIINAHQGPGRRFCTPKLLYTIRDIHAVEGCLRSPALARLEELKLNSWRGLPLPELVFRFSDTLVVFRIQQCSLPDATVQRFHFPRLKRLEIVNSSTSECSLHSMIAGCPSLECLLISRCSGSRCLRINSLVLRCVTVSNYSLGGAPMLEEIIIESAPCLERLFHLNQKQDLRVSVLFAPKLETLGCTNSTRLVFGSTDIKGSRTIKCLALSMPTLSLAMVIGLMRSFPCLEKLYIQCNTSRKNNNAWRRKYRDLLRKLDIRLKIMVLNYYSGRKADVDFVTFFALNASQLESMTLVVETDDDDFLAKQHDKLQLEASSGARVHFTTERRYEFGCKLSF >SECCE1Rv1G0026930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:376278257:376282834:1 gene:SECCE1Rv1G0026930 transcript:SECCE1Rv1G0026930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQRARSSPSSPLTPSSSTRVKKIFGFSVSLILINLASIMERADENLLPAVYKEVGAAFNAGPTDLGYLNFLMHFLKSVASPLAGILALHYDRPAVLAIGTVFWALSTGAVGVSQHFQQVAFWRAINGLGLAIVIPSLQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSIVATLMAGKDYWGLPGWRLAFIMVALVSFIIGILVYLYSTDPRRIPGNHLLDDGDYERLHLSSKDALPPTSIWMDSWVAMKSVMKVKTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNRSSAALNSLFAIGCASGALLGGVLADRLSQHYPDSARIMCAQFSAFMGIPFSWILLTVIPQSTDYWFAYAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDAKTVNIANGSAQGAYALSRGLLTMMILPFGICVLFYSPLYLVFKHDRDNAKVARFKDQELI >SECCE5Rv1G0357320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:745414372:745416526:1 gene:SECCE5Rv1G0357320 transcript:SECCE5Rv1G0357320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVSFVLMPVYIGLAVSAMGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYEEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEALPKFLKNGDAGIVKMIPTKPMVVETFATYPPLGRFAVRDMRQTVAVGVIKGVEKKDPTGAKVTKAAIKKK >SECCE3Rv1G0169970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:244714432:244719528:1 gene:SECCE3Rv1G0169970 transcript:SECCE3Rv1G0169970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFEFDRRLPASNWIVVRIDGCHFHRFSKIHAFEKPNDENALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSVYGMKWKDFFPNKELTEPPYFDGRVVCYPNMKTIHDYLAWRQVDCHINNHYNTCFWLLVKSGKTEKEAQQTLKGTFSKDKNELLSQQFQVNYEDEPAMFRKGSSVYRDKVETKVKTDDYGNPIKRTRLAITVSNLDIIGPGFWGKHQYILQEGKYRYEYVKKFDDICRLPCCNWIVVRINACQFDQFSLIHSFEKPNDETALSLMNASASLMMEQFPDIIFGYGFSNEYSFVFQENTELYQRNERLILSSCSSCFTSFYMMKWKEYFPSKELVQPPKFEAEILCYPKPKIVCDYLSWRQAECHNRNQYNTCFWMLVKYGEDENKANEILKGTLSKDKNELLFQRFQMNYNNEPAMFRKGSCTYRQKVKVSGDVARDGWDVAVTHVDMGPDFWRKHMYIFDK >SECCE1Rv1G0007380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:40261032:40263259:1 gene:SECCE1Rv1G0007380 transcript:SECCE1Rv1G0007380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTRKEQFDAYVDLILAVRQEGTIKDGEAAETRNTALAPRNKKKSARKAAALEWARKSLEAERAKDRSFKPKTMTEEDVAAAAVHRAKGEEAHRNHDRKGELDAVIKWIATGDPEAIRVSHQWVEENMEAMKLEPLDPTEYWEAVDAKSQEASPIGPSPIQPMCYTGDKSTRGDTMRTLQVFSVRVGAIKGGLDWPLDVYGIVAARDSLDRGRNIIFNRTRDNCQTIDKKNPCLRLTGPTRAVVVVDPACFEVNLRVKGRTESEDRELSCLVFNYHDSGSSESYAIKRVSTSKLCTVALMLGDIVNSVEATISVRVVGGEWPEGFQGLISANTTSIDSKKIELLAFGDKLPLAADGMIQLSRHVVSVEANGELRVCDMASSLEDQTVERDSEAFRAKKASRSMRMLEVNRCKFEVTVAWSLIPNLPHFHKLAKDV >SECCE4Rv1G0262040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:705684191:705685645:-1 gene:SECCE4Rv1G0262040 transcript:SECCE4Rv1G0262040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVANVAAVAQLIEQATGLVSRIIRAVATARQNKHECEHLALRLSIIGDVLPHLPQVPVVERPLKELRTALGKAHELVLACQDRTAANRFFGARRHADSFREVNARIYFLLSLFPMVNYAAITTHLARISPQHTWTWAPTMPSPGSSSASLQTLTIVTATHNFADKLGRGCSGAVYKGRLHDGPEVAVKVLHKHRQHDTFVPELVITFRLRHDHIVRLVGWCEEEDDRMFVYEHISNGTLRDHLQRGGGGSSSSAATAPWRTRVAALLGASRAIHYLHRGAQPVVIHRNVSSSNILLDMNWTPRLSGFGAAVYQAAGEQRGGQLVEEVVGTPGYVDPEYSRTKRVSTASDVYSFGVVMLETLTGRPPVRGSRENGEDVVALVDSVLPTIQTGKLRDVLDGLPSLDQRLPQLEALEIVADTAVRCLSRVDRPDMSKVVANLEEALVVIRSNEPMSMARLSLIRRRTREN >SECCE6Rv1G0411210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606232709:606236346:1 gene:SECCE6Rv1G0411210 transcript:SECCE6Rv1G0411210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAAAPMDIDGAAAAAPPAFKGKAPLSAAAAVKSSPWVEKYRPQSLADVAAHRDIVDTIDRLTDENRLPHLLLYGPPGTGKTSTILAVARKIYGSQYGNMILELNASDERGIGVVRQQIQDFASAHSLSFGAKPAVKLVLLDEADAMTKDAQFALRRVIEKYTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGSHVSERLRHIIKSEGLDVDEGGLTALVRLSNGDMRKSLNILQSTHMASQQITEEAVYLCTGNPMPKDIEQIAFWLLNEPFSTSFKHIADMKMRKGLALIDIIREVTMFVFKIKMPSNVRVKLINDLADIEYRLTFACNDKLQLGALISTFTTARTAMVAAAD >SECCE2Rv1G0081170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:136760550:136761843:-1 gene:SECCE2Rv1G0081170 transcript:SECCE2Rv1G0081170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPNSYEEQRRRQMEENKRKLEELRLHRISAAVREAAVKPMPTKEPKLRYLRPPPPPTRRSGRVASLPKQPDYRNKKTPRRGLPPDRVYATDEARDYAFTKAKELKNQLCSDHPSFIKLMTHSSATRSSYLAIPRQFKKSYLPWFDEMIFLVDEEDGEFPMPYLAKYNGIGTGWALFAIAHKLADGDCLVFQLVQRTKFKVYIIRASSYYENEHC >SECCEUnv1G0529230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6940189:6942508:-1 gene:SECCEUnv1G0529230 transcript:SECCEUnv1G0529230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTMMAVTAKSKNCTLASEKKHGWALPELRFPWDLQEDNKAFSLSLLHDSASPHGGLFASVGLKVSTPAPAVSTSPAEQEFKIPFADHCIKYVSSAVGFPVVGAVEEEVVVDGKIIRKKAKKRGLKLKIKIGNPHLRRLVSGAIAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQTIMKSEGWTGLFRGNFVNVIRVAPSKAIELFAFDTAKKFLTPKGDESSKTPFPPSLVAGALAGVSSTLCTYPLELIKTRLTIEKDVYDNFLHCLVKIVREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLRKLYRKTFKQEEISNLATLLIGSAAGAISSTATFPLEVARKQMQAGAVGGRQVYKNVFHALYCIMEKEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEAEE >SECCEUnv1G0558820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334666090:334666620:1 gene:SECCEUnv1G0558820 transcript:SECCEUnv1G0558820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEASDTAVIQIKDMDPKVFTALLSFVYTDSFPDMYEDKIKLSELCKDTRQGQEDEMSKAVDRGQGGEAAEDEMGLMQWLQGLFVAADRYDLQRLKFICVKQLSQHIGVSSVASTLALAEQHHCRGLKEACFKFIQVQSPPCLQTLMASNGWGHIVTTYPSVLNELIAMLASNQRK >SECCE5Rv1G0302900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:42762773:42763142:-1 gene:SECCE5Rv1G0302900 transcript:SECCE5Rv1G0302900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSDMHTTFPLVYRLVELALILPVATATVERAFSAMSIIKTGLRNKMGDDWMNHRMVCYIERDVFVSIEESKIIERFQGYRSRKGILPRPV >SECCE2Rv1G0108980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:671415042:671419733:1 gene:SECCE2Rv1G0108980 transcript:SECCE2Rv1G0108980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRRRSKQQKLDSLPPPASPAARAPCPMLSPSASPAPATPPPEQEPEVVVPEDWALATDVISSDLSSPVVLVCGPSNSGKSTFTRLLLNKLLPSYGRIGYLDTDVGQPEFSPPGCLSLHIVDEAIADMRNPVLREAERCCFYGDISSKGDPESYLNSLFLLYTYFVEKYRCAGSEVLPLIVNTPGWVKGTGFDMLVEMLRYICPTIVVPIRTRMQRKNLPDGMFWLTGGETEPKVITIDAASRDSLSKSSLRRKDGGGMRERRLVEYFKQCFSSDISLATNKELAYALASLPPYEVSLSDVTVMHLHCEVPRTEIWRSLNATIVGLASSCDTPATAHAVPWCVGLGIVRGVDVQRGLLYVITPIAVEHLQSVDLLLQGLIEIPRSVLQVKGCESPYMPTNVRDKITGKDLYARNLNSPLSRQDDGDSDSDADTM >SECCE5Rv1G0329710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:520367208:520368074:-1 gene:SECCE5Rv1G0329710 transcript:SECCE5Rv1G0329710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGRLLVLIAVALLAVSIAEHKVFASGAEEHDDNLYRVSKGGQGSLQQYECTPACEYRCSDTKYRKPCLFFCNKCCNTCLCVPSGFYGHKDECPCYNDWKTKEGRPKCP >SECCE1Rv1G0032500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:455863860:455867857:-1 gene:SECCE1Rv1G0032500 transcript:SECCE1Rv1G0032500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein, Calcium sensor protein, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os10g0564800)] MGCIQSTPKRRQHPAGYEDPVHLASQTAFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNTRKENLFANRIFDLFDVKKRGVIDFGDFVRALNVFHPNFPVEEKIDFSFKLYDMDGTGFIERKEVKQMLIALLGESEMRLSDEIVETILDKTFSDADTNQDGKIDRTEWENFVSRNPSLLKIMTLSYLKDITTTFPSFVFHSEVDDIVT >SECCE3Rv1G0150320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31510048:31511131:-1 gene:SECCE3Rv1G0150320 transcript:SECCE3Rv1G0150320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYCVTGGTGFIASHLIRALLAAGHTVRATVRDPSDHAKVGFLWDLEGADERLQLLRADLLVEGSFDAAVSGVDGVFHAASPVVVSYEDGKDAQEKLVDPIVKGAGNVLRSCARAAIPPRRVVFTSSCSSVRYRHHHVHGGAPPALNESHWSDADYCRTYGLWYAYAKTVAEKEAWRLATEHGLDLVVVNPSFVIGPVLGRAAPTSTALVVLALLKGDLGKYPNTTIGFVHVDDVVLGHVLAMEDGRASGRLICSGDVAHWSEVLGALRERYPQYPIPTECSGGKGDDRAHKMDTSKMEALGFPPFLSIQQMFDDCIKSFQDKGLLLP >SECCE4Rv1G0234450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:281664578:281664790:-1 gene:SECCE4Rv1G0234450 transcript:SECCE4Rv1G0234450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWRIINMTIAFQLAVFALIATSSVLVISVPLVFASPDGWSNNKNVVFSGTSLWIGLVFLVAILNSLIS >SECCE3Rv1G0166650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:189991519:189999700:1 gene:SECCE3Rv1G0166650 transcript:SECCE3Rv1G0166650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFSIREYAASMRAGTAAEGPRLLGIEDLPPLGAPRCRWWADELASTLAAAAAAASSRRAPAKAKPPKKRSISDLFAAAPPLAVPPAGDTGWKEQTEVDGDEALCAIARRAKEEKKRKRKLQEEEEGEREETAAVAAAPESSGGREPEGNFAARKEALENPNLFDGLDTHSLQKPEASQHYREEREKISQRRKQGKINNINKKKANTQKHIGNSKADKVGKPRDLENFIPRHGILKCTKHTSVKMVKEKRGNSEGKEVIELCRKSVKRVKFSEADAILELPERQSLCRMFSDAMTSSSSSSSSSSSSFMSTEGDKCITAESGSSHMPMEASTKAKANKNSDHEDSPDKSITAESGTSHIPMEAFTKTKEANKNSDHEDSPEPGIREMSAPLIDLNMALPESTELDPRYDSYSEVPNLEHTHEETLSSNVQLLDLRENLMIFSVDSHRLESEQSTADLESLTNSSSAGILLHDEAIKVSDVDAAGPPLSLTELAEIRPGCNNVSVKDTMTMSTSPALPDHTFQDSFRQHHSWFSSSGKFSSWPSHESNVSHSKELNFHSELNMPCGNGPSTGQTVRLMGKDLPVCTTRAESFSGTAQKHTCTFTNDYLNANVFLPQQGRPFLSLQAQNIPNDTVNSTSIIHASTYHASGSQARTTHDYGHPVPAANVLSGDQLPYVNRFVDFSNSQTNRPFLLGCPPPPNRGSAAFQQNSPSRRYYSDPITRTEPPTAPPLPTTRQHGTPSSGFHANLPQQHVVHPASSSVCRLNSVGFTFNHPDRVVQAPSNSIRDATLLARNTDNRVGTAVLGNSNASPSGRYVQKRSGPVKLTPGAKHVLVPNDSTGDGDSAPVYSCVSFGSRSTNAAGP >SECCE7Rv1G0478440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:237040038:237042708:1 gene:SECCE7Rv1G0478440 transcript:SECCE7Rv1G0478440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSDRGEGDLEIGLASPGSEGGGVSPVAPGRRALESCLSGKRLDQSPSPSRAVRRPALVMSSSGKRLDQSPSPSRPVLAMSHSSNRLDQPPGRPVLAMSRSSNRLDHSPSSSSPTPAKGPALVMSGSSKRLEQSLASPSPSPKAGAAAPPVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLDAVRQIIAEIDAQMTGTGEEFDSEVAEIRAAVLNEPNEVGETALLIAAEKGFIDVVVELLKHSDKESLAGKNKSGFDALHVAAKEGHQDIVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHLEVVNLLLERVSGLVELSKANGKNALHFAARQGHVEIVKSLLVSEAQLARKTDKKGQTALHMAVKGTSAAVVRALVNADPAIVMLPDKNGNLALHVATRKKRSEIVNELLLLPDMNVNALTRDRKTAFDIAEGLPLSEESADIKDCLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDDHGVAIVVHAVSFKVFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTTVAFISSSYIVVGRHFKWAALLVTLIGGVIMASVLGTMTYYVVKSKRTRSIRKKVKSTRRSGSNSWNHNSESDSEIDRIYAI >SECCE6Rv1G0437280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:783044006:783052784:-1 gene:SECCE6Rv1G0437280 transcript:SECCE6Rv1G0437280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLSGALGYAQSAVAEEVALQLGIQRDHSFITDELEMMQGFLMAAHDERDDNHVAKIWVKQVRDVSYAVEDCLLDFAVRLEKQPWWRIPRTLLDRHRVANQMKELRAKVEDVSQRNIHYRLIKRSASKPTPDVGQPIISSATTSAGNDAMMWLPKAKRDLVELINCKDDALRVIALWGTSSSELGEVSIIKSAYEDPMIHKNFDCCAWITLMNPFNQLDFIQSILRQLYVTSLHETRLEGKTAIGTQVLKMMATMKEDGLAHVFKRFFMQQTDEDGLAYEVKRYLNDKSYLIVLNGIHNIKEWDCIKTCFPNNKKGSRIIVSTDQVEVASLCIGAEDGTLVHKKFLVDQSLYAFYKKVSRDGRNSGEQGSISHELSTGAYILDTMDTLEESRLIGRGDQKKEIIERITNKYLQEFHVISLWGMGGIGKTALAREIYHSHEISSMFEKRACVTITHPFNSETLLKSLTMQFGVKNEKTLRRCLEGRRYLLVLDDLSSLAEWNAIKQYLPQTAAGCIIITTREENIAEHCSKNGRNIYMLKQLGPDDAYTLFTKKVQIK >SECCE4Rv1G0241990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:467189170:467190368:-1 gene:SECCE4Rv1G0241990 transcript:SECCE4Rv1G0241990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDSAGRNVPAARAAAAVNDLIEVREGATRLKGMLQEQSSGLTELMDGMLNKLSSALSALDTGCTAGASASGSADGVIRVTGRTRKRSFSRRLEGFSGKRVTDTLVDGHIWRKYGQKEIQNSTHPRSYYRCTHKSDQGCNAKRQVQICETHPIKYAVTYYGEHTCKPPSNTPMIILAASDDRAENLVSFAPTFPQLGQATTQLSSSWRTSVDDVFSSSSDPFVQADELAAIVGSAGKTSSTVGSVPDHSGSGIGDMAGGGQGAGMDSFPSSPSNLGFMVGSLGSIGDDDFFLFDP >SECCE2Rv1G0121360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:807212716:807213329:1 gene:SECCE2Rv1G0121360 transcript:SECCE2Rv1G0121360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMASSKGLLLFALLFAAAILVASTDEHPEAKKEENDAGVENFHFGRGHHGHGGHHGGGGGGYGGGGGGYGGGGGGYPGGGEGYGGGGGYPGHGGGYGGGGGHPGHGGGGGYGGGGGCHWGCCGHGLFRHHGCRCCARADEVPEPMYRAPAEVRN >SECCE1Rv1G0051810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:657104085:657104847:1 gene:SECCE1Rv1G0051810 transcript:SECCE1Rv1G0051810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQNQASYHAGETKARTEEKTGQMMGATKDKAGQTTEATKQKAGQTTEATKQKAGETAEATKQKAGQATEATKQKAGETAEVTKQKAAEAKDKTAQTAQAAKERAAETKDQTGSYLGEKTEAAKQKAAEAAEAAKQKASETAQYTQERSSQAAQYTKESAVAGKDKTAGVLQQAGETVVNAVVGAKDAVANTLGMGGDNTTKDTTTGATTKDTSTTTRNH >SECCE1Rv1G0044910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:606003829:606004503:-1 gene:SECCE1Rv1G0044910 transcript:SECCE1Rv1G0044910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVALSSFAVVGRSAARSPVVAPRRRALVVRAQTEPDMDSAKETTSASTSSSPRTSPAPTPIPAAPKPALKKANPSVWDALAFSGPAPERINGRLAMVGFVAALSVEAARGGGLLDQAGSGAGLGWFLVTAGVFSVASLVPLLQGQSVESKSSGVWSADAELLNGRFAMLGLVALAATEFITGAPFVNI >SECCE6Rv1G0409000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:580220249:580225779:-1 gene:SECCE6Rv1G0409000 transcript:SECCE6Rv1G0409000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSASKGKRKRGRKSKAAADHRSPSPDAPAAAPVAENPAPAAAGRRGRKPRRVEVEAPAAADASTPRRGETKHLANGGDAAMAELGPAGWEEVVRVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHFTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVTPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGICVGIAFQSLKHEDVENIGYVIPTPVIKHFIQDYEKSGAYTGFPIIGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGDKALIKVLRNSKVHEFKIKLATHKRLIAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHSMAESPDEQLVVVSQVLVADINIGYEEIVNTQVLAVNGHPVKNLKDLVTTVENCKDEFLKFDLEYDQIVVLETKTAKAATQDILTTHCIPSAMSDDLKT >SECCE4Rv1G0241200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448322528:448322764:1 gene:SECCE4Rv1G0241200 transcript:SECCE4Rv1G0241200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEKEENRGSVEFQVFSFTNKIRRLASHLELHKKDFSSERSLRRLLGKRRRLLAYLAKKNRVRYKKLIDQLNIREQ >SECCE3Rv1G0207420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:920778772:920781557:1 gene:SECCE3Rv1G0207420 transcript:SECCE3Rv1G0207420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCQHRLGSSCPRHHVDELNPSPASLGETHVPHLKWMVHDFSALLETNAASVVSSAFECSGYRWFLRVTPKHKQGVMGNPYVALCLEIHKPCLEQGHTVDVVFELSIFNHSKGEYCGCKASYNFDLKNTYSKPHCLIPLQELLKSSAFLVDDTCVFGVEILKIDVSSPEKKDVVVQKKATTIQNLFVQKKGFIKGTYTWTMDNFLELDLKPFVRSPTFEVGGLKWYVRMYPRGDKYSNDCLSLYLSLDESVELPLESGKVVELTLSILDQKNGKHRTSTSGLWVCGQGHKLVCVHGMGSSNFFGLKELKDPSGGYVVGSSCVVKADLTIVGSSNDG >SECCE4Rv1G0252040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:611522386:611525565:-1 gene:SECCE4Rv1G0252040 transcript:SECCE4Rv1G0252040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIEALDRTPASVTGSSPAVSPENFIKCLRKFYRQWKEDETEMWGSSCAITVATPPPSEDIRYQKSLALSTWFFGHQFPEIIMVFLSKQIHFLCSQKDSDVLKPLKMLVSKEAGVDIVLHILKKGDDGSALMDEIIRAVCADSESNNVVIGHLAREKPEGKFLEAWSEKLHRSRLKLFDVSSGISELLAVKDVTEIMYVKKAAFLSASVMRKYVVSKVEKIIEDEKKISHSKLMVLTEKILLSPINVDVKLKADNVDICYPPVFQSGGKYDLRPSASSNDDDLHYDSGSVIICALGAKYSGYCSNVARTFLIDCNAEKCNAYKVLRQAHDAAIAALTPGSKCCSSYQAAVTVIRDKAPELLPFLTKSAGTGIGIEFRESWLSLSEKNGRTLKEGMIFNVSLGLQNLIDKTNNEKTKEFSLWLADTVLVCKENPKVLTSFISKADSDAFYLFDEENAGLPAVKQAPKANVMVPVKPVLNPLRENLRSHSRTPKEDLRKQLQSEILKKKISEAAVRSDVADHKLLEGLGRSRAMDELVAYKNANDVPVSNRLDIIQVDKQNEAILLPIYGVTVPFHVCTIKKAEIRGESSSGVYVSFTFNVPGTASGLQDPRLQNLIFLKAVTFLSKNRSHAEEIIKSVKTVQKGVTERARRASLVSQERLQLCDGMRRDRIQFPDLWIRPSFACRGRKVAGTLVAHVNGFQYSASKPEKVDIMFSNIKHAFFQPAERDMITLLHFHLYNEIMVGNKKTRDVQFYTEVMDVVHSVGGLKRHSARDPDEIEEEQRERAQRKKINGQFELFVKRVVSVWSQPRFQQLGLHFERPSQKLGFNGVHGKTTCFIVPTPSCLVQLVESPFLVTSLREVEIVCLERVALGQKSFDMVFVFQDLKRDVVRIEVIPTASLDKIKDWLNDCNLKYYESKLNLNWRMVLKKLDESGCDTNDRWEFLNPDATDSDSEDSETDDDKYEPSDAESASDSDDEDSDSESVVDSGEDEAISAGSDDDDDDAAESWDEMERKARDADMEMGSESDSEDERQRRREKAKRQLNPQQSKGVPQKRQRVN >SECCE5Rv1G0319720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:378024091:378029607:-1 gene:SECCE5Rv1G0319720 transcript:SECCE5Rv1G0319720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFGRRSSSHDGEWRRWAVLVATVWVQALTGTNFDFSAYSSALKASMGVSQQSLNYLATASDLGKAFGWSSGLALLYMPLPAVLLLSAVLGLASYALQYCILLPSSTLAATLPYPAVFLICLAAGCSICWFNTVCFVVCIRNFSAASRPLALSLSISFNGLSAAFYTLFANALSPYSPSVYLLLNAILPLAASLVALPAILLCHPHDHSSLRSVPRHDRHVFLAFYAIAFVTGIYLVIFGSVTTTSSAARAVLMGAMALLTLPLIIPAASSCSSVGTHGPDLELTFNNNDPQKPLLLNHDDHTETNGSMAHKTVEWQPKGCCCGTILDKGRVLVLGEEHSAKKLIRCVDFWLYYTAYFCGATVGLVYSNNLGQIVQSLHLQPQLTMLLAVYSSCSFFGRLLSALPDFLHRRVSFARTGWLAAALVPMPMAFFLMWKLHDANTLIAGTALIGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSLLYGQIAALVYDANGLKSTVLDNLTGTVDTTIVCMGAKCYSNTFFVWGCITLLGLASSIALFLRTRQAYATAAGQSNCKHHSQVLS >SECCE7Rv1G0505620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:738745859:738746557:-1 gene:SECCE7Rv1G0505620 transcript:SECCE7Rv1G0505620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGDSSMEADQHHHDEGSTEAGHRRHRWKGPRYDDRQRSSPWVWIAVILCTLLAIGVLVVSATMLAVYFIYKPQMPYMEVTNAQLQRLVYNPADGVISDMHVTVDVLARNTNSKVDTSFSGFNIDVKFNGTTLLQLRANTFTVARESSVPLQYTGGSMAAKLDPAGMQAMDEALKSELVPITLSGKARTRWKKGVFLKVGFWTRLDCPLNFYYRTGVVTPIDHESCRSRSP >SECCE4Rv1G0285000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841819365:841819676:-1 gene:SECCE4Rv1G0285000 transcript:SECCE4Rv1G0285000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE5Rv1G0343990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:642987531:642989196:1 gene:SECCE5Rv1G0343990 transcript:SECCE5Rv1G0343990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYVEEDLAVAAAKILLSLRSRKLVRLPEWIARPSAGHQRPKEEEEAQLPPIPEGWPKRPRLRLRARPEGTAWPSPRLGPHVVGGSGASSGEEERARSAPKAKRASLTGRRPEAPPQYDAATGSGPSTSGADRARSRVSEKASAARAESSPETPFDFANATGPGASSSGDEAARPTAEQGSGGGGGPSSGIEGHGSPAKRWRTDLAAGEAAATAAKVEEQKIKDDCRDEKGHLLFDLNEPWGGN >SECCE4Rv1G0234500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:287869473:287875914:1 gene:SECCE4Rv1G0234500 transcript:SECCE4Rv1G0234500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPRDPALYDPTELEKLKTFECEGNQPEDALDLQNRSKGIRGVEANYALKLANNPPKRSKLDEHKVAMLGKKRARQTVIINDEDAKQAGIMAISTPRRQSRGAGEIAAGTQNQLAIRDQRQSEMMGSERSNSATPSGRNADSNGDVELASPNWSKKMNAEVPPSDGHQQSVPRQATLRQTMDSKQFKGRPVSSQRADVTGQNTADQKQANKRSLVSKKEASANNTQYHDTSVERLLQEVTSDKFWHNPEESELQSVPGSFESAEEYIRVFEPLLFEECRAQLHSSYEESLEAVSRDAHVMVQVRTVDRRERGWYDVLVLPAHEYKWTFKEGEVAVLSFPRPDSAVQSSKSNRKTVGSNEDAESECGRLVGRVWRHMPNDTRDPVGAIIRFYAGNTSDSSCGTNVLGKLQPQSTWYLTGLGSLATTQREYIALHAFRRLNVQMQHAILQPSPEHFPKYQEQPPAMPDCFTPNFSCHLHHTFNGPQLSAIHWAAMHTAAGTGNGVVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYEKVCGSASSTSEAVAAGSMDEVLQSMNQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMRVYRPDVARVGVDSQSRAAQAVSVERRTEQLLMKGREEVIGWLHQLKVREQQLSQEIALLQRELNMVAEAGRSQGSFGVDPDMLAQRDRNRDILLQKLAASVESRDKVLVEMSRLLILESRFRVGRNFNLEDARASLEASFASEAEIVFTTVSSSGRKLFSALNHGFDMVVIDEAAQASEVGVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVFSLPDEAFYRDALMAPYIFYDISHGRESHRGGSSSYQNVHEAQFALCLYEHLQKFLKANGGKRASVGIITPYKLQLKCLQREFAEVMNTEDGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVIGNANALMQSEDWAALLADAKARKCFMDLSSIPSDFLGMNKFSNAWGLNSSSNTRYMRTSGPRPRHFDMLPAPRMGMTAGEDGHPNSVARNGSYRNLSNDVGHPGNRSRDNLQFGRIRGPNPSNDPRRQA >SECCE3Rv1G0190140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:735525115:735526008:1 gene:SECCE3Rv1G0190140 transcript:SECCE3Rv1G0190140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPDRGVSLLPPAPNPSHQPCLRAAKSTAFKREERRKHKEQKRERKRQENLALALEQWEPLGAPPRPAATSPPCPPPDDKPWPCDPPSPADAAPSAAWGRSWGPPAEPPTQPPVAARCPQADAVRACRALFGEHADNDDDGEEEEGDVARFFDELLEKDADLRGFYEAERETGRFLCLVCEGVGARAGKRFPGCAALVQHAGSVARTKRRLAHRAFADALGRLLGWGAGRTTPVPADCDNDGAIDQPEHLDFPSECVEMEVA >SECCE7Rv1G0480950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:277149972:277151639:1 gene:SECCE7Rv1G0480950 transcript:SECCE7Rv1G0480950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTSCLKKHPMRLIFPYANSILRASLEKGSPQKSLMDYSTMLHFTTFCPDYRTYVLLLRACSKCSDIYAAMQIHSHLTKVGLLHNQDIMAPLLRLYIDHGRMMEACELYWPMLEWSTDPFHGNLMLMGFLKGGQLDKAYQIFKRMPVKDLVSWNSMIAGAVRSSHLKDAMNLFSRLVTSGLVPDGFSFSSVLSACARAGARCYGVWVHQLMDELGVEKNHLLISALVDMYAKCGRIAVSVEIFNNVKRKHLSTWNTMISSLAGHGLGSDAVMLFRRMELSGVVPDGVTFVALLTACSHCGMVEEARQYFETMTSKYSITPKVEHYGAMVDTLSRAGLLDEAYNLVRSMAVKPDAVIWRALLSACRRYHQTKLGDVTIEQIACQGSGDYTLLSNIYSSINRWDDSEEVWKEMKKKKVRKIKGLSWVELGGSTHEFKAGDRSHPDSDDIYRVLHGLLKKAKAEGYTPFTELVTKDVSQEEREENLSFHSEKLAVAYSILKTGPGTEILVSKNLQACGDCHEWMKIISKVLCRVIIVRDRVRFHRFESGCCSCKDYW >SECCE4Rv1G0256320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:655451468:655453958:1 gene:SECCE4Rv1G0256320 transcript:SECCE4Rv1G0256320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYDGGKEAADTQLGYPLVAVCIDKDKNSQNALKWAIDSIVGKGQTIVLVHVNTKGVSGGVEDAAGFKQPTDPSLKELFLPFRCFCTRKDIQCKDVVLDDHDVGKSIIEFAAHGAVEKLVTGACTRGGFVRFKADIPTTICKGAPDFCTVYVINKGNKVSAQRNSIRPAPRVSPLRSQIVNMSAAAAAKPPEPAVPVPSNQKWSMSSRGSDNGETPRVDPMIRSPFTRGSMGPTRKSYADLSHMSMPDSADISFVSGGRRSTADSSDISFISSNGGRRSIDYYQQSAPRMSNGSSLDSYDHSFEMTPNKWGGDSFTGGDMSFSQTSASSFCSSGMDDVEAEMKRLRLELKQTMDMYSTACKEALNAKQKANELQRWKAEEEQKRQDQHITEESALQMIEREKAKAKAAMEAAEASQRIAELEVQKRISAEKKLLKEAEERKHRGGGGGGGELRYRRYTIEEIEQATSHFDDARKVGEGGYGPVYNGYLDHTQVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGATGGPVIPWQHRFRICAEIATGLLFLHQKKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPNVADNVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIITAKPPMGLTHHIGRALERGTLGEMLDPAVPDWPVEEAQCLAEMALRCCELRRKDRPDLGNVVLPELNRLRALGEDNMQFCGGAIRGGHAGGMHSSAYQSNVTASRAAEANNDPYPMKSVFSRTNDAAMPPRRTNA >SECCE7Rv1G0465590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:71995175:71996495:-1 gene:SECCE7Rv1G0465590 transcript:SECCE7Rv1G0465590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRNPLVVGKVIGEVIDNFNPTVNMTVTYCSDKQVSNGQEFLPSEVVSKPRIQVPGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGSEVVSYESPKPNIGIHRFIFVLFKQKKRQAVDPRAPSTRDCFNTRHFADENDLGLPVAAVYFNAQRETAARRR >SECCE1Rv1G0005200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:24619769:24622657:1 gene:SECCE1Rv1G0005200 transcript:SECCE1Rv1G0005200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQLVREARRAAASSELVGRAGAWRWLSTAMKGRLEGKIALITGGASGLGKATAHEFIQEGASVVLADINSQLGLQTAEELGPQAHFIHCDVVLEDSVAGAVDAAIARHGRLDVMFNSAGVVGSLSGTSEMATLDLGQFDDIMRVNLRGTLAGIKHATRVMAPAGSGSILCMGSISGVMGSIGSYPYSLSKLAIAGIVRTAAAELARHGVRVNCISPHAIATPMVVRQFSQMLQGADEATVTAIIRGLGELRGATCEAVDVARAAVYLASDDGKYVSGHNLVVDGGFTTYKYMNVPFPKPQDSE >SECCE7Rv1G0492120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:543630215:543632305:-1 gene:SECCE7Rv1G0492120 transcript:SECCE7Rv1G0492120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g53600, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G53600) UniProtKB/Swiss-Prot;Acc:Q9C8L6] MPLLAHTEWFHSMTMAALRLRLPRAPATATLTVVPRQYALPEEEPPPRRPNTAHLNALLTSYGRRGRLRDAQQLFDQMPRRDVISWTALLTAYADNGDLASARLVFDDMPRRNAPSWNALLSVYLRAGQPAAAHALFSKMPVRNVVSYGAMITGLARAGMLREAEAVYAEMPQQWRDPVGSNALMAGYSRAGELGMALTVFDGMAVRDVISWSTVVDGLCKHGSVSEARKVFETMPERNVVSWTSMIRGYVKLRMYRDALLLFLDMRRAGVQVNTTTLSVVLDACAEACLIREGVQIHSLIVAMGFEMDVFLGDSIIILYSRFGWVVDARRAFVCMKWKDIISWNSLITGYVQHNLVEEAYVLFKLMPEKDAVSWTSMVVGFANRGWMRESVDLFEQMPGKDEVAWTAVISSLATNGDYLSAVRWFCRMAREGCRPNTIAFSCLLSALASLTMLNQGMQAHAYAINMGWVFDSSVHTSLVSMYAKCGRLAEAYCIFSAISSPSLIATNSMITAFAQHNFAEDAFKLFTKLQNDGHKPNHATFVGILTGCARVGLVQEGYNYFESMRSVYGIEPNPDHYTCMVDLLGRAGLLAEALEMINSMPQNDHSDAWAALLSASSLHSNLAFAKIAAQKLIELDPYDATAYTILSNMFSSAGMKDDGDMLKVLQLSNMASKSPGYSLIIQDKAAENRKHIEHF >SECCE5Rv1G0348900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:678393212:678393568:1 gene:SECCE5Rv1G0348900 transcript:SECCE5Rv1G0348900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSSTTLRSSIQLHLSSICSCTMPPPLVVNDAVDTTPHHPTTSTPSPLLELLGAHHPQHLSPEQQFLPRRRLHGGYDAQDAAAAQSRLNFGLSSGRGLGVDSGDLGFASRKGNSVK >SECCE1Rv1G0026480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:371297543:371298031:1 gene:SECCE1Rv1G0026480 transcript:SECCE1Rv1G0026480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLSGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYSTPKKLKHEREKTPLAVLGYYRVDDATGKVERLRKECPNPDCGAGTFMAAHADRHACGKCGLTYAYAEAQSPEIDSD >SECCE2Rv1G0136760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:913908772:913910799:1 gene:SECCE2Rv1G0136760 transcript:SECCE2Rv1G0136760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 5 [Source:Projected from Arabidopsis thaliana (AT1G48930) UniProtKB/Swiss-Prot;Acc:Q9M995] MARLTIPSALLVLLAAAASAAASPAWSDYAGAFDKSLQFFEAQRSGKLPADRTVHWRGDSALTDGFSQGVDLVGGYYDAGDHVKFGFPMAYAVTMLSWGVLEFEKEMVAANSLNRALDAIRWGTNYFIKAHTEPNTLWVQVGDGDSDHLCWERAEDMSTPRTASKIDASRPGSEVAAETAAALAAAAKVFRHYDSMYADLLLMHAKQIFTFADTFRGRYDDSLLCAKKFYPSASGYQDELLWGAAWLYEATGDEDYLNYVARNADGFGGTGWAVREFSWDNKYAGVQVLLSKVLLAGGGDGDYADTLKQFRAKAEFFMCACIQKNGGNNVKTTPGGLLYVADWNNMQYVSSSVFLLTVYADYLAESGDKLKCPDGEVAPAEIVAFARSQVDYVLGKNPLSMSYMVGHGDKFPTHVHHRGASIPSVYAVNDTVECMEGFDAYYNSKGADPNVLVGALVGGPDAHDGFVDDRCNYQRAEPTLAAAAPMCGVFARLAASPAAAGNSPGYQPPQDSLHVGGAPLEFVHAVTNSWQANGVDHYRHTVTAKNTCGHPITYLKLRIQGLTGPIYGVSATHDKDMYGFPSWVTTLDAGDKLTIVYIQEGGPAAKIAVAEYKTTG >SECCE7Rv1G0494360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:582100834:582102143:-1 gene:SECCE7Rv1G0494360 transcript:SECCE7Rv1G0494360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage factor Im 25 kDa subunit 1 [Source:Projected from Arabidopsis thaliana (AT4G29820) UniProtKB/Swiss-Prot;Acc:Q94AF0] MGLEMAPVEAERSPEQPELQIYPLCRYYFGARDARPGVGETAADRALRLKANFAARGLRTCVHGVLLVELSGHPHVLLLQVRNSSFLLPGGRLRPAEQDIQGLKRKLSSKLAAADQNGDHHWQIGECIGMWWRSEFEAKPFPYPPPTPKECVKLFLVRLPMARQFVVPRNLKLLAVPLSQIHDNAQVYGPIISGIPNMLSKFSFNVVRD >SECCE3Rv1G0196490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:808962646:808968086:-1 gene:SECCE3Rv1G0196490 transcript:SECCE3Rv1G0196490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSCDVCKEAPSKYKCPTCRTPYCSVICFKKHKDEFCQKIMPQEETNKPLLQEEVSRSSVLLEGIDCPNNKDQLPALPKIQHPDEYQLPSLPVDTTWSAQAPNTVCPIKALEVEDASWLVNRNRLRSLVELNEVRDALRDSELQKMILQIEGSSEPEKELEKLMEGQDFQQFANKILDIISSQQ >SECCE6Rv1G0417470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:658037483:658038106:-1 gene:SECCE6Rv1G0417470 transcript:SECCE6Rv1G0417470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCFSRKNHGLLLQQLISQKTDFGERMIISLLDLEKATNNFDRTREAGGGGHGVVYKGILDLQVVAIKKSKIVVQREIDDFIDEVAVLSQINHRNIVKLIGCCLETEVPLLVYEFISNGTLDHHLHFEGPTLLSWGDRTRIAVEVARALSYLHSSASMPIYHRDNKSSNILLDDNLTANVSDFGASRYIPIDKTGFTTAVQGTTGY >SECCE4Rv1G0268040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:739020725:739023191:-1 gene:SECCE4Rv1G0268040 transcript:SECCE4Rv1G0268040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGEMDAAQVAAYFRGKSILITGATGFLGKVLAEKILRVQPDVTKLFLLVRATDDESARQRVQTEVTGREIFQVLREKHGKGFQDFIQQKVCPLAGDVMYKDFGLDTAKLKEVSKDVDIIVNGAATTNFYERYDVSFDTNVLGAKQICEFANKCTKLKMLLHVSTAYVCGEQEGLILEKPFMMGDTLREGTRLDIESELNLIKHTQMELKANCATDKAERKTMKELGLKRARYFGWPNTYVFTKAMGEMLLGHLRGDLPIVIIRPSIITSILKEPLPGWMEGVRTIDSVFLGYAKQALKFFLVDPNTIMDVIPGDMVVNSMMVAMLAHSEEQAQTIYHVTSSMSNPASYMTLRESAHRYFVDNPPRGENGEPIQLNKMRFFSTVARLRMYMVIKYKLPLEILHLVNVGLCGVFSGRYNELSGKYRLAMRLIELYAPYTLFKGRFDDMNLEKLRKAMEQNSDGGEYCFDFDPKTIGWDDYFYKVHFPGVLKYLA >SECCEUnv1G0529030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6293881:6295836:1 gene:SECCEUnv1G0529030 transcript:SECCEUnv1G0529030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDPRSAMEGRARRRASPAGMRTKAVRVEPEPPPTRRHEAGTRVAAVVYYLCRNHHLEHPHFMELPLASPQGLYLRDVIGRLDALRGKGMAAKYSWSCKRSYKTGFVWHDLSADDLLLPTQGTEYVLKGSELPFDQSKPLPMPDRQQSNAACAKVQPCKPARRQESPRSPGSSNHGWTSKSPSPTPTTYPAVPVVEEEVPLPPPSPPPTTTTTRAAVVPAMKEHAVPPRLPQPVSPSTPSASTIGDDEQCRLPPHSGSSSNSSPKTSMASSSGTSSPSPPKAAAAGSDAATQTDDKARWDDVKLQCRQGTARASPSPEGPEEIVVDEESRCTRAPRSRRSGTLQSLIRAEAAGRRRCLPPPVEDDRAAAAAATGGSVSGRLKPGNLLMRLMACGPSHPGFGLVQNTSSYKPCLPQLEYPSSPDLSPLGALKPGATTGASAARASATENRSGRLLDGALKRSSSSSRGHHEGVVCEEEEAWPKGFNNNLSRSVSKRTGDPPSGRTASCSKVVSFRDE >SECCE7Rv1G0500730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:672860779:672866498:1 gene:SECCE7Rv1G0500730 transcript:SECCE7Rv1G0500730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGATATAGGGTSSGGHHLIGSRIEEHRKYMSESSCCPRCGHKIDQKLDWVGLPAGVKFDPTDQELIEHLEAKVRSGGTAAVAAPSHPLIDEFIPTIEGEDGICYTHPEKLPGVSKDGLSRHFFHRPSKAYTTGTRKRRKIQPPATVDASALSSAGSAAAGVAHQQQQQQQRSETRWHKTGKTRPVAVGGRQRGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGESEEEREGELVVSKIFYQTQPRQCGVGDGASASVPASSTGTSSVVRRRVDRAGTSAVRMTPSDMVNAAFHGTTRIQDFSFARFEEVGMGPDHQVVQVRADEGVLHRPAALHHQLHQHQHQHQQQQHHVVDEHDYQRQHHHYPGLEQEHHRSSTTAFHVSTPSDPIATLISPVVLTAPTAEPYGHGAPSYNNQEDERPHQTRKFDGRSTSGLEEVIMGCTSRRSKGGETSGSKEGTEWQYPSFWPSDNQDHHG >SECCE1Rv1G0001480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:5007753:5020883:1 gene:SECCE1Rv1G0001480 transcript:SECCE1Rv1G0001480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAAAVQEDFPTSTSELEAAFLERCAASGDAAYGELRALLARLQDPATRQEARVFLAGLRRRSCSGEDEFFRRYGFCVRELLLHDSHCGLPITTLSSGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWCPSKVYGLDINPRAIKIAWINLYLNALDDNGLPIYDAEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIVTENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPSGLMVFNMGGRPGQGVCERLFLRRGFRINKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPVCARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLQENTSNPCEPPAGCLNFRNLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALRLFSPGLAIVDEHLTRHLPKQWLTSLAIEENNHAKDTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFVNLLTVTKDVGSRLLLDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDPTVYKALSQTIELLEGHTSVISQHYYGCLFHELLAFQIGDRHPQQEREPAEVISKEMIGFSTSAMSTLEGAEFFVPGSKESGVIHMDLDRSFLPVPSAVNASIFESFVRQNITDSETDVRSSIQQLVKDSYGFSADGGSEIIYGNTCLALFNKLVLCCMQEQGTLLFPLGTNGHYVNAAKFVNATTLTIPTKADSGFKIEPSALAAALEKVSQPWVYISGPTINPTGFLYSDADIAELLSVCAKYGARVVIDTSSSGLEFQAAAGCSQWNLEKCLSNVKSSKPSFSVVLLGELSFELTTAGLDFGFLIMSDSSLVDTFYSFPSLSRPHSTLKYTFRKLLGLKNQKDQHFSDLVVEQKETLKNRANQLIKTLESCGWEAVGCHGGISMLAKPTAYVGKSLKVDGFEGKLDSHNIREALLRSTGLCISSSAWAGVPDYCRFSFALESGEFDRAMECIARFRELVLGGSAKVNGSN >SECCE5Rv1G0333530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:558928503:558931769:-1 gene:SECCE5Rv1G0333530 transcript:SECCE5Rv1G0333530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIIPDKATNTLTIVDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKMVLYLKDDQMEYLEERRIKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDTEEGKVEDVDEEKEEKEKKKKKIKEVSHEWNLVNKQKPIWMRKPEEINKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDNKKKANNIKLYVRRVFIMDNCEELIPEYLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNEIYYITGESKKAVENSPFLEKLKKKGYEVIYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLDESEDEKKKQEELKEKFEGLCKVIKEVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMDELRKRADADKNDKSVKDLVMLLFETSLLTSGFSLEDPNTFGTRIHRMLKLGLSIDEDDEAPENDTDMPPLEDDAGESKMEEVD >SECCE2Rv1G0125060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:838592461:838593996:-1 gene:SECCE2Rv1G0125060 transcript:SECCE2Rv1G0125060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMISWMKRTMSSSMTAPCIPVFVLLILLVISVAAVVPGAGAASPSPTGVDGSDTDLAVLLAFKAHLSDPQGVLASNWTSSASFCHWIGVSCSRRRQRVTAVELPHLPLHGSLAPHLGNPSFLSIINLTNTGLTGAAPVDLGKLCRLKFLDLGRNGLSGSIPPTIGNLTRLQVLVLEANYLSGSIPVDLQNLHDLGFMNLRRNYLTGSIPVDLFNNTPMLTYLTIGNNSLSGPVPYSIALLPMLEFLDLQYNHLTGPLPPAIFNMSKLHSIFLTRNYNLTGRIPDNGSFSLPMLQIISMGFNKFTGQVPLGLTSCWDLKVISMPANLFEGVVPTWLGRFPHLHFVSLGGNNLVGSIPDALGNLTLLSSLGLAWCKLTGPIPANIGQLGQLSFLHLGDNQLTGSIPASLGNLSELSLLVLDRNMLVGSLPRTIGNMNSLGLAKIGFTENRLQGDLSFLSVLSNCRKLWYLDISSNNFSGDLSGYVGICQVSWKLWLHPKPIYLANFRLQFQI >SECCE5Rv1G0350470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:690844201:690845949:1 gene:SECCE5Rv1G0350470 transcript:SECCE5Rv1G0350470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAALRRSGSNSLAALLRAEDDDTGALAATKRRDGGGRRGRSGGRRSCLRLPLGGAGGCRVCACDEVDPAPRRRAPGDGDGEAEAVLDGEEQRVPAALQCFSWKKGPAVAARIGRALRVEDDAVPKDAAPSLTALPDDVLEMVLSRLPLASVLAARCVCRRWRDLTVAPQLLRLRREGPRAATTPWLFLFGVEADGGWGASATATSVHALDVAAHRWRRVGAAPLKGRFLFSVAGVGDELYVVGGRSGGSSAGSVKTKTHKGVMVFSPLTGAWRKTSPMRAARSRPVLGVFEMSATRSIVHARAEKHVRRASAGGKFRLGGTSAVYEDPHRLSLRRLRLRDVLNEDTDSTGPAHGHGKAAKQQKQPVQARQALIAVGGRGPWDEPLVSGEIYDPVTDKWVEIAGFPTDVGLACSGAVCGDMFYVYCESDTLVAYHLDAGSWSVVQTSRPPPRLRDYTPALVCCASRLFMLCVSWCDPANRRDKVVRKVFELNLTSTPRLQWTEASAHPDAPMDSNAVFAAGQDRIYSVEMFRIFGKVLDFATACRVSGGEQSWSRIGRENAAAEADAMSCRLKSMAVLLL >SECCE6Rv1G0382060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:37988340:37990336:-1 gene:SECCE6Rv1G0382060 transcript:SECCE6Rv1G0382060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACTRWVWQRCLHTTGYDSETWGLASPGEFEPVPRLCRFILAVYEDDLETPQWAPPGGYGIEPRWVVRRRTPEHAQGRAPTYLLYVDHRHADVVLAVRGMDMAKESDYAVLLDNRIGQASFDGGYVHNGLLKAAEWAFDAECDVLRDLLATNPGYTLTFAGHSLGSGVAAMLALLAVRDRDRLGGVERRRIRCFAMAPPRCMSLNLAVRYADVINSVILQDDFLPRTDIPLEDIIKSLFCLPCLLCGNCLIATCIPESVMLRDPRRLYAPGRLYHIVERKPFRCGRYPPAVRTAVPVDGRFEHIVLSCNAISDHAIIWIEREAQRAVDLMLENERTMKAPENQRMDGETAATRDHGEEQQAALRRAVALGITDVNMPSTYGTFDDNATPEQSEASPVLLDSGRRRTVWNEWIARIFEKDESGQMVPRR >SECCE6Rv1G0432230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:750459734:750460728:-1 gene:SECCE6Rv1G0432230 transcript:SECCE6Rv1G0432230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYNFTYVLIGDSGVGKTCLLVQFLHKEFHPVVDFNIYTECGDKMIAIDNKPAKLLIWDTPGQHAFRSLTTPLYDEAVGALLVYDITRRETFNHLANWLQDARQYAHPGMTIMLIGNKCDLSHSRAVSYEEGEWFAKDHGLLFMEASAKTAQNVVEGFLQTAVAIYKKVQDPSSDLYK >SECCE6Rv1G0451090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871243998:871247366:1 gene:SECCE6Rv1G0451090 transcript:SECCE6Rv1G0451090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHKQDVEFRNSRQTSSLSRGLLLGREEQRKVIVEWLTKPESSASDIAVFSVVGIGGIGKTSLAQDVCNAKDVKDRFDFVIWVCVSHDFDIQALTRKILEDMTGREAPMVSLNALQKELKERLSSKTFLLVLDDVWNDERYQDWENFLCPLRCGKKGSKILLTTRMQLVADVAARAVQGERTLQVDCHSLKLSGLEETDLLLLLNRHAFFGVDPDDYRNLQQISKKMANKLNGSPLAAKVLGGLLNGNKESNTWNRILDSGVHNAQQGSEGIMTVLRLSYQHLATHLQVCFRYCSLFHKDYEFTKKELVYLWMGSGLIQPLVDDTIQLEDIGMEYLDTLSRKSFFDIKSEPHDSRIIKCCLYDEYYEEKFVMHDLLHELARSVSVSECTRVDLNFSGCIPKTVRHICIDMINPTVVEQISHAKRLRTLLIHFEDQHKADQVHMLSEVLGVARSLRVLSIITNSPCKLPDSVGGLMHLRYLSLKWGRKKMSNCCWFPKPVYKLYHLQVMKFDDHQLTAPQKREMDRVCNLVNLRHLQLSYGIMPTIPFVGKLTSLHELYGFCIKQEGGYTVGELKNLTNIRHLYVSGLDKVNSSEEAAEAMLDQKRCLSAVTLSWSPGSSNSCTPSQAELVLDKLEPHHNSHKLRIEGYPGSRSPCWLQNPTLINLTYVHIRDCVRLERLPPLGQLHYLQYLYIINLELVEGVDSSFYGSENPCGLHYLKVLEIESMPKCLEWVGLEGKNVFPRLEMLKVRDCEALRTLPSVPTSIRHVEIHNAGLLAMPTFFGSSDTSSSPSLDLALSKLMISHCQSLETLWQGCSLSTLVELSIQQCASLSCLPEDSFGSLASLETFEVVKCPNLVTGEIRLPPTVRTIIFGLCGEAEQPLVHSMKGLNSLAMLFLDGCALSVFPSEVFACLAGLTNMVFGNCAITSLPLAEAFARLTNLENLSIWDCQELVSISGIQGSPSLMSLEIQGCKKITADLSVERVDDPASLSSLTELDIDNPSLLLSEPLRSISCVKKLRIAGGPELRHLPEEWLLQNEALKELVVSDASHLICLPPQVARLSSIESLDISNVKLIRSLPDMPASLRTLRINNCHSELKQRCQKNKGLDWVKIAHICNVDIS >SECCE7Rv1G0478660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:239925196:239927787:1 gene:SECCE7Rv1G0478660 transcript:SECCE7Rv1G0478660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAAAALRGALTASGARSYSTSVAFPPASSRLFSTGASGAVAGSQDDSPSGEGHTYGRFYTNISGVGRLGKNMLKTDIIHYLDQCELSLDDVKIDYNKGFYPMGALLKFPSVQSFETAVRQTIQGRMYRLERVSPDEWEHKISLNGRAVLLQGVPRNAQNDDIERFLCGTNYEPPPFENFIRAGVPEPVRMVLVKFGSRTDATNAFIAKNKGFCLNNPVTVRVIQ >SECCE7Rv1G0456830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:13036913:13038017:1 gene:SECCE7Rv1G0456830 transcript:SECCE7Rv1G0456830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSKRVLLACNGGGDAVSRGVAVGLARHGCRLVLVGDEGALAATAEEARRCAAGGAAAVAVVGLDLAACDEAAVGAAVDAAWRCFGDGGLDALVNCSSYEGEVQDCLSVTQDEYTKTIKVNVITPWLLIKAMAKRFRDAQSGGSVVCLTQIIGAERGLYPGAAAYGTSLGAVHQLVRLSAMELGKHKIRVNAVCRGLHLGDKFPVSVGEEKAEKATGEVMPLRRWLDPEKDLAATVLYLVSDDSRFMTGTTIYVDGAQSIVRPRMRSFL >SECCE5Rv1G0350410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:690389360:690389645:-1 gene:SECCE5Rv1G0350410 transcript:SECCE5Rv1G0350410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLGRHVSPRQIALLAAGLVFLGATTYDVHRSIKNNDQPPTREQVAALQEFIDSRKR >SECCE1Rv1G0059090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703796622:703797956:1 gene:SECCE1Rv1G0059090 transcript:SECCE1Rv1G0059090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVAVPSSLRALLAAPALCSYPLRTSGAARPSPAHRAARGMMVARRRGVAVAAAAVAADAEWLERLPEKKKPLYAHSLPCIEAWLRSVGFAQSREDRAVWVAETPLWHARLSLDVTDLHIRYLKSGPGNLEKDMERRFSYALSREDIENAILGGP >SECCE7Rv1G0491720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:535073257:535080019:1 gene:SECCE7Rv1G0491720 transcript:SECCE7Rv1G0491720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAVSGSVEEEGGLRAEESPSGGVDVWSDAVSSHAPEHLLVMVHGILGSTNDWQYAANEFVKQLPDDVIVHCSEKNMNTLTLDGVDVMGERLADEVLDVISRKPELTKISFLAHSVGGLVARYAIAKLYRHPNSTFDSKAEGTICGLEAVNFITVATPHLGSRGNKQVPLLFGFITIEKVASRVIHWIFRRTGRHLFLTDSAEGELPLLQRMVEDYGDLYFISALRAFKRRVAYANADCDHIVGWRTSSIRRNTELPKWEESVCEKYPHIVHEEYSEEISVEKCQDLAADCDFDLLEEKMVTGLRRVSWEKVDVSFHTSMRSFAAHSIIQVKYAFMNEGADVIQHIIDHFQL >SECCE4Rv1G0285360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:844124213:844124524:1 gene:SECCE4Rv1G0285360 transcript:SECCE4Rv1G0285360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE4Rv1G0240810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448146966:448147956:-1 gene:SECCE4Rv1G0240810 transcript:SECCE4Rv1G0240810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTIVLNDPGRLLAVHIMHTALVSGWAGSIALYELAVFNPSDPILDPMWRQGMFVIPFMTRLGITDSWGGWSISGGTVTNPGIWSYEGVAGTHIVFSGLCFLAAIWHWRTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTSLYGPGIWVSDPYGLTGKVQAVNPVWGAEGFDPFVPRGIASHHIAAGTLGILAGFFHLSVRPPQCLYKGLRMGNIETVLSTFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSNGLAENLSLSEAWSKIPEKLAFYDYIGNDPAKGGLFRAG >SECCE4Rv1G0246200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:541915485:541917677:1 gene:SECCE4Rv1G0246200 transcript:SECCE4Rv1G0246200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRADHRRLMGASSSTSPAPSGDSEAAAGRGSSQEAMKIMVSVLVVVIFCTLFYCIYCWRWRKRNAVRRSLLQSLRPMSSSDLPLMDLASIHAATDNFSKANKLGEGGFGPVYRGVLTGGSEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEYLPNRSLDAFLFDASKSAQLDWKTRHSIILGIARGLLYLHEDSLLKVVHRDLKASNVLLDNKMRPKISDFGMAKIFEDECIEVNTGRVVGTYGYMAPEFVMEGVFSVKSDVFSFGVLLIEILGGKRNGALYLEEHEQTLIQDAWKSWTEDKAAEFMDPALGRAYSKEEAWRCFHVGLLCVQDDPELRPTMSSVLLMLISDHMNLPAPARPPMFTRLRTFPAAMIPFSTKTESTFSPQSINDVSITVVEPR >SECCE6Rv1G0436700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778848620:778851746:-1 gene:SECCE6Rv1G0436700 transcript:SECCE6Rv1G0436700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSWAFFLLLVVLCFCCVSDLLVCGSNGERVFLYPQSQKVSSIVSQRYRTAYHFQPPKNWINDPNGPMYYNGIYHEFYQYNPNGSVWGNIVWGHSVSTDLINWIPLEAAIERDTPSDINGCWTGSATILPGNRLVIIYTGADPEKRQVQNIVVPKNLSDPYLREWTKAGNNPVIQPVGPGLNSGQFRDPTTGWIGPDGLWRIAVGAELNGDSAALLYKSKDFLNWTRVDHPLYSSNSSSMWECPDFFAVLPGNSGGLDLSAAIPNGAKHVLKMSLDSCDKYMIGVYDLKSDTFIPDTVLDDRRLWSRIDYGNFYASKSFFDSKKGRRIIWGWTNETDSSSDDVAKGWAGIHAIPRTIWLDSHGKQLLQWPVEEVESLRGNEINHQGLELKKGGLFEIKGADSFQADVEIDFELTSIDKADRFDPSWLLDVEKHCREAGASVSGGIGPFGLVVLASDNMEEHTAVHFRVYKSEQKYMILMCADLRSSSLRPGLYTPAYGGFFEYDLEKEKKISLRTLIDRSAVESFGGGGRVCIMARVYPVAVVDGVAHMYAFNNGSATVRVPQLRAWSMRRAQVNVKGME >SECCE5Rv1G0355490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731809421:731812358:-1 gene:SECCE5Rv1G0355490 transcript:SECCE5Rv1G0355490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSQIEHNLPMPPHLLNRPLVDAIKAELERLLLDKVVANLGLCVSVYDILSVEGGFIFPGEGCSTYKVSFRLLMFRPFIGEVLVGKISGYDEKGLQVSLDFFSDICIPGHLMQFGTVRGEDGRWALKTEDGDELHLDIDDEIRFLVSSIKYPPIPVEQKEDDKPFAPMQINGSIKGDGLGLLAWWAAGEEEGDEEGEAEDEGEGEGEGDGEGEEEEEQ >SECCE7Rv1G0504700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:726286864:726287943:1 gene:SECCE7Rv1G0504700 transcript:SECCE7Rv1G0504700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRQLSLQPCGHSNTAKRGNHRDSRQQPRRRHVYLVVDDWERGYSVRKIDVDTFSPQAEAEPEQLPEPAVARFEGHHGRLAFFGAHGTKILAMPAYGAADFPVYDVETSGITLCAHPNGRKICGPPLLASIAGKLYMIVESSLAVLDAQPPPNSDERKAWTWTFLPAHLPSFQSPDVKSMAVHPDGRTLFVSARRRTFSLDTRSLEWTCQGNWAMPFSGEAHFDQDLDAWVGLCIHEGGFGHVCSCDAVPAVAAGVLQTMPAWKLGKDQLFHADRERHLGATLLFMGDGSYCLLECVSADDQDEDEYAAHRVYHVTTFDLTYDKDGELRATRRRAHSYEMTDAHEMNELYKRPTAFWM >SECCEUnv1G0536160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:62772854:62776296:-1 gene:SECCEUnv1G0536160 transcript:SECCEUnv1G0536160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRTAFWGALLLLVTLLPLSANASSKLYIVYMGEKKNDDPSVVTASHHDILTSVFGSKDEALRSIVYSYKHGFSGFAAMLTESQAEKIAKFPEVVTVKPNTFHETHTTRSWDFLRLDHNQPGQQHGLLRKAKYGEDVIVGVIDTGIWPESRSFDDNGYGPVPARWKGICQTGQDFNATSCNRKIIGARWYGLGISHEVLNNNYKSPRDIDGHGTHVASTVAGGEVQGVSYGGLGMGVARGGAPRARLSIYKVCWLGANCPDAAVLAAIDDAIHDGVDVLSLSLGGAGHEFPGTLHAVQRGISVVFAGGNDGPVPQTVSNALPWVTTVAASTIDRSFPTLISLGNKEKLVGQSLNYNAAMNNSGFQDLVHVRSCDTESLSLSNVTGKIVLCYAPAQAAIMPPRAELHSLINRTIEAGAKGLIFAQYTVNLLEILTSCEGFMPCAVVDFEIAQRIASYSKTTESPVVKISPAVSVVGNGVLSPYVASFSSRGPSLAFPGILKPDIAAPGVGILAAEGDSYVFKSGTSMACPHVSAVTALLKSVHPNWSPAMIKSAIVTTASMTDRFGMPIQANGVPRKLADPFDFGGGHMDPDKAVDPGLVYDLDAREYNKFLNCTLGLSDDCKSYNLNLNLPSITVPDLKDHVILRRIVTNVGPAEATYHLVVEAPAGIDVSVEPSVISFSQGSSRSATFMVTFTTRQRVQGGYTFGSLTWSDGSTHSVRIPVAVRTVIQDFVADTS >SECCE3Rv1G0169730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:240492074:240495747:-1 gene:SECCE3Rv1G0169730 transcript:SECCE3Rv1G0169730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGTLSAGGAAAGVAGLLRLRRGPSVASPLPAAARAGAVHDGAQLVWGRQLRPALVLPAGLLPLQASKRLTLRPPAASAGPAGEAKSPGLLEKYPAITTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLLSWAVGLPKRAPINATFLKLLFPVALCHALGHVTSNVSFATVAVSFAHTIKALEPFFNAAATQFVLGQTVPLSLWLSLAPVVLGVSMASLTELSFSWKGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALVVCIPPAIIIEGPQLMQYGLNDAIAKVGLTKFISDLFLVGLFYHLYNQIATNTLERVAPLTHAVGNVLKRVFVIGFSIIIFGNKITTQTGIGTCVAIAGVAIYSYIKAKIEEEKRAKAA >SECCE3Rv1G0205520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900575792:900576757:-1 gene:SECCE3Rv1G0205520 transcript:SECCE3Rv1G0205520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARCSLLLTAALLLALSHGAHGHGGAGAKLSSSFYDESCPGTGDIVRRVIQKARVTDARIPASLIRLHFHDCFVQGCDGSLLLDNDLPAIMAEKEVPANDRSARGFKVVDSIKRALENACPGIVSCADILALASEISVELAGGPRWSVPLGRRDGTTTNIESANNLPSPFDSLETLQEKFKNLGLDDTDLVALQGAHTFGRAQCQFTQQNCSARQDEETLVNLDTVTPNVFDNKYYGNLLRGRAPLPSDQVMLSDPVAAATTAPIVRRFSGSQKDFFKNFAASMVKMGNISPLTGRAGEIRNNCRRVNRKPY >SECCE3Rv1G0166560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:188030887:188032981:1 gene:SECCE3Rv1G0166560 transcript:SECCE3Rv1G0166560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGGAADFGAAGGAGGRMPTWRERENNKRRERRRRAIAAKIFSGLRAHGGYKLPKHCDNNEVLKALCNEAGWVVEPDGTTYRKGCRPAERMDGIGCSVSPSPCSSYQPSPRASYNASPTSSSFPSGASSPFLPHSNNMVNGVDATPILPWLQTFSNSTSNKRPHLPPLLIHGGSISAPVTPPLSSPTARTPRMKTDWDESVIQPPWHGSNSPCVVNSTPPSPGRQMVPDPAWLAGIQISSTSPSSPTFSLMSSNPFSVFKEAIPGGGSSRMCTPGQSGTCSPVIPGMARHPDVHMMDVVSDEFAFGSSTNGGAQQATAGLVRAWEGERIHEDSGSDELELTLGSSRTRS >SECCE5Rv1G0311610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:196367072:196373461:-1 gene:SECCE5Rv1G0311610 transcript:SECCE5Rv1G0311610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLVLPVALETTFAGSTGARHCKSHGTRRTPSMLAMSLNRPVRMAAFVGLRSVHSFSAMRSSNFRSTIASYRFSRQGRRASFVTRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQVLLGLVGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVVRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGREPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISSGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHVEKDPALERRFQPVKVPEPSVDETIEILRGLRERYEIHHKLRYTDDSLIAAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELDKELKQITKDKNEAVRGQDFEKAGELRDREMELKAQITALIDKSKEMNKAETESGETGPMVHESDIQHIVSSWTGIPVEKVSTDESDKLLKMEETLHKRVIGQDEAVKAISRSVRRARVGLKSPNRPIASFIFAGPTGVGKSELAKTLASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYSVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDSDEKDSSYGRIKSLVIEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLQEVFTRLKTKDINLQVTEKFKERVVDEGYNPSYGARPLRRAIMRLLEDSLAEKILGGEVKEGDSVIVDVDPEGKVIVLNGESGLPELPTPAVAV >SECCE3Rv1G0186950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:692539841:692540110:1 gene:SECCE3Rv1G0186950 transcript:SECCE3Rv1G0186950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDKDRSASKEEASRRQDIERSRAEARRKVEQMVDTVQFNDPWIHHSDVTKSPEELLQARQQAWRYQAHFIEMARRRDYAQAMQIHG >SECCE4Rv1G0240300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:446037737:446059870:1 gene:SECCE4Rv1G0240300 transcript:SECCE4Rv1G0240300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Sucrose synthase (EC 2.4.1.13) [Source: Projected from Oryza sativa (Os03g0340500)] MAAAPKLDRTPSIRERVEDTLHAHRNELVALLSKYVSKGKGILQPHRILDTLDEVQVSGGSAFAEGPFLDVLRSSQEAIVLPPFVAIAVRPRPGVWEYVRVNVHELNVEQLSVSEYLRFKEELVDGQHNDPYVLELDFEPFTALIPRPSRSSSIGNGVQFLNRHLSSILFRNRDCLEPLLDFLREHRHKGHVMMLNDRIQSVGRLQSVLTKAEENLSKLPAETPYTQFANQFQEWGLEKGWGDTAEHVLEMIHLLLDILQAPDPSTLETFLGRIPMIFTVVIVSPHGYFGQANVLGMPDTGGQIVYILDQVRALEDEMVLRLKKQGLDVTPKILIVTRLIPDSKGTSCNQRLERISGTQHTFILRVPFRNENGVLRKWISRFDVWPYLEKFAEDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADIIAMNNADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPFTEKDKRLTALHDSIESLIYDPEQNDEHIGHLDDQSKPILFSMARLDRVKNMTGLVEAYSKNAKLRSLVNLVVVAGYNDVKKSKDREEIAEIEKMHELIKTHNLFGQFRWISAQTNRARNGELYRYIADTHGAFMQPALYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGISGFHIDPYHPDQAASLMADFFEQCKQDPDHWVKISDRGLQRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKLRELVKSVPLAIDEAH >SECCEUnv1G0559740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:340948487:340949098:-1 gene:SECCEUnv1G0559740 transcript:SECCEUnv1G0559740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQRRHRAGAPKPSWLVTIKATPPAPARGDAAKKLAAPARSPILPSPSVWQKGMSVGDRGDTGVAPASPRIGCMGQVKGARRCSRARGPISSRGPAASGLPCGSLAGLLMGLFRRRSTGRKSRAYSKVRDVASDSSSSGSARASSSSGSAPGARAPRPLELAPPLPVPAPVVRRPAMSENAPSLWERRRGGAKVLEGLQLT >SECCE7Rv1G0455410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6354726:6357320:-1 gene:SECCE7Rv1G0455410 transcript:SECCE7Rv1G0455410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G36990) UniProtKB/Swiss-Prot;Acc:Q9LD95] MNSSRSLLSSPLFPTTSPNFRSPSPSRTSVPMMHDSTGGRASTACHYSPSLVAAEEQAHSSSVSLKGEKALLEFLLDMALEQHTEGKSLLTGEQGAEGEFESYLRGLQRQVIYQQAFGEKSNFTSAIATPSPKPVPTLDLGATSVTLMKEVAFLAEESATQLDMRATSTVEQNHPYEKLLSNGQVFIRSTRLLERRSKKRNVPRASTSSTDVVQCSVADSKKKDRPKKYGRVLGPDEPFRLFLRDRETTEFLTAKEERHLFSQIQNLMKLEEAQRRLEAQCGREPTLPEWAQAVGMSCKELQSSIHIGRRCREKMARSNFRLVIHVARKYQGYGLDIEDLVQDGCCGLMKTFEKFNPSKGCRFPTYAYWWIRQAIKKSIFKHSRLIRLPESVYARLKKVGKARLECILEGEQPTNQNVARRAGITIEKLAKLKAKTRKPRSMQDQVWSNDAVTFQEITEDPNIDPPDLVVDRMMMRQQVREFLGILTTREKEIIEHRFGIYDGEPKTLHVIGDMYGLSKERIRQLQNRALDKLKRSVATQGFDVYLDLLTSNG >SECCEUnv1G0543560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:116958142:116959025:1 gene:SECCEUnv1G0543560 transcript:SECCEUnv1G0543560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGIPITSEKIATVVKAAGIKVEAYWPALFAKLLEKRSVDDLILSVGSGGGGAPAAAAAAPAAGGAAAAEEKKEEKKEEAKEESDDDMGFSLFD >SECCE4Rv1G0244980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:523814991:523830417:-1 gene:SECCE4Rv1G0244980 transcript:SECCE4Rv1G0244980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) UniProtKB/Swiss-Prot;Acc:Q9FMA3] MGTRHLITGQNNCAPDGASSSNPFGALANTLLGQSSKAESLKGLPGASVNVPTTSDYSAAPLSTVPGSENEFKQDQRPFARGADFIRGGPANDWVESFRPPGHSAFGGPEANFAEFEQIYSNAGPTLGPALDGPPQRVLSGVLHSFLSSGRAGVPFQPVPVPALGLSEADKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDNSHRMKNPLHGPYPEMEEYWNQSQSALRSGPMHNAANNWISEFGKQNNNPEGWITEFGKQNNNPAGWAHSFEQQYGPNGWASEFEQHQSQMAMGQMGGANMANLAAMQQSRMLAETLSSNNDPKFQNSKFFQFVSKMSRGELIIEDNQVKQGSASQSSGWADEFQTQHNANANSWADQFVHEELSQGADNWASEFSSEQNQGGLKEKWVDEFSKLNMDDWAEEFSGGAFGESSADPWADEFQNQLSASKSSGASRGVYVFSEMNPYVGHPNPMQEGQELFRKGLLSEAALALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMLRAQEANPTNLEVLLALGVSHTNELEQGEALRYLSRWLQNHPKYGALAAPHQTDSPYGPDVVRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALQLKPQDYSLWNKLGATQANSIQSADAVLAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVSMNPKADNAWQYLRISLGNASRSDMIAACDSRNLDVLQKEFPL >SECCEUnv1G0533120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:26863254:26865300:-1 gene:SECCEUnv1G0533120 transcript:SECCEUnv1G0533120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPAGTMGPLSDVPATLDGDRYELVRSIGSGNFGVARLMRNRASGELVAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICTAGRFSVDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPENPKNFKMTIQKILGVQYSIPDYIHIPMDCRNLLSRIFVANPATRITIPEIKNHPWFLKNLPADLMDGPTVSNQYEEPDQPMQNMNDIMQIMAEATIPAAGALGINKFLPDGLDLDDDMDDLDSDLDIDMDSSGEIVYAM >SECCE7Rv1G0457010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:13767837:13772820:-1 gene:SECCE7Rv1G0457010 transcript:SECCE7Rv1G0457010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g11860 [Source:Projected from Arabidopsis thaliana (AT5G11860) UniProtKB/TrEMBL;Acc:Q9LYI7] MPTRKKGPARNATVEHVNTKTSRQPGRSTQSAAPDKKVNDLITSSSKKQKPAEDTLKKNQVLSGGRKLTSLCDSDTENGVADVPSSSMLDRKLSHGNDDEPCENIFSQPFHHHNEDGSDGLSKVPVQSTCGNTKRADDEYNELGSLSPEVSAIYLAMQHSKLECIDEQSQDSISTDGGCADPDETEELDEFDPYTFIKDLPELSMVVPKFRPVLLPKQTRSCPRTTLVLDLDETLVHSTLEPCEDSDFTFPVHFNLRDHTIYVRCRPYLKDFLERVASMFEIIIFTASQSIYAEQLLNVLDPKRRLFRHRVYRESCVYVEGNYLKDLSVLGRDLSRVVIVDNSPQAFGFQLDNGIPIESWFDDPNDKELLALLPFLESLVGVEDVRPFIATKFNLRQKVASATSLAMHFFPNAERAN >SECCE4Rv1G0284590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:840389915:840391534:1 gene:SECCE4Rv1G0284590 transcript:SECCE4Rv1G0284590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCRSVLRMLAAAVFLSAFHAAAGSTGDQVPGPSCLPTKARNHDATKSTRMNLVHRYGPCSPVAEAPPSQEEVLAADQKRVESIQQRANNPVQPGASALLSPSSTSLPASSGYSLGVGNYVVTLGLGTPVGRYTVAFDTGSDTTWVQCRPCVDVCYKQKEPLFNPARSITYANISCADSTCTDLLNHGCNAGNCIYAVQYGDGSLSVGYFAQETLTLSPIDAIQGFHFGCGQRNRGSFGKIAGLLGLGRGNSSLTVQAYQKYGGVFAYCLPASTTGTGYLDLGPGSPPPANAIVTPMLLDRGPGFYYVALAGIRVDGQQLAIPESVFSTAGTVVDSGTVITQLPDTAYAALRSAFAAAMSALGYKKVPSPFAIFDTCYDFRGLTSVPLPTVSLLFQGGATLDVDYTGIMYPASTSECLAFTSNGDDTRLGVIGNTVQKTYGVRYDLGNRAISFAPRAC >SECCE7Rv1G0495310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:596528221:596528826:1 gene:SECCE7Rv1G0495310 transcript:SECCE7Rv1G0495310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYPRLATGGALAVDDDEPHICYAIMVALVSLLLFCVLLAVVSPARACAITSLIVLLFGLVAWLAPARGAVPAHRNGGGLGARQPAPTVRLVRRCTCGLTDAAIGALPTFAYDNKAGGDEPRASCQLLCAVCLEDVQGGEMVRQLPPCRHLFHVDCIDMWLHTHRTCPLCRCELSPRKVAAKAVAAAATGSSAHPLPPV >SECCE2Rv1G0073220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:66301157:66302458:-1 gene:SECCE2Rv1G0073220 transcript:SECCE2Rv1G0073220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASSAVPSASDEPQSLAAPKVLLGRYELGGLLGRGASAKVYRARDLLTGRDVAIKSFPNPRAGAREGEGSAGSAAIEREAAILARLRHRHVVRLHEILGTRKKVHFVLDLAAGGELFSLVDSDGRMTEDLARHYFRQLVSAVRYCHSRGVFHRDIKPENLLLDGDGELKVADFGLGAVADESLHHTLCGTPAYVAPEILSKKGYHPAQVDIWSCGVVLFVLAAGYLPFNDASLINMYRKIYNGRFRCPNWFSPALRHLLRRILDPNPATRIDTDGILEHPWFRHGASGDGELEKLMRGHEEEAWFKTEFKEDMARDMTAFDILAFSPGSDLSGLFGAGPGTERVFVGEPAAAVLARVEDAGKKQGYRVRREGKGRAAPVYVEAEAGGIVAKVTVFRIADAVSVVEVVKGHGAEAAAFWSDWLEPAVKPQAV >SECCE1Rv1G0011870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:83008120:83011640:-1 gene:SECCE1Rv1G0011870 transcript:SECCE1Rv1G0011870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTVAAVAVAVLLAAAVAAEASSLKVGYYDKSCRGVENVVKWHVARAIKANRKNGAALVRLIFHDCFVRGCDASVLLDPTPENPNTEKTAPINIGLAAFDLLDDIKSAVEDRCPGVVSCADILIFAARDAASLLSNGHVHFDALAGRLDGVHSHAAEAQQDLPDSTFTIAELIQNFKRKNFTIEELVILSGAHAVGVGHCSSLRARLTAPADQILPAYRGLLAGKCAKGPDPIVANNIRDEDADAVAAAIPGFLPKLRKVSDFLDNSYYHNNLARIVTFNSDWQLLTEKEARGHVHEYADNGTLWDEDFSDSLVKLSKLPMPHGSKGEIRKQCRFVNHY >SECCE6Rv1G0404800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:523769469:523769885:-1 gene:SECCE6Rv1G0404800 transcript:SECCE6Rv1G0404800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGENGVKITYIETQFVTSDAAGFKSLVQRLTGKPSGAGAAAEPARQLHRPRPRRAGAAADGRRRSAGFTATGSSSYCMPTAAGSASAAANDTRTATAGAGQPGLDELHELCDYSELFGVVGAGERHRGGSDTGFLY >SECCE4Rv1G0214450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:548584:550327:-1 gene:SECCE4Rv1G0214450 transcript:SECCE4Rv1G0214450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKALQDDRISDLPDHVLHHLLSFLPARAAVQTCVLAKRWRYLWRSTTALRIVDNDGTEPVLAWEQHEFMDHLLTLRERTDLDTVVMRLEGFHENDQPYVHQWIHFAIISKVRALTVDIFDGLLCLDEMTLVSRHLKTLDLCNVDLQKNFDFASCPALEDLKIWLCRICAGRISSRSMKHLTITDCINADKDCRLCISTPGLLSLKLDTSYSTPLLGDMPLLETAYVSVWYSMGDDYDTCLSYHSGVFCGANNSACKNCGSVRNSVLLGGIISAKHLVLKSSLRKFIFSRDLKYCPTFSKLKTLLLNEYWCEAPDLDPLACILKKSPVLEKLTIEFFVRGENHKVEIEGRCVSVKRSAAISEHLKVVEVMCTELDERILKVLVFLSAFIQFSFHTKRILSKHCEICMNVNNV >SECCE5Rv1G0297680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:4738329:4750447:1 gene:SECCE5Rv1G0297680 transcript:SECCE5Rv1G0297680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADIDFRKHQPSVNATSTSMVPLDAPSQAPEKINSLNQVISADVPCEAPVDFDTRELYFLIAHFLSHGPLKRTAGELCSELQEHQLLPRRYHAWYSRGGFHSGEENDDGVSLPLDYLKLVERYPHIGKDHLVKLLKQLMVSSCHPESLIGAVSPNAADVPTLLGSNSFSLIASNRGRQEKENRRLPAYLRWPHIQADQVHGLSLREIGGFTKNHRAPSVRASCYAIAKPSTLVEKMQIIKKLRGHQNAVYCATFDRTGRFVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSANDFVIRVWRIPDGMPISVLKGHTGVVTAIAFSPRPGAAFQLLSSSDDGTCRIWDARYSQQPPRIYTPKPPDAVPGKSGDASSSAVQVQPINHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDVLSGHENDVNYVQFSGCVVSRSFSSEGSHTTKEENNLKLRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKIGRWTRAYHLKVPPPPMAPQLIRGGPRQRHQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGMTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILTDEIGQIFFIGTGQGESQKDAKYDQFFLGDYRPLTQDTNGNVIDQETQLPPYRRNIQDLLCDSGMMPYPEPFQSMYQKRRLGTMGIEWRPPSVDFAVGPTYNATTGEYQIIPVIDPDRWEPLPEIPDFIELEPEIEVISDDTDSEYNGMDDKSSEGEQENFSGDSSGGSYSSAEIDGDNLSDGANRRRSRRKKKKSKADLVTASGRRVKKRNLDEHDGATVSRPHRARKSKSGRSSKRKRSPKSRGLRPQRRAARHALSFLTKMGASTDEDEDESESLSDSELNTESIEAEPSAWYSRPRLGRESNQYDSEDVTQPSHFTETRGSSGNNRKLVLRIPRRDLKVEFPSAVSIAGGRHGSVEPELAFEPGSSSVCKAEPPADGGQSATSGLHDLSSVHSNSTIKWGEVKQRSSKRCKFGDSSAGDMWPSSNNEYGNVIQQTVEQNVQKSERAICLDSIHENHDTDVYSEGNLPGEERTTNNNNTHVEEVNNKECNQQSHSTSQSTIKLKLVRSRGIPDAKSSPDKSKTTAVGSDVNSECDKVPMQHDEDPTTNQHISSDLPSASRDFQECTDKSTGFHDSRKFQAESGKTIAVYQRSKLSKHKKKLDSDSGNGDSTSVSNDDGGYQPSEYSPVAPGTGNLRRSTRRSCAYTDGGARNAISNVKNSSHEASTSGRQIGTDGHLWGSPSKTAGLRSTRNKVPETHSLAKKPQVSSNCWLMLLEHEDIYRYVPQHGDEVMYMRQGHEEYLNGMELSDICPWNRIKGLKAVELCKIQGLCYTTYKGSGESCCKLTLQFIDDTSSGFGKEFVITLLELVDFPDFLVERTRFEAAVAQNWTIRDKCRVWWADNNEEAGGSWWEGRVLAIRPKSPDFPESPWDKYVIQYKNDGSDHLHSPWELHDAESPFVPWKHPHIDSSIRNKLLSAVTNLQNKSRRNQDSHGVLKLDIVAGKSEFINRFPVQFSMEVIRTRLQNGYYRTVEAVQHDANVMLANAESYFSKSADMSRKIRRLSEWVEDNILSL >SECCE6Rv1G0422420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689814864:689816231:1 gene:SECCE6Rv1G0422420 transcript:SECCE6Rv1G0422420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPIHTIICLVCWSFWLFPFSASSSSVLLPNKPLSAGSSITSDDGTFALGFFSRSSSGTKHYYVGIWYKNIPQDNIVWVANRAMPIADPSSATLAFTNRSNLALSDTNGQLLWTTNISATGNSSSEATGGEAMLDNNGNFILRSSQGIILWQSFDYPTDTLLPGMNLRMTHKTHALQRLISWRNPQDPSPGSFSYGADPDEFLQRFIWNGSSSTPYRRSAVWYNYLEVGQYVESIKSTIYITLQTIDDEVYISFGLPAPSASSVLVKMDWSGKMKLRTWNSNVSKWTDLQSEPNQECNRFGYCGPFGYCDNTQPIVTCKCFDGFEPNNKQEWTAHRFSQGCHRTEALRCGQGDGFLNTSNMKVPDQFTYVKNRSLDECIAECTSNCSCTAYAYANMSTKFINGDETRCLLWVGDLIDTEKLIGEGENLYIRVNGLNNGTVYCFCFCFSACISR >SECCE6Rv1G0433870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:762129734:762136076:-1 gene:SECCE6Rv1G0433870 transcript:SECCE6Rv1G0433870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDPAPRGGGPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSIDAGASTVSVTVKDGGLKLIQVSDDGHGIRCEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENDPKPCAAVKGTQIMVENLFYNMVARRKTLQNSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSGSTSSRLDAIRNVYGASVVRDLMEIQVSDENAVDEIFKMDGFISNANYVAKKTTMILFINDRLVDCTSLKRATEFVYSAILPQASKPFIYMSINLPPEHVDVNIHPTKKEVSLLNQERIIEKIKDAIEEKLMNCNNTRIFQTQALNSSALTQSSTRKDMGTEISTPPTGEKSQKIPVSQMVRTDPRDPSGRLHTYWQGQSSNLEKKSDLVTVRNIVRSRRNPKDAGDLSSRHELLTEIDSNLHPGLFDIVKNCTYVGVVDEVFALVQHNTLLYLVNVVNVSKELMYQQALCRFGNFNAIQLSEPAPLRELLTMALKDDESMSDVNEKEKLEIADVNTEILKENAEMINEYFSIHIDQGGNLTRLPVVLDQYTPDMDRLPEFMLTLGNDIAWDVEKECFRTAAAAIGNFYALHPPILPNPSGKGIRLYKKNKDSMESAEQADNDLASADEDDIDQELLAEAEAAWAQREWTIQHVLLPSMRLFLKPTKSMATDGTFVQIASLDKLYKIFERC >SECCE3Rv1G0203630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:884289669:884292540:-1 gene:SECCE3Rv1G0203630 transcript:SECCE3Rv1G0203630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQANPPPVSARNRKVVLREYISRAPREDDMALVDGGAVPLRVPEGAAGPAVLVKNLYLSCDPYMRGRMRDFHGSYIPPFKPGSVIEGLGVARVVDSTHPGFVAGDIVSGMTGWEEYSLIDKPEQLSKIQQSDIPLSYHLGLLGMPGFTAYVGFYEICSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNEKVELLKDKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRMHGRIAVCGMVSQHGMTDPAGIHNLFCLVPKRISMKGFIQSDYINLFPQFVDYMTKHYKDGEIAYVEDMSIGLENAPAAFVGLFSGKNVGKQVVCVSQE >SECCE5Rv1G0342970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:634326454:634327962:-1 gene:SECCE5Rv1G0342970 transcript:SECCE5Rv1G0342970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLPELLISILVLLVVVLGLYYVKSSKNPLLPVDWPVVGILPSLAINLHRLHHYIAFDLLALSGHSLKFAIASIRIFLTCDPVNIQHIFTLNHTNYPKGEEFADIFDVARGSLFTVDGEPCRRERMNYQGVLSSPRLVGLMYMCCRDKVEKGLLPFMAHMATTNAPVDMNDLMMRLVFDLYAMTIFGVDSGRLSLDMPSVHVADAMDTVMEVAFIRHIVPAFCWKVMRRLDIGPERKLAAAQAVLRCFTMDMIMKRRGNDHVIVQEVPMDILSNYVNDPRYNDDLLQATLITYMIAGRDTIGTTLSWVIYNLAKNPHVVSSIRDELAPIISCKASIAGATTTMLDLEDVKALVYLQATLLETLRLYPPIPIERKSVVTTDVMPSGHEVCARDIVLVSIYSIGRMESVWGADCREYRPERWLSEDGRQLRHMPSHKFLAFNSGPRLCLGKDIAIMQMKIILAAIVWNFDVKMLDGQTINTKLSCLLQMKNGLKVKLNKREM >SECCE3Rv1G0169950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:244706508:244707828:1 gene:SECCE3Rv1G0169950 transcript:SECCE3Rv1G0169950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLSAPRDADVVRQGKKKAEERAPALDLLDDYWFFSNSLGGGGRDDAAKGRTRPPLLPKSPSTSSAGAGASGRTARASASGSRRLLRTPSLPAPRIGMELSSTKDDEVVEEDAGDQQEAEVEDDDMNWSKIYEGVLRTRIAEEGRASSALNRAPSMPVTSSMTMGDGNGRRAAGITASTPSMPRLRHSHSTLERHYRSHTPTKPDRTPRMTAGGGSRAERGPPRRDLRSFSVNQQPALVRDNSGLQDKMWKSSSALESIEVQGFKDLGFVFDQEELRESLADVLPGLRDDKTNKSHKSSGSVSGSGSASDNDDSNTNANGIGIGPSNAVGNDGDDGIVRRPYLSEAWQHGARSAPPTAAAAIRLQQADARSAAEMKDQIRMWAQAVACNVRQEC >SECCE4Rv1G0240460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448022027:448022242:-1 gene:SECCE4Rv1G0240460 transcript:SECCE4Rv1G0240460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPTMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYENARKDTKSRS >SECCE5Rv1G0317800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:353754069:353757090:-1 gene:SECCE5Rv1G0317800 transcript:SECCE5Rv1G0317800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRHLSTSASGVLRRRRRGAKDDGVLAALRAEIAHELSSSPPSLQSQETLDFATVSDAPRAQDVLLRRRGDAEEVHVSALLAPLRFEGEEPLPRDALMKVFVSKPGVEPLLRFDCRAVAAAGGAVAGYDITALSYHAFPGDGGDSKYEGPDFGVLDPKLQTALKEYLVARGVTPELATSLREHLLQKEQAQYVSWLKTLEGMFTKDH >SECCE6Rv1G0451510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872774067:872779170:1 gene:SECCE6Rv1G0451510 transcript:SECCE6Rv1G0451510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmin homolog [Source:Projected from Arabidopsis thaliana (AT4G24190) UniProtKB/Swiss-Prot;Acc:Q9STX5] MRKWALSCALLLVLLLTTLPDPAKKLQVNAEESSDEVGDFPKVEEKLGAVPHGLSTDSEVVQRESESISRKTLRNSAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKEVMGEGDTAKLEIQIKLDKENKILSIRDRGVGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVVSKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIKLHLRDEAKEYLEEGKLKDLVKKYSEFINFPIYLWATKEVDVEVPADEEESNEEEESTTETTEEEETEDDEEKKPKTKTVKETTTDWELLNDMKAVWLRSPKEVTEEEYAKFYHSLAKDFGDDKPMSWSHFSAEGDVEFKALLFVPPKAPHDLYESYYNANKSNLKLYVRRVFISDEFDDLLPKYLSFLMGIVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKEKTDDEKSAMEEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESSKSDGKLVSLDEYISRMKSGQKDIFYLTGSSKEQLENSPFLEQLTKKNYEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALDTEGIDSVKISNRLHNTPCVVVTSKYGWSSNMEKIMQAQTLSDASKQAYMRGKRVLEINPRHPIIKELRDKVAQDSDSDGLKQTARLVYQTALMESGFNLPDPKDFASSIYRSVQKSLDLSPDAAVEEEEEVEEPEVEEKESAKAEEPEHEQYDKDEL >SECCE6Rv1G0400440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:451992639:451998535:1 gene:SECCE6Rv1G0400440 transcript:SECCE6Rv1G0400440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQTPSPFAGTARRFAPRLRHLLLPTTMSLSSRSRDPDCADGEQPLLPHSSLEIAGARRGLLAGFDSLRRPYRAFPVFASNRHVETIFAAFTRSLPTVAFRRECLRTPDDGAVALDWVSGDDRALPGDAPVLILLPGLTGGSQDTYVRHMLLRARSKGWRVVVFNSRGCANSPVTTAKFYSASFTGDLRQVVDHVLSRYPQSNIYAAGWSLGANILVRYLGEETDKCSLSGAVSMCNPFNLVIADEDFHKGFNNVYDKALANSLRAIFKKHALLFEGLDGEYDIPKAANAKTVRDFDEGLTRVSFGFRSVDDYYSNSSSSDSIKNVSIPLLCIQADNDPIAPSRGIPREDIKANPNCLLIVTPEGGHLGWVAGDEAPFGCPWTDPIVMEFLEHVHNETGSITKNSVFNEQQGVPQTSVPHLSEHV >SECCE5Rv1G0322240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:417932275:417933528:1 gene:SECCE5Rv1G0322240 transcript:SECCE5Rv1G0322240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSLPTPPPVEEPQTVAAKSGTTVDALPVDSLRNILRRLSLADLLRAALACHRWRRVAARCLPRTAPLLGYFFHPTATGLPPPLHSQSKDIDTPAVFAPLDASAPNLSLDFAPGASRYELQDCHQGLLLLEPLGSVPKGILPRLLVIDPATRRRVLLSPPPRDTVPDDHRWRRSRNYVGSALLSRAHPSKLCFEVVCVSIDGGHPRAWVASVDDGRCSWRALPRTMELEVDFDPWLFEGRCVHAAGKLYWHICNSYRMLVLDPATMHMSYLLAPAVLSDHFCTYRVGETPEDGRLCLLAVGHRSRQLQLWVRGQARGSDNGWLLEREMLNMRVVWDAVPGLPDDLGHRIFSVWPSDMDAGRTGKVFIRTMGYGRYSLHLDTAKIERLHTKHGKEYGHPIYAYFLAWPPAFLAPEY >SECCE7Rv1G0525450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:890356283:890359698:-1 gene:SECCE7Rv1G0525450 transcript:SECCE7Rv1G0525450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVILLLVSSALYRLSCAAAPADGSTDTSRDALLCLKSRLHGATTAMAAWNHTTSPDFCTWHGVSCARTPRQAPLVVALDMEAEGLAGEIPPCIANLTSLVRIHLPNNRLSGHIPPELGRLSGLRYLNLSFNALNGTIPFTLGALHNLSSLDLGGNRLSGEIPPTLGSSPALEYISLSDNFLDGEIPLSLANSSSLRYLSLDNNSIDGMIPASLFNSSTITEIHLWHNNLSGAIPHFIMFPSKLTYLDLSQNSLSGVVPSSVSNLSSLTSLDLSHNQLQGSIPDLGKLAGLQSLGLSYNCLSGIVPPSIYNLSSLNYLTLASNNLGGTLPSDMGITLPNLQTLSMANNHFVGDIPASLQNASGMMYIHMANNSLTGVLPSFSSMKNLEYVMLYSNHLEAGDWTFFSSLANCTQLLKLNVGENNLKGNFPANSIANLPKSLTALTLQSNSISGILPLEIGNLSSLSMLYLDTNLFMGPIPFTLGQLRNLVMLSLSKNKFSGEIPPSIGDLHQLEELYLQENQLSGSIPESLASCKNLLALNLSCNTLGGSISGHVLGTLNQLSLLLDLSHNQLAMSLPPEMGSLINLGSLNISHNNITGTIPLTLGNCVQLQSLCLEGNLLQGSIPQSLASLKAIQVLDFSHNNLSGTIPEFLETFTTLQYLNMSFNDLEGPIPTSGVFSNTSGFFVQGNPHLCANFVVQELPRCFALAPTKEHKFVIPVLIALSALAALALFLGMFIFWLKKENKSNGNIVHSYMELKRITYSDIKNATDNFSPVNVVGSGQFGTVYKGWFDAKDGTIAIKVFKLNQHGALHSFIAECKALQHIRHRNLVKVITACSTYDPVGNEFRALVFEYMSNGSLEDRLHNHQCGGLSLGTVICISVDIACALEYLHDQCIPPVVHCDLKPSNILFDNDDTARVCDFGLAKLIQGCSSRGRSDTTSIVGPRGSIGYIPPEYGMGSEISTEGDIYSYGIVLLEMLTQKRPTNEDFSDGLTLHKYVEASLSGTQDIPQPNRTSETGDQRANLIPNLQECNTFALKDICALRLLKLGLLCSAESPKDRPSMHDVHGEDTEVKEAFSPWKTKAMTLHY >SECCE2Rv1G0127550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:853997793:853998374:1 gene:SECCE2Rv1G0127550 transcript:SECCE2Rv1G0127550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETNLGGAGGLEVKEEEEAEEGAESFEPTEDELVLHFLRPQLRGFAPRVAGAVLEADPCAAPPWELLERHGLLRRGHGYFFAARRRRGKRAQARRTPEGGGGTWMHSGNREDRRSVTELGVVARWSMTRYCFYLRGGEAGRRSTGWVMSEYEITDPRCYRRADDGDEDQYWVLCHVRRSLRKNLMPRSRRR >SECCE4Rv1G0221430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:48960175:48966462:1 gene:SECCE4Rv1G0221430 transcript:SECCE4Rv1G0221430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELVRERTAGQRHLLPLPLLLQHLVPSLTLLLLPLSSSASPSTVVTHLPGFHGPLPFYLETGYVGVEEETGTELFYYFVESERSPSTDPVILWLTGGPGCSGFSGMVFEVGPINFVLAPYNGSLPQLVYNPYSWSKIASIIFLDSPVGAGFSYARDHKGYDTGDISSSLQVVTFLKKWFRDHPRYVSNSFYLGGSSYAGKMIPVIAQYISEGNEQRRQPEINLKGYLLGNPITGSKFDDFNSKIPYAHGVGIISDQLYEAARANCEGNYVTPVTKMCVGVVNTIQNLISEVDEGYILDDRCVRAAPKPVKDDSSSSRSLSEEQIQPTESSAHPTINCFSYRYYLSNIWANYNRTRDALRIKKGTVGKWVRCISELPYTHDVPSSIGYQFNLTTRGYRALVFSGDHDLLVPFLGTQAWIRSLNFSIVDDWRAWHVDGQSAGFTIAYANHMTFATVKGGGHTAVSYRPKQGLAMAQRWLDNKPL >SECCE6Rv1G0438920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:793288494:793290246:-1 gene:SECCE6Rv1G0438920 transcript:SECCE6Rv1G0438920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTSNAEVMSMDLSPKMPAKAYGSDGGAYYDWSPADLPMLGAASIGAAKLHLSAGGLALPSYSDSAKVAYVLQGAGACGLVLPEATSEKVIPVKEGDTLALPFGAVTWWHNAEGSSAELVVLFLGDTSKGHTPGRFTNFQLTGAAGIFTGFSTEFVARAWDLDQDAAAKIVSTQPGSGVVKIAAGHRMPEPRAEDRQGVVLNCLDAPLDVDIPGGGRVVVLNTANLPLVKDVGLGSDLVRIDGKSMCSPGFSCDSAYQVTYIVRGGGRVQVVGIDGTRVLETRAEAGCLFIVPRFFVVSKIADDTGMEWFSIITTPNPIFSHLAGRTSVWKAISPEVLETAFNTTPEMEKLFRSKRLDSEIFFAPN >SECCE4Rv1G0283080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:832869761:832871128:1 gene:SECCE4Rv1G0283080 transcript:SECCE4Rv1G0283080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAGEASKPGRTGKEQSHPPAIRRHDEPRPAPPFPAGASQKQRKTRRQRQPAAAILSEGPLVEILARLPYRSLCRFKCVSRKWLKLCSDPKIIKTASQTLSGFFHNHLACGLCFDNLSGGRPLVDASLTFLRERYGRIKLEQCATSLLLCKCWESESEDKDECDFVVCNPMTEQWTVLPPIEWLDKDNGEPESFELSYPFLVFDPAVPSHFVVFAPLFESVDVVAIYSSETGQWTPSRGWEYSPYPAVIPECAVFMNGMMHFLHLFVDEPLIAAVNIEGKVCREIAVPDGMIGASPGYCSVGCSQGLIHAWYMGPRDYELSVWVLKDYATEEWTLKHTVDVPELFEETECDQEEVCNEEDGTHKYDMFAIHPELNVIFLTDWKQVNLSYDMDSGQVHHMCTSGDFLGGLPFIPSFADLALG >SECCE5Rv1G0341760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:627477395:627477589:-1 gene:SECCE5Rv1G0341760 transcript:SECCE5Rv1G0341760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGKKSSPGFWSVVASWFACFRRPPKVKAASTGGRTTTYEYDPAGGMVAAARHFSSAHKINFG >SECCE5Rv1G0350940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:694754726:694757551:1 gene:SECCE5Rv1G0350940 transcript:SECCE5Rv1G0350940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLHVAAPAATPRVGSAGPKSASAARSVRVARSGTAGRPGGRLVACAAVATKADAPASEAASKSEGHEVLLFEALREGLMEEMQADPTVCVFGEDVGHYGGSYKVTKGLADMFGDLRVLDTPIAENSFTGMGVGAGMKGLRPVIEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPLVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYTLCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ >SECCE7Rv1G0514550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:834436249:834437643:1 gene:SECCE7Rv1G0514550 transcript:SECCE7Rv1G0514550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVAVVAVPFPMQGHLNQLLHLSLQLASESRGLDLHYAAPAAHVRQARERVHGWDDGALRSVHFQELEIPAFAAPPPDPDAASPFPTHIMPMFEAYVAGAAAPLAALLRELSASRRRVIVLHDVLNAFAAVEAERLPNGESFGLYCGAVSYMVGMVDAGHRLLRGSGLEYAPMDRYVSREFMECAKRQSSMAQSVSHGGGMVANTCRALEGEFVDAFADTLAAVGQRIFAVGPLNPLLEPGQIDAKQGRHECLDWLDKQPAATVLYVSFGSTSSFRGSQVAELAAALQGSKQRFIWVLRDADRGSVSTDDADSRRHARLASEFAEQIRGTGLVITGWAPQLEILAHPATAAFMSHCGWNSTVESMSHGKPILAWPMHSDQPWDAQFVEKYLGAGLLVRPWEKHGEVTPAATIQGAIETAMVGEEGLAMRRRAMELGEAVRACAAAGGSSRKDLQDFVAHLTR >SECCEUnv1G0558730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334262208:334262657:1 gene:SECCEUnv1G0558730 transcript:SECCEUnv1G0558730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFKLVKYIPRIKKKKSGLRKLARKVPTDRLLKFERVFKAQKRIPMSVFKAQRVLDEIRWRYYEETVMILNLMPYRASYPILKLVYSAAANATHYRDFDKANLFITKAEVSRSTIMKKFRPRARGRSFPIKKSMCHITIVLNIVKKSK >SECCE6Rv1G0436660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778790110:778790550:1 gene:SECCE6Rv1G0436660 transcript:SECCE6Rv1G0436660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLMLVAALLCAAAAAATAQQANNVRATYHYYRPAQNNWDLGAPAVSAYCATWDASKPLSWRSKYGWTAFCGPAGPRGQASCGKCLQVTNPATGAQITARIVDQCANGGLDLDWDTVFTKIDTNGLGYQQGHLNVNYQFVDCRD >SECCE1Rv1G0063700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726432889:726434589:-1 gene:SECCE1Rv1G0063700 transcript:SECCE1Rv1G0063700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGRIEVFPQHFTPSKQPMDAADGLSTSKSNIDPSPSPRRRSWTPKRVKGAASLLQLLSIPRIRWSSSNDDDDKVELTRAEVESLRSEIADADERESQLKARLENIDEVLRYARLSGYLHIRSRWTQLPGEPPIIDDADVDDWLPRFVVLQGQCLYYYLKSTDLSPQESTLLRDVVEVGQLPNFVPEDGKTRYAFFILTRQGLRFECSSSCEIQVDSWVRAVRSDCKLAGAGTEAKSKSSGGW >SECCE7Rv1G0476660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:208819674:208822861:-1 gene:SECCE7Rv1G0476660 transcript:SECCE7Rv1G0476660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAATAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKLAPRKSVKIGRPGYTVTKQYDPDTKQHSFLFEIEYPEIEDNSKPRHRFMASYEQKIQSWDKRYQYLLFAAEPYEVIGFKIPSTEIDKSTDKFFSYWDPDKKSYILQLYFKPRPPEINRQPAAPGTVPNGTGGPPGAPPRPPSQPQTLPPPPPNAPMGMPPRIPPPPMSGLQPPPPPPPLANGPPRSIPPPPPSGGPMANFTPGAPPPRPPMQGYPGPQQ >SECCE1Rv1G0052720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:663729468:663730775:1 gene:SECCE1Rv1G0052720 transcript:SECCE1Rv1G0052720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNSRARHRRDPSKLLYKPRPPPEPHPFLLHLKSLPSPVAAAAALLSAPRRLHDHPFASCVLYRLARARLFPLLLPLLSALRARRAPLRATVFAGLIDRLGAASRPDAALLVFFRAVPAFCSHSNATFHALIHCLVCNGRVDAARNMLPRAAKLGVRPNAVSYNIILKGLCGRDGSAGARVVLDEMLGRGVRPTVVTFNTLVGAACQEGDVGAAERLKEEMVRRGVSPNAVTYSLLMRGLCDAGRQDDAKKLMFDMEYQGCQTEAVNYGVLMSAYARQGDVEAIRGLLSDMRKRKLGPDDASYNVLIKCLCDSGRVEEAHKALVEMQLKDGTTPSAATYRVLADGCCRAGDFGLGLRVFNAMLSSGHRPLGHTFKHLAKGLGEDGKADEACFVLEKMAESGVWMDAEGWRSLATCVCSGSAGEVKLLDELALSS >SECCE5Rv1G0326440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:480080906:480083844:1 gene:SECCE5Rv1G0326440 transcript:SECCE5Rv1G0326440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSYANLMELAGGADRDQPLPSLGQPRVPRVMTASGIVPGLDCSGAAADAASVDCDDQSNQAPRERTILVANQLPIRACRGADGAWDFSWDQDSLLRQLKESLRAHQGRAHMDFVYIGCLRDDIPAEEQDKVALELYERFRCVPTFLPEDLRSRFYHGFCKQQLWPLFHYMLPLSPELGGRFDRNLWQAYLSVNKIFTDKILEVINPEEDFVWVHDYHLMVLPTFLRKKFNRVRLGFFLHSPFPSSEIYKTLPVREEVLRALLNADLIGFHTFDYARHFLSCCSRMLGMKYESQRGYIALEYYGRTVTVKILPVGIHLVQLQAVLNLPDTELKVAELMKEFCDRDRIMMLGVDDMDIFKGISLKLLAFEQLLTEHPKWRGKVVLVQIANPARGKGKDVKEVQDESYAMVKRINETFGRPDYQPVILIDRPLHFYERMAYYVVAECCLVTAVRDGMNLIPYEYIIARQGNEKIDRILGLSPFTRKKSMLVVSEFIGCSPSLSGAIRVNPWNIESVAEAMERALHTGDDEKALRHEKHHKFVSTHDVGYWANSFLQDLERSCQDHNKRRCWGIGFGLRFRVVALDTSFKKLAFEQLVSAYRKASTRVILLDYDGTLMPQSSFGKMPSSRTIDMLNSLSRDEKNMVLLASTKTRATLSGWFSRCEDLGLAAEHGFFIRPNRDAEWETCGLATDFSWKQIAEPVMKTYTETTDGSIIEEKETAIVWCYKDADRDFGSCQAKELHEHLESVLSNEPVSVKADLNYVEVKPQGVSKGLVAKWMLSTMQAGGFLPDFILCVGDDCSDEDMFEVITTAVDGPYLDPAATVFACTVGRKPSKAKYYLDEPDDIKRLIRALASVSDQELEELEDLEEGDDDDSPYPFLAGDDEDDGTSTDDTWLS >SECCE6Rv1G0416120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:647082970:647086245:-1 gene:SECCE6Rv1G0416120 transcript:SECCE6Rv1G0416120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAAGFAFAPVASRRLPYRPCCSTAHASVPSSSARSSLAARQGRPRRLVAVARYSAYGSDEDDDEEGGGGRRDRGPEQEQDPALDIERIQSSTVRLLDAQKNMVGVISVSEAVRIADENDLILAILSLDGDPPVLRLFEEKDYKKHKYEQQKKKRVQQKRSVAKRMGLKELKMGYNIDIHDYSVRLRAAKKFLKAGDKVKIVVNLKGRENLYKKQAIELLRRFQTDVGELATEGSKNFAERNIYLILVPNKLAIQKEQDGVSKKDTVEGETDQSEDELDGDDTMIEQLEGSSLDGDELVIEQLEGSSSAGDELVIEQLEESKEPETEVSANV >SECCE5Rv1G0307170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:106695263:106698796:-1 gene:SECCE5Rv1G0307170 transcript:SECCE5Rv1G0307170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVVTVQVGGFANFVGSHFWNFQDELLGLADDPGADAAFRTAPLDMDVLYRTGETLQGVSTYCPRLVSIGSRGSLGSLSSSGAPGLSSAASDQLNVTTWSGNVTRSVEKRHGRNLFLQSLTEQEPNPSTSNGGNNSQKSVEDKDLVECLEKDVNFWTDYTKVQYHPQSLYEMHGSWTDFDKFDNFGTAREVVSEWSLMEEMNEKLRFFVEECDHIQGIQFIVDDSGGFSSIAATYLENIADDYTNTPVLLYCVRDPVTHGSSRNQRETITRSLHDAVSFSKLSSFCSLMVPIGLPSLSQSSLSPFLSLQDAKPFHSSAISAAAIHSVTVPFRLQNAGPASNIAHSSGNIDMRELVHIIADQGRQNMVTALDVAMPAPSLKDGNDLWNMKSLRTLTPEISDEEEDPYSVESLVVHGVLRAGGHRASISQVKNSVYSAYEGRATKPKFSHLSVSPCPLPIPLPFPSIFRSNIGQHGEILRNHAEGTQPKGSLDVESIPMAARLRSSNAVLPFIERRSLSLQKFGVARGALGTQILRDWGFGREEMEDMGEHLSKMVRAFHPDGGLTSDSD >SECCE5Rv1G0369850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:837993404:837996045:-1 gene:SECCE5Rv1G0369850 transcript:SECCE5Rv1G0369850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLTHQTGAAAIAATPAPGARASLFAAASPASAAAAGSAVAPAQATSLRMQTQLVEPAQPQAQEMFQAMAPDQQQQGEAEHPDAGGEEARKVGVPVFVMMPLDTVRKDGSALNRRKAVQASLAALKSAGAAGIMVDVWWGIAESEGPGQYNFAGYIELMEMAKKAGLKVQAVMSFHQCGGNVGDSVNIPLPKWVTEEMDKDQDLAYTDRCGRRNYEYLSLGADAIPALKGRTPIQCYADFMRAFRDHLAPYMGNTICEIQVGMGPAGELRYPSYPESNGTWSFPGIGEFQCYDRYMRASLKAAAEAVGRPEWGNAGPEDSGSYNQWPEDTGFFRREGGWNTDYGQFFMSWYSQMLLEHGERILSACSSVFTGTPGVKVSVKVAGIHWHYGTRSHAPELTAGYYNTRNHDGYLPIARMIGRHGAVLNFTCVEMRNHEQPQDAQCMPEALVSQVASAAKEAGVGLAGENALPRYDETAHDQVLATAAEKAEEDRMVAFTYLRMGPDLFQPDNWRRFAAFVKRMTETGVSDVSREQVEREAQGVAHATQPLVQEAAVALCN >SECCE4Rv1G0222800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:62989364:62994269:1 gene:SECCE4Rv1G0222800 transcript:SECCE4Rv1G0222800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLASRLLLRRPAAVLSLLQSSRHARHFSTQLLEGVPRLPKPTCGRYFLRNASPYQIWSRSFASENGDLVEAVVPFMGESVTDGTLANFLKKPGDRVEADEAIAQIETDKVTIDVSSPEAGVIEKFIASEGDTVTPGTKIAVISKSAAPSEAHVAPSEETSQKETPPPPPEKPKVEAKSPKVEPVKPQASKLASPSEPQLPPKERERRVSMPRLRKRIANRLKDSQNTFALLTTFNEVDMTNLMKLRTDYKDEFVKKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYIDVSVAVGTSKGLVVPVIRDTEGMNFADIEKGINSLAKKATEGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVDGSILARPMMYLALTYDHRLIDGREAVLFLRRIKDVVEDPRRLLLDI >SECCE3Rv1G0169640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:238702718:238711073:1 gene:SECCE3Rv1G0169640 transcript:SECCE3Rv1G0169640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVISRSTDDFTRERSQDLQKVFRNYDPALRSQEKAVEYTRALNAAKLEKIFARPFIGAMDGHIDAVSCMAKNMNYVKAMFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGLATSTDGDLLISCGVDCTVRLWKDPMRKMMNTNDTIEDASQPSAVYTWKHAFWGVDHQWERNVFATVGAQVDIWDHNRSEPINTFEWGKDTVLSVRFNPGEPDVLLTSSSDRSLTLFDLRMSSPARKLIMKTRCNSVCWNPREPMNFTAANEDTNCYSFDSRKLNEAKVVHKGHVSAIMDVDYNPTGREFVTGSYDRTVRIFNYNGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVVLPRERKKQEYQDAVKERYGHLAEIRRIVKHKHLPKPIYKAGKIRRAMIEAESRKEERRRAHSAPGSRTMQPFRKRRLITEVE >SECCE4Rv1G0252250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:613232621:613234460:1 gene:SECCE4Rv1G0252250 transcript:SECCE4Rv1G0252250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSNPNKRRGEAPREGDRWMDVRILKETLDCTVCFEHFSTEIYQRAIFFVGSVGHFICSSCRDKILDNKCPTCSIKTSFNRCFGMEHVIQYVAFPCSNAKYGCREGHAYYQKERHEQVCPYAPCFCPAPDCGFAGPISELLDHLTIYHVSPCTDLPYYGTVSLRLEPGIHVLAPRNKSNNHFFMLNMEPERLGYAISVVCVQPNATEPKFACTMNYDCSGTGYCGSASCQIKSSTLSDGLSTDYDLIPPKGKIPDDLKFIMLRITIHQASSGRKSCVEGKGLTSAPLTLFPRCSDDDADIVCRSCIEGKGRASSRKLLFPDYCSDDNDDDMPSRFECALPRLSLLD >SECCE2Rv1G0075550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:84772205:84776225:-1 gene:SECCE2Rv1G0075550 transcript:SECCE2Rv1G0075550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVRRLPAAPSRPAAHPHISPAPPALRLRRQHGRVAVAMARTASAAPVINSHIFSAPITAKFRLRRQPNVKRYKCNVIRSNLFDRLTRVARSYANAVISSFEDPEKILDQAVLEMNDDLIKMRQATAQVLASQKRLENKYKAAEQADADWYRRAQLALQKGDEELAREALKRRKSYADNARSLKTQLDQQKSVVDNLVSNTKLLESKIAEARQKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVMTMESQAEALGQLGADDLEGKFAMLETSSVDDDLAQMRKELSGSSLKGELPQGRTATPRDRDIERELNELREKANDY >SECCE3Rv1G0199980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:850218945:850221533:-1 gene:SECCE3Rv1G0199980 transcript:SECCE3Rv1G0199980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRGSSSSLRSRLLSSPAAWSPWRLLLSSSVHSDAAHQTETLAFHEIQLSPEKPPTATAFVLHGLLGSGRNWRTFSRSLASQLRDRSPADEWKMVLVDLRNHGSSARIKGLSPPHDMSSAAKDLADLVKAQGWTWPDVVVGHSMGGKVALDFAESCSRGDYGESAALPKQLWVLDSVPGEVKIDNSDGEVERVLQTLASLPSSLPSRKWVVDHMVSLGFSKSLSDWIGSNLKKDNEHVTWAFDLQAATDMFNSYRDRSYWALLENPPKGLEISIVQAELSDRWHPEDVQRLKALSRRGSRPDAGKVSLHVLPNSGHWVHVDNPKGLLEIMAPNFLSAVQN >SECCE6Rv1G0378690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9565938:9566817:-1 gene:SECCE6Rv1G0378690 transcript:SECCE6Rv1G0378690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSVEVVAILAPIIVYWVAAGVYTVLGRVLADHRLHSREEEELRNLVPKRVVILSVLLQHLLQAAIAFAVFSVKREDRRVAEAGTSAAAPAMAVVCCQFMVAMVVLDTWQYGWHRLMHSSSLLYRHVHSWHHRLVAPYAYAAQYSHPLEGLILDTAGGALALAVTGMAPLTAAAFFSFATLKAVDDHSGVLVPGNPLHLLFRNNTAYHDVHHQIRGGRCNYAQLFFVAWDKLMGTYVPYKVVRAQHGGLEAVPLKKKKMMT >SECCE2Rv1G0122930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:819946479:819946769:1 gene:SECCE2Rv1G0122930 transcript:SECCE2Rv1G0122930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVACSFFFDDELLGEPGTAALDACALCAKPLARDRDVFMYRGDMPFCSEECRHEQMQLDAVSARQAALRQQRFTAETESYRGQRQARKVSVAS >SECCE3Rv1G0160560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:105401302:105403563:-1 gene:SECCE3Rv1G0160560 transcript:SECCE3Rv1G0160560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTQPRDGHGNEPVPLGQMLFEHSGSDAPLRIGPPGFASMTSRSNDVPSASHATQFPSHRVVNLGTSHVSFAPCRIGRSSGHVPYDAQPKPALSSHVDNRMVAMKRKNLLPSVEGMDAVDYYVGSSSNSQFSDFVQPNPSALTDPLHPQMPLSIGPSNWINQRLVDQEGSQRNVRARHDSANISLEPRPASTYTPSNHHQLPFHSATSAFVSMSAESNQAPFSAPTRTLPSGGTGVTGRIYNHAMHSSSSNVAAAQTVNGSSDNAVFANAGFPAPRAVHGSSAAAAPTVHGSSESAVFANGGFTAHRAFHGGAVPSFGHPSSTVSSGSRAISHDVVIPSYPAATSTSMRIINLPSPISTAASSRHARVSLAHANSARNRFLDRSSFHLIAETQRVMMEQLAFYQQSRQAAADPHRDLRLNIDEMSYEELLALEESIGTVNTGLADEKISGCVKEVVCCSSDEAQEDEDDGRCLVCLEEYKDNDLLGILKCRHDFHTDCIKKWLQVKNACPVCKSAAA >SECCE5Rv1G0361540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780131846:780132412:-1 gene:SECCE5Rv1G0361540 transcript:SECCE5Rv1G0361540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLHFHYEDVLRQDLFLKLNHANVMEVPGLFEIRLVPKAASDFRIQFSKLAMEILCGQRFIQTQRGPYFQAGKSFRSNPFLGSEKDTGYVSDFARQSVLRGHGMYHYLVRIFTVMSMLDSPVEIRENSIKFFMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSANTKDETLLLWSGFLLKDEGETK >SECCE4Rv1G0285960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:847261894:847262709:-1 gene:SECCE4Rv1G0285960 transcript:SECCE4Rv1G0285960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALQGWAAQVSGLLERLEAFSGKVVDLPTFSQSPEEREGRNLGNGGGFSSLEPLGVPPPWCEHEEPAPSVVADDVLPAMDVALHEMACQGEEEQAKVAEIVTSSNDLEHFEEALPDGLVGEVPLFASSSVTFASGGTTNMVCSGSKEPLGDIGVPSTTLLDEFLSGFSCAAPRSLLEEPIHVQIDGASTFSERRSGRLEKKNRSCNIPTAKRAEYRLAEAYGELPKGMASKKGFEEDVQEKMNSYLRMYKKPPTPTALEAIRALVEANG >SECCE3Rv1G0191240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747525723:747526869:1 gene:SECCE3Rv1G0191240 transcript:SECCE3Rv1G0191240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLAALVVLVAFLAGPAACEGTFICFNGWVRLPTILDAVLCPRGSGTPRVPRPSPSGSGLSYGYYSTSCPSAEKIVKDAVKKAVDENPGVGAGLVRLFFHDCFVRGCDASVLLNTTNSENSDTEREGPPNQNSLRGFEVIDEAKTAIEAACNNTVSCADIVAFAARDASYFLSKGSINIPMPGGRYDGRESFARETDQLPGPFSDVPQLKASFAAKGLTSDEMVTLSGAHTIGRARCMFFSSRFSEMEPTYAAKLEAECNDNGNTNVNQDDVTANVLDKQYYQNVIGNKVLFTSDAVLNSTAETRTQVMDNANTAGAWEGKFEKAMEKMGKIKSDQQSVEIRKVCWKVNK >SECCE5Rv1G0334170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:564750629:564759299:-1 gene:SECCE5Rv1G0334170 transcript:SECCE5Rv1G0334170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSEGQGQQEIITQQHQQQQQPLEMDITFGMSSHQHHHAPPSSSSSSSMHAAAASFMSSKEASGAYDHLGELDQALFMYLDHGHASAQQEQRQTLNIFPSQPMHVEPSPKGELGLVLSPAPVGSKMPSPDHHHHQQQQQAAAAAMEELAAGSRRQQQEHHLHLQHQQQQPAFATAESAGVSNNKDVKPLTKKEHKRGLSTGERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQIEQELHSARAQGVLYPGSSLLAEQGIAGKGLGGIDGLSSEAAMFDVEYARWQEEHNRLMYELRAALQQHLPEGELQMYVESCLAHHDEVLAIKDAVIKGDVFHLISGVWRSPAERCFLWLGGFRPSEVIKMVLSHVDPLTEQQIVAVYGLQQSAVQTEEALSQGLDTLYQALSDTVVSDALTCCTTPNVSNYMGQMGLAVHKLTTLEGFVRQAEKLRQQMLHRLHQVLTARQMARSLLAVSDYFHRLRVLSSFWVNRNRMAPQDQQLEAGPHT >SECCE3Rv1G0187850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:705712101:705717898:-1 gene:SECCE3Rv1G0187850 transcript:SECCE3Rv1G0187850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVSRALPFASRSHLHLSPPLRGAALLSSTPLLPHLPAAASTASLLSWRGFTPTPEPSRFPQPFAGFLAGIRGFRRARRGQAAAKREPPQDPAPPPPLKEIEIELCARISVEDDLPDDIEVLNVIEIMKLNVPMAMKIALDGLLEYNYKTRDTSISDVGKYEKVEVSVLLCNDNFIQNLNKEWRGEDCATDMLSVSQFIPDLDVPTLMLGDIVISVETAARQAEAKGHTLLDELRTLVVRGLLCLLGFDRQISDEAAMEMEKEELLILKSLRWKGKGLAKNAPDLSKPHTETLDGQVANSLKKAGSLRFYRPKFKYIFCDMDGTLLNSKSQVTARNAEALKEARSRGVNIVIATGKTRPAAIDALNMVDLSGRNGIVSESSPGVFLQGLLVYGLEGREIYRNTLNQEVCREAFMYSLEHKVPLVAFSQDRCFSMFEDPLVDSLHDVYHEPKAEIVSSIDQLLGTAEIQKLVFIGTSEGISSTLRPYWTKAIEERASVLQAQPDMLELVPPATSKGTGVKILLDHLCISPDEVMAIGDGENDIEMLQLASLGVALANGAEKTKAVANVIGATNDEDGVAQAIYDYAF >SECCE4Rv1G0225860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:101123083:101124249:-1 gene:SECCE4Rv1G0225860 transcript:SECCE4Rv1G0225860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGAAPSSSTSTSTNSSRSTSDHPHHAPAAVHHHPFYYAAAAATGATPPPPFMGSLAMVPAASPAGGQTANHSQTITVAEPPSEKKVAKRPTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKTDGETVEWLLQQAEPAIVAATGTGTVPANFSTLAASLRPGASSRAAPFQHHLQHQPQHDVAAMLGFHGHHQHQLLPPPPQQQQHHHQHPEAPQDPGAGEFMRKRYREADDLFKDTGRHQDPEGGGEPAQKPRPAPPPTAVSGAMWAVAPNSGAGGGGGAFWMQPAWAFGAGNTVQAPLQFISRSSFPGGMGDTNLGMLAGLNSCGRSTSTGGEQQHQQHQQQPQQQEEEGQPPEMDQHRRGSTSAGANGHEAGHSAASPQ >SECCE2Rv1G0142520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:941178778:941181885:1 gene:SECCE2Rv1G0142520 transcript:SECCE2Rv1G0142520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVEVADAAELSAKDGSSSCNAFVEVEFDGQRQRTATRPGDLSPQWNETLAFDVRDPARLAALTVDVSVQHDRSLNDHNALRPHVFLGRVRVSGESVARSPDEAFLQRFPLDKRGIFSRVSGDIALRLYLVPDARDGDRVAQDRAAAAPAMDTGGGQQQPSQTAAGNLDPERMVRNVFSGEAPAASASGGAAAAETKGKSGHDTREFRSIPASSGGGGNEPRRHTLHAMAAPPPPAGQTVVVPKPAGPAQAAAPGSQYGLTETKPPLPAKMGPRAGTAKIASTYDMVEPMSYLYVTVVKARDLPSMDMTGALDPYVEVKLGNFKGVTRHLEKNHNPVWRQTFAFSGAHLQASQLEVIVMDKDTLRDDFVGRVVFDMSDIPSRLPPDSPLAPQWYSLADAHGERFRHGHPLGEIMLAVWLGTQADEAFPEAWHSDAHSLSREGLTNTRSKVYYSPKLIYLKVSVIAAQDLIAADKGRPLAPTIAKIQMGSQIRRTRPGQPQGSANQSWNEEFMFVASEPFEDPLVVTVEEKVAAGRDEPIGRIIIPVASPYVPRNDLAKSVPSKWFNLSRGMTVDEAAAENTTGTKHREHSKTFASKIHLKMSLETAYHVLDESTHYSSDLQPAAKKLRKSAIGVLEVGILSARGLGGNKNPYCVAKYGSKWVRTRTLLGTAAPAWNEQYTWEVFDLSTVITVAVFDNNHLHHSEGAKDQRIGKVRVRLATLESDRVYTHYYPLMALSPGGLKKTGELHLAVRFTCTAWANMLGQYGRPLLPKMHYTNPISVLQLDYLRFQAMQMVATRLGRSEPPLHREVVEYMLDVDSHMFSLRRSKANFYRITSLFSGVVAVGKWFDGICKWKNPLTTILVHVLFLILVCYPELILPTVFLYLFMIGVWNYRRRPRKPPHMDTVLSHAEQAHPDELDEEFDTFPTSKPSDVVRMRYDRLRSVAGRVQTVVGDLAMQGERAQSLLSWRDPRATAIFITMSLVVAVVLYITPFQVVAVVLGLFMLRHPRFRSKQPSVPFNFYKRLPAKGDMLL >SECCE1Rv1G0013210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:101368024:101369610:-1 gene:SECCE1Rv1G0013210 transcript:SECCE1Rv1G0013210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTPTLPMAMALVTSLILVLSSVLYWRGRRKALNLPPGPRGWPVLGSLGALAGALPPHRALAALAARHGPLMHLRLGSYHAVVASSADAARLVLKTHDLAFADRPPTTAGEHVAYGYRGVLHTPYGAYWRMARRLCSTQLFSGRRVDSYEYIRRQEVRALVCGLFRRRGRAVAVREHLVDATLRNVLRMSVGDKWSGCYGSAEGEEFRRAREEVFAVAGAVSNVGEWVPWLGWLDLQGGVRRMKRLSKVYDRFLESILDDHGQEQHKAATASSPAAWDLVDVLLQQLDEEEGEADGRSSSPEARLTRDGIKAFVQDIIVGGTESSAVVMEWAMSELPRRPDAAAAATDELDRVVGRGRWVEERDLAHLPYVDALVKETLRLHPVGPLLVPHMAREDTLVAGYDVPAGARVLVNVWAIARDPASWPDRPDEFRPGRFVGSAVDVRGQHFELLPFGAGRRMCPAYGLALKMIGTGLANLLHGFAWRLPDGVSPEDVSMEELFGLSTRKKVPLTAVPEPRLPAHLYAAVD >SECCE2Rv1G0116450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:764717751:764720883:1 gene:SECCE2Rv1G0116450 transcript:SECCE2Rv1G0116450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLGASPSPASASPRGTAVSRSPRPYPRLHSQSIQKRLAGSAVSVSRRGTEARSRPCSTLMTASYNTGTPDLVDFDWETLGFQLVPTDFMYIMKCSSDGVFTKGELVPYGPIELNPAAAVLNYGQGLLEGLRAYRKEDGSVIVFRPEENALRMRIGADRLCMPAPSVEQFLSGVKQTILANKRWVPPTGKGSLYIRPLLIGSGAMLGVAPAPEYTFVVYVCPVGHYFKDGLSPISLLTEEEYHRAAPGGTGDIKTIGNYASVVSAQRRAKEKGHSDVLYLDPVHKKFVEEVSSCNIFMVKDNVISTPLLTGTILPGITRRSIIQIARNLGIQVEERLIAIDELLDADEVFCTGTAVVLSPVGSIVYHGRRVEYGGGKVGAVSQQLYSALTAIQKGLAEDSMGWGVQLN >SECCE5Rv1G0351480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:700251336:700252130:-1 gene:SECCE5Rv1G0351480 transcript:SECCE5Rv1G0351480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIMKCRGMAVRDPSSPHKVQLLLEDYPYAVDGLAIWTAIEQWVTEYLGIYYTSDSVLQGDVELQAWWKEVREVGHGDLKDAAWWPKMKTVAELVKACATIIWTGSALHAAVNFGQYPYAGYHPNKPSASRRPMPEPDTEEYALLARDPEKVFIRTITNQLQAIIGISLLEILSKHSSDEIYLGQRDTPEWTSDAKALEAFKRFGTRLEGIESQVVALNGNPQLKNRNGPAQFPYMLLYPNTSDHTGKAEGLTARGIPNSISI >SECCE7Rv1G0470520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:121841471:121845272:-1 gene:SECCE7Rv1G0470520 transcript:SECCE7Rv1G0470520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NPGR1 [Source:Projected from Arabidopsis thaliana (AT1G27460) UniProtKB/Swiss-Prot;Acc:Q9CB03] MAAIMLCTCSGDQSKFEEMPRSPESLATRDFSASGSCSKPGKREATPDDSQVNEVESDLRETLSLNYEEARALLGRLEYQRGNFDAALQVLQGIDIRSLKPRMTSAITESAKPKVSPRSSRRKTSQVNGVLVHMSMHSVSLLLEAILLKARSLERLGRVTDAAEECRTIIDIVELAWPNGVPEGTSEECKLIDMFHSALEYLPNLWMKSGCFEEAITAYRRALARPWNLDSQRSANLQKDLAVTLLYCGIEVKSPQEFSQQQDLATLENNIEEAILLLFVLIRKLAFQEIKWDPDLVNHLMYALSLSGHYEVLARHLEMLLPGTYSRSERWYVLALCYSAAGMDDSALNIIRNGFRVLQRKGKPHIPSLLLGAKLCCKNPKHASEGIKFANKARESFRSHDMHFISAVNHFLGVCYGPFARSSTSHTEKMRLQDDALRLLQDAAATAKHNPEILYSLAWENAMQRKLNAAIESAAECLEMVTGSSVSTWKLLILVLSAQQNLEEAESVADIALDEAEQEDQMGILRLKALIQSSRGQFKSAVETFRILLAIIQAKKEIWKLTPYDKVKALHKLEMEAWLDLASIYTKLEAWHDSNTCLDKAKSIDFLSPKCWHVKGLILEAQSLHQEALEAFSFSLSINPDYVPSMVSMASILRTLGAKSSSIARTFLRSATRLEPTNHQAWMGLGLVLKSEGSVLEAADCFQAAYELLELSPIQDFSEELPILLQ >SECCE6Rv1G0382980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:48834197:48839773:1 gene:SECCE6Rv1G0382980 transcript:SECCE6Rv1G0382980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGIATALLVSNISVVLKEVNPQFLQRGQKTIAGNLEGLVKRGSLTKDKMSKAISLLKGALDYSDFKDVDMVIEAVIEKVPLKQSIFADIEKICPPHCILATNTSTIDLNIVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTERTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYGQAAHLLVSLGIDLFRIDRVISNFGMPMGPFQLQDVAGYGVALAVKDIYAAAFGTRNFHSGLVELMAKNGRQGKINGKGYYLYEKGGKPKPDPSVQHVIDEYRRQTKTISGGKLVTLSDQDILEMVFFPVVNEACRVMDENVVIRAADLDIASVLGMGFPKYRGGLIFWADTVGASYIHSKLSKWAEIYGDFFKPSSYLEERAKSGRPLGAPKMAQQAPTRARM >SECCE2Rv1G0111880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:704684893:704686942:1 gene:SECCE2Rv1G0111880 transcript:SECCE2Rv1G0111880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAADGRGSRYAALDPTDGPELDAVAVSRRTPTAAERRSKERFVYACAVFASLNAILLGYDVGVMSGAIIYIQKDLHITEFQQEILVGCLSVISLLGSLSGGRTSDAIGRKWTMGLGAIIFQTGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMISAVYIAEISPAAARGTLTSLPEICINLGILLGYVSNYAFSSLPEHISWRVMLGVGILPSVFIGVALFVIPESPRWLMMEKRVPEARAVLLQISESEAEVEARLAEIEEAANIMKSVNTEGKAVWRELLNPSPAVRRMLYAGCGIQLFQQITGIDATVYYSPTIFRDAGIKSEQELLAATVAVGFTKTIFILVAIFLIDKVGRKPLLYVSTIGMTVCLFALGTALTLRKHAMGLISPNLGIDMAIFAVCGNVAFFSIGMGPICWVLSSEIFPIRLRAQASALGQVGGRVGSGLVSMSFLSMARAISVGGMFFVFAAISTVSVVFVYFCVPETKGKTLEQIEIMFEGGKEWKGGGEVELEDTQHLIQGDKKSFSLG >SECCE4Rv1G0246630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:547385242:547386256:-1 gene:SECCE4Rv1G0246630 transcript:SECCE4Rv1G0246630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSGLRSCSAVGVPSLLAPSSRSGRSGLPFCAYATTSGRVTMSAEWFPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEIYHCRWAMLCVPGVLVPEALGLGNWVKAQEWAALPDGQATYLGNPVPWGNLPTILAIEFLAIAFAEQQRTMEKDPEKKKYPGGAFDPLGFSKDPAKFEELKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIVIPRNIYGP >SECCE6Rv1G0446770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846091602:846093393:1 gene:SECCE6Rv1G0446770 transcript:SECCE6Rv1G0446770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSKRIRAAQDDRLSDLPDCLLHSILSFLETRQVVQLSLLSRRWRNLWPAMPCLDIDQTLFYRRVSCRHPNCSKRNYGEKRACKKEWRPFEEFTNNLLRLHTAPSLDKIRIHVPALDLDDRQTCLTWISKGVQRSPEVIDIHIDTCWSGGNWFLPDFGSSSCRLTRLLLHGVQLGASFAEQLRSGCPVLEHLSLVSCNCCFGIIASARLTHLTIDGCRPHHELYHGILVAAPRLTSLHTSLSTIYWPNGIYVMDTPSFVKASICVTSWYQTKARCLDNLYRIFNIRHLELFGFNMMVNLQKISDKLPQFNNVRSLLIDRCDLKMIANIQTLDRFLQSVPRLEKLTLQNCEFTDRSKRRTSRAKRRIVALESQNLMSFKCDNLELIEIKHSKDDNIDELFELLMGLWRNLGKTNIKLTKV >SECCE3Rv1G0191580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:749629618:749630196:-1 gene:SECCE3Rv1G0191580 transcript:SECCE3Rv1G0191580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKTFAQSHPVLAVLLLGSVALFTILMTVLAVTLKSTPPEFSATVSSFEGLDRRAGAPPTFRVALRVKNGNVWRHCFEPAGAVVEYEGVPLAIADLGELCVPARSVVDVPFVATGEGLGMPDRLYERLDGLRRRQERVPLAVRVTLDEKDTIRDIRSLLLRCTAMLDGQPDLPSRCLLFFLVEPGSMIGD >SECCE5Rv1G0320380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:386987980:386990180:1 gene:SECCE5Rv1G0320380 transcript:SECCE5Rv1G0320380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQEKITPVKPVASRPFSSFTSFSKLLKDFTATDSAKIISPGETVIVRRPKVTRFAPPPSDLSAGIAATMLQDAGLDTTCEKMVIDPEQVVSCDQMTAFHDISKPIHGVKNRLSYDGYNWRKYGQKQVKGSEFPRSYYKCTHPTCPVKRKVETTVDGQIAEIVYNGEHNHPQPHPPKKPASSASTEVVVPDAHGSNDAGAESQLGGCNLALVSDPVVAAFKSSCDYVDEFGNTSPVYHCNTSQKEKQSSIANGLTSSGEAAPAFQSPTECGSSGEAAFRWRKYGQKAVNGNSFPRSYYRCSTARCNARKFVERSSDNSLVTTYEGKHNHVQLQ >SECCE2Rv1G0073000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:63491776:63492603:-1 gene:SECCE2Rv1G0073000 transcript:SECCE2Rv1G0073000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGSWFHKLRRKRAGDAGELDRAPAVVTTPPSSRGVPVPPPCTPNRASYYVPSGERGAVKGVPPKRRDTQFPRSPQPSDIVFDVVTVSRAAADRFDGLRAMPELKLRPILTKPAGKKVGGEEEASGSGSASPTARARRRRRPRIHVTPSGGRKGRRPADAQPPSAATNMPPQRRRSRRRRWLQESLVVVKESADPEEDFVASMAEMIAANDDVRASPRGLEELLACYLALNAAEHHRAIVAAFRRAWLDDAPTSAATGIKHRPPPSQPQGLAS >SECCE7Rv1G0470790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:123907717:123908073:1 gene:SECCE7Rv1G0470790 transcript:SECCE7Rv1G0470790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFAAEVYAMAEAVSLAADLGLLRVTFETDSQLLAEALDVRKVDSSAFSAVIEDIKLQLKLWFSSHEIMYCSRRANSVADELAKLGRLCDVNHSLEWDSDVPANVADRARGDLLKHK >SECCE3Rv1G0198890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:837066029:837067708:1 gene:SECCE3Rv1G0198890 transcript:SECCE3Rv1G0198890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 86A1 [Source:Projected from Arabidopsis thaliana (AT5G58860) UniProtKB/Swiss-Prot;Acc:P48422] MSQGFYVARMATAMDAGVLHLQLIPGAIAVTVVALASAYMVWFWALSRRLSGPPMWPLVGSLPSVVMNRKRVHDWIADNLRATGEAATYQTCILPLPFLARRQGLVTVTCNPRNLEHILRARFDNYPKGPMWQAAFHDLLGQGIFNSDGETWLLQRKTAALEFTTRTLRQAMARWANRSIKDRLWRILADHCDAAASVDLQDLLLRLTFDNICGLTFGKDPETLSPGLTESPFANAFDEATLATMQRFLFPSFLWRIKKALGIGSEQSLRKSLAVVDQFMTETIAARKATPSDDLLSRFMKKRDSNGKAFPEDVLQWIALNFLLAGRDTSSVALSWFFWTIMQRPDVERKVLLEIASVLRETRGDDTGRWAEEPLNFDELDRLVYLKAALSETLRLYPSVPQDSKYVVADDVLPDGTVVPAGSAITYSIYSVGRMESIWGKDCVEFRPERWLSADGSRFEPVKDAYRFVAFNGGPRTCLGKDLAYLQMKSIASAVLLRHSVELVPGHQVEQKMSLTLFMKNGLRVNVKPRDLVSYVAPPPEEAPPLGSVVIPNTTAAAA >SECCE1Rv1G0035650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:500271836:500273170:-1 gene:SECCE1Rv1G0035650 transcript:SECCE1Rv1G0035650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKAGVGFQAGVKDYKLTYYTPEYETKDTNILAAFRVTGAAVAAESSTGTWTTVWTDGLTGLDHYKGRCYHIEPVAGEDNQWIYYVAYPLVLFEEGSVTNMFTSIVGNIFGFKALHALRLEDLRIPPTYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFIRWRDRFVFCAEAIDKSQAETGEIKGHYLNATVGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTTLADYCRNNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHSGTVVGKLEGKREMTLGFVDLFRDDFIEKDHARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGVVANRVALEACVQARNECHSRGIDHYHT >SECCE2Rv1G0115750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:755685818:755710693:-1 gene:SECCE2Rv1G0115750 transcript:SECCE2Rv1G0115750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAASLLEAYRRDRRRLLGFLLSSAGGRAVDLSRVDLDAVSADYALDCVASGAQFDASEATRRYFDDRRYPIMTSSPSGNSYFLLSRPEGSGSPPTKVAPDIGPEPPAEENSSRARKRSDSFKVAVNIQGASYVLKDLSLANTSPQQAKEMDLLSLGLPRLNAELSDDDLRETAYEVLLASLFVSGKMYFAEEKREKKPKFLKGLRSKTEGSNSAPQMENYYTQHLDLIRVQMEISESMDTLAKRALRRIGSKMVQGQLDVPCISLQLLSSVGKFDFPTERLRVQWQRRQANVLEELLLFSASRECSMSETLRIVLSKLKNTEDWVVSVPDGRIEVLTVIERYSTRLSAAPKKFGLKGETYHWTQSYHFNSRLYEKLLSSVFDILEDGQLVEEADEILETMKLTWPILGITQKLHDTLYAWVLFQKFAQTGEILLLKQTDLQIQKLKLLNNDREAELYMDSFVCSVEGFGCNGALNLVDSALLKINMWCHRQLKNYHLYFSQANCSIFESMLNLVLLSAANLTDDDEEAMLIGTPLGSTPESTLIHILVVRSIQAAYKNALISADGQSKAEFKHPLILLASELKLLVEKECSAFSPVLHKYYPEAGRVALTVFHLLYGQQLELFLEGSDHSENLKEILGASNSFELCIAQKLYSMYGETAGSSLSNFLQPYMIDRFSSPIILQWLHAQHENVLEWTKRTIEIEDWEPLSVHRKLATSMVEVFRIVEETIDQFFNSSLPLDIVHLRSLLIGITSSLQVYLLHMENQQVSRATLLPIAPVLTRYAESVNPFAKRKLIVPTVREEKVANKLNNLTVPKLCVKLNTLQYIRDQLDTIEEGIKQSWVDVQSAVGLLDYLSYMASEGATSKNPPSDESIDELFTIFDDVRRTAVNITDTILNFIGTRAVFWDMRDSLLFSLYRTSVESARMEIFIPTIEQVLDQVCDLIVDVLRDQVVLRVFQACMEGFIWVLLDGGPSRAFLETDVSTMKDDLAMLKDLFIAEGQGLPSDVIEKEAKLAQQILDLYVLKADTIIDLLMKATEHMSHHLEPATAQRRNVHDVHTLLRVLCHKKDNGASTFLKTQYHLPRSSDYDDVPVKDASSKVPIFSDMLNRGASFNWSETGQQSFRIMKKKLQEASWQ >SECCE6Rv1G0450520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:868175962:868177100:-1 gene:SECCE6Rv1G0450520 transcript:SECCE6Rv1G0450520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPLLTPYKMGRFDLSHRVVLAPMTRQRAYGGVPQPHAAVYYSQRATPGGLLISEATRVAPARRDEEPESSFRDMPGIWAPEQVEAWRPVVDAVHAEGAVFFCQLWHAADGDGDALRQRQQVSPQMSFDGRCEELTSPRKVAAEDAPGVVEAFRRAARNAIDAGFDGVELQGTNGYFVVDRAGGGPESRCRFALEVVEAVAREIGGHRLGLRLDQFTAEPDEHALALHVVSRFNDLGVLYCHMIEPKVDGRRRVSRRLLPYREAFGGTFIASGGYGREEGDAAVGEGYADLVAYGRLFLANPDLPRRFELGAPLNDCVSATFYGAGAGAAHPAVGYTDYPFLD >SECCE6Rv1G0408400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:572081023:572082243:1 gene:SECCE6Rv1G0408400 transcript:SECCE6Rv1G0408400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATPPPEFRFDSAVLGDDAYPAGSAASRNPFGSADELEDGNPFMATTAVTAPPSPNPFGLLPPDVSADGLSDGADPFDLFQHFASAPASPERAAAIYAQFSGAGDGGDGFRASFSTRRTVESAVPFDWEEKPGTPKPGLGSGGTATGDGENADFDFGVLLDRSAQEPDQLTTADELFDEGKIRPLKPPPRLLDGGSVGSSPRSARSAMWSPRLRGLAGPGPDFDPFATAMANASKAAAPSPLGPGAKDAAPDTTTDTVPMNPESVASPRSVPPTAGNGGRKKWRLSDLLLFRRLSAKGRTSSSSSVSREPVFKYSPVQHLGTPVKKTEPVGGTANGDASAGGKQKKQGKYGAAAGAEDGAARHGLSLMGCVRLNPGLHRLAKGLNGSSTSHFGRRTARATMHSG >SECCE3Rv1G0145130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5781343:5782302:1 gene:SECCE3Rv1G0145130 transcript:SECCE3Rv1G0145130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRILNTSKPTLPPAPPPQRHHPPPPLPTKLGRRAAAVAIAVAASPALLGAVSPSARALEGAEAAPACIDELPITAKAFLDVSIGGEPAGRITVGLFGDAAPAGAARFLSLATGVGYRRKEFVKVVPGYVQHAGVVSYPVIPAVTERLAAEMDAARARCGGGGAMNAAGAVSIVVRDPSLPPPKPKLVARGGRLKIEEEQVGVVPNGTEFVIATRDSPELDASALVVGRVVAGMDVVGRMAAVATVKDNTGSAYFKVAKLIGDKRAVVAERGFNRPYTKILVTNCGVLEQ >SECCE5Rv1G0338420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597902680:597905798:-1 gene:SECCE5Rv1G0338420 transcript:SECCE5Rv1G0338420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSAAVAVGWAMKAAGWVASPIISELYKKVSSVLNMDVSGKLKELEPKILLLQRVMEVVEESPYRPRLEQLFTDLKSAFYEAEDILDDVEYYRLEKQIQDDYLRSEVAAPPRNKDRVKKPARQKECGMSKTELKQSLEKIEKVINDACGLFEQMNLPNKSNTNLSKPANSRGAVTTARPPSVVIGRDKDRDSIVAMLHDKEEDAQPDTNRAQCYSVIGIHGIGGSGKSTLSQHVCAREEKDGHFNLVMWAHVSQDFSVDTIFMQMSEAATRTPCPQFNNLDTLQTNLEKVLHGRRFLLVLDDVWYNNRDVRQYEKLQQILSPLNAGEAGSKILVTSRTKEALIALGAAEQRCIPMPVLDEDVFLKLFKHYAFHDVRVAADDRIRLEDIATQIAKKLKGSPLVAKIVGGQLRMRPNTDYWRSSQDVNHLDDTMGALWWCYQHLDEQIRRCFAYCSIFPRRRYLERHELVKLWSAEGFARSSNEGKDLEDVCQGYFDELVSASFLQPEVKKYSSKKDTYMVHDLLLDLADKVAGSDCFRIENQWKQIGDSLVMEGCEEEVPPDVRHLFVQTYGSELIIEKICKLDNLRTLIIDSGERRKPVEEKVLKSLFVRIRKLRVLIIHGYNGQAALLVPKSIGQLRHLRYLAFLTRYDTGGKLVVPGTLTKLYHMQVLDFGSTGALVFNSCEDIFCLINLRHIIQMSCLKIPSIGRLTLLRTMETLKLRREEGCGLKQLSNLNQLRGKLSIRGLENVESQQEALEANLADKEGLRTLELKWKYNWRTELKEKEDQTEVLEGLCPPKHLESLTIHCYDGLRYPSWMMGKHNGGPKYIHTLNLYSCSPELGPELGGFCPHLRSLYMYGCSWDTLPDHMENLTSLKDLRISYCPNIRSLPTLPQSLEHFELNGCNEMLMSSCRTVGDPNWEKLQHVPTANVGGMRVETRAQVDGSSSSSQPETPQAPSRDP >SECCEUnv1G0535290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:54820206:54822217:1 gene:SECCEUnv1G0535290 transcript:SECCEUnv1G0535290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWTSVGLVAVAVLVVGIAMPASAAVQPPAPAPSSDGTSIDQGIAYVLMLVALVLTYLIHPLDASSPYRLF >SECCE6Rv1G0450820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:869875075:869876707:-1 gene:SECCE6Rv1G0450820 transcript:SECCE6Rv1G0450820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMTTVFCLLLVVLALDASHVDARGTPSAARGSNSQWSSMFVFGDGFVDNGNLQKTESSRQWNYPYGSYLNSRGSATPVPTGRFSNYQIQSDFIARILGLNEAPPSYIATPRLSCDSSGMTFAFAGAGVYDVSDKQVPTLAAQVNAFTTLVNAGVISRQQLHRSVALVAISGNDYMKGADVNNAFLSSFDDIDTYIGNVTTEIAKNVVSLQRLGVRKVLVNNLHPIGCWPLRTSSNNYTACDLLANYAATVHNNNIEHLMGNKNNAHILDLYTAFTDIVNHAPGEGSEQSNNFKRKLTPCCEASTKLGYCGEVSPSGERLYSLCKNPDKRFYWDMTHPTSAGWEAVTEALEEPVREFLDRDYVP >SECCE1Rv1G0059560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:706951725:706952597:1 gene:SECCE1Rv1G0059560 transcript:SECCE1Rv1G0059560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPGIHFVEAVALKPPEVTFDLAMQQQHEAELAAAAAQPLPDDDDDLIE >SECCE6Rv1G0386310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:94737098:94739800:-1 gene:SECCE6Rv1G0386310 transcript:SECCE6Rv1G0386310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRALSLSSLCAAAAAATRSPLLSPHHRARHLRPRLAAAMSSSSSPVPAPGSDPAAPAASSASSAIDFLTLCYRLKTTKRAGWVRRGVPGPESVADHMYRMGVMALIAADLPAGVNRDRCVKMAIVHDIAEAIVGDITPADGVPKEEKSRREKEALDHMCSLLGGGSRADEIRELWMEYENNATLEAKVVKDFDKVEMILQALEYEKEQGRDLEEFFQSTAGKFQTDVGKAWAAEVASRRK >SECCE6Rv1G0405540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:532684060:532690016:-1 gene:SECCE6Rv1G0405540 transcript:SECCE6Rv1G0405540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLFSSRFLFSSGAAKKLPSRRRVTFVAAAPSSPSTPSLPRSQDASSSSRQRSVRAAPLGPSKGASRPAGSNVRTRRRRQRRTVEGGQEQGGCVPSVEEASIRVGTLYENGDPLGRKELGRCVVEWLRQGMQSMAHKFASAELEGDMADLTAVALPLNWGSAEGQLGFVIQAQPYLSPIPMPKGLEALCFKACTHYPTLFDHFQRELRGVLLSYQNQGLISDWRSTQTWKLLKEIANSTHHRAAVRRTTPRTKAVHSSIGISLKKVRLMQDRIEDFVRHMSDLLRIERDAELEFTQEELNATTMLDNNSKPSKPVEYLVTHGQAQQEQCDTVCNLSVISSSTGLGGLHLVLFRIEGEHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFLYNLGEDGCSITVALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALMLLQRNGLLKTNASIDVVATLFGDSKDVMKMEQNCLIDWGESSLPDPRLSERYAFDDSQLRALSLGLNKKRPVLVIQGPPGTGKTVLLTELIVRAVRQGENVLVTAPSNAAVDNMVERLSSTGLNIVRVGNPARISPSVTSKSLAEIVNGRLGQFRKELERKRTDLRKDLKDCIEDDSLAAGIRQLLKQLGRDLEMKEKETIMEVLSDAQVVLSTNTGAADPLIRKTGWFDLVIIDEAGQGIEPSCWIPILQGKRCILAGDHCQLAPAILSRKALEGGLGKSMMERASLLHDGLLTARLTVQHRMHDAIASWASKEMYQGLLQSSRSVASHLLADSPVVKDTWITRCPLLLLDTRMPYGILNADCVEHLDPAGTGSFYNDGEADIVTQHVLNLVHCGVSPSEIAIQSPYIAQVQLLREKLEEYPGLSGVEVSTVDSFQGREADAVVLSMVRSNPLGAVGFMGDSRLMNVAITRARRHVTVVCDTSTICHSTFLARLLRHIRRYGQVKHVAPGSLNGVSGLGFSQPTLPSIS >SECCE7Rv1G0506850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:754259065:754261079:-1 gene:SECCE7Rv1G0506850 transcript:SECCE7Rv1G0506850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLLLVAVAWVLLLAGHGTNAARVKVPSSKVRPITISPRLLGQVSPLDDAGSGLVTQYLAKQVTRPGATEEGYYGFIATMDVYGFNLSSNQLSTGAILLYDQGDGAAASLNVLHVGWEVAPGWYGDSLTHLGVGWTTDGYQKTGCPNPGCIRDFIPEQTAPIAAGGVIETISQLNGPKQYMTIKIIKDGIKGDWMVYYGLNQDKLALIGRFPKTLFTGGLANRAADIQVGGHVLTSMNNLAPMGSGYLPIFNAMSSASMSNVQFIDQNGRALPMNQVSLTYITDSNIYAVGPIVNGQFFYGGPFQLSA >SECCE7Rv1G0496150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:608204418:608205659:-1 gene:SECCE7Rv1G0496150 transcript:SECCE7Rv1G0496150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMSPPAYKSLNIPTKIESGFSGVNYASATAGIWIDRGDGLNFPLTYQVKYFTTTMEKMEANHSRQQLRKMLSNSLFLISVGASDLYYIYDIMTRPNYIRSGPQPEPDNTTDVPHLISSYGDIITALYNLGARKFGIINVPTLCTPVGYSCDDLMTSLPKDFNDHIKPLMAGLASNLDGLRYSIADFHALSDAVSTNPSAYGFVNTWASCCEGPCAPNYRSPCGNPREYWYWDHENPTEQAAKLAATTFLNGTAQFTAPVNFKTLINHK >SECCE6Rv1G0398950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:428123474:428126010:1 gene:SECCE6Rv1G0398950 transcript:SECCE6Rv1G0398950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLIAVLASAWPAASQPPSGTCQQRCGDVNIPYPFGIGRGCYLYTGENDVTFGLTCNLTADGTYRPFCFEVEVLGVSVGRGQARVRNEINPWCYNAASRSMDGGSSMWTDFSDSSFMLSDEDNRFTVVGCNSLAYVSSSETSQFATGSTYMTGCMATCPGAGRLENGSCSGMGCCQAAIPRGINSYDVVFEEKFNTTAIANFSRCSYAVLVEAAWFDFRTTYVTAGDFMASTGGKVPLVLDWVAGKVTCREAMRNTTAYACLSSNSGCVDSRNGPGYLCNCSRGYQGNPYLQGGCRDIDECGGDGGINYPCSVPGTCINTPGGFRCACPDKTTGNAYTGTCEAKKSQLGVHIAIGVSISVVVLVISMSCAYMIHERRSLATVKRRYFKQHGGLMLFEEMKSKQGVSFTLFTKEELEEATGRFDERNVLGKGGNGTVYKGTLKDARTVAIKRCKLVDERQKKEFGKEMLILSQINHRNVVKLYGCCLEVEVPMLVYEFIPNGTLYQLMHRHGARVSLATRLKIAHESAEALAYLHSWASPPIIHGDVKSPNMLIDDGHAVKVSDFGASTLAPTDEAQFVTFVQGTCGYLDPEYMQTCKLTDKSDVYSFGVVLLELLTRRKALNLQAAEGEEKNLSSHFLRAASASKLDEIVDAQIVNEQSIEVIEHVAEIAKQCLEMDSERRPSMREVAEELGGLRRRLLAQHPWGQKSSQEMEALLAAGSPTPTSTCSEIELSNAYVSLDDSAYLGVQSPR >SECCE2Rv1G0107620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:650921622:650924403:-1 gene:SECCE2Rv1G0107620 transcript:SECCE2Rv1G0107620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRLVSSAPPLPGHGGAARRTVTYMPRPGDGTPRPVTLIPGDGIGPLVTGAVEQVMEAMHAPVYFETYDVHGDMPAVPPAVIDSIRRNKVCLKGGLATPVGGGVSSLNMQLRKELDLFASLVNCANVPGLPTRHQNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNYRKKVTAVHKANIMKLADGLFLESCREIAAKYPSIEYNEIIVDNCCMQLVSRPEQFDVMVTPNLYGNLVANTAAGLVGGTGVMPGGNVGQDHAIFEQGASAGNVGNDSLVEQQKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGTSTTQEVTDAVIANLD >SECCE2Rv1G0128150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:858296391:858297998:-1 gene:SECCE2Rv1G0128150 transcript:SECCE2Rv1G0128150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRLAVFLIGMTSFFSVVAGQLRPMAAGGLPGDLFALGIASKIRTDCNSTASASSDFGRIMEAAPEAVLHPATPADIAALIRFSASSPVPFPVSPRGQGHSVRGQSLAPGGVVVDMHTLGHGHHRINVSADYVDAGGEQLWVDVLRATLKHGLAPRAWTDYLRLTVGGTLSNAGIGGQAFRHGPQIANVHELNVVTGTGEMVTCSRGNRKDLFLAALGGLGQFGIITRARIALESAPKQVRWVRLAYSDVVAFTRDQELLISKQASEAGFEYVEGQVQLNRTLVEGPKSTPFFSEADINRLAGLASETGSSAIYLIEAAMYYDESETTAPSVDHKLEMVLAQLSFVPGFVFTKDVTYFQFLDRVRVEEAVLRSAGVWDVPHPWLNLFVPRSRILDFDAGVLKGILGADNPVGLILMYPMNTAKWNSHMTAVTPPTGEDVFYTVGLLRSALSADELERLQRENQSVLAYCDKEGIQCKQYLPHYTSQDGWRRHFGAKWSKIAQLKAKYDPHAIMSRGQRMFPLPSVPAASTATA >SECCE7Rv1G0516720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:847177318:847177551:-1 gene:SECCE7Rv1G0516720 transcript:SECCE7Rv1G0516720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGMRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE4Rv1G0295540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898770440:898772227:-1 gene:SECCE4Rv1G0295540 transcript:SECCE4Rv1G0295540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSLQHPWAFAFGLLGNVISFMTYLAPLPTFYRIYRSKSTQGFQSVPYVVALFSAMLWIYYALLKSDECLLITINSAGCIIETIYIIVYLTYAPKQAKLFTAKILLLLNVGVFGLILLLTLLLSEGEKRVVMLGWVCVGFSVSVFVAPLSVIRLVMRTRSMEFMPFSLSLSLTVSAVVWFLYGLLIKDKYVALPNILGFAFGVIQMGLYAVYRNATPTSAPKDVDEPMPDDGAAVKVPEHVVNISKLGPAAALELNTHYSIEQGMPPPMKENGMAHASVVTKGSVEKATHVEEV >SECCE6Rv1G0405520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:532642413:532644880:1 gene:SECCE6Rv1G0405520 transcript:SECCE6Rv1G0405520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATARDAEARRAHAAMVGSQFINAGYHVIAKQALNVGVNRVVFCVYRDLLALCVLVPIAFFRHRGSPAQARPPPVTRRLLASFFFLGLTGIFGNQLLFLFGLGYTNPTYAAAIQPSIPVFTFILALIMGTETASLVTHEGRAKLGGTFVCVLGAVLMVLYRGAAVFGSSELDLDVHTNDVITQMLQPEPGSSWFIAYGLEKWHIGVLCLIGNCLCMATYLALQAPLLVKYPCSLSLTAYSYLFGALLMTISGVFTTTSKEDWTLTAAEFGAVVYAGLVSSALNTGLLTWSNKILGPAMVALYMPLQPVLSALLSVLFLGSPIYFGSITGGFLIISGLYIVTWARRREKLNGTGVSYIKCALEPCDGASPVIKGGNLSPRPFISLSRLWNVPHES >SECCE2Rv1G0138370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921468839:921472516:1 gene:SECCE2Rv1G0138370 transcript:SECCE2Rv1G0138370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAAPTTNLYALDLVSFQSKHGILASSTWVSHPADELSMLGIDGLLVTKDAGDTDVALIDRSFLHVGKAVVSASNIGGQIGVVIGVTTMLDLVDRGGEATGEVIGGLPPSAVRRVRALNLGDYVVSGQGQWLGRVVEVSLDVDVVFDDGAICRVTDAESPTMQLWPAESTPGLHRQQTNVTFYPGQLVASGDPSVVFGGARWLSGHWKTRHEVGMVTKVEMAGVLVHWIASAYGDTDEQFLHGSAPSAYQNPDDLMYFCCAYECSWGVGDRCFLRESTAASPSSEIDSLHDPQDQSSLQSDDSSSSDEEEHVEPSPPSLTAGLATAPVDKEERFYRKQLRKPMFVRYRGRARRCYQWSAPLRTMTVSRTRTTLDVLWQDGTRQHGVPSTSLSPFEMMNEHEVFPGQYVVDARDDDFMGGSTGQRVGVVRNLNSKDYTAHVSWFKAASGGWEVECDDEAVSAYDLGRDPDHSVFYGDVVVRSLSSNVSGNNARQPQVLDLSWVGRVVDFSDGHIQVKWGNDTTSMVLPHEITVASKEHYSELQAEMGDWLEEESVDNHQESGAADVDNDPTDARNIQGARVEDGVSSANESDGHAATRTNRLGGVIQSLIRSVVQVLARAKLYLVNRTSTSTSVLPATMHNVVEVSAHVAVGGSHVDPSIGGAAMEAVFPRVIRSDENGDGDVEDAVRSGEATTGDDDTLKFQNFDVVQSPPDHHYLDTMDQGSSNGGKSWVKTVQKEWKILEDSLPDTIYVRAYEDRMDLLRAAIVGASGTPYQHGLFFFDLQLPPSYPAVPPQVYYRSFGLRLNPNLYPSGTVCLSLLNTFGGEGTEVWSPGTSSLLQVVVSLQALVLNDQPYYNEAGHETLVYTPEGHRNALPYSENAFLLTLRTTLHLLRHPPHGFEGFLTDHFRQQGTHMLTACEAYLRGSVPANDGGMELPCSTGFKIALANLVPRLVAAFEEMGAQG >SECCE6Rv1G0419240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:667900641:667902158:-1 gene:SECCE6Rv1G0419240 transcript:SECCE6Rv1G0419240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFRRMPPRTAGGEDRLSALPDDLIRLIVGRLDTRTALSTAVLARRWAHITRDLADLDFRVSDVLPPEYDRTVALRRRNMPRDTFLAEMLDCLMARCEIDTITAFVDGITEFLEADGGPADGDARRRAKTLRLEFFQTHDGGCVVDRLIAAAVGAWGVEDLEVVARQASCDVLQAPPYGFPHDHDCLKDGLRSLTLGNYCTLPPLHSYNALTTLILRDMAASTPVDVYQRVFTECTRLQVLHLISCCCAHDRVVVDAPCSEIRELILDQCKFVEIELRHLPMLVCLACCLTDTTKLVFGSVPSLMHTNLTFAAESLIVPKGCRDYFGHYIIGMPPIMTNLVLRFTGLRRWMLPAPPAKPLLNLKMLLVADLPANWDITWPRRLLLAAPSLEVLHIHVPCSEEEPNYGSMKLSSLEALQRHHRLKELAITGFLQRHIWFLKYVVNVCTSLQRVILLKDDGHVRYNGLWDWEMVGQQACPWSNDDEMVVRRIIQSGCKPLVELSMG >SECCE7Rv1G0488280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:439092398:439098572:-1 gene:SECCE7Rv1G0488280 transcript:SECCE7Rv1G0488280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A member of the somatic embryogenesis receptor kinases (SERKs) family, Brassinosteroid (BR) signaling, Regulation of plant architectur [Source: Projected from Oryza sativa (Os08g0174700)] MGVPPWAIWALLLLHQAARVLANTEGDALHSLRTNLNDPNNVLQSWDATLVNPCTWFHVTCNNDNSVIRVDLGNAALFGTLVPQLGQLKNLQYLELYSNNISGTIPSELGNLSNLVSLDLYLNNFIGPIPDSLGNLLKLRFLRLNNNSLSATIPKSLTAITALQVLDLSNNKLSGEVPSTGSFSLFTPISFGNNPALCGPGTSKPCPGAPPFPPPPPYNPITPEQSPGSSFSSTGAIAGGVAAGAALLFAIPAIGFAYWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLTDGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERGPAEPPLDWQTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKERRLEMLVDPDLQSNYIDVEVESLIQVALLCTQGSPTERPKMSEVVRMLEGDGLAERWDEWQKVEVSRQEVELSPHRNSQWIVDSTHNC >SECCE5Rv1G0373800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861311709:861313526:1 gene:SECCE5Rv1G0373800 transcript:SECCE5Rv1G0373800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDRVCKRRVCMPPALLLALHGVDDQMPAVPPPQTPMEPMEYLSRSWSVSADEISKALLLKGGTKRSFFAPVDTLLPPHTTAMPEKTSSYELIAASGHQLQRQQHPDATGRSSISCHRHSNSVTRWYFQHKETAKHSRKEKARADRAQAHAMVSVAQVSAAVAAVTAATSFDNQDSKIAAAMASATKLLASHCAEAAQLAGAGHEQVSSAVRSAVGVAGPGDLMTLTAAAATALRGAAVLKKRVQREARSNASVIPYDKAPLYWSPDIWCKEGKLLKRTRKGDLHKRRVSIYINKRSQVILKLKSKHIGGALSKNNKSVVYGVYSELPQWTEPGKRLPETCCFGLSTAQGLIEFKCESSITKQSWVHGVQNLLQQVDVADQVGHRLETLKLNWCS >SECCE4Rv1G0258850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679878293:679880444:1 gene:SECCE4Rv1G0258850 transcript:SECCE4Rv1G0258850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRALLPPLSDGAVAGVVDFRGDPASRASTGRWPAAMFVLVVEIAERFAYHGVSANLISYLTGPLAESTAAAAAAINAWSGVAMMLPLLVACVADAWLGRFRTIVLASILFVVSLGMLTLSSALPAFHSDGCSSFTALSLACSPSPVQVTIFYVSLYMVALAEAGHKPCVQAFGADQFDQHHPKESVSRSSFFNWWFLGMCSGTAATTMVSSYIQDNIGWGLGFGIPCLIMIFALAMFLLGTRRYRYYTSSSSHSSPFARLARALVALIRGSKSSQCANTTLLADEDAEFNAEHREEVRGLLRLFPIWATCIIYAVISSQSPTFFTKQVATLDRRIGATFRVPPAALQSFICLTIITFVPVYDRLFVPVARRFTRLSTGITMLQRVGTGLVLALVAMVVAALVEAKRLGVAREAGLVDDPKATLPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFYLSIFGVGNIFSSFLISAIDGATKKRGASWFSNNLNRAHLDYFYWLLAGLCAVELVAFMIVSRVYLYKKRVAHHDDSCAVL >SECCE1Rv1G0045320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609396357:609397516:1 gene:SECCE1Rv1G0045320 transcript:SECCE1Rv1G0045320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAATMVALLLAAVAATCARAQLHEKFYGESCPSVEDVVRKEMVRALSLAPSLAGPLLRMHFHDCFVRGCDGSVLLDSANKTAEKDAQPNQTLRGFGFVERVKAAVEKACPDTVSCADILTLIARDAVWLSKGPFWTVPLGRRDGSVSISNETDALPPPTSNFTVLTQLFAAVNLDAKDLVVLSAGHTIGTSHCFSFSDRLYNFTGMENPSDIDPTLEPHYMMRLKSKCASLNDNTTLVEMDPGSFKTFDTDYFKLVSKRRGLFHSDGALLTDPFTRAYVQRHATGAFKDEFFADFAASMIKMGNANPLTGSQGEIRKKCNLVNH >SECCE4Rv1G0288590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861209988:861211262:-1 gene:SECCE4Rv1G0288590 transcript:SECCE4Rv1G0288590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGKTVLLCLALFAAWHLCATLFADAPPRPGGHSAEERAVVSDAAGNASVLSLAMEAGVRAAAGTGRNFVVSPLSIHAALSMVTAGARGETRRELLGLLGSASLDELHRAPAIKLVGRLNGLKQTSFASGVWVDRRLALRPEFTATGASRYAATAESVDFVSGAELARRRVNGFVADATKQLIRDILRPGSVDSSTAVVLANALYFKGAWPEPFDLFTAPFHTPGGATVRVPAMTTGRSQYIALYPGFRALKLPYRNDGDHDAAFYMLILLPDSCALSLSDLYDKVVSSPEFIRKHTPEEEVEVRRFMVPKFKFTTELEASSDMRKLGVTRAFAGGDFSGMVSGADGWLSIGAVYHKATIEVDEQGTVAAAATVILMEGSALQSEPPHVVDFVADRPFLFAVVEERTGTTLFLGHVVNPLAN >SECCE2Rv1G0106000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:627778274:627780360:-1 gene:SECCE2Rv1G0106000 transcript:SECCE2Rv1G0106000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKDGLKKGPWTPEEDQKLLSYIEQQGHGCWRSLPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRSDVPGGAGGGAEGAAGAQHAKAAAHLSHTAQWESARLEAEARLAREAKLRALATSASSSAQYLPAAHAAPGLDSPTSTLSFADSAALASVLEAHGAAAAARAAMQPMQAYEEACKDQNWGDADAGFAEAGFTGLLLDGSLNQNPRPAAARDDAEVGAEAHETEEEKNYWNSILNLVNSASAVVPADEAYSPAPEF >SECCE2Rv1G0106790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:638892040:638892555:-1 gene:SECCE2Rv1G0106790 transcript:SECCE2Rv1G0106790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIQLSLSCEKRRSKALTVASRAAGVTSMAITGDARDQLEVVGDGFDPVCLVSCLRKKLGHAQIIKVEEVKKPEEKKEKKDDPKRAVPVYPLPCYYQPSYYHHQYQPPHMVLCEEEPSNCRTM >SECCE5Rv1G0334690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:567494243:567495744:1 gene:SECCE5Rv1G0334690 transcript:SECCE5Rv1G0334690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAEGNAGSGKTVCVTGAGGYIASWLVKLLLSRGYAVHGTVRHLGEEKTGHLRRLENASGNLRLFKADLLDYDAMAAAIVGCQGVFHVATPVPSGILTDPELQMLGPAVTGTTNVLKAASAANAERVVVVSSMVAVEINPKDWPQGKIRDENCWSDKEFCRSNESWYPVAKIAAEAAALEYGRETGLRVVTLNPALVFGPLLQPTINTSSQFLIYFLKGGPDETRDKLWHIVDVRDVADALLLLYEAPEATGRHICAPHFITARELLGLLKSIYPGYPCIAEESIRDMEHPAPMTSGKLEKLGWSSRPLRETITDTVEFCREAGFLEDADGDDDAPCRFPPLLNKI >SECCE6Rv1G0443400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:822714495:822716591:-1 gene:SECCE6Rv1G0443400 transcript:SECCE6Rv1G0443400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQLPSSPAPLPAPHAHAHDRQLRRLHSAAVAASAAAGALVAVAMALALLLLWLRRRRTRRREKAKGGAAEGGALERLSYRKLRRATGAFAAGGKLGQGGFGPVFRGALPPPRGGGGGCGRPVAVKVMDAAGSLQGEREFHNEIAVASHIRAAAEKAASSPPSADDGEGKAAAASARDSILLPFAYSMPRRGEGRARRMMLVYDLMPGGSLQDALLGRRCPELVAEWPRRLAVARDVAAALHYLHCVLKPPVVHGDVKPSNVLLDAGLRARLADFGLARVNSDPDPDDKLESGAIAEATDANENALDVGGDDDVSVVAESTVTTTVDGEGNVAPKSPEVDDGGGFTLPSPDEQASTSGFDQTSLDSGLNSRSCNGVGSRTGGASGTGSDWWWRQDNAGPSHGGVKDYVMEWIRSEIKKERPKNDWIAGAAATNPGTERKKQKRRAREWWREEYTDELAKKQKRRALAKSRSQQAGLQWWERDIDDDLDGKGRSKWSMVKSWSRRSSSSASNGNGSGNINGSINWWVNGARSSRDWASGDFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSERCDIYSYGVLLLVLISGRRPLQVSASPMSEFEKASLISWAKHLARVSRLIDLVDPALKDVNQEEALLCITVALLCIQRSPARRPSSEELVRLLSGEGEPPHLPLEFSPSPPGGFHFKSRKKVR >SECCE5Rv1G0341550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:625683989:625687586:-1 gene:SECCE5Rv1G0341550 transcript:SECCE5Rv1G0341550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKRLPYSTAGAGGGGGGARRGSRSLPPVVVLVFLFVVAPSIFFVLHSGGHVHVASDPRGADGNQETDWKEQLATSNLKSVLSKEVIDAIAASQQETGTLNLDFFRDHPSPSWKTDDLADHKMNTNLVVDDKAKAQNSSAEHVIPLIYKAPKDGSDGHQVDTAAKIARRKLREIRREKRAMDLVQKDDEALVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKHGLYQELQSRIKESQRAVGEATADADLHRSAPDRMRVMGQVLTKAREELYDCKVISQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPVEKRKFPRSENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEFYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEIYPKLDKILFLDDDIVVQKDLTGLWDVDLNGMVNGAVFTCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKQWKNKDITGIYHKWQTMNEDRVLWKLGTLPPGLMTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVAHYNGNMKPWLELAMTKYRPYWTRYIKYDHPYIRGCNLSE >SECCE5Rv1G0365200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:805158253:805162730:1 gene:SECCE5Rv1G0365200 transcript:SECCE5Rv1G0365200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFADAPVQSDIKMWPYKVIPGPADKPMIVVQYKGEDKQFSAEEISSMVLIKMREIAEAYLGVTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRSLRRLRTSCERAKRTLSSTAQTTIEIDSLFEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTIHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLITRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDDIEKMVQDAEKYKSEDEEHKKKVDAKNSLENYAYNMRNTIGDEKIASKLPADDKKKIEDAVDAAIQWLDANQLGEVDEFEDKMKELEGLCNPIIAKMYQGAGADMPGGMDDDAPAASGGAGPKIEEVD >SECCE2Rv1G0117220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:770699420:770702718:1 gene:SECCE2Rv1G0117220 transcript:SECCE2Rv1G0117220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine and histidine-rich domain-containing protein RAR1 [Source:Projected from Arabidopsis thaliana (AT5G51700) UniProtKB/Swiss-Prot;Acc:Q9SE33] MSAETEKSAAAPAPAPAPMRCQRIGCDAMFTDDDNPDGSCHYHPSPMFHDGMKEWSCCKQRSHDFSLFLAIPGCATGKHTTEKPVTKAVSLNSKATPPKSAPIQSSKQGVETEACSRCRQGFFCSDHGSQPKAQKPVAVNGTNTEPVEKCSAPEPKKKVVNINEPRVCKNKGCGKTYKEKDNHDAACEYHPGPAVFHDRNRGWKCCDIHVKEFDEFMEIPPCTKGWHNADAV >SECCE7Rv1G0460940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:34144625:34148745:1 gene:SECCE7Rv1G0460940 transcript:SECCE7Rv1G0460940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPPPPELPDDVVEEILLRLPPDDPGCLFRASLVCKAWRSAVTHPHFRRRFIGLHRHRAPPVLGFLHDWEDERIPDFVPTTASPFSLPAPDRRFWRPLDCRHGRALFLSHRRQGTQELLLWEPVTGAHQRIPVPSAFRCQWPTAAVFCAADGCDHRDCLGGPFGVVFVFTVDFEGDADVVTSACLYSSETGRWGQLSSKQDEFTMDFEYHSSVLVGRSLLYFLSDGGMILEYNLDSGELAVLYTPPDGYSRDNERFNLMLAEDGGLGVAEAIDFQLILWKREPSDGTDARWVLSRIVDLFDLLPIGVDSESLCPVLGFAEGANAIFVETVYSLFMIELQSEQGKKVCASHGFCNLIPVVGFYTPHSRLQVPGGGQHGPAPWLNLPRRGGQQGVWEEKSLEWAQVLFNKGCKAINEKDFANAAGCFRHALKIRVRHYGGVAPECGSTFYSYGCALLFKAREETNPSGDRDDSDLDLAWKMLNTARAIVAKSPDKTMEKVNILNVLAEISMIREDRDSSISYYFEALAILEHLVRPDHFGIFKQNARISLALELASKVGDAIPYCAKAISVGKSRMHNLINAKEALLSAEGRSGNLTLEDEISYLARMLPQLQKKLEELEQAMSTPSDGTDNIMKRVVSQASHEQNVNNTMARTASLTSSQMAGSDNSLHSPTMSIAAAATGRPNFVIVGRDMKRANDDKPISDEPSPKKLAADDSPSVNEM >SECCE4Rv1G0287510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:855463235:855465106:-1 gene:SECCE4Rv1G0287510 transcript:SECCE4Rv1G0287510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATVHHHHHHHPIAASLRPASRRLTSTGASSSPPHPAAFLCCSGPKTPSVQLQAPRVAFNPSGNFDLSLSDQDDAPQVEPPPPPTEGRIEIVINKDIIRTLDLSPVQEALGNLNSLTTAQSRNLLDRTVGFTINYEREDPYDTRELSEFPDVRLWFVRLDASYPWFPVVLDWRAGELARYAAMLVPHQMSMRHGVVFNPEALELFGMKKVFLVYSWLKQQNHAKPRLKTGDMAKMLGFGIGDELFDLIEKYPVDEL >SECCE2Rv1G0141770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:936635001:936639661:-1 gene:SECCE2Rv1G0141770 transcript:SECCE2Rv1G0141770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPPPAPAPLDRDVWLACATPLSRVPAVGSQVYYFPHGHSEQCPAPPRAPAHNLFPCTVAAVRLFADPKTDEPFATVDLVPGPHRDPAPALPDFPAAGPDAGFRYYAKQLTQSDANNGGGFSVPRFCAELVFPPLDFEADPPVQRLRMADPLGKGWEFRHIYRGTPRRHLLTTGWSKFVNAKLLVAGDAVVFMRRADGELFAGIRRAPRYPAVSQQASEGAERRPRNARARVPPEEVDEAVRLAAEGAPFTVTYYPRQGAGEFVVPKQEVEDALVGAWAPGVQVRMKFLDAEERRSEWINGVVKAVDPSIWRMLEIDWDESVVGSLRNRYVNAWQVELVGYPPILKKLKISETTLPPMCSGDVGMADPLLGPDCQNMVMLLGSPIPAGMQGARHIGFTELPSPSPTVLTTKQFFPPSSSGGSSEVVNPEAGSPPNNSVNMRPSEERRSIQLFGATITSPVQSATNGSSEEVSQAPDAAVDGTAHEDASATSLLDCQLTIGKDDGHDRDGSNQEA >SECCE1Rv1G0062850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721500325:721501038:-1 gene:SECCE1Rv1G0062850 transcript:SECCE1Rv1G0062850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPHTDVLAEILRRVPPRSLAASRCVCKAWRATIDDRHLLRADLLPLSLDGVIYETADPDTTPRLFARRSTACKIISGLKYLDAGGRGSPLYDCRNGLLLLRLRRSIYVVNPATRQWARLPPAPPTCPEPYCVRCLSALFLVYDPTISPHYEVLLIPQDFFHQPGTTEWPPSLYVMQVFSSKTRCWKEMSFAREGDAAGTVADVKNIAMNLSYSAYWQMALYVHCDLEGFILRYMP >SECCEUnv1G0538850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74385322:74386692:1 gene:SECCEUnv1G0538850 transcript:SECCEUnv1G0538850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWNNILALQRDNNGRTPLHFAATAVLGNQKIRSQVLKPNAQALYQPDHNGSFPIHMAASVGATSAISEFIENTPNSAGLRDARKMTFLHVAVDKKKISTVRYACKNGTLSWILNMQDKNGNTALHLAVKAGSVKIFCWLFRNRQVQLNLTNKKGETPLDTAYQNIPKGLHAYMHSEARILSLLKYAGAKRGIGHHDYLEKNNIVQKNQGEIDEMEKVKDATQSLCIGSVLIATVTFGAAFAMPGGYRADDHTNGGTPTLAGRYGFDAFTLANGLAFTCSTMATISLMSSGSPIRHPRSRIEHLRIAYYLMSVSVTSLVAAFALATYTILAPLAHKTAMGLCILSSLILVYQNWELTSNNIAMVAPLCGRKGIVWTFGVSALFIAANVLIQLWPIIVVFSLATINHHSRKVEPSVQPPAPLT >SECCEUnv1G0537570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69216679:69220729:-1 gene:SECCEUnv1G0537570 transcript:SECCEUnv1G0537570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSSPSLARGGKVGTEVVQAPVDQKMTSVEHLVLNLCDPTLRDRSLEELSKKKEMFPDLAPLLWYSFGTIAVLVQEILRIYPALSPPTLTSAASTRVCNVLALFQCIATRPETRSPFIKADILSYLHPFLRTVDKAQPFELLRVATLGVIGALVKDENTEATEYLLQCETIPLCLNIMEIGNEPSKTVSTFILLKVLRNELGLHRCCDIHGPFYAIAFALQERVNSLDERPSARLLKCIIQCYIRLFDNPRGRAVLKTNLPIALRDGTFNGDLMEDPSAQECLQQLLAAFRRWKDNAIMP >SECCE4Rv1G0245900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:537997480:538003884:-1 gene:SECCE4Rv1G0245900 transcript:SECCE4Rv1G0245900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSSGGPAFRFTHAEVAEMEEHLRHLNNAIPQRNVIQGLAEKFTASPARAGKIPVQYKQVWNWFQNRRYSQRARTPRGAPPPQGKMLPTGAEEHHPASFRAQGSSSSYPGSHSGKSASDGGQAEFEAKSARDGAWYDVAAFLSHRLFETGDPEVKVRFSGFGPEEDEWINVRKCVRLRSLPCESAECVAVLPGDLILCFQEGKEQALYFDARVLDAQRRRHDVRGCRCRFLVRYDHDHSEEIVPLRKVCRRPETDFRLQILHASRAAASADAQNVSPVEQKPQKAHKMMDVNTAEVTVVRNPDQGGPSDKPAAPLPAAPAGTRGDSVSDVQMGNVEVIPKVEAANEAHDDKMNVGA >SECCE7Rv1G0497260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:622010147:622013368:-1 gene:SECCE7Rv1G0497260 transcript:SECCE7Rv1G0497260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKASPADAVSEGIRKLTYLSLVSKVSSELEAHLGDVQRNVAEFIVYLGRASPSVAEFDAKLKDHEFEVPDYLARTLHTVIHAIPDAAPAPAPRNPTADGASTGTKSRDDEEEGEEDEEEGAGGPELHQVCHGTIIRVVDAGCFVRLDGARGREGLVHTSRMPAAVKRGQEVFVKVVSVQWGNLELSMVDIDQETGRALPPPRRDGQDAVRRADRSAGPAITSGKRVGLSGIVIEEDGARPAPRRPVRRMSSPERWELKQLIASGALNARDCPALDDDDGGIHYQEEELEEELEIELNEEEPPFLSGQGRSSIDLSPVRISKNPEGSLSRAAALQTALVKERRDIRSQEQRALLDSIPKDLNRQWEDPLPDAGGRCLAQEMRGAGLPAQSMPDWKKQAYGKTGTFGKRSSLPIQEQRQHLPIYRLKNELIKALHDHQVLVVIGETGSGKSTQVTQYLAEAGYTEGGKIACTQPRRVAAQSVAKRVAEEFGCPLGEEVGYSIRFDDRTGPDTVIKYMTDGMLLREIMVDKNLSCYSVVMLDEAHERTIYTDILFGLLKQLIRRRTDLKLIVTSATLDAEKFSRYFFNCNIFTIPGRTFPVEILYAKEPESDYMDAALITVLQIHLSQPEGDILLFLTGQEEIDQACNSLHERMKVLGDDVPELLVNPVYSALPTEMQSKIFEPAPLGKRKVIVATNIAEASITIDGIYYVVDPGFAKLNVYNPKRGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMPATTIPEIQRINLGWTVLNMKAMGINELVSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPQEPPLSKMLLASVDLGCSDEIVTIIAMIQTGNIFYRPREKQDQADRKRGNFFQPEGDHITLLTVYQAWKAKQFSGPWCFENFLQITSLRRAQDVRKQLLEIMDRYRLDVVSAGNDLTKVRRAIAAGFFFNSAKKDPQGGYRTLADHQQVYIHPSSALFHQQPEWVIYNEIVMTTKEYMREVTAINPAWLVELAPRFYRSVDSAKMSKRKRQERIEPLYDRYNEPNSWRLSKRRG >SECCE6Rv1G0447640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:851206291:851208053:-1 gene:SECCE6Rv1G0447640 transcript:SECCE6Rv1G0447640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIGAASGLISSVLNQLSDEFIQAYVASSELGLNAKKIKDDLLFTQGLLHEAQRRGMSDNPGLQGLVQQLSAKADVAEDALDELHFFIIQDQLDGTKYAQPDLGDGLRHHARHAVRYTVDLTIHPTLGYHHRR >SECCE4Rv1G0227680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:125781554:125791624:-1 gene:SECCE4Rv1G0227680 transcript:SECCE4Rv1G0227680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSMDLNASPLPEEEDEQTYEEPPGEADYAHEEEHVESAVATLRREREERRRKLKREHQDEGSMQHPQQIRNDYAPPIKVCRGRIKEAPEGWLDCPAFGEPIDKIIPSKVPLDETFNESVPPGKRYSSKQVVNKQRKAGREIGLVIDLTNTSRYYSPAEWTKQGTKHLKIPCKGRDAVPDNESVNTFVYEVMMYLERQKHTKAPKYILVHCTHGHNRTGFMIIHYLMRTRVSCVAEAIRIFAQRRPPGIYKRDYIEALYSFYHEVPENIIVTCPSTPEWKRPSDLDLNGEAKLDDDDDNGDASPVHNEVEEKVITNDDVLGDAVPFDQQEALRIVCYRLLELPPARGHAQFPGSHPVSLDSDNLQLLRQRYYYATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPHRNLNEGPHDMTLIDGEMIIDTVPDSGLKRRYLAYDLMALDSVSKTKLPFSERWRLIEDEIIRPRYSERKLFESGAKSNPMYKYDMELFSARRKDFWLLHTAKRVLKEFIPSLCHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEVGNDNRQLIFLYERGKKKLMDGSRMVFPNEVDPSSISGKIVECSWNKEDQCWVCMRVRFDKSTPNDINTYRKVMRSITDNITEDKLLEEIEEIISLPMYADRKKADERMAHAKMAQHRRRGQMPPQ >SECCE2Rv1G0132220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888738720:888740123:1 gene:SECCE2Rv1G0132220 transcript:SECCE2Rv1G0132220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVRVIHASYVNVPATAALPPEPIKLTAMEAPWVVVPVLQHVLLYEGEDMPPFDDILQSLRSSLTATLDSFAPLAGKLVHLEVTGDVGLSCSSSDGVKFVVAESDADIHRLAGDEEQDVRVLERLAPEVEMGELPTPVLAVQATRFEGGVAVGVTVHHGVADGRSLWTFVEAWATACRGETPATTPCFDRSLVRLPGGQELARSFLRKYAPNLPKAAYPAPLSEDHARLTRRTFTVDARGIQRLKERIVRLGESSGAALPRPPSTFIAVVALAWTCFARCKPFASDDDVMVGFLADARHRLDPPVDAGYFGACLTACIASIPARELRAEHALAAAARAVQDEVRKKTEDPMAGCDFLAPAFTVAMERLMNVSGSSSFRAYELADFGWGKPMRTENTRMIRDGQVALMRARDGQGVQVSVSLLEPVQMAQFKSQLLELVG >SECCE1Rv1G0027880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:390351607:390356199:1 gene:SECCE1Rv1G0027880 transcript:SECCE1Rv1G0027880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase 3 [Source:Projected from Arabidopsis thaliana (AT2G46700) UniProtKB/Swiss-Prot;Acc:Q9ZUZ2] MGQCYAKNIHADGGDGGQGGGGTTTISVSASAAAAVQEEPAGERATGGRRSSRPSPAGTPRSRAGVTPARTSAAAAGSPWAASPLPEGIAPSPATSASTPRRFFRRPFPPPSPAKHIKASLARRLGHRSPAATSAQAPPAKPPQEAPIPEHGAGGGGGEEELDKSFGYDCHFAVKYELGKEVGRGHFGHTCLARARKGDMRGQVIAVKVISKAKMTTAISIEDVRREVKILKALSGHSNLVKFYDACEDALNVYIIMELCEGGELLDRILSRGGRYTEDDAKVIIKQILSVVAFCHLQGVVHRDLKPENFLFSTRDEHSPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSVGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWASISPEAKDFVKRLLNKDYRKRMTAAQAISHPWLRDECSPVPLDMLVFKLVKAHLRSTPFKRAALKALSRAITEDELIYTRAQYNLLQPSSQDGRICIENFRMALLQNSTDAMKESRTLDILSALEPLAYRRMDFEEFRAATISPYQLEASSMWDEIASTAFECFEQEGNRVITIEELAQEMNLSSAAYSIVRDWIRPSDGKLSFIGYTKFLHGLTMRSSNARRHH >SECCE6Rv1G0452500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:877580985:877585357:1 gene:SECCE6Rv1G0452500 transcript:SECCE6Rv1G0452500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLSAAQWVVGKALAPVADGMLQAWGDTKNLGLNIEALRLELLLVQATLKTASYKQVDGPAMQVLLGKLRDSALFAEDLLDELDYFRIHDELHGTYDAADQHAKGGFRDLALNVRHAAKAVGKLTFVSSCYWSTAANPTGDSGEDARQRASCCPWPRARQRPPGNSSSMPNANQEPTLCGGAHREPPMLGFNRVDFSERMRHIVEELEHVRREATQILQSCDRITIPDVSQSRPITTGQSIEPKLYGRDHIMDTIIHDMTKGKYLSNDLTVLPIVGPGGIGKTTLIQHIYRNQQVQNHFQVVIWVCVSLSFNLNKLLEEIKTYIPRVEGEKDGRAEELIEQRLKSKRFLLVLDDIWEFSHGDDWKRLLLPLKTSQAMGSMILVTTRFPKITKMVGTAKHIELQGLDTEEFRKLFLAFVFGNDPVRSDQYFLLEIGNKIMEKLRGSPLAAKTVGTLLSKDLSLHHWRRVLESKEWETQTDANAIMPALKLSYDHLPFQQQQCFSFSALFPEDHKYSATQLIKLWIGLGILQPGARNQTLEHIGSNNLKDLVACGFFKEESDDGRPCYVMHDLLHDLALQVASHECLIVHYSSVRLEEINPTIHHLSIILDGVDKFSLENLKRQLRKLKTSLKVKQLHTLMLFGEVEESFVSILGDLFREANALRVLRLDKISFSVESILHNFSALVHLRYLYLGTKCEREMHLLLTISRFYHLRILHLGLWCQNCHLPKELSNLVNMRHLHTPRDELHSDIFNVGKLRLLAGLWVFRVNKKSEGFEPSQLEHLTELRELGIYNLENIHTKEEAAKTNLGEKIHLEKLTLDWDSERSNTEPDAEAVVLENLQPHRYLQELCIRGHKGFSCPTWLGDKVTVESLHLSGVSWQSLPPLGKMWGLGKVILKHIPAMEEFFIEQSLCRLIRLELVGLGNFEKWVASQDADHMFPLLQVLIIKECPKLLELPFASQIVHTSDEDQNSDWFPKLQELEIEKCPELLLVAHIPWTETLRSVNISDVKLLDMLLYSTNSFSRGLKIVGKDDLQSLDAVVAFNNLTCLEHLVLHKCPDLQSKHLLLLTSLKILMVDGVVVDGSEGDVGWQHPLETLLVQGEESCGKELTELLTHLPRLSKLQISKCEKITELAVGLDVQQTWSAATVATEVEEKEEDDSGLLLLPAHLSDSLQTLIIESCPELVLVDSPSTFLPARGGLQALRSIKSLQISFCPKILSAKISSSCCPFPSSLKYLVVEGVECMGTLGSLLTAGGQLTQLSIGGCPGFFAGWDHELQQLRNAGKEQELQQLDSPQNVCKLQELCTDDAMGLLSVPICNLLSSSLTLLGFSVNQEMERFTKEQEDALHLLVSLQELMFFNLSKLQSLPAGLQKLTNLKSLTVYSCPLVRSLPEDGLPKSLQELDVWNCGSTELKQQCEGLVGTIPKIILEL >SECCE1Rv1G0004060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16135107:16138787:1 gene:SECCE1Rv1G0004060 transcript:SECCE1Rv1G0004060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAGAVTPLLERLGKLLVAEYTLEDRVKKGVERVLKELEAMHTALGKVGNVPPDQLDEQVGIWADNVRELSYRMEDAVDTFIVRLEDGHEPGSTNMKNRVKKFLKKTAKLFRKGKVLHQVSDAIEEAMKLAKECQDRRERYKLDWQADSPISSIDPRLEAVHKDITELVGIEDARDVLIKKLSDGHKSSKQQLKTMCIVGSGGLGKTTLAKAVYDKIKVQFDYRAFVSVSRDPNMKKIFKKILHDLDKEKFATINNDDMDVGQLIDDLKLFLENKRYLIVIDDIWDEAVWRIISCAFSKSNLGSIVITTTRKFSVSKACCSSADMEHKMKRLSDDDSEKLFYKRIFTQGSVCPHDLEQVSRNILKKCGGVPLAITTIASLLASNDQQIKPKYEWDNLLNSIGRGLEENDNVKDMQRILSFSYYDLPPYLKPCLLYLSIFPEDYQIMRDRLIWRWIAEGLVIHEKRVTKSLYELGVGYFHELINRNLIQPLDSDVEDRTKACHVHDIVLDLIRSLSAKENFVTVLDGTEGSTPSSQCQVRRVSFQNNPSRVDTISMTEVRSVAVFRTDLEVIQSLSHFQVLRVLDLQGYSLGSRQGGDFIRYVSNLLHLRYLGLRNTDVTKLPRDIGKLRFLQTLDLRTGKSVEPEKEERSSIVLPLSIIRLRDLMCLHLDMTMKMPAGIGNLVLLEVLTGLHLSSFSAIGKELCQLIKLRVLELVWEGEDESVCNSLVMSLHSLCKLQSLMIDNYGDARFDVNWDSWMPPPHLRTLVFWRNTSTLSPRWINSSCLPLLSTLDIEVDRVQPEVDICILGKLLALRSLRLATTKCQYTCVAEFIVGADAFPCLEVCSFSNFLTGPSIFPRGAMPRLVFLEFYARALDIASGELDVAMGHLPSLNRLKVNFWIERGTSSKSDEAEAVLGLAANTHHNRPTLVVRSSYHDTKVHRDTETETDTSNQRMTPYPVPYYTLRMRRLHRTPGSDDEFIVDEDEVNSCTIV >SECCE3Rv1G0181860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:619299643:619301385:-1 gene:SECCE3Rv1G0181860 transcript:SECCE3Rv1G0181860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPRAPPPLRPPPPVPLPDTPPRPDSSPSTPGEDYHTPTPSLDEAREDTPPWPQETNGNGRSASKSPTLSPVRLPSPHRLLPPNSPTGNGDDEAAAGHAPVPGRRPQLRLAPGLVRTPSQGSVAKSPSPSPSPSLTSPSPLTPGPTITTNNKSAQSTPKRTEAWKPPATGIAVQFDPVEEAVTSPLQLGKPRIDRHRATTPAAAENGGAPNTVPRDVAAVAAVGERRPLSVALRLATAVLSLASFAVMASARTSGWDGDHFDRYDQYRYALAVNVIVCAYSIAQSLGEIRRLVAARFIYRSMSSYYFSLFLDQVLAYLLMSASSAAASRNDLWVSRFSQDAFNRKITSSVWLSFLAFIALAASSLISTANLFSMV >SECCE4Rv1G0260640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:697562170:697562559:-1 gene:SECCE4Rv1G0260640 transcript:SECCE4Rv1G0260640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNTQSEGSQPPVPPKNPTMPSCRKKKTDDDATFLEDVKEHIDEFIHASVDEHKTCFKKTIQKMFGMSKAVAERSAVAKEAEVESALPLETSVSQ >SECCE7Rv1G0476420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:203933337:203936926:-1 gene:SECCE7Rv1G0476420 transcript:SECCE7Rv1G0476420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSQDTASSSSPSGSSRSSKRGPRLDRRNASKNIGYEYDPAKLFCSYPPSPSRASSASSAPSLASSAACSVDLSSFRIGGSGDGGGDVQQLCRNLGLSGPEDFAISLTDWEAHKAFRSSASSSASSSPSARSQPDRPVRESPLRHEAAEEPALPAAADFELPAKETARDAPVEAPVRPAWLDPPEPARPDVRKPGCEGGIKGVRPPPVMLKPPPSMALPPASQVGSTWDILLSFAPEDQGQPQAVRSVPDFGDPDAEEDEDAAEVLTLEDLRLGESSEEFTGTSSISTTNDDETTESMFYISPNGRFKRKIRSWSRGVLLGSGSFGTVYEGISDEGVFFAVKEVSLHDQGSNAQQCIFQLEQEIALLSQFEHENIVHYFGTDKEDSKLYIFLELVTQGSLVSLYQKYRLRDTHVSAYTRQILNGLAYLHERNIVHRDIKCANILVHANGSVKLADFGLAKQTSKLNVLKSCKGTVYWMAPEVVNPKKTYGPAADIWSLGCTVLEMLTRQLPYPDLEWTQALYRIGKGEPPAIPSGLSKEARDFISQCVKPNPDDRPPASKLLDHPFVNRSMRSIRSMRTYTRPNSSTRGMSG >SECCE2Rv1G0100960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:550830704:550833382:1 gene:SECCE2Rv1G0100960 transcript:SECCE2Rv1G0100960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKPKEEEKPKEEEPKKEEKPKEAEEDKPKDDKPKEGEGEGEKPKEEEAAPPPPPPPPEEVVMRVYMHCEGCARKVKKILKRFDGVEDVIADSKAHKVVVKGKKAAADPMKVVERVQKKTGRKVELLSPLPPPPEEKKEEEKKEEPEPPKPEEKKEPTVISVVLKVHMHCEACAQAIKKRIEKMKGVQSAEPDLKASEVTVKGVFEEGKLAEYVHKRTGRHAAIIKSEPAAPAEKAADGEAKDEKKAAEGGEEKKDDKEEKKDDKDGAADEKKEEKDKEDAGAGGEEKEKEKDPGAITAANLYMHYPQFAFPGGYYPPRPGYAAYPPPPAYPPYPPQIFSDENPNACSVM >SECCEUnv1G0556010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:307286579:307287271:-1 gene:SECCEUnv1G0556010 transcript:SECCEUnv1G0556010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTSAVSVRQRTAASASPLLQDQQQEKHEAVDPVPPPPPPAPRSTLYQALTSTASLANLLPTGTVLAFQLLAPAFTNHGACDATTAVLTRILLAVLALSCLLASFTDSLKGPDGRVYYGVATLRGLWLLDYPPGAPTPPDTSRYRLAPIDAMHAALSVAVFGVVAARDKNVVRCFYGPSPARETEEVLDIVPLGVGVLCSLLFVAFPTRRHGIGYPVTNGAGGGST >SECCE2Rv1G0110070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:686903287:686908883:1 gene:SECCE2Rv1G0110070 transcript:SECCE2Rv1G0110070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPATPRWNLERPYLTGRFHQEAKVSAASQAPGSKSFSLDSFSRGAGGRAGSVLGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDFVLASQFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLIEKAMSNNTSGSATLNLLHSQAKAMAGDSAVRSLLEKMTDCASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPSFLNNVAATILTTGKYLNVMRECGHNVQVSLSENSKLTSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEDISVEKLQSLVDIALRSTAAASDPSHEDLTCCVERSSLLKKLTTLKDLDCAYSSDKLAGADVDQPMTLNITGLETFCLGYKIPWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCQAWQIQQGFRSVKILGTPILRSSILCRSMLKFVNSLLHYLTFEVLEPNWHSMHGRLQTARSIDEVIQIHDFFLQKCLKECLLLLPELLMKVEKLKALCLQYATSIQLLMPSIEVAAPESKSKSGSSRARAKRSQERDEQLKLVSENVVMSQSILKFESEFNAELQSLVPTLSKSSQAEPYLTHLAQCILGVGIDQ >SECCE7Rv1G0498610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:642779831:642785713:1 gene:SECCE7Rv1G0498610 transcript:SECCE7Rv1G0498610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLEMTPPPPPPPQPRRQQPRGGRKQPLQSSVAQPKAEIAPPPPPEGAKRCGGKRRGGRGRARPADGPRPAPGPAVAPVEAATRAVIGPPVPSKGLSFCRRPGFGTVGARCVVKANHFLAEIPDKDLTQYDVKITPEVTSRCVNRAIIAELVRLYRESDLGMRLPAYDGRKSLYTAGALPFDAREFVVRLTDEDSGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEAVQVLDIVLRELASQRYVAIGRSFYSPDIRRPQRLGDGLQSWCGFYQSLRPTQMGLSLNIDMSSTAFIEALPVIDFVAQILGKDVMSRPLSDANRIKIKKALRGVKVEVTHRENVRRKYRISGVTAQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQQSHLPCLMVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPREKEMDILQTVHQNGYDQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDAGKEKECLPQVGQWNMVNKKVINGGKVSHWACINFSRSVQETTARGFCQELAQMCQISGMEFNSEPVLPIYSARPDQVAKALKHVYNVALHKLKGKELELLLAILPDNNGALYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLVDALSWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMVRELLISFRKATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSMDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPELSENHTSKSSSGTNGTSVKPLPAVKEKVKRVMFYC >SECCE3Rv1G0165210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:170499302:170500409:1 gene:SECCE3Rv1G0165210 transcript:SECCE3Rv1G0165210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSTRNRAIVIPKLFAEEHFPRESQNLTLQRPGKSNKWHPCFYIRKSQCGHVLTGSGWVGFLRDNGVREGDLCVFQPVKGTGTRSKFTVHLLRLSLGAKAAEASRVNKEPDDGCNHGRQTQRARQLPKPACPYILSSAARLTEEQEREVDRTARAIGSRVPVYVSVMNRSSVGAGNRMYNVTISSAYAAEYLPARERVAVTLVRRKKAWEVEMRARGGGRTLARGWRGFARDNRLRVQDVCLFQPVEKNHRSLAMAVHIIRHSGKRKR >SECCE7Rv1G0524880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887504994:887506517:1 gene:SECCE7Rv1G0524880 transcript:SECCE7Rv1G0524880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMFISTLLVLLVPICLYLGASCRSKNTALLPTNWPMLHMFPSFIANLHNLYDYYTLVLARSGHNFRVHGPPGTGMRFFITCDPVNARHIFTTNYANFTKGTEFADIFDIMCGSLFTVDGEPCRRQRAKAKSILSSPRIVACMEAYLCGKVENNLLPLFTQMAITGTSFDMHELMSRLMFDLAAMPLFGVDPGLLSSDMPPMDAAVALDTVMEVGFFRLMMPASCWKLMRWLNIGPERKLNTARMVLREIIGEMMQTRKITTCHFGQGKEQESVDIISSFLEDPDYANADLLRAVIISYMLAARDTIATTLTWIFYNLAQNPNIVSVIRNELSPIASRKVAVGASTPLIFEPDETKSLVYLTATLYETLRLYPPAPVLLKTVAVDDIMPSGHEVHAGDTVFISVHSMGRMEGVWGKDCLDYNPHRWLSDGGNNLRYIPSHKFLAFNSGPRMCLGKDIALMQMKTVIATAVWNFDVKVVEGQSIQPKQSIILEMKNGLIVKLKKREM >SECCE6Rv1G0451320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872031845:872032087:1 gene:SECCE6Rv1G0451320 transcript:SECCE6Rv1G0451320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFEARNGSFTLEVWYFATVKQIKEMIYKRYRHFPVRAQRLFLDGKELEDDRNTEHYGIVQGSRIRLDLPECLTMFPL >SECCE3Rv1G0194370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:780749027:780752319:-1 gene:SECCE3Rv1G0194370 transcript:SECCE3Rv1G0194370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAMAFQALAFAPLPLHLPATRRRVRVLAVAADQTPPPPQASPSEPANSPSRLLRELAQRKKAVSPKKKHPPRRFILKPPLDDERLTQRFLNSPQLSLKSLPLLSSCLPSAPLSAADRTWMDEYLLEAKQALGYPLAPSETLGEGDDCPARHFDVLLYLVFQHLDPSSERTRTRHVRNGHSRLWFLGQFVLELAFCEFFLQRYPRESPGPMRERVFALIGKKMLPKWIKAASLHNLVFPYDDLDKMIRKDREPPTKAVFWALFGAIYLCFGMPEVYRVLFEAFGMDPEEESCQPKLRRQLEDVDYVSVEFEKRQLAWQDVAAYRPPADALFAHPRLFRACVPPGMHRFRGNIWDFDNRPKVMNILGYPLPANDKIPEITEARNIELGLGLQLCFMHPSKYKFEHPRFCFERLEYVGQKIQDLVLAERLLMKHLDAPGRWLSEKHRRLLMNKYCGRYLRDKHLHHYIIYGETVQDRFEHNRRLRNPSTTAVQQAIHGLSYCVYGKPDVRRLMFEVFDFEQVQPKAV >SECCE2Rv1G0080790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:133044850:133045358:-1 gene:SECCE2Rv1G0080790 transcript:SECCE2Rv1G0080790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTVPLKRLTSKEEKNGNFGREFVKCESKPEGQVISENATILSGWMITSRGFKGLLDSRGNAIREFNLPHDSAAPAVAARPEYRTVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLLAISR >SECCE3Rv1G0204720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:896403163:896406505:1 gene:SECCE3Rv1G0204720 transcript:SECCE3Rv1G0204720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASFSRSTSARLAARGGVGSPRVSSAAAAHRKWWGSPSGPSFEGTVALALCLASVALVLSCGLYLYVSRYLGQGQDRAVAEFAGDNLDSCNVFDGSWVRDERYPLYNSSECPFAERGFNCLANGRRNTEYLKWRWKPRQCDMPRFGARSVLEWLRGKRVVFVGDSMSRTQWESFICMLMTGVDDPKKVYEVNGNQISKTIRFLGVRFESFNLSVEFFRSVFLVQQTPAPPLHVTKRVRAILKLDKMDDLSRKWANADVLIFNSGHWWTPSKLFDMGCYFEAGGLLKLGTSVNSAFKMALETWASWVKEKVDLKRTRVFFRTYEPSHWSGLNQKVCEVTERPTTEAIGADRSEFRDILADVVANMSVPVTILNVTLMGAFRSDAHIGIWSHPSTILDCSHWCLPGVPDAWNELIFSHLLTDGWRKLAG >SECCE5Rv1G0342120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:630882221:630884446:1 gene:SECCE5Rv1G0342120 transcript:SECCE5Rv1G0342120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPLAASWRRHASAAASSSTPRSLLLLVPVLILLVFVLSRAPDLTFSPATAASPHLPARLRPFDCYASPQASPVFASLVEGVPRPFLYSLADLGSLPDRPHRNIARLLKGKRFRKPDISQTVQELLAGEVGRGSGGGVVVDVGANVGMAAFAAAVMGFRVVAFEPVFENLQRICDGVYLNRVQDQVVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKSNEEVAVEVATIPLDEVISDAERVVLIKIDVQGWESHVLRGASKLLSRRRGEAPYLIYEEDERLLQASNSSAQEIRAFLGSVGYNQCTRHGTDAHCTKE >SECCE7Rv1G0492050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:542831844:542832582:-1 gene:SECCE7Rv1G0492050 transcript:SECCE7Rv1G0492050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTVRLIAFVSALMLLTHCTHAAAPATFQQTRQQAAKTWCVAKPSTGEAALRANLEFACSESDCSAIQGTGGCSAQNGGVLLSRASVAMNAYYQARGRNSWNCFFNATGIISITDPSLGTCKYA >SECCE3Rv1G0168190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:216075309:216077729:1 gene:SECCE3Rv1G0168190 transcript:SECCE3Rv1G0168190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPHAEDAGHKPETLMEKIADKLHIGGGDHSSSSDSDHEERPRPSAPPAPAPAPAPAPAPVPAASEVTTASFASSASGAAADAKNRMFRLFGREQPIHKVLGGGKPADVFMWRNKHISAGVLGGATAIWILFELLGYHLLAFLGHALIFSLGVLFLWSNASSFINKSPPRIPEVIIPEDLVVNIALSTRYEINRAFANLRQIALGRDIKKFLIVIAGLWLLSILGSCCNFLTLFYIVFVVLHTVPVIYEKYEDQIDTYGEKGWIEVKKQYAVFDAKVLSKVPRGPLKDKKN >SECCE6Rv1G0424480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:700848290:700850011:-1 gene:SECCE6Rv1G0424480 transcript:SECCE6Rv1G0424480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 715, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G52400) UniProtKB/TrEMBL;Acc:F4KG63] MELAAALLATAHGGVAAAAVLALATFVYFLYAAWFAPSATRRRLRGSGFGGPAPSFPLGNLPEIAASLAESNGTLPAGAGVISDIHGAVFPYFARWRGAFGKVFVYWLGAEPFLYVADPEFLKSATAGAMGRLWGKPDVFRRDRMPMFGRGLVMAEGDDWARHRHIIAPAFSATNLNDMIGVMEETTAKMLGDWSEVVAAAGRSAGAVVDVERGVVRNAAEIIARASFGIGDEGGARVFEKLQAMQSMLFRSNRLVGVPLARLLHLRKTYDAWKLGREIDELLLDIIDSRRGRQQDAGGQEKKSKDLLSLLLAGNDEAGEAGKKRLMTSRELVDECKTFFFGGHETTALAVSWTLLMLAAHPEWQRALRDELREVTADGPLDAAALSKLTKMGWVLSEVLRLYPPSPNVQRQALHDVAVDGAGTTIPRGTNMWVDVVAMHHDEALWGPDANEFRPERFAAGAQGGCRHRMGYLPFGFGGRICVGRNLTGMEYRVVVAMVLRRFELAVAPEYRHAPRVMLSLRPSNGVQLLLTPLPGQQHTK >SECCE5Rv1G0330450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:530023803:530024640:-1 gene:SECCE5Rv1G0330450 transcript:SECCE5Rv1G0330450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRAAGGLLRRSLRLGPPTTAWALSTSATTPAAAAKGEAVANAQFLSDWGVGYMVAKTSWRDVSYQITKINLYKAWGVRDKVGVQAADAPIRISGVNKRGWKYIKASLQDIPGSEPPSITVA >SECCE4Rv1G0231790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:189119949:189121445:1 gene:SECCE4Rv1G0231790 transcript:SECCE4Rv1G0231790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPVPSICGIHPGIAVTGFCSACLRERLAGLHPSNPADSAELRRCKSFSYARSAAAYFEPQRRSCDARGAAALFHQEATYLPGEEEHLPPTAAGRPMKDHIHQESSKKTAGTFGGLGKKWQEWRRKNKLKKQEQGPIPSRAAMPHENPRHRSFRDTRSEVAVDALGRRSIDVDTLLSMDPGRISVDEPRASCDGYHGARLRIPPMLSLVEDAPVPRSDGQIPVEEDEDTEPGGCAQTRDYYLDSSSSSRRRRSVDRSSFSSRKSFSDASDLPRMMAGATANANARVSPAIGAEFYQYHHAQSQSVLDHNQQWEQGPNSYSLRDDDMSGSIDPAAFRDGIPLPAKKSKKWIKGWNIWGLIHKKSSAKESEAASLANRSFSEKWPELRARGYNGQMLRCNSSVSARSSFGNSGAAIGGVSGRRSNAEMHVNGLGRMRKDDVLLERNFSARYTPCTVDNGAIPYPIGGAQFNRRNQNGMPGKGRPARSSNSLPRSSLGMY >SECCE2Rv1G0133040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894913143:894913412:1 gene:SECCE2Rv1G0133040 transcript:SECCE2Rv1G0133040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAKASWTVAMSVGAVEALKDQAGLCRWNYALRSIHRTAKARANIRGGASHKQQLPASAAAVPERRRAEKAEEGLRTVMYLSCWGAN >SECCE2Rv1G0080830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:133088865:133089751:-1 gene:SECCE2Rv1G0080830 transcript:SECCE2Rv1G0080830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVVLSALLLLSLAAVSSAEEFDFFYLVQQWPGSFCDTKAGCCFPDTGKPAADFGIHGMWPNYAKCKTQAELDGVIEMVTKGKKKCWPEFCNSEPLQIWEIKDLVKELDANWPSLACKGGKSIEFWTHEWEKHGTCSGLGQHGYFAVALGLKARHNLTAILAGAGIVPSDSETYSLRSINDAIKEGTGFAAKLECNRGVAGEAQLYQVYQCVDRAGENLIDCPVPVRGNCKDRVQLPAF >SECCE5Rv1G0313030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:252585134:252594456:-1 gene:SECCE5Rv1G0313030 transcript:SECCE5Rv1G0313030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDRRGGGPPGNGDNTGGGWETIEKKKKPGQTSGRGQWAPWGSSSNAPPTTARQAWNGNGSSRPSGNNLAQPSDRRPAARGNPRASSQTKSKEPELQAPNPVVTPPLANGWQWASRPRPSGPESSKDDVASSGLDPEANNPEIEDSSDDDNDDDMSDDYDSDASEKSFETRKMNKWFKSFFEVIDTLSVDQIHEHTRQWHCPACKNGPGAIDWFKGLQSLVTHARTKGSKRIKLHRELAALLEEEMSRRGSSVVPSGEQFGKWKGLRESTDREIVWPPMVIVMNTLLEKDEDDKWLGMGNQELLEYFSDYAATKARHAYGPGGHRGMSVLIFESSAVGYMEAERLHKHFIDQRTDRDTWQNRRVPFLPGGKRQLYGFLARKEDMETFNRHCQGKSRLKYEMRSHNEMVVAQMKQMSEDNQQLNYLKNKVVKTEQRSKVVEETLGVITQKLRETMEENIFVRSKAKEKHSEYEEEMKSQEKFFHDQIENIHKATEDKESEFERLLQEERAKARQCDVDSGTIENCRLRKEQVQQFIECQVKDVQEFESERDELIKAHEEKKVQLKKEYMAKEVELEKEFDAALTGLMEKHRPGTFQASSSSP >SECCEUnv1G0554400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:286835421:286838234:1 gene:SECCEUnv1G0554400 transcript:SECCEUnv1G0554400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGQLPLVATILTVSFLLFHPSWSALPPTPTGTRCVPRERDALLDFKAGLTDPSNSLTSWRGAECCGWMGVVCSNQTGHVVKIQINGLGGEIRSSLLTLRHLKQLDLSDNEFGGKPIPELIGALGRGRLTHLDLSDSNFCGQIPPQLGNLSDLVSLNINHMAHGLYSPDLSWLSRLTKLQVLVITEVDLSSAVKWTHAINMLPYLKELDLSFCGLQNSMPPPAHSNLTSLETLRLDGNSFNTSLGAKNLIWDLSSLQELLLSGCGIDGPIPESVGNLTSIQKLYLGSNKFTGTVPMTFKKLKNLQELGLYSNFIKMDVGQLLHLLPLDELQALYLEENYLTGSLPAWLGQFRRLTMIQLGHNELSGEIPISISELINLIMLFLNHNKLHGTITENHFTNLTSLQYLDISDNSLTVKANNTWKTPFSLSLAGFRSCILGPNFPDWLNQPGMSKLDVSNTSIHGGIPAWIANSPAIHLDLSTNRLVGKLPTFSQLSPLRTLDVSSNHIVGPIPTLPNTLQFLDMSGNNLSGALPSDIGAPILEVLLLFNNSFSGTIPCSLLQWKQLEFLDISKNQLNGTFPNCPQGFKTSSITLIKLNSNSLSGAFPLFLQRCRELKFLDLAHNNFSGSLPTWIESKLPQLALLSLMKQLQYLDMACNNISGNIPQSLGNLTAMASTFNYSGGLFDLVDSGLGSLHMAIYAYIDSLLVNVKGQQLDYTTGIVYMVNIDFSCNNMIGHIPQEIGMLVALKNFNFSWNSFTGIIPESIGELHALESFDLSHNGLSGEIPTSLSTLTSLSHLNLSYNNLVGTIPSGNQLRTLDDQASSYIHNPSLCGPPLPKSCSQTDIIPYRPEDHDDGLSDVLSLYLSLCIGFIVGLWIVFCGFLFKRNWMVCWFSFTEHVYDRAHVQVVVGWDSLARKIYLG >SECCE6Rv1G0442020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:813775231:813778964:-1 gene:SECCE6Rv1G0442020 transcript:SECCE6Rv1G0442020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPRRRRHLLLPPLLLLAVAALWGAAPAAGAAESCKAWLVQSIPTDMPHLRRVPGVLATADVLQWISGNTTESLDILAQYWQFVAHPKNPKSGEYGFSESDMAKFGADEGRRVYKALEKAADRKVKIRIAQHAGFAPDFDQESANLAAGRPNVQNVTVLFEDWWGSGVLHAKVWISDKKDVYIGSANNDWKSLTQVKELGIYFVGCPQIAKTVEVYFQNLWTLSTLNSTNYTKIAWDKKWQTFRKVPCWSHFLQPEERCRSPLPLSVDVPYVDGYPALANPELLDVLIETPGLKRSTKEHHLSYLSFAPPELSFDKFQADEQGWVDTIKSVKSGGMVRISTMDWLGQSQYASETVFWPSLSSAISEVIFSKNATVRLLVAYWTHFIPSTEKYLKSLLYSNVLCASSKYNHCGGKVEVKYYLVPGYNETGPALSQGTATGNLYPDFTRVNHGKYAVSDVRANIGTSNLIWDYFYTTAGVSFGTYNPSIVSQLQDVFDADWDSPYTMPVKPLEASR >SECCE4Rv1G0279630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:815855856:815859111:1 gene:SECCE4Rv1G0279630 transcript:SECCE4Rv1G0279630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGTTQAAAGVMEEAERGGCAVPLAVRELIAGGVAGGVAKSVVAPLERVKILLQTRRVEFRGSGLVGLFRTIYRTEGPLGFYRGNGASIARIVPYAALHYMAYEEYRRWIILGFPNVQQGHVLDLVAGSIAGGTAVMSTYPLDLVRTKLAYQVEGAVNLSLRESKPSEQVYKGIVDCLKTIYRQNGLKGLYRGMAPSLYGIFPYSGLKFYFYEKMKTHVPEEHRKDIIPKLACGSVAGLLGQTITYPLDVVRRQMQVQALSSSNLMNGKETFGSLAMIAKHQGWKQLFSGLSINYLKVVPSAALGFTVYDSMRDWLNVPSRQQAPVDVPVLSEDRSNAAPVRSS >SECCE6Rv1G0403360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:501953370:501955371:-1 gene:SECCE6Rv1G0403360 transcript:SECCE6Rv1G0403360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPPSPPHPKRPKMSSSSDPEPEPTSLSAAGADPGQPRRRYKRRKVAIILAYCGAGYQGMQKNPGARTIEGDLEEALYQAGAVPEADRAAPARYEWARAARTDKGVSAAAQVVSGRFYVDPPGFIDRLNAKLAPQIRAFGYVRVTNSFSAKKFCDRRRYVYLLPVLALDPSAHPDREAVKASAGSENQLAKCVECSERGRKVPDIMGREGKLPDSEEEKVLDTSGEETVATHGELGSNGDAKSVPASSVTENCDGETGLGGDVMIDVPSSGNGTEAQNAELGSNGAGKCDIEPAGGTCHSEAIPTSTSETICSDSTVGSVDVVASVVAEKKNSSEPADIEEERMQATNVQKENGEESPLPKSTFAYTDEVKEKFNRILKHYVGTRNFHNFTTRTKAEDPAAKRYIISFTANSVVSLDGIDFVRCEVVGQSFMLHQIRKMVGLAVAVMRNCAPESIYDVAFRKDIRLNVPTAPEVGLHLDECMFTSYNSKWMDTHEAVSIEPYAEEAEEFKIKYIFPHIAAMEHKEGAVALWLHSLNSRNYPDFRYMETAGSAEAKVGAEVENKEEAQMPSNNISE >SECCE3Rv1G0157120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:79636990:79640552:-1 gene:SECCE3Rv1G0157120 transcript:SECCE3Rv1G0157120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKAAAAGAKSAVVPEAEVEKEAKKAAPRKRNPCPGIRAVGGRIYDPENGKTCHQCRQKTTDFAVACKKPGEKGPCSTHFCHTCLLNRYGENAKQAAKKARWTCPKCRGICNCSFCRKKKGETPTGILAHAAKATGHSSVHELLKQGSDMVAAAQTLTSLPVKIKKEQKRALGTDEDSDGSVVDGDENVGTDLNAFPSSPANKKLKKGTSDESTDVLKTKTELPKGTLVTNVLGAKLEADDVGSAIQFYEFCRTFAEVFQIKKGQPEKVLQVITGGSCKGRVVPSVVADLHISLLSVLQEDRGETPLDYSRDAWITETGKYISESTVISEELPLDGLNQGVSGYKKLSPSLKLQVLNFLCDETLSTATLRGLIVKQDEGATERKIAAREKIRAAKEKEKELKERLKNEMDKSMFLRKGEEIKSLISQIKELNEDKEAAVDDEKLGDLLRTKPVRKDKGVAYWKFDGYFKKTSIMRQEFDTTGNNDKWFMFTEEEEKVIGDHLAPRSQLQCKSHAQA >SECCE4Rv1G0241340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448652829:448664676:-1 gene:SECCE4Rv1G0241340 transcript:SECCE4Rv1G0241340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLSLRLPTPSPPTATSSPFSPNPLVRSGRCSLPSSTLVARVAGPAAGAPSPLFNPRGDPFLSTLAASSPEQLAAAAGGERRGEDHLPFLEIFQNAKLMSSPAQVERSSSSYSQHSPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELIVAQLMYLDWMNSSEPAYIYINSTGTARDDGEPVGMETEGFAIYDAMMRMKTEVHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKALIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVKLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMSDMLSPEDWDKVAGVRGPGGM >SECCE3Rv1G0206880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:912621406:912624084:-1 gene:SECCE3Rv1G0206880 transcript:SECCE3Rv1G0206880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAIPPDKGAPLPGAGLVGGLFSGHEWEEFRARSRRIFRRAKNRERCLQHSRERAMDLIRNLAGEILSVSYGEGQIGTKCAVTSANLEDSVLGKNIGITKDVYLFPNQLVDPSSGLESRERNQWGSSMLEILHLETMDFNSCKGKRENPAPTTWKRRIHGVSISFPVKTINYARVAEDSSVDLAGYHFLPVVNTRGQRDLKEEPILFADLSSRGSFGGERAICAVVIRKLPFYGILFMVNYTKFIFEAVWKGTLACYICGHGRGCLYSASSPLQNQLSSAPAIPCGGLVKLSMAGQGQNASSVVGKEHVSGVGSKGVMDAMEGGGSTPAIVQAGPSLRRPLVIDMEAARKAVSGLRVVGRLLSPFQVNPRVIVDDLRSTAWKNQGVVTIQEVASDDGRFILKFATETDRRFVLKAQPWHFKRDGLIFAEFDGKGDPTEVDLGVMPIWVQVRDLPFEFKTESVGRSLEDQLGEVLEVSHSDHVIVEKYLRVRVKILLHEPLKCSVEITPLGSSKIFKYDVKYEKLPLYCECCGIVGHTSERFCKIPREERVVCFPKNLSVEPYWKSQVASRRGLLFGNYVRGGNNPTTGNVNNNITKATDVVKVATAVSGLTVSDKGAATSVKTNTGQEGRAQGTVVPTSAGVPLGQEDQAGTLADLAGRGKEVQGRVTMLESRQNAEVDQSQPGYDVFHAQSTHAGHLAKSSHVQVKPRKTQPHGVDADELLFQPGVLEALSSAVAARIAKAGADTENTALPFKFSAAKNPMRGNSKKKDKKKGGPNRVEDARKQVLGKKRGHSFVARPTGSDVEFSFEKELESYERILYGDVNVSSKRVCMGRVVAVQDEEGGVTHVAKETDEKEEEYAPRGGESVGEGKDEIGTSAASEEEDRRAK >SECCE3Rv1G0205380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899999665:900000858:1 gene:SECCE3Rv1G0205380 transcript:SECCE3Rv1G0205380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAGAGAGAGASPLLPGLPDEISVWEILVRLPPKCILRCRAVCPAWRRATSARDFLLAHHARQPAPPLLYGYNFVGDDIESLSIVPFDHRAGVAAADQLQAIARLAHDSSRLDACFLLDACCDGLLVLSVCYPPKLSICNPATRQYAPLPQLDGFMLLGMYPHPPTGEYRLLLYLISIDLTPEAQAGVISTDLTPEAQGGGFYVFTLGSGQPPRYIGYPEAKEVRHSPVPILFRSNLHWSIRNLMMAFNTTSESFRRMRSPVGPGYSHLIEMGDMLGISNLNDAATSVDIWVMPDYEGEVWTFKYRVELPVAEIRVQFGDSVDYWDVVAVPWDGDVLLLVKFGDCLLQVDIHGKLVASFHLRGLGPTNLRIKPTLVQHAFFPTLEGYVVNSSPFV >SECCE1Rv1G0002600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9699730:9705214:-1 gene:SECCE1Rv1G0002600 transcript:SECCE1Rv1G0002600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGVIDRGHLSSFGPPPDSSSSSFFSEDLVPTERQVGFWKSESMVDQRGSKPVFASPLEKIHPTGANPEGSLEQTGGQVFKGLDALRVSKAMGQGNGSSSPSVSWGDMLTTPGSRFGLSAREAAIAETASGNSRIMATGVCGQSADTLSFICEGDEALGSMKEVEAQTIGDLLPTDDDLISGVIDGFELSGLSINQDDADEDIFGTGGGLELENDDSISIKGARNLEGSSKCHFPGEHHINNCPSRTLFVTNINTNILDSDLRVLFQQYGEVHKLYTCKEHGYVTVSYYDIRAAQNAMRALHGKPLGLVKLDVQFCIPKGNASDKDINKGILVVSNIDPSVSNDDLLQALTVYGDVKEICRASTSCNKKLVEFYDVRAAEAALYDLNKGAISGPKIKAEVSNPGGTIFGLRQQYPREWKLDGSPRQPRNSPPGTIGGPRSHENSSLHNLFSPVSSQLDRSPHGIASSGPQKLSSPIRIEPTRQYNNQAAISELGGSLGQGNFGHGMQMFHPHSLPESHNGICNISKSMTSSGRSAGFRVDGVDYGHLQKVGSGSLHGHSFDQNNEAFGPTGVGSFPLNGHHYSWNNSNAFPQSPSSPMLWSNVQHPGHMHGYPGVVPPHTLNNGAYPMDQHHMGSAPNNGGSFRNARSVHPGSLGSVGFPGSPQMYPSDVPVFAPARGGYRETMFSPVGAGFPSLQQMCNAMNRRNPMVQVSASYDATNDRMRNRRHDGNTVQPENKRLFELDIERIAKGEDPRTTLMIKNIPNKYNCKLLLGVIDENHRGTYDFVYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKRWEKFNSEKVATLAYARIQGRNELVSHFRNSSLMNEDKWCRPILFHKDGPNAGEQEPFPVGNNIRPRSGRNRPLHSSDTRGDASLSTSPNQENSSRRANAAEGEDSMFAH >SECCE2Rv1G0117070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:769831013:769832098:-1 gene:SECCE2Rv1G0117070 transcript:SECCE2Rv1G0117070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGIFLFATFSVLSILSLPRATHGWANGGATWYGGPNGDGSEGGACGYKSDVGQDPFSSLIAAGGAGIFKNGKGCGACYQVRCKENPECSGKHVTVVITDQCPDAQCQKRPHFDMSGTAFGALAKPGMADKLRNAGVLNIEFERVPCKYHGKKISFKMDSGANPFYLAMLVEYEAGDGDLNSVEVMEAGGKKGSAKWEKMRQSWGALWCMESKTGKPLEAPFSFRLTSSTGKVLVANNVVPAGWNAGKAYQSNVNYPA >SECCE2Rv1G0079480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:120070790:120073622:1 gene:SECCE2Rv1G0079480 transcript:SECCE2Rv1G0079480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAANAPRRRRLDSILLARKPRLTDSRNETTATAVSMDGFTMAVSFWMADPPQLSIFSIYCCRPPHLQAGPYCNFTVLPQMVGVGAEGRFVLFRAVFDRRYTSEYFLYKAGEPPSLEWIPSPYEYYDGDLDDLRGVREFGVLQQLGGHYLVAALSLAPLSDDYHLRIYSSERTSWSTRTLPNPCPGVDRITPDKVITLGEEGLLGWVDLSHGLLMCDLRQDHVRLTFIPLPEPLPGNRYKLNCHIPPPTAERRKKLEDESHPNLWWFRDLECVDGVLKFIEMENLAPESRSDKDDVIYDSDLIMSLERKAVDWHCKQLSFGGAWRAVTWTRTVSSNCWRQTCAANVADILVVDGSAHSSLLPGLKGEKLTFRDLYSAFPILTPDGDDILYIKSMVEPSNKDGWLVAVDLGNKAVKAIGKYYLPDDFYYSFRHDPEHPFRVCTLSRHLDMTPGIEVSACRKITGDASSSSNYPSNTSFCVGELNSCEPRSKIQRSLKWAQKNKRARNASRTIMQNDHISQLDDKVLELEQEIEQELERGWKQKSKQKPQQQRFNKWDAPRYSTGHSLWPHQNDLSPQQYFSKPDGPHVPGYTSLAPVQGRHNYQPLWRSHHHQNSS >SECCE4Rv1G0245640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:534608660:534619593:1 gene:SECCE4Rv1G0245640 transcript:SECCE4Rv1G0245640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSAATAAERCAVGIHASPSSPAPRARSQRPLPVRRVAASFAVSRRSLLVPRAVAAPTDRASPEVVGRFKLESNSELQVTLNPAPQGAVAEINLQATNTSGSLVLHWGALRPDRREWLLPSRRPDGTIVYKNKALRSPFVKSGDNSTLRIEIDDPAVQAIEFLVFDEARNKWFKNNGQNFLIQLQTSCNEGQDAAGATASAIVVPEDLVQIQSYLRWERNGKQSYTADQEKVEYEAARAELIEELNRGVPLEKLRARLTKTPESSGSDAPASQTTITSVPEELVQVQAYLRWEKAGKPNYSPEKQLVEFEEARKELQVELDNGASVDQLRNKIVKGNLEKKVSKQLEKKKYFSVERIQRRNRDITQLLNKNKPVVTEEQVKAAPKQPTVLDLFTKSLQEGDNCDVLSRKLFKIGDEEILAIATNALGKSRVHLATNRMEPLILHWALAKKPGEWEAPPSSIVPSGSTVLDKACETSFGESELDGLQYQVVEIELDDGRYNGMPFVLRRGEMWIKNNDSDFYLDFNTKVTKKSKDTGDAGKGTAKNFLERIADLEEDAQRSFMHRFNIAADLVDQARDAGLLGIVGLFVWIRFMSTRQLIWNKNYNVKPREISQAQDRFTDDLENMYKSYPQYREILRMILSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGIMEEWHQKLHNNTSPDDVVICQAIIDYIDSDFDINVYWDTLKKNGITKERLLSYDRAIHSEPKFRSDQKEGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVNGLSSGFPDLLQFVLDHVEDKSAEPLLEGLLEARVELRPLLTGSSERLKDLIFLDIALDSTFRTAVERSYEELNDAAPEKIMYFISLVLENLALSTDDNEDILYCLKGWNRAMDMVKQKDDQWALYAKAFLDRTRLALASKGEQYYNMMQPSAEYLGSLLNVEEWAVDIFTEEVIRGGSAATLSALLNRFDPVLRNVAHLGSWQVISPVEVTGYIVVVDKLLSVQNKTYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHEGKVFSFKTTSADVTYREVSDSELMLSSSSDAQDGEAIPSLSLVKKKFLGKYAISAEEFSDEMVGAKSRNIAYLKGKVPSWVGIPTSVAIPFGTFEKVLSDETNKEVAQNIQMLKGRLAQEDFSALGEMRKTVLNLTAPTQLVKELKEKMLSSGMPWPGDESDHRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHEYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKDDLDSPKVLGYPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDVEDEVVLDYTTDPLITDSGFRNSILSSIARAGHAIEELYGSAQDVEGVVKDGKIYVVQTRPQM >SECCE4Rv1G0246140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:541266197:541274452:1 gene:SECCE4Rv1G0246140 transcript:SECCE4Rv1G0246140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGVEEALLLASRTTDGGGDEGLGLGVRDEVKKQLWLAGPLIAGALLQNLIQMISVMYVGHLGELALAGASMASSFATVTGFSLLLGMASALDTLCGQAYGARQYHLLGVYKQRAMVILTLVSIPLAVVWFYTGELLLLFGQDPDIAAEAGTYARWMIPAIFAYGLLQCHVRFLQTQNIVLPVMASAGAAAAFHLLICWLLVYVVGMGSKGAALSNAISYWVYVIVLAVYVRVSSSCKETWTGFSTEAFRDVLSFFRLAVPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAISTRVSNELGAGRPDAARLAVRVVVFLAMAEGLIIGLVLICIRYVWGHAYSDVEEVVTYVAWMMLIISVSSFFDGIQCVLSGVARGCGWQKIGAWINLGAYYIVGIPSAYLIAFVFQVGGTGLWLGIICGLMVQVLLLMAITICTDWEKEADKAKDSVFSSSLPTDFATEGDAI >SECCE2Rv1G0114950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:743842205:743844070:1 gene:SECCE2Rv1G0114950 transcript:SECCE2Rv1G0114950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGGGGKESVTGSFLRFLLLLLLPLTALYFFYTLHLLLASAASSSAASCPPDPTAVSSRVSTNRTAAAVVDNNKAAPAAAAAASTATTLQHVVFGIAASSRFWDKRKEYIKVWWRPRGAMRGYVWLDREVRESNMSTARTGLPAIKISSDTSAFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPDNLLTVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALARIQDGCIRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLAAHPVAPIVTLHHLDVVQPLFPNAPARPAAVRRLFSGPVRLDPAGIMQQSICYDGANRWTVSVAWGFAVLVSRGVTSPREMEMPARTFLNWYRRADYTAYAFNTRPLARTPCHKPAVYYLSSARSAEAARGGETTVTRYERWRPANETRPACRWNITDPDAHLDHVVVLKRPDPGIWDRSPRRNCCRVLSSPKVGKEGKKTMTIDVGVCRDGEFSQVV >SECCE4Rv1G0258990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:681754443:681756142:1 gene:SECCE4Rv1G0258990 transcript:SECCE4Rv1G0258990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSAPLVLLSDSDDEGAASAALSGPAAERIASSLVTQADVDAVCRKHGVPTEFAPRPAGDLRACSAPPPGAVCVYAHALEAGVRFPLHAFFRDALAHFGLAPGQLAPNGWRVLVGFFALCHEARVPPSVPLFRHFFKLLTVARKGGWYWFGCRAEAGLLFGGLKYKKSDREWKGGFFFLTSPEPWRCPVLWGEPSSKGLPGDPALTSQQKQSAKKLLEVHGAALDLRAYLRKANLDAAYSSNLSGESPPPSPRSAAVPKGMDPPAREMTDIMPVVKTAAGTEQAKSEAHGDTFRMSGKKRRREEATATEGLDCAAPVSDPHAPPSPPSFDPRSPHSPVPETHDGDSADWKAARKVLGGIITPSRECQFAASRPSDIVASSYIAMLQATNYATFSMTYALELDEKLMALERDNLGLWEQLEKEKAARQAVEAELERAKLAAEAELESAGGTAVQQFLGSEEYTRRVAEQALPAYLRGAEEMKRLVLRHYPHLDAGKLELPID >SECCE3Rv1G0194410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:780927232:780938761:-1 gene:SECCE3Rv1G0194410 transcript:SECCE3Rv1G0194410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFSCSACSQESAKPTWFLALLLVLVTMIQVRAQPPTAGFVNIDCGWTNSSAYIDNTTKIVYRFDGESVEGGLSHEISKEFMPGAANEQQKTLRSFPDGSRNCYTLPSTIGNKYLLRATFTYGNYDRLNRTIDGSLFRFGLHIGVNFWEAVNLTNTDPSDTIWKEVLTVAPGNNLSVCLINFGTGTPFVSSLELRPLQDTMYPFVNTSVSMSYFRRVRFGNVTDPITRYPTDDYDRFWESWPRTSYAWINLDTDKKVERLPGDSAFNVPSAIFQKASTIDMNISSTSIIAAAGPNLDTKSLQLLPIFHFADISNSNLSRRFDIYSAEGLLFPDFSPLRFQMDSKYKIGHFLQNADVFFTLNKTSSSSLPPLINALEVYSLVRMDNLTTESDDAKYIKEVKTHYNLAQRNWNGDPCSPREYSWEGLTCDYSKSNQNPRIVTVNLSTSRLRGGFAISFMNMTLLENLDLSHNNLTGAIPDYQLKSLKFLDLSNNKLDGPIPDSILQRFQAGSLDLRLEGNPVCSNVKDAYCSKKKKITSTVLIAVIVPVVLVSLLVVMGILWKLYWKGKSGDDEDYMYEEETPLHIDIRRFTYTELKHITNDFQSIVGKGGFGTVYHGTLENDDEVAVKVLMETSIAESTDFLPEVQTLSKVHHKNLVTLQGYCQNKKCLALVYDFMPRGNLQQLLRGGDYSLNWEQRLHIALDAAQGLEYLHELCTPSIVHRDVKTPNILLDKNLVGIISDFGLSRAFNDAHTHISTAAAAGTPGYIDPEYHASYQITVKTDVYSFGIVLLEIITGQPPIFMDPQTVHLPNWVRQKIAMGSIHDVVDKRLLDHYDASSLQSVVDLAMNCVENAAINRPTMTAVATRLKGLLPVVSSEKQSISATPRRTYSMDSEIPRQFQLMISGASNEGSSFQSGYTNGMSQTSLFSGR >SECCE3Rv1G0174510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:391506007:391557608:1 gene:SECCE3Rv1G0174510 transcript:SECCE3Rv1G0174510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTGGCAGRCLLPLLLLIASLLDWSLISLVNMIIFFAIRFVAPRRGFHNWRLYLLYWCTVIYSAVAILAQVMFHIIWGIEGKGWIVAHSWWAKLVGFARDQPWESPSVIYFLIVQLSAAVLSLVEVFGSRIHQDSCWLNFSFDIEQIGYHFRVACCLLLPAVQLIVSISHPSWISLPFFVFSCIGLVDWSLTSNFLGLFRWWRLLEIYSVFSILLLYIYQLRVKFPYVVVAFADFIGLFKVSSKSEWPELSSGISLLVYYFMLSSFKRDIQEMDSLMSLESDSLTEDLLPFRNAFLVRQSRTGRRHANVLLGGSVFRTFSINFFTYGFPVLLLALSLWSFNFTSICAFGLLAYVGYILYAFPSLFQMHRLNGSLLVFILFWAVSTYVFNVAFTFFNKRFQKDTMIWETIGLWHYSIPGLFLLAQFCLGVFVALCNLVNNSVFHYLTSEEGPSSSDDHLIDDKEDAMVLIVATLAWGLRKLSRAITLMLLFLLVMKPGFIHAVYMCFFLVFLVNHSINKRLRQFLVLFCEVHFSILYILQLDLVSNALERSGPLMMEVFSQLGLSSNAKAKDLMEIGSIICFCAVHSHGFKMLFALSAVLRHTPCPPVGFSILKAGLNKSVLLSVYSSQNSREGQVCRNLHEKKIASYLSKIGQNFLSMYRSYGTYVAFLTILLTLYLVTPNYISFGYLWFLLLWIIGRQLVEKTKRRLWFPLKVYAAVVFIFTYSLSISPLFARLVSKFVKLCPDLGFDPEASLLVNVWQSLSILIVMQLYSYERRQNSDKNFSVSDASVSGLLGFLRRLLIWHSEKILSVSVFYACLSSISLSGLVYLLGLIVFSTLPKVSRIPSKVYLVYTGLLAVSEYLFQMLCKPAQMCPGQRLYGFAAFLGLKHYDSGLWGVEYGLRGKVLVIVACTIQYNVFHWLDLMPASLVHEGKWEEPCQLFISSDQSASLMNNREELHSSNRFALLFSKVQGLVGSSLSSSLSSGNTYQTSEAVQNATKGLDEDKRYSFAKMWGMSKESHKWDKQRIVSLKRERFDTQKSTFKSYIIFWMENLFKLRGLEINMIVLLLASFTLLNVVSMFYIMCLVACILMNRDLIQKLWPLFVFLFATVLVLEYFALWKDGMLWLQGVNDIEMRCHGCWKNSQIFFDYCSKCWLGFIADDPRMLVSYYVVFIFSSFKLRSDHFSGFSDSDTYRQMRSQRKNAFVWRDLSLETKSFWTFLDYVRLYAYCHLLDIVLALIAITGTLEYDFLHLGYLGFALVFFRMRLEILKKKNKIFKYLRMYNFAVIVLSLAYQSPYVGQFSSGKCDQIDYLYEIIGFYKYDYGFKITSRSAFVEIVIFLLVAVQSYIFSSGEFDYVSRYLEAEQIGAMVREQEKKALKKTEQLQHLRRSEEQKRQRNMQVERMKSEMYNLQSQLNTMNSFTPINNASRNEGLRRRRTTRLYSDTDAPLLDNEIGSPAAASQSFEFSVADTKRNIPDLLFPSSSDAPRSPIRGRSEEFMLADNAKNSVGSTYEIIELDECDIKLHPNLLKEEKERRQPKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEESLSNEHPTEGSFYDVVENQSGTQDGQFLRTHSDSLGTATESSASMPVGVIFRYIWYQMRSNYDYVCYCCFILVFLWNFSLLSMVYLGALFLYALCVNYGPSYLFWVIVLIYTELNILSQYIYQIIIQHCGLNIHLPLLQRLGFPDDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSARNNIEEKFCIPYNWKDRVKSLHMPLMNLTRMFGRGLSRYWQSLTQGAESPPFFVQVTMEVKHWPEDGIQPERIESAINKVLVTAHEERCQSNSPSSCHSSSRVRIQSIERSKENPSMALAVLEVVYAAPTECQSAGWFKSLTPAADVEREIHDSQKAGLFEEINFPYPVVSVIGGGKREIDLYAYYFGADMAVFFLVLMFYQSILKNKSEFLEVYQLEEQFPKEFVFILMILFFLIVVDRIIYLWSFATGKVVFYIFNLVLFTYSVTEYAWGMELAHRDVGGFVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYASLFLVKCDTILNRANHQHGEKQTKMTKFCGGICLFFVLICVIWAPMLIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFQTTACEKIPWKYLKAYNDVDPLDYLGAYNVEDIQLICCQPDASTMWLVPPPVQSRFVRSLEETEMIFGKMELILNWDFLRARPKGKELVKYESPVEQCPSVENVKQVLNGSAHSLRITDAYPRYFRVTGSGEVRRLESSIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGQNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVNVYRSPHMLLEYTKPD >SECCE4Rv1G0225620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:97111187:97112221:-1 gene:SECCE4Rv1G0225620 transcript:SECCE4Rv1G0225620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPPPGSRPKPPMSRIMRLSLRAVDYVADATRRADGTVNRRVLSLLDPRVPAFSSPCRGVASRDVVIDPTLRIRARLFHPARPGDAKGAPLPVIVFFHGGGFAFLSAASLAYDAVCRRIARYASAAVLSVDYSRAPEHRFPAPYDDGLAALRFLDDPNNHTADVPLRASRCFLAGDSAGGNIAHHVARRYAADVPAFKNVRLAGVIAIQPFFGGEERTPSELRLDGAPIVSVSRTDWMWRAFLPDGADRTHEAPCFTSPGAAAGVDSPAFPPVLLVIGGYDPLQDWQRRYCEMLKDSGKDVRVLEYPDGIHAFFLFPGFDDARNLMTRIAEFVGESDDGGRE >SECCE4Rv1G0266560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:732210710:732213999:-1 gene:SECCE4Rv1G0266560 transcript:SECCE4Rv1G0266560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGDQRQLGGHEERLKDQMRDDHRASDGNFFMFLQNQSSTKKEAQEDKIASTRAEMGEVRKENERLKTMLSRMVEDHRSLQKQFDVLHQQGRGENLSVGSPEHTSPVSPGFVPLRLGTSAGMSRQNMGEEIKGSTNNPDGKGIFLGLSSASGAIGARTGGSDTKVRPDVVTLSPGGSSEEEAAETTTSAAASKMAKNPRSTGSSTETEEEVAQQPLAKKARVSVRARCDTPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVATGCPVRKQVQRCAEDMSILITTYEGAHNHPLSASAAAMASTTSAAASMLMSGSSTSLGFPSAASNLHGLRFGLPATATDASSSQLGGRPFFLPATSGASISATPSYPTITLDLTSRAASQQAFSLGNSSMFSSFTSSHGHSSNTGRYPSTSFSFSGSGASSLPGATAWPAGVGSYLSHGSSPNAPYNGARKSSFEAALSGINGRQQGSAALFYQPVQQRAAPMSGGSTAPTVLTDTIANAITSDPGFHTALAAAITSAAGGSKGLEWGEHLGLGPSSAGGAACSPAMLARSSSTGAAQSGSLNSRMTLQASLALSGSTSATASTL >SECCE4Rv1G0226270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:108609064:108609696:-1 gene:SECCE4Rv1G0226270 transcript:SECCE4Rv1G0226270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEDDSCRRAGSVPFKWEVCPGTPKHTRSASAAAAASPAKVAPKLTLTPPPSMASSPSPYYHHSAASSPRVTSARSASVSPSRRRPYAYAGGHRRAPPTAFIDTAPRPATKEYGSAAPEPDTAAFGCFALPMLRRKGSKKGAGLGFASSFSSSSSSSGGSFRSDGGGLGMRRSASISSASSLPLPPGRRYAAEARAEVDAATGRGWYF >SECCE6Rv1G0412300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613713294:613716454:1 gene:SECCE6Rv1G0412300 transcript:SECCE6Rv1G0412300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDLSRDSLLPSFLYSPRSFAAAASPRFPSSPSPYQAPASPAAAAAPAVGLVGAGGVGGGRPFSIRAPNEKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKTYSDMAGPENAVKYKTLIYLAGSASAEVIADIALCPFEAVKVRVQTQPGFARGLSDGLPKFIKAEGAAGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKHAVPVPKAECSKSSQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVRDAVNKIGMLGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGAPPVAPVEEAAKASA >SECCE3Rv1G0185370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:674124255:674127414:1 gene:SECCE3Rv1G0185370 transcript:SECCE3Rv1G0185370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46780) UniProtKB/Swiss-Prot;Acc:Q9STF2] MPPALTSNPPSFRPLSSPLRRRAPSFLCRVGAGKPGADEEAKKNPFFVDFSGRFEDAKSLIPAFPSPGTGSLFAGGRGKKDQQTVFVAGATGQTGVRIAQTLLRQGFAVRAGVPDLASAQELARLAAAYRLISPAEARRLNAVESDFDDTEAIAKSIGPAAKVVITVGPVEKGLEGGPVTTEDALRVVQAAELAGVAHVVVVYDEGAGGVNGVSTNSVLNGFTSFFSNLFSRVQTLPLSEFLAKVAETDVNYTLVKASLTEDYDPESSYGLVLAKEGSSSTTTSSTDTGKVSKLQIASLVADVFSNIEIAENKVVEVSTSSLGTSKPTVEALTAIPEDIRRKEYQEAAANARAQEDALASQRAADAEEPTSKLKTEGKDTTSEEAGASPVNEAQASLENLLTRAKGLKLNTDFSWDKFSTQLAAVGASARSSDEEEPRAQIATVRGQAKAKKLAPQRAVVKPVAQKVKQATMQPAPKKEVRPVFGGLFKQETIFVDED >SECCE7Rv1G0482390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:304418518:304419687:-1 gene:SECCE7Rv1G0482390 transcript:SECCE7Rv1G0482390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQDQREARAEADARRAADEIARARDERVMQAEMDARRAADEIARARADREHGALGGGAYAEHPSGGGGILGSMQEGAKSLASAVGRTFGGAKDTAADKTYQTADATGNKLGEYKDYTAEKAKETNDSVAHKTSETAEATRNKLGEAKDYTVEKATEAKDTVAQKTSETAEATKNKLGEYKDALAGKTQEAKDTTMQKAQETKDAAAEKARQAKDVTQQKAGEYTDATKGTAQEARDRTMATTQTAADKARATAGTHDADRGQQGTGLFGALGNMTGAIKEKLTVGSGTQQHDAGGHGLRLGDEDERAVKERAAEKAASVYFEEKDRLAKERAAERVDKCVEKCVEGCAGSSCAHRKGKM >SECCE6Rv1G0401240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:464284686:464286060:1 gene:SECCE6Rv1G0401240 transcript:SECCE6Rv1G0401240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G61930) UniProtKB/Swiss-Prot;Acc:Q9FH50] MQPAELLARRVVRGRAEPLVRSLRPLRAWTRWLHGGREPQEEEFPYADVPRPGRKWERKPYVTPMKVLIRRAKEERRVRQEAPCRVLEHPPANGLLVPHLVEVAHRVHRARERLLSGLASLVKGEAAIPVKRCRFCSEVHIGDVGHEIRTCEGPNSASRNSLHVWRPGTVRDVLGFPYCYHLFDRVGKPRVVHKEKYVVPRLPAILELCIQAGVDVERYPTKRRTRPVYSIDGRIADFELDEEDGSSETETSPEAWSCPSPPPQTSSACTAYAGDRTKEEITVREMSSRTLQSWLEMRSCAVKLMRKYGVVTCGYCPDVQVGPKGHKVRMCKASKHQQRDGQHAWQEATVDDIVPLNHVWHVRYPAGDTVPLANELKRYYGKAPAVVELCVRAGAPVPAQYRSMMRLDVVPPARDEYDLVA >SECCE2Rv1G0081020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:135230641:135232939:1 gene:SECCE2Rv1G0081020 transcript:SECCE2Rv1G0081020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWEGYVSDETMGTFAPILVYWVYAGGYQLVLHRRPLERYRLHTRGEEEEKNLVTLPAVVRGVLLQQLVQAIVAMILFMITSDSSTVLVQPSMVVQSFQFLVAMLVMDTWQYFVHRYMHQNKFLYRHIHSQHHRLIVPYAIGALYNHPLEGLLLDTLGGAMSFLVSGMTPRTAVFFFCFAVLKTVDDHCGLWLPYNIFQHLFQNNTAYHDIHHQLQGTKYNYSQPFFSIWDRILGTHMAYDLVSRKEGGFEARPLRD >SECCE4Rv1G0215170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:3449000:3450049:-1 gene:SECCE4Rv1G0215170 transcript:SECCE4Rv1G0215170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDFSCFRDGAVTTLAVAEPGSSADRLDRSLQAATACVYRVALASRKDLLLTVTWTRRSVVTTSVADAAAAGAAGLSVAVEEVQAGASTKPTLPPSSAAGTPAATPRRSAVKPAATASPQQRVMHLMQKRRGTRSFVTEGGTAVAVHWDTSEAKYAAASPEPCGGEYHLAVVADAELALLLGRGAAREELSRRFGAASSPALVSRREQLRAPPAEAAVAHSTRCRFRDGGAEHEVTVRCRGDRRGTSDERADGEVAVSVDGKSIVEARRVGWNFRGNRTAVLGDGAVVEVMWDVHDWWFAGGVGAAQFMVKARGPGPADGGRVWMDEELASQGQPPDGFFLHMQCYRR >SECCE6Rv1G0411700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:609582026:609582564:-1 gene:SECCE6Rv1G0411700 transcript:SECCE6Rv1G0411700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPESVRAFALARGAVVVFALATAADYVFDRYHLCSSQTQASFILPCVRVTAAAAAEWRALWLAMVCCAVLEAAVAALALRVPRRALALAYLQLALTIVRHYMYARAISILLAADPGPGNHLTGICIGSISSFFARDVTSFMFMDLLHGGDE >SECCEUnv1G0562860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:369791369:369793983:-1 gene:SECCEUnv1G0562860 transcript:SECCEUnv1G0562860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWQAFGVALLMSYLVLAIRGEDVRTTKNRENIDQIRQVDKTMVTDGGDVYDCTDVNLQPALNHPLLKDHKIQMEPSSLPNGLNVKSPFLNVESEAHPSVIECPIGTIPILRNNRREDVAGHNIDAVFTKFQQQGVAGIKYYDDVYGARAILNVYEPKVKKDSKDLSATGVQIDNGPNGIDGIIVGYSVAPNLVGDSFARFHIAWDAGASNKSCYDHTCPGFVQVNRNFGLGGRLQHVSVYNGKQYVLKILIFKDTKTKNWWVAYGEANIPIGYWPSSLFTYLRDKGNYTLWGGHVSGPTASSDSPQMGSGHFASEGRGKAAFIKSVQIIDENNKLVTPNENRVVTGTSDITKYTVDGYGIDKEGMHIYYGGPGNFV >SECCEUnv1G0537940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:70679279:70679601:1 gene:SECCEUnv1G0537940 transcript:SECCEUnv1G0537940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGSCGCGSACKCGNGCGGCNMYPEVEVAGATLLVSATATHKASSGGMEMAAENGGCGCTQCKCGTSCGCSCCSC >SECCE6Rv1G0378770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:10354030:10354602:1 gene:SECCE6Rv1G0378770 transcript:SECCE6Rv1G0378770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMAADPTAPVSGVGIKRLALSADDHEERPKRLALSANDQEERPSGKAKVVANAQDTGSDGANEGRRRKHLAIDINKVPNHDDGNLDNPLPATAGGVRCSPPPQHPHRALSLDSKKGELEMKKREIEIEDGMLELERERVQWAAENLREDMELKNMRLENDRIRLENHRLLVEVKRKELELRVVRSKRI >SECCE4Rv1G0218040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19765098:19770525:1 gene:SECCE4Rv1G0218040 transcript:SECCE4Rv1G0218040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTGASGWLRGKVKAVTSGDCLLIMGSTKAEIPPEKSITLSYLMAPRLARRGGVDEPFAWESREFLRKLCVGKEVTFRVDYTAPNIGREFGTVYLGDKNVAYLVVAAGWARVKEQGPKGGEPLPNVSELLRLEEVAKQQGLGRWSKEPGAAEDSIRDLPPSAIGELSGFDAKGFAVANKGKSLEAIVEQVRDGSTIRVYLLPSFQFVQIYVAGVQAPSMGRRPPNPIVVAEPEGTTNGTTNGDDSGEAPAPLTTAQRLAASTASTEVPPDRFGREAKHFTETRVLNRDVRIVVEGTDSFSNIIGSVYYPDGDTAKDLSLELVENGLAKYVEWSANMLDVEVKIKLKNAELQAKKEQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPYGSPSAERRVNLSSIRAPKLGNPRREDNKPDKFARESKEFLRTRLIGKQVTVEMEYSRRISTMDGQNAVPVMNSADTRVLDYGSVFLGSPSPADGDDTSSVPSTVAQPKINVAELLLSRGFAEISKHRDYEERSHYFDALLAAHSRAEKAKKGIHSDKLPPVMHITDLTMVNSKKAKDFLPFLQRNRRHTAVVEYVFSGHRFKLTIPKETCSIAFSLSGVRCPGKDEPYSSEAIALMRRMILQRDVEIEVETVDRTGTFIGSLWESKTNVGSVLLESGLAKLSSFGLDRIPDAHVLARAEKLAKQQKLKIWENYVEGEEVTNGSASESKQKEILKVVATEVLGGGKFYAQTVGDQRVASIQQQLASLKLKEAPVLGAFNPGKGEIVLAQFSLDNSWNRAMIVNGPRGAVESVDDKFEVFYIDFGNQEVVPYNRIRPADPSVSSSPPLAQLCSLAFIKVPGLEDDNGQEAAEYLSECLLSSSKQYRAMIEERDTTGGKVKGQGTGPVLIVTLVDPETESSINAAMLEEGLARLERGKRWDTKERKTALENLEQFQEKAKKERLRLWQYGDVESDEEDQAPGGRRPPPRR >SECCE5Rv1G0353990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720982049:720984239:1 gene:SECCE5Rv1G0353990 transcript:SECCE5Rv1G0353990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEVMAEAGGRGYMDMLGLGEEAMADYFLCLSPPTSSYLSSAAVSTTTTTSASAHTVASPTCASYLQPPPAAPYHHVLSFGGRAEQQYHGGGDVFGFQYYYGGAHAAPVAVPQKSSPTTDCSSSISSMSSSPTATAASVISTSKPQPTKKRGSRDQRKAAPPAASANKRPRVRKERLGERILALQQLVSPFGKTDTASVLHEALGYIRFLHDQVQVLSSPYMQRLPPPPSSAPPPEEPRAAAASDLRSRGLCLVPVSCTDHVAGGSCNGADVWSSVPAMGMPATAATADEEYAVAAGMLRGDRDHHPRQLA >SECCE2Rv1G0079450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:119358168:119360460:-1 gene:SECCE2Rv1G0079450 transcript:SECCE2Rv1G0079450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Isoform 2 of Heat stress transcription factor B-4b [Source: Projected from Oryza sativa (Os07g0640900)] MAFLVERCGEMVVSMEMGSGAAGVGGVAGKPVPAPFLTKTYQLVDDPCTDHIVSWGEDDATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLAEIHRRKSSQPPPPSMVPHQAAYHHHYHLGNTFSPPPPPPPAAHHHHHPVYQHFQEEPAAAATSHGGGNGGGDFLAALSEDNRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTSPSSAALQRHLPHPGAAAVAASNSCRLLELDMDVDRGSPAAEGDDTVKLFGVALHHGKKKRAHREERGDVAVHELGSEV >SECCE7Rv1G0463630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:55492191:55494634:1 gene:SECCE7Rv1G0463630 transcript:SECCE7Rv1G0463630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFAGRVLFASVFLLSAYQEFTEFGSDGGPAAKNLEPKFNSFTKNISVHLGVVVPHVELKHVIAATIGLKGLGGLLFIVSSSFGAYLLALYLAFITPVVYDFYNYDMEKAEFVQIFMKFTQNLALFGALLFFLGMKNSVPKRQAKKKAPKSKTT >SECCE2Rv1G0141540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935850562:935854822:1 gene:SECCE2Rv1G0141540 transcript:SECCE2Rv1G0141540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRAMTVRRPSFSSFLFLCSHVLLAAFHTTSDAAKSSHPVLQVNCSTSGNYTSASTYAANLNQFLAALPEKAVSKNGGFFNGTVGEGTDTVYGLAMCSADYSRSDCGDCLAATASSDPNGLPNLCPGSTTVLAWFEPCLVRYSDTNFFGTAQIDSIYTSNGPRASTAALQQYTADVQRNLKEATGGAVASPQRFAASSTDPYTLVQCTWDLSPDRCKQCLDVLSANASGKWSSMTEYGQRRSYSCAVRYSNTSFMVVPLGGAAGAPPPQPVDQETTSATQSSGATGKGSLTIGVVGSVLGVILFACLAGLIWYVRYGRNQPNTIGRAHKFPYQLLVAAARDFVVDRKLGEGAFGAVYKGTLMLQGKEVGVAIKKNTHTTSDQEKAAFHKEVEIMSPLSHRNIIRLVGWCDERNNLLLVYELVEDRNLQARLYGHGACVDAELSGARAPGSALDLDWLKRYNILHGIASGLEYLHNNCAKAVMHRDIKPGNVMLDRDSNAKLCDFGLVTQLTHAITSRSTNNVIGTQGYMDPAYQSTGQVTKGSDVYSFGVLLLEVVCGVSPNLIGNPPKNSLIEKVRECWERNAILDAADQRLRGNFDEEIKGVLLIGLRCVETSRGDRPSIRIVLADLVSIAAKSTSHNRRTSAVVGAEV >SECCE6Rv1G0414840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:637412292:637414859:-1 gene:SECCE6Rv1G0414840 transcript:SECCE6Rv1G0414840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWSPEEDAKLKAYIDENGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRLQRVNQDAPMPYSYLATGGGGGSSSSSGNANGTTAALSSSALERIQLHMRLQGLYSAFGCGASNAPPQWPKLEPPTDAVAMTTVSHGHSLAAADVVEAEQQLNPSAGASYDMPVPGGFEERSASKLGFGSAAGEAAGVASTVEMGSGSMVGVGFGYGHVDELYDFLYSKQLAAAGAFQGGVPPLPELQCPDGGAVVGADEKFSTWMASCDHYVPTTGGQQLQLQAGGNSMNLQDFVLGYDQ >SECCE7Rv1G0495540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:599300607:599305646:1 gene:SECCE7Rv1G0495540 transcript:SECCE7Rv1G0495540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHAPVLVLKDSLKRESGAKVQHGNIQAAKAVSDIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAETFIEKNYHPTVICRAYSRALEDAIAVLDKIAMPVDVNDREAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKIEKIPGGQLEDSKVLKGVMFNKDVVAPGKMKRKIVNPRIILLDCPVEYKKGENQTNAELMSEEDWKVLLDMEEEYIKNLCVQILKFKPDLVITEKGLSDMAMHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTRAGLFEVKKIGDEFFSFIIECKDPKACTVLLRGASKDILNEVERNLQDAMSVARNILKNPKLLPGGGASELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLLQNCGLNVIRTMTQLQGKHANGENPWVGVDGRTGDIVDMKERKIWDSYSVKAQTFKTAIESACMLLRIDDIVSGIKKKQAPGSGAPKQPQIETGEDADTEQMIPE >SECCE4Rv1G0263980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718689489:718692175:-1 gene:SECCE4Rv1G0263980 transcript:SECCE4Rv1G0263980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGAARAMPVQELAGALGTPDVPASYVVRTHKDQQLATAVVAPVPVIDHGRLLKKDDSADETTKLRSAIESWGLFMVSNHGVEAAVIESMRAASREFFRQPHEEKQRYSNLICDEQFQSEGYGNDWVSSPDQTRDWTDRLYLKVEPEDERRIALWPAHPENFRDVLHEFTKKCGRLKNDLLRAMAKLVGLDDENYFVDQLGEKALTNARCSYYPECPRPELVFGLKPHSDGTVVTVLMVDDNVGGLQVLRDGVWWDVPIVPHTLLILIGDQIEIMSNGIFKSPVHRVITNAKKERLSVALDYSVDPEREIEPSPQLVNEKRPALYRKVKVKDYIATYYNHFFQGEMVIDTIKI >SECCE7Rv1G0525770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:892643569:892645689:-1 gene:SECCE7Rv1G0525770 transcript:SECCE7Rv1G0525770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLSYGLGLIAVGAILSYLVIFHALGAKDTRAKLPPGPWNLPVVGSLHHLVGTLPHRALLRLSRRYGQLMLLRLGEVPTIIVSTPEAAMEVLKTKDLVFASRPSGPTRELVSCGGKGLVLTPYGEHWRQMRKVCMVEVLSARQVRRMDSIKQDEIADLVNTIVAASPATVINLGQGMAKLANNIIAKAVFGGKYQQQGTYLDELDKMLVLVGGFCLVDLFPSSRLVRWFSGAMRDLRRSHSRVQKILGDIIVERKNMKESAGTKDNEDLLDVLLRLQKEDTLSFPLTSEIIGTVIFDIFAAATDTTAATLEWAMAELIRNPEVMARAKLEVRQSLPQGQSTVTSADLGNLHYLRMVIKETLRLHPPVPLIRRATQDKCQVMGYHIPKGIPVMINVFAVGRDPSHWGEYAAEFRPERFERSDAIYVDYSSGKQMEFVPFGFGRRQCPGALLATTTIELVLANLLYRFDWSVPGGASPETLDMDEVFGLIVHCRSNLCVQAATCDPQLH >SECCE1Rv1G0024810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:333034295:333037045:1 gene:SECCE1Rv1G0024810 transcript:SECCE1Rv1G0024810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGANTTRHPMASPHRLARSPGSASRAAPAFSTANFVRSLRKAASFGYRKPSAGVADAADTTRRRSADTVVMSPCRSSPEPGFVARGAWNQQTRHRRSTGQSSPSEGVGRGPSVPRKTPTMPKKEDMALQARVLTARLMQWRFANARMEKAMTRATHAAESKLLYVWRRVAELRNIHTAKRIVAQRWRQKVKLGRLLRPQLPLLAAWETLGEPHSGAVAALGRVLSAASTSLPLSDGARANLELLHETMLACARTVDDIKARADMFYATASITSSSVDELARTMQEEMVGLEEVMRLCRIVTNLQVQEVSLRANLIQAKQKIDYS >SECCE5Rv1G0357970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:751061315:751062550:-1 gene:SECCE5Rv1G0357970 transcript:SECCE5Rv1G0357970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARGSVGTVADGLDLELRLGLPSAPIGYNIDLALVAGQIPVESVAVPKVERAGDLGIVGPVESVAAAAVEKVSDLAIVYEGSVRGDHVPPAVTEGVAVAEVEKARDLAIVVYEGPAGGNDAPPAVTERVAVAEVEKASNLAIVLYDGPAGGNDAPPAVGELGLLATPDDEPQDEHVEAGQIVPAGSTSSTALVLYYLPLQQVQPGRGIPLLSWAGHGARQSRRRAVAAELDPALNSADTVRAWVRDELEESHHLPRDLALTYIGEKVLSQSDLNPRQARFLLPASADVRLCAFLNPVEIAACGLNNTERKAKRTRIPGERARATTYTGVPLSVYVSSGRGHGVSDQLKLNKFHRSGWTVINGRRYRHFMEACGLKGGDGVEVWAFRWPPELRPCLLIAKRDGVLPTRNP >SECCE7Rv1G0514180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:830788132:830791906:-1 gene:SECCE7Rv1G0514180 transcript:SECCE7Rv1G0514180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSMVEARLPPGFRFHPRDDELVLDYLSRKLGGGAGGAAAAVASIYGCPAMVDVDLNKIEPWDLPEIACIGGKEWYFYSLRDRKYATGQRTNRATESGYWKATGKDRAISRKGLLVGMRKTLVFYEGRAPKGKKTEWVMHEFRKEGQGDLMKLPFKEDWVLCRVFYKTRTTIAKPPAGSSYNIDSAAATSLPPLIDNYIAFDHPGMSTVQNLEGYEQVPCFSNNPSSHPSAAASMSIPVTAMAPMAADQEQQHMGKAIKDALSQLTRFEQGNVKREAPAQGGLFAQDGFEYLAESGFSQMWNSLS >SECCE4Rv1G0293370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885706662:885707825:-1 gene:SECCE4Rv1G0293370 transcript:SECCE4Rv1G0293370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRCTVLTQLLSSPSAFPTSYLHRAISAAAAAAPAVSPNPSFAVEEYLIATCGLTRAQALKASAKLSHLKSPAKPDAVLAFLAGHGFSSSDVAAVVAGDPKVLCSSVEGYLGPVVAQLTGLGLSRSQIARLISYCHGNLHLRSIVPKLHYYLPLFGSIDTTLLALKRCLYLISSDLERVVKPNVAALRDSGLGDCDIAKLSLPNPRLLTTNVESIRAMVSCAESLGVPRGSRMFRHAMSAVVFLSEDKIAAKLAYLKKTFRWSDAEAGIAVSKYPILLRRSKDSLRSRSEFLISEVGLEPAYIAHRPMLLCYSLEGRLRPRYYVVKFLKENELLDCDRDYYSAVMRTDKVFMEKYICPHMEAAPHLAEDYAEACRGEVPTRFRFA >SECCEUnv1G0536260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63209629:63211215:-1 gene:SECCEUnv1G0536260 transcript:SECCEUnv1G0536260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLVLALCVLAIYVAAPSLASPSDGDDFLRCLSTDVPGQLVQTPSSPSFKPLLLSSIRNARFVAPATASPPLCIVTPTNASHVQAAVRCGRRHGVHLRVRSGGHDCEGLSYRSGSPGSEAFAVIDLAELHAVRVNPQEASAWVDSGAGIGELYYHVATAAPGLGFPAGVCPTIGVGGHLSGGGMGLMMRKYGLSADNVLDATMVDAQGNLLADKKAMGDDLFWAIRGGGGGNFGIVLSWKVRLVPVPPTVTFVKVAKTMEQGAVDVVTKWQTLAPALPDDLSVRVVVQNREADFQALYLGNSSAVVATMGSRFPELGVTIADCKEMSWLQYTAYIFFGEAINSKPLEALLLNRTLPLGRFVKNKSDYVRKPLAKETWEKIFLWPNGTATGQLVLEPHGGIMGRIATDDIPFPHRSSVLYNIQYVEFWNGTVAGGNVTPKWVGSLYDFMAPLVSTNPRSAYVNYRDLDIGTNKVVDGVTSYESAKAWGESYFGPENFRRLAKIKRAVDAGDYFRSEQSVPPLPLNQ >SECCE5Rv1G0297630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:4336675:4336911:1 gene:SECCE5Rv1G0297630 transcript:SECCE5Rv1G0297630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGDAPARGSAAAAASLRRRRTTSGAAGGGGGASTMLQFYTDEAAGRKMSPNAVLIMSIGFVAVVAVLHVFGKLYR >SECCE4Rv1G0269350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:748497101:748501553:1 gene:SECCE4Rv1G0269350 transcript:SECCE4Rv1G0269350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPSDTAFRAAINGNLRLLKKMATKVDLRGAKDAKGDTLLHVAACKGSLEICRFLVEESGLDVDPASKTGKTPMFYAALAGKVQVMRYLLDRGADPAVRDGKGSTPLHNAADEGHHEAVRLLLSKGVPVDPVDHRGAPLHLALAKDRVEVVKVLLEHGADPNKLVNHILTPPLMAVISKSLKCMKLLIEAGADLNARGTSGPTPLTQAVDDGFTDFVKLLLEAGADPNIPSEHGAIPVEQAALHGRRDLVEVLFPRTKPIPSLLDWSVDGIIRTVKSPLINRLQASVSVEEKIARWKLQGKEAFAKEDYLTAMSFYGKVLDTDPSDATMYANQSLCWLRMRHGDLALEDALKCRMMRPRWSKAWYREGAALSFMKNYEGAADAFREALRLDPKSEEIKEALRKAEKAME >SECCEUnv1G0570250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:463958797:463959093:1 gene:SECCEUnv1G0570250 transcript:SECCEUnv1G0570250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSKDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTDRESPLRGLSPSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCEUnv1G0560220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:345526548:345528767:-1 gene:SECCEUnv1G0560220 transcript:SECCEUnv1G0560220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTYQYAWVIPLLPLPVIMSMGFGLFIIPTATKNLRRIWAFPSVLLLSIAMVFSVQLSIQQINGSSIYQYLWSWTVNNDFSLEFGYLIDPLTSIMLILITTVGILVLIYSDGYMSHDEGYLRFFVYISFFNTSMLGLVTSSNLIQIYFFWELVGMCSYLLIGFWFTRPIAASACQKAFVTNRVGDFGLLLGILGFFWITGSLEFRDLFKIANNWIPNNGINSFLTTLCAFLLFLGAVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLLARLLPLFISLPLIMSFISLVGTITLFLGATLALAQRDIKRSLAYSTMSQLGYMMLALGIGSYQAALFHLITHAYSKALLFLGSGSIIHSMEPLVGYSPDKSQNMVLMGGLRKYIPITRTTFLWGTLSLCGIPPLACFWSKDEILSNSWLYSPFFGIISSFTAGLTAFYMFRIYLLTFDGYLRVHFQNYSSTKESSLYSISLWGKRIPKGVNRDFVLSTTKSGVSFFSQNIPKIQGNTRNRIGSFTTSFGAKNTFAYPHETGNTMLFPLLILLLFTLFIGFIGISFDNGGIGNGIAELTILSKWLTPSINFIQESSNSFVNSYEFITNAISSVSLAIFGLFIAYIFYGSAYSFFQNLDLINSFYKGNPKKEFLDQIKKNIYSWSYNRGYIDIFYTRVFTLGIRGLTELTEFFDKGVIDGITNGVGLASFCIGEEIKYVGGSRISSYLFFFLCYVSVFLFFFLS >SECCE2Rv1G0074150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:74351853:74353146:1 gene:SECCE2Rv1G0074150 transcript:SECCE2Rv1G0074150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAEPLQYTTTVLRVSIHCEGCKKKVKKVLHSIEGVYKVTIDAMQHKVTVTGSVAADALVRRLLKSGKHAALWPVPAPAPPAPEAKKPEEAPSAGKGGKGAEKVDAKPKKVADEAEPESSEKPDKDEGSEKKPEKAAAKKPKDEAKEEGEVPEKKEKGSPEPPAKEAAAADEAPEAGGEETGGKKGKKKKNKQQKEAGEGDAATEKPPPPQQQQQQPKTKQPQPQQEMPPVPATGPGPERAHGHGGPFPYYAPQPVMSYNMAQPSASVSYYAPTPVASMQPMPPPPPHMPYGYSPYPPMMMPPPPPEYMYGPPGMRSSPPQEPYNSMFNEENPSSCSVM >SECCE6Rv1G0410130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:595322420:595325530:-1 gene:SECCE6Rv1G0410130 transcript:SECCE6Rv1G0410130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRRAPSGCAMFGIYSGMFRRRRSASMSSLSRINGSPQATADSDAAAAPAPANPAQRKAGVHDDSSLVPRPKVMPLQPQINGAAAAATQAPRPSGDKSKPAKAMNGVAKAAAAAASPAVEYTGMAAELDKMIQDHQRVKGTTQMMRATSGNMMMHRNLGNLNAGASARSSLDRNPKASDRAKGAPGSNGYAFSGMGNIVSKPGDPLCRALSHRTDPEKLKEMGNEEYREGHYAEAVALYDQAIIMDPTRPAYWSNKAAALAALGRLIEAVADCKEAVRIDPSYGRAHHRLGGLYLRLGEPDKAMNYLKQSPKDPVNADVSRAQSVKGRIAKCGDARKVRDWITVLQESQAAVSDGADCSPQVMALQAEALLKLQRHDEADSALRGAPRFGVDESTKFFGTTAHAYVLMVRAQVDMAAGRLEEAVATAQTACELDPGSREAANVHRRAKAVASARQRGNDLFKASRFAEACAAYGEGLDKGDIGSAVLLCNRAACHAKLGRHEKAVEDCDGALAVRPGYSKARLRRADCNVKMERREASLRDYQVLIQELPENEEVKKALAEVEAKLKSQRN >SECCE4Rv1G0254660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:640406636:640416186:1 gene:SECCE4Rv1G0254660 transcript:SECCE4Rv1G0254660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGAHGVETLKRYRYSGQDHSVLAKYVLQPFWSRCVTLFPLWMPPNMITLMGFMFLLTSSLLSYIYSPHLDTAPPRWVHLAHGILLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGRLTFCYWVVAAVPFYLATWEHYFTNTLILPVINGPTEGLMLIYVSHLFTFFTGAEWWAQDFRKSLPLISLVPLPFVPEIPLYVIVLILMIMFAVIPTVGSNIGNVQKVVDARKGSMELALAMLLPFIALLAGVAVWCYLSPSDIMKNQPHLLVIGTGSAFGYLVGRMILAHLCDEPKGLKTGMCMALVFLPFAIANALTAKINNGTPLADELLVILLYCATSVGLYMHLAISVCHEIKDALGIYCFRIARKEA >SECCE5Rv1G0352890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:712430805:712434849:1 gene:SECCE5Rv1G0352890 transcript:SECCE5Rv1G0352890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLIALLGRVVAKAGDALVAELLRAWGLDKFRRKLERYLAAVQCILLDAGAKSRTNPAVLRWMTDLKTAAYQADDVLDDFRYEALRLRADAQIRPRSKARKVLSYFTANSPVFFRLSMSRKMKDALEMIDELVVEMNNFHFIQHAETLSVDNPQTHSQVDESEIVGRQDEKEQVVKILLNHSDNDTNNNVMVLPIVGMGGIGKTTLAQLVHNDQRVKHHFELVIWACVSDKFVIEEIIRSVIEVATMTKCDLAQMESLQKKLGEVLGKKRYLLMLDDVWNEDMQKWDDMRSLLCSHAGSGSAVIVTSRSNQVASIMGTLPPHQISLLNEDQSWELFQRNTFGRAVENQEELISMAKSIIQKCKGLPLAIKTVAALLRSKHHRQWFSFLDSDVWKDDILRTTGIVPALQLSYDHLSSDAQICFSFCAIFPRDSLMNKDMLIQLWMANDFIASETRGQEIFDVLVWRCFLQDVEIQKNGFRSGFDQYIRNDDFIHRPTTCKMHDLMHDLADSVSGNDCSILQESSPHQEILQRSTNASSLQHDVRHLSLDNVSYHTIATMEEILAPRPRTILVRKSTKSPLDERESLSIGKEKFMSLRALKTISIKTNMTNLKHLRYLDCSYSRISALPEATTILYSLQTLKLFCCANLRRLPEGMRYMSNLRHIFLVGCYRLERMPQGTGQLSSLQTLTNYVIDSDPGRGIDQLKDLDLGGALSLTELRKVHSIENAKQGNMSAKHNLKRLSLSWANRPPYEYEVDTNAEGILEALCPHKRLEVLLLSNYTGAKLSSWMHNSTLLEHLSELCLSSCKNCKDLPPLWQLPSLRYLSLNGLHSLTSICVGNDHTNIGESCISLPPFFPKLETMIVSDMIKLERWHQEVSGQVPAISFPQLKKLDVSACPMLASMPKTLPLIEDLLVTGANDIPLYHLMNLSVQSNLECKGYIEVGWRLIHLHFSRLGDSNVRLGLRGLRENVEHFEEELIRIPCRFIKVLDILNYECLFSFEPSQVQQNIWNHFGFVEKMSIEDCNNIVQWPAVEFRNLNCLRVLNLIDCSNLTGSLPLAISDEENDLLPRLRNLSISCCNNLVEVPKLPVSLESLSIRCCPKLVSMPRNLGSVKKLRELSLIRCDALTTFPDGMYGATVLRTLVMEWCPRAERLPEGLLQQLPTLGRLRITGCPNLEEAFSSGGAHWNFVEAIPNISVGKSMR >SECCE3Rv1G0203230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:881779127:881782149:-1 gene:SECCE3Rv1G0203230 transcript:SECCE3Rv1G0203230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGAQEVPSSMAPRSGTTLGGGAQAVSSSMTPRGRDDPNEEKIGGPHAVASSTAPRRRVALGADGRPLDGIMRGELLPNLKHADGSNHTSKSYWAKVYRLYDTSETCLESMMMTVPYDSCMPNWRVCKRHAYCTMMQIFSLKLAYISSYVSGPVQLYGYVAVRDLLNPMRNYVFNRTRNDPLVVEHDGFIQMSGPKRGIRMQAPVLMEFNLKIKTGGEEGDDQQVIDGVAIFGDRISRHARVNTQRMDGDGGSVDIKFAVLELASEATVQVGISKIEKGSFLSLCLAGSYTSPSYVSHGKVQLFDGVITAEASELSRTVVAVAQDTKLVVKLKLSQKDGLDIHRCTIFPVEKHGSQTYIFNLGVATVEVMVTWSTMDIPKSRLGPNCFVHEFKASQGVEYVDE >SECCE1Rv1G0037570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:526441879:526443790:-1 gene:SECCE1Rv1G0037570 transcript:SECCE1Rv1G0037570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHHAPLRVILLLLLVFTCFASEADIQCLRSVQQSVNDPNGVLTSSWNFENATTGFTCRFTGVECWHPDEDRVLSLRLGNLGLQGPFPRGLQNCSSMTGLDLSNNNFSGPIPPDISREIPYLTSLDLSYNGFSGTIPPNISNMTYLNVLNLQHNQFSGPIPAQFQLLSRLATFNVAENQLSGPIPPLFTKFPATNFAGNQGLCGDPLDECQASAKSKNNAAIVGAIVGVVVVIIIVAIVVFVCLRKLPAKKAKKDEDENKWAKSIKGTKTIKVSMFENPVSKMKLSDLMKATKQFSKENIIATGRTGTMYRAVLPDGSFLAVKRLQDSQHSESQFTSEMKTLGQVRNRNLVPLLGFCIAKREKLLVYKHTPKGSLYDQLHEEGKDCKMDWPLRLRIGIGAAKGLAYLHHTCNPRILHRNISSKCILLDDDYEPKISDFGLARLMNPLDTHLSTFVNGEFGDLGYVAPEYGSTLVATPKGDVYSFGVVLLELITGERPTQVSNAPDNFRGNLVEWITYLSNNAILQDSIDKSLIGKDYDSELMQFLKVACSCTVTTAKERPTMFEVYQLLRAIGEKYHFSAGDDMMLPPLSTDGETLDELIVAM >SECCE2Rv1G0086960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:218043120:218046313:1 gene:SECCE2Rv1G0086960 transcript:SECCE2Rv1G0086960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEARGGECGYYTTDDALSQVGFGRFQALVLAYAGVGWTAEVMEIMMLSFVGPSVKDEWGISGQQEGLITSVVFAGMIIGGCLGGVISDSYGRRIGFLFTAVVTGIFGFLSALSPNYMCLLTLRFIVGMGLGAGHVLGTWFLEFVPAANRGTWVVVFHCTWTFGTILQALIAWAIMPVLGWRWLIALSSTPCFILLIFYGITPESPRYLCSRGRTADAQFILERMAIMNNMALPSGILIVASQKRSDDVVDVETIVPLILSQDSAATDVCMSSSISRSINAFRTLVSRSLIRSTLLLWFVYFAFCFAYYGIVLLTSKLSNGGSRCGPVGMHLWQQNDARLYRDVLVTSIAEFPGLILAALLVDKVGRKLSMGVFAFLCLVSIAPLAAPREEGLATVLLFSARICITGSYAVLYIYGPEIYPSSCRNTGVGVATSLGRIGGMIAPLIAVGLLESCHQKEAVFVFDLVLFLAAVACALFPLETKGCQIQ >SECCE4Rv1G0240720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448135230:448135727:1 gene:SECCE4Rv1G0240720 transcript:SECCE4Rv1G0240720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKKLVQFGKGFFERKEESTSTDMPLGTAMHNIEITRGRGGQLAGAVGAVAKLIAKEGKSATLRLPSGEVRLVSQNCLATVGQVDNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKTTTPWGYPALGRRTRKRKKYSDSFILRRRK >SECCE3Rv1G0177310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:461846429:461846674:-1 gene:SECCE3Rv1G0177310 transcript:SECCE3Rv1G0177310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRRVGVQRKSDYLSGAVDGGDDPGVSHLKQHRPIGPGEDADLALELPHLQGKAANRKNQRQSSQHEEDEAALPWTRRF >SECCE1Rv1G0013690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:107528359:107536299:-1 gene:SECCE1Rv1G0013690 transcript:SECCE1Rv1G0013690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMSSRPASPGGGGGYGRRGAYGASSAQTSPGGSPSASPVHTRHTRSGSLGGAGSTSSAGRRGAGAAAAARAAAQRLARVMGGPGGDDSGSEGEEYELSGPPIELSTPRRPANRSPSPSIGRYLADQAPVVSRPPSLTNRYVPGKSVPMIPSIKQSNRPATSGTGSESSVSVPNRREQRRSVDLGSSMRGRRSSSSLNDEINTLQMENDSMYEKFEEDRCDEADARQAGDAIEPEVNLISRKAAALEQRRASMRIASRRGNSASCDEITALRSEAKVAGEMATSVSRRVGTGSELRSLHATSNRMILSQEEMEEVVLKRCWLARYWKLSVRLGIHSDIAEQKLEHWCSVAPLALEVVLSIGQKARDGTLSADNDVNDTAGDGNIESMLLVEKGLRELASLKVEDAIMLALAEHRRYRPLSGPVFPADSYSSPESTELSEDEREDVRFKQAWLTYFWRRAKNHDVEEDIADDRLQFWIEQGNHPVTTSDVVEVDRGLHELKKLGIESQLWEATRRAFDDESINHGSPFGSEV >SECCE4Rv1G0249510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:584025422:584027249:-1 gene:SECCE4Rv1G0249510 transcript:SECCE4Rv1G0249510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSTTVAIAILALATVAGVVIGTAAAATKKPVIYIFGDSMSDVGNNNYLLLSIAKCNYPWYGIDYEGGYPTGRFTNGRTIGDIMAAKFGVPPPPPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFVEYLSFDNQISYFEEIKNAMIGKIGKKAAEEVVNGAIFQIGLGSNDYVNNFLRPFMADGLVYTHDQFIGLLMDTIDHQLTRLYHLGARNVWFTGLAPLGCIPSQRVLSDNGECLEDVNAYARQFNAAAKDLLDRLNAKLPGARMSLADCYSVVMELIEHPKKYGFATSHTSCCDVDTSVGGLCLPTADVCDDRSQFVFWDAYHTSDAANQVIAGRLYADMVSAGDVQQGNTTVSDAPRVVRSPRHVPPPRPAVRGGNTTSAPLAAPPARPVHGNGTTSAPRASPAARPVNGNGTTSAPPVAGSSSHAASPPQP >SECCE5Rv1G0336700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:586058599:586061562:-1 gene:SECCE5Rv1G0336700 transcript:SECCE5Rv1G0336700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCHIPPQCGPAPLGRRGLPRAAATAGVRCAARAPSRGTVVVAAAAAAQVAAAVATAEGREGVERPGLAERLRMGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRELGLIWVTNRMHIEIYKYPAWGDVVEIETWCQADGKIGTRRDWILKDLANGEVIGRATSKWVMMNQNTRRLQRVSDEVRDEVFIHCPKSPRLAFPEENNGSLKKIPVLTDPAQHSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEGEEINSNGSLFSAPHPEEQRQFLHCLRFAGAGDEINRGRTVWRKLAR >SECCE2Rv1G0075020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:81820758:81821530:1 gene:SECCE2Rv1G0075020 transcript:SECCE2Rv1G0075020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MUTE [Source:Projected from Arabidopsis thaliana (AT3G06120) UniProtKB/Swiss-Prot;Acc:Q9M8K6] MSHIAVERNRRRQMNDHLKVLRALTPAFYIKRCDQASIIGGAIEFIRELHTVLDALEAKKKRRLCSPTPSPRSLLTCSTPTSAGGSASDVSPNSNGSSAGSGYAAAAPVGAAVKELAACCNSPAADVEARISGANVLLRTLSGRIPGQAARIVALLESLHLEVLHVNISTMDDTVLHSFVLKIGLECQLSVEDVAFEVQQTFCYHQELDYSSMAI >SECCE4Rv1G0270360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755240252:755243463:-1 gene:SECCE4Rv1G0270360 transcript:SECCE4Rv1G0270360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit-related protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12410) UniProtKB/Swiss-Prot;Acc:Q9XJ36] MALCRTAPANSSCFHPRAVASSPSSLSVGTKVFVGLKAQTRLGSSESSCPNVNARFYTAVNRRVSLGLSNKRATRARISMMPVGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDTIDEEFSNQVLASMLYLDSVDDTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGQKGSRTGMPLCRVSLQSPAGAARGQADDIENESNELNRIKNYLYGKLAEHTGHSVEKVHEDLSRSKRFDAEGALEYGIIDRIVRPSRIKKEGGSTTGQKKELRNLGLG >SECCE6Rv1G0431880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:748530129:748530449:1 gene:SECCE6Rv1G0431880 transcript:SECCE6Rv1G0431880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKAFCISLVIFVLVLFTAPDPVAAAVYSVGEVVMPMSSSLRLEDSVVPELGLDLDVHRRLFGEVGKGALDPNKSACKPKCAGEGQPYTGRGCQAIYGCVPKSSE >SECCE6Rv1G0382820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:47944047:47947202:-1 gene:SECCE6Rv1G0382820 transcript:SECCE6Rv1G0382820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLQFSNKTQSKKLHIPSLGLALVLLISVASLTSSCTEHEEASLLQFLAKISQDGGLAASWQNSTDCCTWKGIACSSPNRTVTGVSLASQGLEGSISPFLGNLTSLLHLNLSNNSLSGGLPLELVSSRSITILDVSFNQLNGTLHELPSSTPARPLQVLNISSNLFAGQFPSTTWKAMENLIALNASNNSFTGQIPTHFCNSSPSFAVLDLCLNKFSGNIPQQLGDCSKLRELRAGYNNISGEVPDELFNASLLEYLSFPSNDLNGVIDGAHITNLKNLVRLDLGGNSFSGNIPDSIGQLKKLEELHLDNNNMSGKLPSSLSNCTNLITIDFKKNNFSGQLAKVNFSNLPNLKTLDLMCNNFTGTIPESIYSCTNLTALRLSGNHLKGQLSPRIGDLKYLSFLSLAENSFRNIRHALRILKNCKNLTTLLLGENFIGELMPQDDIVDGFQNLQVLGIGDCSLLGNIPVWLSKLKHLEMLFLHDNQLTGTIPDWISSLNFLFYLDIRNNNLTGEIPTALMDMHMLKSERVEANFDPSVFELPIYKDSSPYRIPTAFPKVLDLSSNKFTGEIPLDIGHLKALLSVNFSFNQLTGQIPQSICNLTKVQVLDLSSNNLTGVIPGALNNLHFLSAFNISNNGLEGPIPSGGQFNTFQNSSFDGNPKLCGSMLTHKCRPGSTPLVPRKQGNKKVIFAIAFGVFFGGIAFLMLLGRLLVSIRIKGLKEKSQRENNGGNEATSIYSSSEQTLVVMQRPQGKGEENKLKFANIVKATDNFDKENIIGCGGYGLVYKAELPDGSKLAIKKLNGEMFLMEREFRAEVDALSMAQHENLVPLWGYCAQGNSRLLIYSYMENGSLDDWLHDRDDDTNSYLDWPTRLKIAQGVSLGLSYIHNVCKPHIVHRDIKSSNILLDKEFKAYVADFGLARLILPNQTHVTTELVGTTGYIPPEYGQAWVATLRGDMYSFGVVLLELLTGRRPVPVLSTSKELVPWVLQMRSEDKQIEVLDPALQGTGYEEQMLKVLETACRCVDHNQFRRPTIMEAVSCLASIDADLRT >SECCE4Rv1G0226140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:104659409:104659696:-1 gene:SECCE4Rv1G0226140 transcript:SECCE4Rv1G0226140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVAVPTEFKLRNNTDCSWRMTMKLMNDWVTLDQGWATFAVVHQIKISYMVMFKHLTPNTLKVIIFDNDGIEVVNKCRKHDEAFAATD >SECCE6Rv1G0428880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:731398993:731400831:-1 gene:SECCE6Rv1G0428880 transcript:SECCE6Rv1G0428880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIMKLYHEWEIQVLVLLSFMLQMFLFFAGSLRRRGINSFLRFFTWIAYLGADLVAVYTLGYLSRNEDATTGLSFFWAPFLLIHLGGQDTITALAMEDNKLWLRHLLTLFMQVVLALYVFWKSIGKHGVELLVSDVFVFAAGIIKYGERVWSLKCGSFETLEGSTGQQYKQQVPGEVAEDPYSHIVCAVLHRMPRVFRIFTARSGDSGTTIQETPSNWVKRMRLELGMMYDDLYTKSCVLRTRSGIILRCISQVSVLVAMALFLASDRRRYNRADIAITWSLFVGCFLLEVCAMFVLIMSPWSWAWLKMRRCSMLAKLSWFLLCSGIGWPERKPYLNSIGQYNFHRWLDAGSDQPRSYSRRAVTTLIKSLVNLVGVKEDKMLFWMSRLLDTEHVEADNETMECVVKGITDFDAEINRDPRQWPKLGGIVKELQDIRDDFGEKVALVHIITEVHLRKYPPSDMETDTLTSCSVLVEVCRKLSNYMAYLLVTHPSMLPLNDSALYTLEKMAHLFPHLIDEQQDSEGFFSIEPSMDTLQELVDIWTKLLIYAAVKSRPELHAAHLARGGELITFAWLFLSHNFLGDSEVIKVQLTNANQRGTIAYVFGSPAPR >SECCE7Rv1G0481480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:284178657:284187139:-1 gene:SECCE7Rv1G0481480 transcript:SECCE7Rv1G0481480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSMANPADAATAPANDDDVDDLYADLDDQVTAALAAAGESGGSNAKDSDPATDAEAEADAIEAVDLGEGLAGYSSSDEESEDDLHIVLNEDGCPPPPPSAGRCEEGWAEECEEGELRASLLKSLSAKDGGQRKLHGLHYKGPLDKTTVPITGQGDLGHQHAFQKEYYFFLPRNRTVFDINIEAFQQKPWRQHGIDLTDYFNFGLDEEGWRKYCFGMKQFTQGARSFAEKSSGMDQESHHNLESSKLMLKSATYSGYEGSNDVAKPKGRAIDVQGGLFERLPSADMWRPRERDSDVVIQVNMMLSPSNHSTSDDNSTVNDKCMTTERVLVNDPGVKCLKDTSHLVDKVVHKEVLNGGSSECTGSKLNMRDSACTRDHFSSPRYSDMLSEESTDDCYFKRANRHPNSKATCSDTRKDVYVKSDFCRHSSKSDQESTKGDSHSYTHSPADDRYHKIDEPDFMSSGVFMNRQSDHRLLKYGHQGRKEQKRKGSARVRHDVFEKEEKIAYSYTSRYDRSHEEKRSSSTFLGNDYRNAVHNQFYERRDYSPAERAALRNGGVHRFSNISNHHHDRFSWHEFNDDQDDLQRLSLAKGWQRRHDHGYRYKSVQKAELSDDIDRHMYRESYYQETRRARHGHGEDDELFDYSDYRFGEFCGPEVRGKYGSRRSAESSDEHWKHPYNLVLPPRANDYRSRRSAESSDEHWRHPYHLVSPPRANDYPKNPERDWSSPGLTSLSSRNSQNAKFMQYHRDAYYQNNKHHNSSVHVDNIQQSATFTAAPSETGYCILPVKRKLHAGLGSMNRKDLLGVAISKGRRLKHDQFMISDRKLYAVETHNFPKETVREAIYSFSDMRNSNTISNIHDERRHEHVNIQPKDADSIHLNDRKRKFKLQGNEIRRVQSDNEGCLPADKNLHSSKHKDVHQKAKKVKLNRSYHQSVYQGLEKTANQRRQTTKEEDEIEEGELIEEDHQDTAPNRKPNKSRNAALKSVIEASSGGQLEMINATSKDICDKGATRECDEKHILKVMEKMQKRRERFKEAVTVAQKEQDNGNDELLAVACGADDVKNQRPARKRRWGGNG >SECCE4Rv1G0265810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727570254:727571507:1 gene:SECCE4Rv1G0265810 transcript:SECCE4Rv1G0265810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPRSPWSALVAPDDDANDGDFLPADELQEVFLRLPSKCLGRLRTVCRSWRSMLSDPLFVAAHASRSRADSIFVVAVHSPGHDRIDVNLVDMSTGAVLRRMDGLARRRFCVGGELLCFVDPRPGGGAGAVQVLDPATGATTHVLLQPGDATGSATDVLQSGDATAPQNHTGCGCTAALYLVGHVPATGEHKVLHITDVSGKQLCEVFTIGAGHDSSRQCQRWRPVQSPPMRVDSIPRRRAVVNGVAYFLPSQKNMRCPPDYDSIAAFDLEKEEWRPAPIRGPVSSERPGHRGQLMCFSLAELNGRLVMVHRNYQLRTVDMYSLSPMDTGEGVEWSRGPSLRLDSVLDRNRKGETLAQPLMELDDRRIVLFVPGTEHNIVRVYDHRKETRTDVMEMPMDRIIVGFYKGSLLPFHMG >SECCEUnv1G0539750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77309394:77316033:-1 gene:SECCEUnv1G0539750 transcript:SECCEUnv1G0539750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGASGAGGSTATDGPEAATIEINIKTLESQVHKLRVDKNETVLNLKEKIVDVAGIPVEQQRLIFRGRVLKDDHLLSEYHLEDGYTLHLVARRTAEGQHSSETPEANPNANVNAAGNGPMLGDYMSRETIDVMVSEGVINDIPATVRDILGNFLGMPGGVANAAFSVPLNPPPDGTNNGRTQPGQAQPGFSILNHQIHVSQLPPSGAIPRNLVIPDSMTTLLEYINRMDQVLQNNGVPPSTDSNAQEPPTSATDDAYLNQSFPSPEVLASVLERAQQLLGGSASSALSHLAQRIQNDAATADTSVRSQIQNESTQLGVAMLHLGSMLFELGRTMMTLRMGPSPINAFVNAGPAVYITPTGPSPIMVQPSFQSAPQFGVSSIPPVFGVSGPFGIVDPSRANGVNIHGGASAASGPSVGSTTASATAANGVSQNADRTQGGNPSTTRGLPPRTVVAAIPAFPGRSSVGVIVPVQMRSQVAAPNQSTGPQGSQTAVGNGSQPSSAYVVPQASSGSAISSMIAQISAQVANALAANPQALASLTSVLNPAAQGPQPPTTSNGSGTASSVTSANTQLQNELPGSHHGQTSLNVQSHATGAGTVPSNASVPSLPPQDTSAVSVSDVDSSQQHSGELAAASLGGQLTGTRTGDVPLGMPAENSELKSKPSDGETGESTKPTASGGSGPLGLGGGLQPKRRSRVTKPSGTSSDSGEAVNTSSVPRSQEAVLMGQQALQALVSRGANVSSGGVTASQAPSSTPRSAAGMRRPGGEGQVDIGSMLSSVLNNPMFGNLMSNVAAQTGLESPADVRNIMEDLTQNRAVMDTLSSMVQNVDVPQRGGQGGFDLSRMMQQMMPVVSQVLGGATPRAAGTNNTGDESVSDAVGGRRSQMDLQEACQHIEQHDAPEDIFGAVLETAAQAYGDDESIEVMLEELANDPELADEYMKLLLEQVGERVESESEAKTQS >SECCE5Rv1G0375300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867892107:867892376:-1 gene:SECCE5Rv1G0375300 transcript:SECCE5Rv1G0375300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWLFSLSLCLLLIVASSIDVEPPIDFPQPPKANCYRSVTETPKKCAGQVLQALLYGEVHITKDCCEVLRRVGDETCIINYMCASIG >SECCE7Rv1G0485780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:384667415:384674566:1 gene:SECCE7Rv1G0485780 transcript:SECCE7Rv1G0485780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLALTSPSLLAAVPTPASGRRLATRVRAAAAAISDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLQLAEAVRDGIRDAGMVAFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMVYGGTIKPGHFQGNSYDIVSAFQSYGEFVSGSISDEERKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLDECRLAGKYLLELLKMDLKPRDIITEKSLRNAMVIIMALGGSTNAVLHLIAIARSVGLQLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLGENAKIFPPLSEGQQIIQRLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGLFFSGPALVFDGEESMITAISESPANFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVENGDTITIDVGKKVIDVDLTEDQLEQRRRKWSPPPHKVTSGALWKYIKLVSSASSGCVTDE >SECCE7Rv1G0463150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:51354524:51356199:-1 gene:SECCE7Rv1G0463150 transcript:SECCE7Rv1G0463150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAN1 [Source:Projected from Arabidopsis thaliana (AT1G02310) UniProtKB/TrEMBL;Acc:A0A178WL81] MRPRPPAIAISLLILLVAAVPWHAAAAGGDGDGGMVRTDGTRFVAGDGDRTLYLSGFNAYWLMEMAADPTRRGGVVSAFRQAAAHGLNLARTWAFSDGGDRPLQSSPGVYHEDMFQGLDFVVAEARRHGIYLLLCLTNNFDDFGGKRQYVQWAREDIAGGHNLTSADDFFNNTLVKSYYKNHVKTVLTRVNTVTGVAYRDDPAIFGWELMNEPRCSADPSGAMVQAWVEEMAPYLKTIDAAHLVTAGLEGFYGDGVHESKDLNPWGIYYGTNFVATHQAAGIDFATIHLYPDVWLWGSTTDQQARFFRNWTASHVRDTDRHLRKPLLVTEYGKFLWEEGGENATAATQRRDHFLGMVLDAIYESASRGGPLVGGAFWQLLLDGDAMDALKDGYQIVLPEDARAASIISDHSEKMAKLTEQDAAEVGHRWRRSEPRKIGRFGSWDGTHPCVQRFLIRFVSLFRSVSSLFGPMYM >SECCE6Rv1G0380170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:19742633:19743444:-1 gene:SECCE6Rv1G0380170 transcript:SECCE6Rv1G0380170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAAAVICGTASFLGLLAAILGFVGESTKSQSFAGYDGVRCVYRSTAARGCGVAGALFLLAAQVLLSAATGCCGCCRPETRKIPSETKRVFAVAMSVLSWILMFIASVLFYLGAMWNTAGDRKPAEVTSAGEECFVLQTGVFATASALSLLVVGLGIGSYFLLGGSAPPREQQPEIALGQPPPYFQAQPQPQPHGGYPAGATWQTRV >SECCE4Rv1G0267700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:737083033:737085766:1 gene:SECCE4Rv1G0267700 transcript:SECCE4Rv1G0267700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPNGAAAAAAAASSAAGGAPPVDKEVDFANYFCTYAYLYHQKEMLCDRVRMDAYHSAVFRNPHHFRGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNVAEHARELVRANGVADIVEVIQGTMEDIVLPEKVDVIISEWMGYYLLRESMFDSVICARDRWLNPGGVMYPSHARMWLAPIRSGLGDKKMEDFEIAMNDWNHFVEDTQAYYGVNMNALTKAYRAEHEKFYLKSSIWNNLHPNQLIGQPAVVKEMDCLTATVEEIREVRAQVTLPINQDRTRLAALAGWFDVHFRGSKQNPAVEEVELNTAPDENGGTHWGQQVFLMTPSPRVNEGDSINVSFSMVRSKENHRLMDMDITYELHEASGRKLPAVATKIFLE >SECCE6Rv1G0402370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:483270432:483270941:1 gene:SECCE6Rv1G0402370 transcript:SECCE6Rv1G0402370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLLEEAVAAGVQQDSLNSDLVLILAGLLCALVCVLGLGLVARCACSRRWARAADSPPGANRGVKKEVLRALPTVKYVADGGEASEADECAICIAEFEDGQDMRVLPQCSHAFHAACVDAWLRSHSSCPSCRRVLVAELPRGERSGRCGARPGGIDALLKAVPLCR >SECCE6Rv1G0422400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689682896:689684853:-1 gene:SECCE6Rv1G0422400 transcript:SECCE6Rv1G0422400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASRLSYKNATVALCAINVLAVALLLRSHFSSWPRIAGGHRFDSAQLRYIWESEELRRAMEPVDLIRRVKEIEQEAYGEPGMSTTQEGTAAVDLSKRLKDLRQGNDGSSQRALEEWRKRKMERARQRAIVKDGMMPGAKTP >SECCE5Rv1G0312970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:251863203:251864828:1 gene:SECCE5Rv1G0312970 transcript:SECCE5Rv1G0312970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDKAMASRITSPSPKATVSESDLRIINVASNVDHSQANNQANAVLGPVAIFWDIENCPVPSDVRPDDVAGNIRMALRLHPVVNGAVTVLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNHPPSSIMLISGDVDFAPALHILGQRGYTIALAIPSSVTVSSALSSAGSFVWDWPSLARGAGSLPPRSLGHRAADPLVCPNSVTSGKFPDIQTEEEAIVYMGTFRNEYGGRTTSNQINCYNSSQVTGESCKAFCTLADGSCGTGTSSRSHHVSGGLNEVPVIDQGFTGEQSWWVRPGDLQGLKGQLIRLFQLSGGSVPLVRVPSEYLKLFGRHLYVAEYGAVKLVHLFEKLAESFVVIGKGQRKMICLRNSGDRNMKKYPSTPTILKNEKRLNATLEEGTSETCQQLSSSSEDLSEDEQNISPDVDGAYVFDSHLYKCRTEVEALLVCYLSCPLPLSHFESLYEQRYKKTLDYQSCGVDGLEELLNKLKDVVELNVDEISNMKFIKAKSPKNVVPQSHVN >SECCE5Rv1G0349450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:683929381:683933425:1 gene:SECCE5Rv1G0349450 transcript:SECCE5Rv1G0349450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TORTIFOLIA1-like protein 2 [Source:Projected from Arabidopsis thaliana (AT2G07170) UniProtKB/Swiss-Prot;Acc:F4IK92] MKSATAAPSKGKAAFELKHRLVQALNKIADRDTYQIGLNELHATVDALAPDMVGPFLSCVVDTDADQKSAVRKECVKAIGALARSHGALLAPHMPKLVSSVVRRLKDADSVVRDACVDTCGTLSACAREFGDGGAALVALVRPLFESLGEQNRYVQAGAALCLAKVIDESSYFPGPVLLQMLARVVKILKNPHFMAKPAVIELLRSIVQAEGASTDQALSSALTSIMDSLKSSDWTTRKAASLALSSIAVSSGYLVASFRTSCLRSLERCKFDKVKPVRDAITHAIQLWKAIPGSETPEPSEAGSSTKENFFGDHNDARSVHDGGSRATSFRRVDPTPSASVVSGSSITSVRKRSPLSVNKVPQNDASNQQHLKSSDWNVEISVPKQNTVPDVGKKGYGSNRMLKYAKGSPYGIVDEDIKSDYDPMDDKQECSSLSEVASRSYETKHVTSALKVTEDVTELCPRARETKSIDSTVTDVISHGTHTCCLSATKELALIRKQLQEMERKQANLFDLLQEFMSNSVENMSVLNSKVHNLEYAVDKTVYTITQSESRYQLPGSKGFKNQSVSSSPRLSNSTPRSSVDANFRPPTIPHLKQEKKWAHDLPSKGTSTCVKEGHEFLKAHGRNRVMKPGAGSSEESYISSSARSRASGLKGTFQVPFTSPCDQPDLQNALCASNQADEFRSADGMEPAYAEALSYGDSDDLIDLMDKTGPVLDKLSRETANELLRVIAGHFLDTKLFDLALPWIQQVVDLSTVYKPSQVFVSARAQREFLSALEEAATSGSTEPAVRIAIVQLAFKLTKACEATPCRKISTRVSRGSESVVMATVM >SECCE5Rv1G0307010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:104249418:104252162:-1 gene:SECCE5Rv1G0307010 transcript:SECCE5Rv1G0307010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSHCAAILLSKNHRRRGGGGGHGGNGNGNGRRDDPASFLRQLRDALDAASEEGALCPPPDTAGAEEDAAVTRSRSLARLRAQRDFLRATALAAAAGPFRSISDLPLLAHAIATFLSMYPDYASTSDVDRLRLDHYSHLDAPGAGRVCLDYCGFGLFDSSWDSSSSSFTLSELNANLSNHALYGGAEPGTAENDIKERILEYLNVPASEYALVFTVSRGSAFKLLAECYPFESNRRLLTMFDHESQSVNWMAQSARAKGAKTRTAWFRWPTLKLCSTELRKEIVGKKKARRRDAAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVIGSLQGRNGCNASGMVRIVPVFPQYLSDSIDEFDAVETEGLEDDACTPKDENPVPDVRNGSQLPAFSGVYTSAQVRETFESDPGRDSSSDRDGASTIFEETESISVGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKSGQFKKGKLGSPLPSSWFTGRKGNKRMSPNLASRISRSPLYDGNVISFDAAVLSVSQDVDCLREDPDEEIYENGRGNHFRQVSEIQEEPEVEEVACQHAMNGGLDHKESAIRRETEGEFRLLGRRDGNSRFAGGRLFGVEEIDGGISMGRRVSFNTEANMIADRLHRASDGAEASGYPFRDDDACISDGYDDAQDWSRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLKLPGLKDSDGVPLVHIYGPKIKYERGAAVAFNLKQSGGAFINAEFVQKIAEKNGISLGIGFLSHIKMDPNQKQSNGTLDIPEASFYKNGRRDSKKVTVRVEVVTASLGFLTNFEDVYKMWAFVAKFLDPSFLESERIAIATDQMEAIAAEHMEGQI >SECCE5Rv1G0307600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:115800012:115801514:1 gene:SECCE5Rv1G0307600 transcript:SECCE5Rv1G0307600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPSQESIHSRRGIDSCQVHDLIREIGISKSAEDNLVFTLEEGCGLNSRGTIRHLAISSSWKGDQCDFRSIVDMSCIRSLTVFGKWRPFFMSEKMRLLRVLDLEGTSGLVDHHLTGIGKLLHLRYLSLRGCDDIYHLPDSLGNLRQLQTLDVTYTSIIELPRTIIKLSKLEHIRAGGIGSNDGGTHETYADTLCTLALSSMAFCVGCCAPQVLKEVMDMDGDPNRRDVCTACCCNKLPSVATRQSPNGVEVPRGTRRLKALDTMGVVNVSGSRGKAVLNDLKSLTRLRKLRVTGINKKNSQDLCSALSKLSCLESLLVRSEGNPGLSGCLDALSSPPKKLQSLKLYGNLVKLPEWIEGLRNLVKMELRSSRILEVDAAMQVLGNLPNLAILRLLRHSFAGEELRFSLNLDSAFPSLVVLELSLLDKLESVEFHGGAAPKIELLQFRGWRYKANTVLFAGLPSLLSLKQVLLKGRYDDGFVDDLRARLAGNPNRPVLKLN >SECCE4Rv1G0249060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:578296709:578299604:-1 gene:SECCE4Rv1G0249060 transcript:SECCE4Rv1G0249060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSELPNPPRPWRPRVREAHSARPRHLPLPGPHAAPLPRRRHLLLSPSATPSDDPALPPARRPSRPKRASGEGEVWTNKAVPPGTRGLSSPVPEEPLTPPRWTARRQARAAWRKATSLVPRRARSVILLNLLVLIFASNISIVKEAQTMLDPDLFNVIRFTIAAIPFVPFLLKSLRDMQVVIRGVELGIWVTLAYLTQSIGLVTADAGRASFISALTVIIVPFLDGILGAEIPAYTWFGAFLSVLGVGILELSGSPPCVGDLLTLLSAFCFGIHMLRTEHISRKMKEENFLALVGCQVVVVAVVSAVSFIVKCFLQSVVPWNLKLQTPTELFSMMSSLPWLAILYTGIIATTFCLWAEIVAMRDVSATETAIIYGLEPVWGATFAWAIHGERWGVTGLIGAIFIIAGSLMVQILGSFLDIDVSEDSYQLNN >SECCE1Rv1G0057930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:696237432:696239237:-1 gene:SECCE1Rv1G0057930 transcript:SECCE1Rv1G0057930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRHLQAQPPPTPPPPPLHAATSHRHLLLAATAAAATAVLLLLLLLALLLALHLRRRRRRPTLPFSPPQPPARPLRRYSRRALRRATGGFHPSRLLGRGAASPVYLATFPDASLAAVKTCSSPHELHVLASLPPDSARVVSLLGYAGSGADGQPLLLVFEYLPQGSLQAALFGDGRCLDWAQRRGVVRDVARALAFLHAECQPPVVHGDLKPSNVLLDADFRAKVADFGLARFKTPDAVVDTGPVAGGDDFMSQELGEACDLDLSTAASAKDDPGPPARAPGNEWWMKVKQDDSGELDPRDYVAEWIGSQICPERNPDWADDGDLKNSPSVADEDTKNAGNADSSASKGAGGDKKEATQMREWWKEEFFEEMSKKAGGAVDKQRRGGVRKPWLRSSSVNTSTNVNGDGNANRSALEDMSFRRSRKRSRRRGQSAGSGDVHHSGDLFSRDLSTTTSMRGTVCYVAPEGDPLEKADVYSFGVLVLVILSGRRPLHILSSPMKLEKANLVSWCRQLARAGNVLELMDERLEGAYDRDQATLCVQLALMCLQRQPEHRPDSTDIVKILAGEMELPPAPVEFSPSPQLRRPFPRSSHRAQQDATG >SECCEUnv1G0555400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:299399740:299402323:1 gene:SECCEUnv1G0555400 transcript:SECCEUnv1G0555400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSGLFKSAGVPVVDADVVARNVVQKGTGGWKKIVKAFGNDILLENGEIDRAQLGQIVFSDPEKRKLLNRLLAPHISCGIFWEILKLWVKGCVVIVVDIPLLFETKMDRWTDPVVVVWVDPKTQIERLMSRDGCGQEQAQGRIDAQLALDWKKSEADIVIDNSGSLDDTKEQFQEVLKQVSGPLTWKERMMSRDGLLSIVVCTAAGVLLAQKNLL >SECCE2Rv1G0079690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:121826601:121827668:1 gene:SECCE2Rv1G0079690 transcript:SECCE2Rv1G0079690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAIWIACVLLLAATCQGKGAPGHRVRVGYYNRKCPAAEIIVRAVVGKAVYRNPGLGAGIIRMAFHDCFVQGCDASVLLDPTPANPRPEKLGPPNFPSLRGFEVIDAAKAVLERVCPGVVSCADVIAFAARDSAYFLSGYKIDYKMPAGRFDGSVSLESETLQFLPPPSFNLTELVDNFKAKNMNEDDLVVLSGAHTIGISHCSSFTDRLPPNPSDMNPGLTRLLQSKCPVSPNFTNDPTVVQDIVTPSRMDNKYYTNLLKRNVLFTSDAALLTSGKTALKVMENAFKPGSWEKKFAKAMVKMAAIELKTAANGEIRRNCRVVNK >SECCE5Rv1G0336810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:586694403:586695407:-1 gene:SECCE5Rv1G0336810 transcript:SECCE5Rv1G0336810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQPDAPPAPVPSDNRDWSELPLLALTSVLAELGAVDVLMGAGLVCHSWLEAAKTPELWREVDMGRGPRDKKVMDMDGDIDLHLPSQGSPFAGRMIPRQPRDHCTGENREVMCATAKVAVDRSDGNLEFVGAHFVTDEILDYVGARSPSMKRLALVSCEEVSCDGFTDMVSKCPLLEDIEVSGCKHVGGHAMVAAGRACPRLKRLVLNKPWRRRWDRWDATGILTMRELRHLRISRSEIKNQELMAVVDGCPFLEHLSVAECPNIVVDDALRAKCATVKMLELPTSQDLDDLDAEDAGASDFPGFGRP >SECCE4Rv1G0257310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:665890130:665891477:-1 gene:SECCE4Rv1G0257310 transcript:SECCE4Rv1G0257310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTAGSLFRRSLGLAPPTTPRALSISATTPAAAAEGEAAAKARRNKKKNLFDVAQFLPDWGVGYRVAKTTWRDVSYQITKINLYKDGRHGKAWGIRHKAGVQAADAPIRISGVNKRGWKYIKASLQDIPGAEPPAVTAA >SECCE4Rv1G0260030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:691989716:691990033:-1 gene:SECCE4Rv1G0260030 transcript:SECCE4Rv1G0260030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKILLATIEECVEAQRRLAEIRSQLAEAWAIADGVEQDMMVEDRPFHDVPPRLQEIERLMEGIADTVVEDLLPSFDRVVAVSKACTEEENSLGLAPPNAGAAI >SECCE3Rv1G0192480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:759037153:759039183:1 gene:SECCE3Rv1G0192480 transcript:SECCE3Rv1G0192480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISVAVPSLLLLCVALLLPPATARFSFTYNFTAVSDSAPSGISFQGDAFFNKFIRLTRDERVGPLTSSAGRAFFSRPIPLFDPVSRRPASFASAFSFSISAPEPSAASGDGLAFFLSPFPSVLPNRSAGGLLGLFNSSARNGGHSLVAVEFDTFRNDWDPSDDHVGIDLGGIASVATADWPTSMKDGRTAHARVAYDAEAKNLTVALSYGDAPPTEVLLWYAVDLREHLPDAVAVGFSAATGEAAELHKVLYWDFTSSVDSKEQTVVLWVVLGLCGFLVVLVGAGIVWFVKEWRKTGECVPYVDIDDAMGYDELTDEFIVQSGPRRFRYAELVAATNNFSEQRKLGQGGFGAVYRGFLKELRQEVAIKRVSKGSTQGRKEYAAEVRIISQLRHRHLVRLVGWCHEHRGDFLLVYELMPNGSVDQHLYGKGVHLTWPTRYDIALGLASALLYLHEECLKCIVHRDIKPSNVMLDATFSAKLGDFGLAKLVEHGSQPYTTVLAGTLGYLAPECLTTGKSSRESDVYSFGVVALEIACGRQPSEPTAEPSKARLVPWVWELYGKKALLEAADWRLKGEFDEKQMERLMVVGLWCAHPDYTHRPNIRQALNALKFEAPLPVLAPKMPVPTFFPLPDLAALVSSVGGASSTRNIGGVTECESSGANAGKGSSVRDRLLEP >SECCE1Rv1G0002050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:7299113:7300324:1 gene:SECCE1Rv1G0002050 transcript:SECCE1Rv1G0002050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALSRLCPCCFGSPAAAAATTAERSTSTTSDKKQPERTKRHWVDEKGNSCFMLLPRGLSIAWADDPSYWAWLPVPPGEGSAGDAVGEDMAELKNVWSLEVHGKLELSQLTPGATYEVAFEVMLKQGCAGWQVPVDLQLELPGARAQERKESLEKKPKGQWLRLKVGDVEVEKERQGGELVVTLSQDGGHWKSGLGVRGIRIAPKK >SECCE5Rv1G0371570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:847892012:847892359:1 gene:SECCE5Rv1G0371570 transcript:SECCE5Rv1G0371570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGGAGEGNIDLNLSLQRASSPEWLGYFSCSYCDKKFYSSQALGGHQNAHKIERSVAKRTRELAYMRRQGQVGRREKDPTSNATGSSSHRRASPPEAARRDLVNEEIDLSLKL >SECCE4Rv1G0243530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:503501256:503503163:-1 gene:SECCE4Rv1G0243530 transcript:SECCE4Rv1G0243530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSLYTYVMYLLLCNAITLLLVPPCAGLGLDTVRGFLTREEDTVVFSLIERAKHPLNLPAYADDPAACFGPAGRHGRRNGSFVELFVRESELIQAKAGRYQSQQEVPFFQSRVPFTLAPPYNFTTDLHPGAASVNVNDAIWGMYFSELLPQLASNGSDDGNYAVTAASDLVCLQALSRRINYGRYVAEVKFRGDQQRYTVLIRSKDKDALMKLLTSQAQEDVVKRRVEKKAMVFGQDVTLDGPTETADDTTSKSSFKVAPKVVYELYDRWVIPLTKQVEVEYLLHRLD >SECCE7Rv1G0465030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:66354191:66355366:-1 gene:SECCE7Rv1G0465030 transcript:SECCE7Rv1G0465030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITASASPAMQEAAKMPSTSPPRDMAAPTASPARYRPSLLVIFSACLVLIGAGGPLLLRVYFVHGGQRLWLSALLQLSGWPLLLPPLCVSLFRGRRHGITNLLLPLRLVGAAAVLGSLYAVSCFVYAMGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVMLLIIGPAVLGVGPGSGKPAGEPSKTYWTGFCEGIAAAALAGLVLPLVEVAMERYGRRTGPAARTPPPYSTVMQMQAVMGAAGTMVCLLGMAIKSDFGALRSEAAAFGLGETNYYLVLVWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSEILAVLFLHEKFDGPKGIALVLSLWGFASYMYGERVQQKKAEAQRSELLQQQVARKTGDLELAAP >SECCE1Rv1G0035520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:499317336:499319231:1 gene:SECCE1Rv1G0035520 transcript:SECCE1Rv1G0035520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRADSSAATCRSITAISPLPISRRARGPAVPLPPAAAPEIERFAYDDLEAATSHFADAALLGRGSHGAVYKAVLPSGRAVAVKRPSPRRAEVDNEIRILSSVRGPRLVNLLGYSDPGPGPDPLRRPRLLVVEYMPNGTLYDLLHSNPRPPGWPRRVRLALQTARALRALHDAEPAVIHRDVKSANVLLDAHLDAHLGDFGLALRVPKRDGGPGNAATPAPAGTLGYLDPAYVTPETLSTKTDVFSFGILLLEIMSGRKAIDVQYSPPSVVEWAVPLLRKGKVVALFDPRVTPPRDPVTRRDLASLAASCVRSCRERRPSMADIVERLAVISKAVSAKVWNGMAVVGNPCAIVDVQKTISKRAAASEKESTSALAFDDDEKEADATLEELVPLVGAKKPPRPLKNGKVFSEAGDGERRNLLELMARIDGVAGQRFGISRARTVRGTSDLIQKDAVLILRRNQTVRVVESDALEKVERVSRLDASIKHKPAKEHEKAGKIQDKVDEIQEKAEKIQDKVNGMQEKAEKIQDKANGMQEKAEKIQEEGKIQEKLGETLDKAENIQENPRKTENIQEKTGQILDKAEKIQGTPEKVQENERKIQEHTEVVQHSSEKIQDKAEKIQCKLKES >SECCE4Rv1G0276480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798117598:798118881:1 gene:SECCE4Rv1G0276480 transcript:SECCE4Rv1G0276480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLIEKNSFGRMKKLKMHDILRELALDLCQKNCFGVNYDGECEESLQDVRRLVLQKLNKDNHQSISGIHQLRTVIILGNSMPSTTIPLLCKESRYLTVLELSGLPVEKIPDAIGDLFNLRHLGLRGSKVKMLPKSIEKLSNLLTLDLCQSDIHELPSGIVKLKKLRHLFAERVSDSNVRVWKWGNGICVPNGLGNLTNLQTLQALEVHDESLGHLGDLRQMRSLRLWNVKGIYCGRISESLVQMRYLSNLSMNTSDENEVLLLNVCLPSLQTLCLRGRLAEGALDEPPLFQAVGAQNLYSLSLYWSQLREDPLPSLSRLSNLTELLFTRAYTGEQLSFRTGWFPKLKIIILRDMPNLSWLEIQQGAMASLEELFLVNLSSMKEVPSGIEFLMSLKNLGFREISRDFLTSLRQCAVIQGKWRHTLRD >SECCE2Rv1G0115390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:749994434:749995847:1 gene:SECCE2Rv1G0115390 transcript:SECCE2Rv1G0115390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKANGDTAFKASGKNKTAAGGVAKPKRAPTPFFAFLAEFRPQYMEKHPEAKGVAAVTKAAGEKWRSMSDEEKAKYGGKKADAPASKAVSKKETTSSKKAKTDADEEGEGSDVEDDGEEDEE >SECCE2Rv1G0077610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:100761678:100762268:1 gene:SECCE2Rv1G0077610 transcript:SECCE2Rv1G0077610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKENCFVFCLIISVFCVLVCGSVFLALDEYRKPRYYAAIDSLSGLDAATDLARPSLNPLFNITLGVASRGFRHSECIPPGTYVEVSYRGSPLATGTAVQLCARPRKAPREQSLVAWGRGVRVPGFRLDNLAADARLGVEAFEVTLQMPPTHDHVGKIVTCKARRVGDAAALRAPCDSSNMGHYWTPSPKDLHGGA >SECCE1Rv1G0057800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:695222569:695231662:-1 gene:SECCE1Rv1G0057800 transcript:SECCE1Rv1G0057800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELVVGGAKLYDVLRLKVNHAIATAMRVSRSGLADQQVVARARSEEMSLNNYALLQAYVLMAVTGLGYLALTWSTVVLLGGFVTSLGMKDFWCLTGISMVQAARIFNDSGDNLFPIFLNLTQNLVRKVFANPVGGLLQKMNPQHSRGHSIFTVLWVQLVLFIVQILSLPVLIVVLIVGALYVLGPVACIALSVWRLLEHDYGDTTADDSKANLVPALIIFYGLVICQGVLYSLWRLIDVAAMWVVVSLCEECKLPEKWGRIIVVDYLYDTRVKCWRDPTSIDGRNLINYAVNLVDSESQKDYLSGARLLDIFIQLEADVRSLLLPSRARVQKLIDTLGWRSCNRELREVVARIVAYLAGDIHLCQFPGATRCISSLLDTTLPYWNNQLGPNGHSPIGESKTDASDNGKGRLISSVQKILISAVQETSISSVQDVLISAVQEMSISDAKERLIYAVKEIEEYEPESVRYQGRLESKEDCWNELILQGLAILERLAFDQQNCSDICSTPDLLPNIMAPLYSETLIQDIKVSASANVANASLKVVHRLIRAPKWIGKSNLVRDISSSKQALISNLESFLGNSAGKELQMRAIEILTELVVLTEPEEHSLTNLSASTKENLIKKQLQIFLADDEGKEEKLRVTAGKSLALLSKIKTISNLIMKEQDKEDNTVGRRNEILETTKNNIIVRLNEIIDAKNNIRYRTIALEILENLCTHCTLDKDYVKETLLPKVVKEVLATIKRDHPKRQRENKYPSRDMLLENLAETANAIKAIFATKKHEENPAISGPGDDEENQALLGPGDDEEEQCSGQIKSPGQENEGGNAKMEFIEALLSLTFVICDKLITADDFNDVVQKSSIREITFVGTLQAIVKENREARADCLRIVKLCGQIVVLMLQRGQVTARLKLCVESLREASEIMSDLESCMLFAGTDCGVKKTVRPLLSDLVEKARKLLGY >SECCE5Rv1G0355100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:729132828:729135703:-1 gene:SECCE5Rv1G0355100 transcript:SECCE5Rv1G0355100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWTGLWGARAGAGAGAGAYRGTPVVVKMENPNWSISEISPEDAEDEDFLVSGAARRKGGRGKNAKQITWVLLLKAHRAAGCLASLASAAVALGAAARRRVADGRTDADAAAPGPAGESPVLRSRFYGFIRAFLLLSLLLLAVELAARFHGWDLAASALALPILGVESLYGSWLRLRAAYLAPLLQFLTDACVVLFLIQSADRLIQCLGSFYITVKRIKPRLKSPALPDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCSLDWPRSNFLVQVLDDSDDPTTQSLIREEVAKWQQTGARILYRHRVLRDGYKAGNLKSAMACSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEESGGWMERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCIPDIIKSKISVWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLAAVAVPPKELRHQQKTGSAPSLDALVVLKEQASPKKEGKKQKKHNRIYKKELALSLLLLTAAARSLLTKQGIHFYFLLFQGISFLLVGLDLIGEQVE >SECCE3Rv1G0164340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:161991040:161991369:1 gene:SECCE3Rv1G0164340 transcript:SECCE3Rv1G0164340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSLTFRRSGSSGLVWDERLMSDEHSQWTSGEPEFRELRHSRSVGSVGAQRRRNDSAERGGQAFRTRRVAPAMDPPSPKVPGCIFCGIFRKAGPSQTSKARRYH >SECCE6Rv1G0380450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:22927353:22929491:1 gene:SECCE6Rv1G0380450 transcript:SECCE6Rv1G0380450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARRLVPFHLRPPGARPLAAVAAAPHSRKHDAVSCKSTGKTRAKNKAKDTTMWRPQRRELEEHLKRRTRSAGAFDPGLYRRHSHSHHVPVLLGEVLAAFRRPLPLRSFVDCTLGAAGHSLAMMEAHPEMELHVGMDVDPSALEIGQRHIEAFLASRATGEGGEDALQGTLRAYTDVKNFKYIKHVLGGVDESLADGSSGVDGILIDLGMSSMQVNRSDRGFSVLNDGPLDMRMDPKATLIAEDILNSWPELEVGRILRDYGEESNWQSLQRRIVKERKTGGLHSTGELVKLIQRTCTISGGRQGWIKTATRVFQALRIAVNDELQTLEDALHSCFDCLAPDGRLAVISFHSLEDRIVKQTFLELIHGGEADGDDEDLPCADIDDEDEPWFKQRVQGTNGTVLTKRPITPSQEEEKLNQRCRSAKLRVIQKA >SECCE2Rv1G0123870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828252042:828252637:1 gene:SECCE2Rv1G0123870 transcript:SECCE2Rv1G0123870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLYHEFETGLPAADVWEMYGGLRISQVVPQLLPDIFKKAELVDGDGGVGTVLHLTFSHGVPPLEYQKEKFIKIDHENYVKEAIIVEGGLLDHGFQKYLMRIEIIGQTDKTSTIRSTIEYEVDDDMSGNTSFVSTSALACLAEAITEYIKAQKSAEQAREETP >SECCEUnv1G0543470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:114778355:114785075:1 gene:SECCEUnv1G0543470 transcript:SECCEUnv1G0543470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPPAPSASADPCLRTHGKEVARLHLFDWIVLLLLVATTGALGLVQPFHRFVAQDMMSDLRYPMKGSTVPNWAVPVIAIVVPMVFIVGIYIKRRNAFDRRGHIAKLCIVLLPLLLATMVAISRVTDYWHHWQDVFAGGVLGLVVASFCYLQFFPPPYSEHGVWPHAYLEHIHRPDDEMQAQSTTNSNMHHNSLPIGLSGSNETRTSSHALDSMEEGSRAEMSDR >SECCE5Rv1G0324760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:456558928:456561109:1 gene:SECCE5Rv1G0324760 transcript:SECCE5Rv1G0324760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLEIFVGSCSSSAPPVDWEAEAYPEYGDYAVLPLLVAFFPALRLLLNQFVFEVLARRLIFGKGHDKLAETDERRKKINKFKESAWKFVYFLSAELFSLSVTYNESWFTNTRYFWVGPGEQLWPDQKMKLKLKAAYMYAAGFYVYSIFDLLFWETRRKDFGVMMSHHVATVVLIVVSYICRLSRPGSVILPLHDASDIFLEIGKMAKYSSCEWLAVVAFLLFVASWILLRLIVFPFWILRSTSYEIAMILDKENKKIYRTSYYYLFNTLLFSLLVFHIYWWVLIYRMLVKQIQSRGHVGEDVRSDSEGENNHED >SECCE5Rv1G0352370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:709411658:709412329:-1 gene:SECCE5Rv1G0352370 transcript:SECCE5Rv1G0352370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSKVQEMILRRRSRSMNGAGCAQHAGGNSSTAPCDGAGSKGVVAADAHAPSFAAPRLLHSSSLPAGGSAAVVTGSPVLDWEAMAAYAMSPTSVLDASAAFGLPVESGVSKRRPWCDGCAGPHGLADVLDCAHEAQRRKSVVRGAMRAQAPALVRSCSLDRRVEFGVKNKSSWLPLRAARAEADAEENGPSSEDYTCVISRGPNPRTVHIFGDRVVDSSACR >SECCE3Rv1G0212120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954507188:954514989:1 gene:SECCE3Rv1G0212120 transcript:SECCE3Rv1G0212120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFPGKVAAAVFAAAGMPCVGKHMGVTTEEFMRRTTSEGLMDCEMLPINNNQGAGVAIIMGTDFLARKNYQQSPPEEPGNLFMEDPVMKDASLLTDDNYGSVSVKKVYVVAKADSSSTEDMQHWMVVLSPGTEVKEIAGADHAIMSSRPRELCDALAKIANCLNNC >SECCE5Rv1G0309950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:162766581:162774133:-1 gene:SECCE5Rv1G0309950 transcript:SECCE5Rv1G0309950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGQCGARFTVTRGASSVQCAHCRRATRVERHGAMHGAAGFVRSVFTNIGCARPHPGYPPVYGNKRALLVGINYTGTAAELPGPINDVKCMSFLLTLKYGFPSDCILVLTDEERDPYRRPTRSNILVAMRWLVHGCSSGDSLVFHFSGHGHQEKDKDGDEQDGQDEAICPLDWQLNGAILDDEINEAIVRPLVQGVRLHAIIDACRSGTVLDLPNLCQIKKNGKALWMDHSAPNGAWKNTSGGHAILISGCTDDEDAQDGYGHETMAMGALTYSFFAAAWFAHRPPTYALWALSKTKAILADCNRDIQIHCDLPASILPHVRKVVNFSGVQEPQLSSSDKFDINWKTFML >SECCE7Rv1G0518580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859667082:859667411:1 gene:SECCE7Rv1G0518580 transcript:SECCE7Rv1G0518580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIDDLLTEGPDAASPAVGRAQGFFQFASMTEYALLLTANFMFTAGSQNGSSVAVLSRDVIFDSVRELPIVGGTGGLRGATGYGLLQTHSANTTTRNAVLKIDMYLRV >SECCE2Rv1G0135780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908546397:908548738:1 gene:SECCE2Rv1G0135780 transcript:SECCE2Rv1G0135780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEIVVGGGPLEGSRSRSVMGRRIRSRSADEWLGKFRKEYIDWWEFIPSRRARSADGRLEVQEKKENGKKETSDREPEEIEGKVVMSKAKAAEIEEEAFARFRRGWEHVNGGSHFEDLTLFSPMIFTHCTPGFKPIDAKLAKTVQICSIKIADVNHFKWPLQVYGVVAARDCVDTHRNPIFLRPRDDCQIINDADPFLHLTGPVRAIVSEEPVDIEIQLIVKGPTASEDRALISYPLLYDGDHADPSGMLIVEKNFCTLELSFQQLNRSVQATVFGVNIIGEKPASFGYGVRIVCSSLSQHGIEDRNEYTSKEVLLVDSKVGRPAIKHGYINLSRQVISVELSGRLKLQIQAYTPSGNMVAKGHVIVVPKQCNTSDHECNLGSFEIRFTIAWSLLIEDEERILMNGRVDPFASCPLDPEFFRTGSISYLM >SECCEUnv1G0557750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:326915273:326918378:1 gene:SECCEUnv1G0557750 transcript:SECCEUnv1G0557750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKILLLCYTSENIVLCGFYPEDTADFSFKDGKRLCSLFLRQQGLIKKKRRWLASLNPELGVPFKLKRPKFLKVVYLAESDVRTDEVSSERVRSNVEESFGLQRKCYIHHVVQDGLELFKLQKQKDGSLCPESLKIMHCTISKLSNGALESVANIVAHNGISFRKIRPAMMKIVSDHLPKYLTELNSESGMQLSEILTNPCSYHSNSVLLRTPVSPMLLSSIDQALAGVDEIPQQAAIAINRKLTGKSCPPEFLHVSRTSSRSHLVNLIKKRCGNIQTKLQEGKNLPENFAKALTVMNLHRKLTLRSMDISQSEFFPFPHATISSQQDILNALWSLPNVDTDDMKLLRHIMGQGSQVKMASFKAAVRRYLTECLFECDDGNLPDLAVRAIGFLARMSPKCQQVILTEERKEVEVDAVLDLSSCLRSLARGATEEYLSDDEVSLESDRCSDDNDFVLTGNNYFDIRPQQHMDEGCCSNFMMSSTEDSEYTGGDGHYGDSEAAGSTKDPSSQKENVEMTKCSEEDLSALCDDTASIAHELIGHILKDILTEDEVVDELTGCYLGGSSNPQDPQDREAKNQKDDIVMNAVQSLLPNLPKSSIDKVRSILDGADQ >SECCE6Rv1G0430500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:742377947:742379131:-1 gene:SECCE6Rv1G0430500 transcript:SECCE6Rv1G0430500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEEVGERRRAEWASLQPELVQLIADRVLSTGGVDEYMGMRAVCPTWRSAVAKPSPHAAVADLRFRPRQWVLLHGADDQEGRPLFLNVTTGRFRRLRLPLFRDYVFVGASDGLLVLGAREPPHAAHLLNPLTGDMLPFAAPIPPEDWVETAIAGSEPTIFFAFEPVYGKYQDVPAYCSLGLGGDAVYSADPMGQLRAVRFHDAASNQEALFLLRSMVTYAGNVYVLSAGGTLCKIVWTGGRWYAERLMEVEKDYTVALIESAGKLLLVRELPDIIQVFSVDVKRKVLEPIESLGSSAIFISHGNCMVVDADMLPSIKSNCIYSVSFAGIQLDSIHVLYDLSDGKKICFSGPLMHGDGRRRESGIIHESPLSLAQVLLNPCPRLKAQLGRIQQI >SECCE3Rv1G0168290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:218582775:218587213:1 gene:SECCE3Rv1G0168290 transcript:SECCE3Rv1G0168290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAAPGPASPPGNRTAPPPASAPPATNSTPPSPPAPPPSSPLPPPSTPAAPPPSSGATPAPPSLPPPTTPGTPAALSPPSTTPSPPSTTPSPPNDRPTPSSQASPPPPPSSGLNTATVAGIAVGGLIALLLASLLCFCMLKKKRRHHPHHPPPPPPPHHLHYYGHPPPPPPPPPFKGDQYGWQNNAPPPPPDHVVKMNSHPSTKPPPPPPNVNSSGSGSHFSGGGENRPLQSPFGNALSFSKCTFTYEELAVATNEFSDANLLGQGGFGFVHKGVLPDGTEVAVKQLRDGSGQGEREFQAEVDIISRVHHKHLVTLVGYCISEDKRLLVYEFVPNNTLEFHIHGRRGPTMDWPSRLRIALGSAKGLAYLHEDCHPKIIHRDIKASNILLDYRCEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVSSKQAHMDDSLVDWARPLMTQALEDGNHDALVDPHLGIDFNDNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLDDLHEGVRPGHSRFMGSHASSEYDTSQYNEDLKKFRKMALGTSSFQSSQLTPSSGEHEHQEPSVPSSDGHQQTQEVELGTTKRDDGDVESQASMR >SECCE4Rv1G0228930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:140755858:140760579:-1 gene:SECCE4Rv1G0228930 transcript:SECCE4Rv1G0228930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEIGWSYAVFWKAIGAADPVHLVWEDGYCGHTPCPAGSEASQARATELGCSAAVDSICSLVRKDMAEQVHVVGEGTVGRVAFTGSHQWIIHGTADDHGLSSEVASEMHYQFRAGIKTIAIIPVLPRGVLQLGSTGVVVENTSFVMHAKKLCSQLNQRSSMASSASVKSTSNQSRPLHGASNIQAADNSFSQFPVIREQYRRTDSATASSSKSLNASLFEAAQQNGLTGREHIVYGKPNDMFAQQASYCDSRLGSNTQSAAISSDLVPSSLASMEQQQMLMNTIGQLEFGSGTDLARSALLKSLLYRNPFMHETIDMKLSHGRVGLSQGATGHGSYGFLPGSAGVVSTTLCTSSQVSEQGSHSSSGMLQQKQPPVSCSVPQSSEFTMKMVRQERRSSEGALPVSSQSDVQVSNCLNGISQENLLSRSGHMQKDQNANRANDPRVAVSTQGVKNVDGCMLPAMPSETAHSLLLQPTWDNDLFDIFGSEFHQLGHNVDANLVSSCDAKSHSLDRDATEPSTCLDSSSLFSSLDNDFPCSGIFSQTDTDQLLDAVISNVNPSSKQSSDDNASCKTALTDIPNISHFGLEELKQCDSSGIPSTVIKNESTQIVKQPYYFDKTEDGCLSQNNGAQKSQIRLWIENGQNMKCESASASNSKGLDTQSKSNRKRSRPGESSKARPKDRQLIQDRIKELREMVPNGAKCSIDALLEKTVKHMLFLQSVTKHADKLKDSTESKILGSENGPVWKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMICEDRGIFLEIADFIKGLGLTILRGVMEARKSKIWARFTVEANRDVTRMEIFLSLVRLLEPNCDGSGAAENPNSVNMPLGLVRQPVIPATGGIQ >SECCE6Rv1G0383060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:49601081:49603180:-1 gene:SECCE6Rv1G0383060 transcript:SECCE6Rv1G0383060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSLAVVLLVCLVSPTSSCTEQEKSSLLQLLTGLSRDDGLTASWRHDADCCTWEGITCNQDGKVTDVSLASRGLEGPISPFLGNLTGLLRLNLSRNLLSGGLPLNLVSSNSILVLDVSFNRLTGGLGELPSSTPARPLQVLNISSNLFTGRFPSTTWETMTSLVVLNASSNRFTGQMPTTPCVSAPSFAVLELSFNQLSGNIPPGLSNCSALKLLGAGYNNLSGTLPDELFKVTSLEHLSLPNNRLEGALDGISKLTNLVTLDLGGNELSGNIPESIGDMKRLEQLHLEHNNMSGELPAALSNCTDLVTIDLKANQFSGELTKVNFVSLSYLKKLDLLSNNFTGTVPESIYSCSKLTALRLSYNPFHGQLSEEIGNLKSLSFLSLSKNSLTNITRTLQILSSSRSLTTLYLGFNFLHETMPEDVSIDGFKNLQVLYINHCSLSGKIPDWLSKLPNLGMLFLQGNKLTGPIPEWISSLNFLFSLDISNNSLTGEIPSALMEMPMLESDNTAPKVFFELPVWNKNQFMQYLTPSAFPKELNLAMNNFTGMIPEEIGQLQGLFSLNLSSNRLSGEIPEQICNLTNLQMLDLSGNHLTGKIPAALNNLHFLSRFNISNNDLEGTIPNVGQFSTFPDSSFGGNPKLCGPMVANHCDSAEASSVSIDPIKQIGSETIIFMTSFSVFFVVGVLYDQKVLARHFG >SECCE2Rv1G0118030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:778921283:778922423:-1 gene:SECCE2Rv1G0118030 transcript:SECCE2Rv1G0118030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIHSSRRLDGTVLALLLVLVAATAFVSAAAARGEALAARHERWMAKYGRVYADAAEKLRRQEVFAANARHIDAVNRAGNRTYTLGLNQFSDLTNEEFVEKHLGYRHQPAAAVNMSMAQFESTPDSVDWRAQGAVTQIKDQASCSCCWAFAAVAATEGLVKIRTGNLISMSEQQVLDCTGGPSNCDSGYVNDALSYIASSGGLQQEAAYAYIDQQGTCRGGDVSPNSAAAVGAPRMVSLNGDEGALQELVASQPVAVGVEADPDFHHYTSGVYTGSSSCGQNLNHAVTVVGYGTDGGGQDYWLVKNQWGTGWGEGGYMRLTRGNGGNCGMATYAYYPTMDSS >SECCE7Rv1G0459510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26129462:26130262:-1 gene:SECCE7Rv1G0459510 transcript:SECCE7Rv1G0459510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKSTLSTSSRRKEKLLKFFSTYDTTQDIFVFLGLLVAIQICSHREVYEPIIQGPGGNYSLEVWCLQHVIPARVYTDHVMMVALARALEVPLRVESLQRGYAPDIYTGPGVPCPGVTLLYTGNHYDILYPRAPSAGSSSHQASQREHPGDQSSSHQASQRKHPDDQSSSQRTF >SECCE2Rv1G0120900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:803067597:803068490:1 gene:SECCE2Rv1G0120900 transcript:SECCE2Rv1G0120900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSSMLQLLVVALVAAQFLGSEAGGISIYWGQNGGEGTLAATCATGNYKFVNIAFLSSFGNGQPPVLNLAGHCVPTNGGCASLSSDIKSCQSNGVKVMLSIGGGAGGYYLSSSQDAKNVATYLWNNFLGGKSSSRPLGDAVLDGIDFDIEGGTPLHWDDLARFLKGYSNSDRRVYLTAAPQCPFPDAWVGGALDTGLFDYVWVQFYNNAPCQYTSGNTSNLADSWKQWLTVPAKQIFLGLPASPQAAGSGFIPAGDLKSHVLPLIKSTGKYGGIMLWSKYYDDQDGYSSSVKSDV >SECCE5Rv1G0343440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638189420:638191417:1 gene:SECCE5Rv1G0343440 transcript:SECCE5Rv1G0343440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAQEKKLVLRSSDGEEFVVEETVAMESRTIKHMVEDDCANDIIPIPNVKAEILAMVIKYCKQHVQKHGAEATDSTAKASEQDLETFDKEFIDVNQRILFDLILAANYLDITGLVDLGCQKVADIIKGMTPKEIRKTLNIKNDFTKEEEDELRRKNPWAFE >SECCE2Rv1G0128370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:860104418:860111370:-1 gene:SECCE2Rv1G0128370 transcript:SECCE2Rv1G0128370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMQPPPPAPGNPWPPHHAAAQPPPQSYPPPPPGQAWPNHSWPQSHGYPGLANEDDWATKAKEWASAKSVTANHQIQQHAIPSRTEVHHYGHNDQYQQPAGLSTEPLHPPIPQSSSDQLVFQMSGQQRETNYLQDRGPMAPPPKNFGPFPSTYEQEVPYNYSSAQGNGNAMLQYPSPQAQPSLSASSVQDGFPRGPPGVPGHGVQSYRMMADPSDQPLEFNNRKAPDMAAHETINIRSTVPTAVSEHGTVPTSTQSWAPSATVGYFHPAPVPPQASQMDPTLHAGPLFGALSGSNYVPPAAFGVGSVTEAFPTDANTLFNVAERSKKPPVPNWLREELLKKKSTPVSASVQHSTNSDSMESEDAAETPKRADQTDSRSIGSTKSTEADEDEVEVARTAAINKEIKRVLTEVLLKVTDDLFNEIATKVMNEDDSSAEPNETTGVSSSKEHGLGESKIRTTAKVVIPAKPNNVSSTGGSDGTGLSSPKGALLGLASYDSDDEDEDGDGDGKGLISNLSSEIKVGAAHPKESEKNIDGELHDNNNGSIASVQSVSSGDDHKSNEERSQRRPTAESEREPSIHDTQNGEAKTSVQPMGVIHKTNEKAHGHAEADFQNGKTSSGHHTENTSNIVESTHRHFERNSHEKVLMKEAKVVNGKDPEPSRTDKLRDGDKHSMPGNIDKKGTYKEEKGSGRYAKHGSDRWDDAKGDRKDLPMDARERKRDSADRRDIGKDGNDDRSRQFTKSSASHSSRRSRSPSGRSRSRNESSSRVRGSVSSDEPSDNAKRRKSHSRKNSMSPSPPKSRSRRVSRSPPSKHSHRRHSPYSSAERKKRSRSRTPVKRR >SECCE3Rv1G0208760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:934807038:934807739:-1 gene:SECCE3Rv1G0208760 transcript:SECCE3Rv1G0208760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGDVKLLGSVVSPFAVRVRMALHAKGVSYEYLEQDLFHKGELLLAANPVLNKVPVLIHADRPVSESLAIVEYVDEVWTDGASLLPADPYGRAVARFWAAYVDDKLFPAWMGILRAATEEDRAEKLDAVLVVVGPLEDALAQCSGGKDFFAGDSVGYLDLALGCNLFWFKALREMFNVTVIDAGRTPRLAAWAERFEQTEVGKEAAPPMKRMVEHVGKLRAMWAAAAAAAK >SECCE5Rv1G0326240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:475222504:475226735:-1 gene:SECCE5Rv1G0326240 transcript:SECCE5Rv1G0326240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced ethylene response protein 5 [Source:Projected from Arabidopsis thaliana (AT2G19560) UniProtKB/Swiss-Prot;Acc:Q8GWE6] MAAYLSMGEAHRRIADYLSRLDDAISQSDGADLASLLAISSAPASTPLSDALAAFPDFARLASDRFPHLSDFLPPLLRAIHSHSLRRFGDAYSSFEKAASAFLQEFRNWETPWAMEAMHMVALEIRLLAEKADRELVMSGKNPDKLQAAGSFLMKVFGALAVKGPKRVGALYVTCQLFKIYFRLGTVNLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALMHCNPQSESNLRKILKFLIPVKLSIGVLPRRTLLEKYNLLEYADIVTSLRRGDLRLLQQALDRHEDQLLKCGVYLVLEKLELQVYRRLVKKIHIIQREKEPSKAHQIKLEVLVKTLQWLGITMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPV >SECCEUnv1G0562020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:361469232:361470239:1 gene:SECCEUnv1G0562020 transcript:SECCEUnv1G0562020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPYARGGQSLRSVTITQLPDRSAAPPCTLTHTMPAVLFGIAGYVGNYWHDYTDILVPLFVASRRYHGEVTFLVSNIQHLPRWLVKYKALLQGLSKYEIVDMDRDAYVRCFPRVTVGLHLDKELTIVPELVPGGPLTMADFTRFVRETYALPRGATTREPGKKPRLLLIHRGEFRRFLNEPEILQAAEAAGFEVMLSEPRVNGSEVDQARLVNSFDVVLGMHGAGQTNAVHLPPGGVLIQVVPYGKMEYLARAEFSEGATDMGLKYLDYSMSAEESWLMETLGPEHPAIKDPESIHRSGWENMFELYLKQNARINTTRFAPTLVQAFNHLRLNN >SECCE4Rv1G0273140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:774234120:774240307:1 gene:SECCE4Rv1G0273140 transcript:SECCE4Rv1G0273140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLRRRAATVVAAVVVLGLLLAAAAEGVDGGGDGEALMAVKAGFGNAANALADWDGGRDHCAWRGVACDAASFAVLSLNLSNLNLGGEISPAIGELKTLQFVDLKGNKLTGQIPDEIGDCVSLKYLDLSFNLLYGDIPFSISKLKQLEDLILKNNQLTGPIPSTLSQIPNLKILDLAQNQLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPQSIGNCTSFEILDISYNKISGEIPYNIGFLQVATLSLQGNRLTGKIPEVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLYLHGNKLTGEVPPELGNMSKLSYLQLNDNELVGTIPAELGKLEELFELNLANNNLEGPIPTNISSCTALNKFNVYGNRLNGSIPAGFQNLESLTNLNLSTNNFKGRIPSELGHIINLDTLDLSYNEFSGPVPATIGDLEHLLQLNLSKNHLSGSVPAEFGNLRSIQVIDLSNNVMSGYLPEELGQLQNLDSLILNNNTLVGEIPAQLANCFSLNILNLSYNNFSGHVPLVKNFSKFPMESFLGNPMLRVHCKDSSCGNSHGSKVNIRTAIACIISGFVILLCVLLLAVYKTKRPQPPIKASDKPVQGPPKIVLLQMDMAIHTYDDIMRLTENLSEKYIIGYGASSTVYKCVLKSGKAIAVKRLYSQYNHGAREFETELETVGSIRHRNLVSLHGFSLSPNGNLLFYDYMENGSLWDLLHGPSKKVKLDWETRLRIAVGAAQGLAYLHHDCNPRIVHRDVKSSNILLDEHFEAHLSDFGIAKCVPAAKTHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGMKAVDNDSNLHQLIMSRADDNTVMEAVDSEVSVTCTDMGLVRKAFQLALLCTKRHPIDRPTMHEVARVLLSLMPAPAAKPSSYAATDGSKKVDYTRYLAAAAATPNADHDMGGDNSSSDEQWFVRFGEVISKHTM >SECCE4Rv1G0226350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:109018635:109020098:-1 gene:SECCE4Rv1G0226350 transcript:SECCE4Rv1G0226350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGFDGALQLPPGFRFHPTDEELVMYYLCRKCGGLPIAAPVIAEVDLYKFEPWRLPEKAAGGGPDAKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGSPRPVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKKSNNALRLDDWVLCRIYNKKGVIERYDTVESDVADVKPAPAPAAKNPRPGQYHAAGPTMKVELSDYGFYQHPSPPATEMLCFDRSGSADRDSNSNHSMPRLHTDSSSSERALSSPSPDFPSDMDYAESQHAAGLAGGWPGDDWGGVIDDDGFVIDGSLIFDPPSPGAFARDAAAFGDMLTYLQKPF >SECCE3Rv1G0204030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:888849831:888851709:1 gene:SECCE3Rv1G0204030 transcript:SECCE3Rv1G0204030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGGRRGSSRWGLPVLKSDALGKLGPSFGIGAGCGVGVGVGLIGGAGIGAGFPGLQLGFGAGAGCGIGIGFGYGFGKGIAYDENGKYSNIRRSFQNSRSLPYDQEFDILFDEVMESTRKLIKATTKELDKWRRM >SECCE3Rv1G0165580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:175765145:175767659:1 gene:SECCE3Rv1G0165580 transcript:SECCE3Rv1G0165580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDSITEQSFSPGGWGAALAERFARQADVVLRGLSGYNTRWALKVLDRAMEGAADGGPDPAAVTVFFGANDATLPDQAQAHQHVPLGEYKDNLRAICAYFKNKWPSAAIILITPPPIHEPARIRDIYGDNDPSRQPERTNEAAGTYAQACIVVGKELGHPVIDIWTQMQQFPDWQTSALSDGLHFTPSGNKILFDEVLKTLESVGVSQHSLRSDLPLFHDIDPKDPLKAFEI >SECCEUnv1G0531210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16468103:16470845:-1 gene:SECCEUnv1G0531210 transcript:SECCEUnv1G0531210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESDAGERGLVPVLGVGGSGRHDDGLKTDGFVRRDHSWYVNSDIPSDLLVKVGDVSFHLHKYPMISRSGKMGRAIYESATSSASAAAALDPDTAVAELDDLPGGADSFELAARFCYGMAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGAGSGRPPRSGAASPRWNNAGGGAGGGSKESSPGRQPVPPADWWFEDVAVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDAPHVGGGGGGGVDEQWAQVSAAGGLHMIIAGPGGKDDVATSAPAREQRMVVESLISIIPPQRDSVSCGFLLRLLRLAIMLKAAPALVTELEKRVGMQLEQAALPDLLIPSIGRADTAYDVDLVQRLVEHFLVQEQTEQVSSYSPGRGEAHAQPEREYYGSAAGARMPPANAAAAASSSSSGLGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARTCDDGLYRAVDSYLKAHPALTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALAGSSALKAAPDGGASAPTRRQLLDATPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHDMDALQKQVTQSPAGEHGAKAGAKAQQQQGPSAWSNGWKKLGRLAKMTGADAAGPGGGHAAAQGEAARKAQRRWRNSIS >SECCE5Rv1G0358950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:758593535:758596395:1 gene:SECCE5Rv1G0358950 transcript:SECCE5Rv1G0358950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAPTAAAPAPAAAAAAAPSASVPRGQVDLVDFIDWTGVECLNQDPAHGIANALKQGYREDEGLHLASDSDEQLLIYIPFMQVIKLHSALFKGPEEEGPKTVKLFSNREHMGFSNVNDFPPSDSVDLSSSHLLESKPVTLKYVKFQNVRSLTMFIEDNQSGADITKIQKIALYGTTVDTTNMKDLKKIEEH >SECCE1Rv1G0037660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:529357009:529358344:1 gene:SECCE1Rv1G0037660 transcript:SECCE1Rv1G0037660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold domain-containing protein MRL7L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31840) UniProtKB/Swiss-Prot;Acc:Q9SKB6] MALRCSLPATCSTFCLTRAEHPSSRAPSARLVVSFGSCPGPRPSLRRVLAARAWAVRASDPKAGRLVIGGGPRNADADSDSDDDDEAPVRMTDQERRTLRRKIREMMDRMPETQELTDPEEKKAKMRELLTKYQLVVEEEDPEWPEDAQDGMGFGLDQFFDKITIKPEKRDDADDDDTVDDGKKEVVWEDDNYIKPVSDVKTKDWDDTVFTDFGPLIVLVHNRYKRPQDNEMARTELVKAIETFWEHDLPSPRCVAVDACAEPDLVAALKVSSFPELLFTNAGKILHREKAVRSAEVLARMIAFFYYKAVRPPCLSESDGQGQEKVPLMS >SECCE5Rv1G0371390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846806986:846811542:-1 gene:SECCE5Rv1G0371390 transcript:SECCE5Rv1G0371390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSKQQQGDPAAFLDLVGPDLSACVFARLQDPADLARAATVSRPWRRFVEGNGFLKRLCVRKFPELAVLTAAAEVRRSPGPNAAAQAEHRIYSHLYGALVAASAPVNCVLHCVGASSTDNFPDETIDNTLEPHDRVNNRFSYWSSAGQDDPDVPETLTYRLASDICLVDEIRIQPFKAFFQIGHPIYSSKMVRFRMGHCKLPRRSESFITDDDDNQAVIADENYIWTYTSPEFLMLQENKLQTFKLPRPVLCIGGVVKIELLGRVQKQATDDRYYICVCHAQVVGRSLSPVFMVDINDSAGHAILKHLPEAKNLTAEEVMQESASDSQEWKDALASYRLMRHLATLMNVLHEGPAHPPQDPHGDAPPHLLQHGDAPHLLHGEALLQEIQAMQGDANLMQQLQVDAQLLYLLQDGVLQLHDDVLQDEDGLQAMEEDDDADDGGGVSDNDPFA >SECCE2Rv1G0072680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:60379845:60382076:1 gene:SECCE2Rv1G0072680 transcript:SECCE2Rv1G0072680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRSNRLDYHLFFSTRGAFKPLAWNELVVIVCFSDVFMCLLISIRHRQAIDISLIPVAILAICKFLCPRLRVRNPLRRAVSLWSPMVAILFLGPSVPYACENCGRFFNYSPFTFRNSVQKWIVYLVLCVIVLLLTISRLRLPAIIKLADSAVGRKLACWYKTIINVCMFAAAMMLVFTSGCLKIVMIMFQAYGLVIVSFGNLQIPAAVLRVGVAVDRLSGLRSYRGNGLPQENDRDTTNLVPSLIIFYVMVLGQGMLYIVACILQVFSFSLRRSLVRGAGLRGERGVEHVDLYYSSAFQKHMEGDILSAKNMSIITFATESLKSDTPKMQLQGVQMLDSFLKKEPFRTMTISKLTSSTQTVTSLLNMLGWTSEGYRDIRTFAAKVIAELAVSLRVAPIPGAMQHIASLLHTVHRLKVNDLLLDIDSQEPKQEAPIQPADREEHNSPPLKLWKQMAIYCLIPMEETSKLIDEQDSCILKFWKYITRWCSVPEEEQPMDHDFLPVLGMLILESLSLDIENCMEINRAGLISTIIEFTSNRIVLSNINEAHHKLLKGSSLKVLRRLASIKGRYGVELRHKISEHPSLLGNLAGILDDNGSSQELRQLAAEILRNLAMDGNTREEIGHFQAIISRLVHAFLGRDAPSVTDSDKPLQIIAGQALAVLTMESPSNCLAVSAEPGYVFIKELTPMIHVDRYRYTAASLLRNMCAHARSMLSKADLKELSHILPEVPKRYSGLQFTYVF >SECCE4Rv1G0216410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10785028:10789833:1 gene:SECCE4Rv1G0216410 transcript:SECCE4Rv1G0216410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSALFMEWAMDTLEQEHPDPVVVVNGDAAFPSFQALREQRLVFEELITGANPASSGSSGETTESSGGYGANFSSPAAMEHDVWPPSPPTSARRAPRLSRNGGGTRLPVTSWNFCAASALPASDGTLDSAGPVVPEAVYGSSQPTRRAAARSPTGTGTGPVSSGPPYAQDHIMAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRHVKELQEKIKALEAATGRASSSIETVVLVKKKPRHADAAALSDQNQNGSPSSASSSTGNNPLPEIEVRFSETGVMVRILCHDVKGVVVRVLSEVEEGLHLTVTHANVMPFTACTLIITITAKVDEGYTVTAEEIVGRLNYVLELHSSCTSSEEK >SECCE6Rv1G0444560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:829330334:829331913:1 gene:SECCE6Rv1G0444560 transcript:SECCE6Rv1G0444560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSGPRRSSTQEVGGGADLISALPDEVLLLFLARLPCAGAAARTSVLSRRWRGLWALLRQIVFRDVPFPSLEAALGRLLPPPHALSLLEICVPKEQRTDGAGVNSLLRAAARLEPEKLDFRFPSHLTDLPSAGRLAAVDLPCSHRATSISLGLSTFFSLRLPAGAQFPGLEALSLSYCTTELGALLSCCPRLRTLRVIRDLFPNKCDIRVNSPSLQELAVHHRRIRETQHVDIVAPALKQLALSFANCGEISISVLAPMVEKVSWSCRYLGHHHNIEFGPWSLKTLRLQTAERQGQLSSLQIHAHADSFSDDQADNFTREIEKHMVAAFSCLELHLTAKGHAFGGFVFHLLGMDKIRTATRRLKVILKRSAMEEGCPLHCPCEFRNWRFETISLAALEEVEFNGFKGEDHEFDLLQLILGCAPALKRMIVQLSKETSASHEGCAKIYSIFKACSSVKCNVYHSSGEYMFGMHY >SECCE1Rv1G0004800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:21053748:21054275:1 gene:SECCE1Rv1G0004800 transcript:SECCE1Rv1G0004800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPGTKRRPKLTLKEKWCILKEATRLYGASWVRDIGPELRPNDYKKVKEESEPNSTKEGKTTSEPSLLEDLAVAAKGGAETLKPALRRIYMTRASTYTSAVKNYVETYQEGLKDVLDEKAAGKDHQQGNDPRGPSTPPPPPPPPSSS >SECCE7Rv1G0508340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:771405610:771408051:-1 gene:SECCE7Rv1G0508340 transcript:SECCE7Rv1G0508340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFLVVFTILLCLRTPASSAVTDTIWAGQALAVNDKLVSSNGRYALGFFQTGLTANWYLGIWFNTVPKFTSAWVANRDRPIKNTTSLELRISHDGNLVILNQSAKSIIWSTQANITGNSSSARLLSSGNLILTDTSNLSEVLWQSFDHPTDTFFPGAKLGWDKVTGLNRRFISWKNLVDPAAGSYCEQLDPSGVDQLLLVALNSSIPYWSTGVWNGKYFAALPEMSARHSISPKFVDNGKEKYLTYNLVPEYMDPNMVTRHVIDVSGQAKTFIWMKGSEDWVMINAQPRAQCDVHAICGPFTICTDNKVPHCNCMEGFTITSPKDWELENRADGCSRNTQLDCISNKSRTHTTDKFYSVPCVKLPQNATKLEAAASASECAQICLSNCFCTAYSFGNSGCSMWHNELLNIRQLQCSDTTNSNGETLYLRLSAKDVQSLKNNRRGIVTGVVIGTGISALGLFALILLLMVWRNKNKSSGQIRNESEVCDGITAFRYNDLQRATNIFTDKLGGGSFGSVFKGFIKGSNAIAVKRLDGAYQGEKQFRAEVSSIGAIQHINLVKLVGFCCEGSKRLLVYEYMSNRSLDVHLFGSNSMLNWTARYQIGLGVARGLAYLHDSCRDRVIHCDIKPENILLDASLLPKIADFGMAKLLGRDYSRVLTTMRGTTGYLAPEWLTGVPITPKVDVFSYGMVLLEIISGRRNSCPSCPSGGNTDVYFPVHAAHKLLEGDVGSLVDHKLHGTANLDEVEIACKAACWCLQDDELDRPTMGQVVQILEGLVEITMPPIPRLLQAMAGSSHSTCSFFVSGKRAALRV >SECCE4Rv1G0275060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:790937384:790937983:-1 gene:SECCE4Rv1G0275060 transcript:SECCE4Rv1G0275060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTTPIIVFMLLSTTIDTQASGSGSGNSNATDLMVEACKNASIYSYVETVPEEFCLSTLRSDIRSIKAKDLHDLVLIAMDITKGRLTSSSAMVKKMLQNAKKGTVRMRVLRFCEVDYEEMASILNICDAMIRNYQGYKGNMRFEELTSCVFDVYHPAGACEDELHDMPEEAALFKENTELQMLVLMGSNFLVPIAVP >SECCE6Rv1G0450560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:868328709:868332661:1 gene:SECCE6Rv1G0450560 transcript:SECCE6Rv1G0450560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEVVESTLVAPSEETPRRALWLSNLDLAVPKTHTPLVYYYPAPAPAPDSDSADFFSPERLRAALAKALVLLYPLAGRLGRDEGGRLQIDCNGEGALFVVARAPDVAGEDLFGSGYEPSPEIRRMFVPFAPSGDPPCHMSMFQVTFLKCGGVVLGTGIHHVTMDGMGAFHFIQTWTGLARGLALSDACPSPPFHDRTLLRARSPPHPEFDHPVYSPAYLNGAPRPFVTRVYSVSPKLLADLKSRCAPGASTYGAVTAHLWRCMCVARGLAPGSDTRLRVPANIRHRLCPQLPRQFFGNAIVRDLVTVKVGDVLSQPLGYVADTIRKAVDHVDDAYTRSVIDYLEVESEKGSQAARGQLMPESDLWVVSWLGMPMYDADFGWGAPRFVAPAQMFGSGTAYVTQRGADRDDGIAVLFALEPQYLQCFQDVFYGE >SECCE6Rv1G0415310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:640759823:640764349:-1 gene:SECCE6Rv1G0415310 transcript:SECCE6Rv1G0415310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHRKEEEDEMLLDDGDIEESPRDSFRDSDDGGDDNDDDDGAEEEARGEDGDGVGSFESRQWPQSYRETTDTYTIAASPIFGYLGPSTSKYSIDGGRSGLASDLRLPFVSDKLESVKSLRRHLLGSVRDEKLSFQYTGEIYVGQGCSVTQTVFNGVNVLAGVGLLSAPFTIQQAGWAGLAVLSFFAIICCYTGVLLKHCFESNEGIATYPDIGEAAFGRIGRLIISIILYTELYSYCVEFIILEGDNMTSIFPGINLNLFGIHVDSKHFFGVLTALVVLPTVWLRDLRVLSYLSAGGVIATLVVFVSVALVGTTEGIGFHQTGEAVKWSGMPFAIGIYGFCYSGHSVFPNIYQSMSDRTKFPKALFICFAICTAIYGSFAIIGYLMFGDKTLSQITLNLPKESFASKVALWTTVINPFTKFALLLNPLARSLEELRPEGFLNETIVSIILRTSLVASTVVIAFLLPFFGLVMALIGSLLSILVAVIMPALCFLKITQNKATRLQVIACVAIIVVGVISAALGTYSSVAGIIGYY >SECCE4Rv1G0294200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:889433038:889433638:-1 gene:SECCE4Rv1G0294200 transcript:SECCE4Rv1G0294200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSSASRRSWPHYGTVPMTRCPACPRTAPLKRLVTTTDKNGNLGREFVKCESKPEQGKKLKQCAHFEWLDEYIERIQLEGASGELDLPLEAEKFGSGPSGSGPPGSRNSIGATMGDAGGMAELKKLNKQMKKLIELKKQGNLMAGIFYVCVIALAFVYVMITSH >SECCE1Rv1G0037540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:526200833:526203368:-1 gene:SECCE1Rv1G0037540 transcript:SECCE1Rv1G0037540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGLDRWRGFFRGAGVGIFEVVEKAILVAAADEPQEFLRRRDRIAERLFNALLARPSCQGCTASTGSAPPATPAVAEDKGSVRRVPEKDCKVDSSSLGAPGGGPLGGGISEDEDSDSEDDERLRRAAASNYGHNYDDDNDDEEEQDAAAPAEEDHHAEDDDPEAEELEALTNEIDEESQIVGEVFRIKELLLHKQDHSDATLFDSLRRLQLMQLSVSTLKATEIGKAVNVLRKHSSQQICRLALALIQDWKILVDEWVSTTNVALADNSPGTSNPSVVDDDDEEEGLPSPPLDEGAFFAPETTAIQLSEFFDEMDEDGNLRHNNDGRLGNKRENNGRRPANHSTVSKPELTRPVGTVERDQFRRPELRRQELPMRHTNQQKPQGSNLQAKPHGMLNKQSRPPSSDSGSMRPMKAAAQQKPIGEMKYKQTQEHFGVERKPAMGHVDKSRLRAQPSSGVRLESAKPKTHDGLESNVRLEVAKRRLQERYQEAENAKKQRTIQVMELGDIPKPKTHTRQPMVKSRNNIRSRVLGRR >SECCE2Rv1G0143300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:945793437:945794666:1 gene:SECCE2Rv1G0143300 transcript:SECCE2Rv1G0143300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLKPRRVGVGDEEAAAGVVVPLAPRKDYCCSSWCLLRAFTLLLVLLTGVLIGFGASANISRYYHYTSLLTTTTPTSLISSNSPTPPSLAMAEHQISQPFMSFVHPGSPEGHSMTDAELFWRASMVPRVEEYPYQRVPKVAFLFLTRGPLPFARLWERFFRGHEGLYSVYVHALPEYVLNVSATSPFYGRQIPSRDVSWGSITLVDAEKRLLANALLDHSNQRFVLVSESCVPVFNFPTVYEYLINSWRSYVESYNIDVPQCAGRYNPRMAPDVTEEQWRKGSEWFELSRDLAVDVVADRRYYHVFRKHCTPSCYPDEHYIPTYLHLVHGPRNANRTITWVDWSRGGPHPARYGKASVTKEFIQAIRNNGTQCLYNGRPTTVCYLFARKFAPSALATLINLTTTLLDF >SECCE5Rv1G0327880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:498208901:498209257:-1 gene:SECCE5Rv1G0327880 transcript:SECCE5Rv1G0327880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPFPPLIVPLVLLLLASTSSPATAAAQVAEVPSAVGCSPSEEAASRVVAVSCTDDIVRSFFGVRGSGVCCRALETAGAGCYRAVFAGSPFADIYPTILGNVCGLAVAPSPGERSYY >SECCE3Rv1G0171510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:272210766:272211917:-1 gene:SECCE3Rv1G0171510 transcript:SECCE3Rv1G0171510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTCKRSVASLDGHASQPGAMCRKRRRVIGTTYDYDQESCLGEGKFGVVVKARCRATGQLVAIKSLHDPADPHEVLREARFLELCGGHPHIVGFRGVTLDYVTEELCLVMDYVEGKSLKVLLSERAGGLPEATVCTFMWQLLTAAKKMHRCHIVHRDINPANILVGGEEAGAFVVKICDFGVAMSMSEAPPYNEEAGMGEYRAPEMLLGKEDYDALVDTWSLGCVMAEMLSGERIFRADEFISLFRRIFQVVGVPDDTTWPGFTSLPHAAPPPLVPGQQSTLRDLFPEETLSAVGFEVLNGLLTCNPDKRLTAAAALKLPWFATAAANAHPSAPSAAAKIDTLAVSIKEELEFAPLMPPRKRVTAKKTLVRKKAPLIVPPAQ >SECCE2Rv1G0070620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:37039585:37042365:1 gene:SECCE2Rv1G0070620 transcript:SECCE2Rv1G0070620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR2 [Source:Projected from Arabidopsis thaliana (AT5G25320) UniProtKB/Swiss-Prot;Acc:F4JWR0] MRRMDVCCAYFDPDYENLNERIYGTRVNVDNDSCGKCTVVNVNSRNDHDLLLEVLEVLIGLELSIAKCYISSDGGWFMDVFHVRDQEGNKVYSKKAINYIEQAICTRDSRRFTVTRSNELASRPDVAAHYTGIEMIGHNRPGIFSEISAVLAEQGCSVMEAHAWSHKDSLACVAFVSDESTSSRISDPNRLASIQDHLGTVLGPGTSMDEDGRSARAHLLGPDGLTSHPERRLHQLMFASKDFDGQQGQVSTAFPMLSLDGYKKGRGAVVSVDRCNEKGYSVVNVECVDRPKLMFDTVCTLTDMQFNVFHASVSSRGPFACQEYYIRHRDGHMLDTLDERCLVMKGVKAAVERRTCEGVKLELCTENNAGLLSYITRVFRENGLTVTQADIAMEGDKMKNTFYVQGISDNKIDMDVVESVRRELDPLPFQVKDDELLSREGEPVAERNGFCILSLLRSKIESLSHGFISSG >SECCE2Rv1G0143260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:945715441:945718352:-1 gene:SECCE2Rv1G0143260 transcript:SECCE2Rv1G0143260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGESVGEPVSPSARLVEGCFILVHLGLAAPVNPSAWRTGINAKIASHPRFNRIQMTDEDGVLRWVRTTVDLDHHIIYPRLELDKAATPDQAVENYVASLPNKPMDHSRPLWEFHILDFPTSEATATVVMRVHHSLSDGTSLLMLLLWSTRSAANPTKPPAMPPLPARSGPIYSRPRPPLSAGAPAFVMWVWSFVLLAWHTMWDVTSFLAIILFRKDTHTLFTRMNHDHYQRKRIVHKSLSLDDIKFVKDAINCTVNDVLVGVTDAALSRYYYRKSGDTESCKDIHLRSILLVNLRAPTELHACVNMMESGKGSVVKLGNQLGFIILPVHIAMHSDPLDYIRKAKNIVDMKKNSLEAVSTYMVAELFHKIFGWKAGAAIIHRMVSHTSMVLSNMIGPVEQVELFGHPVAFIAPSQHGLAQAINVNYQSYANTVRVVLAVDDEQFPDCYHLLDDFAESLAHIKRAAASVGQHN >SECCE1Rv1G0045790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:611935699:611937824:1 gene:SECCE1Rv1G0045790 transcript:SECCE1Rv1G0045790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHHPASPTGGKSPSSSPTPPPAVRLAPSQAAAVAAIQPSSPRYFFSSLAASSSPHRRIAIAVDLSDESAFAVKWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVDDGDAEGGGGAAPASEDPEDDARKKREEDFDAFTSTKSQDLAQPLVAAQIPFKIHIVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRRAGNGRLGSVSDYCVHHCVCPVVVVRYPDDAGAAGGADSVGDELRTVPENEVVFHEAPEGQKVN >SECCE1Rv1G0047050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:621293195:621295842:1 gene:SECCE1Rv1G0047050 transcript:SECCE1Rv1G0047050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSVTEAEVGIACFTSALPGFRGVLKHRYSDFIVHEVARDGSVVRLTSLDLPDQCVDAKEEEKAAPAADADHSMALESFSGLCGEADCRALRGLLEKVAAGGEGEFSPVMLSPDADKAHRSEVHNFFKKNFKFLVTDTVEHSDGVQKYIRVRVGSEAGGGRGRGGGRGGGGRGGGGRGRKRKNMNGSDCGDDRPFDSRGSSSWPANAGKFLRFHLCKENKDTQDALGVIGKMLGLQSRSFGFSGTKDKRAVTTQQVTVFKVPANKIAALNKRLYGIKVGNFCYVKEGLGLGQLMGNRFTITLRGVVAESEDMIKAAVDGLGKNGFINYYGLQRFGSGSVPTHRIGAALLRGEWKNAVNLVLDPREGDILKLFCLYLIRICMLCCANFCST >SECCE5Rv1G0301470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:31232410:31235656:1 gene:SECCE5Rv1G0301470 transcript:SECCE5Rv1G0301470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVAAAAAAPDQPFFSQSHSRRPPQPPSLFSVRKAGLPPRCCSSQSRHSSHCRCHCSWSSTPHARRSSHCRAGATPGHPDEPPPSGRGGRYHPWEDIAETLQLDDGEPARLTDAESARTIVEVNNNATVMISTLIDDGAHERIILPEFPYLTDENGDIYFEVDNEDAVMESIMGDDKIAHVIIGLDNTDVFADLDLAAASSIAFAQEEEDDGDSDEEDDSDSDDEYEEEGIYDVDEEDEDDDGDDDDEDDDAPNWSNLETVNSCHPLYFARMIVENASKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLLNGDEPLKEDKKGSGATFFKVEVLSIELITAYGTEPKVKIEEYRKSRPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQVEEAAIIGVDCLGFDLRLCSGTQVQTLRFAFPTKASSEFSAEKQINELLFPRRTHQEGESPQPQQKEY >SECCE2Rv1G0128860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:865563451:865568374:-1 gene:SECCE2Rv1G0128860 transcript:SECCE2Rv1G0128860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRSRRVSWATGPSLCKVRLFISEDSPSQAGLRPQDNLQAKGSASLMHAAGPSSDDCLPPGFESLQPANDLKIDISQIPLIRWKCPPHILLNPDWHLASGEESREIAVQNERMFGVLEAIYPRASNIPPNPFVSPDVRDSHYDDSGTPLVPVIPVEDDDASDQSEGLLLDQPNDYHQSDNYGPADINALQVSNTSITAAQQQPGGSTGVEADVLAAASAAYTAIMQSNQKGSMVDRDLLVKILSDPVQVERLMKEYNQIRNEQSTSSSVVAPMPPCPPPQMTMTAPASYSNHMTTFQNTNSTRPPPPLMAPRPMINRPPQGYPPIPMNHPPGSSPAMNHPPGSSPAMNHPPGPSPGINHRPGSNPPMSHPPGSNPPMSRPPGSNPPMSHPPGSNPPMGHAPGSNPALSYPPGSSSPSMNFSGAPPRAINYYKTLIHQHGGERQDSLEHARQFGMYHQSAPPQTNGIDAMNGASMVNRDTKTRPTRPCAYFNGPRGCRNGASCTFLHDSSPPSRQQEQQNGSKRIKLDSRITGRN >SECCE7Rv1G0496360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:611687206:611691843:-1 gene:SECCE7Rv1G0496360 transcript:SECCE7Rv1G0496360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLVRAVPVTSSAPSPPFLLRQVSFSAAVPPVDLDILCCRLSRRHRLACIPQSPADALVVYQRCQSCAAAEVASKIAAAFTGSSVGEEEEVVCSGSLVAKAVECGLRCLMLERGWSFVGESIYVQSTFAASEERTDLCALNVEVRSGLNDDYEFVVSPDAFRFTALKVSDVASSSVIEMFQHIKEVSLDACNLQTACAVLPALQEGHVIGFSELPPSGQILDSFTELCSVKHGLETNYSYHAAVKLARGASCEKQWLPSPFVLQGPGLQPSPKSVRASKAMSSMQSFIESLKAWNFFGQSQLVIKEQLVVNSTMTLPTWGKATSKLAMHTARTENSEDLRSVHPSSVAKDQSLALDFRTPKPAAFSSSAAKFCNTKVEIAQSLDDADTGDDTHSIKHGCQSQSVVLTSSFKSQITLLKPSFSRSKRADKNKNWCSSDRVKLAYQNHHLEVFLKQAMLILLIHQVQVF >SECCEUnv1G0560180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:344974327:344976687:1 gene:SECCEUnv1G0560180 transcript:SECCEUnv1G0560180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPGALHRSITLQSFTVFSLVAVFVADHHVQGGDDGCPLFSCGHLRDISYPFRRRGDHRECGVEEYELGCTGSKAMIHINTGTYYVTAINYTGSYFWVMDPNFITSSSCPLPLWNHLPYFGYSYGIDSVSPPGFRYLVTQSPHGACFANCSRAVTDNSAYKPVACLSASNSHVYVWVSNYTYCAVEDLEPYCGYLAEIPFGNEYSPDWRQLQNASYADITQFISKGFTVQFPVDTIYRKRSTSVMLRKAINLCLNNSISYFKEQISGTSIVNWTHAIFWSEVHFLECMTQSSNDDYYSLSPEILAIKIDYYYKTKLVLIIATLVSAIAIPQFFFVLCRFLLAPLAVWIFLAYKYMKTRIIIDAVEKFLRIQQMIGPTRYAYTDIIAITSHFRDKLGQGGYGTVYKGVLLPGGVHVAVKMLEGNSNCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPNGSLDKYIFSTEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRGDSFVPLSAMRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNMGSSSQAYYPSWVYDQLTQEEAGEISPVAANMHELEKKLCVVALWCIQMRSRDRPTMSEVIEILEAGADGLQMPSRPFFCDEGHIHVEDSYQFTSELTVVSEEELSAVSEEDDV >SECCE1Rv1G0013740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108241457:108242629:1 gene:SECCE1Rv1G0013740 transcript:SECCE1Rv1G0013740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRAPDSPGGLIFAPTYADVIIYLERKISGSPLPAAAARYIHDADVYAAEPAALVTGLLPALASVDGEGREWYFFTSARAQSSRDSRRCRAVAGGVGTWHSEKARCDVLGAGGAVVGYRQPFTYKPKNGWLMLEFSQEDPRPGEAMPAVCKIYQTRRAGQSASKPISSGSSSMSGSKRKAAAADKRSGEGSSSGVRRCLQFPPPTAAPNPATSEIQEAFLLPVERPQAEQEPQETPVRRAPTATPLIPSFSMAADPSLAFDRTAFLCPAQDSPTPASSDLSDTSTALLGNYELVSPATSELTCYNATTPLRDNTGAWTSSYGTTSLLPGQDWATPESSQVSEASKLESYGCFSPDQATSRPTTQPISNGTGYCWSFPQYRELSAIFGA >SECCE4Rv1G0267950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:738187162:738189333:1 gene:SECCE4Rv1G0267950 transcript:SECCE4Rv1G0267950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGSAGLALIAALCAVCLLPVLATAQLRVGFYQKTCPNAEALVQQAVAAAFAKDAGIAAGLIRLHFHDCFVRGCDASVLLTTNPGGGRTERVSPPNNPSLRGFEVVDAAKAALERSCPRTVSCADILAFAARDSITLTGNVVYSVPAGRRDGSVSIEQEALDNLPPPTFTAQQLIDRFKNKTLNAEEMVLLSGAHTVGRSFCSSFVDRIWNGNTPIVDAGLSPSYAAQLRVLCPSNTSQATAPMDPGSPNVLDNNYYKLLPRGMGLFFSDNQLRVDGNLNVLANRFAANEALWKQRFAAAMVKMGRIQVQTGNCGQVRLNCNVVNPSSSTVELAGSVQDDGLVATS >SECCE7Rv1G0490260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:478554917:478575297:1 gene:SECCE7Rv1G0490260 transcript:SECCE7Rv1G0490260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein ERG [Source:Projected from Arabidopsis thaliana (AT1G30960) UniProtKB/Swiss-Prot;Acc:O82653] MRRIVRALRPLQELTSHTTQFPLPVHRLLSASSSSSADAASSDSDSAAHDADFNSADFSLPPSDPSPASAAPVRNPISALCKVRFDPSLRALADEALFGEKNAGMGVEDAVEEERSREVALALLEAALEPPDEDEEGGPEEVRKEDQMSLSVGIVGAPNAGKSSLTNTAVGSKVAAVSRKTNTTTHEILGVLTKGKTQICFFDTPGLMLGHHGFPHRDVTVRVESAWSSVNLYDLLIVMFDVNRHLKMPDSRVIKLIKRLGTEVNPNQKRILCMNKVDLVDDKKDLLKVAKEFEDLPGFERYFMVSGLKGKGVKDLVQYLIDQAVRRPWDEEPATMTEEVMKTISLEVVREKMLDHIHQEIPYVIEHRLMDWKELKDGSLRVEQHFIAPKQSQRQILVGKNGSKIGRIGIEANEELRSIFKRDVHLMLQVRVVKNRSS >SECCE5Rv1G0298110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10001555:10004819:-1 gene:SECCE5Rv1G0298110 transcript:SECCE5Rv1G0298110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNNVQEPGTDSRGLFSCLYSGKCDEPPSFCFRRDLQELIPDFYEEAFDRLPIDDMPPDAADELARTLRKGGLSLGLLDPVSNIILNTIALLPRDFRANQSPPHDSKRRRRSKRMAGAAWPRDSLSTTIGPTCRRDTWLGIAAASSVALREFMVTYFGCLGEEQATRYLHWAGADLSVAVLLVEHDLYAAELELPDPASERTQAALKCATTCVSHPHPAPDVLVRLHTTPLPLQRLLATAPFLRTGGPKLTVDDVNTLTDLLRYHEGAPRDLQVKLLPGGREVIVYCRNLKPEEGKLEICNSTSSVDGFNHVTIKVERHGDLFASMRSDPQDKRSMILTFLAKASKSSRRRGLVESCNGDACEYTESLRMRLHGAIHAFYLKVFTMLPPSTGLIRDILWAGHCYGPMDPVSNIIVNSMWHNIVYPLPISEIREYHIIDTLSMLRVEARSLEGLMALVRGNSESGCSTQQVMEDLSRKCCDLSQETHTLQQFAAAAATARHPQHASLGPFLASLTPDVLYDLRRLLTTGTNGVISCETLGKIEHFLRQKAVTLDPKPPKVAKLCEEAKEALVKMKSYYDGMKLYLCSELEKLLRKYAFEHPREPKYVLSVICGVVAGSESLERECYHVNFVAASKSGTAGNQLFFAELNWLYPGEQPKQNFCCPLPLVYTGRCYYGESTARKIVYPDSADFINGNHDITGDGTAHTDGMLDADLMFDFRSDAQFADDMRKYCERQKELSQEGDEY >SECCE2Rv1G0114920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:742033642:742035558:-1 gene:SECCE2Rv1G0114920 transcript:SECCE2Rv1G0114920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRSSRPHPKGDPRPRPSSHSHLVPHDLSSWCCAPHPAPSTSHFHSSAAAARRAAGPMDHPPPQPAAGASSYASPNPPAYTQSYPSSYTKFNSALNAGLLNPMSPPPLPIDKTRSSPTLFDMMANEQDYQPRAASAAHSAPPPHPHHPLAPARSMDRQALLQDRVAELIGSCSPGNQFNDADSSDVRLTLSSKDGLSLTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYVETLRLMYCKDLRRRLMREDVAKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELTPSVVTEEVEMGANCNGGSSVGGGEEVLVRLLQVVLEGKDEKARREMKGLVSKMLRENSASRGGAIGNDLRKESLYSACNGCLCLLREQFVRAAGGDQSEVAQIARQADNLHWMLDILVERQIAEDFLRTWAMQNELAEMHGKVPAIHRYEVSRVTARLFVGVGKGQILVSKEARSQLLSTWLEPFYEDFGWMRRACKGLDRHLIEEGLANTILTLPLATQQEIFLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRSAEPEQPPRLRITAICDNS >SECCE7Rv1G0478770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:241365146:241368853:-1 gene:SECCE7Rv1G0478770 transcript:SECCE7Rv1G0478770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSIATHLLKAAAAKPLFSPRAAQIPALPRPPAARRQPAPTSAACRWFRWPSPARGLCSSPHSGPADGMGSDGTGARRRLPPANGVSKEGAPLPQPVPPRLLTLPTVLTIGRVAAVPFLISTFYMEGPWAATATTGIFLAAAITDWLDGYIARKMHLGTPFGAFLDPVADKLMVAATLVLLCTKPLETSLLTNGPWLLTVPSIAIIGREITMSAVREWAASQNTQVLEAVAVNNLGKWKTATQMTALTMLLASRDPSLPVQGALVAPGVALLYASAGLAIWSLVVYIRNIWRILLK >SECCE5Rv1G0355390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731599926:731602231:1 gene:SECCE5Rv1G0355390 transcript:SECCE5Rv1G0355390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGMINANPVVHERPERAAHPAHAALDALDVFDTVRDIKDPEHPYSLEQLSVLSQESVSVDDKLGHIQITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKVDIKVAPGSLANEESVNKQLNDKERVAAALENPNLRQLVDDCLCPDHPSSY >SECCE5Rv1G0329540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:517780474:517781311:1 gene:SECCE5Rv1G0329540 transcript:SECCE5Rv1G0329540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPTPINLAPSAPTLKLLIDKKANRVLYAEAGKDGVDFLFSLLAMPIGTVAKQLETGSDGVGVANIYASVEKMQTSYMHSKIVQKALLSSCRPMFLERPTTTLPAAPSMRVSVHRKASYAAPAPAPTSMATDVAHDSSLYRSITTDGGHVQGLVSYTIMDDLTITPMSNISTLVLINKLNREEKNLVLEEKYVKIGEKEAFDILKASVNSNTILTDVFLSDKNTGVSLSKNKRARTSSGEKKKDKIPDFYI >SECCE1Rv1G0044790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:605563542:605566526:-1 gene:SECCE1Rv1G0044790 transcript:SECCE1Rv1G0044790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELRGGDIRQIPEMVGLSSAVLWWGEWQLRILVLSSLLVQWLLLLFASLRKLAIPYWFRSMIWLAYIGSDALAIYALAILFGRERRQDCNSGQRNSVLEVVWAPVLLMHLGGQDVITAYNIEDNELWTRHVLTAVSQITVAIYVFCKSWPGGNKRLLQAAILFFIPGILKCIEKPWALKSASINSLVSFSSPAPRRTANREGEISSLEDYVQKARAFVQADPCHQAQEGDAADLEANNHVSNQAQGEDDVVDLEPDHGSQTPSTRTSRGQVDDYNVDLEVYKLFVDLASPYPDRLGILKSFGLLHEKQAYVLLQNRLSNTFNLLYTKKKMIELCDEMGEQPDMNPGALFIRLPAMILPWAALALFHNSHREGYDDNDVKVTYVLFCCTAVLEYFCMFFSIKHISSTGQRQVHSSSRTLDGDWILQLVTKLLHLVVQAKLKDAQRRGSEVPPFQCQRTILYKLQLARKKVALPLQWARKKVALPLQWARKKAILSINEISNIMSIGALKDSLVGQYALVGFFVHNKKHTKMTSILSFLNCNDFFYQHWFMKPCNSSFAITELVLKYVKKGWEDQIKDVGSYWKFNDRRGQWTLEANKCDQDLGWSLRSPFDESILLWHIATDFCFYHLGASADHQCATAQCIEDAPGEGHGCAVWCERSHHHGRAIRCREMSNYLMYLLFVNPEMLLSGSRRNLLITANAELEEILKDEDPSLEKILKGGKLSLMEILGCNKPFLKFFTGRKPLLNEIEREFTQIILGKVQPTQYQEQVVEQAHSPPDAKKYPTAQEGCFIHDACKISEALLSLDDENKTWEVIEGVWVEMLCFSASRCRGYLHAKSLGNGPELLTYIWLLLSHIGMETLPERLQRTELSSEGNAGAPPSTSQIPGSEDPPPCRRSRPHEAATAGASTSESQEIVPAE >SECCE5Rv1G0356620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741635640:741636719:1 gene:SECCE5Rv1G0356620 transcript:SECCE5Rv1G0356620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSDYDSDDDAARHEDGEIETVGPAWSPLPEVLIRLPAQTGEFFVASGTKILITDCGWPEHAVPVFDVHSRSLALGPRPHSRTCPYTPIYMPIGRNVVSVDAGSSTKLLYPPPPERSSFAWSWEKLADKPPFRRLDVTCHAAHPDGRTLFVSTVSKGAPATFTLDTGAEDGVGVWKQHGSWKLPFKGQALFDPALDAWVGLSGDKDTLGHLCSSDVPPVEPDDAGSETAPLWRLSKEKMFCGDPGEEHLGADLVYMGGRSKFCILQCFSIVYDEKDDDDDDEGDPYQEDDLDDENDPYQEEVNEENLPRRHLLQLITFTLKYDKTGELTTAKRRRVRCFELRRHADPLFDDIRAFWI >SECCE7Rv1G0462580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:46997319:47008264:-1 gene:SECCE7Rv1G0462580 transcript:SECCE7Rv1G0462580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTEISGLEYIGLHDTYAGEESYATECPQMNQDLSHKTEFDVVMATTAMHSGSSNSYACLDGYEDTRMMSGIQAVKEVRLGSTQHLEGTYSESDEENLSSSPETSSTSNYGHMEQSLEDMYNALGEMDDKEGTVVLKPDFVMCDTTLHLQPHIIFSPDGFKIEHLDCDSCEDDKTIAICWDACDIISINSQWTQSVISASVTLLIRPSAETESSDPMRVEFILTDPQWPRKQEKICHIASRYRDIWNNTPSEDFALENRSTDPSLIFPKQYFSGIDDFEDVIYPKGDPDAVSISSRDVDLLLPETFVNDTIIDFYIKYLRTRMKTTEKCKYHFFNSFFFRKLADLDKDQGRAPEGRSAFLRVRKWTRKINIFAKDFLFIPVNFSLHWSLIVICYPGEVETSEDGEAKKHGKVPCILHMDSLKGTHNGLKDIIQSYLWEEWKERHPESALDISDKFLNLRFISLELPQQDNSFDCGLFLLHYVERFLMDYPSSFNPLKISVSSSFLSDGWFEPAEASLKRSLIRKLIQELVTEPSQTFPELTCGSEQFDESYLVRENAEHEAAREFLAQGCSAGESESVCQIPGTQQQSTSICFNDSGNVLPVSGCILETEGVTTFALQDTQVCPSDNDIVCMPSQAVENEPPPADYDNKPDLRSYAPEDAEALKDGCVAKGQDTFEESMLDCLHNNQTISSHTEVTMHDIMDSKCGSFSDNSEAMAYKEHSLETNINEVLYDCCDVSVDMHPVMMSDAREVDIAPDPARTKDEANNGRCDLPDVTGSVAAGDIEGNTSEHSSERNIVEDEEAKGDISLMVCDLNNEQSSPAVCDLNNDVAEHSLATIAAEYEDAKHEDTSVDLKVEDGEQQISPESKEGNIDSCAISDSAVPHEPKEGNTSTIVAGDSKNGSDEAHVDIVDAHGNVGPGAGETVPSEVDINHADAEMPDVYSGLCVKNETVCEDTPCDAKRPLLASTSEDQEMQVSEDRCFEKDVESTTEKTERRKKRRKVLGPTPTPTPATDKRQTRSSSMPRFLA >SECCE2Rv1G0065500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:8918233:8923961:-1 gene:SECCE2Rv1G0065500 transcript:SECCE2Rv1G0065500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase SDP6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10370) UniProtKB/Swiss-Prot;Acc:Q9SS48] MAAWRLRGAGTALAATSALAAAAAAAWPSPVSASDPSPAALESARQLVSRGGPPPRAAQRAALAGSTAAEPLDVLVVGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVIENAPHLCHALPCMTPCFNWFDVVYYWFGLKFYDIVAGKRLLHLSRYYSVEESVELFPTLARNDGDRSLRGTVVYYDGQMNDSRLNVGLACTSAVLGAAVLNYAEVVSLIKDESGERVIGARIRDTLSGKEFDAFAKVVVNASGAFCDSVRKMANSDVVPMIAPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTAITMLPEPHEDEIQFILDAICDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDALNAAIKSGNLKPANGCVTDHLHIIGGYGWDPASFTVLAQNYKRMKKTYGGKVIPGAMDSAVSKHLSHAYGTLAERVAAIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCESAVDFVARRCRLAFLDTDAAGRALPRIIEILASEHKWDKARRKLELQKGIEFLETFKSSKNAQFRDGKHNGQ >SECCE1Rv1G0012780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:96867780:96871789:-1 gene:SECCE1Rv1G0012780 transcript:SECCE1Rv1G0012780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAKSSRKGKKAWRSNISTDDIDEFYEKQTRDAHAGAAAIPSLPSDSLFFVDKPASASTSSAATANASDSTPKDVPVKRKIEKNREKVLHHESVLKRNPYVQPIPSSLMSKKDKKKFKKHAKKKELQEAREEKSVPMEEDSAEKNLDIWGGDAKGAPLPKKGMKRLNKNRSTTSVIPAVEVEPQGCSFNPPHEAHQDALALAVAVEMRKIYTKELGPTPVPLTVLGQAVAEEDKFFLDAADDGDAAADGDIDAAEGDGDQDADALTGERKTKTKRVTRVELNKRARRKERLRTEADVKKLEVFSKQIDNLPNILEEIAKEDKEKEEKRIRLTVAKQERLESAPRRLGRHKFEPAPVQVLLTEEISGSLRKLKGCSNLARDRYKSIEKRGMLAPNKKLSKRPRR >SECCEUnv1G0552860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:258717735:258718709:-1 gene:SECCEUnv1G0552860 transcript:SECCEUnv1G0552860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPGGATSRYYCHMCSVIVRPELGIEEVKCPHCRSGFVEEMADGRRSSNAVGDRGITATGAGPDDAGARSELAVPPWPPILMDLLGISYGLDGGDLAALARRQYRHLAFLQLLNALQEGDADADGNAPDPGLERLVLVSPADAHAMLMTEGGASNGAGAGRGPGLTLGELILGPGLDLLLEYLAETDPSRQGTLPAKMEAVAALPTVKVSEAATCPVCLDEFAANGEAKEMPCKHRFHDACLLPWLEAHSSCPVCRYQLPTDETTEPAGNGAEETADESSGNARRDVEGDSDGESSGRRRWLARPFGRLFSRRSNGSSSSSR >SECCE2Rv1G0140640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932123525:932126440:1 gene:SECCE2Rv1G0140640 transcript:SECCE2Rv1G0140640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDSTSAAAAAQQPTSRPAPKLNERILSSLSRRAVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIKRFFEDYKKNENKEVAVDAFLPATTAREAIQYSMDLYAQYILQSLRQ >SECCE5Rv1G0299530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:18544023:18551319:-1 gene:SECCE5Rv1G0299530 transcript:SECCE5Rv1G0299530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 8 [Source:Projected from Arabidopsis thaliana (AT5G22030) TAIR;Acc:AT5G22030] MPSSPLAAGDAASTSGPASAAPADDDDRVFLVQHRWWREAQEGAEIDAHGIPYAAAPSGPPSYGLRGVLSLLMSDNAAFTLRRADDLLQPEASAKAGARSYALVAADLFGKARTWHSDSLKSNGKSSLLTEEASVNIYPIMLRVSVTRGTNALTVKISRKDNSAENFKRANKILASESEPVHIWDFSGRTTFILMNEWNRMPQDPRSSDQEMPLEIQFYDISEPSANGAAGRKDELALTMGSSALSNGGIMDMDLDSSGGICKQVGSGLIGLDNLGNTCFMNSAVQCLAHTSKLVDYFLGDYQKEINTHNPLGMKGELAYSFGDLVRKLWAVDGTSFSPRQFKAKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYAEAKDSDGRPDEEVADEYWGNHLARNDSIIVDTCQGQYKSTLVCPLCKKVSITFDPFMYLSLPLPSTTMRTMTVTVFSTDGSIGPSPYTVSIPKSGDFKTLINALSNACSLRDDERLLVAEVYNSSLIRYLEDPSDDISLIRDGDKLVAYRLPKDSEGAAVVVFKSERMESSISSFGRKSWKNFGTPLVSNLPDTIDGRTIYNLLLKALTPFRESKDDVLDADQITGKSSPVNETSDIDMSSDAAECSSMNTNAGEDDMMTEGGMLFFLNTERFPNQRLKIEMDQTITLANLQKRLIVSVSWQDNGLKQYNLDSLDSLPEVYKTVMFTRRPQETCSLYACLEAFIKEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLDTYVDFPIHDLDLSSYIRDRSGQMSNHYQLYAVSNHYGSMGGGHYTAYVYNDGKKKWYDFDDRCVSGLENEDSIKTSAAYVLFYRRVHDDTSLVDTETTTIVESTDCTTSEVAAAGDL >SECCE4Rv1G0230190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:160050624:160053337:1 gene:SECCE4Rv1G0230190 transcript:SECCE4Rv1G0230190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSSAPNHSSYSHAAASAPHSALLPPLPSPPPSDLFLYLDQLDHPVPEGAAAMVRKRPAPDVDLPPPRRHVTGDLSDVTAATGGAAPPQHLPSAVAGSAQLPALPMQLPAFGAHLQAPVPAMDVVVAPQVATEVNANNNSTAWVDGIIRDIIGSSGAAVSVAQLIHNVREIIHPCNPGLASLLELRLRSLLASDLVHHPPPPPPPPPHHPPSLLPGASGNTILSAPPVPALPPPPPPDKRRREEEQPNPPPQSPKPPPSAEETAAAAAAAAAAASAALKERKEEQRRRQRDEEGLHLLTLLLQCAESVNSDDLDEAQRALLEIAELATPFGTSTQRVAAYFAEAMSARLVSSCLGLYAPLPNASSPAASRLVNSRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIVDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMEALEATGKRLSDFAHTLGLPFEFYPVAGKAGNLDPEKLGVDTRRREAVAVHWLHHSLYDVTGNDSNTLNLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYSEDSSERHVVEQQLLAREIRNVLAVGGPARTGDIKFGNWREKLAQSGFRAASLAGSAAAQASLLLGMFPSDGYTLVEENGTLKLGWKDLCLLTASAWRPIQALGR >SECCE5Rv1G0298240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10806018:10808634:1 gene:SECCE5Rv1G0298240 transcript:SECCE5Rv1G0298240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRGSISFFGTYRPPVPLGIFSCPVDPSSYKDELLLTDDLSYNQNGQPVPAAALREILAFMGKKNPKMASDCGVTLEDVDKGRVTGLVFVSERDRGLETLHLALRRPATGQVVKVLSLLGDIYGVGGGVRMEDSGCIAGGFTVNGGRRTVGHSLVYVSTKEPARTRRTPWTVVYRTDLADGKTERLTPLGQYDLSPAVSPSGKMVAVANFHGNRWNGEIENLKTQIVIMNVDKRAQGGLDRKVVIKDGGWPTWGSDNIIFFHRGVDSNPPSNTAAWSVVRYDIAAGKEDWVTPVGVDAMTPAAISETRVAVAFIGEKSKQVQMKVEREESQYRHIQIFDTTMPRKPPVKITQMMRKEGDHYNPFVLDGGTRIGYHRCRTDKLLPITIKDNHGGEKKSTSIERKFDKVQSHQPEVGLFRVTGVFPSISKNGKKLAFVDNEFKAVWLADSQGGLRIVYKVRKEKSVFSTSWNQNDDLDTLYVCEGPAFTIKEPVQIMRIPDVSASGKRRALALTDKPFNCAFPSTNAKGDKLVFRSSRDRVGGERKDKNLFIIDAIKGEAAGVDQLTDGPWTDTHCSWSPREGCDWIVFSSSGRPEKDVFKPADEPELDHGLDPGYFAVYLVSAKDMVKGVVPVPVRVIYSAPTIAGHINHPVFSPDMMSIVFAADLAAVSADPISMPHFTHSVRPYGDIFSVNLIDTEDMAKNKDIQKFHRVTHSRYEYSTPTWSGRAAADGDELDPNTRWKMLESWHDDFQPRCPYVGGQAGQKESWHMTGHLSIDKRCC >SECCE7Rv1G0474190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:168717415:168718695:-1 gene:SECCE7Rv1G0474190 transcript:SECCE7Rv1G0474190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARWDELPDDIVRHIEALLPCPLGRVYMGACCKSWHRATTTPDPAASPPRLLPCLLLPLAGGPSLACIQSGGAQHGLGLPEDARLARFFGSYDGMWAFLALSSKKGHVLLNVRTGERIPLPDIDFGHLLNRRRAVHDDNGVVQSYQRFWFRGPRRPVMILAATLSSVPAVDGKCIAGAILNVHGPEGVDKWRYVCFWRLGSQIAIQSPEVCSHVGWSAQDIAYFDGRFFVLTKGENLRAYTVLDEPDPLTGEDLRTRDVVFYYTGRGNTAAYAEPRAGYLVESRGELLMVAKEWMPDDGATTCVRLFALTPVAHPNPELSLAWTAIGSLDGRLLVVGPGCSRAYECADFPSGCVEEGVYFLDDRTYYNETHYEPFFPMQNNPGEFAYADNGRCCLLPARPEHCFPMKPGEGSFSTYSPPVWLLP >SECCE5Rv1G0350510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:690978938:690981343:1 gene:SECCE5Rv1G0350510 transcript:SECCE5Rv1G0350510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAAAAAAMDEEAAAAMDEEAAASASKKRKRDEPEPQDPPASRDGGGDEGLDLISHLPDELLGTVISLLPGTKEAARTAILSSRWRHIWRTTPLNLVVDRGLSGQEHNRIAIVSKILAAHPGPAHRLFLSDIRLSRDRYAKFYGWFRSPALDGLEDLHFTSAGDTYCRTGRTRLPRPLPPSVLRFAPTLRAASIGRCDFPEISAAPALLLPRLKQLNLYDVVISDAAISRLLAGCIVLERLELESLSGLSAVRIVSPTLRSICVSLCSYPEADVVFQELVIEDAPCLERLISMGPVYGTKTIRVMVAPKSHGANLPRLKRLYLYDIAISEEAIHLLLAGCIMLEALELHSIRGLSTVRVVSPTLRSIGVSVHSHSKADVFHELVIEDAPCLDRLTSSNGNGPRTIRIIAAPKLTVLGYVSTEIFKHVTGTIIVKEMIPINFIAPVRTVKILALESIGPNLDAVIGFLRCFPCTEKLYIQSSLRKDMKNVWQHDMLDPIECLDLHLRKIVLDGYEGMRPDVNFAKFFVLNSRVLKIMRFGLSGCRNEKWMANQQRRLQLDNRASRNARFDFKYVHGYGNMHIHDMRKADPFDS >SECCE6Rv1G0407540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:558831194:558835577:-1 gene:SECCE6Rv1G0407540 transcript:SECCE6Rv1G0407540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTTTATALHPQFRPPSHPRAPRRLRSCLYSFSSSVRPRFSVRAAAASASASAPAQREAVGGVPWGCEIESLESAASLERWLTASGLPEQRLALEKVDIGERGLVALKNVRNGEKLLFVPPTLVITADSEWSNREVADVMKRYSVPDWPLLATYLISEASLEGSSRWSSYIDALPRQPYSLLYWTRTEIDAYLAASPIRERAISRISDVIGTYNDLRDRIFSKYPDLFPEKVYNMENFRWSFGILFSRLVRLESMDGKVALVPWADMLNHSPEVDAFLDYDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVEFLVSLNKSDECYKEKLQALKKHGLSESESFPLRVTGWPVELMAYAFLVVSPPEMIQRFEEMAVAASNKGSSKPAVNYPELDELALQFILDCCESSIKRYTKYLEGAKGSAEISINTKQANRTLLLKQLARDLCISERRILYRSQYILRRRLRDMRAGGELKALSLFNGLRKLFK >SECCE1Rv1G0046700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:619547670:619548605:1 gene:SECCE1Rv1G0046700 transcript:SECCE1Rv1G0046700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVIAIHSQEQLTDKIEEAGSTNKLVVIDFTATWCGPCRFIAPLLDHLANKYPHVIFLKVDVDELKKIAEDFSVEAMPTFVFMKEGVVQEKVVGASKDALISKVEKYSVVVA >SECCE5Rv1G0306470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:94005245:94006880:1 gene:SECCE5Rv1G0306470 transcript:SECCE5Rv1G0306470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPMDSNMEERASSGLLLLLLVAGVVAVFVRLRRRWGHGSSRAPSPPSLPLLGHLHLLKKPLHRSLAALAGSAPLLSLRLGARGALVVSTHAAAEECFTAHDAALAGRPQLLVAEHLGYGRTTISWASDGAHWRGLRRFLAVELFSTSRLAALAADRRAEVASLVENLLQDTSASASGGVITLRNRLLELVLNVMLHALTTRRHAGDLRRFQEIVEETFVVSGTPSIGDFFPALRWVDRLRGVEAALASLQTRRDAFVAGLIDDQRRTRNTNGRDVEKGVIDVLLEHQETDLGYYTDTVVKGIVLVMLTAGTDTSALTTEWAMALLLKHPKKMRKARAEIDANVGMNRLVEESDITNLPYLQCVVKETLRLCPVGPVIPAHEAMEDCTVGGFHVQQEFRPERFLGRDTVTMPMPPFGLGRRRCPGEGLAMRIVSLTLAALLQCFEWDVGEGDTIDMAEGGGLTMPMATPLAAVCRLREFVKSVLSASI >SECCE5Rv1G0304990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:64262156:64266221:-1 gene:SECCE5Rv1G0304990 transcript:SECCE5Rv1G0304990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDALSIAAAPDAAVAPAQPAVAKKRGSYNCGRCGLPKKGHVCSIPGAPAAGAGAAAAPEHKPRRALQFDEAAAAGGALDVTPVAVAYPAPHRPPEKKARVDVVDVDDSEPESGAVGRQGLVAVGSGVQVPGEVVIEVLRRLAPRAVAASAAVSRGWRDCARRVWRGAEELRLRAAGVSTIGTLLPRCPALSRLVLRMESDVDATMLACLAFSCPNMQSLEISMADSAVNRMTGDELTRFISEKKSLTVLKVDRCSGLGFLNINSTSLSTLWLSDLSSLAKSVINCPNLSELSLIFSQQDNDSTDLISMMDSLGRTCSNLRKLHISSIHLCNEAVFALGSANLRGLCMLSLLLGKKITDAAVASIVRSFTSLELLDLSGSCITDNGLGMVSKAFPDTLTRLLLAMCPNITSYGVQVATSQLPLLQLMDCGKSLCANVQPEAPRSYFGDLNGGIRFCSKLQITRKQQPTYQKLIIKHANLKKLSLWGCSGIDALCVNCPELNDLNLNSCINLNPERLLLQCPSLKDVHVTGCRDMLIGAIRNQVLNEFAAAEPRMPCKRLADGSKRVQVPQFMLEQQFEDEKWCGESRRSQCAVHI >SECCE6Rv1G0409040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:580650033:580650557:-1 gene:SECCE6Rv1G0409040 transcript:SECCE6Rv1G0409040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDHGGGPADEAEAAFFARRGSRCCCFPCWPSTASSSSSLSHQRVGGAGAATLGGEEEHWWQGAVDAVLKVREWSELAAGPRWKTFIRRFGRGPRPHHAFAGRKLNYDALSYALNFDEGHGATPEAGDHAGGYRDFSSRFAAPPASAKSSMDLGGRDAPPLFHPPPADGAPRA >SECCE4Rv1G0248010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:565612522:565617850:1 gene:SECCE4Rv1G0248010 transcript:SECCE4Rv1G0248010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAQVPDIMGERQSGQDVRTQNVSACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANELVRNKIHPTSIISGYRLAMREACKYVEEKLSVKVDKLGKDSLINCAKTSMSSKLITTDDNFFANLVVEAVQAVKTTNSKGEVKYPIKSINILKAHGKSAKESYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQMGVQVLVSDPRELEKIRERESDITKERIEKVLKAGANVVFTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVTTFADMEGEETFDPSFLGHADEVVEERISDDEIILVKGTKNTSAVSIILRGANDFMLDEIERSLHDALCIVKRTLESNTVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVAKLRSYHHKAQTNADKQHLSSMGLDLTKGVIRNNLEHGVIEPAMSKVKIIQFATEAAITIVRIDDMIKLDKEESGQEE >SECCE1Rv1G0009080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:52258247:52259567:-1 gene:SECCE1Rv1G0009080 transcript:SECCE1Rv1G0009080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQVEESLPGWRDKFLAYKRLKVLVRLGSSPHRAAAEAAFVRLLNNEVDRFNAFFLEQEEEFIIRHREVRETVKAVAGEEPSEADHAAEMRKVRREIVDLHGEMVLLLNYSAVNYTGLAKILKKYDKRTGRLLRLPFIEEVLKQPFYTTELMSRLVRECEETMEAVFTTDNSGDRWTTKPCTGSEITPMATEQGIFRNTVAALVAMRELRSGSSTYGHFSLPPLATETTLTEHGLLLSIQLADVVQTGSSGVRVV >SECCE4Rv1G0262240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:706704916:706706350:-1 gene:SECCE4Rv1G0262240 transcript:SECCE4Rv1G0262240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKLPPCKTPAAGRRRKGPSLAPLSACKRRRTAEASGWASLPTDVVHLVTSRLLAGDVVDYIVFRAVCSGWRSCTSDARDPTLSRPDLLPRGWVALCDGDGVRPDDAGEISFFHTRTARRLRVRLPELRRHRIVGFTQGLIILLNKRTAAVRVLHPFTRVVVDLPSLVPVFHHAVRNRNSLLDMNAAVCSASATSIAVVAWFPWTRVVIGAEAGRPTWEVLHRGLFLRSILPFQGRLYATVAMGGSREIMQLYPRSPHPVLAHVPNNFGNPSLCNHFLVESGGRVLLAVHHLTAQHCGMEPFQQNAYKLFVLDVDSGELIPVNCLGGHALFLSRDRCLSVSARDLPSVKSNSIYFSLRRDPVVVHSIRTGFSERLAVSCQIHDGKDRIRPSVRPFTIADHLLTYCHPHEWTKGLMFHEYHSIPESFEELAKNIKAKDSELRIPRVAVR >SECCE6Rv1G0449170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:860394041:860396470:-1 gene:SECCE6Rv1G0449170 transcript:SECCE6Rv1G0449170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQNMDARSTPLLLLLNLVHLFLRVSAHEFLSPGSSLSVEDSSDVLHSPDGTFTCGFNHISQTASVFSIWYSNTAEKTVVWSANHLRPVYFRGSRVTLDANGRMAVEDYDGRPVWENNASSSSSAQQAQLLDTGNLVVKGQGDIILWQSFHSPTDTLLPYQNITSAAKLVSASRLLVPGRYSFHFDDEYILTLFHDEKDISFKYWPNPSNDIWTKNRRAFNTTTIGVLDSLGYFLGSDKLTFKAVDWGDGVTRRLKLDYDGNLRLYSLNKTDGRWLVTWMAYPQTCSVHGACGINGICVYTPEPACACAPGHEVIDASDRSQGCRPIFNLSCDGQEIFLKLPTTDFHGNDLSEHVRVSLHHCKKICLKDCNCKGFAYWQGTGRCYPKWSLVGGVSGSTVSGGSIYLKIPKTLQVRESSIPQSQPFGPRYVPNCSAKSEDFTMDQPKSSQSGSHPQYLYLAYGFLLAIFCVEVIFVALGCWLLFSRGGKKLIGVWPPEVGYEMVTNHFRRYTYKELQTATQRFKDRIGCGASGHVYKGVLKDKRVVAVKRLADINQGEEEFQHELSVIGRIYHMNLVRVWGFCSDGPRRILVLEYVENGSLDKTLFSSERLIGWNERYMIALGVARGLAYLHHECMEWVIHCDIKPENILLDENLEPKISDFGLAKLMNRSGSNKNVSRIHGTRGYIAPEWVSSQPITAKVDVYSFGVVLLELLMGSRVSDWASNATDEVEMVLGRVVRMLTENLMLEGSEHLWLADFIDMRLKGQLDNLQARLMVKLAVSCLEEDNRKRPTMENVVQMLLSVMKPVA >SECCE4Rv1G0251930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:609449418:609451416:1 gene:SECCE4Rv1G0251930 transcript:SECCE4Rv1G0251930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGGGDVEIGRLPAGAGDPRRRVIAADDSLDDDGKPRRTGTVWTAGAHVITAVIGSGVLSLPWSVAQLGWVAGPVTLLLFALITYYTSVLLGDCYRSDDAVAGKRNYTYMDAVGSLLGKGQVWFCGLCQYVNLVGTAIGYTITASISAAALYKANCFHNKGHSADCGVYTTMYMVVFGISQIVFSQLPNLHEMAWLSILAAVMSFSYATIGVGLSLAQTITGPTGKTTIGGTQIGVDVTSAQKIWLTLQALGNIAFAYSYSMVLIEIQDTVKAPPAENKTMRKANLMGVSTTTAFYMLCGCLGYSAFGNDAPGNMLTGFGFYEPFWLIDFANVCIVVHLVGAYQVYCQPIYAAVESWAAGRWPNSEFVVRQYHPFSGKFSLNMFRLVWRTAFVIVSTVLAISLPFFNDILGLLGALGFWPLTVYFPVEMYISQSKMKKYSRKWVALQTLSFACFAVTVAVTVASIQGISQSLKNYVPFKTKL >SECCE5Rv1G0331390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538351165:538352240:-1 gene:SECCE5Rv1G0331390 transcript:SECCE5Rv1G0331390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENESQHPSAAPRDFTFQLLKQITNDFSEDNRIGVGGYGVVYKGTLDNGEVIAVKKLYYKHPGLDSDKQFQNECTNLMRVRHQNIVRLVGYCHEIHHKCVEHNGKYVFAMVEDRALCFEYLEGGSLDKHLSDESCGFGWHTRYKIIRGICEGLNYLHNGSKESIFHLDLKPANILLDRNMMPKIGDFGLSRLFDSTETYSTKGIIGTPGYMPPEYINRFQITPKFDVFSLGVTIIKIMAGHEGYSKFADMSSQEFIQLVRKYHIC >SECCE2Rv1G0088080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:238586967:238587841:1 gene:SECCE2Rv1G0088080 transcript:SECCE2Rv1G0088080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRFLACFGRSGATASAPEPVEDLAPGPVLVELFSSQGCAASPEADALVARLAQESSEAGGGERAMVVLGFHVDYWDYQGWKDPFASSAWTVRQKAYVEALRLDTLFTPQVVVQGRADCVGTEQDKLAQAVRDAPRYPSPAMKVKFQRPNPSTLQASFTGALQSRVDGGGSVLVALYESGVVTDCGRGENKGKSLLNDHVVRRLEKVAAVRDGASARKAVSGSVQFPLWDDFRATKCGLVLFVQNAALQVLGVQHFDLPDNV >SECCEUnv1G0527270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:840033:840695:-1 gene:SECCEUnv1G0527270 transcript:SECCEUnv1G0527270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRPVSAGREVRFYVLSLGSGQPPRGIVRPGEAHKEFIFGTRYVLLRGGLHWHRVQQREGESNMIIVFDTTAESFRQMRVPIVRGTARDGLFEMDGMLGMSSFNDKATSIDIWVLQDYESEVWTFKCHIELPLAEIRALCGKSDDDDSWEAVVVPGDGELLVLVKFPDWLIQVDMDGKLVASFNRTGVQPTQLQLKQSLVLHDFFPSLHGYVVNGWPFA >SECCE1Rv1G0057520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693880644:693883335:1 gene:SECCE1Rv1G0057520 transcript:SECCE1Rv1G0057520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGQDPEAGYGAGDGGEKKMSHSSSSGPLPPSEPPHLRGQDPAXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPAQYQYGTFHPPAPHPDVRPHPPVGFPQPAPPPGFAAAAGGYQQQQQQQKQPYAPADPYYAQGYQPAPGYGPVVQGRPVEGRPVRMRRLPCCGLGMGWLLFIAGFFLAAIPWYIGAFILICVRVHDHREKPGYVACTVAAVIAAIVIPLGVTKGTDTW >SECCE3Rv1G0146900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12884021:12884512:1 gene:SECCE3Rv1G0146900 transcript:SECCE3Rv1G0146900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALSLPATVRPASSGPGRQLYGSPPPHGPVLRPRRPQAVPCNGLALRPRTRRCGGFGQDHYGGALVDEGMAVLRRRIQEARMAETNYAAPPGWADWEKRYYPAYVSDVSALAGALQLLAMGTRPGVAAAVAALLLAGIPVSALAVLHLLGQAVGSVLHHVS >SECCE7Rv1G0503400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:711229747:711229986:-1 gene:SECCE7Rv1G0503400 transcript:SECCE7Rv1G0503400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAMREIASAINNTCHAETHPDLYKAVMDLVVFDQNDRLVVLDYLTEHKAKGLNFVKMNDEVRQASFKRILKANPDLL >SECCE2Rv1G0064530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4321363:4321953:-1 gene:SECCE2Rv1G0064530 transcript:SECCE2Rv1G0064530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASRFLKQLFSAIVAAVRERSAATRARTSAARTRFVFFGILRNKQLLRNAINTKIHAIVGGGGGGNGQHLVAASGGGRTVAVLEKLPSFVADQGRRAAVLLNSLPSFAMGRDDGGVEEKEEGDEGVAKQLQLANAPPGSVVELARGAAERGGVEFKLEDEIDHVADVFIRRFHDQMKLQKLESFKRFCEMLERN >SECCE4Rv1G0240890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448171365:448171703:1 gene:SECCE4Rv1G0240890 transcript:SECCE4Rv1G0240890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTDSYSTVRGVDKLIHVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQNKNGCFTTSHKLYVRRSTHTGTYEQELLYQSPSTLDISSETFCKSKNSVPSYKLVN >SECCE4Rv1G0224810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:84828919:84830157:1 gene:SECCE4Rv1G0224810 transcript:SECCE4Rv1G0224810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shewanella-like protein phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G18480) UniProtKB/Swiss-Prot;Acc:Q944L7] MAPASPDVCGGLPAAVSAFADAFVDFAVSGIFFPTNPPPPPPPAPTTFLPSPSRLVAIGDLHGDLPKSLTALRLAGLLPPPNSPGSSASSTSWSAGPTLAVQLGDILDRGGDELRLLYFLRRLSISAAAQGGALLPILGNHEVMNVSGDFRFVTPQGLQEFSGWAGWYRAGLAIKRRCGGLEQPKNPFLGVPKAFPGIKREFWDGIRSRLAALRPDGPIARRFLADLPTVLVVGDSVFVHGGLLEANVEYGLERINAEVSDWIRGERGDNARAPEYVCGRDAVVWLRRFSEGFNCDCQRLQDVLGMIPGAKRMVMGHTIQSEGINAVCGAQAVRVDVGLSRGCGNGLPEVLEINGGGSEVRVITTDPTEAWQYRKQKPDKAATALEKKGEVKDGLALLVRESHVLKGVEAKA >SECCE1Rv1G0009580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:55702054:55702541:1 gene:SECCE1Rv1G0009580 transcript:SECCE1Rv1G0009580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMCLCFIILTIAVAVSSDECEGDRQDMIKQCAKYQKWPANPKIDPSDACCAVWQKANIPCLCAGVTKEKEKIWCMEKVAYVANFCKKPFPHGYKCGSYTFPPLA >SECCE5Rv1G0375660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869231892:869233087:-1 gene:SECCE5Rv1G0375660 transcript:SECCE5Rv1G0375660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPYLVLLLCLTTFLQAAANYPPPPPPPFELPESEVRERFSKWVTKYSKHYSCQQEEEMRFQVFKNNTNAIGQFDQQNPGTVVGRGFRPNAFQVGVSGGVRMNRFGDLSPREVIQQFTGLNTTSVNATSPTYLPYHSFKPCCVDWRSSGAVTGVKNQGTCGSCWAFAAVAAIEGMNKIRTGELVSLSEQVLVDCDTRSSGCGGGHSDSAMALVATRGGITSEERYPYAGFQGKCDVDKLLFDHQASVKGFKAVPPNNEGQLAIAVAMQPVTVYIDASAFEFQFYSGGIYRGPCSANVNHAVTIVGYCEGPGEGNKYWIAKNSWSNDWGEQGYVYLAKDVPSSTGTCGLATSPFYPTA >SECCE4Rv1G0290730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873935912:873937700:-1 gene:SECCE4Rv1G0290730 transcript:SECCE4Rv1G0290730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLTSTGTKPEEHVRVKPATRSTNAKGRPNTKLQDLPEDVLCSVLSKLPAEEVVRTSVLASEWRSLWTACPKLSFNGAHTTHGVTQHAQVFIDRVNTVLRNHRGKFVDGLEVKFMFESRLVHHLDNWIRFAISSRTKKLAFDLAPSSNLLRHGYHYTFPFHLLDEESLSCLHFLQLSFVCFKPPPHFAGLPNLRKLDLYLLKGTTRQDLENVLGNCCKLECLSLVRCHLYDELRVVQPLSHLRYLKVVYCEITKIELHAASLSSFVYDGTYIPIALRRASKLGNAKISFRGAVFQHAVASLLSGLPDVQNLTLDVLILHLETRWALNSPRVFSQLRHVQIMLMISYEDFDKILYIVSFLRVAPFIERLEVHFNGTSTMWFANEGPFRREIPPCEYTHLKNIRVSGFRGARGQVEFLMHIVENAPAIQVVTVDTTQRLTDAWNPDEVKPELNSDALDMVRGPLLDRLPSGAKLFLA >SECCE7Rv1G0480990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:277468141:277470524:1 gene:SECCE7Rv1G0480990 transcript:SECCE7Rv1G0480990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRVLDIVEEEVVDGDEEELASPEAKRRRTLLNSSSMQEAIGAQYMQKHLPKLEPFLRRVVQEEVHNVLIRHIDSAHRLPLQLKTSSKRYKLQFQGNLPQTLFTGNRVEAENKQPLRIVLTDAVNNQVITSGPLSSMKVELLVLDGDFNADERLEHTEKEFSESIVFEREGKRPLLSGEVVIVLEKGMASVRDISFTDNSSWIRSRKFRLGARMSRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRSLVMDQYGLRGLLGSGMSNKMWESTVEHARECVLDDKLYSYCSGHGIILLFNCIHEIIGVVVGSNCFSVNALTATQKALVVKLQQDAYKFPGSIVEFKVQSQCADQPPTAPAPPGPASTQQMPGLPQGGEANPQPQDHGLLLPLHDAALGLEDVLHQHHRHRHSEPWITNGFDATRDPFDMLQFSGQSQPCGLLLSSTGARL >SECCE5Rv1G0341720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:627081426:627082487:-1 gene:SECCE5Rv1G0341720 transcript:SECCE5Rv1G0341720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTAASLRFGYVLLAALAALLAVAAGGDPGKIGVCYGRVGGNLPSPEAAAALLRSNGITKARLFLPDPAVLPAFAAAGIDLTVGVPNENLTFLSAAGPEGALQWLRSNGLASGPVAGRLRYLAVGNEVLYNNQFYAPHLVPAMRNLHAALASLGLDGAVKVSSAHASSVLASSYPPSAGAFDAAQMDVLRPMLQLLADTGAPFMLNAYPFISHVGDPANVPLAYALGASDEPVVRDGALAYAGLFDATVDAVVAALEREGFGGVPVAVTETGWPTAGHPAATPENAAAYNGRMAERAARGVGTPRRPGAPVEVFLFDLYDEDGKTGAEFERHFGIFRADGAKAYNINFA >SECCE7Rv1G0506200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:747240485:747241897:-1 gene:SECCE7Rv1G0506200 transcript:SECCE7Rv1G0506200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAVHVLVFPWPRQGHINPILHFATALVDAGVQVSFLHTERNLRRLAQAPLPGLRLLSIPDGLPDDQPCSFLDLMESMCTTGSAAYRALLLSLLSAVDAPVTCVVADGTMPFAFDIAEELGIPALAFAPHSACSYLALLSMPKLLELGETAFPADDPVRGVPGMEGFLRRRDLPRGLSRAEKGDGDPLVVKLAEVTARSCRARALIINTAASMEGPALAHIASCACDVFSIGPLHARSRIAASASLWREDDGCMAWLDGHEDRSVVYVSLGSLAVISHEQFTEFLSGLAATGYAFLWVLRPGMVQMTSSALLRETVGAVGVGKGRVVEWAPQRDVLRHRAVGCFLTHAGWNSTLECAVEGVPMVCWPFFADQQTNSRFVGAVWRTGLDMKDVCHRGVVERTVREVMVSDEIKEAAQAMAQQLRLDVAETGSSSLEFERLVHFIRELNISSSRKPRIKAGNNLPGAPGVI >SECCE5Rv1G0369590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:836332477:836333007:-1 gene:SECCE5Rv1G0369590 transcript:SECCE5Rv1G0369590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATSTWFHAQAAAAAEDDLLLALTPAGRRIDRYDEQAQTVCVDGKTVRLFQCLFCDKTFLKSQALGGHQNAHRKDRLAGVLGDPYNNDSLLGGAATGPSCDSAAGWSMGSYIASHGGGAGSAPATDDSRQERWGGGRAPRFAECTGRDGVMGRSRASIPSGGGEALDLDLELHL >SECCE2Rv1G0089440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:257362608:257363213:-1 gene:SECCE2Rv1G0089440 transcript:SECCE2Rv1G0089440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPVSLVSMILSVVQLIARAAKTAQQNKKKCLDLAQRAATLANVLPNYTYAAANDEQTARVLKTLKEALDEAFTLIQSCQSKSILSIFSRMAAELDGVNGKINDCITDLKFIRDAPTNHVTAASPVPAKTYASYYQAQGGGASSVCVGFPPPQHAPVNVQWSPGPSPCHVSPAPSGYNLSSFCTLPTVNKVFDRVRNGFR >SECCE3Rv1G0195030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:789115539:789116390:1 gene:SECCE3Rv1G0195030 transcript:SECCE3Rv1G0195030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQQRRVKLIVSYGGRIQRAQGRPPRYVGGEHLLLKVLSSVSTRGFRDLLAKRAGFSDFSVKYCYSGEGLDSLCDVDTDQDLRWMLDLLLYRDLQVRLFNDLNTRRFRVYLFRDAAAAQSATTQALGKPSPMRRSATSSALLPAKPANVDGRPSHGLAAPAPSLVPQITTSSNPLWETSTAGTAPSKPPLAPALARRIASSPLWTAHLTDHTTSLTTTTSTSAAIATKCTQPHPAAFRPAELSYPVCQAAPVFLVPVMPQVIIYQPTIILVPVFNSKVAMG >SECCEUnv1G0543690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:117800804:117801987:1 gene:SECCEUnv1G0543690 transcript:SECCEUnv1G0543690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSGGGGGRSRSAPRPAAPAPKPAAKKPSASDKKSSAPAPEKKSPTPAPAPAQSSFHGSVTDGFGFAVGISMARSLLGGHREEPAPASPALADADACGIHNQDFTNCIYTNASDISRCQNYFDLLYQCRRGGAGEPEASTIA >SECCE2Rv1G0073620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:69905466:69906563:-1 gene:SECCE2Rv1G0073620 transcript:SECCE2Rv1G0073620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGATAAAAAAKGKKKGSVSFVIDCTKPVEDKIMEIASLEKFLQERIKVAGGKAGNLGDSVTVTRDKSKVTVTSDGAFSKRYLKYLTKKYLKKHNVRDWLRVIAANKERNVYELRYFNIAENEGEEED >SECCE2Rv1G0129030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:866261433:866263086:-1 gene:SECCE2Rv1G0129030 transcript:SECCE2Rv1G0129030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLVECLGWLIVVLFSLYIFQLLRDARRRLPPGPWPPKPIIGDLLDLGEDGQQHRAFLRLAERYGGLMCLRFGMVPHVIISTPDALRAVFGGEGKKVDNIAGLPSLDVLSAMGHRAHTIFALPSQDGKWRAIRKFAAAEMLAPRRISAGAGALLQTKIVEALHREVSGHAARGTAVVFRHAVLDSILSLLLGVLYSTDLEPKERAVFRDIIEDIVGMLGTANVSDIFPPIAALDLQGLRRRMNNLFGIMYRHFDDQVALRRRSRKAGEAPKKDVLDTVLDKEGEWKQEGSLLSHDVMRALLSDLYGAGASTTAALIEWGMVDLLQNPEVMRKVKEELATVLGDKPLMEESDIARLPYLQVVVKEILRLRMVVPLVPRKAEADIEVNGYRIPKGTNVILNAWAINRSADAWSDPDKFVPERFIGGETKNFQLGQDFDMIPFGLGRRICPGMPLAQKLIPLILGTLLHRFEWELPAEVKETGIDMTEKCGVVLSLVTPLTAIPKEI >SECCE1Rv1G0041380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:570903866:570905805:-1 gene:SECCE1Rv1G0041380 transcript:SECCE1Rv1G0041380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSPLWKAVLCLTLFAAWRSQVEFRTMTSRRPRLDCAQRCPCSGQSYLHRPYSHAETPVLAKAPPGGHSASAGAAVDGDEGGKASCLPLAREVGVRAAAGGGGNFIVSPLSIHAALALVAAGTRGETREELLRFLGSASLDELHGAPLTELAGRLNGLAQTSFACGVWVDRGQVLEPEFMAIAASRFRGSSKYYCYRNAKLWYAATAESVDFSSDPEQARRRVNGFVADATNRRIRDVLPPGSVGSSTRVVLANALYFNGTWSQPFDQSATFTAPFHVPDGTIVRAPFMTTGRFPFEQHVAVYPGFRALKLPYKNDGDHMGRPAEAAFYMLLLLPDGGAALGLADLYDKAVATPGFLKSHTPAAQVPVGRFMVPKFKFTFEFEASSDMRKIGVTRAFEGGDFSGMVSGGDGLFIAGVYHKATIEVDELGTVAAAATAVVIFQSGSMPRPPVDFVADRPFLFAIVEERTSAVLFLGHVTNPLAG >SECCE4Rv1G0295110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:895868259:895868633:-1 gene:SECCE4Rv1G0295110 transcript:SECCE4Rv1G0295110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDALVPEGEEPKCAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVDVHVRELEQKLERSELQAEVMQEELAAMNMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE3Rv1G0171630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:275563803:275566154:1 gene:SECCE3Rv1G0171630 transcript:SECCE3Rv1G0171630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKQRPQPCIIPVEVSAYRNVRHSPSWSFRWDNRTHIEDIMEIPTFLSNHSSGSIRPETKSGSIAPTEGFSNGGSPSELFHKVKWQKSEKKMETSKVAQSDPRDRPTGSNSSPEAKLCRKSLDMVSVASDIKASTSVPSTPPVVSRADPSSSRGHSLGMDTDSMRKARRSPGYQLYRQVSDSKIPSLRSLNESNSPEGRPSSSMLSVCSNDLSVTGSHGESSDGWSMRTFSEMVASSQRERWSIDSELLGSISSKMTRSNASNRTTVSPDQEVCKLCLKLLKERSAWNAQDLGVVAVLLCGHVYHADCLDSLTAEAEKYDPPCPVCTHGEQCTVKLFGKLESKIKNKIPTNVILDGDLDGSSKHQKKSKRVPRLGTSISMKDSFNRPFLRRHFSIGSRPPRLVSESESTRKKGFWARHWRE >SECCE3Rv1G0184240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:661042185:661043706:-1 gene:SECCE3Rv1G0184240 transcript:SECCE3Rv1G0184240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFKSSVKSSSSGNQVSTPRFTEESDMHEQLNKLQEELVKEKEEKVRALDEIEELKKKSSKKKKLKGSSDQLDLAHRLEQLEIELEAARDSEKNMLLSLEAQTKQLEQTKVSLEEAKLEIDSLQDNNKTLVALSSNPTRQPARNFRRRGVMSFSFADPGEVETFSLQRDLKLAVEAEEKCKKAMDDLAIALTEQTTAAREAKMELSMVQAQLKNATTELENSKASLEIMEEKLRLAQEEATRLNFESDELAAASKEKERGLIDCIKIFEGEMNKAKEENDKLFESQRVIRDENSRLREMLKHAVNEANVAKESLEIARVENSQLQEDMSEKENTLKSIVQEYESLKVSEAAAQSSIRELKDMIDAMFSSESAKTSAEASPIDAEGGDKKGRYVAADNMYSDVESSPRSKDIRTPARQQKRTILRKFGDIMKKRNPQTQSVI >SECCE6Rv1G0408570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:574349029:574355031:1 gene:SECCE6Rv1G0408570 transcript:SECCE6Rv1G0408570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKSAEGMEEKGGRVGMGKYAARRGWRRLAAVAWLVAAVACSAVLHLQLRRETMERAEERLVSMCEERARMLQEQFGVTVNHVHALAILISTFHFEKNPSALDQDTFAKYTARTSFERPLLNGVAYAQRLFPHEKETFERQHGWIMKTMNRDAAPLQDEYAPVIFSQDTVSYLARMDMMSGEEDRENILRARETGKPVLTNPFRLLGSNHLGVVLTFAVYRPDLPADASVHQRVEATAGYLGGAFDVESLVENLLSKLAGNQDILVHVYDVTNASEPMAMYGSQTPDNNVDLLHVSMLDFGDPFRKHEMRCRYGQKPPMPWSAISNPMGCFVIWMLIGYIICAAWSRYDKVSEDCRKMEELKTQAEAADIAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLTMTQKDFAQTAQDCGKALIILINDVLDRAKFEAGRLELEEVPFDLRTLTDDVISLFASKLRAKCIELAVFVSDDVPKLVTGDPWRFRQILTNLVGNAVKFTERGHVFVRVSLAENSTMEANRVPNGNLSGKDCKVEPTANGPFNTLSGFQAADERNSWEYFKLLLSDKELLSDEPEGKKSSQTDSGHVTLMISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPSVGSTFTFSAVVKRAPKDTSCDSERSLSEALPTAFRGMKAILVDGKPVRSAVTRYHLNRLGIIVQVVNNMSMGIQAFGKNGATELREKPSMLFIENDIWRPETDIQLSNRLHTLRMNGQAHELPKLILLVTSEADKDKYGSTFNLVMCKPLRASTIASCLQQLLKVDIPARKENQNRPSFLRSLLVGKNILVVDDNKVNLRVAQAALKKYGAKVHCVESGKDAISLLQPPHCFHACFMDVQMPEMDGFEATRQIREMEKKANEEKREQSSSGEGSTFVEWHLPVLAMTADVIQATYEECMKSGMDGYVSKPFDEQQLYQAVSRLVVGTTDQAS >SECCE3Rv1G0183040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:642962977:642964182:1 gene:SECCE3Rv1G0183040 transcript:SECCE3Rv1G0183040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61590) UniProtKB/TrEMBL;Acc:A0A1I9LRL4] MEDSSWEALPIERIRIPTFNFRVISEVSNGENELPVSLDAAVPDDILEKIFTFLPIASMIRSTAVCKRWHDIIYSSRYLWTHMLPQRPWYFMFTCNETASGYAYDPILHKWYDLELQGIDKSSCFVSSSCGLVCFMDNDNRNIISVSNPITKDWKRLLEPPGAKFPDYSSVALKVDQVTHNYTVTLAKSKQIPEDYVHWEFSLYKYDSWSNSWVTAVKEVFIGWRGGDDSVICGGVLYCLIQSTGVLGNVEPRHRLIMYDLVAGPSETSLTQSSIPVPCSLTCGRLLNLREKLVMVGGIAKPNRPDIIKGIGIWELDKTQWQEVSRMPHKFFQGFGELDDVFCSGGADDLVYIQSYGATALLGFDMKQRQWKWSAKCPVSKKFPLQLFTGFCFEPRLDITT >SECCEUnv1G0527260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:762511:764802:1 gene:SECCEUnv1G0527260 transcript:SECCEUnv1G0527260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLTFMLGTTTAVDHCHPRQLHPGSTTTTSRIAGHQFEPPSILAFRAMSEHIVCSEAFRRERIADSRFQLLSILVCGAIHAEGITATDPLKLCGILICGVLSDYIRHGTEEPAIDLLGDLPEDVLCTILSKLPLEDAVRTSAVSRKWRYLWTVCPKLSFDGNKICGKNNYEKRSYNLVFSHIVNRVLGQCRGKLVEELEIKIELNSMLVEHLDNWFRFAVSSRAKALVFDLAKEQHQPPGCVDRYKFPFELLDEDSICRLQKLHLSFVDFQPPMHFSGFPNLRKLDLSIVSINEKDIPHMLSNCCNLEWLSIVRCHLNGELKVNGPLPHLLYLKIASCRLTNIAFHAVNLATFEYRGVAVPIDLSKSSELKCANIWYYGDTLEHTITVLAKVLINVQHLTLDTACEPPKIPCLMHYRCKFSQMMYLELRLVYVEEFNTLSLVSFVRSAPFIKKLELHFRFPSYVHLVQESDEPIRKLPERLFNNLKSLYVTGFKACIGQVEFLSHMVENSPALEILSVDHSDKYPLEGHERYTKTVVDVVHRTARRYLEGKISSKCTLILL >SECCE1Rv1G0044360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:602376253:602376612:-1 gene:SECCE1Rv1G0044360 transcript:SECCE1Rv1G0044360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNHQRQGPATSTPAARSRTRRDPAQDHLYRVPPQDFALTVQRLTGAAPPRTPPLPHPDRDDRQPSPAPPPPPPPALSMQEAYLDWCASNCVVLSPGTMAEIDRAARFNSGTNNSYSS >SECCE6Rv1G0399780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:443534002:443535807:-1 gene:SECCE6Rv1G0399780 transcript:SECCE6Rv1G0399780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 47, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G12770) UniProtKB/Swiss-Prot;Acc:Q8W4E1] MRLIIGQVHRNVLALASSRSCFVIGDHLPFRMLSLPRASGFHQTAWRGSQTVQDSGSTLTLASLEVQSKTDYVKKERVPQSKADYVKKERVPQSKADYVKKEGGARTGGPKPNSRDSAFSVKPKKVSSLNLKPAKSALPKSPVVKKKLKIDEALFSASSFEELGLPPLLIDRLNKEGLTSPTEVQSASIPVISQRHDAVIQSYTGSGKTLAYLLPILSEIGPLKRAREQGNSEKRSGIEAVVVAPSRELGMQIVREVEKILGPDDKRLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRIAEISAAGKLQTHNCRFLVLDEVDQLLSFNYREDMHRILEHVGRKPSTSSSSHILGPLARRSDRQTILVSATVPFSVIRAARSWGHDPVLVRAKSVVPLDSITVPRPMLSQGDPNSDSPTMSVNQAAVDSLPPSLEHYYCTTKAHHKVDTLRRCIHALEAETVIAFMNNTKPLKDVVFKLEARGMKAIELHGDLGKLARSTVLKKFKAGEFRVLVTNELSARGLDIPECDLVINLDLPTDSTHYAHRAGRTGRLGRKGTVVSICEESEAFIMRKMRKQLGVAIKPCEFTEGQITVHKEEDVE >SECCE1Rv1G0053790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:671645109:671646985:-1 gene:SECCE1Rv1G0053790 transcript:SECCE1Rv1G0053790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase 4 [Source:Projected from Arabidopsis thaliana (AT5G43850) UniProtKB/Swiss-Prot;Acc:Q8H185] MALQVWMVGEDGEDLNNHRELLPLSKLQEIGVLYWHLDPKKPESEEELAKIRKDRGYNYMDYLDICPGKLANFEEKLKNFFTEHMHADEEIRYCLEGGGYFDVRDKDDKWVRIWIKEGDMIVLPAGIYHRFTLDAANHVKLMRLFLGEPVWTAHNRPQEDHPVRQEYVKRLIDDNAGLALAAH >SECCE2Rv1G0096610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:441619048:441622508:-1 gene:SECCE2Rv1G0096610 transcript:SECCE2Rv1G0096610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKVCRSDTTVRGIIVFDSTSTVTLSPTNFHGLPKYEGCCVYIGTPQKKEYFLCAETPSAARAWVSTLHATQLVLQAHKQAVDSLGGNGSAKLGTVATVVAVANATAIEASKEVEAAMKISLQAALGSTTNKLSKGQLDDLTIMMETLRVKDDELHQLLQDIRARDSTIREITDKLQETAEAAETAASAAHAIDEARRLLSSELERLKQDQENQAELSLLRIRESEEKSKLLAEEREHLLKERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADMRIKEAVSKLESAAKEKEELLAVVDALKSQIQRQKTSTKQICEERSELCSTSKHMDMDDDNVDKACLSDTDLIPIAENIVELDDEGVDIRTIGDTEWENPHSSEVSDVREVTTEAEENSLDIPVDSQPVAEDTFQG >SECCE3Rv1G0208560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:933373482:933375493:1 gene:SECCE3Rv1G0208560 transcript:SECCE3Rv1G0208560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40950) UniProtKB/Swiss-Prot;Acc:Q9FLN4] MAFTLVGAFKGLSLASSSSFLRGDRAALPGGAGMGVGVATLPARGLTIQMAHKKGAGSTKNGRDSKGQRLGVKIYGDQLAKPGAIIIRQRGTKVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDRKKVSVYPYEKQPENPNSYRARKRENFRLQRERLKARAEGTYEPELVLAAADASVEVNADC >SECCE7Rv1G0454470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2581089:2586134:-1 gene:SECCE7Rv1G0454470 transcript:SECCE7Rv1G0454470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRDAAAGSAPQRAMHAPLVSASTAPPRVPEYLVPGAVKPVLNYSIQTGEEFALEFMRDRAMSQKILATGASGDQNAATSGYMDLRGMLGASHTASETGPDIFMLQPIVDPRHKEPERKPVAQVQNRSRHSSTRSVPRALSGGDGSTRGLSHGYASSDASDASKRIKFLCSFGGKILPRPSDGKLRYVGGETRIIRISKDISWQELRQKTSAIFNQPHIIKYQLPGEDLDSLISVSGDEDLTNMMDEFAMIESEGGSQKLRVFLFSSLDFDDNLGSMDGDSELHYVVAVNGIDVGSGKPSSGHGLASTSVSMMDQFINLNNDNDQSNPNQGMSDFHGMRGPSLVPAATVPTPTPPSLSSDYTANLQSYQGQEMLYAQSSRDNFYDTERRISMPLSAPSDYGVASQYAPHSGPASLATPDQRSYQDGFMMQGSINDANQASKNTLHQKSEVDYFQTLENLSAPVLHNDLSVSNSMHLEVPPASSAQEARASFLQPSDSGKSLEPRELNEDDRQSSGGAFASGCSEFESDMTDHGFMDPQPGSGRFHSERIPREQMESLNRLSKSDDSGAQFLIPQSQSGVARESIAEASDSVEGAENSNSGAPSLNLNEPSGNDSLAQFERNFAKAVPRPSQFGIIIPSEESDAKMMSENPVVEQQHASEKRPVDVPNIMNSVEKTPAKGNLKATTTNRMQSAKKQLGSDAAMARRVSWEAPKPAPPNDVKHDPAVPSSTSTTGAVADGVSAAANSENRDFFVDINDRFPPDILSDFFAKAKDAAQSSTPFNDPILSLNMPNYEPKNWSFFRNLAKDEFPSKSNDQQGLAKIDEGMYAFAGADNDAISMKGLNPTYNFDAEKKAEPSIIVADVSSMPPAYATSHVDHLPKVEMNVEAFQVDNPYQPVADNTNLPAPDFEEPKFEEDRTAAQVMDASLRDSDFEHLQIIKNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLAQEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATLTEFMVNGSLRHVLQRKDKCPDLRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDHARPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPANCGPDWRRLMEQCWSPDPSQRPAFTEIAARLRSMSAAANQQAKAAAAAAK >SECCE2Rv1G0093160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:371828985:371830652:1 gene:SECCE2Rv1G0093160 transcript:SECCE2Rv1G0093160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSSNIASKFTHRFD >SECCE1Rv1G0030380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:427372416:427376039:-1 gene:SECCE1Rv1G0030380 transcript:SECCE1Rv1G0030380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDERDVEIHVSSPAPDDRDAARPLLPAACEAYSVSAAILPFFFPALGGLLYGYDIGATSGATISLKSPTSSGTAWYDLSSVQTGLVVSGSLYGALIGSATAFTIADFLGRRRELVVSSIMYLIGALLTAVAPNFLIMVVGRFLYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIVGNLFVEVISGWRYMYAASAPICVIMGIGMCWLPCSPRWLLLCATQGKGDLRETKENATRCLCRLRGQASPDLVSEQVNLILEELSYVGEEKKAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATIFQSAGFSGASDATRVSILLGLLKLIMTGVAVLVVDKLGRRPLLIGGVSGIAVSLFLLSSYYTLFTGAPYVAVIALLLYVGCYQLSFGPIGWLMISEVFPLKLRGRGLSVAVLVNFASNALVTFAFSPLEDLIGTGVLFASFGVIAVASLAFIFCIVPETKGLTLEEIEAKL >SECCE1Rv1G0008790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:49568206:49569999:-1 gene:SECCE1Rv1G0008790 transcript:SECCE1Rv1G0008790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTKTVSRPGMDQQVPSMNLLTAFLLLVAAAAAAAAATADAETPERLAAARGCQTSCGGVDIPYPFGIGGRNCFRQGFEIDCVYDGPVLANTSLRVVQLSADPAESLVMLPVGRMCYNATDPRHAEEYSHGETLMNKRSVYRFSNARNMLVVLGCNTMGTIGSVKTTRLVDDYSYYMGCMSFCNSSASAQDGQCASLGCCHVDIPPGLTHTYFRFREYDHTSMMDYSPCDYAFLVDRNNYTFRRSDLLRDTLRTSPVWLDWAIRGAGDDSASLSCAQANKTKEYACLSNQGYCVDATNGPGYNCNCSKGYQGNAYLVDGCTNIDECADPATYPCKGVCRDTKGSYECTCRQGFWSDDPIRQRCTPKFPPAAQICIGVIGGILVIAFVAFIIIIRKEKRKTRELYEKNGGLTLEKAKVIKLFKVEELKPILKSSNLIGKGGFGEVYKGVLDNVFVAVKKPIGRNVQENKQFANEVIIQSQVIHKNIVRLIGCCLEVDNPLLVYEFISKGSMDGILHEFREPLNLDVRLSIVLE >SECCE3Rv1G0196190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:806560070:806564400:-1 gene:SECCE3Rv1G0196190 transcript:SECCE3Rv1G0196190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHAPSRYPGTAKFKPLLPAKPPLSPSPSSSSRGTLCAAAAARRDFLLLVPSLAAASTALQYLPLAASAADDEKPAPPPVPAPAPAPEPDGEAALSRMYDATVIGEPQAVGKDARGRVWEKLMAARVVYLGEAELVPDRDDRELELEIVRKLAARCADAGRTLSLSLEAFPCDLQEQLNQFMDGRIDGNNLRLYTSHWAPERWQEYEPLLNHCRDNGIKLIACGTPLEVVRTVQAEGIKGLSKEQRKLYSPPAGSGFISGFTSISGRSLIDKTSSSRVSPFGPSSYLSAQARVVDDYTMSQIIVKEISTGDPSGMLVVVTGASHVMYGSRGIGVPARISKKMQKKKQVVILLDPERQGIRREGEIPVADLLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDIQKGIDLGVVSPEILQNFFDLEKYPVVDELIHRFQGFRERLLADPQFLNRLAIEEGISITTAVLAQYEKRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSIADNGSGESLELVRGLLGSLPDNAFQKGIIGQNWDINQRFASVLVGGLKLAGVGFVSSIGAGVASDVLYAARRVLSPTSIEAERPRSSIWKAASVYSGFLGTSANLRYQVIAGLVEHRLGEYLVSYYNQPLLANLLSFVARIINSYFGTQQWIDLARSTGIQTSEEEPPSPGTPISSEIPVLECGTAEVPSTDDINKNQSGEQT >SECCE6Rv1G0410530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:599160683:599162596:-1 gene:SECCE6Rv1G0410530 transcript:SECCE6Rv1G0410530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCLLHPYPQPLPLPPSNPTSQRAHLKWPPRCHRHRHPLRRTAVAALTVQEQLAPANPATSERPKNPHRPLDGMQERGVTTSSTAGNLFDEMLRPSGNDATGRGLPGTALPKSGEKSASSAILALAHASRHAEILELFCRMRRDGLPVSKFMLPSVLKACARLRDSRMLRAAHAVVIKCALCQHVVVGTALVSAYIDFGLMDDAANAFAEMDEANMVSWSVIIGGYVRSCRWDEAWDAFSAMQRSGVLPVDSVLVMAIQVCSALLCPVRGKQLHALAVALGFERNTTVWNCLIDMYGKCGDMDSCRTVFETMVDRDQVSWNTIISSYVRLGFCEEALDMVIQMQQCGFSVDRFTLGSGVAACAHLADIDNGSAFHGYLIRRALDTDAIRGSALVDMYGKCGLMEQARLVFDRMDERNYVAWDALLSGYVENGQVDLALKVFRQMEPANIKPNQHTFVNLLKLCGSRRYTEYGRQIHAHAIKAIHQMNVVLETELIDMYAKCGCIEVARLLFLRMNERNLISWNALLSGYVGDGQPGASINIYRQMELACIRPDQYTLAGLLSLCRYQGLLHYGRQIHAHVIKIGSETNVVLQTLLVHMYVRCRQWRDAENVCTMIQERNFYVQDAFSKVYGDGYFI >SECCE1Rv1G0003350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13689035:13692274:1 gene:SECCE1Rv1G0003350 transcript:SECCE1Rv1G0003350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SIS3 [Source:Projected from Arabidopsis thaliana (AT3G47990) UniProtKB/Swiss-Prot;Acc:Q8GYT9] MAMRGVDFKWYDGFFLSMLATSVIIVSINWRRYRLCAHPLHVWIVVDYTTVFVFRLLMFLDNGLAAGMGLDLGWQQRYTRFCGRILVLSVLVLLLYPFLWVWTVIGTLWFNSARSCLPEEGQKWGFLIWLLFSYCGLACIACVAVGKWLNRRHALRQRAQQGIPVSEYGVLVDMIRVPDWAFEAVGLELRGMGQDTAYHPGLYLTTAQREAVEALIQELPKFMLKAVPTDCSECPICLEEFKVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNGIRSSSEMLQQDRPSGSSGGGEAAAVVSRYVGPQPAQGRSHLVRLQGLLLRPVVVGDAAAESGVAVEGVDRDGVVVVVDDGHELRDRRER >SECCE7Rv1G0521360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873509129:873510514:1 gene:SECCE7Rv1G0521360 transcript:SECCE7Rv1G0521360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFGAAARAPASGGKPSVLYLRRADDGLAAALRARYRVHSLYDSGAPLLTFLAASAAARGAGEPPRAAVVAGGGAIQVDAAFLDAAPCLRCVVTTAAGLDHIDLPECARRGVAVAGAGETFSSDVADQAVGLLVDVLRRVSAADRFVRRGLWPAHGEYPLGSKLSGKRVGIIGLGSIGTSIARRLQAFGCTISYHSRRPKDSATISYKYFPSVINLALESDVLIVSCALNDQTKHIVNKEVLEALGKDGVIVNIARGGNVDEAALIGALKGGGIAGAGLDVFEMEPEVPAELLSMENVVLTAHKAAFTVESVSDLSDLMIGNLEAFFQGKPLLTPVLPEQML >SECCE4Rv1G0275800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:795635039:795641222:1 gene:SECCE4Rv1G0275800 transcript:SECCE4Rv1G0275800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAALCTDPFVLSCTFLCLLLHLALRHTLNRSARLPPGPPGLPILGALPFVGPAPHTGLAALARKYGPVMHLRMGTCDVVVASSPSAARTFLKALDARFANRPAVASAADITYGRQNMVFADYGPKWKLMRKLASVHLLGARAVADWAGVRRDEAGRALRGMAEAAEAGRAVVVPEVLVCALANIVGQITVSKRVFDGQGDESSSYKAMIVSLLTGTGMFNISDFVPALAWLDLQGVQAKLRRVHRQFDALITKLLAEHAATAEDRAREGRLDFVDRLRASSKDDDGDEDEDGETITEVNIKGLIFDMFTAGTDTSSIIVEWAMAEMINNPSIMARAQEEMDRVVGRDRRLEESDIANLPYLQAICKEAMRLHPSTPLSLPHFSFEECEVDGHHVPANTRLLINIWAIGRDPATWEDPLEFRPERFLSGPAAKIDPMGNNFELIPFGAGRRICAGKLAGMVFVQYFLGTLVHAFEWRLPDDEEKVDMAETFGLALPKAVPLKAVVTPRLVPAAYA >SECCE1Rv1G0034970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:493800264:493815532:1 gene:SECCE1Rv1G0034970 transcript:SECCE1Rv1G0034970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPHLGLGLAPPPPADPSAAPPTRRAPRLAKRRHAATTSRSRAQPSTSTSAPWNPFLGGGTDASRQDRTGGSGSVGGGGGYEFGKGQKVGNVFGPAPAARQPPPASSSEAPFVFGSVRDSLPRFDVGSSAASKLDHKMGKLNLQSPGKCSVGAGKGVDQTEKSFVFGATIPSSVSNSEANVLPEKLTQLNIGTEVPLQNEGAKVGPKTFVFGSNGAGSFADSRSTASSCVDSYASSSVQVTKANGTPESGHAESTNDAAADYRADDASVLQEKITQLNIGSGTFQYMKDGGSGSRQTEVLGFGDDRTAGTIFGNASSNTSDKGSIIFSFGNNNASISANVPPERASNLNVGGGVTQSMKSDDTNCSPEAFVFGRNGSTYSASEQSARVVIDDGDNSGSGASTSTCTSAHGTMGSTLPEKLTKLNMEQVTPSQSMKDEAATRQPEPFVFGSNATSSFFSLKTTSFTSFQTNVSSESKGDRRNLANDCSGSSETVLSEGAAEYALQDEIKKLNINKEGPSAGNVNANDACTPKFSFQSKVEAVPGYRTFPQPKVQESCPFTPLNHLSTSSTSANAMPSFSSNPPNGGSESAPGESCAVKQDPASCSRESLFGIDYIKSAYRDKKEAHRSTRKKKRPTRLKQHGQLHQVSQETCANGLASDLTGDYSPMDCSPYQAAVEQVPRESFASCDQSTDICGSSVPNTSCAEDDLVSATEHLVIDADLPTCQDEGRDPIADASESNFGSNFSSFDGEINFCDAPQPIFTNMNVDANGEPKMYTTEAWVDGSGCNVSGPTCEENTSRTPHESGEPVNIQSSSANLSGLNFTFGASLYPESSLPTQRHTTKRKLRTKVGQVPKPSATQASVQPKGSQDTKSMQFSPETSATENSVKEQLRRDASVSADLETCETWRTSGNQAYANGHFATAEGCYTRGINSISLYGTSGRCSHSLMLCYSNRAATRMSLGRMREALQDCSIATSIDPTFLKAKVRTANCQLALGDLEGASNNYTACLKSSNTAYSDTKMFAEASNGLERVKRVADCISQSRELLKKSTLPDAETALDLISSALHISSHSDNLMEMKAEALLTLRRYEEVIELCQETADLAERNSVSINANGEPNISSVSEKAECSATLWRPYLICKSYFLLGKLEESLDLLKRHELATPAEESDGSTRKCFSSLSTIIRKLLSFKAAGNESFQARRYLEAVEQYSAALAYNSDSRPFSAVCFCNRAAAYQALGQLTDAIADCSLAMVLDANYPKAISRRATLYEMIRDYGQCANDLQKLISLLQKQANKPGVSPKVFNKHSDLKQARARLLSAEDEARKDTPLNFYLILGVEPSCSPSDVKKAYRKAALRHHPDKATQLLVRNENADDGFWRDVAKEVYADADHLFKTIGEAYNILSDPDKREEYDIEENLRNASRRAYKGRSTPRSPEQHYRRYYDGGFNPRQWQSAGQSNKGAPRSRWSGYEYSDDYW >SECCE3Rv1G0185510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:675308791:675310428:1 gene:SECCE3Rv1G0185510 transcript:SECCE3Rv1G0185510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMEEPLLPLFQRNQKYSSSKQDRSKSCDVPNRCAPSFHPDTNVKASLSTLNHPPATYENTNIVSTPTLQRVRSSPSIFTSIKEAPCAHELDKQSPSAQYTPSITRQAIVSVILYISIGVTVYMTNVEGFKGKSTFKLVDALYFTIISLCTIGYGDIVPCTTFTKVFTCLFLLIGVRFVDIMLNGLLTNVLDKQRTVLLSTMDDNKLNRVFDTYMIDAEKKRSRGKMKVLLALGVVAGSISICTIIVHEVESLNWIDSFYLSVISVTTVGYGDYGFSTTAGRLSATVCLLVSTLAVGKAFLFLTDLRMDRRNRRTTKWILQKKMDNEPLAADLDNNAAVSKSDFMIYKLKEMGKIDEKDVTMISDQFDQLGLAKCGNVALADIIGNL >SECCE2Rv1G0109310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:674324170:674326171:1 gene:SECCE2Rv1G0109310 transcript:SECCE2Rv1G0109310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAEGVRCRCMTLLVLVGVAARAVSAVTDGLLPNGNFKDGPDKSQLNGTVVMGRSSIPNWEISGLVEYIQSGHQQGGMMVAVPEGASAVRLGNDASIRQNIRVTRRAYYSITFSAARTCAQAEKLKVSVAEDSGVLPVQTVYSSGGWDSYSWAFRAKHSAVWLAIHNPGVVEDPACGPVIDAIAIRTLQPPTKTKGNMLRNGDFEDGPYIFPDCPWGVLVPPTDEDNCSPLPGWTIMSSTKVVKYVDAPRYRVPHGARAVELVAGREAALVQEVGTVAGRSYRLQFYVGDAANGCKESMVVEAYVAAANLKLQYQSQGTGGYRRAMLDFVAVGNLTRVVLQSLHYHMKVDGTLCGPVVDDISLVSVRKRAARRLFM >SECCE4Rv1G0242970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:493067408:493070215:-1 gene:SECCE4Rv1G0242970 transcript:SECCE4Rv1G0242970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVKSAGGAGAGAIPAGSSGGDGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKKFYFLLPTRSIFASFAARQSPVIPPQIPPPAYVRPGHPRVSDFHDRFSEGDYGRDSDDIGNGVGEGGMKGKLKRTKKSPGDLDIYGGHRINVEAIGTLGEDNRSEIRSRGDKDVDNQHLQMEEKEVVSSVATVLSDLCGPGEWMPMKTLHTELMDQFGNVWHHSRVRKYLTADDWSPIEAKGRPWYGLLGLLRKYPEHFVINTKSKGRAISEFVSLVSLLS >SECCE6Rv1G0378590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9434940:9436510:-1 gene:SECCE6Rv1G0378590 transcript:SECCE6Rv1G0378590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTEEMQPLVIDTGSGLVKAGFAGDDAPRVVFPTVVGRPRRRSSSMGHKDAYVGEDALSGGVELRRGYPAQPVLLTEVPMNPKANREKMTQIMLETFNVPAMYVESQEMLSLYASGRTTGTIVSCGDGVSTVVPIYKAKIVQHAVRRWDIGGRDLTEFLTRILSERGYRFTTTAEREIARNMKEKLGYVALDFEREMSSSSVEESYDLPGQPAITIGDQRFRCSEVLFVPSMIGMEARGIHEAIHDAINKCDADVRQHLYANIVLTGGSTMFPGMADRLSKEVTALAPEDMKIKIIAPPERKYSTWIGGSILASLSIFQEMWISSAEYSECGPSIVHRKCLQ >SECCE1Rv1G0000620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2159923:2161357:1 gene:SECCE1Rv1G0000620 transcript:SECCE1Rv1G0000620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDALVPSILLILFVLSIVVTQAPFFRAWRLISDDGGGHATARTKDAATCDCDFSDGKWVRSGATDAMKYGEDCPFLDPGFRCVRNGRNDSSFRYWRWQPRRGSCHLPKFNATDMLERSRNSRIVFVGDSIGRNQWESMLCMLAAAVPAGSRIYEQFGKPLSRHKGYLSMVFADYNLSVEYYRAPMLVMVDRLPPVSDGAIRRAIRLDALPRHAARWAGADVLILNTGHWWNLHKTIKSGNYFTVGDRFNMTTDIKEAFRRSLQTVKDWALTNPRLSKRSYIFFRSYSPSHYGNGTWDTGGSCADQWDPLAMITSESDQQEHLWINTMISSAAQSMRRRHGMNKDAVFLNITYMTGMRGDGHPSRHREPETPSDAPEDCSHWCLPRVPDTWNQMMYGHLVSMGYDMRSSKR >SECCE2Rv1G0082330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:150414033:150414989:1 gene:SECCE2Rv1G0082330 transcript:SECCE2Rv1G0082330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPPFLLLLFVLVAAAAASEERPRVTPTFTQCHRAQAQATANASSAKNATAFQANVMPLLAKLPSAAAPTGFASLQSAGVVGRDRAFVRGLCFGYATPSQCRECLAAAARKLADACGARGRRAGVWTDGCVASYADANNSSPNFDSFRARIISGADALTTSTSYELQSLADLAWRMGPVAATSAGMQVAVDWTATASNYRKNSTVRVLAQCARDRTAEKCASCVQYSARVAETCAWSWCPRHSARAGDTCCWGLDAWRDGVAGAVVGFDCYLRFDVAVATATTTSTAPARVPLLRRLGEQSTLHALVFFFLAICI >SECCE3Rv1G0158790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:90802322:90806691:-1 gene:SECCE3Rv1G0158790 transcript:SECCE3Rv1G0158790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRLASGAAMAAHLRRGVSSPSFAPARAFSASCGLPAASRTPPVRHALQGIWSRCSSSAPAFQARAPMFRALLAARASPGVRPKLPGLLRGFGTGGTAIAVMLYPTKVAEAQERPAKSPSEDITVLSPYAKQVLRKFWNLVRKFQLPIGLILLIVYGWRKPMVLAINTLLLLYSTRPDPYSIYLFLQEIHQGKVQQNPALWKEEVIQTRKVDTEDYKFFSIGTVELKDRTVLHVIGILGNWWIYHVSYDKRVERLYL >SECCE5Rv1G0324560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:447946467:447949622:1 gene:SECCE5Rv1G0324560 transcript:SECCE5Rv1G0324560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKRKAEAARLDETDRAMYGAFRGAANSLSQLYTLAMGGQKLSFQAGERHAMEKLYEWILRQHENGLRLTVADIASHVQHEIQYGGDNPLASPRSQYASQSTQATVHMPNTSNQQPSASLFALGNPGLTQPKNSAVFSNALSSPVRRGLQPYHLDQGGDAGYFANGLAREPNPTASNDSSMDMHSDSPAHDSS >SECCE5Rv1G0354660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:725691714:725693410:1 gene:SECCE5Rv1G0354660 transcript:SECCE5Rv1G0354660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSRSPTPTTFLSSSDLRPPTRPPPSQRYQLSSLPFLRRAPRFLSIGRGGVFPCCPRLSVSVCCMGLDVGEIGMPLDLGLDLKLFVAKTAGRLARDAPAVDACIRGLEEERRKIEVFRRELPLCARLLADVIEFMKEEAAKRSERRDAEDNDKRKWMSTAQLWVDTRATDAADPVKEQKKDSALSRPMLLGGAIGAPMAVSCGAMPPPPAPHYFAREDKIVGTQALPALPMMSPAANRQFSPPADDRHQAFAAKFASAMPPPGPGLQSHEQQSRKTRRCWSPELHRHFVAALHQLGGPQVATPKQIREVMKVDGLTNDEVKSHLQKYRLHNQRSPSSSSASHPIMLVGDLWAHQEQSSSQSRSPEGPLQLSVSGVAVSALTGSDSSEEDDRSVGYSRR >SECCE4Rv1G0296100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:901502388:901502883:1 gene:SECCE4Rv1G0296100 transcript:SECCE4Rv1G0296100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRSSGSGAAVPVESVDPEAACALLASGQYGYVDVRMWEDFDKGHVAGARNVPYYLSVTPNGKEHNPHFVDQVAALHSKEDRFLVGCRSGVRSRLATADLVAAGFTNVKNLEGGYLSLLKSASYPQSTSSNH >SECCE4Rv1G0278470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:809202794:809203993:-1 gene:SECCE4Rv1G0278470 transcript:SECCE4Rv1G0278470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVKLTCVQLLMVAFQATLITMSSAELPYNFYSSSCPTAEETIKNTVYNLIDGNPSIAAALIRMHFHDCFVMGCDASILLDSSNANPQPEKSAIPLAGYEAVDKIKAAVEAMCPLTVSCADVLAFAARDSISKSAGFSYPVHSGRRDGNVSKAFSVVTNMPSPFFGIEDLVGSFTRKGLNVDDLVALSGAHSIGVAHCSGFTNRLYPEVDTTMDSAYATDLKKTCPAPVRGAPDPVVNNSAVAPTTLSNQFFKNAVARRVLFMSDAALLTRNDTAAKVQENAADSLLWKVRFAASMVKMGNIEVLTGTNGQVRKSCRAIIS >SECCE7Rv1G0498900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:647783100:647783476:-1 gene:SECCE7Rv1G0498900 transcript:SECCE7Rv1G0498900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFAGAARFLVLLQIVLFVISAVIMSGPVCHAARPGIGGGALDPGGAVCAGGACVPGQPYTRRGCGGVYKCPPGVQP >SECCE2Rv1G0122180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:814024384:814025403:1 gene:SECCE2Rv1G0122180 transcript:SECCE2Rv1G0122180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPEVSSIARMLRGEAGKGRGGAVNPSEMVTMDLLGGCSGDAGREEEVVDLKLQVPAGWERPLDMLSGKKSLTPHRHQAAQDGRQDLNLPPTASTAAVPVTTNPSPFCTLDMVRCALDRAAAARSAPSSDASASSFASTSSSSSSLGKRSRSPPSSSASPAANQAMRAFACPSCFTYVLIAEADPCCPRCASKVPPLPSKPAAHSSGKRLRIDLNADADETE >SECCE5Rv1G0368180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826831065:826847889:-1 gene:SECCE5Rv1G0368180 transcript:SECCE5Rv1G0368180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSREAEQALEMGVKPPPPLTHEDNRRFLEMLREKKERLGVGVEKVEVRFEELTVEADVRVGRHTLPTLLNCAVNAAQELATYSHMCTTRKEHIKIINGASGRISPSRMTLLLGAPGSGKTTFLKALAGKLDSSLKLKGKVMYNGQEVNLSTPQYMHAYISQYDLHNAEMTVRETIDFSSKMLGTNNEFGMLGEGSIRKHGRIDKVDQDLDSFMKTATLGEGSNLTTNYIIKILGLSDCADTLVGDELRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTYDILKFVQQMTHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENAIHFFEIMGFKCPNRKNVADFLQEVTSKMDQKQYWIGDENKYQYWPIEKFAESFRSSYLPRLIEDNLCMPNNTGKHKENKTSATHSMSRWSIFKACFLREVLFLKRNSPLHIFKIVQIVILAFVISTVFLRTNMNHKNVLGANKYMGSLFIAIVIVNMNGMTEIAMTIKRLPTFYKQRELLALPGWALLCSVFLISIPMSLVETCLWTSLTYYVIGYAPSFLRFIQQFLVLFATHQMSMGLYRFLAAIGRTQVMANMLSTTALIAMYIFGGFVISKDNLQPWLQWGCWTSPFTYAQNAVALNEFLDERWATEFYYANANTVGEAILKIRGLLTKWHWYWICVIILFGFSMVFNILTIFALEFMKSPHKHQVNASATKSKTDCKNHKIGTGNTSTSQVVLPFRPLSIVFDHINYFVDMPKEMIKYGVPEKKLQLLQDVSGVFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIRIDGYPKKQDTFSRISGYCEQNDIHSPNLTVHESLQFSAWLRLPSNVKSRERDIFIDEVMDLVELTELKNAMVGMAGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTIRKTVDTGRTVVCTIHQPSIGIFESFDELLLMKRGGQIIYSGTLGPLSSNMIKYFEAIPSVPRIKEGQNPASWVLDISSHTTEYEIGVDYAEIYQSSYLYRDNMLLIAELGHPKPNMEDLYFPPGYWQNFMAQYVACLWKQRCAYWKNVEHNGVRFLNTCVVSILFGVVFWKIGSTITQQQDVFNILGVVFGSALFLGFSNCTSLQPIVIMERVVLYREKAAGMYSTFAYTIAQMAIELPYILVQVLIFASIVYPMIGFQMTIVKFFWFVLYMMLSFMYYTLYGMMTVALTPNLEMAAGLSFLIYVFWNVFSGFIIGRELIPIWWRWVYWANPAAWTVYGLMFSQLGDRTELIRVPGQPDQTVQEFLEGYLGLEGRYFNLITYLHLVVIALFAFLFFIFVKHLKFERR >SECCE4Rv1G0292440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882594774:882599660:-1 gene:SECCE4Rv1G0292440 transcript:SECCE4Rv1G0292440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVGKAVLDGEIALQLGVERDVDFIKDELQMMQSFLMTADEEQSQNKVLTTWVKQIGVLAYKVEDSLMDFGLHSEKKPFLGCIPRNPMDRRRIAKEVKELRAKVEDVSNRNLRYRLIKETSGSKPTASREQASIATSAIFDINEARLEKSSEVGLHQLITSNAVDLRVIAVWGTCGDLGKTSAIQEVYDDQEVLNRFGFCAWIRLMHPFNPQEFLKSLVRQFYENSHDENGEAEQRTSVGANVLAKMGKMDQSELIREFNAQLCSNSYLIVIDDLSTIVQWHCIKKYFPDNKKQSRIVVSAQQVEIARLCIEKPCQVSEFKQLACDQTIYLFHKKNSEEQVVLGRIARKMFDRSRTLALADEVLCGREIDKSIVIRLVGQPDNNRGCKLQNTIPEDPSGSTATGAQKKRIPMMKLQELQEELARVLIRRRCLVVVDDIWSTSEWDLVKHCLDNAGRIIVTTREEYIANHCSGEYTNIYCLQGLNAAAALDLFIEKVFKDNTEKQYLTSAMMEQAKVIVQKCHGHTLAILTIGGFLATRPKTAIEWWKINDCISTELDTDPELTTIKTILMRSYDGLPYHLKSPFLYLSIFPKGCRMRWGRLVRRWIAEGYSRDMRSMTAVEVCQMYFDELLDRGMILPGEGTNHYREKISSWQLHDMIRAICNPKAREDNLVYTLEEGCCLSDAQDAIRHLVICPSWKRDKNVLESKLDLSHVRSLTVFGEWRSFFISNNMRFLRVLDLEDAIGLRDHHLDQIGQHCHLKYLSLRGCHDILSLPNSFGNLRHLETLDIRGTLIHELPTTITNFLELQCLRADDLFLRPQVLDACLNRYDLFSLHCFSKECSENVILPSGIGRLKALDTLGFVNVSGRNRNSIIKEFGYLTQLRKLRVAGISGRNSNELWSTISGHSQLRSLSVKGNELDGCFGEGLLPAVSFQSLHKLQNLSKFKLEDTHLKQDDNAIQALGFLPNLAVLCLRTDSFDGTQLHFQSSSFPSLMMLDLFCLGNLQSVLFEEDAMLKLELLQVDLCFELKDISRLAALTSLKEIRLGSHRLSETLKESVQRQVAEHMNLVRVNIV >SECCE6Rv1G0404920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:524767529:524768484:-1 gene:SECCE6Rv1G0404920 transcript:SECCE6Rv1G0404920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVREPMMMYDGGFDAEASAFDAFGYGCHDALLGGVDAVALFGGYAYAHDEPAVAASAYLQDTASWAGAAAGPSVLAFDRAAQGHGAQVVAQEEAECDAWMDSMDEDQHAGPASTIGFDPATGCFSLTQSPGGAQRPFGLLFPSTSNGSLDAAAPSRGSSKRSYAARVQDAHPRAAKKPCGASRKTSKAKPAAPTTTTSPKVDPQSLTAKNRREKISERLRTLQELVPNGTKVDMVTMLEKAVGYVKFLQLQVKVLATDEFWPAQEGMAPEISQVKEALDAILSSQRGQLN >SECCE6Rv1G0406330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:543238073:543238695:1 gene:SECCE6Rv1G0406330 transcript:SECCE6Rv1G0406330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRAAAALRTAALQGHYRRAASTAAAHAERAKAKPLGDYVPVYVALGMIGLAGALGLHTARQQLAHAPNVRLDKRKRETVPEVADPDLALDEAERFVGGSVFRKVAHVQDDRSLTAGVADPVADYPPRKAVTLKDVGVDPPGIPEQSREGVLDRIFKKNTA >SECCE7Rv1G0500190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:663159805:663163463:-1 gene:SECCE7Rv1G0500190 transcript:SECCE7Rv1G0500190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALFAWCALLLACAGVMHCAEAAKARHLKWEVSHMFWSPDCEEKVLIGINGQFPGPTIRAKAGDTIVVELKNGLHTEGVVIHWHGVRQIGTPWADGTAAISQCAINPEETFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLIVDVADGEEEPFKYDGELNLLLSDWYHESIYNQMVGLSSSPMRWIGEPQSLLINGRGQFNCSLAAVHTPGTKQCTAGGNRHCAPVILPVEPNKTYRLRIASTTSLASLNLAIGNHKLTVVEADGNYVEPFAVDDMDIYSGDSYSVLLTTDQDPSSNYWVSIGVRGRAPKTAPALALLNYRPNRGFKLPAIAPPVTPAWNDTAHSKAFTNQIKARAGTPPPPATSDRRIELLNTQNKLDGHIKWSINNVSMVLPATPYLGSLKMGLKTALAAARPADTFGRAYDVTRPPQNPNTTTGDNVYVLRHNTTVDVVLQNANALQHNVSEVHPWHLHGHDFWVLGYGEGAYKGDAADAARLNLVNPPLRNTAVIFPYGWTVLRFVADNPGVWAFHCHIEPHLHMGMGVIFAEAIDRVGKVPKEAVSCGATATALMNGDHL >SECCE4Rv1G0256370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:656355523:656356560:-1 gene:SECCE4Rv1G0256370 transcript:SECCE4Rv1G0256370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGGSSSLPAQPGTPGRPRRRPDLTLPMPQRPDVSSSLAVPLPLPPPAAAPLPGAGPAQPLAAPPPPPLHELERVRRVGSGAGGTVWMVQHRATSRRYALKVLYGNHDDAVRRQIAREIAILRTAEHPAVVRCHGMYERGGELQILLEYMDGGSLDGRRIADEPFLAHVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDINDGAYDGYAGDIWSFGLSILEFYLGRFPFGENLGRQGDWAALMVAICYSDPPEPSPATASPEFRGFIACCLQKNPAKRLSAAQLLQHPFVALPQPQPLAAPPS >SECCE6Rv1G0377480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:2042233:2043097:-1 gene:SECCE6Rv1G0377480 transcript:SECCE6Rv1G0377480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSCYGTAVPSQQGYQSYDVSPPLPLPLSLRLDGAHEMATNKQERDQQSSMLGAAQAQQQLILADHNLRNQAKKMRITSREQRQRHISSMISTVVAREPKQLDAKDQEIEWIRSMNLALKEHIWNLHMEAQAWRNIAQSNETMANVLRADLQQVLEQQAVHGSGIYDGEDGTGSCCGENHVAFCIEEQEEGSEPPVVEPRLAVVELCKGCGQSAPVVLLLPCRHLCVCAPCAEAARVCPSCICVKTGSISVNFS >SECCE6Rv1G0399480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:440668465:440669676:-1 gene:SECCE6Rv1G0399480 transcript:SECCE6Rv1G0399480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPSAAAAAAAADHDSTAASAKAAELLAAAADCEGIHGHSMFFDALVQLIPPRFYLSADDDVRPYYQGLSKAAKAAMKAQSRANIKAARRARLDPAGPPSSTLDLLKKSLADQEAEEKKEEEEDDNSQDGSQESDDEAISEGGDDDQDNEEGEEDEEEAEDEKEEMQMAPAAVVSEDRSVTYEELRERLQRRITELRGNRCTRPEFLNKPQKEKGKKGKGAKVKGKEEGTKRKREDGTGDAEGKDGKKHKKEGEEKAPDIMYGNVLVDPKEARRRKKRRIKNKKKELEQAKRMQRAKEDPKKATKLAWDLATRRAAGEKVHDNPKLIKESMKKDKKRQEKHAEEWKDRQRTVDIKKKQKQNKRKENIQGRAQEKKARKIEKREKKLMRPGFEGRKEGYVNE >SECCE7Rv1G0481930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:296140258:296146128:-1 gene:SECCE7Rv1G0481930 transcript:SECCE7Rv1G0481930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISHLRRGAQRHALLYLSRRHFSSPSSLAAAAPLAAAARRLLSTSVESGTSSKAGSYKPPPLDPFRAALAPASPPLESPPLEEPPATPPLPEVASAAAAPEQDPVVLQNERLKGLKAGLEAVKSREESPEEKEAWWLLNRAVVNYCGSAVGTVAANDPSTANQMLNYDQVFIRDFVPSAIAFLLRGESDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSVPLDGNNEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLILNLCLTDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMVSTDDGSKNLIRVINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPDKGGYLIGNLQPAHMDFRFFSLGNLWAIVSSLATQKQAEGILNLIETKWDDIVANMPLKICYPALEYEEWRIITGCDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPDLARRAVEAVEKRLSDDKWPEYYDTRTGRFIGKQSRLYQTWTIAGFLSSKMLLDCPEMASILICDEDLELLEGCACGLSNSARTKCSRRAARSQVLV >SECCE1Rv1G0006850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:36103506:36106645:-1 gene:SECCE1Rv1G0006850 transcript:SECCE1Rv1G0006850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPDDGGGRKFWRSASWSASRAAEAGGPEAAPLPPRMGPPPLTPRSKGRACLPPLQPLAITRRSLDEWPKAGSDDVGEWPNPTTPGASKAGGGGGGPVSAKPGEGLRLDLSTLRSQGRKDQIAFFDKECSKVADHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQAGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRLAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGTKCDTVMEKDARAAAFQVVRYEKVQGQIKVVREGLEQPEFWDAFSSAPVNSDSKMKLGKEQIDSPSRTGVGSRRVESYDSDFELVQKAIAGGVVPAFSSSGTGDETHLPARESSWSLLRRKFISRSLSRVYSDSALIRDLDPRVQHLTAEASISPPFLSPSSLSSDSTISSKYSSDSPSLSPSTSSPPSLGLSPASSNLPHALVPSSRSPLRQSSNAEHSKPVLGSIRSPSKVSSIAERRGGFSGLKLPSLPKELVLPPRAPSIHKAEEVTDKSNTNGVKQFTGVSCPEKCTGTATDSNSEATAHGETILIEHTNSEACTYVQLLVYRWPCMEKLTAFARKDLDPKTVFIFVAPNASRSAEAVKTVCVWVGGEYECSKGVDSIDWQQVAGDFLNQKGFSNTLPVKVFKEHETENLLEVLDAR >SECCE3Rv1G0171340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:268808976:268810222:1 gene:SECCE3Rv1G0171340 transcript:SECCE3Rv1G0171340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPEGHGLHPGHYKLGGFVRFLNLDTGTLVRVKLPLFKNHCILDSVNGLLLLQRDEDSTILLLNPFTSDIAELPPLSTLLTQVVKQSEITVGLCYCYLLKHGMSTAVFCNSDGTTTPMIILHHLSQLAYATSQDKQWIMASWDLPLNMKALSCQGKLYLVLQIDPPLQAGSPPPRPKLIATFPTNKLVYSYHLVECDSNILIVCHIDDSRSPFLIYNLENITAGRFALVRSIGKHALFLGERSLSVSSKVLPTIMTETIVYKEPREHRFVQYHLSSGNWSEPVDECGIYGYSPGPHSLIQHIITCCIRRAWNKGLIHSEAEAYKPGWLTWKVKRKFRHWS >SECCE1Rv1G0061580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:716575493:716576277:1 gene:SECCE1Rv1G0061580 transcript:SECCE1Rv1G0061580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKHTIAVFFALLAIAATLRSTAANQEEAKATTMADGGSPSLPSLPLPPIPGMPSLPPIFRFLFPLLPQIPGLPPLFGPLPGAPPSQGLPQMPGMPHIPLPTRSPPPPPPKECLTPLTAMIPCIDYLTNITVFSPPAACCDGLKSVVSSAPICLCHGLSNNGGMSKMFPKPIDPIRMLILPARCGAMIPLETIFSCATQPLPPLTPPATSPAPPAAASPAPSPSP >SECCE5Rv1G0331500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:539108835:539109875:-1 gene:SECCE5Rv1G0331500 transcript:SECCE5Rv1G0331500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILLPALLLLLCSHCGGAAAGRKARGGESSSQVKFDFSPFLIEYKSGVVKRLMGTDRVSAAADPLTGVTSRDVTIDPAAGVDARIYLPSFRTSTKVPVVVYFHGGAFVVESAFNPIYHAYLNTLAAKAGVVAVSVNYRLAPEHPLPAAYDDSWAALKWVLANAAPGADQWLSQYGDLSRLFLAGDSAGGNIAHNLALRAGEEGLDGGAKLKGVALLDPYFQGRSAVGAYSADPSFLQSAARTWSFICAGKYPIDHPYANPLMLPTASWQHLGATRVLVTVSGQDRLSPWQRAYYSTLRSSGWPGQAELYETPGEGHVYFLTKMSTPQAQAEMATLVAFINRQD >SECCE1Rv1G0059480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:706091047:706091445:-1 gene:SECCE1Rv1G0059480 transcript:SECCE1Rv1G0059480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMEQGVPEGGEPKSVAAVVADILTKECPSSTFLQNVGLESSSKKKFNRSASALDAHVQELEYKLEKERQASELMREELVEVKKKSEETEAARAAEYQLLLQRVEATDARAAASDARFARLMDLFEGKII >SECCE1Rv1G0060030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:708509821:708510333:1 gene:SECCE1Rv1G0060030 transcript:SECCE1Rv1G0060030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELFQGVAVDGSSAYVAIDEDEGEYDAADDGHEQSPMRTTSRKRGSSGAEQSASSPGKKHKSPMVKLMTGLINSMNSENTSDMITEYANKRQEAKDKAREKKSNNTKESITRCQVLSVQCGAEETSVEYFMATQLFADEANRVIFENITSNEARLTWLKRWCMMKKLC >SECCE2Rv1G0113050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:718544215:718547321:1 gene:SECCE2Rv1G0113050 transcript:SECCE2Rv1G0113050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRLPCLFLLLLLSTASAEYAQELLRRAEGEREWIIGVRRRIHAHPELAFQEHRTSALVREELERLGVTARAVAGTGVVADVGSGMPPIVALRADMDALPIQELVEWEHKSRVDGVMHACGHDVHTAMLLGAAKLLHERKDQLKGTVRLLFQPAEEGGAGASHMIKEGVLDGVEAIFTMHVDYRMPTGVIAAHAGPTQAAVCFFVVKIEGKTGKAETPHLNVDPIVAAAFTILSLQQLISREGDPLHSHVLSVTYIKGGKSIDAPPPVVEFGGTLRSLTTEGLYQLQKRLKEVVEGQAAVHRCTGVAEILGAPSHPMYPAVVNDERLHQHVENVGRSLLGPDKVKPGEKIMAGEDFAFYQQLVPGVMFGIGIGNEKVGSVHSAHNPHFFVGEDVLPIGAALHTATAEMYLSGRSTQNEDGSVHSR >SECCE2Rv1G0065930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10643880:10644482:1 gene:SECCE2Rv1G0065930 transcript:SECCE2Rv1G0065930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVMASSATTVAPFQGLKSTAGLPVSRRSSGSLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSTEALLKQVDYLIRSKWVPCLEFSKVGFVFREHNSSPGYYDGRYWTMWKLPMFGCTDATQVLNEVEEVKKEYPDAYVRVIGFDNLRQVQCVSFIAFRPPGCEESGKA >SECCE6Rv1G0379370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13510918:13514155:-1 gene:SECCE6Rv1G0379370 transcript:SECCE6Rv1G0379370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wee1-like protein kinase [Source:Projected from Arabidopsis thaliana (AT1G02970) UniProtKB/Swiss-Prot;Acc:Q8L4H0] MLRTKTPGPRGGSKSRRRFTPPATKAQAQMAAAAAGTSPSGELSQKLEHVTLFPLFADRPFASPKPFGELLEDPRPAPAPAPAPAPPQQAAPMDADVPMEDRDACILSQDFFCTPDYLTPDAPQMASSFDADKENTPCPQSPEKSVARSKRYKRDSSPKGRSMDLMEADSQEITPVRFSLSQDDPEEEKMAQPSSQKRGSYVPHSARVLRSQVTPPPCIKNPYNVDPRIDDNVFSVRKCKSSGSSPSIGADGLSRYRTDFHEIEQIGCGNFSVVFKVLRRIEGCLYAVKRSIKQLHNDMDRRQALKEVHTLVALGNHENIVGYFTSWFETEKLYIQMELCDRSLSVNGDKPLEFGDALELLYQICKGLDFIHGRGVAHLDVKPDNIYVRNGIYKLGDFGCATLIDRSLAIEEGDSRYMPPEMLKEKHEHLDKVDIFSLGAAVYELIRGTPLPVSGHQFASLREGKISLLPGHPMQFQSLIKSMMDPDPVRRPSAKEILRHPIFEKLRNASAKK >SECCE4Rv1G0224200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:77818893:77822081:-1 gene:SECCE4Rv1G0224200 transcript:SECCE4Rv1G0224200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCLRGKGGAAAVGEALRRAAPWRREASASYHHTIQAVPRETAGPRAAARERRNGNVPAVLLTLAGAGPGEGVAHRKLLTTDKKQLAEMLKQSPYFLSTPVRLQVRAGERSTAVVHSGTVLPIKVQTDESTGNILNLVMVEADEGTMLKVNLPVVFKGEDVCPGLKKGGFLQKIRTSLVYLCPAEHIPPKIEVDLTNVDIGDRVLMQDIPVHPSLKLLSKNETMPICKVLSSKPAE >SECCE4Rv1G0244860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:521781724:521785061:1 gene:SECCE4Rv1G0244860 transcript:SECCE4Rv1G0244860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRTVHEHRHLFVEIEIAAAASMERLVSRRDVLTVAVVAALLLLQPLDATAAAAKCSARCGGIDISYPFGIEPGCYRHGFNLTCDRSYRPPKLFLGDGTVEVLEISIPGGTVRVNSSSIALSPAAGAPGKKANSTARYHTWSGLRRGGPFFVSPEKNKFLVLSCSNVQVLLLAEDNSTVNACATYCPPAPGKGQPFQFPLNKECSGIGCCSAAIPKGYTSYSIQVQPPGNVSEFDAESSVYIAEEGSYNVTRLIFETVNTLPVLLDWVISNSTCGKELPGTPASGCRSSSSSCQNYTSFAYKGYRCRCSAGYEGNPYVVNGCQDIDECAHWELHSCYGTCINMPGAFRCQCPDETNGNPFMKGGCIKNKKSSQGLSIGLVVSGGLFLVLLAFGAPFVTRKIKQQKAEKRKDKFFKQNHGLLFQQLVSQRADMGERMIITLAELEKATNNFDRTREVGGGGHGIVYKGILDLQVVAIKKSKIIVQREIDDFINEVAILSQINHRNVVKLIGCCLEAEVPLLVYEFISNGTLEHHLHVEGSVSLSWDDRLRIALEISTALAYLHSAASMPVYHRDIKSANILLDESLTAKVSDFGASKFIPIDQTGVTTAVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKRPLAYNSVDGDSLVLHFASLVTGGVLADLLDPQVMEEEDGEVQEVAALAAKCVRLNGEDRPAMREVEMTLENLRIKRKQAARDAKSRRYDDDGQFSTDDTASEGDTEEPSGEYTVEEQILLSERYPR >SECCE5Rv1G0320470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:389011274:389011952:-1 gene:SECCE5Rv1G0320470 transcript:SECCE5Rv1G0320470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHHSSSSSSSYTCQGSNGFLPSQPSASSYADLLQAEQHCYYYSQEPQLQLQQGQAPPFHRVLSTGDLGALPPAPAAAAAGRYSTEERRERIEKYRSKRNQRNFQKKITYACRKTLADSRPRVKGRFARNVDEDAVADQPEFTAAEVSSMMSEANVAVGAADAASSSSSSSMPEWWPAMQGALAMEDDELYIAVSSINLY >SECCE6Rv1G0451390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872487704:872488280:1 gene:SECCE6Rv1G0451390 transcript:SECCE6Rv1G0451390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWKGQRKKAVTRSVKAGLQFPVGRIGRYLKQGRYAQRIGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAIRNDEEIGKLLAGVTIAHGGVLPNINAVLLPKKTAEKADKESKTTKKKKKADKESPKKAAEETTATEETANDGETAAADEE >SECCE3Rv1G0187760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:705095738:705099066:-1 gene:SECCE3Rv1G0187760 transcript:SECCE3Rv1G0187760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCAFVRLTVDQLLLKLPAVPRPSSGAAGVHPSTSPCFCTLHLQDHPSSLSRTAALPLASACLPGGASGGDPVVLSLDVAAVQKLSGRPAELVVTVHAGQSKGSTSTGCGVGASRAIGRVRVAVDVARAAAGETVVARDGWMDVGKPASSAARAQIHMLVRAEPDPRYVFQFGGEPECGPVVYQVPGGSAGGGQRQPVFTCRFSAGRRAVRTRSLTSESSMTRSPSRRLRSWLSTLHGDGDKRVQREQRKGWMVTIHDLSGSPVAAASMVTPFVPSPGSSRVSRANPGAWLILQPTGAGPSSWKPWARLEAWRERGPVDALGYRLELVFDSGPVECAVPIAESSISAKRGGQFVIDPATFPEASAGAAWPFAGGFVMGSTVEGEGKASRPTVQVGVQHVACMGDVAVFIALSAAVDLCMDACKLFSHRLRKELCQDQDD >SECCE6Rv1G0396530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:368230916:368235157:-1 gene:SECCE6Rv1G0396530 transcript:SECCE6Rv1G0396530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTGFRASVWSCFKFLPFFCGLLLLGIIKGVLFGPWAWLIMAIGISALVLGLWPMHVIWTYYCIFRTKLVGPVVKLLLLISVSGILILWLIVGIVGSVFAGLAYGFLAPVMATFDALGEGKERPLVHCFVDGTWSTITGGCTVVRDLKDMLFHSYLAYMDDLRFHEPPGGKPFEIRVLDIPGAVLAAACGLLMDGIMFTVIALYKFPVMLFKGWKRLIEDLVGREGPFLETVCVPFAGLAILLWPFAVLGAFLASMISSVPLGAYAAIVVYQESSLFMGLSYAVSSVSIFDEYTNDVLDMAPGSCFPRFAYRKNQASVESGPLSRPASFRDKQDGKKAPARVTSFKSSFDEFNPFKLLDHLFEECQHRGEALVAEGVITPKDIEETKSGKGGSGVLNVGLPAYVILNALLRSAKADSDGLILRDGCEITSDNRPKNTLFDWFFDPLMVIKDQIKAENFTEEEEAYLQKRVLLISDPKRLKATLPHLPSLNERKQAEIDAFARRLQGITKSISRYPTFKRRFDDLVKALSEELERAMGGSRSVGGSQFQKLRSGLVRMLSQRSLGKTTSIRGYDQEAQLTSNTRAA >SECCE3Rv1G0204730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:896409596:896411966:1 gene:SECCE3Rv1G0204730 transcript:SECCE3Rv1G0204730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLRVLTLLLLLHLPFALSSHRHKAPAPPPRKAPPPGAKYPAPLPVLLACNATRFRPACVATLSAANVTAASSASDLLAATLSALRARLPPAVSTARSVLASSTNVNLSAAATNCLTALSLSSHRLSPPPSPSALMPASASLVHLYDCWSAYKYVNFSRTIYDAMAYLNDTIAVNSNYISMLAALKRYGDDTSSWAPPQTERDGYWPPPAASQADVDALGVPKGLPADATVCGAGCDYKTVREAVAAAPDNGDKRFVVHVKEGVYKETVNVPWEKTNLVLVGDGMGKTVITGDLNADTPGVSTFNTATVGVLADGFMARDLTIANTAGPDAHQAVAFRSTGDRTVLDTVELLGHQDTLYVHAMRQFYTRCRVAGTVDFIFGNSAAVLHDCDVVVLPRQLRPEKGETDALTAQGRIDPAQPTGIVLRGCRVNGSDEYMAFYRQKPGVHHVFLGRPWKEYSRTVLVGCTLAEIVRPQGWMPWSGDFALKTLYYGEYGSAGPGGGERVGSRVAWSSQVPKERVDVYSVASFIQGDEWIPKVQ >SECCE2Rv1G0116560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:765842874:765844190:1 gene:SECCE2Rv1G0116560 transcript:SECCE2Rv1G0116560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRAASPAREITRPSSSLSKAVHRRADSVSHKLAARGLGAFVWHKKLDRDLSRGLLPDIVSIRSERRRCLARRKEAAAVSSSAATRWSSPPPITTASLVRAEEEAKEAAFLLEQSRLRAETRFGYGRPKPIDVLVKSLDGTRPRALAAFRGASLEDLRELGREIDQHALIDRANGPFWEAAKIMCDAEIARAAGTAGHGERLLHAAILADVRSVVEGKSVEELEAIAEAVAARMAAGEAMVVEHWQGVTELIRVEKAKKYLEQNYSTCDDEAQPSSDDKDNEPEITDDVDDDTADADDEEGSEALCPVALPQTPPGPEHRKPKYITRVRSGFEWNKYNRVHYDHDQPPPKTVKGYKFVVHYPDLAGTKPPEYTIHEDGESVETCIIRFHAGPPYEDVAFRIVNKEWEYSRKAGFRCTFERGVLHLNFNFKRFFYKR >SECCEUnv1G0528700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:5649563:5652336:1 gene:SECCEUnv1G0528700 transcript:SECCEUnv1G0528700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHRHGCGWPLLLLLLLLLLLGFPFDESPPLHGEAAAGDSHRIILYPRSEKVSSIVSSKYRTAYHFQPPKNWINDPNGMHLPYSYANYQKCKINRRAVDGCNEWLHSSGPLYYKGLYHLFYQYNPGSVVPGNKTWAHSVSTDLVNWLRLETALDRTEPYDAKGCWSGSVTVLADGRPAILYTGADDMKNQAQCIAFPSNSSDPYLREWTKPSSNPVIRPDGPGLTPNQFRDPTTGWTGPDGQWRIALGAELDGYSAALLYKSEDFVSWSRVDHPLYSSNSSTMWECPDFFAALPGNTSGLDLSAAIPNGAKHVLKMSLDSCDRYMVGVYDLEADKFVPDNVVDDRRLWLRIDYGNYYASKSFFDAKKGRRIIWGWANESDSSADDAAKGWAGIQALPRTIWLDSDGNQLLQWPVEEVESLRRNETNHQGIELKKGDMFEIKGTDTLQADVEIEFEPGTMDEADAFDPSWLLDTEKHCREADASAPGGLGPFGLVVLASDNLEEHTDVHFRVYKSQHKHMILMCSDLRRSSLRSGLYTPAYGGFFEFDLEKEKKISLRTLIDRSAVESFGGGGRVCIMARVYPVALVGDRGARMYAFNNGTTTVMVSQLKAWSMRRAQVNVKKG >SECCE5Rv1G0303730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:50456402:50467173:1 gene:SECCE5Rv1G0303730 transcript:SECCE5Rv1G0303730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 [Source:Projected from Arabidopsis thaliana (AT1G16970) UniProtKB/TrEMBL;Acc:A0A178WAS1] MDLDPEGIFRDDSDEDDDNLHERDANKEMVVYLIDASPKMFTPANAAKPDEKQETHFHTIVNCITQSLKIQIIGRSRDEVAICFFNTKEKKNLQELAGVYVYNVTEREQLDRPDARLIKEFSCVEDSFMNNIGSRYGITSGSRENTLYTALWVAQALLRKGSVKTVSKRILIFTNEDDPFGGITGAAKTDMIRTTIQRAKDAQDLGLSIELLPLSRPDEDFNMSLFYADLIGLEGDEVVQYVPSAGEKLEDMTDQLRKRMMKKRKVKTLSFAITNDVCIEVNTYALIRPTAPGTITWLDSISNLPLKTERSFICNDTGALLQAPQERFQLYNDKVVKFSVRELSDVKRVSSHHLRLLGFKPLDCLKDYHNLSPSTFIYPSDEQIFGSTRVFVALHSSMLRLGRFALAFYGTPTRPRLVALVAQEEVISSSGQDEPPGMHMIYLPYSDDVRYPEEVHLTSGDAPRATDEQIKKASNLLRRIDLKHFSVNQFANPGLQKHYGILEALALGEDEMPDIKDETLPDEEGLARPGVVKAIEEFKAAVFGENYDQEEAEAAAAKGGASKKRKAIADAASQKSAAYDWADLADNGKLKDMTVMDLKTYLTAHGLPVSGKKDAIISRILTHLGK >SECCE1Rv1G0032980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:461474940:461481372:1 gene:SECCE1Rv1G0032980 transcript:SECCE1Rv1G0032980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPANRGRWRRRSARSHVPLLVAIFFLLLPASLLLSSAYSSLLRSLLPFSASAPGSGGGTLRCGRSAELEGERFLWYAPHSGFSNQVGELRNAAVAAALLNRTLVVPPVLDHHAVALGSCPKFRVAEPSNLRAAVWDHAMQLLREQRYVSMGDIVDLSPLKPLVKTIDFRVFVSLWCGVDMRKTCFSGLCCAVSGGGSLQSDYDRCRSLLSGLEGSESSGCVYPVQDDCRTTVWTYQENNDRALDLFQPDEELKRKKKISYVRRRKDIYKSLGPGNKAEDATLLAFGTLFSAPYKGSESYFDIHESPKDHRLQNLLEKIEFLPFAPEIMAAGMELAKSKIKEPFLCAQLRLLDGQFKNHWKSTFSALKEKLKSLEVEMKTNKNSGSIHIFLMTDLPRANWTKTYLADIAKDVKYQLYTLEENDVLQTAEKLMAAEHGIRSGFLPKIRGNTNKDCDPVQLPEILLYIEESVCSCASLGFVGTAGSTIAGSIETMRKNNVCKL >SECCEUnv1G0566620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:406702251:406703365:-1 gene:SECCEUnv1G0566620 transcript:SECCEUnv1G0566620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAPAAAAKPKPRARAKAKAKPKAKPSPDSLSAASSSPSGASDGSPSPVALGRGLPSASKPKPRGRARAKPKGKASPDSLSGASSPSGASAGSPSPVAVGRGFLSPASLLMPQAKSPLLSAAAISTPASVSTVGDLRGLAASSLDSLKRRLDALHADNAHDLEASHSRISKRIKMQTQSCVQMAEEAEKKMLDKYSHQADDIKGSYKKLMTDVQSSSSRVCKVTLPEMSKSVARAMDGLRSRYNIPATTA >SECCE3Rv1G0205400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900011175:900012170:-1 gene:SECCE3Rv1G0205400 transcript:SECCE3Rv1G0205400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPGSSSFYSGEGEPASFPSPWLEWEGTGRRLLSPCPSPLPPQTLAHGVAAASLLLASTPPTSPPPIASRPPQPAPGFAFCPTDTELVSFYLRPRISGQPLPDAAKQFFHEADVYATDPASLVAGRLPGPARAQGESKNWYFFSLVKPRSAQDNRKCRIVGGGKGTWKQERGNDVADAEGRAVGRLERFTYTPNPKEDKKPPEWLMTEFSVDQHDDDGGQPRPVLCLCRVYQSPRFLKSASKNSASARKRKSPADESSAVKRQLLFPAPPPPPIPAPNLPAEDDVWAHIGADPELNFSWEELTGPWPCSMTAAAPFLLGSGHGGQCA >SECCE3Rv1G0191970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:753320757:753329795:-1 gene:SECCE3Rv1G0191970 transcript:SECCE3Rv1G0191970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADELGDEDQSVSGDSMSEWRSCDQVDNGSPSTSPPFWDTDGEDNDPGPGPSTLFGRHTWRIHNFSKEKKREMKSEPFEAGGFKWYILVYPQGCDVSNHLSLFLCVADHDKLLPGWSQFAQFTIAVGNLDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVNDVLEIIAQVQVIREKVDRPFRCLERPYRRELLRVYMTNIEQIYRRFVEEHRSKLSNLIEDKMRWSSFCDFWLAIDTSTRYRMSREKSDVILKIIVKHFFVEKEVTSTLVMDSLHTGLKALEHQCRGMKGRGKLMELEELSAPMVHVDMDTFSLTGDVLALLERAALEPLPCQPLSPKDDKCSQSRTKAGSSGEVKVSIEHEEKRLTEFGQKILETFVLSHIFSGIEVAYQEAVSLKRQEELIREEEEAGLLESEIKGKRNNTTEKEKRAKKKQAKQKKNNRKVKDKDREEKSDSNFAEKDQDESTIHDSEDSKQAGQVAMKVDTSEEGASDISDNFDGSAEACQTNAGEKNSQPVNGVNSIGIETKNVHTGKNSTVEHKPLPCLSESATMNIMQGKRNNLLDSSSQTSLHRGKTPRTRVISSKNVAKDEDDHLSSTTGGSDRNASGCGPAPKPDQETVLITLKDRLRKLGQRIHEKETEGRKLEAHLEKKAAAEAVSSSSSSSSLDKTPNVLNSPEQPSVTSPDASMYAPPHSQPVITNGANGAIPAVPKTTVSTKSGRTVAPSKVKPALCKEHVSSLNLKIDRATSVTPRSLPVDKAATLPSSLSLANKAIPVPPQSSAPWVAKAAKGIPAPPKPSAQANKVTKAAPVPLKSPAPQVEKVAKAIPGLSKSPAPQVNKVALPNAASRQLPSVSYSEARKSAVPKKIVGTSVPRTSTAVSRPSSAPLFQAPRSTLAPIPGAQVPPSQSRSLTASGRSSNEPSAPVPSYVPQSYRNAIIGKGSLDTTMSSFDKATSPGQGNAISQPLSAYALGASAMLPPVGRNGQLSGNQGFMSGQGKLDTLDSWHPWKGISDAKGHMLRDDTTYQQMTNGDARIRPWSDNSYQQASNSGTEEQGRFGGIQHRQFQSEIPTNFDSHQLQGSVGEEFPHLGIINDLLEEEQSSVSIAEPPIHEYHPFGLPFSPGGNFTEADMTSSSSSGQLNLNGHYYDRLNALHRLGEGQFSTLDAYSNGMTDSFSSKPWLYSYPNPMVNPGVNGFSQQMGDYTNYTSGRANEYMYRRANGQW >SECCE5Rv1G0324420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:446817521:446818975:1 gene:SECCE5Rv1G0324420 transcript:SECCE5Rv1G0324420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMNQGQGETSYARNSSIQNSQQNRMKPLIEAAIVDLCGSRSTLFPEKMMIADLGCSSDPNALALVSTAIKAIINHCLQFQQSPPEVCVLLNDLPDNDFNTMVKSLVTLRQSNNKPVVVTGVAPGSFYERLFTSDSLHLVCSSNSLHWLSMALEDLTMNQIPAYDMDEHARCERLPMVREAYARQFRKDFMLFLELRAKELVPGGRMVVSLVGRPSNVKASKFFHLWETVVQILSVMALEGVIDKAKFDSFYVPVYGPSGEELREIIQDEGSISIRDMRVYDATTDMDSMLFTPSSFVNHLRAIFEPITVEHFGEVMDEFVSTTERCWSLEGSMQDERARNPRAMMVVSLAKA >SECCE4Rv1G0231000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:175177678:175179171:-1 gene:SECCE4Rv1G0231000 transcript:SECCE4Rv1G0231000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAVRGEQGTHFLVAAYGIQGHLNPARALARRLAAIDGATATLSVPLFGHRRMFPSASPDDQEVSDGVIHYAPFSDGQDDGSWPTGSGDETARRRRASCDSLSAVVRRLAAAGRPVTCVVCTLNMPTVVQVARAHGLPLAVYWIQPATALVAYYHYFHGHGEGGIAAHAADPTYEATLPGLRRTMRMGRDMPSFLADDATGTGDELSQMIVRGFREMFEQMDDEEVIMKPCMVLVNTFEALEATALEAIRPYLGDVFAIGAPVVPLAGAGEDQTIHLFAQDEEKRYMAWLDAQPPKSVVYVSSGSLLTYSERQAEEILCGMRSLNRPYLWVVRREGRLPEVDRLLLDTAAVVPEGMVVEWCDQVRVLSHPSVACFVTHCGWNSTLEAVACGVPVVAAPSWSDQPVNAHLLAEEWGVAVRAEREADGVLTGAELARCVELAVGNGDMAAAIAANSRAWKERAREAVAAGGPSERSLRSFVKRVQELEFLRSNFFFF >SECCE7Rv1G0462420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45679763:45685498:-1 gene:SECCE7Rv1G0462420 transcript:SECCE7Rv1G0462420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Squamosa promoter-binding-like protein 6 [Source: Projected from Oryza sativa (Os03g0833300)] MEAARVGSQSRHLYGGGLGGELEQARREKRVFGWDLNDWSWDSERFVATPAPAAVPNGLSLNSSPSSSEEAGAEVSRNGEVRVDSDKRKRVVVIHDDDDEKDEDPAGNSNHVLSLRIGGSSVAGGAVEDGGVNEEDRNGKKIRVQGGSSNGPACQVEGCCADLSAAKDYHRRHKVCEMHAKANTAVVGNTVQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNKRRRKTRPESAVGGTPIEEKVSSYLLLSLLGICANLNSDNAEHLQGQELLSNLLRNLGNVAKSLDPKELCKLLEACQSMQNRSNAGTSEAANALVNTAAADAAGPSNSKAPFANGGECGQTSSAVVPVQSTATMVATTETPACKFRNFDLNDTCNDMEGFEDGSNCPSWIRQDSTQSPPQTSGNSDSTSAQSLSSSNGDAQCRTDKIVFKLFEKVPSELPPILRSQILGWLSSSPTDIESHIRPGCIILTVYLRLVESSWRELSENMSVYLDKLSSSSADNFWTSGLLFVMVRHQIAFMNNGQVMLDRPLAPHSHHYCKVLCVSPVAAPCSATVNFRVEGFNLVSSSSRLICSIEGRCIFEDDTAIMADDAEDEDIEYLNFCCSLPDTRGRGFIEVEDSGFSNGFFPFIVAEQNVCSEVCELESIFKSSSLEQADNDNAMNQALEFLHELGWLLHRVNIISKHDKVELPVAAFNLLRFRNLGIFAMEREWCAVTKMLLDLLFDGFVDAGLQSPKEVVLSENLVHSAVQRKSARMVRFLLTYKPNKNLKETAEAYLFRPDAQGPSAFTPLHIAAATSDAEDVLDALTDDPGLVGLNAWRNARDEIGFTPEDYARQRGNDAYINLVQKKIDRHLGKGHVVLGVPSSMCPGITDGVKAGDISLEICKAMPMTTTSAARCNICSRQGKMYPNSLARTFLYRPAMFTVMGVAVICVCVGILLHTLPKVYAAPNFRWELLERGAM >SECCE4Rv1G0247910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:564715009:564717921:-1 gene:SECCE4Rv1G0247910 transcript:SECCE4Rv1G0247910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGEQNGNGHAPAAAGNTPVEPPQQQQQPAGADEAELVWKLRKYLVLMAILVAAITFQAGLAPPGGFWQESKKDRVASDIVMRSSYPRRYHVFFYCNTTAFGASLMVLILLLVRKVSRNPVWLRALQFAMILGLLGLMGAYAAGSCREVRTSVYIWVLLVGIFAYVTLHVVFFKHLAPERLQKFLSGVSKRWKGTLGDIFTPAKPDEQKASNAERVADEGLTSIQMKEPERNGSSEQVLAAAAAEEQKKKKEKEAADREEEVEHLERNRSSLLVLATLAATVTYVAGLSPPGGFWSDDNNNHIPGDPVLRDHYPRRFKAFFYCNATAFAGSLVIIIMLLSQTALDHVVKSNALRLCVIVSLFGLMGAYAAGSCREVHTSIYVFALVGAVFLYLIIKFIGPVVPKPGCVGNIITLMEEKWDKLLKKLKGFFMTPSESDEQPQSNNGDIPNPDGDDKDKDGLQKLRTYLLLLGILAATVTYQAGLNPPGGFWTDSSDEHTAGDPILEVISPKRYKAFFYCNATAFVASLVIIILLQSQQITLHAMKRNVLQTAMAVVLFGLMGAYVAGSSRKFSTSIYVFILVLLVFAYVALHTIYVPRFLKAPVEKIIQDIEASMQESSQNLGSSELATEEKDLQKRRKFLMLLAILAASITYQTGISPPGGFWTDNNGHRAGNSVFRDEFRNRYRVFFYFNATAFMASLAVIMLLVSKRLCDRGLKCYALRACVLVDLISLMGAFAAGSCRKVSTSAYVILVIVAVFAYVMIQVMVLVYAKDKVNKLMVWMFKGQMASYKISEHQDTSTDRKRSTREHKWRKDLMMIGTLAITVTYQAGLLPPGGVWPDDRDGHFAGDPILHDTNLTRYKVFFYCNATAFMASMVMVSLLLNNTISKYKRSLLVMKTAMVLGLLGLLGAYAAGSCRKFKTSAYIFALVIAVIIYIVIHVLLSFDEVAMLVKEKWEKWVGCLKNCFGCN >SECCE4Rv1G0232040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193293523:193295538:-1 gene:SECCE4Rv1G0232040 transcript:SECCE4Rv1G0232040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPEEFLGQQSFLARLEPPSPSLFLGLPPTPRGEEDGDSSFDDMALPYISRLLEEDMEDHFFYLYPNHPALLRAQLPFAQILVDVADSASGSTSALSPSSSTSTSDATTPSTTSPYDAATQISRPPYAQVLGLASDSPDTQSSALLSGDEEAQNPSSDFVIGNYLLPGDQDMLNLAFLKGMEEARKFLPPSSSLPAMKVDQVVGGALAGAGLKKKRDALEPDMGRASKLMMPEQEEDGARELFDEMMFQEHEICMKGVQQLRIAVDSEPGKNRRKKGRPRRDSSDSEMVDLHTLLLNCAQALSTDNRRTASELLKRIRQHSTPKGDAAQRLAHYFAEALDARLAGRGSELYQSLMARRTSVADFLKANQLYMAACCCKKVAFIFANKTICNAVVGKSRLHIVDYGLSQGLQWPGLLRMLAAREGGPPEVKITGIDLPQPGFQGAYHIEETGRRLSNFARVFGVPFKFHGIAAKRETVQPEDLSIDRDEVLVVISLCHFRLLMDENLGFDTPSPRDQVLNNIRNMRPDVFIHGIMNGSYGATYFLTRFREALFNYSAQFDLLDATVPRDNEGRLLLERDIFGRSALNVIACEGSDRVERPETYKQWQLRNHRAGLRQLPLNPDVVRLVLDKVKDNYHKDFVVDEDQRWLLHRWKGRVLYALSTWVADNAT >SECCE3Rv1G0181370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:611700049:611711628:1 gene:SECCE3Rv1G0181370 transcript:SECCE3Rv1G0181370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAPSPAKSASPSQPSGKSEVSDLKQQLRQLAGSRAPDADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVLKKMCYLYVGVHARAHPDLALLTINFLQRDCRDQDPTIRGLALRSLCSLRVPNLVEYLVTPLTTGLKDPSAYVRMVAAVGAAKLYHISATTCLDADLPASLKALMLSDPDAQVVANCLHSLLEIWTLEAANSEAAGREIETLYSKPVVFYLLNKIKEFSEWAQCHVLELASKFLPSDNNEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSSDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSQNIQEPKGKAALIWMLGEYSQDMHDAPYVLENLVDNWDEDQSPEVRLHLLTAVMKCFFKRPPETQKALGATLAAGLADTHQDVHDRALFYYRLLQYDPAVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGTFEYSEDLANLTVGAEAPETVISAQRYQENDNDLLLSTSDKEDNGIRSSNGSYTSTYNAPSDLLSSQAPAETALINPSSSTYSTQTNFSLDDLLGLGVPDAPAPPPPPALALNSKPVLDPATFQKKWGQLALSFSQECSLSPQGAASLMNPQSLIRHMQSNYIQCIASGGQPPNYKFYFYGQKAGAAAFYLVECIVNTASAKAQLKIKTEDGTTAEAFSTLFQSVLSQFGLS >SECCE2Rv1G0122100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:813106562:813109784:-1 gene:SECCE2Rv1G0122100 transcript:SECCE2Rv1G0122100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPRGRSQARTTRSWILSGMDFGDTRRKPNFTGKIAVAAALTVMCILVLKQSPGFGSTSVFSRHEVGVTHVLVTGGAGYIGSHATLRLLTDNYRVTIVDNLSRGNMGAVRALQRLFPEPGRLQFIYTDLGDPKAVNKMFSENAFDAVMHFAAVAYVGESTQEPLRYYHNITSNTLTVLEAMAAHNVKTLIYSSTCATYGEPDTMPIVETTPQIPINPYGKAKKMSEDIILDFSKKSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAASGIIPGLKVRGTDYPTADGTCVRDYIDVTDLVDAHVKALGKAEPSKVGIYNVGTGKGRSVKEFVEACKKATGATIKVDYLDRRPGDYAEVYSNPAKIRDELNWTAQHTDLRESLATAWKWQKAHPGGYGSA >SECCE6Rv1G0427020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:717412572:717413186:1 gene:SECCE6Rv1G0427020 transcript:SECCE6Rv1G0427020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAASEHRSAAAAASRRRICVFVVVVSLAVLFLLAVAVAVLAITALSPHPADTTISTIRLTSVSLSPGLSLNVTLDVVLSIRNPSPVASFAHDAGRAEVYYHGALAADATVPPGRIGAGSTNMVTVRVTVLADRLAGYAPQLYGDVLGSAGDVSLTVRTTVPGTATVLGLLRHHAVVLTVCDVVVSMRRPGAQSSSCHYRTKF >SECCE6Rv1G0417040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:653553687:653555493:-1 gene:SECCE6Rv1G0417040 transcript:SECCE6Rv1G0417040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSGGHRRNKSARNEAAAGKKNGRRRRNKSARNGATAGNGDRLSNLPNDLLLNILERVDTLDAIRTCILSKQMLNLPTMLSQFFLSAGSVPGHHDKARVFSRSEVLRTNNAVARVTDSILCTRNPKIAITKLKIRFVLMPRASLTIGRSVARAMASQKIGAAEFEIVTEKAFTDCSPADLLQFANQLNNFVGAFPDVFAGLRRLWLRNMRFAELDIANILSTCKLLASLRLTECDSGINSVLQVEHAQLVEIEVDFGEFARVELTCLPKLQRVSYNNWYSYGDPLYFGFVPQLSKLSLTKTGCRWDKTLELSQLLANVPNISNLHLDFQSEKIWVLPECPKLLTPVLSKLQHVNLDNLPEGCNLAWTMFILEAAPTLEELCVTLKNHWCIMMTDEEARKKHGYCEKADVNWKPYTRDFKHKNLVKLTIYGFQPDDSFMRYIRCVVDHTVNITEISLYDRKVCGSCDDLDPEIKVKVCPSRYPQTAEEMKQITEGLGLASRAVIHFRS >SECCE5Rv1G0339310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607014099:607015268:-1 gene:SECCE5Rv1G0339310 transcript:SECCE5Rv1G0339310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRCRLLTQLLSPPSASPASQLRRLISAAAPAISPNHSFAIENYLISTCGLTRPQALKASAKLSHLKSPANPDAVLAFLAGLGLSGPDVAALVAKDPQFLCASVEGTLAPVVVGLSGLGLSRSDIARLASLAVHKFRQKDIVPKLQYYLRVFGSSDTFLRVMTFCNLLSHSLERVVKPNVMYLRQCGLRDCDIAKLCIQRPRVIAANPEHVQAMVRCAENIGVPRDSRMFRHALNQVAFLSEEEIAARVGYLKNKFRWTDAEVGMAVSKAPMILNRNKESLQCRSDFLISEVGLQPAYIAQQPVMVCYSLEGRLKPRYYVVKFLKENRLLKRDPSYSTVFKAREQVFWEKFVCPHKEAAPHLEEDYDAACKGEVSTNFRFTRAKNRL >SECCE5Rv1G0331720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:540897673:540900628:-1 gene:SECCE5Rv1G0331720 transcript:SECCE5Rv1G0331720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTPLGLLLLVCVAALHADASQEAQLRRFIRSRRSSSNVNNIDEPRVGLTTATSSLREYSVHDMANLKAADKIHVLPGQPSGTIGLNQYGGYVIVHEKNGRALFYYFVEAASDAAAKPLLLWLNGGPGCSSLGYGAMMEVGPFRVNKDKRTLSENLHAWTKVANVLFLESPVGVGFSYSNTTSEYDFSGDKRTADDAFVFLLNWLKRFPEYKGRPFYISGESYGGHYVPQLAATILSHNLNSSTRTSINLRGILVGNPYLDANMNDKGRVDYEWSHGLISNEVWANITESCHLDKPGYTACVEDMIHGTHANIDAYDVYEPVCTEGPIETQYHSRYIPRSYDICSDRYVYVYLNDPRVQKALHARSQEWQHCSSLNWMDAPASMVPTLKWLIEHHLPVWLYSGDFDSVCPFIATSYTIKDLGLDVTEKWRPWIVKDETGGFVQGYSGGLVFATVRAAGHAVPSYQPEAALTLVSSFLNGTLPPRDEGAWTLPEWNA >SECCE5Rv1G0356990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:743096158:743097399:-1 gene:SECCE5Rv1G0356990 transcript:SECCE5Rv1G0356990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQKEGAKREVALSRDNYMSWSDDCTKYMLEWYIEKQRDKPPTFKWKAQHHLQCANDLNDKFGITATAKQVDRHFRSFKEKWKWIKLAKGRSGYGFDKVLNKFNIDKSEKSPSKLGVNGSLAIDVNDASENVESDGSSETSNHTSTAEQGLSDSDMIAPNSPAEGTSSNLKRKHVKAPHKKKPKVKARRASVLDDDVAASIVSLAETLKSVAPIQPIAVTDPNANLWKHIESLTIPANEKIELATYLAKPEQEIFRGFLNCASVQTFNAWVLDYFTHKYNGNDRAAVDPSI >SECCE2Rv1G0130670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:877950596:877964098:-1 gene:SECCE2Rv1G0130670 transcript:SECCE2Rv1G0130670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLYGDPSANSFSPLEEQIFSGQLPPSPPWQNINVDLDLDLDVLEDDIVRELSGRPANAASSGSGSGGPSSHKKLSHNAYERDRRKQLNELYLSLRSLMPDADHTKKLSIPTTVCRALKYIPELQKQVENLQKKKEKLASSNCKPMVLSVSGSIAPTVSATCLNDKEIMVQISLLRTRDADASTALPLSKCINVLENEGLQLISSSTSSTFGNKTFYNLHLQRSQGALNMECPSFCDKLEQAIRKTAGLCLQH >SECCEUnv1G0549710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:206408864:206410198:1 gene:SECCEUnv1G0549710 transcript:SECCEUnv1G0549710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKAGVGFQAGVKDSKLTYYTPEYETKDTDILAAFRVSPQPGVPPEETGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEDNQWICYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPPTYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKSQAETGEIKGHYLNATAGTCEETIKRAVFARELGVPIVMHDYLTGGFTANTTLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHSGTVVGKLEGEREMTLGFVDLLRDDFIEKDRARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTKIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNECHSRGIDHYHT >SECCE5Rv1G0319130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:371244793:371257030:-1 gene:SECCE5Rv1G0319130 transcript:SECCE5Rv1G0319130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRRPGTPPAARNTDNGYVETDPTGRYGRFDELLGKGAMKSVYRGFDEVRGVEVAWNQANLADVLRTPDALQRMYSEVHLLSTLRHDAIIAFHASWVSVSSPSPRGGCTAGAPRRTFNFITELFSSGTLRAYRLRYPRVSLRAVRGWARQILRGLAYLHAHDPPVIHRDLKCDNVFVNGHQGTVKIGDLGLAAVLRGAQAAHSVIGTPEFMAPEMYDEDYDELVDVYSFGMCMLEMLTVEYPYAECSNPAQIYKKVTSGKLPDAFYRVDDADARRFIGRCLVPASHRPSAQELLLDPFLSTQDTTMTLSPPPLLPALPTSGDRNDNPEEAGPVAARTDMTITGKLNTDDDTIFLKVQIVDEAGHSRNIYFPFDIAGDTAMEVAREMVKELDITDRDPSEIAAMIEQEIMRLVPDWVSGGCDDQQEYYTYADNDDNEEQPPFYYLSSSPTSSNGSHCGIGPTTSGGGHGGWFQDYAVSSDDDETSSTRSALHYSSEEAQPEEKPGISKTGQAKATRFGPGDGGTTAGHDVSSSRAGRPRHHRGSPDAGGDEAGRPRRQQGRMTRNRSMVDVRSQLLHRTLVEELNKRMFFNTVGAVENIGFRSIPGYGGGPSSSSATASSSRGGDQRGRRSGKDKHQLFMF >SECCE6Rv1G0378950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11591175:11592635:-1 gene:SECCE6Rv1G0378950 transcript:SECCE6Rv1G0378950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEAVVTEIVKKITRTSDLNSLSLVSKGLYTIEGNQRGAIRVGSGLCTATEALTSLCARFTNLQKLEIDYSGWIPGHGDQLDNKGLFVFSSQCSSLIDLTLSFCSHIDDSGLGCLAHCKKLVSLRLNSTPQITSIGLFSVAVGCTSLSALHLIDCEKIDSVEWLEYLGRDGSLEELVVKNCKGINHQDFLKFGSGWMKLQKFEFERKRGMLDFSLGCDYYDPSYNAHSMDIYDFCCESLKDLRLAHITTWPEIGLRVVLGKCKALENLWLEYVRALNDNDMIALSRSCSNLKSITLWLNLQLHCSEDGYCETRTSFTDNSLYALALNCPMLQIVDLRFTGCSRDWPSEIGFTQEGFLALIQSCPIRVLVLNTANFFNDKGMKALSSSPHLETLELILCHAVTDAGMRFIAHTPCLSHLTLRACHKVTDVGVAELGHAHKLESLVIEHCGRVSLPAAQGVTKSVHYSSKCSDALMKKIGLIDDY >SECCE5Rv1G0322930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:427528327:427530785:-1 gene:SECCE5Rv1G0322930 transcript:SECCE5Rv1G0322930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPPQPHGTKLAEMKKAAVIGGRKVAGKQEDVHQLRLLDNRYVQYRFLNARGAEAARAKAAAAENSLFGLAERLAGLRESVADKRAEAEKIKRDQRLCSIVGGQVPYLDRWSDVEEDYSSCLTGATSALHNASLRLPIIGGVRANYEEISEVLNSAAQLLEPASPLVQNLLPKVEEVDGVASKLAQIITSERDLIEECGNLLNQAHHMQMREYSLRSQLLQLRS >SECCE1Rv1G0049060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:638870387:638876672:1 gene:SECCE1Rv1G0049060 transcript:SECCE1Rv1G0049060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWWLLLLLLGVAAGGGGVLQVHGQVDSLGFISIDCGLPGETGYVDNTTKLWYTPDVGYTDAGTNRNISPEYKAGKSWRNVRSFPDGARNCYTLRSLVFGLKYLIRAMFMHGNYDGLQRWPIFDIHIGVNYWQTVNITDGDMPVIAEIITVISGESLQVCLVNTGSGTPFISSLEVRPLKNKLYPQSDASQALVLVARANIGSDKSIRYPDDPHDRIWIQLPTGYGWSPISTANQVQNDVSDFFEAPSAVTQTGVTSINSSTPIVFTQDAQPNGNAKDLVPGYVFMVYMAELQHLPSNALRQFYVKLNGKLWNTKTLGLKYLETTVMYNVKPDYASHQYIFSLEATTNSTLPPILNALEIFSVVPTTGIATAAQEVSAMTTIRDKYQMKKNWMGDPCAPTNYAWKGLHCSYAVSTAPTITGLNLSSSGLSGNISSSFASLKGLQYLDLSHNNLTGSIPDALSQLSLLTLLDLTGNQLSGSIPSGLLRRTQDESLTLRYGNNTNLCSNGYSCQLAKKKSNSMVAVYVAVPIFLVLMVVLLSILICMRRRKQGITTNSVRPQNEEINSNGHTSLRLENRRFTYSELEAITNGFQRAIGRGGFGSVYDGFLEDGTQVAVKLLSESSNQGVQEFLAEAQTLAKIHHKNLVSLFGYCKEREYMALVYEYMSEGALDKHLRGRDNNNTRTLTWKQRLLIAMESAQRLEYLHKGCNPPLVHRDVKTSNILLNAKLEAKIADFGLLKAFNNACDTHVSTARVVGTPGYLDPEYNATFQLTNKSDVFSFGVVLLEIVTGKPPLLNDPEPMSIIHWTRQRLARGNIEGVVDTRMHGDHDVNGVWKVADTALKCTAQVAEQRPSMTEVVALLHECLELEAARNHMNAGFYTAGSGGSVDGYGTGMSTDVSQSSSAFEMEHLGRVPTMSTGPAVR >SECCEUnv1G0532550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:21280881:21283703:1 gene:SECCEUnv1G0532550 transcript:SECCEUnv1G0532550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPQPLHASFLCSLALALLRAGRLSAASHVASTLPAASPPAALLRRLIPALASSGLAAAAVRFHSVPGDPLSLNSILLSHCALRSLRPALALLRSSESVDTVSYNVVISGLAEQGRHGGLAPALLAEMCKRGVPFDAVTVNTALVGLCRDGQVEGAAALAEMMVRGGGIHRLDVVGWNALIDGYCKTGDMAAALAAAQRMRTQGVGVDVVGYNTLVAGLCRAGEPDAARNMLETMKGDGVEPNVVTYTTFIAECCRTNAVDDAFSLYEEMVRMGVLPDVVTLSALVDGLCRAGRFSEAYALFREMEKIGAAPNHVTYCTLIDSLWKARRGNESHSLLGEVVSRGVVMDLVMYTSLMDWLGKQGKIDEVKDMFHCALSDNHIPNGVTYTVLIDALCRAGNVDGAEQMLLEMEDKSIRPNVVTFSSIINGLSKQGLLGKATDYMRKMKEMGIDPNVVTYGTVIDGFFKCQEQESALDLYHEMLCEGVEVNKFIVDLLVNGLRKNGKMEEAEALFRDMNKRGMLLDHVNYTTLIDGLFKMGNMPAAFKVGQELTERNLLPDAVVYNVFVNCLCMLGKSKEAESILKEMQTTGLKPDQVTYNTMITAQCRDGKTAKALKLLHEMKRSSIKPNLITYSTLIAGLFEVGSVEKAKFLLNEMASSGFCPTSLTHRKVLQACSQSGRPNLILEIHEWMVNAGLSADITVYNTLLRVLCYHGMTRKATVVLQEMSGRGIAPDTITFNALILGHFKSTHVDNAFATYDEMLCHGVSPNIATFNTLLGGLESAGRIGESDKVLNEMKRRGIEPSNLTYDILVTGYGKQSNKVEAMRLYCEMVAKGFLPKVSTYNALVSDFVKVGMMSQAKELLNEMNMRGVPPTSCTYDILVCGWAKLRNGTEVRKLLKDMKDKGFSPSKGTLSSICRAFSKPGMTWEARRLLIKLYKV >SECCE4Rv1G0288640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861369361:861370686:-1 gene:SECCE4Rv1G0288640 transcript:SECCE4Rv1G0288640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSRILSEPYRDGANPRRSAAIPMSRFGKAALLCFTLFAAWRVGSTLFASAPAEEAVVGGDASCLHLARVAGVRAAAGTGSNFVFSPLSIHAALAMVTAGARGDTRRELLRFLGSASLHELHHAPANELVGRLNGLAQTSFACGVWVDRRLTLRPEFAATGASRYTATAESVDFVSGAEQARLRVNAFVADATKQLIRDVLPPGSVDSSTSVVLANALYFKGAWSHPFDVSMAPFHIPGGTTVGVPSMTTGRSQYIALYPGFRALKLPYKNDVLRQADAFYMLILLPDSGTPSLSDLYDKAVSMPEFIRKHTPVEEVPVGQFMVPKFKFTFEVEASSDMRKLGVTRAFSGGDFSGMVSGGDGHGRVSISRVYHKATIEVDEQGTTAAAATVVLMDGTSLEEREPPHLVDFVADRPFLFAVVEERTEAVLFLGHVVNPLAG >SECCEUnv1G0560250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:345531869:345533371:-1 gene:SECCEUnv1G0560250 transcript:SECCEUnv1G0560250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFPWLTILVVLPIFAGSLIFFLPHKGNKIVRWYTISICLLEFLLMTYAFCYHFQLEDPLIQLKEDYKWIDVFDFHWILGIDGLSLGSILLTGFITTLATLAAWPITRNSRLFYFLMLAMYSGQIGLFSSRDLLLFFIMWELELIPVYLLLSMWGGKRRLYSATKFILYTAGGSIFFLIGVLGMGLYGSNEPGLDLERLINQSYPATLEILLYFGFLIAYAVKLPIIPLHTWLPDTHGEAHYITCMLLAGILLKMGAYGLIRINMELLPHAHYLFSPWLVIIGAIQIIYAASTSLGQRNFKKRIAYSSVSHMGFIIIGIGSITNIGLNGAILQILSHGFIGATLFFLAGTASDRMRLVYLEELGGISIPMPKIFTMFSSFSMASLALPGMSGFVAELVVFFGLITSPKFLLMPKTLITFVMAIGMILTPIYLLSMLRQMFYRYKLFNVPNANFVDSGPRELFILICIFLPVIGIGIYPDFVLSLSVDRVEALLSNYYPK >SECCE2Rv1G0078760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:112016739:112039259:1 gene:SECCE2Rv1G0078760 transcript:SECCE2Rv1G0078760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSSNMELHSQKLFEPDLPVQVRLQLVMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSTYTSPQATENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVAIYRNFKFTVKHFFDNPNASANVVPPVSNQHLDPTADGPGMLAVPSGGQLNPSAQSFKIVTESPLVVMFLFQLYAKLVQTNIPFLLPLMVEAIAENGPDKVPPHLKTPFVELKGAQVKTLSFLTYLLKSNADYIKPYENSICSSIVKLLVTCPADSVSIRKELLVGLKQVLNTEYRRGLFPLIDTLLDERVLVGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPLLSRIIYLFSRNMHDSSLTLVIHTTSARLMLNLVEPIYEKGVDQQSMDEARVLLGRILDAFVGKFRTLKRTIPQLLEEVEEGKERPNLRMKLEVPLQTVLNLQPPLEYTKEVNDYKSLIRTLVMGMKTIIWSITHAHWPRPQQQNQQAANLSVQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDEERDILQCFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSSLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFIAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRSMFRALNSAKFDSLMRDLIPSLQPCLNLLLSMLDGPTTADMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGNDDLVSLALCTLEFWIDSLNPDFLEPSMASLMSEVILALWSHLRPLPYKWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVGAVMQGNGMEAFYRKQALQFIRVCLNSLLNLRENVPAEGVSSGVLGHLLISSLDPSRRRNDASDMKGDLGVKTKTQLLAEKSVFKVLLVAIIAAKADTSLQDEKDDFVVDICRHFAILFHVDSSSSNQSGFGQPIGSALSSSITMGSRPRSTTSSNLRELDPLIFLDALVEVLSSENRQHAKAALSALNTFAETLIFLARMKHTGVLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVIGLGALVGKVSVETLCIFQVRVVRGLIYVLKRLPVHANKEQEETNHVLTQVLRVVNNADEANSEPRRQSFQGVVEFLAFELFNPNASIVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRPLRSKNVEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKLMNAKIVMTWNKLRTACIELLCTAMAWGDLKAPIHSELRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAQTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKTGDEPKIAAAMIELFHLLPAAASKFLDDLVTLVIDLESALPEDQFYSEINSPYRAPLSKFLNRYAVEAVDYFLARLSHQKYFRRFMYIICSDTGELRDELAKSPQKILASAFSQFNSQTEAGAAQLPSSGPGNQLLSSVKDEGAGASTNSFAVQSSSNMVIGSDSYFNGLELISTLVKLMPEWLRDNRDVFDTLLLAWKSPARIARLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVSALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPNLKKTILTHFLNIFQLKQYGQDHLVVAMQILILPMLAHSFQNGQSWEVVDPSIVKIIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDTRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHAELFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVVQESESQNQIVDMLSPTIIGGDPKRSSDVPMFADDLSKRVKVEPGLQPLPVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKEKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKMLYQRVQDLIQKHLAAVTTPQITLEASNANSIISFALFVLNALAEVQKNFIDPFIALLLRVLQRLARDMGSSAGSHARQGQRPEMDSAVSSRPTVDSTVICNMKTVLKLISERVMGSSEYRRNMGQILQTLLSERGTDSSVLLCILDMIKAWIEDDYTLVSSAGSVSSLNPKDIVTYLQKLSMVDRKSFSSSAQEEWDAKYLQLLYSLCGDSTKYPLASRQEYFHKVERQYMLGFRAKDPEMRKRFFRLYHDYVGKTLFARLQFIIQTQDWEAVSDVFWLKQGLDLILAILVENEPISLASNSARLTPLTIVGPVPDRIIMPQQVPDTHESLDGTSLSFDSLTMRHSQFLNEASKLVVADVMAPLKELAFADPNVAYHLWVLVFPIVWVTLQKDEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMLLMNEAKCSESLAELYRLLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQTLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLADFGKGVENYEILLDCLWKAPDWTYMKEHVIPKAQVEETPKLRLIQAFFTLHDKGTNGVGEAENLVSKGVELALEQWWQLPDMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGASSNHQNSFADLKDILETWRLRTPNDWDNTTIWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHITRKHGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLDMKGELVSGLNLINNTNLEFFPVKNKAEIFRLKGDFMLKMNDCENANVAYSNAITLFKHLPKGWISWGNYCDMIFKETQEEVWLEYAASCFFQGVKYGVSNSRSHLARILYLLSFDTQNEPVGRALDKYLEQLPHWVWLSWIPQLLLSLQRNEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMQQAMLANNAANNSSAGGNLTSDNQVHQAAQSGGATGSHEGGNLQGQEVDRSTVEGGTSTSHDQGQQSSTGAEGSQNALRRNNGLGWVTSAASAFDAAKDIMEALRSKHTNLANELELLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPDSATTFPSTLAELTERLKHWKNILQSNVEDRFPAVLKLEEESKVLRDFHVVDVELPGQYFTDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEQVVELRLQAYNEITKSIVNDNIFSQYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPAYDPNGLIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQTQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMINPLDFQQKVIHNVDHVINRIKSISPHYLADEEENTTEPPQSVQRGVTELVESALSSRNLCMMDPTWHPWF >SECCE3Rv1G0207830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:924736909:924740851:1 gene:SECCE3Rv1G0207830 transcript:SECCE3Rv1G0207830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATATATATVEATEAEAPLSSWVVEMEKTIGEMEIDPAAEMARWKRHSIYRVPERIKNLHNSKAYQPELVSLGPFHHGDPELVPMEEHKRRAVVHLVKRSGKPLREFVAAVAEVAQQLQDAYKDLGDEWRGAGTDRFVQLMVTDGCFLVEAMRMDALRGKVHEEYAPNDPVFSKYGYLYLWNYIQSDMVVVENQLPLLLLQRLLIVLDHRKYQNASRVSRLVLDSLCPWRRHLVGINHLGLHPLDILYTSLTHGDHQERTGSTAYVMPSAMEIYEAGIHFRVSDTDSLLDVHFERGKLSMPAIRVDDRTEKKFLNLMAFERLHPGAGNDVTAYVIFMDNIISSAKDVALLRSKNIIECGLGSDEEVAKLLNNTLNKGGVMSPASRLHDVQRRVKAQCTMRRNKWRANFIQRYLRNPWVFISLVAAVVLLVATLLQTVYTVLPFYKII >SECCE2Rv1G0110360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:688988970:688992925:1 gene:SECCE2Rv1G0110360 transcript:SECCE2Rv1G0110360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANNWLGFSLSGQGNHPQPHQNGSPAAAAIDVSGGGDFYGLQAQTAPDAHLGMSGLRSDANYGVMDAFHGGTQETQDWAMRGLDYHGGSSELSMLVGSSGGRMTVDDGEAPKLEDFLGGNSFSDVQDHAGSYLFSSGSAMGSGAASGSHGVDGRGGSTIELSMIKTWLRNDNNQAQHDQEMSADASATSYACSGAPGSTGNGVGVASSRGQGLALSMSMGSNSHPQMPVVPAAVGTESTSSENKRVDSPSAGTADAVQRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGKQVYLGGYDKEDKAARAYDLAALKYWGTTTTTNIPISTYEKEIEEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFTTEEEAAEAYDIAAIKFRGLNAVTNFEMSRYDVKSILEGSTLPVGGAARRLKEAAELAEAGVWRAEDGSIVSHLQADAMAGYHHGWPTSIAFGSHQQQQSAAQLALHYPYGVGGQARGWCKPEQDAVIAAGHGGQDIQELHLGSGGSTHNFFQPASRTAVYGNGGGGAWYQGLGGNAYMMPVGTVVDADQGHSGSTATTEEGRLVGYGAEAGVDPYAAMRRAYELSQGSSSVSVAKVADGYSNNWSSPFNGMG >SECCE5Rv1G0323650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:438585728:438587588:-1 gene:SECCE5Rv1G0323650 transcript:SECCE5Rv1G0323650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQKLYEVCKVSLFEKGPSSPEAVENVRAVLDMITPSDVGLECEAQAARVWRRPRALNKKTVFHSSPAIRYRHIYECKSFSIGIYCIPASSIIPLHNHPGMTVLSKLLYGKVHVKAYDWIDIDEPGNLSKVRPAKVVRDGEISAPCTAMVLRPTEGGNVHALKAITPCAILDILSPPYSSKDGRHCSYFRRRPRSDPSGILWDRTRESEFVWLEEYQPRDNFVIRRDLYTGPTLEL >SECCE6Rv1G0407080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:554016202:554017856:1 gene:SECCE6Rv1G0407080 transcript:SECCE6Rv1G0407080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium sensing receptor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23060) UniProtKB/Swiss-Prot;Acc:Q9FN48] MAFTPTSVSATLAPSAPPPPAATKGTPRRAPWNAAPLAAAAASSTAALLAATPFAHAAALSKEEVAGSFTKVVDTVDAVIGVGGKVAEQSFVVLRALGEAVKPALPVLQSAGEQALKLASPVVSDASRQATEALQGAGVDLAPIQSAFKTVADAAQPAFGVAKPIASGTVQTIGSLEGTDYVVAAGAGFLAYLLLPPAWSLLSYGLRGYKGDLSPAQALDMVASQGYLIIDVRSEKDKGKAGVPQLPSNAQNKLISLPLEELPNKIKGMVRNAKRAEAEIAALKISYLKRIGKGSNIVVMDSYGDSSKIVAKTLNGVGFKNCWVMAGGFSGRKGWAQSRLGTDSYNLSVVEVVRPSRVIPAAAERFVTVSSTSTPSRTTRKLLPGSVDN >SECCE3Rv1G0162150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:126332861:126333841:-1 gene:SECCE3Rv1G0162150 transcript:SECCE3Rv1G0162150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATYSSLPLAQDQEAMSGPPHAVHQGQARQRAGGWRAWARRAYTVIALLAFTAGFAWAVRRTRRSPCDLAFVVTAYYLVAVLCCCIRKLQLERLDDDPAAAPKRRRSMLAVWAVSALFLATCFAWAVHRTRRSPLDLAFVVTTYWLVAVLCCCAWKLQLLRLDDDPSLAPEQRRARLAAWAGGLGALRRRLLCVGGVPRAPQAARPGVRDRHLLPHRRALLLPREARAPATRGCDPAAGPERRRARLAAMTVSVALGNTVALSAADRMPNLALRLAVFGLTAMAMGLVCFFIFSRIDGEYGRAQSAVGPRPERPLHEQSPEQSA >SECCE2Rv1G0122110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:813269291:813270844:-1 gene:SECCE2Rv1G0122110 transcript:SECCE2Rv1G0122110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 29 [Source:Projected from Arabidopsis thaliana (AT3G13840) UniProtKB/Swiss-Prot;Acc:Q9LRW3] MNYHEQDDQGLAVFAATSNDGLDWLEDSVSLLAGDIDLGGSYGWWCDILPAQRDDIGSVVAQTLSPPAPPATGSPLAHPSPSIASPAVSSPSPKKRKSPAHMVSGHSSSSSNQRRRTEQDRPGGGKKGGGAGSDRDARWAEQLLSPCAVAVEARNLSRVQHLFYVLGELAAFSGDANHRLAAYGLRALWLRLPAAVGPAAAAVVRVPAACECPTPSFAGAEPRLFRASLIKFHEVSPWFTLPNTLANAAISQLASAAPRPLHLVDIGVSHGVQWPTLLESLTRMAAGRAPPSVRLTVVATPPVPFSASPPGYDFSPHLLRYAKSIKLDLEISRATSLDPAHGFCTSPDEALVVCLQFRLGHVTAEERTDIIRRVKDLKPQLVVLSELDVGGNGSAAGEFTARLELLWRFLESTHAAFKGRDGEERRLLEAEAGTAVAVAAAREAMGRDGWRDRMTAAGFDQVAFGGEAVESAKSLLRKYDNGWEMSTAAAAATGGGGAVALRWKGQPVSFCSLWRPA >SECCE7Rv1G0465500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:70638119:70640133:1 gene:SECCE7Rv1G0465500 transcript:SECCE7Rv1G0465500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDATYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVTDTQSFLHTSKWIDEVNTARGKDVLIVLVGNKTDLVDQRQVATDEGEAKAKEHGALFMETSAKAGFNIKALFRTIATSLPGMDALSSAKQEDMVDINLRPASGAAGSGAAAQQEQNAGGCSC >SECCE1Rv1G0019080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:220953741:220959481:1 gene:SECCE1Rv1G0019080 transcript:SECCE1Rv1G0019080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGNAMPRGNPDGGLQGRSKGVPRANVQQLKLMGQGHPTGLTPNLLKLFEARPVLEHKPPVQKRKLPAYTGMAQFVSRFAEPGDPEYAPPVPTCETKAEKKDRIRKLKLEQGADKVAKELQNYVPQSDPNTTSDPYKTLFVARLNYETSESRVKREFEAYGPIKRVRLVTDKDTNKPRGYAFIEYMHTRDMKNAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRICGASADQKPPAREPSSVGRPRSEEPKRGDSRVDRDREKSHERVRERDRDENPREGSHDRMRERDSREERHYHRDRDRTRDRDRARDREKDHGRDRDRDRRDRDRDRDRGRDHDREKDRGLSHDRHRERGRDRERDHERASYERNRAHLKDRDAEYNGEPKHDRSMADYGKHYGSNQHEQHKSYESYHYQVQDRHAHETESSKRHEHEYYQAQPSTEPEGPEEGEAYEEGDYQYHQAAEEQKTEA >SECCE6Rv1G0381130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:29849712:29851642:1 gene:SECCE6Rv1G0381130 transcript:SECCE6Rv1G0381130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARGGGGGGEFMNYADIEEQFNNMALEGPSAQDGMDCIVSLIISCLPPPLVPAPEADDSDDDHFSLTSSDSEDADGHAVFLAVQDGDGEDHFSRLPRNLLSNIMSRLPTKEAARTMVLSTRWRCVWAATPLLVDDAHFRAAEEHSLFDAVRSISLCVAAHPGPVRALRVTRTSFYEQEYALQRLVASLAAKKIQDLILFNRPWPINMPLPEDILRCASLTRLYIGVWHFPDIPAAHRRPAFPNLHELGLFHSMVEDKKFNALLAHCPELKILSFALSYNYPSCLRLKSRSVRVVLEWVCTFDKIIVDDAPCLERLLFESFSERRRPVKIVHASGLEVLGFLDFQLHMLEIGGTVIRAGMTMKDDAMLPSLKILAVKVRFSHDKEVKMLHTLLRCFPCLETLHIMSIPSWSADGGDCAETWNSMGYSNCLSHLKTFVLHGFQGLDREQLFVSYILEKGIKTLGIVCGDSDGVLVKGNAPSGGSSGSGISVCPASSCWSFQHAIDLSVEDPFCVLRRDKARIASFTEAMRLCASLGC >SECCE2Rv1G0142220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:939161094:939164371:1 gene:SECCE2Rv1G0142220 transcript:SECCE2Rv1G0142220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEWDFGKSVDSRGRKNPRDQSLLRELMEEENELKDEEKELIKAMPEEHQRHLSEQMRNVKARSMSANGACGVEEQMDWDISSMEEQVPRIDSKRGDDVVEHMERGQSAQQLLIEAEKMAAEQKEFARYADAWDDKWGSNGFGLFHYMTTISSMQYTHLIPGSAPRHYCRAAPSLQVVSIRLAEIQGGLKWPLPVYGLIAVRDHVDHNRNLLFVCYRSSCQILKEEEPFLALTGPSRAIVCEESIDFEIQLKVRGTTESEDRALITGVCTYNRGDDTVCFTNCFCTVEFRLEVLQEAVQATVLGVRVIDGSWPSGWGGRVACSVIDGEIDATSGQLELLVSRESAMPVTSEGYLLLSRNVVSVDVHKSLNFLLEACLPSGAMIAQKELSFEPKLCNISQDSCELEGIELEITVAWSSLVSEKRDISVQGCVGDEEQDWDVASMEQQVPKIDSKLTSAMGLDTSELANESNTSMFVPDKSILEPADLVATNTVYDVEKMDRGKSDEQLKIEAKVMAAEQKSFAMYVDAWEGTWGGFDRFGCFRDMTTVSSMQYTHLIPGSTPLHYGRVGHSLQIFSVKLAEIRGGLEWPLAVYGTVAARDHVDHNRNLLFARNRSECQILNEEDSFLTLTGPSRAVVSEESVVLEIQLKVRGRTKSQDKTLMNGMCSYYSRRESPICFRNCFCTVELSLEGLQRTVQATILGVRVKEEGSWPKGFGGRVACSVSGPDDNPREIELLGSRYTAMPMTSQGYLVLSRNVVSVEYYRGSLNFILEAYSDSGDKVAQKNESFEPKFSNISQQTCKLTGGIELEITVAWSSLVSEKWDISVQGCVLL >SECCE1Rv1G0010170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:60991826:60993342:1 gene:SECCE1Rv1G0010170 transcript:SECCE1Rv1G0010170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADDLKKLEEELKNRESIVQAREESLKRLDEEKGKTVLIPSPAPSSYAASIKTYVPITLDLQDSNYVKWRELFLVALGRYGLSNHVIGDAAATPSDTSPTSDWGRDDYTVLSWIYGSISLELFGIIMAPGSSARQIWDAIANLFHDNKKSRALALDAEFRNTPQGDMTISEYCAKLKNLSDALADVGQTVSDETLVLTLLRGLNEQYAHLRTFLPFQAPFPFFLQTRSALVLEEAQKKTDAKNATATALWASGNSILPSAGGERAPSGGTARGSSISFNSNGQGYRGGGGSGGRGRGRGGRGRGRSEPWMFNPWTGLPTRAGHAQHPAPSQPQPWQQPRAWRAPAPGLLGPRPTSTSHAYAAYAPAAGYTNTQLHQAAPPLNFQQQQQQLDPALVTALNNMHFPNNEWYMDSGASSHMASDPGSSI >SECCE1Rv1G0053230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:668013922:668016459:-1 gene:SECCE1Rv1G0053230 transcript:SECCE1Rv1G0053230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRNRTYASGSNKRKKKNAADKLVQSQRGHIHIYYKPISTTSINPGDELAIVAIEEEQQTNVNSESDQQEENVDTNIDDNNVSDSENVGNSSDAQEQSPSVDEPFYTPDIYDPRNWDNLDNKARDVLVEKGPMREEKDKMEYRVDDAGRHFSYAHYHRKLSNGEKHDRKWLVYSEGVDKVFCFCCKIFNEKLKEHENSVDHINNMNKWNELRIRLRKKETIDKELQHQITKEKERVRQVLLRIIAIQLYKDDNGNFLACVEMIAEFDLVLQDHLRRIQNKEIHYHYLSHKIQNELISLLAAEITCSIIKVVKEAKYFSVILDCTPDVSHQEQMTFLVRCVNLSDGKIKIEEYFLALLDVNPRALYMPCACHSLNLTLCDMAKTCEKAVTFFGIVQRIYILFAGSTKRWNVLLKHVPSLTVKSLSNTRWESRIKSVTAIRYQAMELRSALSELRDASDTEPKDKSDAKNLFDALGRFEFLVVSKMLQSSTMCIDSALKQIKGITEYFEKYRDDGFSSSLTIAKGIASEMGIDPVFPMKRPEKAFRVKYFFVLVDMAISSLKDRFKELMVFKDIFGFLLSSGTLKSLSDNELEECCTKFAKTFSLDGSSDVEVYDLISELKIMRFTLPNGVMSAMEIFGHVREVDCYPNISIAYRILFTVSVTVASAERSFSKLKLLKNYLRSTMTQERLNGLATLCIEKKLLDEIDIDPIISDFASRNVRRNF >SECCE2Rv1G0109910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:684328555:684329274:-1 gene:SECCE2Rv1G0109910 transcript:SECCE2Rv1G0109910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAPAPNPRLGREAVVRAVGALLRWLKHHPSPSPEPIYLLVTLKTAPVRRFEHHLRLPLSPFPSIFLVADRLPDDLPDYIETLPSSALRSLPTVARRGLVLVDIRLKIPSSGKGAKGRGRVVPVDLADPAWTESAREAARRVELRVEAGTCRAVRVGHAAMAPAEAVENVVAAVEAAAACVPRKWRNVRALHLKAPESIALPLYPAPGPGVNDDSKDAKREAATAVEQGRVKRGRKE >SECCE1Rv1G0050470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:646948829:646950076:1 gene:SECCE1Rv1G0050470 transcript:SECCE1Rv1G0050470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPRTARLALLSAGPRVYSTVGAAATAVPAQYGGGAPAPAPRSKAAEFVVSKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGAARYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRRDFLHWWEK >SECCE7Rv1G0502220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:693821510:693824111:1 gene:SECCE7Rv1G0502220 transcript:SECCE7Rv1G0502220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g18485 [Source:Projected from Arabidopsis thaliana (AT1G18485) UniProtKB/Swiss-Prot;Acc:Q0WN60] MCILKKLGPQCTFRTGASNFWRRPWPHASKPPAPPAKPAAPSLPQWNALLADHSRAGRHAAALALLPPLLAASEGLAPDRFALPPAARSCGFIRGGGAAAWRQVHALAAKLGLPGDPFVGNSFVSMYGRCGRVEDAEKVFGGIPDAARNLVSWNALMAALSGDPRRGLELFRDCLVALGGMVDEATLVTALPMCAALGWLEMGRAVHGLAAKTGWDAAARVGNVLVDMYAKCGQLADAERAFPDAPSVVSWNVMLGAYARNHEAGAAFGLLRDMQIEENGCVPADEITVLSVQPAELHAFTVRRGLDATGDKVPNALVAAYGRCGRLLHADRVFAGIRSKTVSSWNALIGAHAQQNSAAAIELFIQMTNACGLKPDGFSIGSLLMACADPKHLLHGKATHGFILRNGLERDTILQVSLLSAYIRCSRTEPLARVLFGAMEEKGEVSWNAMIAGYSQNGRPGESLQLFREMQSMEGHCSSVISATSALMACSELSAVRLGKEMHCFALKADLCEDPFLSSSVIDMYSKCGFVDDARAFFDQLKARDAKVSWTAMITGYAVNGLGKEAVELYGKMRREGMEPDELTYLGLLMACDHAGMLEEGLHFFQEMRNHHHKIEAKLEHYGCVIGMLSRAGRFADAVALMAEVPQEPDAKILSSVLSACHIHGEAELGSEVADRLLELEPDKAEHYMRMVRKVLRDTGVAKDPGCSWIDVGGKVYSFVAGENPLPEMDEVRRMWRSLEERIREIGYVPDITVVLHELEEEEKVEALRWHSEKQAVAFGLLRTAAPAAVRVFKNIRMCKDCHNAARLISKVTGREIVVRDKKRFHHFRDGVCSCGDYW >SECCE1Rv1G0012610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:94513529:94514656:-1 gene:SECCE1Rv1G0012610 transcript:SECCE1Rv1G0012610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPWMVLASAAVVLLALAASSAVADASPQPSMSLEVGFYKQTCPQAEDIVRDAVRRAFGRNPGLAAGLIRMHFHDCFVRGCDASVLINSTPGNTAERDSPANNPSLRGFEIVDEAKAALEAACPRTVSCADVLAFAARDGAYLAGGIEYGVPAGRRDGRVSIADEVLVNNVPFPTDGVDELVASFKRKGLSADDMVTLSGAHTIGRSHCSSFTQRIHNFSGEAGRTDPSIDRAYAAELRQQCPPSTDNMSDRTTVPLDPVTPGEFDNQYFKNVLAHKVPLISDQTLLTSPWTAGIVAFHAAVDRVWQAKFAAAMVKMGNVEVLTGDEGEIREKCFVVNHH >SECCE2Rv1G0114980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:744523595:744523943:-1 gene:SECCE2Rv1G0114980 transcript:SECCE2Rv1G0114980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSARSLVETATRKIKPGNGLARLMTITTPRKHEKEINPTESVLPKDEKVEPLVAFSRPPPLPPVLGPLIALSLFQTNSGDEDSK >SECCE7Rv1G0520180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:867920169:867921614:-1 gene:SECCE7Rv1G0520180 transcript:SECCE7Rv1G0520180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTSSGSKTLRVLLFPHFATGHIQPFTELAISLAASSPNAAVEAIVAVTPGNVPIVQSLLERRRAGATVKIVTYPFPTVEGLPKGVENLGEAATQADSMRINIAATSEPLMRPAQETLIRSQSPDAIFTDVLFTWIIDVADELGVPCVVYHVTGAFPMLAMRHLLTEDSAIDGDDMVTAPPFPTPQIRIPRTELPDLSIFRYVFGKVHSMQAACFGLAVNTFSGLEQHYCEMYVRQGYVQRSYFVGPQLQSSRSATDAAAESKSEYIGWLDTMSDHSVVYVSFGSCALVSDAQLDQLALGLEASGKSFLWVVRAADKWTPPKGWEKRVEDQGVIIRSWAPQTAILAHPAVGAFLMHCGWNSVLEAVAAGVPMLTWPKVHDQFVNERLITDVLGIADRLWPHGAGIRSEDYEKHEVIPADDVARALLTFMHPGGRGDVMRTKVMDLASKSHAAVAEGGSSQQDLHRLVDDLMAAKARRS >SECCE4Rv1G0285240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843291075:843291386:1 gene:SECCE4Rv1G0285240 transcript:SECCE4Rv1G0285240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE5Rv1G0297410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:2277770:2279427:1 gene:SECCE5Rv1G0297410 transcript:SECCE5Rv1G0297410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQAFTVDLDKPLVFQVGHLEEQYQDWVHQPIVSKEGPRFFANDVLEFLTRTKWWAVPLIWLPVVCWCLNTSIQMGHTVPEVALMVVAGIFIWTLVEYVLHRYLFHIDTKSYWTNTAHYLLHGCHHKHPMDGLRLVFPPTAAAILCYPFWNFVKLFTTTTTTPGVFGGGLLGYVIYDCTHYYLHHAQPSFDPAKYLKKYHLNHHFRIQNKGFGITSTLWDHVFGTLPSTKTADKSS >SECCE7Rv1G0494440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:583594219:583595388:1 gene:SECCE7Rv1G0494440 transcript:SECCE7Rv1G0494440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGNAPRSRKRVEATVLKRARDGSAFTRCEACNKDVAIVLIDLHSCSLDSKIRLSLESQVVEKAVEIQEKKRKAPAAAAGGKGKKKSKADGDGAKKPKAKRPPTAFFLFMRDFRVEFKASHPDEKGVAAVGKAAGEKWKSMTEEEKKPYNDEAKELKAQFANGEGSAENNVGDEEKGDADAEEVEEAEQEVDKPEDAPEDEEEEEKNELDDDI >SECCE7Rv1G0498230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:636712670:636719946:1 gene:SECCE7Rv1G0498230 transcript:SECCE7Rv1G0498230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPAAVACALGVAFLGLLAASVSGQSPAPAPLAAADGEGGNSRFTCTDTEKKRPGCTGICPDRCPQSCIALCPSCQAYCPDQVKPVRPALFVFGDGFLDVGNNNYIEPNEAGEPWTANHSYYGIDFPKSEPTGRFSNGFNMADFIAKAMGLEMSPPAYKSLNNPTKIESGFSGVNYASATAGIWIDRGDGLNFPLTYQVKYFTTTMEKMEANHSRQQLRKMLSNSLFLISVGASDLYYIYDIMTRPNYIRSGPQPEPDNTTDVPHLISSYGDIITALYNLGARKFGIINVPTLCTPVGYSCDDLMTSLPKDFNDGIKPLMAGLASNLDGLRYSIADFHALSDAVSTNPSAYGFVNTWASCCEGPCAPNYRSPCGNPREYWYWDHENPTEQAAKLAATTFLNGTAQFTAPVNFKTLINQK >SECCE1Rv1G0021980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:277302817:277303167:1 gene:SECCE1Rv1G0021980 transcript:SECCE1Rv1G0021980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATIGDPLLAASVERAFEKQPLPGLWEQVTPRAVIVSVVLSIVFGFVILKIHMSTGVVPGMNMAVSVLSFALLKWFVSLVRTCGLPTSPFTRQENLLVLTTVVTVINLAITGKHQ >SECCE7Rv1G0499380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:653752196:653754100:1 gene:SECCE7Rv1G0499380 transcript:SECCE7Rv1G0499380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMIVMQVFMFASLCLPCSANAVHAATAEIATTNATDHQALMSLRSLIKRDPFQALNSWGNQSIPMCQWRGVACGTRGHRRSRVVSLDLSRLNLVGTIASSIGNLTYLRHFAVQENSFYGVMPSEVGHLVQLEYLNLSRNSIGGEIPPTLATCVQLRVIDMRHNMHKGLVPRELASLHNLEVLALGYNNLTGSIPVEIGNLKGLTCLYLASNMLVGEIPTEIANLRNLTKLYLSFNRLSGPVPAFLGGLQKLQILYLGPNRFSGPIPPSLGNLSSLLVLDVQTNGLTGSIPESLGNLNLFNVLSLTSNSFTGSIPRTLGKLSSLVEFYLNGNQLEGSIPPSVYNLSSLQIFSVQYNNLSGPISDHLGNRFPQLQNLIIDNNRFHGSIPESLCNASMLEMVQLSKNFFSGVIPKCLGATMKSLSALLLSSNQLEARNDADWDFISSLTNCSMLQYLALGHNKLEGVLPNSMANLSTNLRYFSVSGNMLRGNIPEGIGSLVNLQHLQVDGNFLDGKIPESIGNLRILVESYLSNNGLSGPIPPMLGNLTALITLDLSQNVLTGPIPSSLGSCPLETLSLPFNQLVGSIPKEIFLISTLSVSMELQGNMLTGTFPQEVSNLVNLGYLDVSDNRISG >SECCE7Rv1G0520480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:868734532:868734945:1 gene:SECCE7Rv1G0520480 transcript:SECCE7Rv1G0520480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIHEAIDMIRELLKFIDSSPSRLQDFNTIASGMGLPSKKGISVDTPTRWNSTWKMLVEALIYKSVLTSYATRKMIESPSEQEWQRAAAICEFLKAFEEITLIVSAHRKPTAHKFLPIVLCIRHALKDPAWQPLMC >SECCE5Rv1G0359070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:759264963:759265514:1 gene:SECCE5Rv1G0359070 transcript:SECCE5Rv1G0359070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFICPRCRAGVDRRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLKKKVRKLEDQAQIAIPICNYFWAVVGMVIALVVMLKMYGKA >SECCE7Rv1G0491890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:540838838:540845047:1 gene:SECCE7Rv1G0491890 transcript:SECCE7Rv1G0491890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAARSGLRRMFSIAEFATPNTRMFSISAFAPPSPPTPPPKADPSPNLFVSGLSKRTTTDGLREAFAKFGEVEHARVVTDRVTGFSKGFGFVRYVSVEDAAKGIEGMDGKFLDGWVIFAEYARPRAPPQMGGATPQPQQSWGTPSGSWGSQ >SECCE5Rv1G0340140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:612763390:612766104:-1 gene:SECCE5Rv1G0340140 transcript:SECCE5Rv1G0340140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAAVVRQVHSSSSFPSAGRRQLGGRADRVSLAPRAASPPRVSWEQGSGVPIRGRVWAKAGGSFEQDRVGVAAAASSSSPQAVEEGRVDFLKILKSANSIIPHVVLGSTVLALLYPPSFTWFTTRYYAPALGFLMFAVGVNSSVKDFIEAIKRPDAIAAGYIGQFIAKPFFGFLFGTLAVNTFNLPTAVGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTASAVFITPTLSYLLIGKKLPVDVVGMMSSIVQIVVAPIAAGLLLNRFLPRLCSAIQPFLPPLSVFVTALCVGSPLAINIKAVLSPYGLSIVFLLFAFHTTSFVAGYHLAGTWFRKSADVKALQRTISFETGMQSSLLALALANRFFPDPLVGVPPAVSVVLMSLMGFGLVMVWSKKTQV >SECCE5Rv1G0300360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:25715080:25720767:1 gene:SECCE5Rv1G0300360 transcript:SECCE5Rv1G0300360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHAAAAGVCAAPMQATSHGAFQGDNPLDYALPLAILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGVLLGPSALGRSSKFLHAVFPAKSLPVLDTLANLGLLFFLFLVGLELDIAAIRRTGKKALAIALAGISLPFALGIGTSFAFRATIVKGAPQAPFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAVALSGDGSPIVSLWVLLTATGFVIAVCVLLRPLLAWMAHRSPEGEPVKEVYICATLAIVLAAGFVTDVIGIHALFGAFMVGIVVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTDVATIRGAKSWGLLVLVILNACLGKIGGTVLASLVVKIPIREAVTLGFLMNTKGLVELIVLNIGRDRKVLNDESFAIMVLMALFTTFITTPIVMAIYKPARPSAPYKRRTVEGGAPADADSELRVLACFHSNRNIPTLLNLVESTRGTGRHRLAMYAMHLVELSERSSAISMVHRTRRNAMPFFNSGDKTEQMVVAFEAFQQLSAVRVKPMTAISDLETIHRDVIDSAAEKRAAIVIMPYHKLLQHDGSFHSLGSQYHAVNKRVLRGAPCSVAILVDRGLGGHSQVAAKNVEFSVAMLFFGGADDREALAYATRMSEHPGVAVTVTRFRPSRPSSDDAADEVAIEAFKGKVEAVKDGSAMYEDVEASAKEEVLRAMDSLSKSNMFVVGRMPPTEPLLERPEELGPVGSYLASPEFKTSASVLVIKRYDPATNPASKRFDPRARPPVATDVEDEEMGGASVVPVPSTPQNDLA >SECCE6Rv1G0432690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:753966539:753967690:1 gene:SECCE6Rv1G0432690 transcript:SECCE6Rv1G0432690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAASSSHLHITITNASSTITTNTNTKSQHQHHNSSSVSPRSGGSGGGGGSGTTNQACAACKYQRRKCNPDCPLAPYFPADQQRRFLNAHRLFGVSNILKTLRRLKPELCDAAMQTLIYQAEMRAMDPVGGCCRMIIDLEHTSELLSAELAALQQHLDLCRQAASGVAGGDVMDGPCADLEVTSSNHQQEQLLLHADQDPVVDALYVGQEGADPVIQNGADHDDSRQPQYHGGQQQQQQQQQQLYDYFYYEATGAGSDEAGRKPSGSGVDINVDVMQHFDYDSSCEVDDHHNKVDQLEPMISSSLDEHYQIGQKEYEMKVASFVDVLDVRPELQAVDGNADIGIKEELQEEDPKNNDDEIALRKAAHMAESSHCRLGLGF >SECCEUnv1G0540260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78716427:78717238:1 gene:SECCEUnv1G0540260 transcript:SECCEUnv1G0540260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSFLLLAALLALVSWQAVASDPGPLQDFCVADMHSPVRVNGFVCKNPMEVNADDFFKAAALDKPRVTNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNLPAPARNKFFSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSDPQISDDVLAKAFQVEKNTIDRLQAQFWENNHN >SECCE7Rv1G0466590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:79827861:79828289:1 gene:SECCE7Rv1G0466590 transcript:SECCE7Rv1G0466590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHLLLIVFMASILQAATSDTAYDVLAQNNFPRALLPLGVKSYVNHGGALQVSLPNSCDFNVTVAGGQHKIRFDSLVSGVIQPGSITQLGGVRIQLEWDFPAFHTVERVGDKLRFTGGDHAALFDQSFPVSNFVQSPRCN >SECCE4Rv1G0223860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:74774919:74777445:-1 gene:SECCE4Rv1G0223860 transcript:SECCE4Rv1G0223860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACTGPDVEADALLRPSSSSSDEDPEQCAFEPAEKIVVSITADPDADEEDLFSATGRAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGATAGDTLLWLLLWATAMGLLVQLLAARLGVATGKNLAELCRDEYPDWVRRALWLMAEVSMVSADIQEVIGSAIAIKILSRGFLPIWAGVVITALDCFIFLSIENYGVRKLEALFAVLIAIMAWSFAWMFIETKPSGKDLIVGLLVPKLSSRTLRQAVGIVGCVITPHNVFLHSALVQSRKVDQNKEYQVREALRYYSIESTMALVVPFMINLFVTTVFAKGFYGTKVAGTIGLENAGQYLQEKFGGEYFPILSIWGVGLLAAGTSSTITGTYAGQFIMDGFLNWRLKKWMRAMITRSFAIVPTIVVALYFNASESALDVLNEWLNVLQSVQIPFSLIPLITLVSKEQVMGVFKIGLTTQIVTWTVASLPILINGYLLLDFFSSEIRGAVSGSFLCVAVVAYAAFLLYLILRCTELPNHVFTPVNKDASFT >SECCE4Rv1G0224190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:77806380:77807243:1 gene:SECCE4Rv1G0224190 transcript:SECCE4Rv1G0224190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSPCAACKLLRRKCTQGCVFAPYFPPDQPAKFANVHKVFGASNVSKLLNELPVAQREDAVNSLAYEAEARLRDPVYGCVAYISVLQLKIKEVREEIANARKELAGYIGQAAYAPVVPVQHPHAHYAAAGMGLVQPHPHHHQQMAMQQQQHPYHQQQIAEAQQMAAAVEVARAGQQQQQQQQHHHQHQQHEMMMMRQNYANVHGAAAGPTVAVEPPQAAAYDGTAPFLIQQQASPSALTYRMEEPSPPPQSSGHSHVDMSHAPQQQRHQHTDGSDEGSGGAPPA >SECCE5Rv1G0331460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538874569:538875503:1 gene:SECCE5Rv1G0331460 transcript:SECCE5Rv1G0331460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADADTVVFEAPAHFRFYKSGRIERLNRPPILPAGVDEATGVTSKDVVLDADTGLSVRLYLPKLQDPSTKLPVLVYFHGGSFLIGSADDATYHSYVNALAAAAGVLAVSWAASAQDEWIREHGDTARLFLAGDSAGANIVHHMLMRAASNDGRPRVEGAILLHPWFGGSKPVEGEHPAAPMVTGMLWSYACPGAVGGADDPRMNPLAPGAPALEKLGCVRMLVTAGLADGLAARDRAYHDAVAGSAWGGTAAWHGSDGEGHVFFLEKPGCDNAKQLMDRVVAFIAGA >SECCE1Rv1G0058940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703183655:703185178:-1 gene:SECCE1Rv1G0058940 transcript:SECCE1Rv1G0058940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLERVEAAGDLRLEGTVQPQGSTDGDNQFILGHLLQQEAAVSEPVTVPLERVEEASVMGLEGMLQPQGNTDGDDQLLLVHLAQQGAAWYEPVPVPVERVEAPGEMCLEGMMQPVPVVMRNKRTGKEEEGGSRGSKRKRSPTDSTETDSKEPWLWQTVGDPTFPAADFRRLFGMCRPTFDHLCGRLADAVCKDSAERQPVTPVPVRKRVALCLWRLASGESLRDVADRFRPIALSTCHNIFKQVCAAIKDKIFPHVVGWPNAAAIAANAAEFQALSGIRGIIGTVHTTHFAIIKPKNNFIDYSNRHATARNNNRDSYSITLQASVNADGTFGEVFAGHPGGKSDEETLLAWTLSRPELTGQILGQSMRLVGGAGYPLMDWMMVPYSHQNLTPAQQSLNEGVEKVLAVGVDTFRRLNARWSLLQKRFEIKLKGEKKNDKDDDVHPALVLEVCCALHNICQRLGDPLDPELLQGVKLNNYGGAMVANDNPSPSASHLRDTLADDLHGSH >SECCE2Rv1G0080220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:128177047:128177941:1 gene:SECCE2Rv1G0080220 transcript:SECCE2Rv1G0080220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGGRNRKPRNSATFRLCPRPGAADPSDRVLVRVDGNPYRVPGLDDDDDCYFEGAAAVGDDGAEPSSSPSGAALPDHVRRAILELGLPDDGYNYLAHIREIRPSYSSTGGGGSSAVFLPTRRTARCGLPLSVKAYDARSVGVGLDNVASTGVMMPVEEAIDPDVTDLLEESEVPPETSEDENGDEESEVTPGTVEDEDEESEVPPETSEDEDSELEDDFVIIANQPEEEDQMDLEDDFVILANQPDGEEQMDMMDSRLERGSFMAALWAC >SECCE3Rv1G0152510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:47722609:47723675:-1 gene:SECCE3Rv1G0152510 transcript:SECCE3Rv1G0152510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPSDSSAGGDPWAPPPASGGGGPSEPAKKRQRTSSSSSQPPPQQPAPPGDAREVPDLGEDVMFEVLRRAEARTLAAAACVSRVWRTLAQDERLWEAPCVREWTDLGFSEQLLRAVVLPFGGFRRLHAVSVQARRRFGVGCGRWHGVRTPEQGRRKGVPWTEHEHRLFLLGLKEYGRGDWRNISRNFVQTRTPTQVASHAQKYFIRLSSGVARRSSIHDITTVHLTDDQPPSPSQ >SECCE2Rv1G0102100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:572980889:572982154:-1 gene:SECCE2Rv1G0102100 transcript:SECCE2Rv1G0102100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNFVKPPIGQSPKLQGRTPRRCSGVVRSSLQGAVVENRAEWLTSCAVLSSNVAALAPHSTNGHLALAVAASNGAVLDLVPVKTVNGSARNLPAPLRIADLSPAPMHGSELRVAYQGVPGAYSEKASGKAYPGSDAIPCDQFEVAFQAVENWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEDITRVISHPQALAQCEHTLTRMPGLNAAREAFDDTAGAAEYVAANGLRDTAAIASSRAAELYGMKVLADGIQDDSGNVTRFVMLAREPIVPRMDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDISLTKIESRPYRPIRLADDADSGTAPAPKQFEYMFYVDFQASLADPRVQNALAEVQEFTSFLRVLGSYPMDMTPMAAGVASSDSSSANSSS >SECCE6Rv1G0404930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:524843141:524844087:-1 gene:SECCE6Rv1G0404930 transcript:SECCE6Rv1G0404930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVREPMMMYDGGFDAEASAFDVFGYGCHDALLGGVDAAALFGGYAYAHDEPAGAASAYLQDTASWAGAGPSVLAFDRSARGHGAQVVAQEEAECDAWMDSMDEDQHAGPASTIGFDPATGCFSLTQSSGGAQRPFGLLFPSTSNGSLDAAAPSRASSKRSYAARVQDAHPRAAKKQCGASRKTSKAKPAAPINTTSPKGDPQSLTAKNRREKISERLRTLQELVPNGTKVDMVTMLEKAVGYVKFLQLQVKVLATDEFWPAQEGMAPEISQVKEALDAILSSQRQLN >SECCE4Rv1G0246760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:548695178:548696575:1 gene:SECCE4Rv1G0246760 transcript:SECCE4Rv1G0246760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHVLVFPWPLQGHINSMLHFAAGLLDAGLHVTFVHTEHNLRRTHRAEAVATPRLRFMSLPDGLPVDHPRSVGDLKDVAKSLMTTGPAAYRALLASALSPAADGGFPALSCVVADGLLPFAIDVAEELGVPALAFRTASACSFLAYLSVPKLVELGEVPIPVGADLDEPVRSVPGMEDFLRRRDLPSSCRRRAETDDVDPLLQILVGYSAHSCKARALIFNTAASLERSALAHIAPHMRDVFAIGPLHAVSATPAPATSLWREDDGCMAWLDGQADRSVVYVSLGSLAVISLEQFTEFLSGLVGSGYAFLWVLRPDMVGASQSAVLQEAVDAAGNGKALVVDWAPQRDVLGHRAVGCFLTHAGWNSTLEGITEGVPLVCWPFFADQHINSRFVGAVWGTGLDMKDVCERAVVEGMVRQAMESSQLRMSAQALSQEVRRDIAEGGSSAMEFKRLVGFINEFGKN >SECCE2Rv1G0100790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:547736321:547740616:1 gene:SECCE2Rv1G0100790 transcript:SECCE2Rv1G0100790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSQRQLAAAAAAASGGGSGTGGLPTYRVAPQLEVRLEEFELFAIDRLRVLKGISDGLSRGKRPEEMEKLVSELWKAHMRHQDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMENTLFRYRFRLESPESQRLLMSEFQLPYKALPHSEFEAVKDKLSQVARSIGQSASVESVFFKVPFEEVPDLVASRRVFLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQPNDATEISPKDIDQLARASFPLCMRHMLEKLRENHHLKHGGRMQFGLFLKGAGLKLEDALTFWRSEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTSYSCQKIISATPGVGDHHGCPYRHFGEENLRAALNNMGVGGNALERILDKVKNRHYQLACTMTFEATHGVSCDTGINHPNQYFSESQKVLQAKNQTVQSQLST >SECCE1Rv1G0027230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:380119436:380121698:-1 gene:SECCE1Rv1G0027230 transcript:SECCE1Rv1G0027230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAELWETLKQAILAYTGLSPTAFFTAVAVAAALYHVVSGIFAPPPPPRQRPREEPEAEPLPPPVQLGEVGEEELRQYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLNGDTSGLGPFELDALQDWEYKFMSKYVKVGTIKKAAPAEDGTTSTSPETNETVTAEAETEKAPATDHKPREVSSEEVKEKEATADAVSAES >SECCE4Rv1G0256640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:658709232:658712624:-1 gene:SECCE4Rv1G0256640 transcript:SECCE4Rv1G0256640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSLTCSAAVLIILFLPLGASDDRLVPGKPLSPGTTIVSDGGDFALGFFSSSGLVPSNLYLGIWYNSIPELTVVWVANRGTPVTNGTSSAPTLSLTSASNLVLSDSDGGRVVWTANMAAASSSSSMEAVLLNTGNLIIRSPNGTMLWQSFDHPTDTFLPGMKMLIRYRTRAGERLVSWKDAGDPSLGSFSYGCDPATSIQMFLWDGSRPVYRSTPWTGLRVKSEDEYLLTNTTAIIISLAFVNNDEESYTMFTVSDGAWHTRFVLTYSGKLQFQSWNSSSSTWVVFGQWPPHECNRYGYCGPNGYCDETVLPIPTCKCFDGFKPTSTEEWDNGEFWKGCQRKEALRCSDGFMPLSGMKPPDRFVIVGNTSLRECAAACGRNCSCMAYAYANLSSSIASGDMTRCLVWVGELVDTGRLGASTTSDTLYLRLAGLDAATGKRTRSNAVKVVLAVLGSAVLILMCISIAWLKFKGKDRNQEKHKKLPSDGSSDLEFPFIRFEEIALATRNFSEACMIGHGGFGKVYKGTIGGQDIAVKRLSRDSQQGTNEFRNEVILIAKLQHKNLVRLLGCCDEGDEKLLIYEYLPNKSLDATLFDDSRKHLLDWGTRLNIIKGIARGLLYLHEDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQENANTQRVVGTFGYMAPEYAMQGIISTKSDIYGFGVLLLEIVTGMKISSTSHAMGFPSLIIYSWNMWKEGKAAELADSSIVDTCLLDEVLLCIHVALLCVQENPKDRPHMSSVVFTLENGSTALPTPSRPAYFVGHSTELEQLRNNIQNSVNTLTLTGVEGR >SECCE6Rv1G0379330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13399986:13400303:1 gene:SECCE6Rv1G0379330 transcript:SECCE6Rv1G0379330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHVSPLRLAVVVLLLLVLICGRRPCGGASAMPVPEHADRSGRARRGHRRGSTAPTGAAVRRTPGLSPRGSRVTPSGPSERHNAWTDARDPDRRSQSPEARASP >SECCE7Rv1G0479150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:248763582:248767082:1 gene:SECCE7Rv1G0479150 transcript:SECCE7Rv1G0479150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRQGGSPGGGGSDGKPREKFSVYQNPSLTRALASRSVRPSLPVLLLLALSPIASASSLMALSSREEQLFKLAGGAGLSTAAVVFAVRLVEAALGLVALLTLPAFFRALMLYNGRKALAKEDKVVLSERQLGLLGLKTTGSEGSPMGEQTKKPPKAKPSTPSEPIVPIRRSSFSYTPSRVQPRIGSSHLSPGSERLTTLQMSQSTPLQKPVSSPSTPWSRKSSGSAKGIQTEAMLDQFLATLDENIDNATDSANKTATPPATITSFGIASPVSITTSTTPSGATRSTPLRPVRMSPGSHQKYSTPPKKGEGELPPPMSLEQAVDAFENLGVYPEIEQWRNNLRQWFSSVLMSPLVEKIKSSHIQVKQTTASIGASVNVSQVGSDLPSTTPPVSLSPLGGTKDWQPTVTVDEDGILNQMRSALLQSRNAPVAQTFGSPQQPQSNPLLPAIQACIDAITEHQRLNALMKGELIKGLLPQSSVRADYTVHRVQELAEGTCLKNYDYMGYRDGYGKSEKKWTSELPTDSHLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGILPPKERFPEKYVALISGVPAIIHPGALVLAVGKQSPPVFALYWDKKLQFSLQGRTALWDAILLLCHQIKVGYGGIVRGTHIGSSALNILSVLDSDMES >SECCE7Rv1G0497020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:619962789:619964599:-1 gene:SECCE7Rv1G0497020 transcript:SECCE7Rv1G0497020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVIALAPMTDDLAFLPIRFDGSRSAHCFSGSQLQDSILIFLAVPGAPPMPMSVLGTDSIASVKLRIQRFKGFVVTKQRLVLDGHELARNNCPVKDYGLADGNVLHLVIRLADLRVINIETASGKKFQFQVDQSRNVKYLKSKLAVEGDEDLDSLEDDDKLEYDGEVLEDHQLIADISNKDDAVIHLFIRKPAKVRTQQVDKDTVVTVDNPQKKENLQNESVVVTPAKPAGGKPAPIEPIVVNRKAKLSSEVMKMIDSAIAGLENGYTPVMSAEGSGGVYFMQDSSGQKNVAVFKPIDEEPMAENNPRGHPLSTDGEGMKRGTRVGEGALREVAAYILDYPVGDHESGRGVGFSGVPPTALVRSLHRGKSFKFGSLQMFMENDGSCEDMGPRAFPVKEVHKIAVLDIRLANADRHAGNILVSKEEGATCKLIPIDHGYCLPEKFEDCTFEWLYWPQARERFSDETIAYIESLDAEEDIKLLRFHGWELSSSCARVLRISTMLLKKGAARGLTPYDIGRILCRETVNRDSVIEDIIQEAEDGVLPGTSENLFLETVSEIIDRHLLVK >SECCE6Rv1G0383150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:50477258:50478616:-1 gene:SECCE6Rv1G0383150 transcript:SECCE6Rv1G0383150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVGASTATNQHRCSVRGAQKARVLSWESLNADLLNLIADRVLSSDLLHYVWFRAACKQWRANTPSPHGCGVVDPRFHPRQWMMFPEGNGLHPGHPALGGYICFLNIYTGIFIRVHLPCFEDHSVLDCPDGLLLLQRTKDAAICLLNPFTGDVAEFPPLASLCLYMSKFGIFLNGPYDLRLRMVHAAVSVHVGGRITIMVALSHAERMAYVSTGDKYWTHTSWMMTGMRTALPFRGSLYTVRSSKNKPSHIMRVNPPDSSSSSLWPSTPPQMIATCPANLMAKPYLVECNSELLLVGYTDRHSQLVVIRLADLLLGVPAMPLTSIGDHALFIGTWSIAVNSNNLPSVQGNSVTILNPPGSGRLRQYDLGRCTWSPLCDGRFISASGPIPRPYSLVHHIVSCCQRLYWISGHIWTRHHGSDPCWQGVAAVHRRGCTVCSDQLKAIHASNIYV >SECCE7Rv1G0521820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874951906:874954903:-1 gene:SECCE7Rv1G0521820 transcript:SECCE7Rv1G0521820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRTEQESSTSTSITNPMQGVGGGGGPAPDDQRPKRPPHLSIDIPAATSAPLTPTAAAEVEAVAATPGSNASRTPGSGSGSGSGKPQRTPSFMLRQTVRSLLPGGGSFKSSVRGYEASLSRLFSGRIARTASLPAVDDGALSASVHGVDKTPPSAPAAAADNKTGMHRSQSLPMNMKKLSSAKSIKRMNSLGGVYRVVPSTPRAPAATAATSNAAAPDIVPTEPGAGEEEDDHGEDIAEEEAVCRICMVELSEGGGAMKLECSCRGELALAHTDCALKWFGIKGTRTCEVCKQEVQNLPVTLLRVQSTRGGEASRATGGANGPRYVRYRLWHGTPILVVISILAYFCFLEQLLVAHNGFAALAISLPFSCILGLFSSLTTTSMVARRYVWIYAAIQFLFVVFFTHLFYRYLHLQAVISIILATFAGFGVGMIGNSIIIEVLRWRRMAPAQPRRARRPPRVTQQQQQQPAPAPASGQPSAAEEGQRSATVDVENPAIPQA >SECCE7Rv1G0522950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879611577:879611831:1 gene:SECCE7Rv1G0522950 transcript:SECCE7Rv1G0522950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGVIMIVGLIFINLCTCMPRNVANHGPHDTTLPQKEMRSLMSGTDGRNGPPSNDHQCPLGTYPNCQGMSQNTQEAAQDVGGN >SECCE7Rv1G0491470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:527543301:527549331:-1 gene:SECCE7Rv1G0491470 transcript:SECCE7Rv1G0491470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHSSPPTSPNKTPPPHSSEPAAGMPQDSRPLGMRLFGVTIAPAPAPQLDPPDRDRDPSPNPPVAVREDVMRKCKSMGNLAALASACPSGDAGGVGDGYLSDGGLLQSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGIAKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMASDLSPAPNCPILPPTMAKFHDMVTMTNQLQNSSLEGVSSSNAANLAKQVTRDLPPPVPSFTATNVDASLSKMNHMDCFSRVPSLFRPIPIVPEGTPPSAPATANTSALTFEANLTSCTSAFLIPQSGPSPLPPATAAKTDHPAENKDLELTVGLPSQQNMTNNIPSRNAVGVIQVV >SECCE2Rv1G0107290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:647993802:647994383:1 gene:SECCE2Rv1G0107290 transcript:SECCE2Rv1G0107290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNLTAGYVFQPTGRELVHHYLVPRAGLGGDFFPGFIEEGVDVLSMRPCELPFRENHIRDYGEVWGFFFAAKPAGEMCPTPGARGCWVQYGPEKAYYGEGGGEAVAFRRRFTYRITWKGGRVWSPTRWLMKEYRLNRDAAAFRRAHPDPEASDVVFVVHKVYRKPVLPPPADSSSSEEEGSERSILLKKRR >SECCE5Rv1G0313390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:259787930:259793836:1 gene:SECCE5Rv1G0313390 transcript:SECCE5Rv1G0313390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPKRCYYEILGLSRDCSPTDIKLAFRRLALTLHPDKQAPGSDVAAATAAFQELQHAHSVLSDPQERAYYDSHRSQILFADPVSPRSGTASASPVPDLFSFFSTSAFAGFSDSGRGFYKVYGDVFDKVYAQEVAYARRMGIPTDSIPTPPVIGNLDSPYTQVTAFYSYWLGFGSVMDFGWAAEWDAARGENRRVRRLMEEDNKKAMRKARREYNDAVRGLAAFCKKRDKRVVDMALRKKAEEEKQKKEEMERKKAEEKKKKERAMAYQEPEWARVDEVELAFEEEDDEETREKAKEELYCVACNKKFKSEKQWKNHEQSRKHKDKVADLRMAFKEEEEALKEAEEEAGGEWEEVDVGFDFKPANESEESDWSDAAEELAEELDEGLEVADEDNGNKDFNNGEQEVGSYDEASVLEAMLSSRKNKKSGYVVPQEEAPSVVTEDDNSEDRNSEVKSVKKKGRRRRASKKGQYEDSYADSGHGMKNDVPYEESVHDNDENDADDKVEGPPSSNDDGTSASVGVQQNEKTDNPKKNKKNKKGAEKKTTVSADLKITSKGKKQKEVSKARNDCETCGDTFESRSKLFSHLEETGHAVIKARQKSVKARNN >SECCE1Rv1G0006600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:34929231:34930005:1 gene:SECCE1Rv1G0006600 transcript:SECCE1Rv1G0006600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSTEPPSSDPAIESSVVEAGGTDSKAVIAALSAYRETPSSLSSTSKAPGSHQEQQYAPANHAGEAIAWAMGSSSQHLNKASQGLPLGLGEVSVAPGVGNPRDNVSPVVTEAVSPQPLKAHPRRRPAMSSHTDATTVSVPPQASERKRRLVRADALDRPRFSATLSTEEIEEDIYALTGALPRSRPRHRPPAVQNQIDLLLPGSRLSEINAESYRVPDDH >SECCE7Rv1G0521240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873208630:873208917:-1 gene:SECCE7Rv1G0521240 transcript:SECCE7Rv1G0521240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAQMKMVAISMMLAILFIAAANADPAPEETCIDKTDKVDLVTDCICSKNCACAGKCILKGGDGEKIQKCFVECVLKNDCNCNAKGHSAAAPK >SECCE3Rv1G0182420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:627957403:627971303:1 gene:SECCE3Rv1G0182420 transcript:SECCE3Rv1G0182420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPPPDAFAFSSDGELFAAVSDRRIQVWSTRGGQKIAGWTDPIAAQDDSYSCIACSSIQKKHKKDGDLIVVAVGTANGGVVALDSTGVIWKSACHTGKVISLHFSKQGRILITASRDGVICELDTWTGKSKDTLKASKKSINSLIVSHDEKFMVVSGKTTKLYSMKDKKEILKIPSDDGPIQLMSVSDEAHTLVSYTDNNKEVKVWSCDHQNCTVISTVSLAMHTQPKTVECKRSASYEAGGIVLAVSKKGVAYVWDLQTLSQDEVLPTKISVKKSLDKKGRVPIILAKLCDAEEDNTIKVHVVFGPLDCLQFKIVVLGENCEDINLVAESDALASEEQDAKVNNKMDDEQDRQENTNLTRQGRPNKRTASVLDSITDTVKEGNPEYNLDEPTMEQKLESLNSHNKSEFLEEQSASLAPPSADSVYILLKQALRADDHSELLKCMYNRDAKVIVKSVSLLTPGDALKLLKFFVSSIQSRGAKLVCLLPWLQTLLSRHMSSIVSQESSLLLLNSLYQLIDARTSTFKSALQLSTTLDYRFSEIADEETDEEEAAAPIIYEDKDTEDEESDIDAMETDGESEELGDATDALKHSDGSERVL >SECCE3Rv1G0152420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:47428324:47429356:-1 gene:SECCE3Rv1G0152420 transcript:SECCE3Rv1G0152420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAGCEGSPAATEGGDQQSAKKPRLAAEPLLLHVPVKQEMAVHETAGDVGAVVAADHGSRMEIAVKMDVTLLHCPLCIAPLKPPVFQCKGGHVACGGCVAEPCAACGGAFDVRSAVMDAVVSSTTAECDHDGCGRYVTYHELTSHRGECPHAPCECTVPGCGFAGPAPALLGHLTALHSTPVHRVQYGKVLVLQLPASLEPRGLLVGDEDERAFLLVGGALGIGAAVSAVCVRAEATPWPRYTLKVWASGPPPAPNRKADTVMAEIEVASSRAPGAVAVEELAYLAVPPKLLVGAGPSRRMSLKIRIDKFTS >SECCE4Rv1G0292980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884323485:884326865:-1 gene:SECCE4Rv1G0292980 transcript:SECCE4Rv1G0292980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEVIKADTIDVAVDMILVELGKDTTSSRENAIYFDGWDGLGASAVLQAVAKRLGVSNEPSTRPAGLDFEKIIHIDCSKWESRRAMQREMAEQLNLPNWVMEMFDRQDEEDDFNGLDQASRTEIAKVVREIYQTTQNERFLVILHNGSNEEIDIFKFGLYIYGYANSKLLWTFQGRFRLDSKMLDNVKKGMSTDVVLSASSDRRDPQELWSYLVHHEAVQVSCNKDGHDIIEPVIAAECVLYIMKQCHIGSHIVDYDWAIHTSNYWVCDGIIALTDTDKAWQVGDVLQQEVRLLDIDGESTATSSSHLARSTKHMPYWISTATCGFVLSPSGAIADIMFEHSHRLSVLKLSRCTFSFSSPPFLCCHSLRFLWLEHCVDLLTRTNTIDHHQSDANKEGELDNNTTMPWECFQSLWVLDLRYTDWDQIFSARVMDLMTQVRELNVMGARHWDMSHLRGRLPSIRKLRVTKSTCYFNNDVLSEMENMELLDFSGNTIKQGMTSLLGPTNNSSLETITIGGCDGLKVVTFRGCKELENLFLKGSLKSLEELNLSGTRVKTLNLTGVEAGSLPKQIILLGCEKLHAMLWPLSVTKEELPKVLHIDTTSSSVTAYGGEAPLVHPHVDLALHQQKEEMFKGGWQITLTDTRLLRSLSPLGDFSRASSIHIDICPAVTDGGTGRNIQGTSSETPAQVQPHSSIVMDSKYRDTLKDGPVATMMMWDCPKICLAWWKPNKTSCIIRVIIHGQDSKLSDDAPDATTGTLLLPDFICEYVTSLHVYDNPSITSVPVSPRGLVLDNLIWCRVERCPKLHTVFTVPQGPFCLRTLWASQLLSAVYIWDKPVKSKFAHVNFLHLDHCPRLVHVLPMSIWERGITFSSLETIEIVHCSDLREIVYCDDLREVLPLGHEHQQQDIILEFPKLRHIHLHELPTLQCICGRRMSAPKLKTIKIRGCWSLRRLPAVRRNTKPPKVDCEKEWWDNLEWDGLEKYHHPSLYEPTHSLYYKKAQLPRGTVLR >SECCEUnv1G0538000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:70932245:70934146:-1 gene:SECCEUnv1G0538000 transcript:SECCEUnv1G0538000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKRAYLAVYNWAVFFGWAQVLYFAVEALLRSGHEAVYAAVERPLQLAQTAAVLEILHGLAGLVRSPVSATLPQIGSRLFVTWGILWSFPETRTHILVSSLVISWSITEIIRYSFFGMKELFGSAPSSLLWLRYSSFLVMYPTGISSEVGLIYIALQFIKASEKYCIRMPNKWNYSFDYFYASILVLLVYVPGSPHMYTYMLGQRKKALAKSKTA >SECCE7Rv1G0460150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:29444689:29446564:1 gene:SECCE7Rv1G0460150 transcript:SECCE7Rv1G0460150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLAAAAAPAIRRMKLGSQGMEVSAQGLGCMGMSVGYGPPKPDPDMVALIHHAVAAGVTFLDTSDVYGPHTNEILLGKALKGGGVREKVDLATKFGAFFSEHGLAVRGDPAYVREACEGSLKRLGVDCIDLYYQHRVDTRVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITSVQMEWPLWSRDVEEDIIPTCREFGIGIVSYSPLGRGFFSVGSKLADSLSDDVRKLIPRLQPENLEKNALIFENVNAMATRKGCTPSQLALARVHHQGSDVCPIPGTTKMENFNQNVGALSVKLTPEEMAELESYASAGDVHGDRCSQMISTWKDSETPPLSSRKVEC >SECCE3Rv1G0212290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954892817:954896024:1 gene:SECCE3Rv1G0212290 transcript:SECCE3Rv1G0212290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFFCFGPAVEAEAAKPGPDAKDPRAAAPDRAGSDKLRLQGGSDPKNNHLAIPRDGSSQNIAAQIFTFRELAAATKNFRQDCMLGEGGFGRVYRGRLESGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDVPPEKEPLDWNTRMKIAAGAAKGLEHLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQATTRPHIGDVVTALSYLASQTYDPNAPTQHTRSNSSTPRARNVGGRNSEQRNGRSPNHHSPRTSKHGGEVSRTSSTGGDSGRRSGLDDMDMAGSQAGSPAQTVRKRETPRTADRQRAIADAKIWGENSRERKRPNGHGSFDSTNE >SECCE2Rv1G0124490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833007867:833008874:-1 gene:SECCE2Rv1G0124490 transcript:SECCE2Rv1G0124490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLDLDGVIQRLLDAEAPLSSPSAPPPLKGQEIRHLCAAAKELLLSQPTLLELSAPINVCGDIHGQYPDLLRLFREVGPPSAANRYLFLGDYVDRGTQSIETICLLLAYKLKHPDGFFLLRGNHECAAVNKQYGFYSECASRGPRVTHSECTSRAYKLWEDFNAVFACLPLAALVGRGSKDKKKILCVHGGLSPELESPDQIRQIKRPLADVPDHGLVCDLLWSDPAADGDDWGWGDPRRSTSFTFGADVVEDFCERHGLAMVCRAHEMKDGGYDQAFAGGKLVTVFSAPNYCGKCGNDGAVMTVAGDLACSFRVFHPETTATPPPAPIYIYL >SECCE6Rv1G0444390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827655330:827658134:-1 gene:SECCE6Rv1G0444390 transcript:SECCE6Rv1G0444390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLRALLVLAAVLAACLGPAAADQPGSVEGYTIAGRIKIDAARGFGLPAKTSNTKVILNGGQKVTFARPDGYFAFHNVPAGTHLIEVSSLGYFFSPVRVDISARNPGHIQAALTENRRVLNELVLEPLKEEQYYEPREPFNILSLLKSPMGMMVGFMVLMVVVMPKMMENIDPEEIKQAQEQMRNSPVPSFSGLLARANS >SECCE2Rv1G0078230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:106507297:106507926:-1 gene:SECCE2Rv1G0078230 transcript:SECCE2Rv1G0078230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLRVAPPDLQQGGNSRISYVHVPAARMSIVIYIATAINSSLFPLTKHPVFSLLFRNWYRNWCFFYFVYVSDWGVSGKAYVWNLSGVGCSFNFCIHRVPYLPGCTAFSKASYRTGSYFNPCWTDQYTNYKVSSQLVEYIASTWEISRSGTSIHVTMPIPILSNFANFPFSTCILFVLETRLPIPSFPKSPLTEEIEAREGIPLKT >SECCE2Rv1G0140660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932242858:932243244:1 gene:SECCE2Rv1G0140660 transcript:SECCE2Rv1G0140660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSFLLIIVVGFLYAIGSPVIGCGERMGNQLRSTAIENGWEPIGNINDQNIQELGRWAVLEFGKHVNCVLKFNKVVSGRQQLVSGMNYELIIEASDIGGKEDKYKAEVYEQKWTNKRQLLSFAKVK >SECCE6Rv1G0442720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:818658745:818659888:-1 gene:SECCE6Rv1G0442720 transcript:SECCE6Rv1G0442720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQWMIGQTSLSLGLNIARPTARLGAPPVTKVLVEEDFMSSRKSHEVEALEAELRRVGEENRRLSDMLRALVAKYADLQGKVSGMMAAASAAANNRQSSTTSEGGSAASPSRKRARSDSLDTAGRNPSPPLAAAASGGFAVSVNVNVGPDRDQAECTSVHEHGNSKRVRADECKASRVSKLYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPSCQVKKKVQRSAEDKSVLVATYEGEHNHAQPPKLQGSSGRKSGDATATAVRASPAPPLAQQQPRQQSTTEAGSAADRKNLAEQMAATLTRDPGFKAALVSALSGRILELSPSDS >SECCE1Rv1G0031530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:443836646:443838652:1 gene:SECCE1Rv1G0031530 transcript:SECCE1Rv1G0031530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion symporter domain containing protein [Source: Projected from Oryza sativa (Os10g0547500)] MALASLSKVVLGSAAFGVFWVLAVFPSVPFLPIGRTAGALLGAALMVVFHVISPDDAYASVDLPILGLLFATMVVGGYLKSAGMFGHLGRLLAWRSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKISFVGFFLGILPAMLAGMAVNMVMLLCMYWKDLDGNTPDAAAAEKDMAAVEEGRRPSPATSATLKSPGQTVLVQSSSLVAAADQDSVMAESISTKHRWFIQCSAPQRRLFLKSFAYIVTAGMLVAYMLGLNMSWTAITTAVALIVVDFRDAELCLGKVSYSLLVFFTGMFVTVSGFNKTGLPGAIWNVMAPYSKINHVSGVTVLSLIIIILSNLASNVPTVLLMGDEVAASAATISSAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAHDLTFWSHVVFGAPSTLVVTAVGIPLIGIINRK >SECCE1Rv1G0029170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:410574953:410575165:-1 gene:SECCE1Rv1G0029170 transcript:SECCE1Rv1G0029170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADHGELPITTGDGTTAVTTRFIKGVDKRVTITRGWSDFFRQAQMTKGQAYAFAFKCTSKGLRMIVYSI >SECCE1Rv1G0024470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:327025725:327025958:1 gene:SECCE1Rv1G0024470 transcript:SECCE1Rv1G0024470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRIGP >SECCE1Rv1G0013010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:100039937:100041365:-1 gene:SECCE1Rv1G0013010 transcript:SECCE1Rv1G0013010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVEITQSTVLEPSRESARGGGKKVPLTVFDRASTDGYIPAVFAWNTPAPTNDALKAGLVAAVARFPHLAGRFAADDHGRKCFHLNDAGVLVLEATADADLADALAHDVSVHINELYPKAEKERANEPIFQAQLTRYACGGLVIGTACHHQVADGQSMSVFYTAWASAVRTDSAVLTSPFVDRSATVVPRSPPTPAYDHRNIEFKGEHSKSHSYGVLPMDRIKNLAVHFPDEFVANLKARVGTRCSTFQCLLAHAWKKTTAARDLAPDEFTQVRVAVNCRGRAKPPVPMHFFGNMVLWAFPRMQVRDLLSSSYPAVVAAIRDAVALVDDEYIQSFIDFGDAERGVIEDGGEELASTAATLGTMFCPDLEVDSWLGFRFHDLDFGCGPPCAFLPPDLPIEGIMIFVPSCDPKGGVDLFMALDDEHVEAFKQICYSMD >SECCE5Rv1G0346260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660500124:660500540:1 gene:SECCE5Rv1G0346260 transcript:SECCE5Rv1G0346260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIHPKRLAQLVRKWQRVKNPSTDDEACCTTPVADKGHCAMYTADGRRFEVPLLYLGMTVFGELLRVSQEEFGFTCDGRITLPFDAAVMEYVMCLLRRNASEEVERAFLSSVVMPCRYPSCIVTHVAQQEQLAVCSS >SECCE5Rv1G0321570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:406718306:406718593:1 gene:SECCE5Rv1G0321570 transcript:SECCE5Rv1G0321570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVMVPTEFRLRNNIGCSWKVTVKLMNRRMTLDQGWATYAAVHQIKIGYMVTFKLLTPDTLKVIIFDDDGIEVVKKCGKHDEAFAAKD >SECCE2Rv1G0112790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:715542660:715543712:1 gene:SECCE2Rv1G0112790 transcript:SECCE2Rv1G0112790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEELERDYEIGEEIGRGRFGVVRRCASRSTGDLYAVKSVDRSCLADDLDRGLAELEPKLAQLAAAGNPGVVQVHAVYEDEAWTHMVMDLCAGPDLLDWVRLRHGAPVPEPEAAAVAAQLAEALAICHRRGVAHRDVKPDNVLLDACDGGGGGGDGPVRARLADFGSAALVGGGEGARGLVGTPHYVAPEVVAGGEYGEKADVWSAGVVLYVLLTGGALPFSGETAPDVFAAVLRGSPRFPPRLFAGVSPLAKDLMRRMMCRDVSRRFSAEQVLRHPWIVSGGGAREAVQPT >SECCE3Rv1G0203020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:879826331:879831608:1 gene:SECCE3Rv1G0203020 transcript:SECCE3Rv1G0203020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPPRTSVLLLALAVAALSCHAVEPPQQERKALQDFLAATPHERELGWNSPSAPSACLWPGVTCDARNVTVVAVRLPGVGLAGALPAGTLGELRGLHTLSLRNNRLFGAIPADFFALPQLRSLYLQGNRLSGAIPPDVAGLAALRHLALYDNHLSGEIPAALDGLRELRSLRLDRNRLSGAIPPDVAGLAALRHLALYDNHLSGEIPAALDGLRELRSLRLDRNRLSGGLQSLSGLRRLEVFNVSDNQLAGAVPASLASFPPESFAGNLRLCGEPLDRPCPSPGGGVVPPVQDKKRKRLSGAAIAAIALGAAAGALLAFILLVLCFVRRRRDDAAASGDDRNKAPTPATPVRGHTLTPSTVSGEMTDLTSSKEIPSAAGGGAAEMMRSRLVFMGGGGYSFDLEDLLRASAEVLGNGVAGPTYRATLEDGTTVAVKRLKNVAAERREFASAVEAVGRVQHRNLLPVRGYYYSSDEKLLVADFLPDGSLSAALHGSSGSSRTPMDWNTRKRAALSAARGMAHLHAAHDLTHGNLKSSNLLLRHGDPDAAALSDYSLQHLFSPPPSSVQGSVGGYRAPELVYARRPTFKSDVYSLGVLFLEILTGRPPTPVSTGVGDSGVSSDLPRWVQSVVREEWTAEVFDAELVSPDGGNDEEEMVALLQVAMACAATAPDARPDASEVVRMVEEIGTGPGRATTEDRVQGASEEEEQSWGTPTASATS >SECCE1Rv1G0009960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:59126172:59128883:1 gene:SECCE1Rv1G0009960 transcript:SECCE1Rv1G0009960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGGGAMAVEAAAVGAGAASGYSSEITFTVVMSCLMAASGGLIFGYDISITGGLTQMRSFLEAFFPEIIKKMDNAQQDAYCIFDSQVLTTFVSSLYLAGVFACLVAGHVTRRVGRRNSMLIGASFFLVGAILNCAAVNIYMLVIGRIFLGFAVGFTNQSAPVYLAEIAPTRWRGAFTSIFHFFLNVGMFVADLVNYRANTIPGWGWRLSLGVGIIPAIVILVGAVFIPDSPNSLVLRGRVDEARHSLRRIRGPAADVDIELKDIMRAAEEGGRHKSGAFRRILLREYRPHLVMAIAIPLFFELTGMIVVTLFAPLLFYTIGFTSQKAILGSIITDVVSLVSISVAALSVDRFGRRFLFKLGGGVLLVCLVGMTWIFGAELGTNGGKAMPRPYAVAVVALVCLFVAGFGISWGPLKWIIPSEIFPLEVRSAGQSMSESISLTLTFVQTQSFLAMLCSFKYGSFAYNAAWVVVMTAFIIAFLPETKGVPIEAMGAVWARHWYWKRFVKPAPEPTPTPDKQPDGSLEM >SECCE4Rv1G0259330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:684908263:684910370:1 gene:SECCE4Rv1G0259330 transcript:SECCE4Rv1G0259330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVNQGWTSSEVDEARSLIARLNTNKIMYDGNDEKNKKHNYIVNSLHAVFPSKTMKQVIDLYVDIAVEMHSIQSREVAHVTSGSLQNSFTFHDPVNGNYELPGEENGANSTHGVYSMGDHVNENFGVRDEEATIMDNNGLSFGCAMEDTGITVTGEEPLMVDNNKMEVLENNISIDQPVVAPHQWGFWTGGEHSMGGLVNENFKVQEDEDTAMDDNGFSYCCELEDARITKTEEAPMMVDKNKMVVLENNTSNDRPVVGPHQRKLWTKEEHKSFLYGLEVYGRGDWKNISKHFVTTKTPVQVSSHAQKFFKRIQKKASSGTKRYSINDVRLDDNELLAANNISAPRQALSFTGLNNDPSFELQAPTSSCTVMNNLTQCSPSIYNQQVGQQPMWSEQQMMGSVGAVMDGVGNYVPDGQQGSAYFYLGNI >SECCE2Rv1G0082910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:158385343:158386020:-1 gene:SECCE2Rv1G0082910 transcript:SECCE2Rv1G0082910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIEFPLLDQTSSNSVISTTPNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTACTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQNKNRCFTTSHKLYVRRSTHTGTYEQELLYQSPSTLDISSETFCKSKSSVPSYKLVN >SECCE4Rv1G0228790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:139321980:139323404:-1 gene:SECCE4Rv1G0228790 transcript:SECCE4Rv1G0228790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMSYPCSPLLSLPTHDESNLFMWSPQVALHENATIHVDHSTDQQPDNVFLDTAAQGYANDWHQQDPSDVGVFTYCDDRILGQESGNLVAIQEELMEENSLTDLLLTGAEAVEAGDPRFALAVFSRLDGLLLGTPENAAAGSFDRLAYHFAQGLRSRISSVSTSCSLPEPLASDRMSVQQIIQELSPFAKFAHFTANQAILDATKGDAHVHVVDLNIGEGIQWPSLMSDLASHGGMSFHLTAVTADADYSDGVHHASARRLSEFADSLNLPFQYSSLCLHSDEDLHEFSKNCKGSVIFSCDTTSMPYKLLSKLPALLPACVKLLQPKLMVIVEEELVRIGKEASLCKASFVDFFFEALHHFTTVFESLASCFVGGNQGACLRLVEREMVGPRIQDFVGQYGSVTVEVNAPVVLERYGVCELSGCNVAQARMLVGLFNRGFEVVHEKGRLVLCWKSRPLTSVSVWAPILKERSL >SECCE2Rv1G0097510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:462257298:462259016:1 gene:SECCE2Rv1G0097510 transcript:SECCE2Rv1G0097510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPVATRLRRSTPEHDAYTVRVADRRLVALATGHPADARRWIYTTRWLHQALLRSGRLIVSLGVQWTPVRGRGEGPQTPPPPATLQLCAGHRCLVFHLAQVDAEAVPAVLYRFLSDLRVVFVGYGSSYDRRMLWDHYGLDVASACDLRALTGMGNASVELMAQRFLGYRGISKPRDVAMSAWNAPRLSVEQVEYACVDAYLAFRLGLLLCPGGYQPVQRAPAFPRAPPLAPRAPVIAHAPPPAPMFLELHRAPGRALVRPRAPPPAPRAPVHPRAPPPAPRALVRPRAPPPAPRAIMIPRAPPPAPRAPVTPCLLSPTRRAAVNSHTPEPHLAFAPTAVAVDTAESSSKVAALPGFTGWDTDTDTEAELGGLSLVRSNYASDDDDDDLSSDGYEVVGRGAVIDEDEEEEDVYDYVARTGLLGDGDYVVGPGIQSDEDDDEDGYGGYVLGTGTLNAEDVGEQGYAYKEYVGIGILTVEQGHNEYTGILTVGNEAAAYEDEVFVSNGHATVVEVEGSGLPLDVYQEPPECYDNRIEAFQGGDDGYSQDDGGDWYDQGDDGYVQDDDSYDAFY >SECCE7Rv1G0456870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:13067468:13069001:-1 gene:SECCE7Rv1G0456870 transcript:SECCE7Rv1G0456870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPAFTRPRVQIPPCINASPYGDLEEVLVRAATADRTVIMTQINAAWTKPGSLLDLFFESFRTGEGGVARLLDHLVIVTMDPAAYAGCQLVHPHCYFLRTTGVDYRGEKFFMSKDYLEMMWGRNKFQQTILELGYNFLFTDVDVMWFRDPFKHISMGADIAISSDVFIGDPYSLGNFPNGGFLLVRSCNKTIEFYRHWQAGRYRFFGKHEQDVFNLIKHEMTDRLGVAIQFLDTTYISGFCQLSRDLNKICTLHANCCVGLGAKLHDLRNVLDVWRNYTAAPVPDKRSGKFQWKVPGICIH >SECCE6Rv1G0384010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:59389892:59392853:1 gene:SECCE6Rv1G0384010 transcript:SECCE6Rv1G0384010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGLHPCPHFSPPQSHPTLPRRLAASPLPRPPFPSAVTALRARNPPLQAAVSGAAPGEERRGVDEGEEGADLHEALTKTRRLVECAMFASVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLEAGRKTMVATVLLLFTLSGPVKALTYLLMHGVVGLSMGTMWRLETNWIVSIIICAIIRAVGACGYVLVTSFLIRENIFQLITVNIHASLTYILAAAGVNTIPSMDAIYVIFGTLVLLNSGFFVFILHIIYTIFLTKLGIKPSLRLPRWLGKATSS >SECCE4Rv1G0274670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:786064400:786065317:1 gene:SECCE4Rv1G0274670 transcript:SECCE4Rv1G0274670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRATTAAWTNEEDKAFENAVASGAPPPLDGVPEEAWFVALAASVPARSTEEVRRHYEALVEDVAAIDAGRVPLPRYAGEDSAAAAAAAAPSKDRREDRKSFDSDSGKGCSKAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSINNAAPQPQQHGPITGQAPAMLGGPPGGVMKHPGMVGMYGGAPMGHPVAGHHMVPAAVGTPVMFPPGPGHPPYAMPVGYPAPHQ >SECCE2Rv1G0080680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:131683182:131683715:1 gene:SECCE2Rv1G0080680 transcript:SECCE2Rv1G0080680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSSSNKALPYSADGGSYSTHDTLALLVIGFSVTAVCVFIIALFDCLCCRPRRAGGRRIVFVGARPFLVRGGGDGGLSPTAVAALPSFLYHRGVAAVRGSDGSDGRGEGSSGGRGWAQCAVCLSLVKEGEVVRQLPACMHLFHVGCVDTWLHSHSTCPLCRARVEAPSKDQVPPV >SECCE3Rv1G0196140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:806157433:806158983:1 gene:SECCE3Rv1G0196140 transcript:SECCE3Rv1G0196140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTIGEDDIADLPCSDAASPILTEYHITVPTLHDGLMQGEDQHERRLLDFLKATPSVQWLKDINVCAPLGKIQLPSIGVHRYLHVHFIRTVDWSSLLAICKNHLKHPLNIALLIWLLCVAAAGAMLGLLLLGLLNKAFPSRALRHHWIEIDNQILNALFTLMSIYQHPILVHHLVLLCRWRPEDAAELRKVYCKNGARRPSERAHMSFVVALLHITCISQYMECSLYWGYPSRSRSEFAENFFFILGVAAPVVAGTHMVYSPLGRDDDDDTAASCKETKQLHAAAGVEESPEERTVVGNAMWAGELLDCGEDPAACYLSFLCTFCVFGWNMERLGLGNMYVHTAMFLLLCVAPFWVFNVTALSIHDYVLSDAFGAAGVVLCFLGLLYGGFWRIQMRKRLGLPRSRWCCGSSSLTDYAQWLLCWPCALAQEVRTANLYGLSDDGGFYGKLVDGGDPERGPDVPISAEVQDGVGGGVKPAVDGEMIPPIQPVVESGRQRQAGDDEIAANGSSVQLKS >SECCE6Rv1G0427940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:722278348:722279936:-1 gene:SECCE6Rv1G0427940 transcript:SECCE6Rv1G0427940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPHVVVITFPFASHAVKLFRLARALAAAAPAATFSFLSTAGSIAQLQEKNHDALEPNLRFVEVPDGLVPPSSGGAGQAPPPNHMARLGLFLAAAEAGGVSVALESAQAAAGGSRVTCVVGDAFVWMAAEAAAAVGAPWVPVWTGGPSALLAHLQGDALRDDIGDKAASRADELLTSHPGLGSYRVRDLPDGCVFGEMHLPIVALFRRVAEQLHVPRTATAVALNTFPGLLPNDVTAALAAELPEVLPIGPFHLLPVPGDDNAAAADPHGCLAWLDGHPARAVAYASFGTVVTAVVGGQEELRELAAGLEASGAPFLWSLPKEYWPLLPAGFLDLERGKVVPWAPQAAVLRHASVGGFVTHAGWASVLEGVAGGVPMVCRPFFSDQRMNARMVAHVWGFGTVFEQPMTRGTVAAAVSSLLAGDGGSRMQEMRGMAATAFAADGGSRNNFDKLLKIVCPPQEHIRGDHVDEAAELTRCAPTTHDLLGATSLAHTGAD >SECCE7Rv1G0469200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:106985438:106986340:-1 gene:SECCE7Rv1G0469200 transcript:SECCE7Rv1G0469200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVRSLQLFAAVLAFCFATAKSGYWLPAHATFYGGADGSDTMGGACGYDNLYASGYGLNNAALSTALFNNGLSCGQCYLITCDTSKSTMCKPGKAITVSATNFCPPNWALPSDNGGWCNPPRVHFDMSQPAWETLAIYRAGIVPVLYQQVACQREGGLRFTITGFNFFELVLVTNIAMSGSIKSMSVKGTNTAWIPMSQNWGAHWQCLAGLKGQALSFAITSSGGQYKVFQDVVPAWWLFGQTFSTWQQFDY >SECCE3Rv1G0154800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62368201:62370951:1 gene:SECCE3Rv1G0154800 transcript:SECCE3Rv1G0154800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILETLLKSSINKLQDVITDEAILILGVEEELRKLLRRVELIQCCIYDAEKRRTKEQAVNNWLGQLRDVIYDVDEILDVARCKGSKLLPDHPSPSSSKGLSVSSCFCNIVPRHDVAVRIRSLNKKIESIANDKIFSTFNNSTQPIGNGRTSKLIRSSNLVEPNLVGKEILHSTRKLVDLVLAHKEYNSYKLGIVGTGGVGKTTLAQKIYNDQKIKGSFEMQAWICVSQDYNEVTLLKEVLRNIGVHHEQGETIAELQRKLAETTEGKSFFLVLDDVWHSNVWMDLLRPTLHETTSGVILVTTRDDQITRRIGVDHTHRVDLMSVEVGWELLWKSMNIEEEKEVQNLRNTGIEIVRKCGHLPLAIKVTASALASRDLTENEWKKYLGKYAGSQSMLSDEIEGALYLSYDELSHRLKQCFLYCALYAEDSTIQREEVTWLWIAEGFIEERQGQLIEDVAQEYYYELIHRNLLQPDIMSFDQADCKMHDLLRQLACSISREECFTGDVETLRGEYMSKLRRVTAITNKDKLVLPSMDKVEVKVRTFLTVNGPQSIDDALFKRFLLLRVLVLNCSLVQSIPNYIGKLIHLRLLNLDYTGISCLPKSIGSLKNLQVLCLRWCHDLHTLPSAMTLLTSLRCLDLFGTEINQVSEGIGKLKFLTQLRYYPVGDGSNNAIIQDGWKLEELSSLSQMRYLSLVKLERAAQSSTNIVLTDKRHLRKLRLEWTERGEGSYSEEVVNNTEKVFEQLIPPHNLEYLCIVSFFGQRYASWFRTTCLSSLMFLILSDVRSCMELPPIGQLPNLKFLRIEGAHAVTKVGPEFVGCMKGDPICNELVAFPKLECLIFNDMPNWEVWSFFEDEVADDEMGEDRPAEILKEDAQSARVRLLPRLVELQLVDCPKLRALPPQLEEDTASLKEIL >SECCE7Rv1G0500830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:675748278:675752299:-1 gene:SECCE7Rv1G0500830 transcript:SECCE7Rv1G0500830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRQVHLPRSHGDVDDDGRERRTGTVWTATAHIITAVIGSGVLSLAWAMAQLGWVAGPLSLVLFAIITFYTCGLLADCYRVGDPVTGKRNYTYTEAVQSYLGGWHVWFCGFCQYVNMFGTGIGYTITASTSAAALKKSNCFHWHGHKADCSQYLSAYIVAFGVVQVIFCQVPNFHKLSWLSIVAAIMSFSYATIAVGLSLAQTISGPTGRTSLTGTEVGVDVDASQKVWMTFQALGNVAFAYSYSIILIEIQDTLRSPPGENKTMRKATLMGISTTTGFYMLCGCLGYSAFGNDANGNILTGFGFYEPYWLVDLANVCIVLHLVGGFQVFCQPLFAAVEGGAARRYPALGREHAAVFRLVWRTAFVGLITLMAMLMPFFNSILGFLGSIAFWPLTVFFPVEMYIRQRQIPRFGAKWVALQSLSFVCFLVTIAACAASIQGVRDSLKTYTPFTTKS >SECCE5Rv1G0342730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633645019:633645663:-1 gene:SECCE5Rv1G0342730 transcript:SECCE5Rv1G0342730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPGGGCWAARRLLAAPAPPPDPAHPTDAQPMARLETVFSGTTIVLFVATVSYVIFSAFYACLRAARYDAHQQGHGWVAGDGLGAAAPREETKRALETIPVRVVVLQQKQQQPGDVIGGRKEDEDAEAGDCAVCLAEYAAGDEVRVLPACRHGFHRECVDRWLLTRAPTCPVCRATVGAHVEGADAKEDYAAGARHGGSIGLAAGSHALPEPV >SECCE1Rv1G0026150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:366422663:366423628:-1 gene:SECCE1Rv1G0026150 transcript:SECCE1Rv1G0026150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSQKHVIGIPLPSFEYADEKTQGKPSCSFLVRNKDKKNSIVYRMSKLSQKTDTYMQGFKEHLALGPKLSETVKGKLSLGVKVLQAGSMDKVFRQYFQVEKEEKLLKAFQCYLSTTAGPIAGMLFISTGKIAFHSDRPLDLKSPKGRITRVPYKVMIPAKRIKSAAVRGNLYNPDEKYIDVVTVDGFDFWFMGFISYTKSLKYLQHVISEMR >SECCE1Rv1G0047130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:621712320:621713841:-1 gene:SECCE1Rv1G0047130 transcript:SECCE1Rv1G0047130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGAGLLKGLRRVLEQQRNSVDLCRKSRAWSSTVSFSDIDDKEDMGDDGDLKDSRRELEPQSVDPKKGWGFRGVHRAIICGKVGQAPVQKILRNGRTITIFTIGTGGMFDQRVIGSADTPKPAQWHRIAVHSEHLGAYAVQKLVKNSAVYVEGEIETRIYNDGVDGQVRNIPEICIRGDGKIRLVKSGESAASISLDELRDGLF >SECCE7Rv1G0517250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852943368:852944580:1 gene:SECCE7Rv1G0517250 transcript:SECCE7Rv1G0517250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFRYAPLVGSVDTEFEFTGLYLHHNPAGSKPTQSDIIAANTTTGWGSTVVNNWTVYDGVGPDAKLVAHAQGLCINAGSWHSTLTIQFEIERFKKSTLQVMGVHVAEGEWSIVGGTGELAMARGVIKKKLHKRTNEGNVIELTINGFCCSKMSTPAQSGSMDPEKPAPITVLMSGPWGRKDAGSPCELTKMPRRLESVTIQYYGAIDAFSYTYVDEHGQKQTVGPWGSGWKGDKTQIKTFTLGPSEFLKQVSGSFEQNTGTLLLVTFVSNIRTYGPFGGLDGCVRKETAFKFNVDEVNRSSIVGFYGTTDNYIRSFGVYTL >SECCE5Rv1G0304950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:63931026:63935265:-1 gene:SECCE5Rv1G0304950 transcript:SECCE5Rv1G0304950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAERVLHLLTAPAASVSVAHRRGPPRPHRVDLLPVKRRVAVACCSSTEEDGMTYKGAGVDIDAGSELVRRIRKMAPWIGGFGGILPHKDEYLVFSVDGVGTKLKLAFETGIHDTIGIDLVAMSVNDILTSGAKPLVFSDYYATGKLDVDIAEKVIKGIVDGCEQSGCNLIGGETAEMPGFYAGGEYDLGGFAMGVVDKNKLIDGKNIVEGDILIGLPSNGVHSNGFSLVRRVLDKSGLSLTDQFPGNDGKTTTVGETLMTPTIIYVKQVLEIISKGGVKGLAHITGGGFTDNIPRVFPSRLGAKIFTGSWEVPPVFKWLQHVGKIEDAEMMRTFNMGVGMVLVVSKEAADRIIEESSPAYRIGEVIQGKGVHYV >SECCE1Rv1G0022860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:290167915:290170216:1 gene:SECCE1Rv1G0022860 transcript:SECCE1Rv1G0022860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKPSSAPKPKTKAAVVAAAAPAPARMTPALKKPPLAPPPQMIPLKPPPAHHYRQQRGGGAPRKRHRSGSGCSGRRVCCLVIGFLLLALCLAVAAACLAYLCYHPRPPSFHLQPVSTTRFRVGNSSAVSAMDVTAAVKVVSWNPNDKIAFEYGDGEGRIYLADSDGDITLGWAPVGGFEQGARRVAVVGYVAAAKGVVVDEAVATRVRDGYRRRRLVFKVVVDTHIGVRVGAMRTGMVPVRLSCDNGVMAPRGVSAGSPMSRCQVYLLRMTWFGLN >SECCE1Rv1G0042850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:584633733:584636482:1 gene:SECCE1Rv1G0042850 transcript:SECCE1Rv1G0042850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGAGGDGDAYDPMKDPERRPRRSNDPGWNYGYWLKPSNINNVVCNLCGKITSGGIKRHKEHLAGTGGDATGCPKATTQLRREMLEYLEKNRRNIGQPDEFDDVVEVDVAGTVQSSTNAAAVEVQGSTIRPSSGTAAKKNKKAFAVKMAGKKFQSVATKSTVSMLRKKPEEVVDERRSGCSQSTMESSTKTPEERHYVSMQWALFFYECGIPFNVASSRQFQIAIEAACQYGPGYKPPSPHELREPLLRDCVKETKKLRVTHEVAWKQYGCTLMSDGWSDRRGRHLINFLVNSPAGTYFLESVDASSECQDARMIADLLEKRIEDVGKEYVVQVVTDNGANYKAAGKILMERIRTLYWSPCACHCLDLMLEDIGKLKAFKKPIARGRRVTTFIYRHGRILSLMRKATGGLDLVRPAATRFATSILALKSLVKHKQALRSLFTCQAWVGNKLAKTTAGLNVQDIVLSVDWWHAIEDCLRASGPLLRVLRVADGDEIPAMPEMTALMRFAKEKINQGFPHQNKQALLKKIIDIVEKRWENQMDHPLYGAALFLNPGKYFSIVESGDDALIGELRSCFNDVLTRTVLDVNTRNKIDAQAVDYEDKRGPFANQMGIDNMVGKKPLDWWRSYGGRAVELQRFAKRTVSLCASSSGCERNWSAFEHIHTKKRNRLQHRLLNDNVFVSYNRKNLDRFQKRREKMGGNSYDPLVIEDFDWGNEWVDPTIPPPQGARGCPDDISWELVDEAVGATSSLQGRNFPRASTMARGASNVNVQYQRQRKRAAPSPTFLNEDDEEDDQEQQSSIPNGEDDDSDFLQDDVDVTDDDEDPTAANQDAEDNTNATIDEFDDGY >SECCE4Rv1G0258350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677420528:677422867:1 gene:SECCE4Rv1G0258350 transcript:SECCE4Rv1G0258350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRLLVGALWLLAVLRQGAAAAELGAVAVDGRRAIAATGEDFVCATLDWWPPDKCDYGTCSWGRASLLNLDLSNKILLNAVRAFSPLVLRLGGSLQDKVVYGTADRRGPCTPFTKSGSEMFGFTEGCLPMRRWDELNAFFQKSGAKIVFGLNALNGRVPLQGGAMGGNWDTTNAASFIRYTAGKGYKIHGWELGNELSGHGIGTKIGAAQYVKDAIALKTTVDAIYRGSPAKPLVLAPGGFFAADWYGELIAKTKPDMLNVVSHHIYNLGAGVDTHLIDRILDPAALDGMASPFRDLQGLLKAAGTSAVAWVGEAGGAYNSGHHLVTDAFVFSFWFLDQLGMSAKFDTKSYCRQSFIGGNYGLLNTTTFQPNPDYYSALLWHRLMGTKVLEAKFTGTNMVRAYAHCAKHAPGITLLLINLHGNATSHISVAGSRHAGRRHGGRFAQASGAAREEYHLTPKGGNIQSQVMLLNGRALVTGADGSIPRLEPVRVDAARHIAVAPRSIVFVHMPHYHAPACG >SECCE6Rv1G0386710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:99713463:99715873:-1 gene:SECCE6Rv1G0386710 transcript:SECCE6Rv1G0386710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEECCSADLLEWIGPDVSVCVFGRLDHPADLVRAAAVSRTWRRCVVESGLSKSLCLRLCPEVATVAAAAEVTRSPPSTAAPESDREREYRIYSNIAGAYVSDSAEPSAECILHCIGASSTDDFPTETMENTLDEEEIINARPSYWSSGGADDPEAPESLTYRLNSDICIVDEIRVRPYQAFFQDGDPIYSSKMVRFRMGHYKLPLGSETFVMDDYENKMVNADEKYMWTYTSPEFPMLQKNELQSFKLPRPVLCIGGVVMIELLGRVQKQEADDMYYICVCHAEVMGRSLSPLFMVDISDPEGYSILKYLPGAKDLSVDDFLQDDTKDSPEWHYLVDRYRQMNHRAVVVSALLEPLHYMHDVGGISDDDHEDDVGGISDDDHEDDVGGISDDDYLE >SECCE6Rv1G0446070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842578717:842579280:-1 gene:SECCE6Rv1G0446070 transcript:SECCE6Rv1G0446070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKQLLAPRLVLLPLLLALLLASHHHQAAASDPHPPQLQVTHGGTRSLLQQQPPPPRLDCPKVCLGRCANNWRNEMCNDKCNVCCQRCNCVPPGTGQDTRHVCPCYDRMTNPHNGKLKCP >SECCE1Rv1G0058810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701897743:701899086:-1 gene:SECCE1Rv1G0058810 transcript:SECCE1Rv1G0058810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHPLATTTLCPIQCTRSPPMSRCANSCYEEERDYVVCNPATKEWTVLPPVVFPSHDQVCNYPLKVTPFLYLGFEAAVTSHFAVFATPSNVDYGSEEVGIYSSETGQWTYMQSKWASVDYVDHARSTRVFLNGTMHLITLCKTIFTVDVEGKVWREIQMPDDISSDINDMSSDIDYMSSDVYIGQSQGQLYLWQIDHLHDYQLYIWVLEDYATGKWTPKHIVKVLELFGRHCRKVDEFYRMFAVHPDFNIIFLTDNKNMTLSYNMDNQKVHVICTEGMYGMPYIPCFVELSSAGH >SECCEUnv1G0532450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:20414067:20415119:1 gene:SECCEUnv1G0532450 transcript:SECCEUnv1G0532450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEACCETATVHTLRFPSSETRPRATAAMDPDTEVDFDFSPFLIRYKSGRVHRLMGASRVDAGTDAATGVTCKDVVIDADAGLAARLYLPKDVPRSKKLPVLVYFHGGAFAVHSAFSGTHYRFLNALVAAAGVVAVSVDYRLAPEHPLPAAYDDAWAALGWALASCSSAGREPWLAEHGDPARLFVAGDSAGANIAHNMATRAGGREDGLPAGARIEGLVLLHPYFRGKDLVPSEGADPRFLQRVERSWGFVCAGRYGADHPFINPLAMPAEEWAALGCRRALVTVGELDTMRDRGRMYVEALRGSAWTGEEAVLYETRGEGHVYFLEKSGWGDKAEREMDAVVSFIRRS >SECCE6Rv1G0408350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:571941578:571945256:1 gene:SECCE6Rv1G0408350 transcript:SECCE6Rv1G0408350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSLLRPGKEEEEEEEKEKNVMEGVRKRKRKDDKGTDEMGEEKKEKKGKGGGILTNELFSELCVSEFTAKAIREMNYTRLTQIQARSIPHLMVGDDVLGSARTGSGKTLAFLIPAIELLHNARFTPRNGTVVIVVCPTRELAIQTHDVAKKLMKYHSQTLGYVIGGTNMRSEANQLVKGVNLLVATPGRLLDHLKSTGGFNYKGLKCLIIDEADRILEQNFEEDMKQIFKRLPQNRQTVLFSATQTQKVEDFANFTFGKKEERQRKLVYVGVDDSELKPTVDGLQQGYCVIPSEKRFLVLYTFLRKMQLMKQKVKVMVFFSSCSSVKFHAEFLNFIGIECHEIHGQLKQQKRTTTFFQFSKEESGILLCTNVAARGLDIPNVDYIVQYDPPDDPKDYIHRVGRTARGDKGKGRAFLFLLPEELKLLIYLHASNISLTEYEFSEKHVPKSQSKLEKIVAGNYFLNQSAKEAYRSYLLAYNSHSMKDIFDVHQLDLKKVAASFCFNSPPKVNLNLESSASRHRKMRKVDGRERHGISPSNPYGRRGGCDRMDLARF >SECCE5Rv1G0314190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:269191516:269192178:-1 gene:SECCE5Rv1G0314190 transcript:SECCE5Rv1G0314190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPPGQQDPYRNRKQGLSQNVMVACDFDMKFVHVHAGWEGSASDARVLQDALDHGFSVPPGKFYLVDAGYANTPQFIASYRGTRYHLQEQGRVQQRPQCYKELFNLRHAQLRNHVERIIGTLKARYPILKAATMFDIDTQVDTVVACCVLHNFIRLHNGDRTLPDRATMDINESNMKDVPGGDDKYKKDVIVFNNLRQAGNDMRDAMAMQMWADYISRR >SECCE4Rv1G0282380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829105315:829106494:1 gene:SECCE4Rv1G0282380 transcript:SECCE4Rv1G0282380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVMGPLLGALQQLVMKEAQAMAAVDDDVRNLRDNLMWLQAFLRDVEPRRRARNDELTRVCLHQIRGAVFDAEDAVDRYFLKIDLSKYPGWSQAIVQFFAGLTTQVRVRRDLYRRVGSINKRLERIIENKDKYKIDDQDSSSVTTWRPSTEISAAIENL >SECCE2Rv1G0131530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884953566:884958475:-1 gene:SECCE2Rv1G0131530 transcript:SECCE2Rv1G0131530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLAVLLPLLLLVVDSSTDDGTYGRQPISRRSFPQGFIFGTASSSYQYEGGAMEGGRGSSIWDNFTHQHPDKITDGSNGDVAVDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPDGTLRGGVNREGIKFYNNLIDELLSKGVQPFVTLFHWDSPQGLEDKYGGFLSPNIINDYKDFAEVCFREFGDRVKHWITLNEPWSFSVSGYAGGVAAPGRCSPWEEANCSAGDSGREPYIVGHHQILAHAEAVRLYEQKYKGVQKGKIGIVLVSYWVTPLSHTKSSVAATKRAIEFMLGWFLDPLNRGDYPQSMKVLVGNRLPHFTRQQSKLVKGAFDFIGINYYSTNYAYSLPPSNWLRNSYSTDAQANLTGVRNGVPIGPQAASPWLYVYPQGLHDLLLFLKDKYNNPTIYITENGFDEANNMSLPLNEALKDDDRIDYHHRHLDALLSAIRDGANVKGYFVWSLLDNFEWESGYTVRFGLHFVDYNHGLKRYPKRSAGWFKNFLKDDLTDNLETSDK >SECCE3Rv1G0169350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:237211497:237214069:1 gene:SECCE3Rv1G0169350 transcript:SECCE3Rv1G0169350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAMSPSSVSSHNLDTASTSDDMPSSQEGLLFSDSLKDLRNLRSQLYSAAEYFEVFYTNNSHRSTVVTSLKDYAVEALVSTVDHLGFVSYKVDNLVNEKADEVNETEFRVSSVEQRVKICQQAIDQEGRSQQSLLIRAPQYHRRYILPGADIVESAIHPVSEPTRYSRQHTGRKMRKSQSAMSTPVSRQTTMRSVRSQSPTVRETHHRSRSMSPSRKARAKSPSPQVVNLNPKETRAGSPIPTPPNPLARSATVARRPPLDPKHFRQTSMQVQSDYENQKEREKRSSKGRGFLKSLLTRRRWRNDESLYNYLDEY >SECCE1Rv1G0050570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:647485067:647486430:1 gene:SECCE1Rv1G0050570 transcript:SECCE1Rv1G0050570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAWSSSPSPSKREQRAQGYEEPAVLAAETSFTVNEVEALYELYKKLSFSIFKDGLIHKEEFRLALFRTSRGANLFADRVFDLFDLKRNGVIEFGEFVRSLSIFHPKAPESEKTAFAFKLYDLRGTGYIEKEELREMVVALLDESDLCLSDSAVEEIVDNTFSQADSDGDGRIDPKEWEEFVKKNPASLRNMSLPYLQDITTTFPSFVMHSEVEDYSGNSK >SECCE1Rv1G0045420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609698027:609699207:1 gene:SECCE1Rv1G0045420 transcript:SECCE1Rv1G0045420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERRAPLVLALAAVVSILAAGSGAELQVGFYNATCPIAEGVVFAEMHGILHEDPTLAPSLLRMHYHDCFVQGCDGSIMLRSWRGTAERDATPNRSMRGYDAIERIKARLETLCPLTVSCADIIAMAARDAVYLSKGPWYGVETGRRDGNVTVAEYAENDLAPPDSNIVDVKTFFSVKSLTAKDIVVLFGSHSIGTSHCEAFQKRLYNFTGAMDQDPSLDAGYARQLRKLCPRRRGGRGRRTKVPMDPGSGFTFDLSYYRHVLATGGLFQSDGSLLHDGATKAYVERMANASSPDEYYQDFAAAMVKMGRTDVLPDHLGEVRATCGVFVD >SECCE7Rv1G0457200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14651994:14654207:1 gene:SECCE7Rv1G0457200 transcript:SECCE7Rv1G0457200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGSKAARGAGIMETFGTVEGRLLRLELVVLVSALVLATLVLYGSTQRRNMWIASSMSCVFVTYAVGIIQDGPFHSLTFVLWASALLLFQTSAYTAPVAVHIRRDLEQRKKLLLQHALQTGLVLWLILSANGTSTSYRVAIWGFWSLSALKTAANIVEMVRSSLPNPSIKLVAEYMDVEEFLAADQLPADPTTMKGYKYLFHCEEAIQQQLSHQPRSPQDEMLMTTTTSSALTATMSSASAKVKGVVTIDQVYRWIDRQLGYSGAERDMAKDFCLAFSLFKLLKRRFYGYIPAEAGSPKSLDLVLTGLIHHAVTGPDAAFRVVEAELAFLYDFFYTRNILPVGVKTYIFIAVVMLSLTMWTAFVGTLGRGYSRPHVGVKDLDRSVTVVLVVITAGLEVCQALAAFSNNWRYVMTVYRCVRDDRLWTKQQRGHLWCWKQSIAPPETTYWEQKIGQYVLLHWYDHRPWNVRSWVTLYLVEPRRQGQKKGNRKALPQQVKRAVLASLKASRGELTNGVATVQRHGLSQQLTWACRLPKVTDQILVWHVVTTRFKWISGRGGDTVDARGDGVGDHRLVARKLSNYCAYLVAFVPEMLPDPSYNAEQIFDTAVRQARVHLDGCRTKAEILDRLDKIQSKEEDYLEGGNLYERAGSSSIIEKAALLGGQLMASVPDEGRRWKVLAEFWAEFILFLAPSDNVDIHAEMLGAGGEFMTQLWALLSHAGILERTAAATPSAPRT >SECCE2Rv1G0109710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:679957365:679959909:-1 gene:SECCE2Rv1G0109710 transcript:SECCE2Rv1G0109710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEIKTAPADFRFPTTNQTRHCFTRYVEFHRCVTAKGDEAAECEKFAKYYRSLCPAEWVEKWNEQRENGTFAGPL >SECCE1Rv1G0006860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:36110835:36116492:-1 gene:SECCE1Rv1G0006860 transcript:SECCE1Rv1G0006860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:Projected from Arabidopsis thaliana (AT3G55260) UniProtKB/TrEMBL;Acc:A0A178VFV1] MPPKLLTGLILALVAVSGAAAARRHPAPANFTASGNPVYIWPLPKNFTSGTQTLAVDPDLALDPQGAGGGAAAVAEAFERYRHLIFAPWAHAARPASAGYDVARLTVAVASADETLELGVDESYTIYIAAAGGANSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVHGAPWYIQDEPRFAFRGLMLDTSRHYLPVDVIKQVIDSMSFSKLNVLHWHIIDEQSFPLEIPSYPNLWKGSYSKSERYTVEDARYIVSYAKKRGIHVMAEIDVPGHAESWGNGYPKLWPSLSCTEPLDVSSNFTFEVITGILSDMRKIFPFGMFHLGGDEVYTGCWNLTPHVKQWLDERNMTTKDAYKYFVLKAQEIAIDLNWIPVNWEETFNSFGESLNPRTVVHNWLGPGVCPKVVAKGLRCIMSNQGVWYLDHLDVPWEQVYTAEPLAGINDTAAQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERMWSQLEAISAQDLETTVLARLHYFRCLLNHRGIAAAPVTNFYARRPPIGPGSCFIQ >SECCE4Rv1G0234990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:310141967:310154563:-1 gene:SECCE4Rv1G0234990 transcript:SECCE4Rv1G0234990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCC3 [Source:Projected from Arabidopsis thaliana (AT2G47980) UniProtKB/TrEMBL;Acc:A0A178VN21] MAETIVSIRRPKRGRPPRPRESDFVTGEEFEDEEEGEDHAEAADGLAPPRCKRKREASAAATAALEDLTLIDIVKHNGRLISHAVKRLLEDYESNPKSVLFQILTMLFEACGARHDIYASDLHEAAVDDIVFKLAELARKGLVDDNYSSKRKDLKNFKENLVIFWDSLVLECQNGPLFDDNLFTTIKDYAVAISCTPPRVYRQVASLVGLQLVTSFISVAKTLSGQRETTQRQLNAEKKKQSDGPAVESLNKRLSITHENITYLEESMRKIFSGLFMHRYRDVDPEIRMLCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYDVDDNIPSLGLFTERFYSRMIQLADDIDISVAVPAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPMIRRAIGELVYDHLIAQNCKTPSVARDGDNESSMIHISRMLHILREFSDDPVLSSYVIDDIWDDMKAMKDWKCIISMLLDETPIAELTDMDGTNLVRMLRASAKKAVGERIVPATDNRKMYYSKSQKEILENSKSDITNALMKMYPQLLRKYLPDKAKISPLIDMMMLLKLEMYSLKRQEQNFKAAIDLIVDAFFKHGDKDTLRSCIKAIAFCCVKCQADLLDYAENKLKTLEDELVLKVKTAIKEVEAGDDEYSLLVNLKRLHELQLSKPVKNDGLFEDMYRILSHLREMDNEVKSFLLINMFLEVAWCLHAIDVENPSETSIEGLSSKQSSLFEQLYYFLVVLCTYQKEGRSTTVLSSRVCIITAEMWCLFKKSNYSSTKLKNLGYLPHLDYVQKFWKLCEQQLNISDDTEDEDANQEYIEDTNRDAVMIAAAKLLLADTVSKDYLGPEIVSHYVSHGASTTEIIKHLIAALKKNAYSDIAALFFEALRRAYERYMAYLREGENQNLIAKSYSECQDLANRLAGYYVGAVRIKNKFEILKIIQCGVQFAFVDLPKQLSFLEAALVPFVSKLPSSDIPDILTDVQKRAQDTDMNEDPSAWRPYFTFVEHLREKHARNEVFHEEKEEKPVKRRGRPRKPRDEPVRNLFGGNKSSDEESVSDSDQRGHGGDDDDEDDAFDQPLINTFRPSASKLRSLKGVSQQGTSSQRKAPTASGSNS >SECCE6Rv1G0440680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:806185122:806186398:1 gene:SECCE6Rv1G0440680 transcript:SECCE6Rv1G0440680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITISMVVLFVFAVLLLNADVGSCGCFKRIFAFGDSIIDTGNFRTGSMWMPPYGGTYFHHPTGRCSDGRLIVDFYAQAFGLPLLPPSGPEEKTGQFRTGANFAVWGSFALSPDYYRKRYNLSTDHGCLDSQLGSFKTVLARIAPGKAATKNLLSESLVVFGEIGGNDYNFWFFDPRRSRDTPHQYMPDVIARIGAGVQEVINLGAKTILVPGNFPIGCIPVYLNDHKTNKSAEYDQFGCLKWYNAFSRKHNQLLRQEVGRLKSRNPSVKIIYADYYGAAMEFVRNPKRNGVDDPLVACCGGNGPYGTGRGCDQNAKVCRDPSRFANWDQVHMTEKAYSVISNGVLNGPYADIPLIHAC >SECCE4Rv1G0288190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:858935751:858937501:1 gene:SECCE4Rv1G0288190 transcript:SECCE4Rv1G0288190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDNITHIEKLTADGSIADRKCCQEPGCDEIVSGRVMYCTSHTRGHSSQQLGYLQSSQKSSDSYMPPVKGRQCSGASASAEQDVNIKYEGNDQGKLNDRSGNTQENTGQLILGGPDTLCKHDNCKKQAQSNALYCKLHSGGTKGCLVRDCVKAAHGGTPLCIGHGGGKRCTVAGCPNAACGQGRSEHCVRHGGGKRCKFEGCVKGAQGNTDFCIRHGGGRRCKSEGCTKSAQGRTDFCIKHGGGTRCQFQGCNSSAKWGTDHCSVHRKSLLGETPEALPLPSAKRRGAKKPKKEVKPPPLASQLAVTTAVSTAGSSTPQAKGILHMPSNRELSHKIVMAAMAPAQVLPLSMKPPAPSGTEKEAATSSSTVLNL >SECCE6Rv1G0445210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:836303201:836303824:-1 gene:SECCE6Rv1G0445210 transcript:SECCE6Rv1G0445210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPASLRHRAALANTGTAEPSSSPASMKAPEQQQTAHVIAVNSDMVLILASLLCALVCVLGLALVSRCTCRRRRSPSSSDHAPPPPKGLKKKAIHALPTVSFAANGASPTLATYSSSECAICLAEFTEGEALRVLPRCGHSFHVACVDAWLRTCATCPSCRAPILATPTQPPATTTVVVVVAANNRCGRCGELAAPTDGGDDTVLP >SECCE5Rv1G0352680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711255490:711258984:1 gene:SECCE5Rv1G0352680 transcript:SECCE5Rv1G0352680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPAASRRAEEAGSRRRADEEAEAAAAADGDGDSSVYTSEDEGTEDYRRGGYHAVRPGDTFKQGAYVVQSKLGWGHFSTVWLAWDTAHSRYVALKVQKSAQHYTEAAMDEIKILRQIADGDPEDSRCVVKLLDHFKHSGPNGSHVCMVFEFLGDNLLTLIKYTDYRGIPLPMVKEICRHVLIGLDYLHRELSIIHTDLKPENILLVSTIDPSKDPRKSGVPLVPPAARAVEPPPRAPAAPSASSGLTRNQKKKIRKKAKRVAASTSEGNGAVASADTDESDDKGDLSTANEGSPSEDGDRKRGGGHRRGSKGTRKRMAMEAELSCKLVDFGNACWTYKQFTSDIQTRQYRCPEVLLGSKYSTSADLWSFACICFELASGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDYFNRYGDLRHIRRLRFWPLSKVLVEKYEFSDIDAIAMSDFLVPILDFVPEKRPTAAQLLQHPWFDAGPLRRQPRAVADTDENPADGAPEKKGKENADERDAMAAELGNIAIDGASSSKAVKDPQASSKQKVANGTPSKK >SECCE7Rv1G0458960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23718916:23722065:-1 gene:SECCE7Rv1G0458960 transcript:SECCE7Rv1G0458960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIQAGEAAFSTTGVAERGAAADPAPRDDRHEAFAAVPSDPVASTSAAESNGNSKDEPSVFRSVSASSEARDATLHAMAAPGQTVVNPRPPGIAKPDPRLQARLGVAMAATRMACRYEYDMVEPMSFLYVSVVKARDLWTMDITRVPDPYVEVKLGNFKGVTPHPGKTHNPVWRQKFAFSLAHVQSDQLEVIVKDNDLVSRVVLDVSDIPGGLPPNSPPAPQWYTLSDSDGFWHGQDLGEIMLAVWFGTQADEAFPKAWHSDAHSLSEEGLTNTRSTVYYSPKLMYLKVAVIAVIAVEKGRSIQPVIAAMQLGSQILRTGPGQPREWANQTWNEEFMFVASEQFEDPLVVTVEEMVATGADHRIGRIIIPVASHVPRNDLAKSVPSKWFELHVHDSLVCKIHLKMSLETSYHVLESNDYSSDLLPAANKIRKSAIGFLKVGILSARGLGSNKNPYCVAKYGAKWVRTRTLLGAVSPAWNEQYTWEVFDLSTALVVAVFDNNHVYHGEGGNDQRIGKVRFRLTTLESDRVYTHHYPLMALSPGGLEKMGKLTLAVRFTCMARVSMLTQYGWPLLPRMHYTNPVSVPLLDHLRFRAMQMVAARLGRAEPPLPREVVEYMLDVDSWKFSLRRSKANFNRITSLFSGIAAVAKWLDGICKWKNPLTTILVHVVFLKLAWDPELILPIVFLCLIMIAAWNYCWRPEHPPHIDAVLSRMELAHPDELDEEFNNIDAVMWWYRDQIYPDELDEEFDTFPTSKPDDIVKRRYDRLRGIAGTVQMMSGYLATHGERWHSVLLLLDPRGERWDDPRLTTVFIVLSLVMVVVLYLTPFRVVAMVMVLYFLRPPWFRGRTNLLLNLYSRLPSKDDVML >SECCE3Rv1G0150340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31553318:31555954:-1 gene:SECCE3Rv1G0150340 transcript:SECCE3Rv1G0150340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPTLSPAISPHSLTPSAETMALHLARPFLPAGLPPPPRRRPHPRPHSLSPTADARSPCFRRPYTSVLVVPTGVGAAVGGFAGDALPVARALAAVADCVISHPNVLNAAMLYWPMPNALYVEGYALDRFAEGAWALQPVHQNKVGLVLDSGIEEELRLRHLQVADAARASLGLPVVEYAVTDAPLEIKTWFDPKCGKSTGSVGNSDSLLRAVDALVNQAGVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKEFKIPAAHAPAVLAPPLSPSVSPRSAAEEIGYTFLPCVLAGLSTAPQYVTRRQGTLDSGCIVASDVDSVILPRDACGGDGALAFSRTARKNKPLIITVQENETVLDDTPDKFNIEALNVQNYWEAIGVIAAHKAGINPNALRKQGIDHLKSHRRLYSARSSGPRPYASSATQGKVYVHQLV >SECCE7Rv1G0477620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:223458228:223463774:1 gene:SECCE7Rv1G0477620 transcript:SECCE7Rv1G0477620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDQDLAASSGGGGGFFSSIAAGVRSWGSAVHKSVNGMVGYEGLEVINPDGGTDDAEAEAMKGRWKQEDRDSYWKMMNKYIGSDVTSLVTLPVIIFEPMSMLQKMAELMEYCDLLDKADECEDPHMRMVYASTWALSAYLAYHRTWKPFNPILGETYEMVNHKGITFIAEQVSHHPPMGVAHCENDHFTYDITSKLKTKFLGNSVEVYPVGRTRVTLKKSGVVLELVPPLTKVNNLIFGRTWVDSFGEMVLTNLTTGDKAVLYFQPCGWFGAGRFEVDGYVYTAAEEPKIMMTGKWNKSMSYQPCDQEGDPLPGTELKEVWKAAPAPPNDKYQYTYFAHKINSFDTAPKKLLPSDSRLRPDRYALEKGDMSKSGAHKSRLEEQQRAEKKIRDTKGEQFTPKWFKMTEDISPTPWGDLEVYEYNGRYAEHRAAIDSSSVAAEETDVSSIEFNPWQYGDSPSQ >SECCE7Rv1G0493760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:574901050:574908144:1 gene:SECCE7Rv1G0493760 transcript:SECCE7Rv1G0493760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS2 [Source:Projected from Arabidopsis thaliana (AT5G64630) UniProtKB/Swiss-Prot;Acc:Q9SXY1] MRGGTVQINWHDLQPVLTLDFHPVSRRLATGGGDHDIKIWVIASDDSDAKLPTASYVSSLSSHSSAVNVLRFSPSGENLASGADGGGIILWTLHSTDDGEAWKIHKSLLFHRKDVLDLQWSSDGSYLVSASVDNSCIIWDANKGVVQQMLEGHLHYVQGVAWDPLGQYIASLSSDRTCKIYANKPQGKSKNTEKMNFVCQHTLVKAELQNHDESKPPVKTHLFHDETLPSFFRRLAWSPDGSFLVLPAGLCKYSSEVINTAYILSRRDLSRPAIQLPGASKAIVAVRFCPIVFTLRGSQSEGLFKLPYRAIFAVATFNSLYVYDTESAAPILIHAGLHYAAITDIAWSPDAKYLSLSSRDGYCTIIEFENEELGQPHALSGKKEVTEGNVTCRNTKPPTVDSMEVDVGTRKIKKSGSPVGTVTPPPVLAENSTLRMKEEGNVACEHAKPKADNMDVDVGASKAKTEASPISVEVTPPPAAQKSASSKPTKKRITPIAIN >SECCE5Rv1G0316690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:327804682:327805128:1 gene:SECCE5Rv1G0316690 transcript:SECCE5Rv1G0316690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDRKEIKVVSVADGEILKALPPPLSKGALAGVSGSDHALRSQKQHIDVRVGDMGQLHHLFPSDVKAPSTAADPGAIMRVKLVISKQELRRMLGKDDQAVSLEDMVALMRRGSEDQQQEIGCCRGWRPALHSIPEGSGDLFY >SECCE4Rv1G0282770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:830290379:830291914:1 gene:SECCE4Rv1G0282770 transcript:SECCE4Rv1G0282770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPISQCLIISTFVLLIPLYLYFRSSTRSKNPSVLPTNWPILHMFPSFIANRHNVHDYFTMVLARSSHNFRAHGPPGFGMRFFVTSDPANVRHIMTTNYTNFPKGAEVAAMFDIMGGSLLTIDGEPCRRQRSKVQSILSSPPFVACVVACCHEKLENRLLPFFANMARTSTPFDVQEVMSRFMFDLATTSLVGVDPGLLATDMPPMHAAVAMSTVMEAGYFRQGMPTFCWKVMRWLNTGFERNLRAAHMVLQGFFTEMMERRKIKLELVGNDEEQDSVDFISSFINDPNYADDELLRAIFIGYLLAARDTITTTVTWILYNLAQNPGIVPNIRHELSSIASRKVEAATGRMLIFEPEETKYLVYLTAVLYETLRLYPPVPFERKTVAADDIMPSGHEVRAGDTILISLYSMGRMEGVWGENCLDYNPGRWLSEDHNKLRYVPSHKFLAFNSGPRMCLGKDIGIMQMKTIIATMVWNFDMEMVEGQIIKPKWSSILEMKNGLIVKLKKREM >SECCE2Rv1G0124670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833706236:833707336:1 gene:SECCE2Rv1G0124670 transcript:SECCE2Rv1G0124670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSTSPEITSRCVTAREHTGTLNLEVTHYLGLDGIGVGESVTSPVLEVGGYRWKIYFYPDGIGAYHGHASAFVHCYSDSKDTDVTAKFTLSILEKRSQANLASFDAMKRVFSPRDIHLWGHHYFVDMAKLESLSQLGDGCFTVRCVLTVVTDPDEPPSPQLPTMPSQLFSQLESMLEGGRGADVTFSVGSQEFRGHRSFLAARSPVFGAQFYGPMAEKDDMPRVKVIDVEPDIFQMMLHYIYKDSLPLPPADGKGGYSVAAMQHLMVAADMYGLERLKLMCEDELCKTMDAATVMSTYALANQHRCKRLKDACVDFMTSKEVLAAILETNERFMTRCRPLPLEGDHEEEEVDHSRKFKRTRTK >SECCE2Rv1G0134130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900416877:900417966:1 gene:SECCE2Rv1G0134130 transcript:SECCE2Rv1G0134130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMNLLCFVLGAAAAAAFVALLQPSALQGSYTIADLGLGMRKLSTVAAASDDGLAELLRSASMEDKTVILTFTNEAHALPGSLLHILLDSLRTGVRTQPLLKHLVVVATDRKGLDRCRRVHPLCHLHPLAANRTAANANRTELMFYDKEYVDMMWARNRLQARVLALGYAFLFTDLDILWFRNPLLRIPVGADITLGCDNHFGTNPYDLDKAANGGFVYARPTAASLAFFTDWYEARTRWPGENDQVVFREMKHELAARHGATVHLVDTTYFHSACEAWKKFDFHEMCIFHAACIHGLQDKIHRLNDVLHEWRQFQAQQLLLGPNSTALTY >SECCE5Rv1G0374630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:863954016:863957236:-1 gene:SECCE5Rv1G0374630 transcript:SECCE5Rv1G0374630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAGVPLLLLLSLLALSSVAFADMVLGRKGGTVEDADVELPGVGKYAVIFDAGSTGTRVHVFKFDNKMELLKIDDQVEVFAKVSPGLSSFSGRPKEAAKSRHFYPYSGRPLEAAKSMIPLLDKAKSIVPWWQMNRTPLKLGATAGLRLIGDKQSEQILEAVRDIVHTKSKFQYKPKWINVLEGSQEGSYMWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAISQDAAANAPAAPDGKHPYITKEYLKGKDYNVYVHSYLYYGNMAARVEILNAKSGPFSSCMLRGSTGNYTYNGEVYEAAAAPEGAVYRKCRKEVARALKLDAPCEAKNCTFGGVWSGGGGAGQATLYAASSFYVKASQVGWVKKGTPSARSSPAAFRAAAVKICRLSLEEAQAAYPGVREMPYICMDLVYQYTLLVYGFGLARAREITLVEKVKHGEYFMEAKWPLGEAIEAVAPKKHIYQDPVNVA >SECCE3Rv1G0156040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70139655:70139852:1 gene:SECCE3Rv1G0156040 transcript:SECCE3Rv1G0156040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRKIWSRRSSISPEFVDCSVLIYNGKTPVRCKITEGKVGHKFGEFAFTRRRRPYRRNIGKGLK >SECCE1Rv1G0039500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:549299385:549300223:-1 gene:SECCE1Rv1G0039500 transcript:SECCE1Rv1G0039500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRGMGMGMGMGGAPSSSWAPAAMPPPPPPVSRPWSKAEDKVFESALVAVPEHVPNRWAFVAAQLPGRTPQEAWEHYQALVADIDLIERGLVEAPESWDDDDDGAAAAGGSVGAGRGRPRGSGGEERRKGIPWSEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKYFIRQANAASRGDSKRKSIHDITNA >SECCE3Rv1G0148890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20891026:20892808:1 gene:SECCE3Rv1G0148890 transcript:SECCE3Rv1G0148890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNSSSRSSTAKPMEAVRAGSVLSNVVTVLLLLSLGFVFGMVYNSNFQESHLTPFLQPLPSLVRSPARPSPTPVVACGVGPPSPSPTSPPARMGFADFLAPSGGGLAHNMTDEELFWRASMVPRAALVPRRIVPKVAFLFLVTGDMPLRPLWEKFFAGHEGLYSIYVHASPAYTGSPPADSVFYGRMIPSQNTSWGNMNLVDAERRLLGTALLDLGNARFALLSETCIPLLSFPAAYDFLTGANTSFIDSFPTRARLAPFFLQRNISRAQWRKGSQWFEMDRELAVDVVAEERYMAVFRGDHGIANMLEHYMPTLVTLLGWGARAANRTLTYTDWPRPGPHPANYGVRDVTPELLEGMRRGNGECGYNAGGAVEFCFMFARKFTGDALGRLLELAPKVMGFG >SECCE3Rv1G0170370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:251741379:251742130:-1 gene:SECCE3Rv1G0170370 transcript:SECCE3Rv1G0170370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFSRHNSFFASLKQVEDRLAAEEQPPAPRQPVAPPLFSDTMTASPLFLGASTATATDRGGGGESSGPALDFLTLSKDEVRVQEPQQDSDNDDDDSGADIARLMALLGLSPPPWIGDDGRDSGGCDCSGGDGFMAKVVGVVGPKCEKEKRRVDGWIQHYYGDGGGCREPARLAHLLLAKASWSWDGEGPEDRAAIAFPSAVKEFLDRDAPPRSTEEGEHRETE >SECCE3Rv1G0186190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:681692242:681693345:-1 gene:SECCE3Rv1G0186190 transcript:SECCE3Rv1G0186190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLISRIASGARSPMASAAIAGVGGTHKRPRWLSVSMERTRVRGCCSCSCSGTKMEAERGDTRLVVIVGATGTGKTKLSIDAALELGGEVVNADKIQLYRGLDVTTNKVMLADRRGVVHHLLGAVRADAGELPASSFRSLAAAKTADIAARGRVPVVAGGSNSLIHAFLAERFDNHAPRDPFAAAATGYRPALRFPCCLLWVDVDEAVLDEYLDRRVDDMLGEGMVEELREYFATTSAPERASHAGLRKAIGVPEIGDYLAGRKSLAAAVDEIKANTRVLAAAQVGKIRRMADGWGWPVRRLDATGTVLARLAGAGRDAETAAWHRDVRGPGLAAMRQFLHSQGVSGHDDDADDSDGLRQCRGMVG >SECCE1Rv1G0040780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:565975443:565978376:-1 gene:SECCE1Rv1G0040780 transcript:SECCE1Rv1G0040780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPADKPMIVVNYKGEEKQFAAEEISSMVLIKMREIAEAFLGNSVKNAVVTVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLDKKATSTGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGASVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAERYKAEDEEHKKKVDAKNALENYAYNMRNTVKDDKIASKLGADDKKKVEDAIEGTISWLDANQLAEADEFEDKMKELEGICNPIIAKMYQGAAPDMGGGMGMDEDMPAGGGGAGPKIEEVD >SECCE1Rv1G0056840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689543150:689546724:-1 gene:SECCE1Rv1G0056840 transcript:SECCE1Rv1G0056840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDDIMASLTDSFLADLDDLSDNEAYPEEANAEAVGVDEDGDDDMPDLESLNYDDLDSISKLQKTQRYNDIMQKVEGALAKSTYLSNQGFILEEDPEYQLIVDCSALSEDIENELIIIHNFICDKYRQKFPELESLVHHPIDYARVVKKIANEMDITIVDLYGLLPSAVIMVVSVTAATTSGMPLSEENLVKTIEACDRALNLDAAKKMVLDFLEGRMGYIAPNLSAIVGSAVAAKLVGIAGGLGALANMPACNVQLLGVKKKNLAGHSTQFRVGYLEQSEVFQSTPPGLRALACRVIATKSTLAARIDSARSDPTGKAGRKLLEVIHKKIKKLQEPPPAKHPKPLPIPVSEPKKKRGGRRHRKMKEKYAMTDLMKLANRMQFGIPEESLLGDGLGEGYGMLGQAGTGKLRVSAVQNKLAAKAAKKFKERSYGSSGATSGMTSSLAFTPVQGIELSNPQAHRNLLRSGTQSTYFSETVTFSRISRP >SECCE1Rv1G0017630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:158841548:158844089:-1 gene:SECCE1Rv1G0017630 transcript:SECCE1Rv1G0017630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRCLSSLPLLSASGPGKACAVVRTHHTPSAAAHRRIRTHMSVATGGEQALTAKEQSQEPDYGVVSMHHVGILCENLERSMAFYQDLLGLKVNPARPNDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIRDVLKLKEIFDKAGISYTLSKSGRPAIFARDPDGNALEFTQV >SECCE7Rv1G0469100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:105879012:105879548:-1 gene:SECCE7Rv1G0469100 transcript:SECCE7Rv1G0469100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKITLLAVAAISALLLGTASAVTYTVGEPGGSWTLGTDYSKWVADKKFNVGDEIVFKYSTPAHDVVEVSKAGYDSCSIDGAINTLSTGNDVIALNAAGTRYFICGVPSHCSPTAAASMKVVIDVASGSSSPSSPMPAAGPGASNSPPAPPTSAATSVGATAGFGLVALLAAGLMA >SECCE3Rv1G0210210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:942884055:942886717:1 gene:SECCE3Rv1G0210210 transcript:SECCE3Rv1G0210210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAMALSSLLPLLLLASHGAAPAIGFTRSDFPPDFVFGAATSAYQVAVHLFSLVSWSVYTRKMADKSTGDIASDGYHKYKDDVKLMADTNLEAYRFSISWSRLYYNNLINELVKHGIQVHVMLYHLDFPQVLDDEYGGWLSPRVVEDFTSFADVCFREFGDRVSYWTMIDEPNVGPVGSYDSGILAPGRCSDSFGITKCIVGNSTVEPYIAPHNMILAHASATRLYREQYQAVQKGCAGINVYSFWTYPLTNSTVDLEATKRYQDFMFGWILGPLVFGDYPQAMKTNVGSRLPSFTKSQSEFVKGAIDFIGINHYHSVYVNDRPLKEGVRDYATDITSQWLIQTTQKDCD >SECCE3Rv1G0153520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:54327996:54329227:-1 gene:SECCE3Rv1G0153520 transcript:SECCE3Rv1G0153520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGKQRKVGAATAVFTMDDLDVLHCAVCCDPLRPPIFQCTAGRHIICSSCHDKLPGKCCFCCETTIYSRCHWLEDMVESLKVACPNGCTARIKYYQTEEHEEDCPEAPCFCPITDCSFSGPTARLLEHFSYKNKFPSTKVSYNKRFGMLINFHTNGDLSEPTLLVGEDGHLFIVYMKTESLGCGIAVFCVQPHITTGSRFKCNLSLSSAETGYSQATEFQTRNTNLYDGFPDDCFLFLVPKAMLPGPGTSDRVLVGMELTPQ >SECCE4Rv1G0296890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:905113659:905114337:-1 gene:SECCE4Rv1G0296890 transcript:SECCE4Rv1G0296890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFTSEHQNFRSLRTPSAFDLPMAHYILGRGLGNAESSTFEALQHIYLHNCPRLVFVIPISTFTLPSLESLHIAYCSNLQHVFPLDDKHSEEIASGVKFNNLKHIKLYHLHKLEQICEARLTASALETISLRDCWALRRLPAVGSKLPMVDCEKDWWESLEWDGLEANHDPSLFQTHHSAYNKKTLPRVSVLRFA >SECCE3Rv1G0203400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883197361:883199559:1 gene:SECCE3Rv1G0203400 transcript:SECCE3Rv1G0203400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEKVAGGEGQVEVVVGVDGKGAIECRICQEEGDEAAMDSPCACTGTLKFAHRKCIQRWCDKKGNITCEICNQVYSPNYVLPPTKCCSDEMSMDLRQSWVGRIDPHDSHFLAIAIAEQQLLNAEFDDCMTSNSSGATCCRSIALILMVLLLVRHVTVIVRDVSMLQDATVLFSAILQFVGFFLPCYVIARFCYAFQHRRRRQV >SECCE2Rv1G0085580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:193813393:193817114:1 gene:SECCE2Rv1G0085580 transcript:SECCE2Rv1G0085580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATMFMELALDQAKFALDNLEVPVGCVIVEDGKVISSGRNRTNATRNATRHAEMEAIDVLLQEWQSIGLDQPLVAKKFAGCDLYVTCEPCIMCASALSILGIREVYFGCANDKFGGCGSVMSLHESLSLDDLTGSQDIRSRGYKCTGGIMAEEAVALFRNFYEQGNPNAPKPHRPVRVDQQLLVC >SECCE2Rv1G0090350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:275890972:275891409:1 gene:SECCE2Rv1G0090350 transcript:SECCE2Rv1G0090350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINIPDGKFYFGDVGYACRPGILPPFRKIRYHLNEFSDRNYPRTAQELFNLRHSSFRVTVERAFGALKNRFKILDQKPFHPYSTQVKLVLACCILHNWILQWGFDEHVPEEEDVECDDVVSSRHGVKAFDNDAWKNKKLE >SECCE6Rv1G0416290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:647950938:647959446:-1 gene:SECCE6Rv1G0416290 transcript:SECCE6Rv1G0416290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPIVSAAAGVMNPLISKLTTLMGDEYKKLKGVRKEVAFLRDELSAMNAALEKLEFVEKLEPDTKNWRDHVREMAYDMENCIDDFMQNIGGADASASAGFMKRTARRLKTLRVRHRIAGQIHELKALAVEAKERQIRYKIDGCNTSCGTVDIDPRISAIYKDTAGLVGTDGPKKEVVTLLADNENKLKVVSIVGFGGLGKTTLANQVYDDLKEQFDCKAFIPVSQKPDMTRLLNSLRLNLGMNESSGICEVQDIIRQLREHLANKRYFVIVDDLWDQGAWEIIRCAFPENGNGSRVIVTTRVEGVAMSACFYHCENIYRMEPLSAEDSRRLFMNRVFGSENLCPSNYEEVSNEILKKCGGLPLAIITIASLLACRQEKSRNDWENIKNSLGAQFAINPTLKGMRSILNLSYMNLPLHLRTCFLYLGMYPEDYEIKRDDLVRKWIAEGFVSNLHGTNLEDVGISHFNELVNRSLIQPARDVWDNVCYKVHDMMLDLILSKCAEDNFNSVAYTSEDMTRLSNCTYKIRRLALISAIDGTSETLSWIVSDSTSQLRSLVWFGHCKSAPRLCQLKYIRVLCFEYPSLVGALRLDLTATNQLFQLRYLKVSEHWYAELPTEIRGLVHLDSLDVPNGSIPSDIEHLPRLCNLTMGFYGMIGLPERIGIMESLRTLDGFHLESCSLEALEGLGKLTNLRSLKLHKANECNLEKVKFDALVSSICKLCNLKYLLMSGRHDDKDDILGSVSDPPALIEVMYLSTKFLRVPKWIGDLHCLHSLRLSVRETKTDGITVLGGLPSLVYLNLIVVTCPKEEAVIVSKGLFPVLQHLKFISDEDVTAYLGFEAGAMPKLRELSLKVFKTWGGAAPAGMEHLLDLQQISLFVFHNEKESSENVKLQVQSAFRNAVQLHPRPPFVDITCV >SECCE6Rv1G0387890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:125844017:125847782:-1 gene:SECCE6Rv1G0387890 transcript:SECCE6Rv1G0387890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEEEAQSLALTPTWVVASVCLVIVAISLAAERLLHRLGKVLKFNGQEALFSALQRVKEELMILGFISFLLSVCQNLINRICIPESAARIMLPCIEESKAREDAAKLCKTKGEVPMLSEEALHQLHIFIFVLGVVHVLFCVTTLLLGGAKMRTWNKWEKEIQQGRLNEREKTPGWMKPSAIAFFKQFYNSVGKPDYQVLRSAFVLRHYPNRPDFDFHKYMVRALEHDFKEVVGISWYLWLFVIVFLLLNINGWHTYFWLSFLPLILLLIVGTKLEHISTRLAQEAAECSDEASGNPWTKPCKEHFWFSHPRAVLHLIHFILFQNSFEMAFFFWVLATYGFDSCIMENRSYALPRLAIGIIVQVLCSYSTLPLYAIVTHMGGDIKLQAFGDHVHVSVHSWATDVKKKATSLPAHPNPHPHQHPHSHLRIPFLNKKRHSGRGPATEEAAAQARATEQRAGSSSTPTAPPQQRADDLEEIVTTTEEDHRRRNASFS >SECCE6Rv1G0410210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:595797828:595798880:-1 gene:SECCE6Rv1G0410210 transcript:SECCE6Rv1G0410210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRWQGDEGQSNYHDRDDNKHKSIYLVLDDWHRGFTIRKLDADSPDLSVPPVFRLVSPLNNHAMDFAALGSNIIATSNQCAATVVFDTETDALAIGNPLPDALLSALNFFVTADDMLFAFAYYFMSRSPSFEVMTTTKDEMRSLCPSTDWSWKSMPAPFTKHQSIVSYALHADRRTIFVSVYDRKDSGTGTYSFDSENHEWRRHGEWVLPFHRQGYFDADLDAWVGLHLDGYICCCQLPPLSTTTMQQPSWKMANEQNLWSPGHQVSKSQGATLTYMGNSRFFLVDCIVADGFEFQDAFDDPHGFVLNMTTFRLKYNHEGKLRVIDRNTTSCPVSRQLSSFAPVAFWM >SECCE4Rv1G0223850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:74575503:74575900:-1 gene:SECCE4Rv1G0223850 transcript:SECCE4Rv1G0223850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSRCRALRTQVALLLVLLLAASLLQAGDAASGFCAGKCAVRCGRSRAKRGACMKYCGLCCEECACVPTGRSGSRDECPCYRDMLTAGPRKRPKCP >SECCE7Rv1G0464340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:60093642:60095678:1 gene:SECCE7Rv1G0464340 transcript:SECCE7Rv1G0464340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRPLSSGINDAPALTTARPPRPTILAPPNSQMLTSSLPPPTLPVPAPKPATKPKPAAAVSVSVSAAATATAPLDAGGSGRLSALIRSLCAAGRTADAARALDTAGDAAGVVAYNAMVAGYCRAGQVAAARRLAAAVPVPTNAYTYFPIVRSLCARGRIADALTVLDEMSLRGCAATPPMCHVILEAACRGGGFRSAVRALEVLHAKGCTLDSGNCNLVISAICEQGCVNEGVELLRMLPSFGCEPDIVSYNAVLKGLCMAKRWEDVEELMDEMVRVDCPPNIATFNTLVAYLCRNGLYEQVHEALSQMSEHGCTPDLRMYATIIDGICKDGHHEVANDILSRMPSYGLKPNVVCYNTVLKGLCSAERWEEAEDLLAEMFQKDCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLEYGCMPDVITYTTVINGFCKEGLVDEAVMLLKNMSSCGCKPNTISYTIVLKGLCRAERWVDAEELISHMIQQGCLPNPVTFNTLINFLCKKGFVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMINKGITPNTIIYSSVASALSREGKTDKIIQMFDSIRDATVRSDAVLYNAVISSLCKRWETDRAIDFFAYMVSNGCMPNESTYTILIKGLASEGLVREAQDLLSELCSRRAVRKHLVRHFGIV >SECCE2Rv1G0092450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:357908887:357913094:-1 gene:SECCE2Rv1G0092450 transcript:SECCE2Rv1G0092450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSTPSFDLSAGAEPTLGPRPPPPPPPPAVPPSQPQVSEAAARRLREAEERLREAIQELHQSHGRDEEAAKGGGWGCCVHQGESCAAHAAGNLCQTFLLSYGVRVGIGILLRAFKLARRRSYASLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRKKETPFNTILSGSLAGMAILALDDSSRRRTLSLYLLARLAQCAYNSAKSKNRFHFWGSHWRHGDALLFSVASAQIMYAFVMRPESLPKSYRDFIQKTGPVAEPVYKAVRDSCRGGHVDLIGLSAYFANKKNSNLINLTKSPSIIPCSVIHPDRASCLAHNVTVTSSTFKKTFPLYFSLTFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSAFVTLFQSAICLHRKVASKDHKLVYWFGGLLSGLSILLENKARRAELALYVLPRAGESLWYIMINRHLLPYIRNAEVGLFCMCMGGIMYFLEYEPETMAPFLRGLIRRFLASKITNPNPPLNRNASYSYLQTLNVLEQSKTHPTLENGLPTSETYTLESIPGL >SECCE4Rv1G0247310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:556830565:556830864:1 gene:SECCE4Rv1G0247310 transcript:SECCE4Rv1G0247310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAARPAAGSLYEALRVGRAATQVEIRTAYRSMAKRLHPDVATCGRGGPEAFLEIRRAYETLSDPAARARYDCSLGVFRGGGAGGVMRVRRWETDQCW >SECCE7Rv1G0495640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:600338288:600344797:1 gene:SECCE7Rv1G0495640 transcript:SECCE7Rv1G0495640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAEGPIRADAVPAAAGAGAERADAAPAGGAAAAKAREDEVREYKSDVRKLEELFKKLNPSAEEFVPLSRRQGDGARRLSADAPVFVSPAIDYYAPHHPFQHQQMHVLQVVGAGGGAGRDSSSDGSANGQPNRRRRNGFNQGRRRMGVRPRRTDREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVMRFAFIEFADDVGARAALTLGGTILGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKNVPEDVVKNFFEGICGEVARLRLLGDYVHATCIAFVEFVQAEGAILALNCSGMLLGSLPVRVSPSKTPVRPRSPRAMSH >SECCE1Rv1G0036460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:509961269:509963804:1 gene:SECCE1Rv1G0036460 transcript:SECCE1Rv1G0036460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPAAGEGKVVDSFGGIKAYVAGAQESKAAVVLISDVYGFEAPNLRKIADKVASSGYFVVVPDFLHGDPFVPEDADRPIAVWIKEHTPGKAFEEAKPVIAALKEQGASSVGAAGYCWGAKVVAELGKANDIQAAVMSHPSFVTVDDIEEVKCPIAILGAETDVMSPPELVKKFEQVLSSNSGIAHFVKIFPGVSHGWTVRYKSEDAAAVKSAEEALADMVDWFNKNLK >SECCE1Rv1G0039190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:546429924:546432796:1 gene:SECCE1Rv1G0039190 transcript:SECCE1Rv1G0039190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMAASSQPPPSGSSDLARFRSASGIGSMNMDDILRNIYGEAPPSGAGAPADPAPAPEAAARRTAEEVWKEISATGGLSAPAPAPAPAGGGGGEAGGAAVMTLEDFLAREDDGRVTAVEGNMAVGFPDVGADVGAVVAGGRRRGGGAGGSGRARKRALMDPMDRAATQRQKRMIKNRESAARSRERKQAYIAELEAQVTQLEEEHAELLREQEEQNEKRLNELKEQAFQVVVRKKPSQDLRRTNSMEW >SECCE7Rv1G0495280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:596275693:596276664:1 gene:SECCE7Rv1G0495280 transcript:SECCE7Rv1G0495280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAASPDTFDDAAADAPGTTSSNLTLLYIIIAVLVGVVLYLAVRYGRSLLSEWRELNGTGHGPPPAFHPGLSLEDIAALPTFTYRARATPAPSPQGSWGGCTSGGGKRRSGSKGRATSVECVVCLQDLEDGDVVRVLPACRHFFHSSCIDTWLSAHSSCPVCRAEPESARPGEAALSPPLPQLRRCGVSPERPTASRILADILARSPLRIGGSTSEPKERIMSRSPSPAPTARDYTMSRSPSRTPLTHGMVDERCSVSQSPQTLEVVVVRSKSPSPMRFGRQSTTTCVGVLERTDASMSASPSPPATYAEHGGESSSKLTH >SECCE6Rv1G0432750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:754203006:754203464:-1 gene:SECCE6Rv1G0432750 transcript:SECCE6Rv1G0432750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKSGELSALFLSLDRDADGRISAAELRGCMRATLGEDVPVEEAEALVASVDADGDGLLCEAEFLELAQQAAGTSDVGQEDDERRIRALREAFGMYEMEGQGCITPASLGRMLGRLGAERGDRDCRAMICRFDLDGDGVLSFDEFKIMMS >SECCE5Rv1G0317650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:349024815:349027772:-1 gene:SECCE5Rv1G0317650 transcript:SECCE5Rv1G0317650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MTISCRFVAVAGALALAAVLLSSSPLRGDVRAVVEIRDVELIPVDGGAAGPESVAFGVGGEGPYAGVSDGRVIRWLSEERRWVEHSSAAAPELLDSCRGSQDPLKEHECGRPLGLKFNNKTGELYVADSYFGLRVVSPEDNVSRLIGPEQPGSPFSFANGVEIDQETGVVYFTETSTRFQRRQFLNIVISGDATGRLLKYDPNSNEVQVLVDSLAFPNGLLMSEDGSHLLLAETTTCKIHRYWLKTPKASILEELVQLPGFPDNIKASPRGGFWVGLHGKRGKIAEWTTSFPWLRRLVMKLPPQRVQRVMAFLSRFGSQVIALRVSEEGKVLEEVIVHDTARKMFGSISELEERDGCLWIGSVHLPFLGHYCL >SECCE5Rv1G0324680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:449292323:449295641:1 gene:SECCE5Rv1G0324680 transcript:SECCE5Rv1G0324680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSLYSSGRLLSSRPASKARLVGRWLRPSCSLSMNGCVTGVGERGAVTMRETRALPAASAPQEAVGQLRAAVAALTADPPASSSGIIRIEVPIRQRGDAIEWLHAQRQSSLPRCFFSARAPLPDTPALAVGSSSINGNGSHKEQWEQPVSVAGVGSAVFFRGTDPFSLQDWRAIKRFLSRDCPLIRAYGAIRFDASSDASVEWEDYGAFYFVVPQVEFSELEEGSVLATTIAWDDSLSWTWQSAVDELQSTLHEISPCSVKVNRSTLQTAIVNLNHVPTKASWDLAVTQALRMIKGSQTELVKVVLARCSRYITDTCIDPLELLACLKVEGQNAYQFCIQPPDAPAFVGNSPEQLFHRKYLNISSEALAGTRARGKTRADDFQIGQELLLSIKEDTEFTIVRESIRKKLEMICDEVVVNPSKALRKLPRVQHLSAQLAARLRNEDDEFDILNALHPSPAVCGLPTEEARQFIRDYEIFDRGMYAGPVGWFGGAESEFAVGIRSALLGKGYSTLVYAGAGIVEGTNPSFEWDELDLKASQFAKLLQYQEHICYQEAGNMGTLI >SECCE6Rv1G0426530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712998103:713001812:-1 gene:SECCE6Rv1G0426530 transcript:SECCE6Rv1G0426530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSPAATGSGSGTAEPAHGQHGDSSSSAAAAAASASPSAVAADASSASSPPPLPPAAPLDRPQEERDREQAGGSCSAAAAGVDREGEGLAPAAPSSAPSPPSSDSSSPDGADAAAAGAEPFDCRLAFQQMLQEQMAIKEAGGPLAEGAGKKRKPEEAQVKSSYAIGELWNNLRKFFLGEEWHYSQHVNHQTHPITEVRKYYLVSDLATGRVDHLDAYSEFRPVTGDGECFYRSFIFSYLEQVLDRQDTHEEHRLLDAVKRVSVQHADLRWTSEFPKSYRAFKKLIKKVKRWKRHGRWKWNIIASTTSYRKEKLLEFFRGYDTTQDILTFLRLVVAIWICSHGKQYELRIPGLNRHYSLKDWCFQHVTPSRQYADHVMMTALAEALDVPLRVEQLNGGPAQDIYTVPGPGVPHVSVTLLYTGNHYDVLYPRAPPTESSSQQTS >SECCE2Rv1G0114290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:733051832:733056846:-1 gene:SECCE2Rv1G0114290 transcript:SECCE2Rv1G0114290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDRGVSAAAGGAGERKRIGEAMDRSSPSTSWGFSGGRERDRIAAGKQPEVPRSGGGSTAMSKGKLSDGESDTDSEESDLSGSDGEDTSWISWFCSLRGNEFFCEVDDDYIQDDFNLCGLSGQVPYYEYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHVRYILTSKGLAAMLDKYKNYDFGRCPRVHCSGQPCLPVGQSDIPRSSNVKIYCPKCEDLYYPRSKYQSNIDGAYFGTTFPHLFLMTYPHLKPQKPSQQYVPKVFGFKLHKP >SECCE5Rv1G0330650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:531593059:531595805:1 gene:SECCE5Rv1G0330650 transcript:SECCE5Rv1G0330650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAWAAAAAAAAAVLWWMAAGAGAVWLEITPSGTKCVAEEIRSNVVVIGDYSVLYEHQQVHPTVSVKITSPFGDTIHKKDKVTVDQFAFTTSEAGNYLACFTVDGDNKGLVVKLNLDWRIGIAAKDWDAVAKKEKIEGVALELFKLDESVQTIYENLLVLRTKESGMRDVSEQTNARVLWLSMMSLGVCICVSVMQLVHLKRYFRKKKLI >SECCE5Rv1G0342950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:634192933:634194311:1 gene:SECCE5Rv1G0342950 transcript:SECCE5Rv1G0342950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVSPSSSSTACLLLVIVSSLQGLVAAAGVTFTFTNRCGGTVWPGVLANSGSPPLETTGFALSPGEARSLAAPAGWSGRFWARTGCAFDGTSGGKCATGDCGSGEAECHGAGATPPATLVEFTLGDGGGGKEDYYDVSLVDGYNVPVVVEPSAAGCPATGCLVDLNERCPAELRAGEGCRSACEAFDRPEYCCSGAFGGPDTCRPSAYSQAFKAACPRAYSYAYDDASSTFTCAASAAYSVTFCPRAGTPSSSLKSSNDPLPRPGDVVAGAQVAADTWLASLATGESDAAAGRTAAAAALRAALAAAAVAVLASSL >SECCE2Rv1G0109050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:671972504:671982778:-1 gene:SECCE2Rv1G0109050 transcript:SECCE2Rv1G0109050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMHDANDSADDDFYSGGEAGLAASDDGDADYDFADHDSDDSPELLSHRQQQNYCILSEAGIKQRQEDDINRVSTVLSISKSEACALLRSYNWSVSKVHDEWFVDEERVRKVVGFPEKRIEMPNDRELACGICFENCPHASMSAAACGHPFCSVCWRGYISTAINDGPGCLMLRCPDPSCAAAVGQDMINSLANEEDKEKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVVGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGSWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLQSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQTYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSQSTGIKNTTSKSLGGKGKSGKNRASAASSKSGSSSRGVDDSNIWTCDQCTYVNPKSAKACQACDHQHR >SECCE4Rv1G0269480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749157784:749159061:1 gene:SECCE4Rv1G0269480 transcript:SECCE4Rv1G0269480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASGAAASTPVGSTSSSSSRRAPMEDDDLLMEILLRLPPRPSSLPRVSSVCKRWRRIVADPQFLRRFCAHHREPPIVGVFFSSSFVEPPFRSTLDPPDLIPPERFSPQLDGIEGGIWSVRSCRHGRVLFTNSDRTGRGCRQVVVWDPVTGDRRCIGSPPELGGHGWSESRVQADVLCVAGDEGHVHGACHWSPFKVVLACTSEGVARACVYSSEMGAWAAPISTLLPFDTPSCLPSRSILLGNSLCWFIFGPLAGILELNFDRQSLAVIEVPPDACVTDYHELFLSTLGGMLGFIVVSESYRAQLWERTTNFDSVAGWMRGRTFELRKLLPLKSGEWIKRVMFIAGDDNVAFLSTSRGIFMVHLESLQFEEIFKSNPDNRLSTIYPYPFKSFFAAAAAGNNMHLHGNHSKNIVISDGFVSRLLD >SECCE5Rv1G0340040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611609158:611609892:-1 gene:SECCE5Rv1G0340040 transcript:SECCE5Rv1G0340040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAGDHSYYHGYSISFSSGTPQYTHHQRAPQAPARADMEVDHQRLSFLMEATSITTNDGSPPASAADVAGHHSGGGEIMATPDAWPTLGLQGSSSGSCSAPLIGVRKRPWGKYAAEIRDSTRNGARVWLGTFDTPHAAALAYDQAAFSVRGPAAVLNFPVKLVQESLRALGIGGAADAGDSPALALKRRHCIRKRNPKKKRRTGTGTAAAGAHAASSLSACVLELEDLGANYLEELLTMSDL >SECCE6Rv1G0451060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:870974911:870975258:-1 gene:SECCE6Rv1G0451060 transcript:SECCE6Rv1G0451060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYSLLFIIVATVVVAYPFAMSFEEEWHRIGDLDNEPHIQELGEWAVAEHVKKAHDGLKFIKVVSGAEASTASVIYSLNIKALNNNGQPGEYKAILVEEVRDNKRTLISFGSAH >SECCE5Rv1G0351080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:696302364:696304665:1 gene:SECCE5Rv1G0351080 transcript:SECCE5Rv1G0351080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMFSCPADDYDPMEEGVLAPADGGEGEPTILRALGSGKLLIQGSLSFKRERQLDDSPGPLQLETEISIGTGDIAAEAEAPPLVPRELARLRAGADGPRHDAAALRLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLNRSSVSFFDIEKQETAVSKWSRARSRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLHSESKQPFFYWLDVGEGKEINLEGKCSRSKLQTQCIKYLGPKEREDYEVVIEDSKLLYKKSRQIIDTSFGPRDAKWIFVLSTSKSLYVGQKKKGKFQHSSFLAGGATSAAGRLVAENGTLKAIWPHSGHYRPTEENFEEFKSFLNDNLVDLTDVKMSPAEEDEEFWGSLKRIASENDKSEDGPAAPEETGPLQTAQVTQTASTETEEREEPVVAREKILQRINSKKDMKSYQLGKQLSFKWTTGAGPRIGCVRDYPSELQAHALEQMNLSPRCAAGSAGTRFASPLRRSFNSIVTRGCENEASSPRGAFRSPLQHGLVADER >SECCE7Rv1G0465530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:70664057:70665736:1 gene:SECCE7Rv1G0465530 transcript:SECCE7Rv1G0465530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKEAAVPGVTCVGGGSGGNGSRSSSCKRATAVSKISKRNQQGIFKKMKPIAAYFGTQPSNEFQAPAPVHVPEPDANILSTEASDANVVEDIVPEPEVYNEGSHDDTLQTCILEFCPTHIEPDPGLRKQIGDYPTPEIRDAVRREYLKKGPCQPYRHDFPRNTTDNRVFREEWFDESHVFCSCGSLANLALKLVETKEHLQFPLVYRLITLALTLPVATASVERVFSAMNIIKTDLRNKISDDWLNDMMICYVERDIFAGIDDKKIIEHFHSLRDRRGHLPNPPRILTT >SECCE3Rv1G0189100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721243825:721246031:1 gene:SECCE3Rv1G0189100 transcript:SECCE3Rv1G0189100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASRMAQLQSRAALASRMAQMQSKAAEATRFAAKHGGALQSEAASFAAKHGSALQSKASEAASFAAKHGATLQSKAAEAASIAAKHGATLQSKAAEAASIAAKQGSVLQSKASEAASSAAKQGREYHRTLMERNKQYVVDPPTVEKCQELSKQLFYTRLASIPGRYEAFWKEVDGVKLLLKNTKDLKAEHAGVAALFGLELYAWLRAGEFIGRGSLTGYHV >SECCE4Rv1G0251240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:600905287:600906312:1 gene:SECCE4Rv1G0251240 transcript:SECCE4Rv1G0251240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSPSCLLEEPRRLPPRPPGDGMDAEEVVEEARDWAELPRDVLLAVLSKLDHIEILMGPDMVCSPWRRAATDEPELWRRIDMRFHYADGFYLNSRKLLQMVRAAMRRSAGRCEAFWGGSYVTERILSLVGDAAPSLKSLRLIECDDIVDLAFKPVITKFPMLEELELSNCMHRFPDTLQVIGDACPLLKRFRLSQRSFYSEYVDDSAAMAIAGMSELRSLQLTANSLTNSGLELILNGCPLLESLDIRSCYHVCMDDDMQAKCARIKTLRHPEDSMDDYDLSFNYTIPRPCWSTEPIEYTMGCQSPY >SECCE3Rv1G0204640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:895666696:895668835:-1 gene:SECCE3Rv1G0204640 transcript:SECCE3Rv1G0204640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIARFFFGVSGNVIALFLFLSPVVTFWRIIKRKSTEDFSGVPYNMTLLNCLLSAWYGLPFVSPNNILVTTINGAGSVIEAIYVVIFLIFAERKAKIRMLGLLSVVTTIFATVVLVSLLALHGRARTVFCGLAATVFSICMYASPLSIMRLVIKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGLDPFIYVPNGCGSFLGLMQLILYAIYRKNKGPAVPAGKGDADADEVDEVEDAKKTAAAVEMGEAKIRVDDDTAVDVDEPAVDKVAAQV >SECCEUnv1G0556420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:311273096:311275645:1 gene:SECCEUnv1G0556420 transcript:SECCEUnv1G0556420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHDGASPAKMFIGGLSRQTSMGTFKEYFGKYGEIIDAVIMKDRHTQKPRGFGFITYSDPAIVDRVIEDTHVIDGKQVEIKRTIPKGAAPLKDFKTKKIFVGGLPTALSEDEFKDFFSKFGKVVEHQIICDHSTNRSRGFGFIVFDAEKSVDELLAKKGNKIDLNGTQVEIKKAEPKKPSNPPHSLDSKPRRSPYADGYDGFASGYNNGGRFAPYKSPDSGTRPGSYTSAYVPGSYSSAYLPGNYSSAYAPGDYSSGHGGYDGAFGGYHGESSLYSSRFGSSYGGGLGGAYGRDAVPRGTSSYGPSYDSSGASADPSVRFGMGGLYGARGGYGSSSGGGATGRYHPYAG >SECCE5Rv1G0376780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873927297:873928674:-1 gene:SECCE5Rv1G0376780 transcript:SECCE5Rv1G0376780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKSELRMAMEDLCLLSSGDGEDQEQHKIRSSTMDLLCVSNHLLRVLDAIGPTLLVLRQDIQQNVQTLQYLHARDSLKYASLTAIVIEEVEEGTSKKANSCTRAIIWLARSVNFSIRLLERLVKNQDSSLQEMVEEAYESTLKPFHGWISSAAYKVALRLIPERDIFIRLLMGNCQDIGDFGEDVMILVSIVQPLLEEINAILVKHRLDRLKST >SECCEUnv1G0570010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:456365803:456366036:1 gene:SECCEUnv1G0570010 transcript:SECCEUnv1G0570010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRIGP >SECCE2Rv1G0134550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:902067049:902067564:1 gene:SECCE2Rv1G0134550 transcript:SECCE2Rv1G0134550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRTILLAVAAMTILSTASAAIYNVGEPGGAWDLSTNYGTWASSRNFHPSDQIVFKYSPQAHDVLEVGKADYDSCSTASPIATLKSGNDVVSLTATGTRYFICGFPGHCAAGMKVKIDVVPSSSSSSPAPASGPSATNAPPPAPVSAATSMEATGFGLTVLLAVAGLMA >SECCE5Rv1G0359140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:759936131:759936694:1 gene:SECCE5Rv1G0359140 transcript:SECCE5Rv1G0359140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGGAKAASLALRIVALALAVGAAVVMGSVVSYNSALVYFVVGSVVSAVCSALALYLFVVHGGGGSIAVSLLDAAAQALLFSASGAALAARGCFASGAGAFRGRVGIAAAVGTCAATAVLVAALAGNAPRRGSSAARSGGAAGGSACKHGCSSPGC >SECCE7Rv1G0506170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:746649421:746650818:-1 gene:SECCE7Rv1G0506170 transcript:SECCE7Rv1G0506170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVHVLVFPWPRQGHINPMLQFATALVDAGVQVTFLHTEHNLCRLAQAHPPGLRLLSIPDGLPDEHPRGFLDFMESMCTAGSAAYRALLLSLLSAADAPVTCVVADGTMPFAIEIPEELGIPALSFVTHSACSYLALLSMPKLVELGETPFTADDLVSSVPGMEGFLRRRDLPRGLYCTEHGDGDPLVLKFAEVVGRTSKACALIFNTAASMERPALAHLALCTSDVFAVGPLHARSSSASAASASLWREDDGCMAWLDGHEDQSVVYVSLGSLAVITHEQFTEFLSGLAATGYAFLWVLRPGMVKMTSSALLREAVGAAEGGKGRVVEWAPQRDVLRHRAVGCFLTHAGWNSTLECAVEGMPMVCWPFFVDQQTNSRFVGAVWKTGLDMKDVCERGVVERTVREVMASKEIRKAAQAMAQQLRLDVAEAGSSSSELERLVTLVRFIRELSTRSSLKPRINGN >SECCE1Rv1G0057500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693857621:693858514:-1 gene:SECCE1Rv1G0057500 transcript:SECCE1Rv1G0057500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAPGSSQQPRDTSNILEDVWATIMTETPSGCTPMPTASSTASASVVDQAGEEILQRLPSLGRWISMGADEWDELLSVADAATATPPVAEQPPLAAASPRGEGQRSRAAVGKAALPKSYRGVRRRPWGKFAAEIRDTRRRGARVWLGTFATADEAALAYDVAALRMRGPRAHLNFPIEKVQRRLDLELENGQLLDATGTGDHSTGHARRKWRRRSSATATTDHVRSVDADLTAVYGNGSSDQTMVSFARSTSDHGTPTMQDRRAMSADIAGAVDRVIELEEIGGEYWDYLFPPVL >SECCE7Rv1G0465200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:67600681:67601040:-1 gene:SECCE7Rv1G0465200 transcript:SECCE7Rv1G0465200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAVVAAIVALLAVAAAAQGPMPAPRMAPLPAPPARSPATAPAPVATPPTAASPSPMASPPAPPTDAPTDAPSAMTPSAVSATPSGAPTGTPASSAVYSSAASFVAVAGAVAAAIVF >SECCE4Rv1G0227720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:126389896:126391906:-1 gene:SECCE4Rv1G0227720 transcript:SECCE4Rv1G0227720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase 14 kDa subunit [Source:Projected from Arabidopsis thaliana (AT2G29540) UniProtKB/TrEMBL;Acc:A8MRK9] MEHGSVADSSASTFSIMEEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTGDPAKDVMKDALQDLMVMGQHVRGTFDKAVADFKSKMPAEQMDVDVNQQ >SECCE2Rv1G0131990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887812547:887813842:-1 gene:SECCE2Rv1G0131990 transcript:SECCE2Rv1G0131990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRREIRLGVCHIEDDKQRNVTFSKRRAGLFKSASNLSALTGVKVVVVLESESGKMHAFGTPSVRPIIDAFLSRDPLIEPLADKAGKDRIASLQSKVARLDMENAMLEKRAKISLQHIKKILAENPGMAANNIFSREKDLGLEDLKRLFNDLLRVKEHIRHRMPPLHHGHEPRTGGPNVQQNKVLPRGPSHDYSKTPSASLQPSAPHHVPPQVLPLVPLPPAPQHTMEPSFHMQVPQILRSRPSPFALQFRSLMQPIPHQVPQMFQSTPPPSIPHLASLQQPVLDQVHGLPPPPQPQLEEYASPYKTTKPTQNKTIPNSTVESILEASPRFFYSGGNDIAVRESLGYDNSVYAPSDQAHYNEILGMDSYLGYNEAHGGQHNMECDKWINAPPESSGGTADDI >SECCE4Rv1G0221460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:49235896:49262349:1 gene:SECCE4Rv1G0221460 transcript:SECCE4Rv1G0221460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCEGDYVTPVTKMCVGVVNTIQHLISEVYKGYILDDRCVRAAPKPVKDDSSSSRSLLEEQIQPTESSAHPTINCFSYQNYISNIWANYNMTRDALRIKKGTVGKWVRCISELPYTQDVPSSIGYQFNLTTRGYRALVFSGDHDLMVPFLGTQAWIRSLNFSIVDDWRAWHVDGQSAGFTIAYANHMTFATVKGGGHTAVSYRPKQGLAMAQRWLDNKPL >SECCE3Rv1G0199660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:847498954:847500796:1 gene:SECCE3Rv1G0199660 transcript:SECCE3Rv1G0199660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLQSEALREAITNIINDCREKNRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMRVCMLGDAQHVEEAGKMGLDCMDVESLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVSHQESLESKVNETKATVKFQLKKVLCMGVAVGHLGMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRLF >SECCE3Rv1G0193850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:775472981:775475358:-1 gene:SECCE3Rv1G0193850 transcript:SECCE3Rv1G0193850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALRKTLLHGRLPAPPATAASRISSFLRSLSTSPGGEGGGDEWGSSWSTGITKDHFDGSASAVGRPSPSAPVSKELAAVRTMDEEDEILRSVDLDNREGKAYVDSWDTRFQQTCELLKQVREPGSRGAYLKDSEKQEMYRLHKEDPATYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKQGKPLDDSVEILLDSCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDAMLYEEFVQRLEFNKKKVAGEVKCHKYSRRRPDDGWAYMVEKLGPQGRRGSGGGWKFISLPDGSSRPLNDTEKMYVKRETPKRRRRIIAPYK >SECCE1Rv1G0037020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:515757487:515767747:-1 gene:SECCE1Rv1G0037020 transcript:SECCE1Rv1G0037020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWRGAVAAAVVVLMASAAAVAAGGAAADQAPPQRILLDTDMDTDDLLALMYILKQNRSEFELKAVTINVNAWSDAGHAVNHLYDILYMMGRDDILVGVGGDGGISDAGTIYPNVGGYLPLIDQGMTTVGDCRYRKAVPLEGGGRLDVDTNWGIRRSFLPQGNRRYIPFQQPTTQQVMIDTISAGPTTVILTGSHTNFAIFLMTYPHLKGNVKHIYTMGGGVRSKNPTGCCPKDVTTACTPQQCGDIGNLFSSYSTNPYAEFNIFGDPFAAYQVFHSGIPITLVPLDATNTIPVNEEFFYAFQQHQSTFEAEYCFKSLKMARDTWFDDQFHASYFMWDSFTSGVAISSMRNDKDCQHGNDFAELEYMNITVITSNEPYGIYDGSNPLFDAHAVPKFGLKKGGVHSGHVQTGITDSFCLTEGTRKGRCQDGYTKEISGLEAVRVRVATKAKSNVDKNSRLDREFFKSFLEVLTLRDNTGRFNITAQFPFYREVLYKPNFVNKSRGKATIFDMDMSAGDFVSLIYLLKAPVEEIDLKGIFVSGNGTGILGCKYVSAIPQGSGGLLDSDTLYGLARSLPRSPRRYTAENSVEHGAPRNTDNPELRQPLAFEVWQSVKKQLDPNEKITILTNGPLTNLANIVLSDRNASSVIENVYVVGGHIRDENDSNGNVFTVPSNRYAEFNLFLDPLAAKVVLESTMDITLIPLSSQRKASSFQTLLESLEYAENTPESSFVLHLLSLLHDLQQKHRLYHHMGIFLGELLGAVYLVEGSNMEHSLLLKPISIIADNTTSTDGQVVVDEQSANLVKVLVDFDGDEYYSRVANRLGNMERSAVIGTFAEQRASWSRQPDNLRVR >SECCE6Rv1G0423720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697238142:697240254:-1 gene:SECCE6Rv1G0423720 transcript:SECCE6Rv1G0423720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTEPLLFTTPHNTHSPRALHRVGAFGPPTPASTPRKAGEDDDDEEDVLAGSSMVVSVRDDETGALVATVGGEGDEPDDDPLDAPPVRTAKAGLAVFADESRRLWAIGAPIAFNILCLYGTNSTTQIFVGHIGNRELSAVAIGLSVVSNFSFGFLLGMGSALETLCGQAFGAGQVAMLGVYMQRSWIILTASALLLSPLYIFAGSILRLLGQEDAIAAAAGEFTLRIIPQMFALAINFPTQKFLQAQSKVAALAWIGFAALIAHVGLLALFVSVLGWGIAGAATAYDISSWLTALAQVAYVVGWCRDGWTGLSRTAFNELWAFVKLSLASAVMLCLEIWYMMVLVVLTGHLDDAEIAVDSISICMNINGWEGMLFIGLSAAISVRVSNELGSGRPRATVHAVAVVLAQSLALGLAAMVLILATRNEFAVIFTGDRHLQKAVANIAGLLAVTMVLNSIQPVISGIAVGGGWQAAVAYINLGCYYAFGLPLGFIFGYLFRWGVRGIWAGMLCGTALQTGILMYMVFKTDWKAEASRALERVILWGGQHEKLPTRDRELII >SECCE6Rv1G0380960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:28435395:28438218:-1 gene:SECCE6Rv1G0380960 transcript:SECCE6Rv1G0380960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAIALRRAAAWLLRGGAGAGAPRAASSAALPGMGGALLSPARGLQGREAAGFLGIWGGPAACGGGGSWWFRCAASSVPRPGLLVEQLLVGGGRSFATGAAPEEVSFSPAAREADVSQPEKSVGTSDKTMLGDRSLKLVSGVCYLPHPDKEETGGEDAHFIWDEQAIGIADGVGGWASYGIDAGQYARDIMSNAVTAIEEEPKDSIDLTRVLEKAHSSTTVPGSSTACIIALTDQGLQAINLGDSGFIVIRDGCTLCRSPVQQHDFNFSYQLESGNSSDLPNAAQVFKVPVASGDVIVAGTDGLFDNLYNNDITAVVVHATRAGLDPQVTAQKIAALARQRAQDKNRQTPFSTAAQDAGYRYYGGKLDDITVVVSYVTAFGNS >SECCE7Rv1G0469480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:109713013:109714959:1 gene:SECCE7Rv1G0469480 transcript:SECCE7Rv1G0469480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAALSSSLPRSLASRRPLSSPLHGGRPRSPRRCRPGSRLRARARKGEPEDLYGPYPWEQPLDLTTGFDIEWVQEDKITLFTSDGLVQIGGNMVPRRVTASEKRQQKGKGKLRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEIVTAEKASSDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVDKIYWQWDLF >SECCE1Rv1G0049990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:643835828:643836145:1 gene:SECCE1Rv1G0049990 transcript:SECCE1Rv1G0049990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTARARRGSSSNGRGGAPSSRLVWAFLAVLLLVLLRCSPCQGRKLPVADQGQGGEVMHFEGGLVLGVSPSPGGDKVASAVAAPRGFSRAARSIRSVPSPGVGH >SECCE5Rv1G0339460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607667646:607674398:-1 gene:SECCE5Rv1G0339460 transcript:SECCE5Rv1G0339460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQAAAALGFLLPTCWEIEVTCAAAMLIVALYAAYELLGPRPPPAGADDLALARDLDGADKYKGGSTGPSAYVVKLELLAAKNLIAANLNGTSDPYALITCGEEKRFSSMVPGSRNPMWGEEFNFVVDSLPVKIQVKIYDWDIVWKSTTLGSVTVPVESEGQSGPVWYTLDSSSGQVCLHIKAIKVHESSSRALNNTAEADARRRISLDKQGPTVVHQKPGHLQTIFGLPPDEVVEHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQIKVMLPLRDIDEIRRSQHAVINPAITIFLRTGAGGHGVPPLGCPDGRVRYKFASFWNRNHTIRALQRAVKNFHAMIEAEKQERAQSALRALSSSRKNSSKEINVPEDCADLTGQLQPFVKEEVLVSVFNGTFPCTAEQFFNNLLSDDSSYITEYRTARKDKDINLGQWHVADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHMVLSANKTDLVFETVQQVHDVPFGSFFEVHCRWSVKTIDSSSCSVNISAGAHFKKWCIMQSKIKSGAVDELKKEVREMLEFAESYMQKASSPNQQDKDLGRDTAPDTDDIPGDQ >SECCE6Rv1G0412620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:615517365:615520133:1 gene:SECCE6Rv1G0412620 transcript:SECCE6Rv1G0412620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSNARWLMVVSCLAVMAMADGALRPSIHDAAAPVPAPAAIQGAVKAKVGGFARADGARFMVGGRPFYPNGFNAYWLMYMASNPADRSKVLDVLDQASRLGATVVRTWAFSDGGSNRPLQTTPGVYSEDVFVGLDFVIAEAKKRGLYLILSLVNNWGDFGGKRQYVQWAKDQGHNLASEDDFFRDGLTQELYKSHVKRVLTRINSFTGVAYKDEPTIFAWELMNEPRVPTDPSGKTMQAWVTHMSSYVKSIDNKHMVEVGLEGFYGESTPKRKRINPGGDSAGTDFIGNNRIPTVDFATIHYYPDVWLPGSTREQQVEFWKKWMASHIKDTAKALRKPLVVAEFGWNSVGNEVASRDDYFRMVYDAIYTSVKGGEPCAGGLFWQVLAPGMESWADRYAVVLESSSTTAAIVSQEYARIGGITP >SECCE7Rv1G0480860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:275301531:275302898:-1 gene:SECCE7Rv1G0480860 transcript:SECCE7Rv1G0480860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAERVLGERRMREIQRFTRNAKLTVVCLMLTILVLRGTVGAGKFGTPQQDLSELRHRFISHPQRALSEHHDARSKASDDASAAQAQAAQAAGKAKARDDEPEPQPRSLRDPPYTLGPKISDWDEQRAAWNRRHPETPPFLNDVKPRVMLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRIHGLEIFYNMALLDAELAGFWAKLPLLRALLLAHPEVEFFWWMDSDAMFTDMAFELPWERYGSYNFILHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDYLDTWAPMGPKGPVRIEAGKVLTKYLKDRPVFEADDQSAMVYILATQREKWGSKVYLENGYYLHGYWGILVDRYEEMLENYQPGLGDHRWPLVTHFVGCKPCSKFGDYPVERCLKQMDRAFNFGDNQVLHMYGFEHKSLASRRIKRIRNETSNPLDMKDDYGLLHPAFKAVKTTT >SECCE5Rv1G0361140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:777868919:777870160:1 gene:SECCE5Rv1G0361140 transcript:SECCE5Rv1G0361140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPVLMEEILEEVFFRLPPDEPAWLVRASAVCKPWRCILADSGFRRRYREFHPTPPVLGLFEKLSPCIVPLPALFPAQPNHHDWAPMDCRHGRALFRQFGETDDLIVLDPVTGHQRRLPWPQPHDDLTKCSAAVLCAAQGCDHHSCCQDGHFFVAFVTTEEEEVPLGWLYSSETRVWSNLTSVHHPNARFINGFGESSVLLGDALYFNGGRIIECQLGTLRLSMFKKPIDHCDGILMTTEDGGLGFAAVVDAANLTLWSREAGPEGAMGWTTLRVIDLKMLLPDADLSIRSFTTTEYVPTVGGAVYEFPCPLLSGFVEGTQVIFVTTSVGSYMVDLKAGRARKVSGPGRQFFAFMSFYIPAMEAASTSQGQ >SECCE7Rv1G0481640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:285919680:285937108:1 gene:SECCE7Rv1G0481640 transcript:SECCE7Rv1G0481640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLRILFLLAVTAAASAAARREAFRRDPGHEHWHHGAFHDVEESVRADVRRMLHTRAEVPFQVPLEVNIVLIGFNGDGGYRYPLDGHKLEQFLKMSFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMVSTGTARESEYGREFPLFEVEATVVEPIFERLYSFIFDMEPGRSATEMDRPVPVAIFVVNFDKVRMDPRNKGLDLDSLMYSKISGLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSMPRLSNIIFPRGLAAPSASSTQDVFVGQLAGLISTTIEHVIAPDIRFETVDMTLRLLVPIIVLQNHNRYNILQTGHNNSIDVKAIEREVKKMVHAGQEVIVISGSHALHEHEKLAVAVSKAMRSHSLHETKSDGRFHVRTKTYLDGAILKEEMERSADVLSSGLLEVSDPSLSSRFFLKQHWMDEQDNVQDSIKHKPIWESYLPRNKKEKRGAGKKKHGAMYRTYGTRVIPVFVLSLADFDAELMMEEESLVWTSKDVVIVLEHNNKMIPLSYVSETTRQFAYPSLAQRHILAGLASAVGGLSAPYERASRIHGRPIVNWLWSAGCHPFGPFSNSSQISQILQDVALRTTIYARVDAALRKIRDTSESVQSFASDHLKTPLGEPVKGNKNKSSTELWVEKFYKKVTTMPEPFPHGLVERLEEYLDRLEEQLVDLSSLLYDHRLVDASQNSSDILQSTIFTEQYVERVLSAERDKMKCCSIEYSHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >SECCE2Rv1G0125310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:840225382:840225970:1 gene:SECCE2Rv1G0125310 transcript:SECCE2Rv1G0125310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIDSSVAAPRLFRAGVMDWHTLAPKLAPHIVASAHPVEGEGGIGSVRQFNFTSAMPLSLMKERLEFLDVEKCECKLTLVEGGGIGVAIETATSHIKVEPAVNDGSIVKVDSTYKLLPGVEVKDEIAKAKDSVTAIFKAAEAYLIANPDAYN >SECCE6Rv1G0403060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:495792674:495792961:1 gene:SECCE6Rv1G0403060 transcript:SECCE6Rv1G0403060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHLAVLARGEGWTEERHAAFLNGVERSFVQEVLVGAAAGVSEERRASRRLCHRGPPPAGGRGQLPLDRPLPDSAVESNRRGPCSRPSAGRDAK >SECCE6Rv1G0399960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:445524629:445526564:1 gene:SECCE6Rv1G0399960 transcript:SECCE6Rv1G0399960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAWSRQQGDAARAAAREHTRRCRERRRLMREAVRARRQLAASHAGYLRSLSLVASTLTRFAVGEPLPVSDHTPPAVLVHRPVAVPSTPPPLLLSIQEKQDSAVAAEAPPAAAARTEGGVVGREDMRVAVRHRSLAQVAEGLEEYFVNASGAGDSVSALLEASNAEYKGGPSSFFGVLCCMSSSSSPSVSYDRIDGMPGGRRHSSALQQLMAWEKKLYKEVKARERLQLHHDKKLAELRDQEYSRKVNVDIQKLKGAWDRARAQLATASEAVHATSTAITELRDTHLARQVLGLCHATRDMWKAMRQHHEAQGLIAQQLRGLSGRTSMDPTTEIQRGATRALEAAMSAWCAALGHLAKHQRDYVVALHGWLKLTLAPTDGAPASPVAAELAAFVDRWRQALDGVHCAEVLKSIKNFAGATHALYAHQGDELRAARRVAQYARELDRKSRMLRQVEKSHYDSYVPAGFSMWNRGRHWMDHDMRQVHQAHNEVAQHKEEIDACRRKLEGEMKRHAVAIDATRSSAVTGVQRTLPAVFQAMATFSASLATALDAVCRHSSSDVQ >SECCE5Rv1G0355830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:734839631:734840572:-1 gene:SECCE5Rv1G0355830 transcript:SECCE5Rv1G0355830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPHVVEDMRPFLQLLSDGSVIRFPDHNPLTPPSPPPGQPVVEWKDVVYDADHNLKLRVYKPPSASSGKKLPVLVYFHGGGYFMGSFEMANFHACCLRLAGEIPSVVLSADYRLAPEHRLPAALDDAATFLSWVRGQAAAESADRWLAESADLSRVFVCGDSAGGNIVHHMAVRLGLGSLRLDPARVAGCAMLCPLFGGVPACDQLWRLALPPESTRNRDHPLANPFAPGSPELDGVVLPPMLVVAAERDQLRGPTADYVARLKAMGKPVELVEFEGQDHGFFVIEPYGDAGSGVVRAVKRFVCNDVSK >SECCE6Rv1G0383380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:52193746:52201907:-1 gene:SECCE6Rv1G0383380 transcript:SECCE6Rv1G0383380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLSGFISIDCGLSEHSSYVDDATELAYSSDAGFIDAGSNYNVSAEYTNSRSDRQVLSLRSFPGPPGRRGCYTLPSFVAGTSKYLVRATFMYGDYDGLNKPPIFDLYLGVNFWKTVNISKPDAVHVAEVIVVVPDNSLQVCLVNTQSGTPFISSLELRPLKDTLYPQANATQGLVLVARHNFGAADLIRYPNDTYDRAWVPYTNPEDQWTTILTSSNVAMEVEDRKPLYDVPSMVMRTAVRPSNTTRNVMWFPWDAEPNHVYPMPGLLPVFYLAELETVDSKQERRLFLISLKRPNSSASWLIGDFDYLVTTVVSRTTGRPVPFVSPKENLVILGAANATTLRPIMEINSTILPPFINAAELFTPISTAGVGTDAQDVSAITAIKAKYQLIKNWVGDPCAPKNLVWDGLNCSYPISRPQRITSVNMSFGGLSGDISSYFANLKAIQYLDLSHNNLTGSIPDGLSQLPSLVLLDLTGNKLSGTIPFGLLIRIQDGNLTLRYDHSQNLCSNSSSCQPTQENRNSKSTGYIAVVIVASVVVVVLVVLLLFFVIRRKQEPANIHNEESDVQSRNRRFTYTELKVITSNFRRVLGEGGFGLVYAGFLEDGTQVAVKLRSQSSNQGVKEFLTEAQNLTGIHHRNLVSLIGYCKDGEYMALVYEYMSEGDLQHKLRGRDHNDGCLTWRQRLHIVLESAQGLEYLHKACSPPFIHRDVKTSNILLDANLKAKVADFGLMKAFNQDGDTHVSTARVVGTPGYLAPEYATALELTEKSDVYSFGVVLLEVITGKPPFVQIPQAQPIHIVKWVQQRLSSGDIEGVVDARMQGDYEVNGVWKVADLALECMAQTPAKRPTMTRVMAQLLECLELEESRGTIYTSVSGDTNGIVNTASTSDDPSSSSSMYATTDQPTSDVAQNSAALRMGPNSSRASTLAAGPAAR >SECCE7Rv1G0461660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:39165408:39166108:1 gene:SECCE7Rv1G0461660 transcript:SECCE7Rv1G0461660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVEKGSGSLDPGERPASGSQPKACTACNTTKTPLWRGGPSGPMSLCNACGIRYRKKRREAMGLDEPPKKRQPAAAAPTACSEAGGESADPEQQQQQQPPKKKTTTTKRGREVELRVVGFGKEVVLKQRRRMRRRPRLGEEEKAAILLMALSSGVIYG >SECCE2Rv1G0065870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10477768:10480499:1 gene:SECCE2Rv1G0065870 transcript:SECCE2Rv1G0065870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARRQCRRVRPVPLVLLAVAVVIAARRCGAQVPVPARTEGFVYGGQAWAPAWGEAVVVEAFFDPLCPNSRDAWPPLQRAADHFGARRVAVVVHLFPLPYHSSSFIACRSIHAVHKLNASAVYPLLEKFFKHQEGYYNTPTYTKTRAAVVAKIANNLVAPVIGEANLAAYRVGFNDSRSDQAARISFKFGCARGVTGTPYFFVNGIPLNGLDFPLDYDKWVSTLDSLVGKM >SECCE1Rv1G0008350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:46713659:46716849:1 gene:SECCE1Rv1G0008350 transcript:SECCE1Rv1G0008350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDPDGGGVVGATVTSTSTSAASAAAAPARDIRRYKCEFCDVVRSKKRLIRDHVLEHHKDEVDGLDEYSVGGGGGSAPGKEIGHGCKECGARFKKPAHLKQHMQSHSPERPFACHVDGCPFSYSRKDHLNRHLLTHQGKLFMCPMEGCNRKFSIKGNIQRHVEEFHEDGPQCGGKKEFICPEANCGKAFKYASKLQKHEESHVKLDYTEVICCEPGCMKTFTNMECLKAHNQSCHQYVQCDICDTKQLKKNFKRHQRMHEGSFVTERIKCNFKDCKRSFSKKSNLRKHIKAVHEQSRPFTCGFPGCGQKFSYKHVRDNHEKSSVHVPFEGDFVEADEQLRPHPGGRKRKPISFDTLMRKRVAAPDAPPAYSDGTEYLRWLLSG >SECCE2Rv1G0113700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:724958519:724962125:-1 gene:SECCE2Rv1G0113700 transcript:SECCE2Rv1G0113700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAWWVCGFLPLLAAAATAADPAKGNWEPLIRLPTEKGDAPAPAASAAEDGVTKWAVLVAGSSGYGNYRHQADVCHAYQILRKGGLKEENIVVFMYDDIAENHLNPRPGVIINHPKGEDVYAGVPKDYTGKQVTAKNFFAVLLGNKTAVTGGSRKVINSKPKDHIFIYYADHGGPGVLGMPNRPYIYAADFIKVLREKHASKSYSKMIIYVEACESGSIFEGLLPEDLNIYVTTASNAVENSWGAYCPGMKSSPPAEYDTCLGDIYSVSWMEDSETHNLKKETLKQQYEVVKTRTSKSKEFDKGSHVMEYGDKTFKDEKLFLYQGFDPANSNVANRLLLPDLEGAINQRDADILFMWKRYEKLNGVSEEKQRVLREIKETVVHRKHLDSSIDFIGKLVFGFENGPSMLEAARSSSQPLVDDWGCLKRTVRVFESQCGSLTQYGMKHMRAFANICNNGISEAKMREASISACGGYDSAKWSPLALGHSA >SECCE5Rv1G0333420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:557137786:557139546:-1 gene:SECCE5Rv1G0333420 transcript:SECCE5Rv1G0333420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSARDGAGGSSRNYRSGFARNLARLPSSIVEGLSRSIGRRPPRSLPRGIQVQQQHRPPPQGPPLSAAPPAPPPFVPEELFFFSAFEQQYGSYHPFFYGCRLSEVLAIARREGKHVFVYLHDPGHPYTEAFCRGTLCSDVVVEFLDANFVSWGAVTGRGEGSGMAASLQPGSFPFCAIVAPVSSESITVLQRVEGPVTPSELVEMLQRTIDEQRVAFRALMADEQAAAFRASRAEEEERRRSALRLRQEQDAAYLESLRKDQEKERSKKTLQEGTARPNPKPSTQYPGQAGRETSRTTQFRAPTHKETAPSHRTEANTKVMIRFPNGERRQQSFRHTDTVREIYKYVHSLGIPGIGKYQLVRSYPRKTYGHQQLEMNLGDAGFHPSVTLYIEQLQ >SECCE6Rv1G0377670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:2949944:2954385:1 gene:SECCE6Rv1G0377670 transcript:SECCE6Rv1G0377670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFYSTSSAAASGWGYDSLKNFREISPAVQSHLKLVYLTLCFALASSAVGAYLHIALNIGGMLTMLACVGTIAWMFSVPVYEERKRFGLLMGAALLEGASVGPLIELAIDFDPSILVTGFVGTAIAFGCFSGAAIIAKRREYLYLGGLLSSGLSILLWLQFATSIFGHSSGSFMFEVYFGLLIFLGYMVYDTQEIIERAHHGDMDYIKHALTLFTDFVAVLVRILIIMLKNAGDKSEDKKKRKRRS >SECCE1Rv1G0029660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:417170871:417179027:-1 gene:SECCE1Rv1G0029660 transcript:SECCE1Rv1G0029660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPRSPNSGDRPETANLALAAPAQPARIWNSLAARLPTLPNSLLLAAVSDLLRRLLAVRRRRRRRRRPALPLPIYDDAASSARVSGEMPKAFAILEDIVQHTLSDLHSIQKSLVYWKSKAEGTNSHKMYFMIFERGPRAFVEATCQTLTRLRTNGSPSEYLLGSASDIVSVKLAVLTNMQHRLSAFLAEVYSEIDKCREGLTESSDKSLHTLFVILNTVFTKLEVSLTNPSEVQTLPLTHDGNSSQLIFERLPEVDVDSPQWSEALATDAISLIYQNLQKLDSFVSSQLSSHKKPRNMTIYWLPYTCGAIGLSACSLWLLRHSSLMGSSDMDNWIQDAKESVAGFWDEHVERPIISIRDELFETFKRTDKRVMEREEVQLTEESLHRMLITFCEQTSKEKPAQDASLQELLEIVMKRYEKESMHPIQNLFSGELARAMLIQVQKLKLDLQEAMLELDQILKANEINFAILAALPAFGLLLLLLFLVRAWAMHDQGAEGRGRIARHQRWQLLIEVERRLKEFKKCMINEMDEEANCKFGLTLYTLDRLYKAVEVHAKETGEWSSLRDDMFNLAKPNVGVADKLDVLKGLKWNYACLRPSLS >SECCEUnv1G0536540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:64773834:64777142:1 gene:SECCEUnv1G0536540 transcript:SECCEUnv1G0536540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFNGATVLLILITTVSVYTCAIIAGAALGRTLDRSTTMMHEPSDRALRVSVSFRGLAEDFAKELWGGNRREGRPGRALADAGDQISLLSSTPRPSQSQHEPTGREGAAQYESALQPMVSVAHNMQVNSRARDDVHTSGAVRPWSRLGNFSDEITEKRPGGRGVIKVGPWGGPGGKAFYMHGGRRGVSAPRVRSVTLYYTDAIHSFHQSSHEAVAEQTPQRAESDGQGRARLPTYEQINFAADEQLIAVEGTYGHYNSVRAVVVTSLMFRTDKGRTYGPYGKATGTPFSIPTSNGCIVGFWGRSGWLLDAIGVYIRPCQANKVASETPQVAMVPYDIY >SECCE5Rv1G0299840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:20281573:20282649:1 gene:SECCE5Rv1G0299840 transcript:SECCE5Rv1G0299840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASPYVLSFLLLLSIPAVYYLAPRLLLPKTLPAIPDADETEDLALFRRAVLLSAAPGAPGAGAFGRRPPQPRPKVAFLFLTNSDLVFAPLWEKFFAGHHGLLNLYVHADPAANLTLPPTPSFRGRIIRGKATARASATLISAARRLLATALLDDPANHFFALLSQSCVPLLPFPALYRTLLTDNNAAAGAGRHRRHRSFIEILDSEPTLHARYYARGDNVMLPEVPFDRFRVGSQFFVLARRHAVMVVRDRRLWNKFKAPCLVKEKDSCYPEEHYFPTLLDMQDPDGCTKYTLTRVNWTDAVGGHPHTYQPEEVSGDLIRELRKSNGTYSHMFARKFAPETLAPLMEIADSVILRE >SECCE1Rv1G0028110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:393872488:393877774:1 gene:SECCE1Rv1G0028110 transcript:SECCE1Rv1G0028110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSDHHEQNLTSPRGLIHKVLRRTNSRRSPTAAEQDPSPVFPEISNTKFLKQKNVEVAIKDPEKGSTHDTRIEDEKSDLLGYEVCSGKLTLDNKGRSVSGEQSGSGSSGNCFDARLTTEALVWGSNILKLEDIVSVSYNSGLRHFTVHACPLEKRSNGLSCFMKPRRIQKDLRFLSTAPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELIPFDGMLDPYVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVIKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQTEAGSVPIGIIPAGSDNSLVWTVLGVKDPISAALSIVRGGFTPIDVFSVEWIQSGTTHFGTTVSYFGFVSDVLELSEKYQKRFGPLRYIVAGFLKFLCLPKYSFELEYLPVADVDGAGHKIVEGQEKVDTSDLYDDVVQRSRAECLPRASSLSSIDSIMSTSIMSGGEPEVSSPHANNEPSELVRALDPKSKRQSLGRTSTFKEPEELLHPQASTPSWRRSKSKSRTEKAWPGLTATNDTKPSKGTTTHDKEDTSSTVSDPGPAWDTGPKWDLEPKWDNQPNWEPETPIELHGPSDDIELGLTKELVPSLDERWVIRKGRYLGVLVCNHSCKTVQSLSSQIVAPKAEYDDNCLDLLLVGGSGRLRLLRFLVLLQFGKHISLPNVEYVKVRSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQSRLIGRPAKNPVQ >SECCE4Rv1G0234930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:306230712:306245678:1 gene:SECCE4Rv1G0234930 transcript:SECCE4Rv1G0234930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSEMRRRAPEYRRQSRRRLPGWIWWLVGIFLVVGLMLFVLHHNQKEQFRPPVINKGSETEEVSPEKVNFTEELLSSTSFARQLADQMTLAKAYVILAKEHGNLQLAWELSSQIRNSQRLLSQGAVSGRAITQEEAHHIITRLARLIYKAQDSHYDISTTMVTLKSHALALEERAKAAVVQSAEFGQLAAESLPKNIHCLALKLTEEWLQNPKLRSRSEEHRNSTRLVDNNLYHFCIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRINFGAMSTWFLINDFKGCTVEVHCIDEFSWLNAVSSSLVRRLSEMETKGSSGGLKTQEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSQPIISSKIDPHTCGWAFGMNIFDLIAWRKANATSLYHYWQEQNADQLLWRMGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDDRLIESAAVVHYNGNMKPWLKLAIHRYKSIWEQHVNFSHPHVRECMFH >SECCE4Rv1G0245430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:529480157:529483346:1 gene:SECCE4Rv1G0245430 transcript:SECCE4Rv1G0245430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGSNESGKKLVSGWALAAWLLLPLVILVVLKTDCLPQVTVRQLFAQFSFSQLAGDGSVNKVPSSGTESQSATRQEAVDVAKLNAAHDPVVGAPPPPASSNETAAGVADANGDLKNQTESLAMNGERDGFRVNSDVAPPRSKLSCNFSFYRMNICAMDGDIRTHGKAAAVYVVSASDDSYRPENGTVTIRPYPRKWEKATMQLAREVTIRSSGPGATDMAPPRCTVTHDVPAVVFSTGGYSSNFFHAVTDIVIPLYNTAREYDGRVQLVVTDYSRKWVAKYRHVLAALSAYPVIDFDTDDTVRCFPKVHVGIESHKELGINPVLSHKGYTLMDFRDFLRAAYSLKRAWSTPANRTSGGRPRLVMLLRRHSRAFTNEAEAVAAATEVGFEVVAAGPEAVRDMAHFAEVVNSCEVMVGVHGAGLTNMVFLPHNGTAMQIIPWGEMKWPCWTIFGETVPDMGLRYVEYEATAEETTLKDVYPRDHPVFTNPVSIHKQGFDQLWKIFLDGQNVTLDINRFRGVMQQIYQDVTVT >SECCE2Rv1G0080380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:129626356:129633119:1 gene:SECCE2Rv1G0080380 transcript:SECCE2Rv1G0080380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVENSSKRKAPELSSEDNSSAVVLDEQQSLPGSSAKRPNLARSCIHEVAVPNGYDLSKDEAVHGTLSNPAFNGEMAKTYPFQLDPFQSISIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELTQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVGWVIFDEIHYMKDRERGVVWEESIVFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENGQFREDNFLKLQDTFAKQPSQPDGRKGGGPKASGRIAKGGNASGTSDIYRIVKMIMDRKFQPVIIFSFSRRECEHHAMSMSKLDFNTEEEKDNIEQVFRSAIFCLSEEDRGLPAIELMLPLLKRGIAVHHSGLLPLIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSNRYIASGEYIQMSGRAGRRGMDARGICVIMIDEKMEMSVIKDMVLGKPAPLISTFRLSYYTILNLLSRAEGQFTAEHVIRNSFHQFQYEKALPEVVQKITKLENEATLLDSTGENDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPASNTLPPALSASRNNYIVDTLLHCSSSSSENGVNGPRSKPCPPRQGEKGEMHVVPVPLPLLSGLSSVRISIPTDLRPPEARQNILFAVQELGKRYPQGLPKLHPITDMGIEEPELVDLVHKLDGLEEKLHSHPLNKSDQSEQQLSWYQRKAELNHEIQQLKSKMRDSQLQKFRDELKNRSRVLKMLGHIDTDGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASVVSCFVPCEKSSEQIRLRNELSKPMMQLSEAARKIAEVQRECKLDVNVEEYVESTCKPYLMDVIYCWSKGATFGEVIEMTDIFEGSIIRLVRRLDEFLNQLKAAAEAVGEVNLEKKFGLASESLRRGIMFANSLYL >SECCE2Rv1G0072000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:48269887:48273184:-1 gene:SECCE2Rv1G0072000 transcript:SECCE2Rv1G0072000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQALAGTTVLILIILPFGASDDRLVPGKPLSPGATIVSDGGAFALGFFAPSNSTPTKLYLGIWYNDIPKLTVVWIANRETPATNTTSSASSTLSFTNTSNLVLSEGDGGERVLWATTNVGVAPGSSPPTAVLLNTGNLVIRSPNGTMLWQSFEHPTDTLLPGMKIRYKYGARVDNERIVAWKGSGDPSPGRFSFGGDRNTLLQSFLLDGSRPVLRSGTWIGNLVMSQRMNNNSIIVYTAFVDNDEEMYFTYTLSEGAAHTRYVLTYSGEYQLQSWSSWTSTWDVLWKWPSAECTRYGYCGPYGYCDETLLPVPSCKCLDGFEPANIEEWANARFSTGCRRKEPLRGCGDGFLALPGMKSPDRFTRVGGGGSTFKECAAECNRNCSCVGYAYAKLSSGRSDGDVARCLVWAGELVHTGKYGAVVGGETLYIRLAGMDAPTGKRTKSNAVRIVLPVFGSSVLVLICIFLAWFKFKGKKFKWRKHKNIRVGNASTSNELGEENQPHDHEFPFVRFEEVALATHNFSETCIIGQGGFGKVYKGMLGGQEIAVKRLSKDSQQGTKEFRNEVILIAKLQHRNLVRLLGCCGEGDEKLLIYEYLPNKSLDATLFDGSRKLLLDWGTRFNIIKGVARGLLYLHEDSRLTIIHRDLKAANVLLDADMKPKIADFGMARIFGDNQQNANTQRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVITGVRRNSNSQTSSFPSLAVYSWNMWKEGKTEELADSSLMDTYSPDEVLLCIHVGLLCVQENPDDRPLMSTVVFVLENGSTTLPAPNRPAYFARRSIEMEQIMVDIQSSVNDCTLSEIQGR >SECCE3Rv1G0153030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:52073849:52076977:-1 gene:SECCE3Rv1G0153030 transcript:SECCE3Rv1G0153030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARWLLLWVLAACSAHVVICSTSISYGNETDRLSLLAFKDAISLDPQQTFMSWNDSTHFCNWEGVLCRVKAPRRVTSLNLTSRGLVGYISPSLGNLTFMRSLALTSNTLAGEIPPSLGHMCRLQTLLLNSNLLQGRVPTFANCSKLKVMDVSKNNLVGHLHANLPLHLQVLHVWSNNLTGTIPTTLANITTLTQINCQDNHIKGNIPSEFAHLSNLQHLSASANQMSGRFPQAILNISTLTILELAANVLSGEVPPNLFTSLPDLQVVFLGGNFFLGNLPSSFTNASNIQALDLSRNNFTGLMPTTIGKLTKLLSLNLENNQLQAHSREDWEFLNSLGNCTELQMFSMGYNHLSGHVPSSLGNLSSQLQELYLTNNQLSGDFPSGIANLRNLIILALGRNNFTGVVPMWIGTLKAMQVIGLFKNFFAGPIPSSLSNLTQLGALYLRLNQFTGHIPSSFGNFPMLQILDISRNELHGKVPMEIFRIPTITGIDLSFNSLDGQIPTSIENAKQLVLLRVSSNKLSGDIPNTLGDCESLEGIELDSNIFSGSIPTSLAKIRSLKVLNLSTNNLTGSIPASLGNLELLEDLDLSFNHLNGEVPTKGIFRNANALRINGNQGLCGGVKELHILACSVVPSNSTRHKESLVLKVVIPIACVVSLSIVMFGYLLWIRKHKSKSISLPSFSTKFPKVSFNDLTRATEGFSTSNLIGRGRYSSVYQGKLVEDQNEVAIKVFNLEIRGAHKSFIAECNALRNVRHRNLVPILTACSSIDSMGNDFKALVYELMPRGDLHKLLYSTQDYECSSDLNLIMMAQRINIVVDVADALEYLHHNNQETMIHCDLKPSNILLDENMTAHIGDFGLARFKVGSTMSSLGNSNSTSVAVMGTIGYAAPEYAGGGQVSAAADVYSFGVVLLEIFIRRRPTDDMFKDGVNIVNFIEASFPTRVLEIVDPQLLQELEETPMSLKEKCSECLLSMLKVGLSCTKPSPGDRINMQEVAAKLHGIKDAYLVAN >SECCE7Rv1G0461730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:39380516:39383465:-1 gene:SECCE7Rv1G0461730 transcript:SECCE7Rv1G0461730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADRLLHLVRAPAAAPAGPLRGHRQPNSRVRLPPTPAAGMRRVAVSCSGPGSGPADEEGMTYKGAGVDIDAGTELVRRIRKLAPGIGGFGGLYPHGDEYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYYATSKLDVDLAEKVIKGIVDGCQQSNCILLGGETAEMPDFYKEGEYDLSGFAVGAVKKDKVIDGKNIVEGDVLIGLPSSGVHSNGFSLARRVLEKSGLSLTDQLPRNDGVTTTVGEALMAPTVIYVKQVLDIISKGGVKGLAHITGGGFTDNIPRVFPKGLGAKIVTGSWQVLPVFEWLQQVGKIEDAEMLRTFNMGVGMVLVVSKDASDRILEESSPAYRIGEVIQGEGVQYV >SECCE2Rv1G0141830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:936939013:936939343:-1 gene:SECCE2Rv1G0141830 transcript:SECCE2Rv1G0141830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKVLLLFAIALIMISSGADAWCKYDAVLPKHFCKQQGCLANCQKHLQEYECPDCITLESAACMNDGSLAPLDGACYCHICSKD >SECCE4Rv1G0252240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:613160266:613174042:-1 gene:SECCE4Rv1G0252240 transcript:SECCE4Rv1G0252240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSVSHGAIGFVIAKLGDLLAGKYKLLKQAKGEIMFLKAELESMRAFLERMSEAEEEPDKQAKCWANENRSESPFADIPEADTSPEASSPVDDGERSTPSGLPPAATRARKERNSDDEDSDFIAEEEVSSKPKRKVVAKEQQDIEDSVDEFMLLVECESNGEPHGFKGFIHRSMNLLTTMNTRHRVAKEFRGLKGRVVEVSERRTRYKIDDAVSKPNKAAIDIRLLALYADTAGLVGIDGPRDELIDLMGMVGQGVVSGQQMKVLSIVGFGGLGKTTLANQIYHRLKWHYQCQAFVSMSQKPNIRMILRRMLSQVGYVGPDGANMEIWAEDELISALGQFLMDKRYLIVIDDIWDETTWNVIRCALAENLRGSMIITTTRINTVARACCCNQHDYVYKMKSLSNKDSGKLFLKRVFGSEDACPLYLEEVSVQILKRCGGLPLAIVTISSLLASEQNKLKEHWVHVMNSLGPNFEVNPTLEGMRQILGLSYINLPHYLKTSMLYLGMYPEDYTIKKNDLVRQWVAQGFVSKARGQSPEDVAEGYFNELVNRGIIQPVDTYHNNEVLSCRHDMMLDLIIHKCREENFMTATDDIQAMIGLSAKVRRLSLYMDGIVDGVILETTRLSQVRALARFGTSAYAPPLLKFNHLRVLTLEFTSGNLGSEIPDLTGISHLFQLRYLKIKADGEIVLARKIRGLEQLETLEIGACALEVPSDVILLRRLQHLIIPSGTNLPNGIGKMKSLCTLQEFDVGLNSLDNIRDLGDLTNLRDLRICYNFTNMDGLHDMEKTERLDVLRCSLEELCNLRYLHTDSSIKSIYALSLSASPYLLRRLHMLCMFPRVPKWIGELHNLFDLDLTIEMLEDDIGILAQLQSLNHLKLHMEGTPESEEKVVIYGMGFPILKHFRLSCIRISQLTFEAGAMPSLEKLEVRINSLYGAAPTGIEHLLGLKEILVIVGGYGAEGSSTRAAVSALSEAIDMRSSRPRANIICVDNSLFFGRLSCDGDGFSRSKFGQKRNPDTKFPMLAKAFSELTLFFVMLARG >SECCE5Rv1G0356780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741979221:741979655:-1 gene:SECCE5Rv1G0356780 transcript:SECCE5Rv1G0356780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNASMSAVIMACALLLASSTCHAARSLADTTPAAATPAASTVPGLPVVPTLPAMPTDTATLMPPMPSVTLPTVPQVTLPPMPAIVVPKAVLPPMPKVTLPTVPQVTMAPMPAIVVPKVTPPPLPFIPNVNVPMPFAAPPPSA >SECCE2Rv1G0105530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:621185743:621186625:-1 gene:SECCE2Rv1G0105530 transcript:SECCE2Rv1G0105530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15820) UniProtKB/TrEMBL;Acc:Q9LMQ2] MALASTSATASAAVLKNPFLGARRALANAASLGAAKPVTRRVVVVAAAAGKKSWIPAFKSDAEFINPSWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFIGQAYSGVPWFEAGAQPGAVAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFANFTGEQGYPGGKFFDPLGLGGETKDGVYIPDTEKLERLKVAEIKHSRLAMLAMLIFYFEAGQGKTPLGALGL >SECCE6Rv1G0437190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782690210:782700078:-1 gene:SECCE6Rv1G0437190 transcript:SECCE6Rv1G0437190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRGGEADHLAGERVTAQFDVDGMKVAWAGSRHAVEVANRMARLVASDPVFRKDTRTMLSRKELFNDTLKKAAHAWKRTVELRLTEEEANLLRLYIDQPGYVDLHWVMFVPAIKGQGTEEQQKKWLTMAYKFQIIGTYAQTELGHGSNVQGLETTATFDPSTDEFIMHSPTLTSSKWWPGGLGKTSTHAVVYARLITEGKDYGIHGFIMQLRSLDDHSPLPGITLGDIGGKFGSGAYNSMDNGVMRLDHVRIPRDQMLMRLSQVTREGKYVHSDVPKQLLYGTMVYVRQTIVADASRALSRAVCIAVRYSAMRKQFGSNAGGPETQVLNYKTQQSRLFPLLASAYAFRFVGQWLKWLYTDVTQKLEAKDFSTLPEAHACTAGLKSVTTSATADAIEECQKLCGGHGYLNSSGLPELFAFNLPACTYEGDNVVLLLQVARFLMKTVSRLATGKLPVGTTAYMGNIQHLMQCKSAVSTVEDWLNPAAIKEVFEVRALRMAVNCAQSMSKAPSPEEGFSEFSPDLLEAAVAHVQLIIVTKFIEKLQEDIPGHGVKEQLQKLCGIYALHLIHKHLGDFLSTGCVTARQGALANEQLGKLYAQVRPNAVALVDAFDYTDHYLGSALGRYDGDVYQALYQEAWKDPLNETVVPDGYQEHLRPLLKQQLKASSL >SECCE3Rv1G0211880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953634642:953637065:-1 gene:SECCE3Rv1G0211880 transcript:SECCE3Rv1G0211880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAGDAAAVPRYPAWVLLEKEGYEYDDDRDDGTSAACKTTAGRDVKVGFYLVPPPQVSCFHVHLAKLEGEGEDDLDFTPLFLFSAKGLVLLRLLFTTRSDGSNLVEYLIYKAGPGGSPSLEPIPPTPLGSSSSRDSVSVSIVPCPDDAGYVLADLSVGTEIGHYDLHIYSSKTREWSTTPLQLPESPAVRSKDDLPCQFHKAIGLAADEVGWVDLWRGIVICKVLDKDPVLRLIPLPKPHVDILQGEPGLIRDVTYWNEHFLFEFVEMQHFCRPVNIVSGIIHDFSPLRGRIVDEGISVPDGWVIRTCFRIDPSDFWLRGHSVHVDDFTVDSDSISFPRMCDARAQDKESTFRSLTTLCPTFGAPGHRLVYLLSKLKTDDDNTWIVGLDLGKKMVKLIQPCDDALGYRCIRPAFVPSTFSYYLNTTPGDFTPTLINNSDNATNNAAIHGDSSVPELDIHYDQG >SECCE5Rv1G0297710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:4918392:4922665:-1 gene:SECCE5Rv1G0297710 transcript:SECCE5Rv1G0297710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVNNVNDIIAPALIGKDPTAQTELDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAVCKAGASVKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGAASFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEECGVEVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGDAAVYAGLKFRAPVEPY >SECCE7Rv1G0471310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:129402589:129406898:-1 gene:SECCE7Rv1G0471310 transcript:SECCE7Rv1G0471310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RBL [Source:Projected from Arabidopsis thaliana (AT3G21060) UniProtKB/Swiss-Prot;Acc:Q5E915] MNVPIVDPLQGDFPETIEEFLQHGNMKCIAFNRRGTLLAAGCANGSCVIWDFETRGLAREFRDKDCTAPITSVSWSKYGHRLLASATDKSLTLWNVVTGEKIARITLQQTPLHARLHPGSSIPSVCLACPLSSAPILVDLNTGSTIVLPVSASDNGNVPVPNSRKFSDGSPPFTPTAATFDKNGDLIYVGNSKGEILIVDSKGIQVLGLIPIPGGTVVKDIVFSRDGQYLLTNSNDRVIRVYENILPIDGSGKEIEKIITNNNNNDYESKYEKLKANGARCLVLSCEVSDAIAKVQWKAPCFSGDAEWIVGASASKGEHRLHIWDRAGRLIKILEGPKEALIDLAWHPFDPTIASVSVAGLTYIWAKEHVENWSAFAPDFIELEENEEYVEREDEFDINAYAERVEELMIDQDAEIDVETCEKNSSFSDFDDSADEIIYLPAIPSPDAPDEQPDKCLVSSSKLEDSNHSGSPPSSMDAVQNGLAIPPASSPLEVVDNSMAEEPSAEAANAKRKRRLSAKGLEMQQAEKVKKPPIKMSSNGKLSKPKSKLVAEPVNGNSSAKIKQQVLEPVNGNSSAADIDDEATEDDEM >SECCE1Rv1G0009290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:53555066:53555623:1 gene:SECCE1Rv1G0009290 transcript:SECCE1Rv1G0009290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVSALGGKLYFIFSESNQYKMGVLHLDFGTPTPAAELHTLEDVDAAITLPEGMCGGVTRLLESQGELFQVCVCFRGFDPNDIGAVLVLKMDFDHGRRWRRVHDIGDRVFMLAHGGNAVSCSASACNLQRNRVYFMKNFLEDDGDICIYDLVEEVLEILVVHERDLTLALARTKPYWIVPPIA >SECCE4Rv1G0274750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:786502801:786503892:1 gene:SECCE4Rv1G0274750 transcript:SECCE4Rv1G0274750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNINRSSNAPISVHRVMIGPEGWADLPHGLLHSIIVLLGSTRELLAFIATCPSWYAAFMSIKSTLGKLFPPVILRNCADQTSSAGSNIGNTWELIDPVYPSTPLCRLTPPSILDKMAVVKCSYGHAIFCCDRSLVIMDVLTGTTVAAPPFPLNQLCYKTFISPEASPDSYLFVSSPHCLYAWPFGSPSWLHCDLLNAHLIKEMVSFKGQIIMRIRQKLYTVHLAPQFHVEVLRVDCRDYMDPYVLSGNLVACEDTLLLLGRNGEAFSIDFSAEPAKYVRVEEGGLKKWAFFFGDIRIGHPRHLVNPERMGLRGGLVYQLDENARVFSYPVDGNQNEELEPEPCFATINAHLARNPTSFAAWV >SECCE6Rv1G0416980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:651331832:651332866:-1 gene:SECCE6Rv1G0416980 transcript:SECCE6Rv1G0416980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVTGAAGFVGMHCSLALRKRGDGVVGIDNFNKYYDPSLKMVRRALLASHGVFVVEGDINDGRLLTKLFDVVPFTHVLHLAAQAGVQYAMENPASYVHSNIAGLVSLLEACKEADPQPAVVWASSSSVYGLNDAVPFSEAHRTDRPASLYAATKKAGEEITHTYNHIYVLSVTGLRFFTVYGPWGRPDMAYFSFTRNIFQGKPITVYRGRDHVDLARDFTYIDDIMRWCLASLDTVGRSTGTGGRKRGPAPYRIFNLGNTAPVTVPTLVSILERYLRVKAKRNVVEMPGNGDVPFTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYYGYNRGTQAFSNL >SECCE7Rv1G0503580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:714675150:714679579:1 gene:SECCE7Rv1G0503580 transcript:SECCE7Rv1G0503580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAAAFAGLLIALVPVVGADTDAAGVAALGDLYTSWNSPAQLVGWSAAGGGDPCGAAWMGVSCSGSAITSINLSGMGLNGTLGYLLSSLVALTTMDLSNNSLHDVIPYQLPPNLIHLNLARNNFSGNLPYSISNILSLGYLNFSHNSLFQEIGELFGGLNSLSVLDLSFNNLSGNLPVSFVSLSNLSSLYMQNNQLSGTVNVLSNLSLTALNIANNNFSGLIPGELSSIPDLTAGGNSFINMPASPPLVIMPPSLSPVAQPDRPQVPTTFPNGPEEDIPIDEGDKKQGRQTGLLVGLAVGSVAAASCILFALVFCLHSVHKRKDGGTGEPKDFVGALAVNIDRDSNNNIHQDSPVAASVLQRPIGTPERAYGVNSSPAKKIKVPGAATSYTVASLQVATNSFCQDTLLGEGSLGRVYRADFPNGKVLAVKKIDSAALSLYEEDHFLEVVSNISRLRHPNIVSLTGYCADHGQRLLVYEHIGNGTLHDMLHFSDEESKSLTWNARVRIALGTARALEYLHEVCLPPVVHRNLKSSNILLDEECSPHLSDCGLAAFSPNPEREVSTEVLGSLGYSAPEFAMSGTHTVKSDVYSFGVVMLELLTGRKPLDRSRERSEQSLVGWATPQLHDIDALAKMVDPAMDGMYPAKSLSRFADIIALSVQPEPEFRPPISEVVQQLVRLMQRASMLRRQSGDDLGSSYRAPEREGGASDAV >SECCE7Rv1G0515560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839963381:839965798:1 gene:SECCE7Rv1G0515560 transcript:SECCE7Rv1G0515560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMPEGRRPKLSDAADAGGGEDRLGALHDDILIRILLKSGDAAAAARTSVLARRWRHLWALLPALYFFRPTDPRRIRSALAAHEAPVLQALVVIAQGASPGSAGAWLPIAARRLSGPLVFRVLRRSIAKKGAALKLPCFEKATKIVLKLGFLRLALPPSGVFARIHLLMLIEVRLRGPCGLGEAVSSPRCPSLRSLVVKDAHGLGDLTIHSECLLKLELFHLPDLQSLTVVAPALQLLKLDDCFALNSRQPVANISAPHLLWLAWMDDYDQNSVQLGEMACLLSLVTQHFLMYGEDYHSSHNGNGACLLRHFEHLHRLVLTLQCPKDIANKKYFMGQITRLPDIKLLELGITACGHSFGASLFHVMRMCTAIRALVLRLNVALEDEEETVCPSDCICDEPPSWRTEELVLNRLGAVEISGFRGTEHEIDAVNRICSWATMLKRMTVQFHDSITENKAEALCELLVTFSRPGLYMIFIYRIS >SECCE6Rv1G0425450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:707346697:707350539:1 gene:SECCE6Rv1G0425450 transcript:SECCE6Rv1G0425450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRKNAGLRKRKLANDGTVQEQEPSIKEMCKALKVMESELQNLRDDNNQLRDELLGKDRQLAETRTLLVDREHKLSNTQALLVDREQQLAARTLLVDTKEFETEISRLKRLLAEKNDTNNYAAVVSPETTEFIHKQTPVSPARTPMSNRTNTVQSSLKAIAHHGSFQDEAREQDCCRRGVCSSGSGTDESSRSCVYHMLVESLVGMKFSLKDEAEGLSLSIYHEATGYDFSLTWLEQSGGGEWAYKYSSLGTLEEMALKWMKMQDIRFSMDMFPVFFERISSLLMRGR >SECCE4Rv1G0274560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785532989:785533507:-1 gene:SECCE4Rv1G0274560 transcript:SECCE4Rv1G0274560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMFILALLAFTATSAVAQLDTTCSQGYGQCQLQQQQMNTCAAFLQQCSRTPYVQSQMWQASGCQLMRQQCCQPLAQISEQARCQAVCSVAQVIMRQQQGQSFGQPQQQQGQSFGQPQQQVPIEITRMVLQTLPSMCSVNIPQYCTTIPCSTITPAVYSIPMTATCAGGVC >SECCE5Rv1G0365180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:805003965:805004687:1 gene:SECCE5Rv1G0365180 transcript:SECCE5Rv1G0365180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASDHRRLVAAMVVTLVATAVVLGPLPAGAQSGVQTVRSRKPEAAAAAGKDCVITDKNGRRWPCSRALPSEGAVLTTFRCDVEDRMANDDYSMFFFCDDSPIEWWVDNGTTMGRVYDSVFDPAPKRRDSGGYLLHGSGEPRVTCEWRYAGNIMSGVVVWDERWPEAWSCRVGGGDEPCKLVFENKVVTLVTAKGRRVLGDVAVKECSKNWGGYGGWLPFGLGCTYPTHEHPYVGTVVS >SECCE2Rv1G0131120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:883234653:883235327:1 gene:SECCE2Rv1G0131120 transcript:SECCE2Rv1G0131120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKISALLVLVMLALSSPTVVLAPAPSSDTCPIDTLKLGVCLDLLGNELHIGDASVKCCPLVEGIAGLTAAACLCTAIKAKVLNLALYVPLALQLLVNDCGCAVPPGYTCA >SECCEUnv1G0529680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8855663:8855902:1 gene:SECCEUnv1G0529680 transcript:SECCEUnv1G0529680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGDAHARGSSAAAASLRRRRTISGAAAVGGGGASTMLQFYTDEAAGRKMSPSAVLIMSIGFVAVVAVLHVFGKLYR >SECCE3Rv1G0210170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:942576055:942579770:1 gene:SECCE3Rv1G0210170 transcript:SECCE3Rv1G0210170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTAMAFSSLLLLLLLSAAHGAAAPPALGFTRSDFPPDFVFGAATSAYQYEGAVAEGGRSPSIWDTFTHAWKMADKSTGDIASDGYHKYKDDVKLMADTNLEAYRFSISWSRLVPNGRGAVNPKGLEYYNNLINELVKHGIQIHVMLYHLDFPQVLDDEYGGWLSPRIVEDFTAFADVCFREFGDRVSYWTTIDEPNVGPIGSYDSGIFAPGRCSDPFGITKCTAGNSTVEPYIAAHNMILAHASATRHYREQYQAVQKGVVGINVYSFWTYPLTNSTVDLEATKRYQDFLFGWTLGPLVFGDYPQAMKTNVGSRLPSFTKSQSEFVKGAIDFIGINHYYSVCVNDRPLKEGVRDYAADMSVYQRGSRTDPATSEYVATAYPDDPQGLRCVLQYLTEAYAGLPIYVQENGKASANNILNDTDRVEYMNAYIGSTLDALRNGGNVKGYFAWNFLDIFEFLAGYKSGFGMYRVDFEDDARPREARLSAHWYSRFLKNDGISAQSEVDDTGHHAQQ >SECCE3Rv1G0160440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:104879885:104881891:1 gene:SECCE3Rv1G0160440 transcript:SECCE3Rv1G0160440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVFTLLRLLFLYLLVLAARTTGEQLVYSGFTGANLTLDGAATVTPDGLLELTNGTDQQKGHAFYPTPVRFARSPGGTVQSFSASFVFAIQSIYTDLSAHGMAFVVASSTNFSSALPGQLLGLTDVQNNGNGSNHFFAVELDTIQNKEFDDLNANHAGANVNGLRSLQSYYAGYFDDEDGNFRNLSLISREAMQVWVDYDHRVAQITVTMAPLKVGRPVRPLFTATYNLTTAVTDVAYVGFSSATGTINVRHYVLGWSFAMNGPAPAIDIAKLPKLPRMGPKPRSKVLDIVLPIASGAFVLALGAIVLLVLRRRFRYAELREDWEVEFGPQRFSYKDLYRATEGFSDTHLLGIGGFGRVYRGSLPVSRMEVAVKKVAQDSKQGIKEFISEVVSIGRLQHRNLAPLLGYCRRRGELFLVYEYMPNGSVDKYLHGREGKPILNWAQRWHIVKGIASCLVYLHEEWEKVVIHRDIKASNVLLDGDMNGRLGDFGLARLYDHDADPQTTHVVGTIGYLAPELGHTSKATPLTDVFAFGVFVLEVTCGQRPVNPNLEDSQVLLVESVLEQWNKGSLLDAVDKQLGGNYNADEACVALKLGLLCSHPFANARPTMRQVMQYLDGDMPLPEMSPTDLSFQMMTIMQNEGFDQFIMTYPSSTASIDSTSVLTVGR >SECCE2Rv1G0126950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:849777531:849781191:1 gene:SECCE2Rv1G0126950 transcript:SECCE2Rv1G0126950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDAAHGHYPWLNFSLAHHCEMEEEERGAAAELAAIAGAGPPPKLEDFLGGGANGNGGNKNDADRGNQVVSAAAAGMYDSELKFLAAGFLSGATGATAPAISPAVAPQEQADPKMTAPEQKKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGSSTTTNFPVADYEKEVEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEIGRYNVESIISSNLPIGNMSGGAGRGSKALESSSPEAAALPVEAPHSLAFTALPMKYDQQQQDYLSFLALQHHQQGNLQGMGYGLYSSGVNLDFANSGGSAMAPHCYGSNGVDLHLQQHDQQQQQEGQEQQQHDQHQSMPFASSTPMAAFSSGGSYESSVTAGSFGYYPNVAAFQTPIFGME >SECCE7Rv1G0515240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839216239:839217456:1 gene:SECCE7Rv1G0515240 transcript:SECCE7Rv1G0515240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRREATLLEELPEEIIDKILKGLPPKDVGRCRAVSTPWHSITSMPEFMLEHHRRQPSLPIIDGLGRPASFVLFRGAGANASNQQLWPFIPGLNHYHLKPSLSVTCDGFLILVQRNKFYIYNPVLRKRALLPYPQAGENECSNIIGFYRHQLTGEYRLLWTTFRPLSVVKYSLYILTVGSGVPRHIRIRMPTVLSPSAEHKLLGVLCYSNYCPPPVHHGGSLHWCPLPVCGVADITGGSGDIVVFDTEAESFRWMRSPDQAYPNRKLFDMNGTLGFCGGSIKDNAGSCLNFIALDIWVMQDYKAKIWAFKYRIDLPTVEASRQVYLTSHKGKKKTPLHSTVQWFNDMAVLNERELLIMFNGKHVLRCDTDGKVLGFVNIGKSQFCMSLTPHRFQESIIPIPSFP >SECCE7Rv1G0509180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:778106678:778107433:-1 gene:SECCE7Rv1G0509180 transcript:SECCE7Rv1G0509180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTGLAVAASLLLLLAGGASAATLALYNKCGETVWPGIQPGAGKEILARGGLQLLPNRATSIRLPTGWSGRVWGRQGCRFDAAGRGKCATGDCGGALYCNGAGGEPPATLAEITLGASASALDFYDVSLVDGYNVAIDMRPYHGSGANCLPAGCVSDLNSVCPAGLAVRGGGGNRVVGCRSACAAFGSAEYCCTGQFGGPQQCKPTSYSRLFKRACPKAYSYAYDDKTSILTCAAGTSYVVTFCPHRR >SECCE2Rv1G0120590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:799595377:799596029:1 gene:SECCE2Rv1G0120590 transcript:SECCE2Rv1G0120590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTRAPRPPADPGKEEPLARSAPATAPADLANPGKKTRRRVPMTDEERGRIDRLKELHASGIEELHELMEIHMELRDYHDELLFRRRCLAARLVSRIVFQRRDAAYVEHHLGLGLKVGDKLPEELKQARPPISMALFLWHEMGRAMHIGMDNFLDEYSNTEEYRRGMLALVPGAVPDENGASPDSVAPQE >SECCEUnv1G0554060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:281831371:281831940:1 gene:SECCEUnv1G0554060 transcript:SECCEUnv1G0554060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEFNRSSLAERRIFLAHYQTITYSQTSCGTNRFHLPSHGKPFSFRLALSGILVIGSVGTGRSYLVKYLTKNYDFPFIKVRGLLIPQERKHLFILSYTRGFYLEKTMFHTKGFGSITTSSSALDLVALSNEALSISIPHKKSILEKNTIRLALHRQTWGLRAKVRSARDHGTLFYQIGGALVQNRLTK >SECCE6Rv1G0396000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:352464943:352477173:-1 gene:SECCE6Rv1G0396000 transcript:SECCE6Rv1G0396000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRIGGRRKAGVEERYTRPQGLYEHRDIDQKKLRKLILEAKLAPCYPGADDAAGGDLEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHTARPTQCPFCKTPNYAVEYRGVKTKEERSIEQFEEQKVIEAQMRMRQQALQDEEDKMKRKQSRCSSSRTIAPTTEVEYRDICSTSYSVPSYRCTEQETECCSSEPSCSAQANMRSFHSRHTRDDNIDMNIEDMMVMEAIWRSIQEQGSIGNPACGSFMPFEQPMRERQAFVAAPPLEIPHPGGFSCAVAAMAEHQPSSMDFSYMTGSSAFPVFDMFRRPCNIAGGSMCAVESSPDSWSGIAPSCSRREVAREEGECSTDHWSEGAEAGTSYAGSDIVADAGTMPPLPFADNYSMAASHFRPESIEEQMMYSMAVSLAEAHGRTHTQGLAWL >SECCE5Rv1G0333490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:557678295:557681801:-1 gene:SECCE5Rv1G0333490 transcript:SECCE5Rv1G0333490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDALRRRRGAPFRVSAILIAAALVRLGASVPDVAPPERTTASGPDPDWHVVGVAALLPPAACWASKAAASNSSALNVVHRHGPCSPLQARGAPPPHAELLDHDQARVDSIHRKIAAAASPVLAQARGAKGVTLPAQRGISLGTGNYVVSVGLGTPARDMTVVFDTGSDLSWVQCTPCSDCYEQKDPLFDPARSSTYSAVPCAAPECEGLDSRSCSRDKKCRYEVVYGDQSQTDGALARDTLTLTASDTLPGFVFGCGEQDTGLFGRADGLVGLGRDKVSLSSQAASRYGAGFSYCLPSSPSGAGYLSLGRPAPANARFTAMETRHDTPSFYYVGLVGIKVAGRTVRVSPVVFAAAGTVMDSGTVITRLPPRAYAALRSAFARSMGRYRYKRAPALSILDTCYDFTGHTTVRIPSVALVFAGGAAVGLDFSGVLYVAKVSQACLAFAPNGDGADVGILGNTQQKTLAVVYDVANKKIGFGANGCS >SECCE3Rv1G0163670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:150148190:150153261:1 gene:SECCE3Rv1G0163670 transcript:SECCE3Rv1G0163670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQFCGRRPLLFFFEVTAVAILAALLPLGGHARVCPPCGSMAVPYPLSTADGCGDPAYKVRCAAGASTLFFDALNDTSYPITSVSPAAQRLVVAPAPLVSNDTCVSVGAPAGRGVQLDPSLPFNVSSSNTIMLLNCTSALLRSPLNCSSSSLCHAYADAARSPCAPLPLCCTFVAGGSSTSHRIRASPELCSAYTSFVNLDPAQPPATWGGRLGLELQWATPREPLCQTQADCEDGANATCAGDPVAAGAVRRCLCVPGLAWDPVAGACQQIPSDCERAGDCKGSNRAPLIAGIVCGLGGALLLMAAGLFLYRRQRRIRLARERLIKEREDILNANNSSGRTAKNFTSRELKRATANFSRDNLLGVGGYGEVYKGALADGTLVAVKCAKLGNTKSTDQILNEVRVLSQVNHRSLVRLLGCCVDLQQPLMVYEFIPNGTLADHLYGAMCQPPLPWRRRLAIARHTAEGISYLHFSASPPIYHRDIKSSNILLDEQLDGKVSDFGLSRLAEPGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTAKRAIDFGRGEDDVNLAVHVQRAADEERLLDVVDPAMKNHATQLELDTMKALGFLALGCLEDRRQNRPSMKEVADEIEYIINIEAGAAAVEQQQSA >SECCE2Rv1G0090460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:277516445:277536966:1 gene:SECCE2Rv1G0090460 transcript:SECCE2Rv1G0090460.1 gene_biotype:protein_coding transcript_biotype:protein_coding METESSGGRRLPKTESAEMRWVVPGGAYEEDEIDSSDDGDGGTDTPTAALGSRGGGGGYSDAEEDEEDALLRQRLVRTGPRADSFDVEALDVPGLYRHQEFTMGRSIVLALQTLGVVFGDVGTSPLYTFDIMFNKYPNTSKEDVLGALSLVIYTLILIPLLKYTLIVLWGNDDGEGGIFALYSLICRNAKASLLPNQLPSDTRISSFQLKVPSVELERSLRIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGLKVGISSVNEGEVVMISVAFLIVLFSLQRFGTSKVGLAVGPALFIWFCCLSGIGIYNITKYGTEVLQAFNPIYIYYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVCLVLPCLLLGYLGQAAFLMENLTENEQVFFLSIPSQVFWPVVFIATLAALIASRTMTTAIFSIIKQATALGCFPRLKIIHTSRKFMGQIYIPVMNWFLLVSCLAFVTTFGSINEIGNAYGIAELGVMMMTTILVTIIMLLIWQVNIIVVLCFLTLFLGLELFFFSSVLGSVADGSWVLLVFAAVLYLVMYIWNYGTKLKYETEVKQKLSMDLMMDLGCNLGTVRAPGIGLLYNELVRGVPAIFGHFLTTMPAIHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKNYHMFRCIARYGYKDVRKENPQTFEQLLIESLEKFIRREAQERSLESDENGNTDSEEEVASSSSRVLVGPNGSIYSLGVPLLAESAGVSNPNFGSSTSFDGSLDGTMDGRRSLDNELSFIHKAKECGVVYLLGHGDIRARKESFFVKKLVINYFYAFLRKNCRRGIATLSIPHTRLMQVAMQYMV >SECCE7Rv1G0477470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:220707930:220712214:1 gene:SECCE7Rv1G0477470 transcript:SECCE7Rv1G0477470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSQSLFFTPSPAGPSRRGRGRPVTMSAAASHNSQPRNNPFAVSSSAPKSESKTSKTDLALGSAIAAAASGAFLLASSGGFGGGPGGPLGGGGGWGGGGGGAGGGGGGGGGGGFWSRIFSAGAANADDKSSSDWDPHGLPANITVPLSKLSGLKRYKLSELKFFDRAAPGGGGATDAGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEGKAKPDGTLGLTVSFTESVWSAAKQFKCINVGLMAQSGQADFDQDMTEREKMDYLRKQERDYQQRVRGAMPCILPESVRGEVLAMMKKQEKVSARMLQKIRDHVQKWYHNEGFVCAQVVNFGNLNTSEVVCEVVEGDITKVEYQFQDKLGNFVEGNTQIPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEVSTEWSIVPGRQGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRNKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWVDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGVDRMAFLQANITRDNTEFVNGAVIGDRFIFQLDQGLGIGSKSPLFNRHQLTLTKFINLNNQEKGVGKPLPAVLVLHGHYAGCVGDLPSYDAFTLGGPYSVRGYGMGELGASRNVLEVAGEVRIPVKNTYVYGFAEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGVGVKLGLVRGEYIVDHNTGAGTVFFRFGERF >SECCE6Rv1G0421290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683028339:683030049:-1 gene:SECCE6Rv1G0421290 transcript:SECCE6Rv1G0421290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEACEIARLPEELVSAALARTSPRDACRAAAVSPAFRAAADSDDVWARFLPPGGLPPLADGELAAPAPPSSKKELFLRLSAGPALLQDRLVSMWLDRETGAKCYMLSARNLFIVWGDTPQYWTWIPLEDSRFSEGAQLMHVCWFEIRGKIHSKMLSPDTTYAAYMVFKTTDNFYGLDFPVQEASISAGETNSTHKVCLQGNDDDDAEGGVPENYRPMVPFPRRRLRRRNRRVVSHEENATFPQQRTDGWMELELGEFLNEGGDDGEVSISLTETKGGNWKSGLIVQGIEIRRKKSG >SECCE1Rv1G0019350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:233258476:233260022:1 gene:SECCE1Rv1G0019350 transcript:SECCE1Rv1G0019350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVCPNLDRGDGLDTVLEVPVPELHQEVPARSRRRRLTVKAWMRSHMHIDHQHRRDGVAPPRTDVQIMLGVMGAPLVPQPVQPRRPMGGRDSKEEELSKARYIVEQYVAAAGGEAALSAATSMFAMGKVRMSSSTSKSKATKKGLGEVHGGFVVWQKKPELWCLEMVVAGGTKMSAGSDGKVAWRQTPWQQAHASRGPPRPIRRCVQGLDPKSTADLFSSAASVGEESVDGEDCFVLRVDAEPSALHARSSADVEVIRHVLWGYFSQRTGLLVRLEDSHLLRIPRPADAGADGEGKAASASMYWETTLASTIGDYRPVDGINIAHAGRTVVSVFPFTRAAGAVDDADARRKRPYTCMEETWSIEEVDFNIAGLSTECFLPPRDLLLRDSKEQPLHKEQGDKGTVLAVAAANSCTKGARDSVKAAYDSDGGCGIRAAVAKKALVPAVTGLGWFGPAKVAAVDHNVDDVGELKDTAYAST >SECCE7Rv1G0470840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:124928110:124936601:-1 gene:SECCE7Rv1G0470840 transcript:SECCE7Rv1G0470840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPAHQSKPSYQRRPPNSGPRQQPPPPQQQRYVPKSAAPSAPKPSPPPPLTTALRSSAGPSASGAGSSSGGGAADGFVFYLPHDEAVAAGLGGLDAQESQAVVDLLNDALASLLRAKPREFWRQVAQNASLHAFLDSYLQFRHRWYDLPHRGPKGTVAGLVVGELELCRRVFMVLYRISSNKDPGSGPGGSLSMKEHTALLQEKRLLDLPKLLDICAIYGHDNGELTSSLVTNAIVVQRNLLDGINTVLPQFLDIFHTMKDRCMDSLQVLSSPGPNVSGHTQLQKDFLEVLDFVNDAIITLDAFADAYQPAALLLCASFERGDRVEELLNTLASLHDLLLPSLLQGFQVMSSSQGETSSESILNDMVLGIRMLSKRAVGFGWRLLEFCYLNDQLKEEDVQASTKMFPAKVEDPMIRGEIIVEILKDINREATYSSKGNPGKTFLQALQKEFQLMSRISDIRNKGWIYMEDEQFQFISRLCGSAVTSWNSVPDLPISSHGGELQQKNEEAAVIESKISQIRDLLPHYGKGFLAACLEAYNQNQEEVTERLLDGTLHQDLLALDTSLQEMPQQKSAPSVAKDKGKGVLVETIPNIANKPHKVEAQSSSASSASKAPISYLSSVSSASKAPASSVSSVPQGRFTRKTNDDLPNFAVLDSQKAKDAVKSAVLDSQYEYEDEYDDSFDDLGFSVAESSYEEAEGANDAEGSSSGPRWASQKKPQFYVKDGKNYSYKVAGSVAVSNAREAAVLNNTQKDTIHGLGRGGNLPIGGNLPMGVPNRQHRVVEEEEGGHANSFSRGGSNPRGRGRGRRGGWDQGNPAEENENSSGPQSFGRDGRRGGRNHGNLPEVNDGQQGFGRGARRGTRDEDNRPEMNNHSNVQQGFGRGTRRGAREEDNRPEVNNHPNAQQGFGRGARRGDRDEDNRPEGNNHGQQGFGRGGRRGDRDRDRDEDNRPEVNNHGQQGFGRGARRGDRNHDDPVEDNEDRNAAQGFARGGPGPRGGGGRRGGRNHNRRDQALRKHMQGMTGL >SECCE7Rv1G0454450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2562841:2569799:-1 gene:SECCE7Rv1G0454450 transcript:SECCE7Rv1G0454450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNWEADKMLDVYIYDYLVKRNLHNSAKAFMNEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPQEGGGPGAAAPPSMDIKSREHQMRLQLLQQQNAHLQRRDPNQPALNGAMNSDVSAVLASKLMEGMRNHNPMDSEASQQLFDANRMALLKSAANQTGQLQGSSVNMAALQQQLQSRNQQVDIKGDGAIQQRAMPTDPSALYGAAMLQSKSGLAASGLNQGVGSVPLKGWPLTVPGIDQLRSNLGAQKQLMTSPNQFQILSPQQQLMAQAQTQNELARMGSPAHSGSPKVRPDEHEYMMKLKMAQMQQSSGHRMMELQQQQQHHQQQQQQHQQQQQQQQQQQQQQQQQQQHQQQQQQQQQQQNSRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGIPVASNVNIAQKSTMACGADGTSGFASSSNQMDNLDSFVDFDDNVDSFLSNDDGDGRDIFAALKKGPSEQESLKSLSLSEVANNRASNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNFNMDTKPEEHTNFITDIRFRPNSTQLATSSSDGTVRLWNAVERTGALQTFLGHSSHVTSVDFHPKLTEILCSCDDNGEIRFWTVGQNAASRVSRVKQGGTGRVRFQPRIGKLLAVAAGNTVNIIDIDTDTSLHSQPKVHTSEVNCICWDETGEYLASASQDSVKVWSAASGQCIHELRSHGNQYQSCIFHPRYPKVLIVGGYQTLELWSVADNQRNVVPAHEGLIAALAHSPLTGSIASASHDRSVKLWK >SECCE1Rv1G0038480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:539728776:539730699:-1 gene:SECCE1Rv1G0038480 transcript:SECCE1Rv1G0038480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTCLPPGFRFHPTDVELVSYYLKRKIMGKKLFVEAISEVELYKFAPWDLPDKSCLQSKDLEWFFFCPRDKKYPKGSRTNRATPNGYWKTSGKDRTIELNSCIVGLKKTLIFHEGKAPKGNRTDWVMYEYKMEDETLVSAGFSKDAYVLCKIFKKSGLGPRIGEQYGAPFDENEWENLDVGSSIFSFAPSSGVEDPQVESSGLATAIVIQEPAAPQQSVQFSEKVDICSNEDSNVPPEIDGILLEELTMFLNDSPNHDTVLPENSGLPPMSELEAQAFEINTAELYDQLAGLAHSGDMSNVNFPVSDGGVIENNFQHANSGLAMDNDYIELDDLFAPGETFSYDVSGETFSYDLPGGTFSYDLSVPNNQFLQYPLDQSTNGCHYSDSATQSTFQASGSLPPMQPMPSTFDDMPSVSNKPASSNCLNPFMKDPFS >SECCE4Rv1G0236870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:353991990:354006287:1 gene:SECCE4Rv1G0236870 transcript:SECCE4Rv1G0236870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAMSLPPGAVGAASPPAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPPQNPTSRPQMVQPGSMQGAGHYMSQVPMFPPRTPLTPQQMQEQQHQQLQQQQAQALSFPAQMVMRPVTVNGMQQPMQAAGDLQPAAPAPGGSKQDAAATGAGSEPSGTESHKSAGAEEVGADVAEQS >SECCE6Rv1G0382340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:41250828:41251370:1 gene:SECCE6Rv1G0382340 transcript:SECCE6Rv1G0382340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSPAAAEKEAKSPKKKTTTKSPKKKTAAPKE >SECCE6Rv1G0412050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:612398865:612399485:1 gene:SECCE6Rv1G0412050 transcript:SECCE6Rv1G0412050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAASILSISHSAPLYLLFDVPAWEEYCLHEDISGGFEFAAAGAKSLGDDNNSAEPRACASQRFRGGAHLPLKLPPRLQHPADWSTASSAATSPTTQAQLCMVPSWSPFTSSTRHRDFDPFAAALEKQAHSPLEVALALGASGRPGRRDEPKQVAPRARRPPQRSTRRRSVKRLLCWAATAAPALGKDGVASYRRPSLLVCFGF >SECCEUnv1G0552690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:255706161:255707909:1 gene:SECCEUnv1G0552690 transcript:SECCEUnv1G0552690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRAPGPRKDEVVTREYTVNLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTNDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEVPQEGLKGLGTKVVEDED >SECCE5Rv1G0329380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:515768460:515771334:-1 gene:SECCE5Rv1G0329380 transcript:SECCE5Rv1G0329380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEAPAAADETYFPIYISSEEDDGIAFLGDSVDPEEMQIQEVILLSIDSSRAPAAIPTSSSASSPESPSGPDVVGTSGKSTEESPRDRKGKRKLLEDEPSESGKRRKRNRFKCAICMEKVQVSEQFIVSHCSHAFCNGCVGRYVATKIGENVEWIGCPDPECTEGFVEIEPCRDIIPQELFDRWSVTLCEQSLGNEKYYCPFKDCSALLIKDNAETVKIRDTECPHCHRMFCARCRVPWHDGIKCKELRRLGDDEKGETDLMLKKLANKKKWQRCPSCKMYVSKIDGCLLMKCRCKQYFCYHCAAPMSKNLHYCKNCKR >SECCE6Rv1G0437340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:783170425:783174008:-1 gene:SECCE6Rv1G0437340 transcript:SECCE6Rv1G0437340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRTDLDGGAVAPLTICVIGAGGFIGSHLCEKLMAETPHTVLAVDVYCDKIRHLVDPPPPHLAGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENSKRLIHFSTCEVYGKTIGSFLPKDHPLRKEAEFYVLTEDESPCIFGPIVKQRWSYACAKQLIERLVFAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPARANGHIFNVGNPDNEVTVRELAEMMTEVYAKVSGEPPLEEPVVDVSAKEFYGEGYDDSDKRIPDMTLINKQLGWNPKTPLKDLLETTLTYQHKTYKEAVKTQMCLATATPSS >SECCE7Rv1G0481410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:283703285:283710697:-1 gene:SECCE7Rv1G0481410 transcript:SECCE7Rv1G0481410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMGAKLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPEGPPNERKIMKLCEYAAKNPLRIPKIAKFLEQRSRKELRAAHLNYVKIITEAYSKLLFICKEQMAYFAISLVNVLTDLLESKQENIHILGCQTLARFIYSQVDNTYARNIESLVHKVCTLSQQQGVEHSLLRAASLQCLSAMIWFMKEHSYIFADFDEMVQSVLENYRMDGTAGGDDDRHASQHNWVDEIVRREGRAGLGGGNDVNFCGATTTRLRSARDSSALTREERESPEVWSHICVQKLAELAKESTTMRRILDPMFSYFDMKKQWAPRHGLALLVLSDMSYLEKSSGNEQLILTAVIRHLDHKNILHCPQTKSDIIQTATSLARQLRSRGVAPELAVAGDLCRHLRKTLEAMELASLEELSLNESLQNFLEGCLVEVVRGVKDVRPLYDMMTITLENLPSIPAVARATIGSSLILCHIISLTSVSSDAPMVFPEALLQQILRSMVHPDADTRVGAHHIFSAVIVRGPSHQRGDSEYLFATKKCQSRSTSVFASATALLEKLRREKECLGSDKPGHMMHDDGKERNTHEEDNKHVWARKSPAYFSKLVFSFIERWATLASSAEETKIILLTEDQTNQLLSAFWIQANQTDNTPFNYEAIGHSYSLTVLSSRLKNSSSSNNIQFFQLPLSLRSIALTPSGGLPPSCQRSIFSLATSMLAFAGKVCHVVELAELLRCFTSSNIDSYLRIGEDLQLYVRLQSELGSYGSESDQEVARSVLSDCRKKVGINDQRVLDVIACALSNLTEMDKDALAKELTEMFTPEEVPLFGSNSALDWANFHAQAFSDESLSFDEECSRTSSVDGGLHDSPITNTASSTSKITLPHSAPRVLGVGQLLESALHVAGQVAGSSVSTSPLPYGTMTSQCEALGSDTRKKLSSWLVNGHNSTPDNPVPSLPAADHFILPKVNSCGFEINRTSSEPCSMVKLPPASPFDNFLKAAYRTPQEM >SECCE4Rv1G0292350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882097872:882099521:-1 gene:SECCE4Rv1G0292350 transcript:SECCE4Rv1G0292350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPATNATAARAAGAFTSGRASSSPPSSLSTPFIPRVAGAIVARRGRDARAAAVPVRAQAAGAAKKKVLVVNTNSGGHAVIGFYFAKALLAAGHDVTVLTVGDEGSDKMKKPPFSRFSELTSAGAKTVWGDPADVGAAVGGASFDVVLENNGKDLDAVKPVADWAKSAGVGQFLFISSAGIYLQTDEPPHVEGDAVKESAGHVGVEKYIAAEFGSWASFRPQYMTGSGNNKDCEEWFFDRIVRKRPVPIPGSGMQLTNISHARDLGSMLTLAVDNPDAAAGKIFNCVSDRGVTLNGLAKMCAAAAGTTVEIVNYDPAAAGVDAKKAFPFRNMHFYAEPRAAKEVLGWTSTTNLPEDLKERFAEYASSGRAEKAMTFDLDDKILAAVGAAPVSVAA >SECCE4Rv1G0218800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:24504906:24505949:-1 gene:SECCE4Rv1G0218800 transcript:SECCE4Rv1G0218800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVTKSWPPVLVVPSEPTPAGNIPLTSADKSRLCLSFTSLHVFDSPIHEPAETVRRALSSALVHYYPIAGRLAVNDSDVQLACTGDGVAFVAAAASCTLEEARFLHAPLAIPLADLALRYGGRCQMPDPLLMAQVTEFGCGGYVLAVTWNHAATDGFGLAQFLQAVGELARGVSPPSVVPVRYDGSLPEIPQLYAALGPYLKGRVHVDFAYTDVTIPWSFINRVKAEFSQQQQGGGQRSCSTFEVVTAAIWQCRTRAIRTADPGSPAPLVFTVNVRNHVGAKDGYYGNCVTSQLVMAPSGAVAGGDVVEVVKLVRGGKERIAATIKAGDLDACARGWTRGAAVRG >SECCE5Rv1G0300830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28269993:28270394:1 gene:SECCE5Rv1G0300830 transcript:SECCE5Rv1G0300830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFLGLGWLAVTAQCRHEAGRSYLDSGDNNATVPNSVSPLDDAKVTVQFCIKYGCNFGICYCCDSQKGTPCFKTFNECKSKCPNCDPKCPPEWTVELHA >SECCE5Rv1G0316010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:303654365:303659386:-1 gene:SECCE5Rv1G0316010 transcript:SECCE5Rv1G0316010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLLLRRFPSAGAHLCRYQGHAHRRRLSSASEAAGSPGWRRQHEEESKAVKVSVWWDFENCHVPQNVNVCRVAQRVSAALRAAGVRGPLSITAFGDVVQLSRAAQEALVATGVVISHVPSSGKNSSDRSFMADLVYWIAQNPPPAHFFLISGDKDFANILHRLRMSNYNILLACPVNKTASVLCNAATIMWPWEALVKGENFSPKRFNHPPDGLSGSWYGHYKGALDDPFVEAESEETIATQVPSDIKLCRNPKNAVTAVPKDVVDGIREALNLYPSGVMLSTLFQELKRNKLSFGNDFFGHKKLSCLLLSMPDIVKFVTPSTALSEPCVIGVNKKLLEPAEQCFEPLSSVESDFKDNNHGRATHNDEKPRFSVSTSFPEQNCKTLSSQSIIRDKSFKQTVYENPAASAVSSFPQDVLPEDQKVCPAADMNARPESPAKHKEVDAPGTPSSLGVENTVNSDGLLRRPTTRPKSPDNHKEVDALGTPSFLGVENTANSDGLLKRIWVLWNGPESANREVSPCHEGTSAEVADLGTPQQDCNTDQRGRILNRIRKTSSQNRSSDLTDGSAAMTVNFSTLSDHDHSEKHAEETEKLKRDPPILQNSKPCSGPASVLLCKDGGDASKMNKGFFSWVMRWWKFGKLDGDNSIAMKNGIEEAKTDITEESQSLKASTCGNEQQVVNKIFTRFYFWDVLGKQLSKPIGSELVSKAKTREELVHGLQNLDCWPLKGLVEKDVSHLVHLLLSEKKWIEETPSDFPFRLTLPQKRTRTPPNSSTARTPPNSSTTSTPPNSSTTCTPSNSSTTSTPPNSSKFDLSSLFNVKPLEQGKYGGDKGRTNRTPNREETLSDCHKLLKDLLLQYKYGFNISIFKRQFAQKHGYELDHQKLGYADIESLLQIMPGIRVKFPMVLPAETGNGQGGSKGDGNQSNGDDSIWGELGPVSATAETGEGVDKETCYRPPTCSEDDFSDDENQAEQEPRRGAEQSSLLEIIGSWNRSKDDGSGKKSQEIDGVVDCSRSSPGYLDTLRAARQQQQPQKQYSFVSSDAEEDESKDKLVDSVLGSLQKARGAKASN >SECCE4Rv1G0255290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:645054966:645055358:-1 gene:SECCE4Rv1G0255290 transcript:SECCE4Rv1G0255290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDTLCIDYTKLVDDVSKMFDWQDGRVDKRVYQKQVEEEELEKKKKELQEKAMLEVQMEKLKLAKEQRCILQSQADIIKNTRKAMKDVEVDRDVLKKEKAKLELVVAELLKEGYGSKEKLEQIKAILKS >SECCE1Rv1G0050610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:648177035:648179510:1 gene:SECCE1Rv1G0050610 transcript:SECCE1Rv1G0050610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVERAKLVRSLRQESRRLRLLVLVIGFFLVTLTFVVLSKPDALLFNLNGRLSVDDAPRSLLIRQATDADPAQRSAAAAAQDPKLLDDFEDAEATTKVTAKDSEEKRALAARDPQHSGKKGPESRLLGTSGGEGEKKGHRKLTLPTVSNYTIRDAEDSDNAKQQDSKPETENKLEMVSGKSDGSQQQDWDSAEWESKPLCDFSNFRANVCEMRGNIRIHPNASSVMYMEPASSKRNELWKLKPYPRKGDELCLSKVTELTVKSSKVAPECTKYHNVPVVVFALTGYTGNLFHDFTDALVPLFTTASEFNGEVQFLITDMAIWWTRKYHVVFKKLSNYPLIDFNKDTDVHCVKHAIVGLHAYMEFTIDPSKAPHNYTMVDFNRFMRRTYALPREAVSALGEIPKAKPRLLIISRQRTRMFLNLPEIIAMAEGLGFEVVVEEANVSSDLSQFSKVVNSVDVMMGVHGAGLTNCVFLPHNATLIQIVPWGGIEGVCRIDFGDPAEQMGLRYKQYSIAVHESSLTDQYPLDHEIFKNPLAFHKGFEFIKETFMDKQNVMLDCNRFRPVLLETLDQLNQ >SECCE6Rv1G0431470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746491251:746496370:-1 gene:SECCE6Rv1G0431470 transcript:SECCE6Rv1G0431470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 6 [Source:Projected from Arabidopsis thaliana (AT3G49500) UniProtKB/Swiss-Prot;Acc:Q9SG02] MGAPRAGDLVTTQVSLGGFDAAVRARDLADFLELEAGPVWRCRVKTSWTPQDAHPDFLLPAAAAAAAAQAQQRFDPVPPHAFVHFARPEVARRAADAAGRSELILSGKPLRAASAPDSALRASRRRGVEPFRFPGARLEVGDLPAPDSFLAAWRCPGPDDDGGAGADALEFAVDPFDGSCRIVFSRDTAFAFQGFREAAVVMRCDVKLEFPVRDVAEVRVFKLDCSLLLRLSAAPLVYYRTADDDVYVSVPFDLLDDDDPWIRTTDITPSGAIGRCSVYRITFPARFSSKMDRALAYMRERRVTIVECGGGWGARRRGLTVRDEPDFGERMQDLFFCVQHAEGLRFPVLFMVNALVHKGVISQHQLTPEFFGLLRRREEDVNVAALKEFWGIKFPVFDACRRLKNLQDRVARNPKLLNSKIGDDHSEVRRLVITPTRAYCLPPQVERSNRVVRHYREVTDRFLRVTFMDEGMQQLNSNVLNFSAAQIVKDLMSNSFLQHKTTVYKRVKTFLTEGFHMCGRKYSFLAFSSNQLRDRSAWFFAEDRTDRTRTVESIRKWMGRFTSKNVAKHTARMGQCFSSTYATVVMQPHEVNECLEDVERNGYVFSDGIGKISQELALEVAKKLQLTDNPPSAYQIRYAGFKGVIAVWEGENDGIQLSLRPSMHKFESSHTVLEVVSWTKFQPGFLNRQIITLLSSLNVPDAVFSQMQKDMLSNLNNILTDIDVAFDVVTTSCADEGNTAALMLSAGILPGTEPHLKALLLAIRSTQLLGLLEKSRIFVPKGRWLMGCLDELGILEQGQCFIRASSPALNNSLVKHGPKFSSANNNAETIVGTVVMAKNPCLHPGDVRILEAIDVPALHHLVDCLVFPKNGERPHANEASGSDLDGDLYFVTWDEKLIPPGKRSWNPMDYSPAEAKQLPRQVTQSDIVDFFLKNMVNEKLGPISNAHVVHADMSEYGAMDEKCIQLAELAAFAVDFPKTGKIVSMPPALRPKLYPDFMGKDDAISYKSEKILGRLYRSIQEASSSDVVPEETCTLNDLPYDTDMEVPGAADFLSSAWQCKCSYEAQLDALLKQYGVRTEAELVTEHIWSLPKYNSRKQGDIKERLKNAYSALRKEFRAIFESVEAPGQAEAAVLDDDERNRVYEMKASAWYQVTYHPKWVQRSRETALEPDREQGEEEEAPARLSFAWIPVEHLARIKIRCRGGAKADRRRPVERLAAYMSGSL >SECCE3Rv1G0162560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:132552517:132554638:1 gene:SECCE3Rv1G0162560 transcript:SECCE3Rv1G0162560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGYYEASLCPPAEDDVFAAAAAAAQWDWGEALLDRAAYMVDKKNHTSARCRFRRDVLDKREVEMRVTLCLAPPPLVSYFCCHAYYTDDKGEDNRLFISEPSMFAMEGDLALITLCHGKFHPSDFDNNKGYYEYLVYQAGKEDLTRLPHPDPWMLPHEASHCFNSTSIALVPCSSKISDDGACSAYKIAALGKDFFHDSANGPHYLCIYDSKTDAWTRKAGAIPQPLLPPSDFISDMTITIGGNSGGIVGWVDLWSGMLLSDVLADNTPFHLFRYVPLPEPRQPPNRLPLAVDIATAFRDIVLVKEAGIIRFVDLQVHGEPGNPFSETPSGWTVVTWTMEGLRGDSVTLGDMSFKLEHEVHSCDIDGYNLPKSVFVSNPILSSHKDGILYLRTTASSTTDRNSRVIAVDIKNKKLLKVGEFDMRRPNTYRRTTISSHLKPLVPKENMKRRAPVLMGSSGKKPQQQSAITNPAEGGEVDVGDAMDLQ >SECCE4Rv1G0226880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:114818260:114820947:1 gene:SECCE4Rv1G0226880 transcript:SECCE4Rv1G0226880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEALRAREIAAKMLENKDFLGARRIALKAQRLFPGFENMHQLLTVCEVHCAAVAKINEDLDWYSILQVEETSDETVITKQYDKLALWLHPDKNTLSGAEAALKLVSEARKILCDRTQRSLYDIRRQYASKHVTSKATQLPNKTGGNRSHVDVCKQPPAFVLVFWTICPHCRRRFVYYKKNFLVICDHCGKLFFAFKLHEQAMPSSFLSHSVNNVEVSPGKISCQQHGITNQLAQTGGDIDSEPTMNVTLIDEHSERDDRASGDEEGNRSETRSDVVQLSAMNQAKSSAPAADKESVSNLTSAHPDPNSISTQNFRSEDASLVPNIARSSSLQRLGKRKQEDGPDCSHGTDSSNYKRERNHDSPSSASEQMSNDNAAVAENQSAEHHALSRVDNEDGVISSHEANQQSYKEASGSAPQKLVSPVITYHYTDFFDFGKIRDVSLIAVDQIWALYDDLDGMPRGYAQVKHIDTSDFRVQLRWLEYNPRNEQETNWNNKELPVSCGSFCLGGETDLLQDPSTYLSHRVTLTKGQNGDSYEINPNKGEVWALYKRWSMQWSSDADNCRSYGYDVVEVISDGSTSGDVIVSPLMRIEGFVSLFAQAKDKRFFSIPSSELLRFSHCIPFYKTNGNERVGVAEGLLELDTAALPSDLETAFPSITLDSYMSSELISYPYPESEFHNFEEDRSCEKFESGQIWALYNDSDTFPNVYGWVSKVETQPFEVHLTWLQACPQQAQEKLWLGQNVPVSCGKFKIRSWEAKYGGSHSFSHLVENSQIDMNWQVKIHPKVGEVWAIYKNWSPDWVPSSNNHTTDYAIGEIVECSKRGTLFSFLTKVDGYVAVFKPDLTKGVLKIHRKENLRFSHRIPSFRLTKEKGGKLRDFYELDPASVPGDFL >SECCE7Rv1G0521410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873940008:873942066:1 gene:SECCE7Rv1G0521410 transcript:SECCE7Rv1G0521410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWYSRSRSVPATPRGLPADAVAGNPGPGCMSMVHYLIFAPGAGCVGRPPSSSPNDSAAIVSSSHKLSSSSPVSHQKGLEAPRNSLELDADQLRDIQIGVQVEPAFDALAVAGRRSTGSRATAPPSEAGTPRTPSLVARLMGIDGLPDDACSPSPGLKKTGNPRAAAAAVKEKKKRVIPESMNRQPLRSLSCNVGAGEARSLPDTPRASASSARTASTWDVVDRPRLSLQVLKENVLDRAAQYMSMPTSPTSAKKKKDRRDGDVVGHRRRDAKEHAREIVRQAKETVTNRKSGGKKPTTASAGGNGKENAIPFAVEDKKMVVVQDQPAAVTIVTPTAPPPPPKAQADQQQPHAPRLPPPPPPMRAKPTRPPPPPPPPDHPIPATSTRAAPLSPQAVQCKRPPDGCERFATRVKKPPAETTIEKAAPPSSPSAVAGATAPAASSHARVVDQHHRRRLLPPAVASTSSSSSSSLEDDPEYGYLRTVLERGGFMRAVPPPSRPFKGHSMSSPVDPIVFHLLELDLPADDADDRRKGPLRHRWNRKLLFHLAQEILADLLHHDDNGGSTATRPHGPALLAKVWSTVKAFPAADCRVVGDIDALVATDLNSASVRGLARHPAVAEEAGDVAEDVAELVLDALLRESLPPSWSWSPARSPR >SECCE4Rv1G0291590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:877048012:877049500:1 gene:SECCE4Rv1G0291590 transcript:SECCE4Rv1G0291590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQDEQQPPPLHILFLPFPAPGHLIPVADMAALFAARGVSCTILTTPVNAATIRSSVDRANNALAGTSSPAIGISVVPFPDVGLPPGVESGTALTSQGDRGKFFRALQLFREPFDRFLAGSRPDAVVSDSFFHWSVDAAAAHGVPRIAFLGTSVFARSWGVSILRNNPVAAAPDDPDALVSLPGLPHRVELRRSQMMDPSKEARSFGEVFNSFHELEPDYVEHYHATLGRRAWLVGPVSLAGKDMAGRGTSAPSPDAGGCLRWLDAKPAGSVVYVSFGTLTSFSPAELHQLARGLGLSGMNFVWVMGAAADPSSEWMPEGFAELMACGDRGFIVQGWAPQLLILNHPAVGGFVTHCGWNSTLEAVSAGVPMVTWPRYADQFNNEMLIVELLKVGVSIGARDYASSAETHEVIAGEAIAESIGRLMESDAIKKKAKDLAVKARSAVANGGSSYDAAGRLIDELMARRSSVKAGQDIRTTS >SECCE6Rv1G0413220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:622660994:622666108:1 gene:SECCE6Rv1G0413220 transcript:SECCE6Rv1G0413220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHERGGAASAVPASEEDSLFIDLLHEAPLSGHREPRSIVSGTLYCILLVGYAAVSVSAPWIFLRVPGMIPPLLCSSNVILLLLTGIFQQYWVHQVRKVRLQGYYDFSQKLKHIARLPFATIAYGTALMLLIIVWQPFVHILSISLLLRIAIVVEATCAGCFMSLYIWYIHKYNSLDGRPDILRSLYSALQPSSTTLEDRRYYDGRLTDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTDVGNTPQVDLVHLLASRDQELRALSAEMNQVHSELQLARGLIDEKDSEIQRIRVSNNQYVEENDRLRAILGEWSSRAAKLERALEAERLSNIELRKGIAKFRGQLHKEQHA >SECCE3Rv1G0145520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:7442881:7444207:-1 gene:SECCE3Rv1G0145520 transcript:SECCE3Rv1G0145520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRGNSRAAMPGADEMFPAELRTTVFFGAVTSKSEPLTFEESLRFVKKVKARNYMLYLSLFDILGRTELSQLEAYQTLQLLFRDHPDLHEGLEKFRPPVPTKHAAANSNLWPWLFACAAVPLVAMSLIPALGNPVLWLVQQTLGEKVRAA >SECCE1Rv1G0003780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:15171795:15177767:-1 gene:SECCE1Rv1G0003780 transcript:SECCE1Rv1G0003780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEMATRGGGDAVCGGGGGGEEGERGDFELFRSGSAPPTVEGAMAMAAGGGGEVLLDDELRADPAYQSYYYSNAHLNPRLPPPLLSKEDWRSAQHRLRSSSSSAAGLGGIGDGRRAAPGDGLVGLPGIDHPRQRAFSGIFQEDSNQRDMDRQGANHNRNDFLDSSGMQYALHRDTGAMSGLQRESNEQTLADIRNNELSSHAYASILGPSLSRSASPDPELVRRVPSPCLPPIGVKVGAYDKKSNGGSSSFRRSSSAVGEPDDLVAALSGMNLSSSRAGNGQTMDQSKLYQDVDNANRFLFDQTSGNQQHSFMKRPDQGHFRAPEGYSANSSMMRNQMNGGSFTSSDNSLVGSGYASPRIGARSPGGTLSSRQNLAGASNYLGYNGVGSPTGAASLQMPIDPLYVQYLAAQVAASYDDPFMASGHLGSSYMDLLGPQKGCLSPLLQSQKNYGCYGNPGFGLGYGGSPLTSPVLPSSPVASGSPLRHGERSMRFASGMRNFGGNFGSWNPDLVGKMESNLMPSLLEEFKSNKSRTYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSTTQIKELSDQLIGRVLALSLQMYGCRVIQKAIEVVDLAQQTKMVAELDGHIMRCVRDQNGNHVIQKCIECIPQDVIQFIVSTFYGQVVLLSTHPYGCRVIQRVLEHCDDPTTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPPERTAIIDKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQILIGEMLESTTESEPLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRQGLQPACTAA >SECCE2Rv1G0099830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:527422011:527424197:-1 gene:SECCE2Rv1G0099830 transcript:SECCE2Rv1G0099830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSRPFALCRPRPPAAPATASRLSPRHSSTQPDEDASVTAQNMRLTALLSSGDTAAARRLFDGMPRRTVVTWNAMVAGHARRGSVLDALDAATRMHRSGVSPSEATFASVLGACARGRLLRVGAQVHSQVVKSGSESFEVVGPSLLDFYSSCFDLRASHTLFESLHPRNELMWSPMVVALVRFNLPGDALDLLERMPAPRDVFAWTAVISGHARGAGECCRKAIWLFVQMLADDGVRPNEFTFDSVLRACVKMGALALGRSVHTCLIRGGFDTDKLITSALVDLYCSSDAVGDALLVYSDLEKPSLITSNALIGGLISMHMMEEAKIVFLKMPEHDSGSCNLMIKLYGIEGRLDDCQRMFEKMPRRNIVTLNSMMSVLLQNGKLEDGLKLFEQIKDEGNTITWNSMISGYVQNDHPSEALKLFVVMCQLSIVCSPSTFPALLHACATVGTIEQGKMVHAHLCKTPFESNSYVGTALVDMYLKCGCVSDARSAFCCIASPNVASWTSLINGLAQNGQWLEAIVEFGRMLKHHINPNEITFLGLLMACARAGLVNKGMRFFHSMESYGLVPTVEHYTCAVDLLGRTGRIREAEKFISEMPVPADRVVWGALLTACWYSMNLEMGEKVAEKLLCMGTEHISAYVAMSNIYAKLGKWEDVVKVRTKLRSLDVKKEPGCSWIEVKDIVHVFLVEDRNHPERDEIYVMLEDLVYNISLHSEPDEDLYWLSGA >SECCE4Rv1G0253990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:631898149:631900056:-1 gene:SECCE4Rv1G0253990 transcript:SECCE4Rv1G0253990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRDVEAGSDTAAVPPRKTRQRSAGSVAGHWLRHAEAAAPACIRRPMKGLDRRPRQWGCAANSVLLALVITVPSLVVLFGARTSAPAVWINAANALRRGSAPAHDRLLGGLLADGVDERSCHSRYQSAMYRRRAGRQPSPYLVSKLRRHEALQRRCGPGTAAYGHAQEQLRSGKSLAGTGSPECKYLVSISYRGIGNRILAAASAFLYAVLTDRVLLVDPSNEMGELFCDPFPGTTWLLPPDFPLTSYTNFSIETAESHANMVKNKVIRTVDAGAAVSASQQQLPAFAYIHLDHDASQQDKQFYCDEDQRVLRDIRWLVMRTDSYIVPGLFLVMAFQEELHMLFPEPDTVFHHLGRYLFHPSNHVWGLVTRYHDAYLAAAHQRVGIQVRVFGSQPNSPELLEQITTCAHNEGLLPELLAAATTPPLPPAPPRRRSTAVLVTSLKGWYHDQLKSMYWEHATVTGEAVGVHQPSHEEYQHFGARSHDTKAWAEIYLLSLTDALVTSGGSTFGYVAQGLGGLTPWVMFKPDNGSAVPNPPCGRDVSMEPCFHAPPFYDCRIKQGADTGKIVPQVQHCKDVGWGLKLVPPNSSTT >SECCEUnv1G0532340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19971821:19972378:1 gene:SECCEUnv1G0532340 transcript:SECCEUnv1G0532340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRSEHIWVELLKGSRKRGNFFWACILFLGSLGFLSVGISSYLGKNIISILPSQEILFFPQGVVMSFYGIAGLFISSFLWCTILWNVGSGYDRFDRKEGIVCIFRWGFPGIKRRVFLRFLMRDIQSIRIQVKEGLYPRRILYMEIRGQGIIPLTRTDDKFFTPREIEQKAAELAYFLRVPIEVF >SECCE6Rv1G0394740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:304773125:304779681:-1 gene:SECCE6Rv1G0394740 transcript:SECCE6Rv1G0394740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFIGVLVSDPWLQSQFTQVQLRTLQTKFTSAKRSGSEHVTIKDLPPVMEELRGIQEVLTEEDITNFLSESYPDMNQSIEFESFLREYLNLQAKGTSKSGGKKNLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGDDPFLKNFLPLDPTSNDLFNLIGDGVILCKLINVAVPGTIDERAINTKKVLNPWERNENHNLCLNAAEAIGCTLVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPELLELVADDNSKEAEELVTLAPDKMLLKWMNFHIKKAGYKKTVTNFSTDVKDGEAYAYLLSALAPEHSSMTMIETTDPKERAKKVLETAEKLDCTRYVTSKDIVEGSANLNLAFVAEIFQHRNGLSSNNVAPVIQDTPDDPSTGSVLLVVLDKTKSYVSLILSTAYGIFFYLVNQTHLIFLYLRRSFPRGSNRIPREKPE >SECCE7Rv1G0465260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:67714351:67714710:-1 gene:SECCE7Rv1G0465260 transcript:SECCE7Rv1G0465260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAVVAAIVALLAITAAAQGPTPAPKMAPLPAPPTRSPPVATPPTASAPSPMGSPPAPPTDAPTDAPSAMTPSAVAGAPVGAPAGAPSGTTPASSAVYSSSVSFVAVAGAVAAAVMF >SECCE1Rv1G0043020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:587986939:587988141:1 gene:SECCE1Rv1G0043020 transcript:SECCE1Rv1G0043020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHA-E2 [Source:Projected from Arabidopsis thaliana (AT3G08560) UniProtKB/TrEMBL;Acc:A0A178VP35] MNDVDVGKQIQQMVRFIQQEAQEKASEISVAAEEDFNIEKLQLVESEKRKIRQEYERKQKQVDIRRKIEYSMELNAARIKVLQAQDDIVAEMKENACKALLRVTKDTNVYRKILKSLIVQSLLRLRESSVVLRCREADRVHVEPVLEAAKKEYAEKLKVNLPKIIIDGKVHLPPQRANDAAHGPACSGGVVIASQDGKIVCDNTLDARVDVCFRQKLPEIRKKLYGQQVSQ >SECCE3Rv1G0166120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:183005340:183008176:1 gene:SECCE3Rv1G0166120 transcript:SECCE3Rv1G0166120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTGPIAQDWEPVVVRKKLPNAAAKKDEKAVNAARRAGVDIDIAKKHNAGTNKAAHSTTSLNTKRLDDDTENLAHERVPSDLKKSIMQARTDKKLTQAQLAQLINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >SECCE3Rv1G0165860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:179921576:179922679:1 gene:SECCE3Rv1G0165860 transcript:SECCE3Rv1G0165860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKRSAAAVDGHATCVKKRRIGSTGDYDHESCLGEGMFGVVAKRRHRATGQAVAIKSFRNPFNKDEPADAQEVLREARFLEACGGNPHIVGFRGVVRDYVTSELCLVMEYVQGKSLQCLLRETPGGLPEAMVREFMWQLLTAATTMHRCHVVHRDIKPANIIVGEGGMLKLCDFGVALSMSETPPYRQAGTGMYRAPEMLLGKRDYDALVDSWSLGCVMAETITGERLFDEDNSTCLIRRIFEVAGMQDDATWPGFTSLPFADEVPQVQQNTLRALFPEETLSKEGFEVLSGLLACNPDKRLTAAAALKLPWFATIAANAHHLASPPAAATTTVPIKVEEVEIAPSTPRRKRVRAKNYLSLFVTK >SECCE3Rv1G0187200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:696471983:696475131:1 gene:SECCE3Rv1G0187200 transcript:SECCE3Rv1G0187200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEEMSKVTEATGKFESMVETYEVVGGKQYEVIEGKVNWRGRPAVRGRHGGVGNSFFILVNFGLESLASLSLAVNLIMYFMLVMHINLADASNLLTNYMGTSYMIAVLITVFADTFVGRYQTVIISSVVELIGLLLMTLQAHYPKLLPEGCKWPAPTCQRVGGRSETRLYVGLYLVAIGSAGIKAALPAHCADQFDARHPRERRQMSSFFNWLLLSMCVGGAVSVTVFVWIQNVKGWDRGFGAATGVMGLALIAFLAGMPRYRIFTAQGSSALLQIFRVYVAAMRNRNLQLPENPEELYEMSRSKASPEVEFVSHRDRPFRFLDRAAIVRAPTTAEEAPSPWRQCRVTHVEHAKTVLAMVPIFCSAIIMGTCLAQFQTFSIQQGSTMNTWVGSFQMQPATLPIIPLGMLIVAVPVYERLFVPFARGVTGHPNGIPYLQRVGVGLVLSIVSMCIAAVVETHRKRVAARSGMLDAIPMVQPLPMSVFWLAPQYGVFGIADMFTYIGLLEFFYSQAPPPLKSMSSAFLWASMSLGYYFSTIIVKAVNAATKKHTSSGGWLNGNNINRNHLDLFFWLLAVLSFINFLNYLYWASWYKYVKPQDEEDDVVAPVVQQV >SECCE7Rv1G0471820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:134032116:134032541:-1 gene:SECCE7Rv1G0471820 transcript:SECCE7Rv1G0471820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGMGNTATKKAFDWAANVPDIVRASGEIARFVNDIASYKMGKTKNKKDVVNSVECYMQEHMVAGEEAVAAISALAENAWRMINQACMEIEPALLPAAQLVVNLTKTLEVIYLGGRDGYTFGGDLKSLITGLFLKPIVVG >SECCE2Rv1G0087640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:229481257:229484911:1 gene:SECCE2Rv1G0087640 transcript:SECCE2Rv1G0087640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAARGDTAVTAAGAGGDDGKRRRRRWKAPREDQLGTVPGRIFSNDGRSRTASVFTQQGRKGINQDAMLIWDGFGGEEDGVLCGVFDGHGPHGHLVARRVRDSLPLRLMSAARASPKSGLDMPAAAWRKAFTRAYKAMDKDLRSHPALDSFCSGSTAVTVLKLGTDLYMANIGDSRAVLGSRDAAAGGMAAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWPLTDKDQFVILASDGVWDVLSNQEAVDIVSSSPSRSKAARTLVEAANREWKTKYPTSRTDDCAVVCLYLDGKMDHERDSTASMDNISIEDDSVADPNEAQEQQEPALTRNFTVRTVPGSAQEKALAGADAKVSGGADDHNWSGLDGVTRVNSLVQLPRFSEEKAVS >SECCE6Rv1G0383760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:56882116:56883099:-1 gene:SECCE6Rv1G0383760 transcript:SECCE6Rv1G0383760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMSGPKLLLVRQPSSKYGNGAVSPASAASIPGRRFWLVAFLALFTCASMLTVFSTARAPSGAASPRVTFAAGAGAGSVGSATTDGGVLPAYVFDALVRYAAAAGANSTVSMPEEDVRAIASVLRRRAPCNLLVFGLGAETPLWRALNHGGRTVFLDENPFYVAHMEGAHGGLEAYDVAYATAVRELPDLLDAARASRRAECRPVQNLLFSDCRLAIGDLPNQLYDVAWDVILVDGPHGYAEGSPGRMAAIFSAAVMARTKGTVTDVLVHDYEREVESLCAREFLCDENRVEGTTTPSLGHYVVRGGAAANREAFCGAPPTTKKAN >SECCE7Rv1G0458790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22784438:22786954:-1 gene:SECCE7Rv1G0458790 transcript:SECCE7Rv1G0458790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAINNGFSHSSRSQQLDDEDSLSALTDDILLSILGRVSSVVATRTSVLSTRWMHLPWLLPELSIDVKDFLSAPCAEPIEANDMEQAMASLTKATKSLLDKQQRESSISSLHLDLYLIGNFLCEVGQLIGDAIDSGLLKDLDLAVLDEMEPLDCSEEELQQRAQDIDAFFTGYPSVLLCLTKLSLKNVGFDKLDMHHVLFDCCKQLTHLTLHHCDTGSFSLFKIDAPGSKLRVLEIEKCRFLRIDLVCLPKLEKFLCETWVSHVAPLTFGFVPSLGELELSSASNCHQRLFRLSELLHGVRGIHTLTLDFQGETLWLQPEMEELRTTFSKLRKLFICGIFVEFDILWTTAFLVAAPSIEMFQIEVWEHTCDVGEARLASYHERRNPQWEMHLDSSSENILLKELEFVGFKSLEQQFTFIRSILERSPNLQKIVLRDEEQCDDCDALKVPRPSRFPTKKDEQEMVVKRIRDGMFSAEIFFYEYDRL >SECCE7Rv1G0513070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:818183396:818185831:-1 gene:SECCE7Rv1G0513070 transcript:SECCE7Rv1G0513070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTATFMALVLCFAPAWSAAATLSAERPLRGNDTLVSAQGKFEVGLFSPAGSSGDRFYLGIWYKNIPGQTIIWVGNRVSPLSSLASAELRVSAEDGNLELVGPTGASASPVVVWSSNLSSSLSPGSNNTAQIRDNGNLVLVDGGNSSNVLWQSFDHPTDTTVPDAWVGENKLTGEYQALTSWRNAQDPALGMFSDTLDPNGTNEIFFMWNRSNVYWRSGVWTGSFFARLPEAPKNYIYKTTYIETPAYRRHVLYGNATMARQVLDLTGQLKLYVWMPTSQSWQVLWTAPMVSCDVYALCGTFGLCDQSGKLPCGCLPGFAPVSERNWTLNDWSGGCRRSWPLTCAPNGSTTDGFLALPDVKLPDDSHAVGAAKSKLECESTCLKSCSCQAYAFSAGECTVWHGELRNLQRLYVDSDSPGSDIYLRLSERGLQDLRSVDRKKMGRNLWLVLGIVLAGVATLGGSVILAWRIVLARRRRLTRMANMNGSSMAVYSYGDLRAATNNFSERLGGGSFGSVYRGVLKRHKGDNSTHLQMAVKKLESLGGRQGDKQFRTEVSTLGLIHHVNLVRLLGFCSSGNEKMLVYEYMPRGSLDALLFCDGACLSWHERYNIMVGVARGLAYLHHGCQECIIHCDIKPENILLDEDMSPRIADFGMAKLVGRDFSRVLTTMRGTIGYLAPEWISGQPISAKADVYSFGMVLFELISGRRNSKGYSGVEALGSGSSDMWTFFPVWAAGKVMEGEVGALADPRLRGNVRTEEVERACWVACWCIQDQEAQRPTMAQVVQALEGAVQVHAPPVPRALQHLVTLT >SECCE1Rv1G0007310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39935245:39938812:-1 gene:SECCE1Rv1G0007310 transcript:SECCE1Rv1G0007310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEAEAEVDSYINYFLMQRRKAKEAQEEAERRKTGGPGRKMKKRKTETGKSSKAAAVPAESDLARTTEIVEAAMAKLLARMNGVVIKEPAKTMTEEDVAAAAVYRAKAEEARRNHDRPEETSALIKWIATGDPEAVKCRKGWIREDMEALRLKDMDPDEDTSDWYAFQAKEFREFWEFLYPKSFGKFEETTRIPSMLYTDKKSSGGIAHPIRTLQVFSVKVAGLQDGVHWPLEVFGVVAARDSLDHNRNIIFQRERDNCQKIDKENPYLTLTGPSRAIVVVDPVWFELALQVKGATKSEDKELSYHVDPYYMSGSMKSYVFNRVRTSRLSTMELTLGDMVHSVEATISVRVVGGEWPEGFRGVFTATTASIDDMKIELLDSGDDTLVVAADGSIQLSRSVVSVEDDGQLRVSVMARHKVDESVQRDSGVVAAKTSSRSYANLEVGSCKMEVTVAWSLLPDWPHYHDRK >SECCE1Rv1G0035390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:498024236:498027675:1 gene:SECCE1Rv1G0035390 transcript:SECCE1Rv1G0035390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-hydroxymethyl chlorophyll a reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G04620) UniProtKB/Swiss-Prot;Acc:Q8GS60] MIAMAARCFFHFSPTKPCLSFTPRCSSSSSPPAPGGKGKGKALREDWREKSKPIPPGGVYPAKDKCSRCGLCDTYYIAHVNNACAFIGDGMSRVEDLEPVVHGRGRKDTMDEMYYGVHEQLLYARKKEPVQGAQWTGIVTTIAVEMLKSNMVDAVVCVQSDPDDRFAPRPVLARTPEEVIAARGVKPTLSPNLDTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFSLPANDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYSGVSMTQHPQYITVRNERGREMLSLVEGLLESTPTVSSGARQPFVMETVKADDAAKMGKGPSNPAPIFVGNIIAFLLNLIGPKGLEFGRYSLDYHTIRNYLYVNRAWGSSRAEQHMPSYAKKIVEAYNKDGRIDSILEQNKL >SECCE3Rv1G0191610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:749635549:749636244:1 gene:SECCE3Rv1G0191610 transcript:SECCE3Rv1G0191610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDLEQAAYHAIPSDPRGDDDQAPVLGNAPAATFSDKRLQLLVLVVFSVAPVALMLFPMYYMEQANVVSFSVGLAGYEGIDPDRPGRVVSPAFNLTLRMTKTCADRAEVVLTYSGVALGWARVEPRGCVSREPWGRGVEVVARADGVGLSGPLRERMASEWRRSGQVELDVDVAVYRNTGEGRRWDYLGGNSCDKVMRCKVVADGRPSESPPCPWYSLQPHSYDKYSKDS >SECCE1Rv1G0040490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:560610369:560611823:1 gene:SECCE1Rv1G0040490 transcript:SECCE1Rv1G0040490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEWSDGGEEFLLPDEFLDDDFFSEEEKAAVAARSESDEEDSLAGLSRRLAGLLGGDRLAVPPAKEEVTAGSPKSTLCGLPKSGQESPNSGASQGNSPPSSPLEQQPADPWDVIYEAAGQVNSIPSPGNPYGLHGRGGFVSPARKASPPPPPPHMPAPAGTAAGGVYYHPFAHLITQRQIQAARFHLLKQQQLFKQQRDRQLAAAAAWGVRRNAAAKRAGAAPIDLSPASFPPLLKTQLQHAPPPHPTHPAAAGMRAVFLTPPGAKRERNGTGVFLPRPAGAPAEPRKKSGCSTVLVPARVVHALNLNLDDLGAQPRYAGGIVLDHDALISRSNAMLASQKMRAAESSAPPSLCHSS >SECCE4Rv1G0252430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:614640025:614641209:1 gene:SECCE4Rv1G0252430 transcript:SECCE4Rv1G0252430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLQKHVLLVSLRPRATSALLAFRHRCLFSNTRFATAAAAVAASASPAPFAVEDYLVASCHLTPAQAVKASKVLSRLKSPSKPEAVLAFLSDLGLSDADVAAVVVYDPLLLCSEVDKTLAPRLAELRDPGLSPSQIARLVLVDPARFRRPTIISKLQYYVPLFGSFENLLQALKYNSYLLSSDLENVVKPNVALLRECGLGDCDIAKLCVPVPRLLTSRPERVRAMVARAEDVGVPRGSAMFRHALLAVAFLSEEKIAAKVEFLKKTFRWSEAEVAIAVAKLPVVLRNSQERLLRMSEFLISEVGLEPEYIAHRPAMLTYSLEARLKPRYYVVKFLKEHGLLKPNRSFYTAAQVSEKVFVEKFIRPHKEAAPRLAEDYAATLKGEMPTRFRLQ >SECCE5Rv1G0322340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:419840518:419841594:-1 gene:SECCE5Rv1G0322340 transcript:SECCE5Rv1G0322340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATSAPLRLLSSSPLSRPLLSRPHLLTLSRPVSFQRLAARSAASPTPSTSSSSPAPAPVDPAQLPPALRDIIALFQSVPDERTRYKQLLAYAARLPPMDPALKSDANRVRGCVSQVWVHAAPEADAPGCVSFQADSDAQLTKGLAALLVLGLSGAPARDVAMVPVEFIELLGIRQSLSPSRNSGLLNMINLMKLKALEIAAGQEVTGGQEIRQERAETPAVEKEEPQFEAFGGQVHESSEAERPEEEEFKEEPAVVVEGNGSLGGGRKERIRDNLERGLSPVELKIEDISYQHRGHAGVAGSDGETHFNVRVVSKEFEGKSMLKRHRAVYDLLQDELKSGLHALSIDAKTPSEV >SECCE6Rv1G0383820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:57485725:57487238:1 gene:SECCE6Rv1G0383820 transcript:SECCE6Rv1G0383820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRAFADEQQQQEVLHGHGGDSNSNKKARAGLCGVLRERKVVELARAKRRLVEVPYTATLANAANALLAGRISAVTVAAPPGHWIGAGGSLIVESDPATGAARKHYIGMVNMLDILTHIAEAGHDDDDAIALEDGGGSPPVDLDRRMSVPVSSVIGHSLEGLTLWTLHPNMSLLDCMETFSKGVHRALVPLESSADNVVAVELVESAPVYRMLTQMDVVRFLRAHGAELGGVLSRTVRELGAASEAVLAVASRTKVIEAIRTMRAASLTAVPVVDAPMDAYILQDGRGKKVVETFSATDLRDCPVAQLRSWLEASVTEFKDKVAEYRREGSKPLDAAAGVQSPDEGDTNTTVDAGTGNEEAPPRPREMVTCSFESTLGEVIEKAAASHVHRLWVVDGEGEEEGLLRGVVSLTDVLRVVREAALGEDRELHDIVSS >SECCE5Rv1G0297670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:4404317:4408152:1 gene:SECCE5Rv1G0297670 transcript:SECCE5Rv1G0297670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFMQWAMNTLDQQQYHAAAAAAPPVYGAAGSSSGGSTAGAAAFPSLQALRESTAAGGGVRGLSVQVDHHQPSSWSTSSGDSPGAAMDHDAPAAGWSPHTARARTRTTTGSRPMSWNFSAAAASSQSQAVQLPADIDISPRPAAAPRGHSTPAAGRRSGGSAQGTAGPSASPGPVQDHIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKYVRELQDKVKTMEDDDSAAATTTTIRSAVLVSKKIKADEDDEDAGESSHGGLPEIEVRVSDEKTVLVRIHCRNARGLLVRVLAEVEELRLAITHTSVMPFPADTAIITITAKVEQGFNSTVEEIVRRLNSSLRQHYSANNSDDRNSATKREC >SECCE6Rv1G0397970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:409160795:409165239:-1 gene:SECCE6Rv1G0397970 transcript:SECCE6Rv1G0397970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl-N-methylethanolamine N-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G80860) UniProtKB/Swiss-Prot;Acc:Q9SAH5] MAAALAAGVGVLLPFPFYWALWTRPQRWVDLCGRGVDPCHRMAQVSHILKALQLLALASVASFSWPPPLCALALLAFGQYLNFKVYQLLGESGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGGILSLVALLCWVPFQYVVLWCLGYVFMILVEDKEDPATRAKLLS >SECCE4Rv1G0278700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:810405909:810406370:-1 gene:SECCE4Rv1G0278700 transcript:SECCE4Rv1G0278700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIFSFGLATGKHAMGSGEPLGSPMPDFPGTPDAEVLDGPDKPAAKPFDKPFDPVHDSKRKRGGLMEDEINVFCSMTEAVKEVATTIRECKPLDVHPDLYGDVISQGGFSDKALMAALSHLLDNKAQGVGFVAMADAHRVLWLGTWLGKHYC >SECCE5Rv1G0324640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:449017919:449023442:1 gene:SECCE5Rv1G0324640 transcript:SECCE5Rv1G0324640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPPELGQFDGWESSGEEERERWGWCRRSRSSSGSRRGRRMPRKGGEEDAGVATGCCIRLWPMGNCPPQPRSKVDTSTSSASTHGAEKSAENGSRNQPVVSVVSGSTSTSNAESSSSASKAGEEIKVSSKLRKFGFSDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVKLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWSIRMKVALGAAQGLSFLHEEAERPVIYRDFKTSNILLDSEYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLVDPRLEGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEALKPLLNLKDMASSSYFYQTMQAERMAHSSSMNGRNSHSLKVHGSFARASANGQQPMRSMSDGPRASPFRYSPKPNVK >SECCE4Rv1G0226610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:111875540:111876904:1 gene:SECCE4Rv1G0226610 transcript:SECCE4Rv1G0226610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSRLDGDERAAALAEIAAGFEEWGFFQLVNTGIPDELLERVKKVCSDCYKLREEGFNGSNPAVKALAALVEQEGEGLAPRKVEGMDWEDVFTLHDDLPWPSTPPTFKETMMEYRTELKKLAAKMLGVMEELLGLAEGQITKVFSKDGDFEPFYGTKVSHYPPCPRPEMVDGLRAHTDAGGLILLFQDDRVGGLQVLGRDGRWADVQPVENAIVINTGDQIEVMSNGRYKSAWHRVLATRDGNRRSIASFYNPARAATIAPAIPAPADSGADGDYPSFSFGDYMEVYIKQKFQDKEPRFAAAAAVKNMVD >SECCE2Rv1G0079220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:116355643:116355876:1 gene:SECCE2Rv1G0079220 transcript:SECCE2Rv1G0079220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRIGP >SECCE5Rv1G0362090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782573205:782575994:1 gene:SECCE5Rv1G0362090 transcript:SECCE5Rv1G0362090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVVACLLAAVMCLSCGAPAAARSPEARMHRHLKRLNKPAVKSIESPDGDIIDCVHISHQPAFDHPFLKNHTIQMRPSYHPEGLYDESKANVASSGDGERPMVQLWHRNGRCEPGTVPVRRTKKDDLMRATSMRRYGRKHKPTVANPMSVDLAMLNEGGHQHAISYVQGEKYYGAKATINVWEPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFVQINNEVAMGASIFPISGYSGSQYDISILIWKDPKEGHWWMQFGKEYVLGYWPSFLFSYLADSASMIEWGGEVVNSQAEGVHTSTQMGSGHFPEEGFGKSSYFKNIQVVDSTNNLKAPHGMGTFTEQSNCYDVQNGNNADWGSYFYYGGPGRSANCQ >SECCE5Rv1G0376640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873507821:873508828:1 gene:SECCE5Rv1G0376640 transcript:SECCE5Rv1G0376640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRHKFPLLCCGCGADGPRGSAINEAKGGARQLSWGQVEAMTAGFTSAVVGEGGFSTVYLARLAGSSQLAAVKVHRSSERLRRAFRQELDALLRVRHPHIVRLLGFCDQRDEGVLVLEFAPNGSLHDHLHGRDGDGNQAAPAPTMPWARRTVVALQVARALEYLHDRCEPQVVHGDVKASNVLLDAAMSARLCDFGSARAGFSASAALAARPPRSVLGSPGYVEPHYLRSGVLTKKSDVYSLGVLLLELLTGTQPFSDGQLLTSAVAPMIKAGSCSCDDVRKLVDQRLGCRYDASEAATVATLAAACVGENPALRPSMADVVRSLEQICAAGR >SECCE2Rv1G0108770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:667982026:667984785:-1 gene:SECCE2Rv1G0108770 transcript:SECCE2Rv1G0108770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHNECQRQQLCGSNSARPQTFWTICPTCGTKYQYHHAILMKFVRCQNCSKPFIAHVSTEKPVLSGPDQQFTGVWGNAGVFSEIRSLQKFEPGQVWALYSDKDKCPNCYALIQKVDLENNKVHARWLEVCPDGEVEKRLVEDRTVGCGTYRVSTTCGTMIYTDTKQFSHPVHAIFTGRRNSYEIYPRKGEVWALLKGWDISWSSDAHNQKNYKYEVVQVLSDFTTGTSIAVIPLIKIKVFISLFMQSKEATPYLIPQEDTVWFSHCVPYHLMSGAESEGIPEGALELDSTALPLNLEEALACVVPESSSLKGPEFHAKYAGSSSGNNSHRGSMRVGERQHATCPSAGIFTTTPTVENRQDNTPFSVGGTDVHELSDHIVQAKALCPEFFNFDQLRGVNQFRVNQVWAVYDSRSCVPRSYARITKVKRAPKFMVHFVWLEFDPTNKEELAWSCGELPVACGQFRRGRSGTSQETCMFSHTISCQKSKMGNSYDIYPRKGEVWALFKGWDIGWSSDAGNHTNYEYEVVQVVSDFTTGTSIIVMPLVKVKGFVSLFMQSKGGSAYPIPRDSTLRFSHCVPRHLMCGTEREGVPQGSLELDPAALPLHLEEAIAPVVPEKSSAKCQEFDAKCPGSSGGNNSRKGSINAREKQHATCMNTSMFAKMPKEETRENNTPSDVECTNAGEESDDIVRVGYECPDSEFYDFSETRLLRKFEPGQIWAIYSDIDKFPNYYAFIENVDLKNSKVQAKWLDACPQGEEERRLVTEDRPVGCGTFKVSTAQGLMTYTGTEIAECFSCLVLARPTGRRNEYEIAPRLGEVWAVYKDWKTGWTTRDFSSCGYELVEIFCHTNSSIRVRLLRKVDGYRAVFTRETTVETIGKDEYLKFSHQIPCFHLTNEGGGKLRGCLELDPYSVPQEFLPTD >SECCE1Rv1G0002750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:10151653:10153667:-1 gene:SECCE1Rv1G0002750 transcript:SECCE1Rv1G0002750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVASVVPSPLARPAAARPVRTRLRPAGPSSESASGCRVPRGGARRPQHISFAAGGGAAGDAFVVEGATNVKFSREITVPGHTEPLIIIGTGYRDKFFVKVYAAAFYVDISIGLDTEQWRKKVGLETFDASSGFDSIFKAPVVKSLSITLVRDVDGKTFVKALDGVIARRIQKRTDEEESSLSAFRNSFLGRNLKKGTTIYLTWLEPSRMLVSVSTTEDQGPSQVDAEVKSATVSYALYDGFFGSSPVSPTLRSSTAQLLEAILTK >SECCE1Rv1G0032300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:452911065:452913004:-1 gene:SECCE1Rv1G0032300 transcript:SECCE1Rv1G0032300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMVRKVQQRVRKAREEAERWDDLNSRLLSQFSNATTIITRLPVLGDAKNYGVLRCVPNIREDLLGKQMESLELIFVLMRETLEEFSGIAKGLSKVLRDTNQMVRGGSALSAKQLQLQVGILPTIADCLDGLRTLSDMHQAEYALKSSIISLLTWTSSSSDIAAMRQLLVDQPNIPKDEVQSIFDIVFADEIC >SECCE5Rv1G0347940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:671613791:671614372:-1 gene:SECCE5Rv1G0347940 transcript:SECCE5Rv1G0347940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWGASPAWGAAGHGPSPMVPLMVVLALGWVIYNETLLECYERVTEVRDTVADNAMVFILAAGLLLLAVVLLSNQMEVVLVPAVLVLVMLLIQNIVVAALLLLLALYLAGIYYYPPHQGYGGGGGGFTGAGGDWTGWGCGLGFYMLLLLCLVLCAMFSEEGVSWWIPGVLLAACLLCLNLFSGGKVLGQEYF >SECCE2Rv1G0064500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4179937:4183185:1 gene:SECCE2Rv1G0064500 transcript:SECCE2Rv1G0064500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein QUIRKY [Source:Projected from Arabidopsis thaliana (AT1G74720) UniProtKB/Swiss-Prot;Acc:B8XCH5] MADGGQQMVRRLAVEVVDARDLVPKDGLGTSSAYAVADFDGQRKRTRTVPRDLNPQWHERLEFAVPDPATMHAESLDVSLYHDRRFNPSSGGGGGGKNHFLGRVRIYGSQFSRRGEEGIVYFPLEKRSLLSWIRGEVGLKIYYYDEPAVPPPPPPEDRPPEGADNNAPPPEAPPEQPRELPPELPEPTEAAVEVQQPQAQPPVIIVEEAPMHGPHGPMMPPPMMHGHMMPPPMHGPHGPMMHGPHGPMMHARMMPPQPEPEPEPQPQREPGPGPDGAEMYPPELRKERMASSNGHVRVPRHPSGGFGPDYYTAASPRVISGRFASAGEAVEPVQSTYDLVEPMRYLFVRIVRVRGIRACEGPYVKIQAGPHCLRSRPGRDVSGTGSPEWNQVFAVSHAKPEPTLEISVWDGGAPSPADAFLGGVCFDLSDVPVRDQPDGPLAAQWYRLEGGEPGMVTGDIMVSVWIGTQADDVFPEAWNTDAPYAAYTRAKVYQSPKLWYLRASIIEAQDLRVPSPPPGLPFDVRVKVQLGFQSARTRRSVASSSGSAFAWSEDLMFVASEPLDDTLVLLVEDRSMIKEPALLGHATIPVSSVEQRLDERQIVASRWFNLEGGMGHGDGGGGDQQGQPGPGFYSGRLHLRLALEGGYHVLDEAAHVCSDYRPTAKQLWKPPVGVLELGIVGACGLLPMKTKGGSKGSTDAYCVAKYGKKWVRTRTVTDSFNPRWNEQYTWQVYDPCTVLTVAVFDNWRMFAGAGDERQDYRIGKVRVRVSTLETNRAYTASYPLLVLLRPGLKKMGEVQLAVRFSSPAHLPDTWATYTSPLLPRMHYLRPIGVAQQEALRGAAVRTVAAWLARSEPPLGPEVVRYMLDADAHTWSVRRAKANWFRIMGVLAWAVGLERWLDGVRRWRNTSTTVLVHLLYLVLVWYPELVVPTASLYVFLIGVWYYRFRPRAPAGMDARLSQADTVDGDELEEEFDAVPAPDVLRLRYERLRTLAGRVQRVMGDVAAQGERLQALVSWRDPRASRIFVGVCLAVAVALYAMPPKMVAVASGFYYLRHPMFRDPMPAAAVNFFRRLPSLSDRML >SECCE1Rv1G0051950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:657675064:657679872:1 gene:SECCE1Rv1G0051950 transcript:SECCE1Rv1G0051950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGARAPAASVLRGLVALAGAAVLCFSGAADARVLLTLDDFGAVGDGIANDTQAFVDAWTAACGSEEQAVLAVPVTRSYRIWPVQLSGPCKKNLKLLIAGTIVAPASPDEWAGRDPMKWLYIYGVDGLSVSGGGTIDGVGQEWWARSCKRKKTQPCNTRPPPRAVHFEECRGVSVQGVTLQNGPQFHLSFTRCTDVKANFLRVLAPADSPNTDGIHLNDSSRVQITDNLISTGDDCVSMVGNCSDVRVRDISCGPGHGISIGSLGKNRTTDRVENVRVDTCLLTNTTNGVRIKSWQGGMGSARDLRFESILMKNVSNPIIIDQYYCDQPTPCANQTEAVEVRKVEFVDVRGTSATAQAIKIACSDTVPCTELELRNVNLTMAGGGAATASCYRASGKSSGIVVPASCLAKGDP >SECCE3Rv1G0170150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:248952531:248954107:1 gene:SECCE3Rv1G0170150 transcript:SECCE3Rv1G0170150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAMPNPFAPLTNHSQPQQQPPSHEQAPAPPPKKKRNLPGTPDPDAEVIALSPRTLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRSGKEPRKRVYVCPEKSCVHHNPSRALGDLTGIKKHFCRKHGEKKWKCDKCAKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEETARLTAASNSGAAVPVMCGGGQGYLFARPNSMMLQPPLAAHLKPAAGGQMLGHAAGAVGDPLCDGAAARHGELSLWGSDNTLPSSMGHHIGGLLSAGGGATGAPMPMQMYADLFAPSSGAPPQFDMAQLSWLYGTNGGNGKLSSSNASELTTNSSREADSAPSVFSGQQHAKPSAAPTDMSATALLQRAAQIGSVTSSKTSMPLAGAFEQAPNSGVRIDQGSKFDDRALFGASQHQHNANVPSATSELTATTGNVPYDVFSAARHAGLKDAVGREETRDFLGVGMQALCPSSIHGWI >SECCE5Rv1G0367370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:820697169:820697504:-1 gene:SECCE5Rv1G0367370 transcript:SECCE5Rv1G0367370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAGVQLGSSKPQIATQAEMAEARLPIPYRDQCAHLLIPLNKCRVAEFYLPWKCEPERHSYEKCQYELVMERMLQMQKIREAQGGAKVKGSVTIGVPLAPSTAKLA >SECCE6Rv1G0396590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:369432304:369432649:1 gene:SECCE6Rv1G0396590 transcript:SECCE6Rv1G0396590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGLVSLVALVFLLSFRSLVHQQVLVGEGAASHEDDRRQHAKEWLEERKRMRWFMTKDYGHPRRHTPRHNRLL >SECCEUnv1G0546730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:161542397:161543590:1 gene:SECCEUnv1G0546730 transcript:SECCEUnv1G0546730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVALCHVMPAQLDVAPRHTHTRMPAPMTTPPRQLDMWSAIHTPPDNDCGTKPEPPAVKANAGYPMATVRRSMSHESLSLCTESLGCETGTRGDFLDLASFLYLCHQSEDAVADDDSAALQEQEEQEETAARELRAVQYHRARPQRAFPPPLPSMSRRRGDDAGPCLRMRPHRRDGRLVLEAVAAKPQGYLHAQREGGRLKLCFVDYSSASDSVLDHQKQMLQDKDVEEVVDDEEDEVEEEEEDEVEVVDRGMVVEVVAASGKAQRCSRIVINKFVGGAPVTTDDANTIPSPSRCSRATEDEIDSQKVTPGLRRVPSSTTTLAAAVAAASTVMQSEEEDEEEVYEKGEEVDEEEKESAALVFTSRVGDREELVQSVRRCRQLRQRPLFIVESYSIAT >SECCE5Rv1G0316620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:327026325:327027077:1 gene:SECCE5Rv1G0316620 transcript:SECCE5Rv1G0316620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGLRRLFEKPLPENPTLLEALSACNRVHSKRPVDPTSFTEIFGELHFQEKQQQPDHVARGGVLPPPRPPPSPPLVRATTLSSSSWIDAAEKSKDDSSLDALLRSPKPTPTVKRSASFSMKKSPSASSLLLCTEGLGSESTVDVLRDDDDELAAAFRRHEEARNDADVVDANEEEKENQRPPPSFPPPIRSISVRGGKPSVCFRSFRAEGRFVLVEVVIPGKELLRASREGGRLRLQFAGAEATAHA >SECCE5Rv1G0325220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:462612663:462619302:-1 gene:SECCE5Rv1G0325220 transcript:SECCE5Rv1G0325220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTASKQDRNTALQLCKGRLEHIEQAIDARYALSAAHLSYEQSLRNVGIALRQFVESYHAGDPDKSPRSSSALPSPLPPADNSKISPLKVPCHSDISHLRSEVSPSLTVTVNPSDGDASFIKKGQPIPTSVSPPLSPELCPPWDFFEPNDVGENVATHVSENCEVTLDDFGHADGRDQVSSIKNTSQIAEVQEQLGTHGCKDLDDNFDHLKLNRSGCNEIEIVDTDLPNDSSLHKEPDQVQTQNVEGQNPTRTTDNRKIEAHSVDKVNVPKISTEREEEKDNSITSVSKDFLSDVKELERQFARAAESCRGVSKMLETRKIRLSFSTKITGKPSCALSLSASFLCCNAGNVASHESEKHVTKVIAWNRSLSSRSSSSKNPLFSVQKDDDPPESISDFVEEFCMISGSHASSLDRLYAWEIKIYDELKNIESIEQIYDKKCAQLSRQCARDADARQVDKTRVTIKGLYSRLVVGTEVLYSISKTIEKLRDEELQPQLLELLQGQTRMWRVLQEVHQMQKTITSPADAKLSAMSPPSASRGHALMNLITELGVFYSSLAGWVDGYKNYVGGLHSWLQKCVVQPRDRSGGGNLTLSPRQHLAPPLFVLLGDLSAGMSSLPSEESCGSIKDLAADLKKMYKHQAAEQKKAAKKRSSDTGAGRDKSSETELEMATLQGGLTTMFDRLSRLSGAMASLAENVKREAEIAREAYAIGRRTE >SECCE4Rv1G0290710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873861001:873861549:1 gene:SECCE4Rv1G0290710 transcript:SECCE4Rv1G0290710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKAVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKSRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVVPNINSVLLPKKSAAAAEKEATKSPKKKTTTKSPKKKVAAKE >SECCE6Rv1G0412810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:617629214:617641117:-1 gene:SECCE6Rv1G0412810 transcript:SECCE6Rv1G0412810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPASRQRRAAKGSAQHQVQQQSGSPTSTATTSSSRLTPEMMSSLEGPASPRLAAGLDADADDLAATKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPNVGYAYDRVFAPTTTTRQVYDVAAQHVVSGAMEGIYGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQSLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSSYGDSNEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEVQASQNKIIDEKSLIKKYQNEIRRLKEELEQLKMGIITGTPLKDAEDDNMILWKQKLEDGNVKLQSRLEQEEEAKSALLARIQRLTKLILVSTKATPTSRFSPHPGPRRRHSFGEEELAYLPYRRRDIMLDNESNELLTPGEGFGVTPEDSSKEEKKNRKGLLNWFKIRKRDGGASTLASSECDKSSLTKSTAPSTPIGESLNFPAEPRISTSLVNESESADMLSIGHGDFPSDGLTGEEASLASTKTIDHVDLLREQLKILSGEVALHTSVLKRLTEEAGRNPNSEKIQMKMKKISDEIKAKQQQISSLEKQMPHSLSNSQVKVDKLDLSPSYGELLEQLNEKSFELEVKVADNRVIQEQLQEKTTECMELQEAVACLKEHLSQALQAKDSLSNSIMMQNSSGANHEEQHSDQEKPVSRDISAEQLQKEQQSLELGELKHRVHELTEVKVQLEARNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLASELASLRSPTPRRVSNGPRGTARRESMSRRNEPASRRDGNAREERERALETILAEKEQKEAELQRKVEESKQKEAFLESELANMWVLVAKLKKSRGDDDHEDLEAKYNGS >SECCE7Rv1G0468210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95692293:95692514:1 gene:SECCE7Rv1G0468210 transcript:SECCE7Rv1G0468210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVVVAVLLVQCCNVVLAARLLEGDGGWLHGGVGAAGALIMQVLPGGSPGAGASNGCTNNPNHSPGGKCNC >SECCE7Rv1G0499930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:659486551:659487681:-1 gene:SECCE7Rv1G0499930 transcript:SECCE7Rv1G0499930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLLNVVVKNTSTSVHSVRRIDPYKHLFYESGGEPAASIANNAKDCAMQILSLPEPAVSFSPSPTTLPNMGGMDLFALMGGGEGRIVGANVAGESMLYDADERLFLHLPWINEPKGWNPVCLPIPIPGAAENSLYVMERYLGASMDAYGSSEDYTVSCFEVLEHGPRTGGLEVREMHRGWRWRVLPPPPFILEPDYQPSPVTSYTSMLNKTNRCSTIYMSCEDARAGTYCFDTSRNVEQWRHVGEWTLPFHGEAKYIPEFNLWFGFSADSHKHLCALDLSAMEEDKQPPPILQRFEDPNPPKEEDWCATSSELVYLGDGKFCVAKTMEGDDTTGEEVAVLTGIQILRSDGNGLRMIKHKSACYSFVEDVINWVL >SECCE4Rv1G0272940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:773268143:773275672:-1 gene:SECCE4Rv1G0272940 transcript:SECCE4Rv1G0272940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLAKKVASKRIDLDVIRDVDLNKLEPWYIQERCRIGTGPQNDWYLFSHKDKKYPSGTRTNRATAAGFWKATGRDKAIYSAAGAGRIGMRKTLVFYKGRAPHGHKSDWIMHEYRLDDAVAPATNTAFAAGDASTFYSGASASAPIRGVAGNQWLPTQEDGGDQAARRRCMARRKSNCSSTVTNDSDHTKADQMQQETKPTNPSSSSLDHLINSACQNGSSALYEKFMKLPPLEHVIPGGLLPPVTEYRGEWDALDQLAAYELNGLSVAGSAKTMNGMSSVDDFSSDTLHASSFAGAGEGDLWSLARSVSSLHADLMISCFNAVGC >SECCE1Rv1G0026640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:372911361:372912903:1 gene:SECCE1Rv1G0026640 transcript:SECCE1Rv1G0026640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRDAARAACLSRAFLHSWRYHPNLTLDWQTLCSKSKGGGGNFSRKIDSIIRNHSGIGLKRLELDIFDDDSTLPYIDSWLRFAVTPGIEELTLVLYKKYNFPCSLLSDGVRNSIRYLELRNCAFRPMAELGPLRSLTTLHLCSVRITGDEVGCFLSNSPALEQLKLYDCKEIIFLKIPCMLQRLRCLSVFSCWMLQLIECKAPNLSSIYASGENIKLSLSEALRMKDLCMCFPNVIGYALAELPSIMPNLETLELGSEDEVVNTPMPPTKFIHLKHLNIQITGSGDYFHLVSFLDASPSLETLFLDVAKEYVEHESVFGGPSLHWRQLPEDCHVCLKLKRVEIIGFSSAKSLVELTRCIVKKAVSLELLMLDTLHGGDRCCGENECRPIRKTVFDENKCRPISDTVFREASRAVMAIRRFIEDQVPATAKLSVLEPCTRCHSSHKLAAGDMVMEGGR >SECCE1Rv1G0036600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:510685884:510687394:-1 gene:SECCE1Rv1G0036600 transcript:SECCE1Rv1G0036600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALARRLLMVLLLAGTRVAAPPPPQVSPSEPTVVGITAIYNFGDSISDTGNLLREGDTGMLRYTTVLPYGVTIGRPTGRCSDGFLMIDFLAKDLGLPLLNPYLDRRADFTHGINFAVAGATALSTTALASRGVTVPHTNSSLGVQLGWFKEFMSSTTNSPREIRKKLASSLVMLGEIGGNDYNYVFLQPRRTNGRYESYDPISNATRSAESLAHALSLVPEVVRSIADAAREVLDMGATRMVIPGNFPIGCMPSYLSAATASSPASLHDGDGCLVSFNLLARAHNERLRRAIGELRRSYPDATVAYADYFAAYLEILRDAPRLGFEDGAALRRACCGAGGGEYNFDSNRLCGAPGTTVCAHPSGRPSWDGIHLTQHGYCVMTELLYRRGLACPLAVKLPRQKACPPVS >SECCE7Rv1G0476890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:212547030:212547842:-1 gene:SECCE7Rv1G0476890 transcript:SECCE7Rv1G0476890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSRSDAHLSPVDEAVRVDEVRGYYEGAAPKRHSKPSRSDHSAVYTDALAGPGVDGSHPELDKFQDLEAHTEKLVCEGGKAGEEFVETEYYKDLGCVGKQHHTTGTGFITVDRPAGASFELSEDPDATERHASSKGNPATNEWIPSADTVDQEASDKPNRSDS >SECCE4Rv1G0282620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829650730:829652100:1 gene:SECCE4Rv1G0282620 transcript:SECCE4Rv1G0282620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAARNTIAVTLVAWLLVLQLLLLAPAPAAARRVSPATTVNQAPNFLSRKPLRSGTNALVKKARRRNRNTDQLGSAAADDAGYIVLYNVSIGATQNDVSGVVDVLNDFVWTKQCAAAPVRVPCASQTCRSILANDTTDTCAGNPNGDDRCRYFYVYGPGINTTGFVANESVAVASGSIVGSALLGCSAANSTVPLDDEPGSFGFNRGSLSFVSQLSVNKFSYYLAADEAGNSDSESVVLLGDAAVPQTRRGGRSTPLLRGTAFPDVYYVKLTSIQVDGQALSAIPAGAFDLAADGSSGGVVMSTLASVTLLQEDAYKAVRQALASKITAQEVSGSAFAGGIFDLCYNAQSVAALTFPKLTLVFDGGDAPAIELTTVHYFFKDDVTGLQCLTMLPTPVGTPFGSVLGIMVQAGTNMIYDIGGETLTLEEGAAAPATSQVSLMAIASLLLAWVQLF >SECCE4Rv1G0261560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:702503433:702505745:1 gene:SECCE4Rv1G0261560 transcript:SECCE4Rv1G0261560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGCFQPPHAGSCDDMPLDFHVQQSAHYDLHPLDRSFEPVGGSIGPFAAFYPDYYPQGVTTKPVIGDASSIVHNSGRHLPLLTPKLEVSQLIGGGLGSYKAYEMSRRFFPRKKASSKALKRANVVKGQWTLEEDRKLVKLVEQFGLRKWSQIAQMLPGRVGKQCRERWHNHLRPNIKKDTWSEEEDMVLIQTHKEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRTRTSAKNPKSGTLLQNYIKSLGIGSSKVTAAPLAPKEPPLSPSSPAPPTQNLAQVNDSWSESNPSNTMITQGLFSTDDRQADSCEEILVPTCDDFSIDMCDGLFDTKEDAQYPVYRIDDDVDMDYIFNHMDYTVKIDHEIDMEMAWCDDALVSNESGSPPFATPGPADITVHVKEEMDLVELVTHAQNCS >SECCE5Rv1G0307660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:117453819:117455395:1 gene:SECCE5Rv1G0307660 transcript:SECCE5Rv1G0307660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGGEDVPAPRRRPLTVLPLVALIFYDVSGGPFGIEDSVRAGGGALLPLLGFLILPVLWSLPEALITAELASAFPTNAGYVAWVSAAFGPAVAFLVGFSKWASGTLDNALYPVLFLDYLRSSGLVLAPPLRSLAVLGLTAALTYLNYRGLHLVGLSALFLTAFSLSPFVALTVLAIPKIRPSRWLAVNPKAIDPRGYFNSMFWNLNYWDKASTLAGEVDEPRKTFPKAVFGAVALVVGAYLIPLLAGTGALPSETAAEWTDGFFSEIGQRIGGPWLRVWIQAAAAMSNMGLFEAEMSSDSFQLLGMAEMGMIPAIFARRSRHGTPTYSILCSATGVVVLSFMSFQEIIELLNFLYGLGMLVVFGAFVKLRFKDPDLPRPYRIPLGSVGAAVMCVPPVLLIGTVMCLATATTIAVNIIVLAVGVAMYFGVERLKGSGWVEFLTPVPSDSFHGSSSNDAAADLEDVRAVLLPADVHPAQEEEVASKAE >SECCE6Rv1G0413480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:623867118:623870051:1 gene:SECCE6Rv1G0413480 transcript:SECCE6Rv1G0413480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGEAKWRFGAANPAIQASGQQSLRALVTRVFDCVDRSDPRPVAPLGHGDPSAFACFRTAAAAEEAVSAAVLSGKHNRYSSAGGVLEARSAVAAYLSRELPYELSTADVVVTAGCNHAIEIMMAVLASPGANVLLPRPGYPMYGARSALSGLEFRHFDLLPEKEWEVDLDGVEALADENTVAMVIVNPNNPCGCVYSYDHLAKIAETARKLGILVISDEVYDHCAFGSKPFVPMGVFGGIAPVVTIGGISKRWMVPGWRLGWIAATDPKGVLRDKNVLESIMSYCAISVDAVTFVQGALPQIIANTDKAFFENAMDVMREAAEICYRKIDGIECITCPHKPEGSMFVMVKLDLSCLDGIADDVDFSTQVAREESVVICPGSGLGMKNWLRITFAVDPGLLEDGMERVKSFCQRHGKAKELK >SECCE5Rv1G0337270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:590362626:590367140:1 gene:SECCE5Rv1G0337270 transcript:SECCE5Rv1G0337270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPGSWDLAELEHDGVPAMAAPAAAGMAAAAARGPPGRAECSVDLKLGGLGEFGPAADGAMKQPPVATAAAANGPAASLSASASNAAAVVPSASPLKRPRPGAGAGAGHCPSCAVDGCKADLSKCRDYHRRHKVCEAHSKTPLVVVAGREMRFCQQCSRFHLLAEFDEAKRSCRKRLDGHNRRRRKPQVDSMNSGSFMTTQQATRFASFSAPRPEPSWSGIIKSEDSNPYYASHQINFAGSSSSYSKEGRRFPFLHEGDQMSFSTGAPALEIPVCQPLLKAVAPPPPPPPESSSSNKMFSDGQLTHVLDSDCALSLLSSPANSSSVDVSRMVRPSEHIPSVPNLQQFGSSSWFACSQASSAATGFAAFPGGMDGEQLNAGGALVPSSNDNDMNCHGIFHVGADGSSEGTSPSLPFSWQ >SECCE5Rv1G0363480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:793593520:793594443:-1 gene:SECCE5Rv1G0363480 transcript:SECCE5Rv1G0363480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWRIKHESLALRPNLARRGVTVQDSKCLFCGRADEEGAHLFVKCKSVKEVWRELALEKERMELERLASVHAMLDFLWGLEEQKRVLILTSWWFWWANRNKLREGELPDDAAVVARRAQSCTLEYLQVFRPSGSVKVWERWKPPPGEQVKINVDGSHIPGETHSGWGAVARDSTGAIICARAGKQVNVLDAFAAEVYAMAEAVSLAADLGLLRVTFETDSQLLAEALDVRKVDSSAFSAVIEDIKLQLKLWFSSHEIMYCSRRANSVADELAKLGRLCDVNHSLEWDSDVPANVADRARGDLLKHK >SECCE3Rv1G0181610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:616141006:616141734:-1 gene:SECCE3Rv1G0181610 transcript:SECCE3Rv1G0181610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPDAGEWSAAARLRLAWRVVRAAELLALVVVLSRSFPRLPYAASAASSALRLAASLLLHPRSIFVIANAIVFLLYVFSRRDPSSYSSASYQDAQDQFLSFTATPLLSPSTTEAPALAPETDALFEDKQAVHVTVRAPRRSRSEKIGGGKHGGRRRAGSPDMRRSDSENGRRRRSTSSAAPEECGAEDEKEEFRRAVEAFIAKQQTRFHREESFVFVSGAVAGAGDDAQAITIAMSPVK >SECCE2Rv1G0119360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:790522558:790529805:1 gene:SECCE2Rv1G0119360 transcript:SECCE2Rv1G0119360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLARTLTLGRPAARRSSLQPHRALSDKVELIEIDLSEESASSTSSGDSPEEPLGMGRLNDAIRSVIVRRAAPEWLPFVPGGSYWVPPMRRQLGVSDLVGTVVYGARAAVDTAEMARATMVKAAMTKEEAMCFTTQRGWPSEAYFVQGKVWHPVKKSRKNAKTDDEES >SECCE4Rv1G0241950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:464798355:464799971:-1 gene:SECCE4Rv1G0241950 transcript:SECCE4Rv1G0241950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYHSSLPLSPPSSSCHGVLSLSPRTAAPFVCLCRAPPQDDHDAELLNALQSNGDGSLLREQHPASAKVLESGSAELGDGGGRRRSSQAQLRARDCARRIMSLPMEERVKVLDLLQRDDAALTISDYNDIISALARGGDYDSAVALFRALEPNGVVAPDAHSFAIAVQCFCRKGAPDEAKETLDEMVARGYLPSVAAFSAVVGCLCKRGRVTRAMEVFDTMRAVGSEPTIRTYNSLIGGLCYVGRLEEARDLLNKLKDSPKQTADIYTFTIVLDGFCKVGRTEDAMAIFEDAIRMGLSPTIFTYNALLNGHCKEGNLLKAYDLLMEMCDHDDCPPDNISFSIVLPALLRAGEISAAWKTFKRMEHAGFEADSRALDTLARGLCRQCAADISVLRDAKEVFRKVVAAGHEPVSYTYCLMAQALARGGEVDAAVAILDDMVRKGYALRKRAYTDVVRALCDRSRTHDALRVLAAVITKDFVPGRNAFDALLAELSRQGRWPDAMAVYAAAVKRGVVVSLKRHVKEALARESPAQLGVP >SECCE2Rv1G0125590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:841910947:841915171:-1 gene:SECCE2Rv1G0125590 transcript:SECCE2Rv1G0125590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRTAALAASNKAPARPHQSHLDAAESWTSSAGELTLPNGDLYSGTLSGGVPEGTGRYVWSGSGCVYEGGWRRGMRHGNGRTEWPSGAVYQGEYSGGFMDGEGTHVAAGSSPSSSYKGQWKLDRKHGLGLQAHANGDVYQGSWVQGQMEGQGRYTWANGNSYVGTMRNGLMSGKGVLTWSTGDSFQGNWVAGVAHGYGLYTWEGGGCYLGTWSRGLKDGKGTFYPERRRVPAAHLDDLRKRGVLPDVSFGTGADPEPGAAEGSLSRRSSGLGRPPLKKRPTLQRRRSIGAAIGVAVAVVTGGPAGDEETLKCEDTAGSSSPILEREYAQGVLISEVVLDKSLPDSPSSKKPRLRRSRKVKDAKRPGETIIKGHRSYDLMLCLQLGIRYTVGRITPIQEREVRASDFGPRANFWMNFPKNGSPLTPSHRALDFKWKDYCPMVFRNLREMFKIDTADYMISISGSDALRELSSPGKSGSIFFSSQDDQFMIKTLRKSEVKVLLRMLPYYYRHVHTYENTLVTKFFGLHRVRPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTEKIKIDENTTLKDLDLNYSFYLEPSWRDALLKQIEIDSEFLRNHSIMDYSLLLGFHYRARRNLQIGSSCPESILPDKLTILSEADAAEEDSAYNYRGGLILVQRGSDKNGSDAVGPHVRGSRLRAPSGCFEEVDLLIPGTGRLPIQLGVNMPARAEKDDKQEEGSKSLHHMYDVVLYIGIIDILQKYNMTKKIEHAYKSVKYKYNPLSISAVEPQFYSERFLKFVGTAFPQNSSNQ >SECCE1Rv1G0015850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:130498973:130510789:-1 gene:SECCE1Rv1G0015850 transcript:SECCE1Rv1G0015850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAVEAGGRSGVARAVKGYADAVAHHAGQAVSDILLDRGGTQSFKSFKKTVMRLEEAAVSCHGGERIELLRRWLGALQDIESELASSDMKDSDVHDSAGELDPSKPPLTLFVDPDIEGAPMNFRDVFLYSQALEDITQSMILEAPSEEEVSLLLEIYGLCLTGGKEVNKAIMNNVQDLAKAFSNYKDEVLVKREELLEYTRNVISGLKRNADIMRIDAETLELWRKLDGKEKSRSQSTEGQDKASEKIAVANIEALKEALTEVRFCSRVEELLLKKKSIAPGDSMEIHSQKVDKLKVLSDSLSTSSSKAEQRIMDHRRQKEDALNFRVKKENEVNAAEKGLIAEITELEKQRDDLEAQLKKVNISINAAAVRLKTTREERDQFDEANNQIIFSLKTKEDDLSKSIATCNVEANVVKTWINFLEDTWQLQSSYNEQKEKKTSDELERCVRDFLKLTKHHLSAFKEVLSPSIESIQTYVDNLAALNSREETREHEDDEASEKANPRKSLEEEYLETEKKIIIAFSIADHIKKLFYSEHGANSRRDDPEVKSLIDEIEKLREAFESIERPTLSIEDHKSKPLPEERSELSPSPIQAPVTPKAAHVDSPKSPMKPEQQQQQLDPDSEFANLGADFGKDGKDYSAEEISGWEFDELEEES >SECCE7Rv1G0463430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:53232426:53234003:1 gene:SECCE7Rv1G0463430 transcript:SECCE7Rv1G0463430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQLNVLKALDAAKTQLYHFKAVVIAGMGFFTDAYDLFCIALVTKLLGRIYYTDPALNEPGHLPANVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHEAKGVMGTLCFFRFWLGFGVGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGFGILFGTIVTIIVSSAFRHAFPAPPFYIDAAASIGPEADYVWRIIVMFGTIPAALTYYWRMKMPETARYTALIAGNTKQATSDMSKVLNKEISEESAQGERATGDTWGLFSRQFMKRHGVHLLATTSTWFLLDVAFYSQNLFQKDIFTKIGWIPPAKTMNALEELYRIARAQALIALCGTVPGYWFTVAFIDIIGRFWIQLMGFTMMTIFMLAIAIPYDYLVKPGHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAATGKAGAIIGAFGFLYASQDQKKPETGYSRGIGMRNALFVLAGTNFLGLLFSLLVPESKGKSLEELSKENVGDDDAIAPSGV >SECCE1Rv1G0058440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:700058822:700063465:1 gene:SECCE1Rv1G0058440 transcript:SECCE1Rv1G0058440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRGVALALLAAVLLQTLLPASQAEGLVRIALKKRPIDRNSRVPMSLSDREEPLLGGAANTLRSEEEGDIVSLKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACYLHARYKAGASSTYKKNGKPAAIQYGTGSIAGYFSEDSVTVGDLVVKDQEFIEATKEPGVTFLVAKFDGILGLGFKEISVGKAVPVWYNMVEQGLISDPVFSFWLNRHADEGEGGEIIFGGMDPKHYVGEHTYVPVTQKGYWQFDMGDVLVGGKSTGFCAGGCAAIADSGTSLLAGPTAIITEINEQIGAAGVVSQECKTIVSQYGQQILDLLLSETQPKKICSQVGLCTFDGTRGVSAGIRSVVDDEPVKSNGLRTDPMCSACEMAVVWMQNQLAQNKTQDLILDYVNQLCNRLPSPMGESAVDCASLGSMPDIEFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGPYHTVFDYGKLRVGFAKAA >SECCE5Rv1G0373380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:857940338:857940709:-1 gene:SECCE5Rv1G0373380 transcript:SECCE5Rv1G0373380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLTGRQEELCLELSLRPMAPEPHVGFFLCVYCDRKFVTSQALGGHQNAHKYEHSIAKRRRQDAAAQQGAPAAAPDERLPCYRGRFVSPAGTAAPSVKAHKHGRSWSEYGGAVVDVDLSLRL >SECCE5Rv1G0309760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:159179490:159183580:-1 gene:SECCE5Rv1G0309760 transcript:SECCE5Rv1G0309760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDAFERLQAESRLRDALMRLEEAEETDDDEERGADEEELECPFCGEEFDGVGLCLHIEDEHSVETKAGVCPICTDRVGMDLVGHMTSQHPSFFKGRWRNQRVSSGSPSSMYSALKKDAAHIQYRYGGSSRATSLNTVPDPLLSSFVGSFIDDDVDLPKDAQEELLEKVIEKSDVLEQKSEESAEEPLLPEVKEERTRRSQFVQGLVLSLMFDEIL >SECCE6Rv1G0419610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:671443463:671444632:1 gene:SECCE6Rv1G0419610 transcript:SECCE6Rv1G0419610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEGDVATSPSLSSTGSSGTGAGNDNHGGGGGGEVRIYACFAHGASNSLECYEPGANTWRRVGGLPGVPHGHVLKGFAVVAVAESVYVIGGRLCHREAAPGGDYLDTDVGVRADVLRYDVRRGEWRCCAPLLVPRVDFACAPCRGRICVAGGLCSLSGARGTAAAEVYDPETGRWSPLPDMSTLRYKCVGVTWQGGFHVVGGFAESTAPAAAPGEAQSSALERSSAEVFNCGRGVWEIIPGMWQLDVPPNQIVAVAGRLFSSGDCLNSWKGHVEVYDGELNIWSVMDHSALSDLALLASNLPPSAQQLYLTMAVVGTRLFFLAGYEIAGDDDESFRTVSLVHSYDTSAAPGLAPAWSSFQPKMDHDTNVEDGSKELFSQCCSVQLSS >SECCE5Rv1G0356040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:735915853:735927107:-1 gene:SECCE5Rv1G0356040 transcript:SECCE5Rv1G0356040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRSYLGRLLLEDVTPVVMVLTTPLAEAACRKSGLSFVDMLSPFSLLKKIDVPVRTASDQPYRLQQFKIRMVYASDVRKQDCEVADARIKLVVSEANENALPDLLSDPPQLEDVLKKPEAELCPLWIKRFNRELVRTLSFSDHETFDHPVACLLVVSSKDKEPISKFADLFNANQLPPLLNEGIMDPQILKHYLVLHEQQDGPQEIAVNILAEMRTTLGVNDCKLLSINSSTQADGSDADNSWSTYKAHGLHNHEGTCFLNTDDLNEIKDFMQDFASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAANGPMYTFTSIESQIRVLSDYAFMLRDYELALSNYRLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMENAFTTYLRIGSSGQRNATRCGLWWAEMLKTRGQHREASTVYFRISNEEPSLHSAVLLEQAACCYLLSSPRMLRKYGFHLILAGNSYYLSDQKQHAVRAYRNALFVYKQNPWSYINNHVHFNVGRWYGVLGIFDVAIKHLLEVIACSHQSLATQSMFLNDFFHFVQSTGEKFDVYKLQLPVFNMSSLRVVNEDHRTYASNADVDVNESIWQELEEELIPSSSVVRTNWLDTQPKSSPFRNNKACVCVAGEAVKLNVELKNPLQISVNVSGISLICQLSTSLNASETGALTTAAEEDIANTKPSISTFESDGNNFTVSKLDIVLGGGETKRIQLEVTPKVIGILKLVGIRWTLSDSVVGYQYFEVATQKKNKKGKRGARRSLNTNLIVIKGLPKLTGYIECLPTKAFTGDLQLLTLNLRNQSEHAVKNIKMKISHPRFVIPGDSSDLDLEFPQCLRKHVQSDSNTVSEGTKENVKGSLFAFPQGIKIQGGATFSWPIWFHAATPGNFSLYLSLYYEMESTTDIPYRTLRMHYNVEVLPSLDVSFAISMCSSRLQEYIVRMDVINKTPSDSFALNQLSCVGTKWAVSTLPSRDSISFVETIPANQAVSCFFKIKDLSTGSCIEPADGSCGSDIVLSPGGSTDVFDVSRTPITDFHYQERHQQGKLAKVPRGLLDFILISKAVAVNSSKSEQLLSHHTCHCSALSQNPVWWLMEGPRTITHDFSKSCCEANIQLVIHNSSEHNTTVRVVTSDCMAEKSQIAPSHESASGQGGWYDVSLENDIKAIASTKGTHSQKQSSESISPFVWCSLSSAQVDLKPDSSAKIPLKVCIFAPGTYDFSTYELHWKVHSSESGHVDENVTSGGGQGYPFYVNVLQGA >SECCE2Rv1G0139930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929386267:929386626:1 gene:SECCE2Rv1G0139930 transcript:SECCE2Rv1G0139930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSASVLALTIMMVLVAGARCSTSGKVGFALTKGSIPTNLIVAAKSFGDNADDFSKMQVKGQGSDKWIDMSNAGSSGKGNSVWKAVSSTPLKAPLAIRYQTKQGTTVVNDDAIHSF >SECCE5Rv1G0365330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:806159192:806160030:-1 gene:SECCE5Rv1G0365330 transcript:SECCE5Rv1G0365330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQASAARNDLEHMLSHENELPKALPLSLLEDITDGFSKNHQIGSGGFAVVYKGMLENGTVAVKKLLESLDIPDNKFSEEIRCLMRVKHKNIVRFLGYCANIQGQMENYNGDFVMADIRQRLLCFEYVPKGSLHEYIKDTPSRLDWIKSYKIIKGICEGLHYLHQNCIVHLDLKPANILLDDNMTPKIADFGLSRCFGENQSQAITENMAGTL >SECCE2Rv1G0140700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932373061:932374461:1 gene:SECCE2Rv1G0140700 transcript:SECCE2Rv1G0140700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGLAGRALLRPLSSPGAPRLLSTDKGPLQGLANAGDPMSRLIMQARNQTDDGLPRHFADNGFTASGGRMGGNGFTAGDGRMGGNGFTDSDGRMGGNGFTASDSRMGGIGFTAGGGRMGGNGFTAGDSRMGGIGFTAGGGRMGGNGFTAGGGRSGRFNMEMLRPAGAPRVKRDVLHVTLKGKKTFVTVTDVKGNRKAGASAGCLEDRKGRSRLARYAGEATGEHMGRVASKIGLKSVVVKVKGYSFFRKKKKVIMGFADGFRGERVRTPSPIMYVHDVTQLAHNGCRLPKKVRK >SECCE3Rv1G0203190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:881385542:881388599:-1 gene:SECCE3Rv1G0203190 transcript:SECCE3Rv1G0203190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSSWITALKKAFTTNPKDKPTNVQLVAQYPQQQPAQPQQYRGSARDKKRWGFARSRQHADPAPLMSIPLYRQPSSIEKILGDAEMERQYYGRPPAQYQITPAKPTTATVAATAPTTPAAREREREWGRDRERERERDAGGDKQRQQPQHAAVLQLPAPPSSPPPLIRRFDHDREQQQRLHRLQQGRPEMAEWRQPQQQHTRTRARQRAVAPEQARAAAVAIQAAFRGYRARRSYRSLRGLIRLQAVVRGPSVRRQTAHAMRCMQTLVRVQAQVRASRVEAMERRNDRHGAMLRDGRRWRSGSQDGGMWDDSLLSRDEADARTKRKAEAVMKRERALAYSYSHQVMKSTPMAAHAILADLQSGRNPWWWSPIDRSSHEREYPRHVEPAVSRPRPTLAVARREMSMSMMTPMSTAGHREMNMNTMTPVSTTTAHRQMSMATPMTTAANTPARSVVSAYYKQPGKAARGARGAAPPSSHGGGGSVRDDDSLTSCPAFGVPNYMTPTMSASAKARARAHVLQQQLDKERRAAEQKPRFSFGLGQSIGSWAKSPFWRGGGEQSAAPSRVGTPAASTAGGGGRHKHRRSVSGLSVDSTVSMPAGVGRRSFK >SECCE6Rv1G0391300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:216030870:216032813:-1 gene:SECCE6Rv1G0391300 transcript:SECCE6Rv1G0391300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEGRKGIPSLLSSQGECIATNITQLIGWTPLIELINITEKDGIGARLIGKIETYQHLSSVKDWSALSHRLIQDAEEKGLITPGTTMLLGVTSGNHGINTDAIPWRTSGINVYVLDQFTNPANPDAHFRWTGPEIWKDTAGKVDIFIAASGSGGTITGMGRYLKMKNPSVKLICVEPAESPVITSLKNPGLQIETLANTRAEPGSDETRWVGVAAPAVGATDGFASREENRGKMTVTMFSSGAERYLNSELFAHVNEECVNINMTF >SECCE6Rv1G0441290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810046406:810048407:-1 gene:SECCE6Rv1G0441290 transcript:SECCE6Rv1G0441290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADAARNIVGIIGNVISFGLFLSPTPTFWRICKAKDVEEFKPDPYLATLLNCMLWVFYGLPIVHPNSILVVTINGFGLIIEGIYLIIFFICSTNNKRLKMLAVLGAEAAFMVAVVVGVLLGAHTHEKRSMIVGILCVIFGSIMYASPLTIVGKVIRTKSVEYMPFFLSLVNFLNGCCWTAYALIKFDLYVTIPNCLGAFFGLMQLILYACYYKSTPKKEKNVELPTAINNSTGGGGNVSVTVER >SECCEUnv1G0566850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:408573711:408576131:-1 gene:SECCEUnv1G0566850 transcript:SECCEUnv1G0566850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAMAATRGGAYIFTTLLLLMIPVALAKGHTNGGSYLTRGTSVSIEDGTKAATTITILASPNGAFACGFYRAATNAYTFSIWFSGSSGKTVAWTANRDAPVNGRGSRLAFRKDGALALLDYNGAAVWSTNTTATRARRAELLDSGDLVIMDADGRRLWGSFDSPTDTLLPSQPMTRHTKLVSASARGLLSSGLYTFYFDNDNQLKLTYNGPEVSSVYWPDPFTTPLVNHRTTYNSSQYGVLEQTGRFAASDNFKFAASDLGDKVMRRLTLDYDGNLRLYSLNATTGGWSVSWMVFRGVCDIHGLCGKNSLCKYIPKLECSCLRGFEVVDASDWSKGCRRKANIRTSRDFSFRKVARADFIGYDLLYWERVTIRNCKYLCLDNLNCQAFAYRQGEGKCFTKVYLFNGKNFPNPHTDIYLKVPRGVLSSSELASTVTHACKVHQKEANTSSLMFQDGSSDFKFGYFLSSALTLLFIEVVLIIAGCWVAHKWERRPEIIDEGYTIISSQFRIFSYRELQMATNCFQEELGSGGSGAVYKGVLDDERKVAVKKLNDVIQGEQEFRSELSVIGRIYHMNLVRIWGFSVEKTHKLLVSEFIENGSLATVLFDQQSNSPVLQWGQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDRDFEPKIADFGLMKLQQRGSSAQMLSKVHGTRGYIAPEWALNLPINGKADVYSYGVVLLELVKGVRLSSWVVEGEEEVEMAGVCSIEILKEKLAGEDQSWLLEFVDHRLDGEFNRSEAIIMLKIAILCVQEERSRRPSMNHVVETLLSLVE >SECCE4Rv1G0244880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:522210559:522212562:-1 gene:SECCE4Rv1G0244880 transcript:SECCE4Rv1G0244880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVASSVPGLRCALNPAPNQHRKGARVSLASKRHPCAVTCGRLLLRPNARVCTAASASASAVMDSGAGDSGVRRKRLAVFVSGGGSNFRSIHVAALGGKVNGDVVALVTDKPGCGGAEYAMCNGIPVVVFPKSKSAAEGVSTDELLNVLRDLKVDFILLAGYLKLIPGELVQAFPRSMLNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEQFDTGKTLAQRVVPVLANDTPEQLAARVLHEEHQVYVEAVAALCEDRIVWRDDGVPLIRSQINPNAYT >SECCE3Rv1G0159040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:93671709:93672017:1 gene:SECCE3Rv1G0159040 transcript:SECCE3Rv1G0159040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDVPVPKGEEPKSAVEIVEEVLKTEVKQSTFLRNVGLQSSRNNSGKATAEVAAHVRDLEQKLERSELQAEVMQEELVAIKMKAEECEAARDKELELLR >SECCE5Rv1G0336050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:581829858:581831067:1 gene:SECCE5Rv1G0336050 transcript:SECCE5Rv1G0336050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPRMMRAVQYDRYGGGAQGLKHVEVPIPSPKKGELLLRMEAASINRVDWKFQNGKARPFLPGKFPFTPVCELAGEVVELGAGVSGFRQGDKVIAVNFPGGGGLAEYAVVSASQAALRPPEVSAVEGACLPIAAFTALAALRTAGVGLDAGDGPLKNVLVTAASGGVGTFAVQLASLAGHHHVTATCGARNLDLVRSLGADEALDYGTPEGAALRGPSGRKHDAVVHCGEGFPWSAFKPALADAGSVVVDLTPRLASVAVAVLHWVLFSRKKLAPLIASAKEEDMEVLLGMVSQGKLRVVIDSRYPLSRAHEGWAKSMSGHATGKVVVDMAVADDTE >SECCE1Rv1G0035450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:498808127:498811294:1 gene:SECCE1Rv1G0035450 transcript:SECCE1Rv1G0035450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQRPHQKPLGADSLPVSTGPANAAPSRPLPLLTLPYLFSLLALLLLLALLFPWGPPRHSAPASPWRSYTLQDAAAFAAASGNGTIILAAVSGPYLPFLSNWLISVRRAGRANQVLVIAEDYETLERINAAWPGHAVLVPPAPDAQAAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYLVGNHDVYFMDDMTEVKPLNHSHALPPPGKKGRPYICSCMIFLQPTEGAKLLMRKWIEELKEQPWSKKAKSNDQPAFNWALLKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHKLWLVDEHSDESPLGKI >SECCE2Rv1G0101070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:552872387:552874171:1 gene:SECCE2Rv1G0101070 transcript:SECCE2Rv1G0101070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 6 [Source:Projected from Arabidopsis thaliana (AT3G01990) UniProtKB/TrEMBL;Acc:A0A1I9LSD7] MALTDDHDEYAKLVRGMNPPRVVIDNDASDDATVIRVDSVNSHGTLLAVVQVIADLNLVIRKAYFSSDGSWFMDVFNVTDRDGNKVLDTPTISYIQTTLEAEDCYYPEVRNTVGIVPSEEYTSIELTGTDRPGLLSEVCAVLAGMQCAVRSAELWTHNTRVAAVVQVTDAAKATGGAIKDEARIADISRRLDNLLRGQSGVRAAAAASLTHKERRLHQMMFEDRDYGAAERPDPRTEVSVTHCAERGYTVVVVRCRDRPKLLFDTVCTITDMQYVVHHGTVSSEPGGGAYQEYYIRHVDGHPVISEAERRRVVQCLEAAVERRTADGLELEVRTDDRAGLLSDVTRIFRENGLTIRRAEISSEDGEAVDTFYLSDPQGHPVEAKTIEAIRAQIGEATLRVKNNPLVDDGGSSSEVAAGSTAFLFGNLFKFYRPFQNFGLIKLY >SECCE6Rv1G0441280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810033711:810035697:-1 gene:SECCE6Rv1G0441280 transcript:SECCE6Rv1G0441280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAACRRAVSYTLRGPLAESLAATGAAAATGDQFPAPAPPAKTRTENNSPTFATSGDPCLDFFFHVVPDTPAETVSSLLANAWTADPTTALRLTCNLRGVRGTGKSDREGFYAAALSDREGFYAAALWMHGSHTATLALNARPVAEFGYLKDLPEILHRIIHGGVSTTARTPKKPWSEDSEVSMYGGTSEARIAASLKRDQEEAAQAAVERRKKRADAAARAVERYTRDPKYRLLHDMTADVFADLLAEDMKKLSDGNIDLSLAGKWCPSIDSCYDRSTLICEGEAIARRLFPKGSAPDLPEDLPDTYYAYRVRERLRKEAYVPLRHALKLPEIFISARAWGEVVYTRVASVAMKNYKDLFLEHDEDRFNRYLADVKSGKEKIAAGALLPHQILKSAYDKDEVADLQWKRMVEDLLALGKLNNCLAVCDVSASMHGLPMDVCVALGLLLSELCDEPWRHHVITFSEQPQLHHIMQWDMNTDFQAVFDQLLRVAVAGKVPPERMVKKVFVFSDMEFDTASSRPWETDYEAITRKYSEAGYGDAVPQIKGVALVSGFSKNMVKLFLEGEYILSPRAVMEKAIAGPEYQKLVVFD >SECCE5Rv1G0339360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607180239:607182340:1 gene:SECCE5Rv1G0339360 transcript:SECCE5Rv1G0339360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAEVSNKRVILKRHVTGFPTEYALELVPATARLAVPPGSAAVVVKNLYLSCDPYMRSRMSRHDEPSYVPDFVQGEVLTTLGVSKVVESGHQDYKPGDLVWGMTGCEEYTLVTNLESHFKINHPELPLSYYTGVLGMPGFTAYAGFFEVAKPKKGDYVFVSAASGAVGQLVGQLAKITGCHVVGSAGSDEKVNLLKTKFGFDDAFNYKKEQDLTATLKRYFPEGIDIYFENVGGAMLDAVLLNMRLHGRVAVCGMISQYNLEQPEGVRNLFCIVAKRIRMEGFMVTEYYGNYRKFEEEMAGYLKEGKITYVEEVAEGIESFPSALIGLFYGRNIGKQLVVVARE >SECCE2Rv1G0131570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:885295898:885301898:1 gene:SECCE2Rv1G0131570 transcript:SECCE2Rv1G0131570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAADGKAANGSAGCDAAAAGAGQGKKRADQAVAFHELFSFADRWDLALMSLGTLGAVAHGAAMPCFFLLFGDLINGFGKNQTDLRAMTDEVAKYALYFVYLGLVVCVASYAEIACWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFMHYLATFFAGLVVGFVSAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQSDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEVIRQKPSIVHDHKDGKLLAEVHGNIEFKDVTFSYPSRPDAMIFRDFSLFFPAGKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTIIENILYGKPDATITEVEAAATASNAHSFISLLPNGYNTMVGERGIQLSGGQKQRIAIARAMLKDPKILLLDEATSALDADSENIVQEALDRLMVGRTTVIVAHRLCTIRNVNMIAVLQQGQVIETGTHDELLAKGTSGAYASLIRFQESARNRDLGAASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISSADNSLKYPAPRGYFFKLLKLNGPEWPYAVLGAIGSVLSGFIGPTFAIVMGEMLDVFYYQDPVDMEKKTKLYVFIYIGTGIYAVVAYLVQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAARVAVDAADVKSAIAERISVILQNITSLMTSFIVGFIIEWRVAILILATFPLLVLANFAQQLSMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQNKVMSLFSHELRIPEEQILRRSQTAGILYGLSQLCLYCSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGESIRSIFGILNRATRIEPDDPEAERVTTVRGDIELRHVDFSYPSRPDIEIFKDFNLKIQAGRSQALVGASGSGKSTVIALIERFYDPTGGKVMIDGKDIRRLNLKSLRRRIGLVQQEPALFASSILENIAYGKEGATEEEVVEAAKTANVHAFVSQLPDGYRTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESESVLQEALERLMKGRTTVLVAHRLSTIRGVDRIAVVQDGRVVEHGGHSELVARPEGAYSRLLQLQNHRN >SECCE5Rv1G0367670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:823104927:823106362:1 gene:SECCE5Rv1G0367670 transcript:SECCE5Rv1G0367670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVCLGASPSILLLPLLLLLFSLPSLASACDRCTRHSKAAYYTSSLTLAAGSCGYGAAAASFNDGLLAAAGPALYRGGVGCGACFQVRCKDRKLCSGAGARVVVTDRARMRTNRTDLVLSSPAFAAMARPGMAARLTKLRAVDVEYKRVPCEYRGKNLSVRVEERSRAPSELAVRFLYQGGQTDIVAVDVAKVGSSSWKFMAREHGPAWSTRQAPAGPLQFRVVVTGGYDGKWVWADREVLPSRWRAGEVYDTGVQITDVAQEGCFPCDTQEWR >SECCE7Rv1G0460260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:30711279:30712303:-1 gene:SECCE7Rv1G0460260 transcript:SECCE7Rv1G0460260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIVYSALNPSVISGLLNSIEPLNGTNFPTWKEQISINLGVMDLDYALREKAPVPLSSDDENLAKKTKVYEANKEKWERSNRLSLMIMKSSTTLGIRGEIPDSECAKTYLAMVDEQFKGSTKVYASTLIMKMLTTKYDGKSGVREHIMTMNDMAAKLKGMDMEISKGFLVHFIMTSLPMKYGPFKINYNTHKEKWTMSELTCMCVQEEEGLKVERIDYAHLTSINSGKRKSQGDGKPKKKTNISNIDASKLGTSGTKVIPTEPKGPKCRFCQEDGHVMRECDGFKAWLAKKGIPFREDTQKDESKS >SECCE4Rv1G0243020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:493830284:493830919:-1 gene:SECCE4Rv1G0243020 transcript:SECCE4Rv1G0243020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKKDLLRRLTIISIPFVFVAIPSIVIFVGMLSPHAAEPKVAVASPEQNHTVGMLSTMTGGQMILSCRAAFAGNWEYFHYFILDPYKPQHAFFQPQTDHYAILCKWGYMGNFLQDVVVFNSSEPYARLCTVNAGGCRYLFQDGHMFLVTGRHATKAAPWQRREKKLVGDVLLRDCKHILGIFPTMCHYTKHDHQYVGKIIGRWSWWFNY >SECCE2Rv1G0084640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:177152702:177153169:-1 gene:SECCE2Rv1G0084640 transcript:SECCE2Rv1G0084640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSAIGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEVIRAWMAAQDQPHENLIFPEEVLPRGNAL >SECCE5Rv1G0350890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:694102859:694103351:-1 gene:SECCE5Rv1G0350890 transcript:SECCE5Rv1G0350890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSHATSRMQSRAHVDIPVFVCPRCRAGVDRRVSHTPRNQNHPFYVCSENWVACFFLWVDALAKTLMNELQEKHEEWLHMLPRMAVAATRTSEEEMEGKARTDRELAVELRMLKKKVRKLEDQAQIPIPICNYF >SECCE1Rv1G0030670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:430653792:430656385:1 gene:SECCE1Rv1G0030670 transcript:SECCE1Rv1G0030670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGIARGRLAEERKAWRKNHPHGFVAKPETVADGSVNLMIWNCTIPGKQGTDWESGYYPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPAEYKRRIRLQAKQYPALV >SECCE2Rv1G0125720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:842993920:842996630:1 gene:SECCE2Rv1G0125720 transcript:SECCE2Rv1G0125720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CutA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33740) UniProtKB/Swiss-Prot;Acc:P93009] MPLFPTPLRALFSPATAASSTSTLPPPRRPPLAGALLFLSLGAVAGCALSTRRLPLLRAISSARMESTSTTVPSIVVYVTVPNREAGKKLSASIISEKLAACVNIVPGIESVYWWEGKVQTDAEELLIIKTRESLLNALTEHVKANHEYDVPEVIALPISGGNLKYLEWLKNSTREK >SECCE6Rv1G0387060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:105095922:105096332:-1 gene:SECCE6Rv1G0387060 transcript:SECCE6Rv1G0387060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE5Rv1G0339650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:609468847:609469200:-1 gene:SECCE5Rv1G0339650 transcript:SECCE5Rv1G0339650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIFPFFCSAIRRRRPRPPDRYERLAVPAGAAGGLGREHESLAGGPHYQYSQSCRFPVRRPGADELGLGHDDDRPPPEDLSGELSPASGGRDGGRGLSRSRRFGSMRMLASCIGGP >SECCE4Rv1G0275830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:795714068:795714702:-1 gene:SECCE4Rv1G0275830 transcript:SECCE4Rv1G0275830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALAAAGRTRTTPLLASCRNGASMNHSSATTRREEEQYEDVRAAAAAMTGAQVEAALNRKNVEVLQGEEEHIATVLPDDTIGGSLDGGEEDAAWVPDQDTGVFGPAHVDTDGMGAHPAAPHLFGGATATAATATPGGSASVLDQAVFVREEDMEDVEKPAAVDIANANADVNASIKNY >SECCE1Rv1G0012660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:95351766:95356266:1 gene:SECCE1Rv1G0012660 transcript:SECCE1Rv1G0012660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAVDNLNPKVLKCEYAVRGEIVIHAQRLQEQLKTQPGSLPFDEILYCNIGNPQSLGQQPVTFFREVLALCDHPDLLQKEEIKTLFSSDSISRAKQILAMIPGRATGAYSHSQGIKGLRDAIASGIASRDGFPANADDIFLTDGASPGVHLMMQLLIRNEKDGILVPIPQYPLYSASIALHGGSLVPYYLNESTGWGLEISDVKKQLEDARSRGIDVRALVVINPGNPTGQVLAEENQYDIVKFCKNEGLVLLADEVYQENIYVDNKKFHSFKKIVRSLGYGEEDLPLVSYQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKIASVNLCSNITGQILASLVMNPPKASDESYASYKAEKDGILASLARRAKALEAAFSKLEGITCNKAEGAMYLFPQICLPQKAIEAAKAANKAPDAFYALRLLESTGIVVVPGSGFGQVPGTWHFRCTILPQEEKIPAIISRFTVFHEAFMAEYRD >SECCE1Rv1G0043850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:596719887:596720345:-1 gene:SECCE1Rv1G0043850 transcript:SECCE1Rv1G0043850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLTREQADECKEVFDLFDGDEDGRIAAGDLVTALRSLGQNVDEAEARGFLEDAGAGGAGAGAVDLPTFLAVAARKANAGVSAKGLAQCLDAFDDDGSGVIPTEQLRQVMLTHGDRLTEEEADELVRKADPRGEGRVQCKELVKVLMNNK >SECCE2Rv1G0108450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:664260476:664264329:1 gene:SECCE2Rv1G0108450 transcript:SECCE2Rv1G0108450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVNYPLVAGLLAFAVAQSAKFFTTWYKEKRWDARQFIASGGMPSSHSATVTALAVSVGIQEGFRSATFATSVILACVVMHDAFGVRLHAGKQAEVLNQIVYELPIEHPLAESKPLREILGHTVPQVVAGCLLGIVTAVIMLLALGSYT >SECCE2Rv1G0078910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:112965635:112966225:-1 gene:SECCE2Rv1G0078910 transcript:SECCE2Rv1G0078910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVLQLRSSDGKVLVAPAWDYRPAAAQALPLEMRVPSRALERVLQYWTKHSLAKATGESRESLARWDADFQRHLEEDGLAKEAASAAQELRRHGVDHGGRXXXXGAHLFTTSRVSTTENAAMPRRCPAPSMPPPRALGLPPPCRPLLVLTPSTSGAPSVPVDARWLKTRSPLATTASARLPRLHSACLPPLLRP >SECCE3Rv1G0166280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:184712626:184713351:-1 gene:SECCE3Rv1G0166280 transcript:SECCE3Rv1G0166280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERTMCTVEKRGRVHLITLTGAGEHRLSPSLISALRSAVASVRACPGAGALVLAAEGKYFSNGFDQAWARTVPPHLHDSMRGAFRALVADLLALPMPTVAAVTGHAAAAGCALALAHDSVVMRASRGFLYMSEVDVGLKFADYFGELLRQKVPDAAARRDMVLGGKKMTAAEAVRLGIVDADADGGVEDVVAAAVAAAEVLAARGWDGEVVAEIRKAVWPALWGKVKDHGAEAAAARPCL >SECCE2Rv1G0071950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:48063572:48065800:-1 gene:SECCE2Rv1G0071950 transcript:SECCE2Rv1G0071950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTNLIILLPLLFLATISPTPSLCYISPAAARVQQGSTRTSDYSTYIVLVDPPPSSFGEVGHHRWYETFLPSSHIGGSGEPRVLHTYTTVFSGFTVRLTDAELDAMAKKPGFVRAFPDRMLQLMTTHTPEFLGLRSGTGFWSDTRYGKGVIIGLLDSGIYAAHPSFDDHGIPPPPTKWKGSCKEVRCNNKIIGAKSFITGDDRPFDFVGHGTHTSSTAAGNFVTNASYHGVGMGTASGIAPGAHIAMYKVCTGIGCEESAIVAGLDAAIMDGVDVLSLSLGSPTSVSFDKDPIAIGTFSAISKGITVVCAAGNRGPTPRSVINDAPWLLTVGAGSVDRRFDAGVHLGNGLLIDGEALTQVKMPTSKSYPLLYSEENRFCENEDHISITGKIVVCQAMTPMPQYSTIRSIMDAGAAGVVLFNDEADGYSIHLQDYYSRVVQVGAADGIAITGYAKSTASNSVATFTYNNTMLGVRPNPIVASFSSRGPSSISPGVLKPDILAPGLNILAAWLQEKKSATRPFNIISGTSMATPHVSGVAALIKSLHPDWSPAAIKSAILTTSDTLNNIGGLILNERHGKAGVYDRGAGHVNPTRAADPGLVYDLGVTDYAGYICWLLGNKGLATIVHNSSLTCENLPKVKDVQLNYPTITVPLTSTTFIVNRTVTNVGPATSTYRVKVDAPKSMTVRVSPETLVFSKAREKKTFSVSVSSRHSMDEQESMEGSLSWVSGKHVVRSPIVVAL >SECCE1Rv1G0047510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:625907448:625910499:1 gene:SECCE1Rv1G0047510 transcript:SECCE1Rv1G0047510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRALALISVLLLSLLRAATAAAPGTADGTERWGYVEVRPKAHLFWWYYKSPQAQRVSTPTKPWPTVLWLQGGPGASGVGLGNFLEVGPLNGDLKPRSSTWLQKADLIFVDNPVGVGYSYVEDDSLLVTTDLQAAADLTTLLKALVKELTTLRSSPLFIVAESYGGKYAATLGVSVVRAVRAGELKLTLGGVALGDSWISPEDFASSYGTLLFQVSRLDRNGADHANKDAQVVRQQVAAGQFKQAQSTLNRMLDWIVVNSGHVDVYNFMLDTGMDPVVAASSSPAPEYSRYLESKSVGDSIQEAMNGAIKQKLKIIPKDVVWQAQSYSVYDALINDFMKPRIQEVDELLSYGVNVTVYNGQLDVICSAVGAEAWVQKLKWDGLRNFLALPRQPLYCGSAGVTKGFVRSYKNLHFYWILGAGHFVPVDQPCVALDMIGNITHSPALSRS >SECCE7Rv1G0515760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:841204823:841205908:1 gene:SECCE7Rv1G0515760 transcript:SECCE7Rv1G0515760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSPEFAALGGIGLAGICRETLRVARSRPPGSAFLAGQVIVLTLSLLAHIAVSRALFPDALASSHAGAGLLRLDTNWALFFLVEAACLLAIVSQSLCAAAFCVFCVAPRYGVTDDRDKRTIARDYRTVPWFLARLLWSVFGGNSHSATRLIRTARKVAWRLVVTTFDAFLLLLGYTALLGAAAWMAHHHVLAAAPGEEESGPQLPRVALLLGGAAYLAGAAHIGAVWRVACLMLVLEDEWGFRGMHISDELLAGKYWAAAAVFWTTDGCVVALQLAFGALVVDDRMGLGIRLRVAAGITLAAALWVEVMAGLVAQVVVYFVCKSCHRQRESLHVTEAKKYLTNVGRGRAVTERAAAVAGA >SECCE1Rv1G0005370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:25589800:25590315:-1 gene:SECCE1Rv1G0005370 transcript:SECCE1Rv1G0005370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGSPFEKDEEPSDPQLQKIAPADPVVGGEPAGNPAEPSTTEIKKKKKKVLIQVPDSDVKRVLSYKEKTIDTEVPDRWVRRDPELAANLGIMWANLAMYKEHTKGRMLEEQRDYKHQLKTKGRVTYELEVDEDDPRFKDAESTTSAGAGRRRYRPGVMKKQDGHTRKLN >SECCE6Rv1G0408380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:571960559:571967958:-1 gene:SECCE6Rv1G0408380 transcript:SECCE6Rv1G0408380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRTSGRRLLQRCGRPRPLVPAASSSAPRRRLTSSSSSSSSSSSSSSRGGSSRLLAAAAPLHLHAAGRYWPLTAPGLARRLSVPAVSTSPSPVPSDTDDVHEYAAKLGFEKVSEQVIDECKSAAVLYKHKKTGAEVMSVANDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELNNPEEEISYKGVVFNEMKGVYSQPDNIMGRVSQQALSPDNTYGVDSGGDPNEIPKLTFEEFKEFHSKFYHPSNARIWFYGDDDTKERLRILSEYLDLFEASPARNESKVFPQRLFKEPVRIAEKYPAGQEGDLKKKYMVCTNWLLSEEPLDVETELALGFLDHLLLGTPASPLRRILLESGLGEAIVGGGIEDELLQPQFSIGLKGVSEDNIEKVEELVMQILKNLAEEGFAPEAVEASMNTIEFSLRENNTGSFPRGLSLMLRSMGKWIYDMDPFEPLKYEQPLQQLKARIAEKGSKAVFSPLIEKYILNNVHRVTVEMQPDPEKASRDEAAEKEILKQVKSSMTQEDLAELARATKELKDKQETPDPPEALKAVPSLSLQDIPKKPIHVPIEVGEINGVKVLQHDLFTNDVVYSEVVFDMGSMKKEHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPLTSSIKGTDDPLTRIVVRGKAMSTRVEDLFHLMNCLLQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWISEQMGGVSYLEYLRDLETKIDQDWDRISASLEEMRKSLFSKEGCLINITSDSKNLEKSGKHIAKFLDALPSAPSLGSDPWLSRLPSVNEAIVIPTQVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDGTAKFLRELEVDDDALTKAIIGTIGDVDSYQLPDAKGYSSLMRYLLGITEEERQQRREEILATSVKDFKEFADAVETINDNGVVVAVASPDDVEAANKEKSLFSDVKKCL >SECCE4Rv1G0288440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:860945909:860946388:1 gene:SECCE4Rv1G0288440 transcript:SECCE4Rv1G0288440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDAVSDMCACPTVRTRRRMKKRPQLETVEMKVRIDCEGCERRIRKAVDGIRGVTGVEVLPKQNKVAVTGYIDDPARLMRRVARKTGKKVEPWPYVPYDVVPHPYAPGAYDKKAPPGYVRNVVADPDAAPLARASSTEVKYTSAFSDENPNAACAVM >SECCE5Rv1G0328310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:501585520:501586479:1 gene:SECCE5Rv1G0328310 transcript:SECCE5Rv1G0328310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHHRKLHVPVPSFATATLLLLPLALLAAVLVAVYHNEFALQSYLARPACPSNASDTGLTGRHVAVQVAPDFRLLIGVLTLPGLYERRHLMRTVYALQQPDLTAHVDVRFVFCRLASEEQRVLVALEAMQHGDVVELDCPENMDSGKTHAYLSSVPALFGDRAYDFVMKTDDDTFFRLPQLVESLNRAPREDLYYGCMVPCDVVRTQENEYMSGMGYVISWDLVEWIVAAAEQIRNHTVGPEDRLVSTWFRGAGKGKNRVDTKPAMYGFPDRADHCAHEFVPDTIAVHKLKNNGRWATTLKYFNFTAGLKPSKFYRMD >SECCE7Rv1G0479800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:259184986:259187995:-1 gene:SECCE7Rv1G0479800 transcript:SECCE7Rv1G0479800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITDVLLATQSHDGQIRNVAEGNIKQFEEQSFPQFLQALSTELSNDNKPPVSRRLAGILLKNSLDANDLARKEKCTQRWISVDLAIKSQVKGSLLMTLGSPVSDAHRSSSQVIAKIASIEIPLQGWPELIVSLLSNMTKPDASPSLKQATLDAIGYVCEEISPKDLEQDQVNAVLTAVVQGMNHVENSSGVRLAAVKALYNALDFAETNFQNESERNYIMKVVCETAISKEADIRKAAFECLVSIASTYYDLLEPYMQTLFELTANAARTDEEQVALQAIEFWSTICDEEVAIQEDAEEAGDVSSACHFHFIEKAIPLLVPMLLETLLKQEEDQDEDDGIWNISMAGGTCLGLVATAVKDAIVPLVMPFIEGNITKPDWHSREAATFAFGSILEGPSVEKLAPLVHAGFDFLLNATKDQNNHVRETTAWALSRAFEFLHSPTSGVSVVTNANLPRVIEIMLTSIKDSPNVAEKICGALYFLAHGYENAGSMSSVLSPYFGQLVSALLATADRSDSNNSRLSASAYETLNEIVRCSSIADTLNMIVLLLQEILKRLNQTFEFQIASSEDKEKQSDLQALLCGVVQVILQKFSNCDDKSVIIQFADQIMVLFLRVFSCDSSNVHEEAMLAIGALAYATGPEFVKYMPEFNKYLEMGLQNFGAYQVCCVSVGVVADICRALDDKVLPYCDGIMSALLKDLSSPELHRSVKPPILSCIGDIALTIGEHFEKYVPYTVPMLQGAAELCSRMDLPDDDSTEYKNELRRSIFEAYSGILQGVKNSKSELMVPYASHIFQFAELVLRETSRDEGLTKAGVALVGDLADALGPSIKLLLKNSNFHSELLGRCSQSDDEQLRETASWVQGVISRVLVS >SECCEUnv1G0561670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:356989769:356990340:-1 gene:SECCEUnv1G0561670 transcript:SECCEUnv1G0561670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCFLALGGDASGRSRSEKKWRKAMERLGMKAITAVSRLTIKQRKTPDVFKSPHTETYVMFGVVKMEDMDAQLLTEAAEQSMASVPSSVISKGEHSVAAAQDDEEVDETGVENKDVEVVMVQASVSRSRAVKALKAADADIVSAIMELTN >SECCE6Rv1G0433770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:761396145:761404555:1 gene:SECCE6Rv1G0433770 transcript:SECCE6Rv1G0433770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGDSPGRLESILTDTSAPLSERAWAAGAVELRLLARLAAPAVVVYMINYLMSMSTQIFSGHLGNLELAAASLGNTGVQTFAYGLMLGMGSAVETLCGQAYGAHKYDMLGIYLQRSVILLGLTGIPLAVMYAFSEPLLLLIGQSPEIARAASIFVYGLIPQIFAYAVNFPIQKFLQAQSIVLPSAYISTATLVLHVMLSWVLMYKVGLGLLGASLVLSVSWWIIVGAQFVYIVVSPTCRHTWTGFSWQAFSGLPSFFKLSAASAVMLCLETWYFQVLVIIAGLLPNPEIALDSLSVCMTIYGWVFMISVGFNAAASVRVSNELGAGNPKSAFFSVWVVTGLSATISTILAVVILCLRNHISYMFTDGKAVSDAVADLCPLLAITLVLGGIQPVLTGVAVGCGWQQFVAYVNVGSYYIVGVPLGVVLGFFFNLGAKGIWGGLIGGTALQTAILLWVTIRTDWTKEVEEAQKRLNKWDEKKEPLLAGFKDNNK >SECCE7Rv1G0502570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:697578840:697584426:-1 gene:SECCE7Rv1G0502570 transcript:SECCE7Rv1G0502570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRAEYNPDQYLWEPEFTLAGRKYKRIDLELSNERNQTLKCSHYVPAVIPENTALPCVIYCHGNSGCRADANEAAVILLPSNITLFALDFAGSGLSGGEYVSLGWHEKQDLKSVVSFLRKNKEVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYELMLELVDVYKIRVPKFTVKMAVHYMRRVIQRRAKFDIMDLNVVQFAPKTFIPALFGHASSDMFIQSHHTDRIHQAYAGDKNLIKFDGDHNSARPQFYYDSVSIFFYNVLNPPQFPSACSNKLEKYYNRGAGTNESLLYEIINGLRAAGTDAGSSSAAAASFTNATKSVVELLTERVNQLSVKNDSDLDFLLDENHNLTETEENTTESHIQDKANRQNEECCSYTSSNRESWGRCSSLGAASDVSSSGERPRVSDHKHKSMTLRALATPLRRIRRKPLTIPKERKNRSLWKRLNQERHDMGESLTQRFRLCLQGQAQHKRTKSS >SECCE4Rv1G0272560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:769566871:769568448:1 gene:SECCE4Rv1G0272560 transcript:SECCE4Rv1G0272560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLPQQWQLVLLVGILLPIISYLLVKKRNTEERRLKLPPGPKRVPVLGNLHQVGPLPHRSLRDLAKRHGPVMLLRLGTATTVVVSSAAAARDVMRAHDANCCSRPASPGPARLSYGRKSVSFSPYGAYWRNMRGLFAAELLGARGVGAAWAARREQVDRLMAALGDAAGPVSLDEHVFRVADGVIGTVAYGSVYGAKAFAGKYEWFQQVLQEVVDMSASFSAEDFFPNTAGRLLDWLVGIIARRERIFRDLNSFFEAVLEQHLDPARPKPESGDGDLVDALVRICEEHGFTRDHVKAVVLDAFVGGVDTSSVTILWAMSELIRKPRVLKKVQEEIRAVVAGNGKSDQRVQPDDLPRLTYLKMVIKETLRLHPPLTLLLPRETLRRVEIGGYDVPAGTRVLVNAWAIGREPASWGQDTEEFQPERFKDGGRHEKVDFRGAHLELMPFGAGRRICPGLAMGVANVEFTLANMLYGFEWELPEGAVAEKLSMEEVGRLTIHRKTPLVLLPTPYIPPESWLGGRTTA >SECCE4Rv1G0292170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:880605494:880606849:1 gene:SECCE4Rv1G0292170 transcript:SECCE4Rv1G0292170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLVLTALLLAHAVATLVRAAVARRRRSRCYLLDYVCHKPCDDRKVSTEAGGSLILRNKRLGLPELRFLLRVIVRSGIGEHSYGPRSILQCREDSPTHRDALDEMDAFFDEAIAELFTRTGVSPRDVDVLVVNVSLFSPAPSLASRIVRRFGMREDVAAYNLSGMGCGAGLVALDLARNTMRARPASTLALVVSSESIAPSWYAGTDRSMMLGNCLFRSGGAAALLTNDSSLCRRAKMALRQLVLTNTAADDKAHTCAQQREDGDGRVGISLSKALPEAAVGALTLNLRCLVPLVLPVSELLRFAGKHVLSRMHVKDAGRLPKINFKAGVEHFCLHPGGAAVIDAVKDSLGLDDDDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLKRGDRALMLTFGSGFKCNSCVWEVTGDMADKGAWADCVDEYPPESTTNPYMDKYSWVNQEA >SECCE7Rv1G0478000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:230379852:230381387:-1 gene:SECCE7Rv1G0478000 transcript:SECCE7Rv1G0478000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFLSAIIGDLVSRSASFVIGKYFRQQPGIEMILQRLQRVVQRIDTVVEEADGRRITNQGMLRQLKTVKQGMHTGHYILDALRFQAAHEDEEEASHSMAAALLSESSCLAKRLRLFGGAGAGGSSSNREAAGVLMFGANNSIREELLRVVSSLEDTMDAGMKEFLLFLESYPRVLRQPHAAYLLLENCMFGRQTEREQVLDFLLRPGATPILAILPIVGPLGVGKSTLVENVCRDDSVRGRFSAILFFPEGSLEDDGVIDLRGNNKFKFRHRNCATQSHNRLLIIVEIVEYMNERTWRSLKSSVTRMAPCGGSKIIVTSRSDRIVDLGTTEALRLGHVPREAYWYFFKSLAFGGTDPDDHPNMAVMAMEIASEHKQCLMSAHMVAGLLRDNFSPRFWRAILGCVRAHRKAHLVCEDGQWYHWRLGISCEYFLICSRRQSDSSEQVPKISVQEIISGCGGTPPKGKFEALAWRSCIPPYYNYTVSCMVPAWQPTPGRKRKEVCASGGRHLV >SECCE5Rv1G0328470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:508243162:508251177:-1 gene:SECCE5Rv1G0328470 transcript:SECCE5Rv1G0328470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATTQFLRVLRRRHGLLLALVLLVGAASGAAAQGGGAVPRTVDVGVILDRTTWVGNMSWTCMEMAMEDFYADAEQAGYSARLRLHLRDTGPNAVDAAAAGVDLLKNVGVQAIVGPQTSTQAKFLARLGNKSSVPIISFSADCPSRSGLTPYFIRTAWNDSSQAEAIASLVQKHNWREVVPVFEDDDTNTRFIPDLVDALKQVDTRVSYRCKIHPSATEDAMRAAISCLRHNWTSVFIVRMSHALALKFFKLAKDEGMMGQGFVWITAYGLTDIFDVVGSPALDVMQGVLGVKPHVQDTVKLQNFTQRWHSKYRLENPGTSLSEPTVSGLYAYDTIWALASAAEDAGYVNLDFGPSATKSGPTDFDRIDISKAAEKLRRALLKVDFLGMSGRFRIEDTQLVSSNYTIINIVGQDRREVGFWTPNFGISGSLNMKSEINPIIWTGDNETVPRGWLLPRNKRLQIGVPANPGFGQLVRYENGPEGEKGFCIKVFDEVVTNLPYQVLYDYHGFDDGQGNSNGTYDELIYKLYLKEFDAVVGDVTILANRSLYVDFTLPYTESGVRMLVPVLDRRQKTAWTFLKPLTADLWLGTGAFVVFTGFVVWCIENHEDFKGTPANQIGSVFYFSFSTLVFAHREKIKNNLSRIVVVVWLFVVLIVQQSYTASLSSILTVEQLQPTVTNLDEVIRKGSYVGYLNDSFLPGLLKSMKIDESKMIALNSAEEYNDALSTGKVAVIVDEIPYLKLFLSKYCHNYTMTGPIYRFDGFGYAFPLGSPLTPDITREILKLASSGRMAELQKELYGDKSCPDKDDSQTSSSLTLHSFQGLFSISGACSILALILHALITNKGVFSGWLAAICSKLFHKSNILQIMMRPVANVGNTGSPPEGEGSRV >SECCE5Rv1G0350260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:689418692:689421336:-1 gene:SECCE5Rv1G0350260 transcript:SECCE5Rv1G0350260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSLKKKRASSGAGGGAKSGDHHGAAGKPGALDRSGSKVLDGDETIFAEMAQELREEGNKLFQRRDYERALLNYEKAVKLLPASAALDAAYLHSNLAACYMQMRPPDHYRAINECNLALDSSPKYSKALLKRARCFEALGRLDLAARDVDRVLAAEPGNLTALDVADRVRRTMEEKGFVVDGEAVMPTPEEVVAAAPKQQQKPRKKKGRKASAKAAAAAVEEQGEEKAAEPVKEPEEPPRQVKLVFGEDIRWAQVPASCGMAQLREAVRGKFPGLKAVLVKYKDKEGDLVTITNQDELKWAEDLTEPGSSLRLYVTEANPEHEPYLEDASSGPLDRNMHNVSDNGSIRSNRQDEDRSTVTYIDDWIVQFARLFKNHVGVSSDEYLDLHEVSMKLYTDAIEDTITTDEAQEVFQLAEGNFQEMAALAFFHWGNVHMSRARKRLLLPEDSPKELVLEKVKEAYEWAKEEYNKAGKTYEEAVRAKPEFFEGFLALAHQQFEQAKLSWYYAIGTNADLDTWPSSEVLELFNKAEDNMEKGTEMWEEVEEQRLKNRSKPNQENIVLEKMGMEEYIKDVSTDDAAERASNMRSQINILWGMLLYERSVVEFKLGLPAWEDCLMAAIEKFKLGGASATNIAVLVKNHCANETAQDGLGFNVDEIVQAWNEMYDIKRWLRGAPSFRLEPLFRRRTPQLHMALEHI >SECCE4Rv1G0226160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:105171475:105177325:1 gene:SECCE4Rv1G0226160 transcript:SECCE4Rv1G0226160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRGLLLAALLAVARPPLASASESDHKYKISEPVKLWVNKVGPYNNPQETYNYYSLPFCQPAENPAHKWGGLGEVLGGNELIDSQIDIQFLKNVDKGPICTIELDPKKVQQFTDAIESSYWFELFIDDLPLWGFVGETDKNNENKHYLYTHKNIVVKYNGNRVIHVNLTQESPKLLEAGRKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRNLMFLSAVVGIGTQMAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKSMVLTASLFPFLCFSIGLVLNTIAIFYHSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYAIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYLGSTLFVRRIYRNIKCD >SECCE6Rv1G0388210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:130885949:130889183:-1 gene:SECCE6Rv1G0388210 transcript:SECCE6Rv1G0388210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGSSSSHRGDGDVGVTPSRWRFFRPTAGTPLAAAGSMSIRSVLNRLNSSVDASGTRPVVPLGHGDPTSSACFRTAPEAEDAVVDALRSRKHNGYSPTVGVPQARSAIAEYLSRDLPYELSPDDIYLTSGCVQAIEIMISVLAQPGANILLPKPGFPMYESRTTFSELEVRYFDLVPERGWEADLESVKAIADENTVAMLIINPSNPCGSVYSHDHLAQIAETAGELGILIIADEVYDHLAFGSKPFIPMGVFGKTAPVITLGAISKRWLVPGWRLGWIATCDPNGILKETKVDQSIQNYINITSDPATFIQGAVPQIIANTKEDYFNKILDLLRNSADLCYGKIKDIRGITCPHKPEGSMFVMAKLDLSCLDGFSDDIDFCCRLAKEESVIVLPGTALGMKDWVRITFAIDLPSLEDGLDRVKSFCERHAKVEA >SECCE2Rv1G0082080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:147820319:147820960:1 gene:SECCE2Rv1G0082080 transcript:SECCE2Rv1G0082080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRVRRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRCLLRKYREAKKIDKHMYHDMYLKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPRDHAPAPAAAAPAPAPAAAAPKKSKAKK >SECCEUnv1G0535060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:51626757:51633319:-1 gene:SECCEUnv1G0535060 transcript:SECCEUnv1G0535060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAPIGELLEEGTGESSSPPRSSAAHDELRRKIYERLVQAGKQGDSDDASFRDMLDAHFDKLPPRYLTDLGENKAEDVLLHREVLDECAHNGNQAVFRARFLKHMAVQPEWGDTSPDSDTYQRLLEDLTLERIHGTDTTGSMSSPSRDSEPALLHEIVFSSLDKPKLLSRLTALLSEVGLNIQEAHVYSTTDGFCLDVFVVDGWKTQETEALIATIEDTLMRKNGAQPNSANASSSEKILELRQQLVDCEIDWNMLALGEKITSGSSADLYRGTHGGLDVCIKILRSAHHSSPSEVEFLQQALMLRRVKHENILTFYGACTKHRKYCAIVTEYMPGGDLYEFVHKQNDVLDLLVILRIAMSISKGMECLHQHNIIHRDLKTANILMGDNHVVKIADFGVARVGSQEGQMTAETGTYRWMAPEIINHKPYDHKADVFSFAIVLWELITLKVPYDSMTPLQAALGVRQGLRLEIPAGVHPGLSKLIKQCWDEDPDVRPVFAEIIVQLEDILQEIQVPKGGHRRSRAKMQKKSPR >SECCE7Rv1G0469980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:115065061:115066281:-1 gene:SECCE7Rv1G0469980 transcript:SECCE7Rv1G0469980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSGPLVGLLVALVVVGSGAAAAGAPGRCTTSTPVKAYAKCIALPTQGATLAWTYDARNATLDAAFTGSFISPSGWVAWGVNQDAPAMAGARVIAAFSDPSTGALLALPFVLSADVKLQAKPLVSRPLDIPLLASSASLIAPARTVRDGASVTIAATIRLSPNRTRVHFVWNRGLYVQGYSPTIHPTDASDLASHATVDILTTATESSPIASARLQWAHGSLNALSWGLLLPVGAALARYLRPCASAGPAWFYGHAAVQATGYALGAAGFALGIAMGAASPGVTYKLHRGLGIAAATAGSLQTLAVFFRPKTTNRYRKYWKSYHHLVGYGCVVVGVVNVFQGFEVMGLGASYWKLGYCMALATLVGGCVALEVNAWVVFCRRQHEEKLMRREVEDVVVKDRAAAF >SECCE3Rv1G0209880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:941093016:941097449:1 gene:SECCE3Rv1G0209880 transcript:SECCE3Rv1G0209880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase I precursor (EC 3.4.16.5) (Carboxypeptidase C) [Source: Projected from Oryza sativa (Os12g0257000)] MARRRSGLAAAALLVLALAAGCGAAPRGAEVTGLPGLDGALPSKHYAGYVTVDERHGRNLFYYAVESERDPAEDPVVLWLNGGPGCSSFDGFVYEHGPFNFESGGSVNSLPKLHLNPYSWSKVSTMIYLDSPAGVGLSYSNNVSDYQTGDLKTAADSHTFLLKWFQLYPEFLSNPFYIAGESYAGVYVPTLSHEVVKGIQGGAKPTINFKGYMVGNGVCDTVFDGNALVPFAHGMGLISEEIYQQAATTCHGNYWNASEGKCESALVKIDSLISDLNIYDILEPCYHGRSIKEANPQNSKLPKSFKDLGTTNKPFPVRTRMLGRAWPLRAPVKAGRVPLWQEVASGVPCMSDEVATAWLDNDRVRSAIHAQSVSSIGPWLLCTDKINFNHDAGSMISYHKNLTSQGYRAIIFSGDHDMCVPYTGSEAWTKSMGYGVVDSWRPWFTNEQVSGYTEGYEHGLTFATIKGAGHTVPEYKPQEALAFYSRWLNGSKL >SECCE3Rv1G0173370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:332825624:332834016:1 gene:SECCE3Rv1G0173370 transcript:SECCE3Rv1G0173370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGPACRFLSCFLCFVACLLVMESAHSWCTPHPNPRGEAEFKQKTDKFWEYQEQSNTWVEISMPFNLMSCINGTCTKVGSIKQPETKHGRASVSSQEKDTDPVLPIRKRISLARMSESSVWVTGQSGSIYERFWNGVMWVIAPHELPTAAGYATSTFIVNTTILALSEAGIIYQLQLNEHAQPIWTEMTFSYVQHFTNLGEKTQSQATRIKNGVVSHNGRKLFLSITNGSLIEVTELQPLRWNCHGRPPGADVSYISDAENARPGTVFTVSSTGDLYEFDKETKPSWKKHIWSEQTTKNVSLSSSVGCALHGLLGSNSVSLFLITKDGLLVERCLHRRKWKWDKHGAPKGQRLSSITEVQQDESNDATSMFFTTTTGKVFEYQFPKYTGGASSNKIRGLWVNHMSPDHAKVAVSVRGLQIQVSRLIFQLDDGRLGELHLPGMGGDHFGPSQQNSIRRKVPNKYEWSILDTPETEGWNAEYCTEEHGPTNCITGAMNVVADTEPTNLSNAPPRRRKAEEKQHYLHVHSHESDETESYNILSRSIYLNFHMRVMHADRSLFLITDNGLTLEYLNSNGVWLWLRHEHITGMKGTLGSYNGSLYLVDLHGNLHIRERNGDELLWINCTAMRKGRQVASGPPRDGIPGLSRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCHSPPDTKVAFIVDQEVFRRNIIFVVGRNGRMYQYNRITELWHRHYQSPHLVLSRSPGTAMRPSPLSLTGSIFMISEHGGLVEYHFSPQGEWEWVEHGTPHRDVTLVVAPGPCFDATQLFVIGSDGHVYLRHLDERTWRWTSHGHPSEPSGTRTDVAGGSEQSCATMGAADAHYASSFRGSCDEKVAAVRPVPFSEDAVVFELRDGRLAELRRAAEGRGGWEWARIIGTPASACMKSYWTAVAT >SECCE5Rv1G0354630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:725325663:725342837:-1 gene:SECCE5Rv1G0354630 transcript:SECCE5Rv1G0354630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWKRSAHRPSSSSASTPASPARASTSRIPRHGGAEGPDQQPRLTRQHRLRHVDDIEVGVSALRLDDSHAAPPSSSSYPARRDAVWSGLATASSTPISRSPSNMMEAAPARSSSTPMLLPHPLPLPQQDDSPCRGPGRPLPSPRMFDGDCNGSAEFLGAAETGGERPSTFPRFMPQSVQKIHEHDDLRSAGTHGATCGQRRKTYKEKFQDGPTETLNFRLNIPAKSAPSSGFSSPVQSPRRLSNVDFSSAAISIQGSNILSAPSPWSSDQSGSSPPSTSPEKFVGGQERSPRSSPLRSPALRSRYPSAPPSPMHANFFPENHTSRSEGISNGNLHPLPLPPVSMSPKQTNFSHPSVPKVETPSMAGQWQKRKLIGSGTYGCVYEATNRHTGALCAMKEVNIIPDDAKSVESLKQLEQEIKFLSQFKHENIVQYYGSETTEDRFYIYLEYVHPGSINKYISQHCGAMTESVVRNFTRHILNGLAFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLNKDPPIPDNLSSEGKDFLKGCFKRIPSERPTASKLLEHPFIQNSNHFSQHVSVHSPAGNKSPDAGHCSREKKSWRTECCVRGKQTNTNGETSSSRCSGSLGHRSNAPTSLDTHSLSPPPTSYKSSSGSAAHNTTNSMHFSIAYPQRSPLPKPNGKESLNMFSY >SECCE6Rv1G0446120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842605721:842606278:-1 gene:SECCE6Rv1G0446120 transcript:SECCE6Rv1G0446120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNATLQYIPHDSTRRNRFKKRLKGLVKKADELATLCDAKTCVLVYDEGKATPEVFPSQEEAVGILNEFKSIPELAQCKEVMNQEGFITKRIDKLRGQIDKTRRECEDGEIRYLLHKTMHGDFSGLVGLNIEGLTKVGYKVDVLLKSITERMAKIHSQAPPPAPSVTTGNIDMGSPVMYLAPSQ >SECCE1Rv1G0014400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:114307394:114309252:1 gene:SECCE1Rv1G0014400 transcript:SECCE1Rv1G0014400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSHVLPQDQALPLCSVLPPFTPLLTCTNTNKHSHFPVTSLHSPHSPAMAAAEEGAQPLMYQTVALKVSIHCEGCKKKVKKVLQTIEGVYKTDIDTQQHKVVVVGNVSVDALVKKLIKTGKHAEPWPEPLPPPSEANPTASGGGGDGGGGGGGGGKKKKKKKNKNKTAEPAAPGAPTAEGAGGSVPPENHDHAGTCDEASGDEQPHQVEGGGGGGGDPPKNPGAGDPHDGRAGMVAPLAMTPQGMQPMAPSANASGGGGGGKKKKKGKGGNNGNVNANGGDGAGAAAQMSPHDAPANPATGNAGQNAPAVVDAGAYPPATAMSYPGYYGSDAGAGHMPYVMSYSTSHPHRSSAYYHPMAGAAYTGGAGYYYSTAPVSAAPGSYYMFSEENANACSVM >SECCE6Rv1G0451930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874258118:874259065:1 gene:SECCE6Rv1G0451930 transcript:SECCE6Rv1G0451930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEGKVKVFGPARSTCVARVLVCLEEVGAEYELVDVHLPAGEHKGPAHLARTPFGQVPAFQDGDIILFESRAISRYVLRKGASDLLREGSLNEWATVDAWLEAESHNFDRAMSAITFQCFVVPMFMGGTADRRVVEENLEKLKVALRVYEERLSRSRYLAGDFISLADLSHCPMAHYLLASPCASALDAYPRVKAWVDGMMDRPSVKKVMELLMDAS >SECCE4Rv1G0231570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:186029020:186054661:-1 gene:SECCE4Rv1G0231570 transcript:SECCE4Rv1G0231570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGQHYWGGRRPSGGAAGAEAAGGVVVMFAWLSSQERHVRAYVDLYAALGWACLVCHSDFITLFMPEKAAVVADRVLTELLKELKIRPVPVVFASFSGGPKGCTYKVLQLIQRRCKGQLSLDDYQLVRDCVCGQIYDSSPVDFVSDSGTRFLLHTSVLKMAEPPRVLSWMTRGIASGLDTLFIGKFEAQRKEYWESLYSAVHVGPILIFCSEDDELAPCLVVQNFGRRLLELGGDVNLVKWHSSPHVGHYKHHTEEYRTAVTELLMKASALYRSRKRPNDYNVGTNEYSDTPYSSRNLHKTAVSSSYRLGRVSADPADHFFLPSSMEYHESSSEALRPELFNMSVESAKNPDGVLGKMLYDVCVPKNVEGWDFKLASIDGQRMHFTARQHVTFNPTKCIRRSRL >SECCE5Rv1G0351670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:701640361:701655679:-1 gene:SECCE5Rv1G0351670 transcript:SECCE5Rv1G0351670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 18 [Source:Projected from Arabidopsis thaliana (AT1G12470) UniProtKB/Swiss-Prot;Acc:F4IDS7] MDAGQLFSVDPLERQASRGHGPVTSMAAGSDVIVLGTSRGWLVRHDFSFEDALDLDLGGGRSGDHAVHRVFLDPGGKHCVVTVIHPGSAETYYHHARWPRPKPLPRLRGLLVNAVAWNRQAITEASTKEMILGTETGQIFEMAVDEADKKEKYVKLLFELTELREGIKDLQMETAVVGNATRYYVMAVTPTRLYSFTGIGSLETVFASYSDRAIHFMELPGEIPNSELHFFIKQRRAKHFGWLSGAGIYYGELNFGAQHSSTSGDENFVENKGFFDYSKLGDSNTKPASFAVSEFHFLLLIEDKIKVVNRISQQIVEELVVDNAPKSSKGIIGLCSDASTGVFYAFDENSIFQVSTSDEGRDMWKVYLDMKAYAVALSHCRNSFQRDQVYLVQADAAFASKEYYIAASFYAKMNYILSFEEISLKFISVGEQDALRTFLLRRLDNLTKDDKMQITMISTWATELYLDKINRLLLEDGTGTTTNTVTNSNSSAYRSVVDEFRAFLSDSKDVLDEATTMILLESYGRVDELVYFAGLKEQYEIVVHHYIQQGEARKALEVLQRHNVPVDLVYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNNEDPGVHNLLLSLYSKKADESQLLQFLDTKFGSGQANGPEFFYEPQYALRLCLQAKRMRACVRIYSMMSMHEEAVALALRVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYNSQIEQLKAEMDDATRGADNIRSDIGALAQRFTVIDREEECGVCRRKILTVGGLHQVGRSYTSVGHMAPFYVFPCGHAFHANCLIAHVTRCTSQAQAERILNLQKQLSLMDRKAAKDNGGTGNGESIVSTQPVDKLRSQLDDAVASECPFCGDLMIKEISLPFILPEESAEKASWEIKPPPASQKILPMTMSI >SECCE7Rv1G0483850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:330658889:330663467:-1 gene:SECCE7Rv1G0483850 transcript:SECCE7Rv1G0483850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSQEAIETFISITGADEAVAARKLEEHSGDLNEAVNAYFNEGDRSTTRINQNPIPDSHDDIMDLDEPFDPMFSRSMGNPFGMLDPSFVERAAAGFFGQGPQVTHPRDVRQIPIEVKDNDNPQIGSSGQGPVIEDVTGHESLYGLEVHGTVVIDEDDDDLPSTHAPVIPRNTPSTYNSAPSAPPLVNVSDYNNDIEEEMIRAAIEASKRDAEGLTNVTERERVLLQEGMHAVDNSSDLSDKEDIEGASEAIERQVLTTGQAGTSRQLVDEENFQDDTEDVDEEPLVRQRSRRALSGTAGPTEAVQRADSPPSGPQPHATQNAHQHNGAFPSEWGGISSEEHDEAVMLEAAMFGGIPEHTEYPFPLPSHGISTGYPRVAHPPSPTLTAQRLLREQQDDEYLAALQADREKELKAVEDAELRRLEEVAAREAAIEMEKQKNEEKLRKQLEEEELESMLAAKRASLPKEPLPNAEGAVTVVVRMPDGSRQGRRFLKSDQLQALFDFIDISKTFKPGTYRLLHRV >SECCE5Rv1G0308260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:128060299:128061815:-1 gene:SECCE5Rv1G0308260 transcript:SECCE5Rv1G0308260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLDADAERRAGQGGDPDPDPDPAAVTSREMDQTQRLPEDVLADVLRCLPPRSLAAARCVCRSWHAIVDAHRLLDRDLLPLSLAGFFINFYELDFTEFFLHPSTDTAIRGYGDLRFMPTDDISVDDVCNGLALLDHYVVNPATRRWASLPPRPPHPLGFELFYERNYIVFDPTVSPHYQVLRFLHVPSYGGRLDAEMKQQQWPPSTYMMHVFSSETTRWEERSFIRQGGALGTLADMLLLELPMPDELYAVYWHGEIYVHCHFLMRISLSSGTYQVIKLPTDVDQHRGAEVLLGRSEKGVYFAALDAQCQLRVWILTELPCGQTEWLLKHDNNLHQIPPRQNYDPKVHRPCWIMADINYNFNPPIYSTVKDKLELNSDHQIIFETRLEGHRWDGHIKILGFHPYKEIIYFSETLNRGYAYHLDELKLEDLGNMRPKGLDNCYNKLLERSIPYTPCLLGP >SECCE7Rv1G0455630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:7960247:7962157:-1 gene:SECCE7Rv1G0455630 transcript:SECCE7Rv1G0455630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYNNLTGEIPPELGLIQELSHLYLGTNQLTGKIPASLGNLSKLSYLALEMNQLSGQVPTALGKNAALNNLDLTENNLEGNLDFLSALSKCRKLQFLWLGANSFTGVLSGHVGNLSSRLIYFNVGDNKLIGGLPVEISNISSLEILGVENNLLTGPIPESIAMLENLGWLDLSGNDMLGPIPAQMGLLGSLERLSFHANKLLGSIPSSFGNLSLLENIDLSSNQLSSMIPASLFHLDKLITLDISHNYFVGALPVDVSGLRQIYQIDISSNFLIGSIPDSLGQLSMLINLNLSHNSFRGSIPGPLGKLSSLASLDLSFNNLSGSIPTFLANFTYLITLNLLFNSLEGQIPEGGVFSTLTLQSLIGNVGLCGAPRLDLSPCLDIPHSRNKHVLQILLPTLTLAFGAIAICIYLLFGKKPKGGEDKHFVNANDVIGHQIVSYHELIRATNSFSEDNILGCGSFGKVFKGQLSTGLIVAIKVIDVQLEQAIRTFDAECQVLRMARHRNLIKILNTCSNLDFKALVLQYMPNGSLEMLLHQTKSTVRLGFLERLCIMLDVSMAMDYLHHEYYNLILHCDLKPSNVLFDEEMTAHVADFGIARLILDDNTMTCVSMPGTVGYMAPGTQLAISRYLVQLNTC >SECCE4Rv1G0270430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755380086:755381547:-1 gene:SECCE4Rv1G0270430 transcript:SECCE4Rv1G0270430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPVDAPTYIPKTLFVKYLDDYVEHFNIQPKYLTSVESSTYDNEKKCWFIMAHDMAKTTIVKFTSKFLVVASGENSAENIPMIPGLQSFSGDVIHSSSYKSGKSYSGMNVLVVGSGNSGMEIAYDLATHGADTSIVIRSLIHVMTKELIRLGMTLAHRLPLNLVDNLLVMAANLIFGDLSRHGIRRPKMGPMILKSKTGRSAVIDVGTAGLIKKVIIKVQRSISNVMGDIVEFQCSKRISFDTIVFATGYKSTANIWLKNGESMLNCNGLPIKEYPNHWKGENGLYCAGLARRGLAGIAADAKNIANDIKSVIGDMSG >SECCE1Rv1G0046460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:617489212:617489718:1 gene:SECCE1Rv1G0046460 transcript:SECCE1Rv1G0046460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQVAAHRAFLLCNYLLLGAASGCIFLTLSLRLVPSPCSLLLVFLHTLTAVFAAAGCSGSFTTPAAGAGAQHTAHAAGAVLTAIFQGAAAVLAFTRTADFLTDLRSYVRENDGAVILRLVGGLGAAIFVLEWVSLALAFALRLSEDGGEETNGDEHAKSWPSGYHV >SECCE4Rv1G0272430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:769233534:769234613:1 gene:SECCE4Rv1G0272430 transcript:SECCE4Rv1G0272430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPPLAALVVAVALALASSLGPGASAAEPPVVRGLSFDFYRRTCPRAESIVRDFVRDAVRKDIGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGEQQAPPNLTLRPSAFKAVIDIRDRLERECRGAVVSCADILALAARDSVVVSGGPDYRVPLGRRDSRRFATRQDVLSDLPAPSANVPSLLALLRPLGLDATDLVTISGGHTIGLAHCTSFEDRLFPRPDPTISPAFLARLKGTCPAKGTDRRTVLDVRTPNVFDNQYYVDLVNREGLFVSDQDLFTNAITRPIVERFARSQRDFFEQFGVSMGKMGQMRVRTSDLGEVRRNCSTRNPGPAADALQWPSLVQTIVHAAAQSIG >SECCE5Rv1G0315320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:289419487:289422691:-1 gene:SECCE5Rv1G0315320 transcript:SECCE5Rv1G0315320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGADGEKEAFFHCLDRVPSGIHIDTDHPSDDDDDEEEARSSFSSAMGDQSFRRPQAAMLLEDEEQEEPEMEDASKYDMWMSDEPMSIQERRRRLHQGLGMVSSRDLALRRHSTKKRFIDVPRSVSRRMPPSLPVAPAANTPTPSVAAETTRAPPSGLAATREMLKQPPAKPITRRRSDGFLAVPDGSGRPSLRRARSLLSPHDPCSSSLVDKFKATRDMPAVTMPADKGTKGDGGDGGQIKKHDNSKEAAVMAAHKDQSQTGGVQLGLEEIEKFIGNTPIMKHLMRRGPSQHHSQPMPPAAAAAPAKGDKSAGKKKGGWFKNIKSVATTIGFIQDNGKPVPASMATGAGPSTGPASAAVPPSSSSTSTEKLKVQNYGKSSKELTGLYMSQEIQAHEGSIWSIKFSADGRRLASAGEDCLVRVWEVVETSAPPSSVPQDGSLPPLPGGADGSSQVPGLSKKSTTKGGKTGRDALPEHLVVPDKVFALAEQAVCVLEGHEDDVLDLTWSKSDQLLSSSMDKTVRLWDTASKACLKKFSHSDYVTSIQFNPVDDRYFISGSLDAKVRLWSIPNRQVVDWTDVNEMVTAASYSPDGQSAIIGSHQGSCRFYKTADCKISPEAQIDVQSKKRKSQAKKITGFQFAPGNPSEVLVTSADSQIRVFDGVTMVQKFRGFKNTSSQIAAAYTSDGRYVVCASEDSHVYIWRTTRSAPAAAAIGIGMKPKTWCTIRSYENFYCKDVSAAVPWTHSPSLPGSPQSHQGVVSCNDDVCSMAGHAAKPDASKSGELSSRVTKSGELSSPAAPSPHSGPLDGRHASKSGANASDSGNAWGLVVVTATLSGEIRVYQNFGMPFRIKGQGNLFY >SECCE3Rv1G0179450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:542124579:542128349:-1 gene:SECCE3Rv1G0179450 transcript:SECCE3Rv1G0179450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIKQQQQLLVRQRKGKAVADKGAPAAAAAAEEKVVVAVRAAIREISKTAIVWALTHVVQPGGSIILLVVIPAQSSGRKFWGFPLFAGDCASGHKTMLDQKSDVSELCSQMMLKLHDVYDASKINVKVKVVSGSPPGVVAAESKRAQASWVVLDKELKHEEKRCMEELQCNIVVMKRSQPKVLRLNLMGSPDKESKGSCSLPAVLDSSVGKTGTDVKEPRSSVRGPAVTPNSSPDLETPFGSTEAGTSSVSSSDPGTSPFCASETTVSLKKEVQATKDKIQHSDVNTSDTDSEILSPSATFSLQPWMVDILQGSASSKPPRKTRSPTADTLLEKISKLNLLHEISSMRSRSDLNFRGNVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEERRRLLVYEYICNGSLDSHLYGRNNKDTLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPNGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARHLLEDNAVDELIDPCLGDRYSENEVRCMLHAANLCIRRDPHSRPRMSHVLRLLEGDMVVDSVSVSAPSSDSASRSWRKANDQKHYQDYSSPARQDSQRVVGKKQQSYDALRAAWDRDKKSISNRY >SECCE3Rv1G0203710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:884592459:884592776:-1 gene:SECCE3Rv1G0203710 transcript:SECCE3Rv1G0203710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINIPEGKFYLEDDGYACRSGILPLIRKTRYYLNEFSGRNYPRTAQELFNLRHSGLRVTVERAFGALKNRFKILDQKPFHPYSTQIKLVLTCCILHNWIH >SECCE6Rv1G0443460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:822925262:822926488:1 gene:SECCE6Rv1G0443460 transcript:SECCE6Rv1G0443460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLMDIPDHLLAEIFLRLPTPQDLARASTACVPFRRLTTQASFLHAFRRLHAPLHLAILNMDGFLPALPPHPSAPAARALALQADFSFSFLPSNRHWMKQDIRDGRVLLGRATGDYGNPVFRELVVCDPLHWRYVLLPPIPDDLAASVEHPLTNPFLAPLTEKETVVSEETSFKVICLVRGKTKLVAFVFSSSIGQWQAAASKDWSDLALGSGDLAMMSLFHPYSLRRHYAYGCFYWDWLVIKMNLLLVLDTNKMEFSIAALPPGEWSTKGVAIVEAGEGGLGMFGLHGENEFASNLSYTILRNRGESPSQWMMEKTISLDLGYRYYIKSVTERCLLLMRTGSRLGSPLEKPLLEFFSMDIKTLQLQRVCAKQCKLTLSETTIYAYFFQTGIYTNYPPSLLPPRTV >SECCE5Rv1G0306660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:97455748:97460234:1 gene:SECCE5Rv1G0306660 transcript:SECCE5Rv1G0306660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLEENFGGVKGKNSSEEALRRWRKLCSVVKNPKRRFRFTANLDKRGEAQAIKHANHEKLRVAVLVSKAALQFIHGLKLRSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLIIHGGVAGIAAKLATSPTDGLDTAEDSMQRRQDIYGINKFTESETRSFWVFVWEALQDTTLIILAICAFVSLVVGITMEGWPKGAHDGLGIVASIFLVVFVTATSDYRQSLQFKDLDKEKRKIQVQVTRKGFRQRISIYDLLPGDVVNLAIGDQVPADGLFISGFSLLINESSLTGESEPVVVNEENPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGQIGLFFAVITFIVLSQGLISKKYHDGLLLSWSGDDALAMLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSATTICSDKTGTLTTNHMTVVKTCICGNIREVNSPQNASKLCSELPENVVRTLLESIFNNTGGEVVINQDGKHQILGTPTETAILEFAMSIGGNFKAKRAETKIAKVEPFNSTKKRMCVLLELAEGGYRAHCKGASEIVLAACDKFIDETGAVTPLDKATAGKLNGIIDGFANEALRTLCLAYREMEEGFSIEEQLPQQGYTCIAIVGIKDPVRPGVRESVAICRSAGVTVRMVTGDNINTAKAIARECGILTEDGLAIEGPDFREKTLEELLVLVPKIQVMARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVARWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKREPVGRTGKFITNVMWRNIFGQSLYQFVVMWYLQTQGKAFFGLGGSDADIVLNTIIFNSFVFCQVFNEISSREMEKLNVLKGMLKNYVFMCVLSSTVVFQFIMVQFLGEFANTTPLTSLQWLASVLLGLVGMPIAVVVKLIPVGSS >SECCE3Rv1G0202940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:879175612:879177157:-1 gene:SECCE3Rv1G0202940 transcript:SECCE3Rv1G0202940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPRAPVLVQNENLPIFRGVDGKKAGVARGPAARAGRRALGDLGRAGKAPPPGAAGKAAAVSVQGSKCVVKPSFMSDGDWMKCREWAKGGIETATFTGNDVQRLMADEREERIRKKVEKAMGTLQVSMDSIYDIDEPSKISMDDPDDETTLELDPEILPPMSHLSSRLGEHDGNYLLPDLEFEYEAFSGCNFELKLKDGYGNDSSS >SECCE6Rv1G0438250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:788655134:788662726:1 gene:SECCE6Rv1G0438250 transcript:SECCE6Rv1G0438250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSRDLIRSVDGGDDGERKEKLQRLDSRTEEVDLHLTLGAGGRLDVGAERRSAEVQPSATPQPQGSGNSIKEELRASSCPYEGTPSAQGRSLSSAVVTSSQGTNGVHQAEGANNTVMATTSSPAPAVRAAALCSRGGQQDGSEMSKVWEMPLVSTNGLPNGRSIVGLLYEYSKLDEVTILCMCHGSFLTPAEFVEHAGGGQVTNPLRCIFVTPPPWH >SECCEUnv1G0534550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:42844395:42844909:1 gene:SECCEUnv1G0534550 transcript:SECCEUnv1G0534550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTPTEKTTTLEVESSHTVDDVKSKIQDKEGISPAQQRLVYAGKQLPAGRTLADYGVQKESTIHLVLRLGGGWCFYKYDPGLRVLAEKYNANKMICCKHVFFFFFQKKMDNPGLHTHCHFIKQKYEFVKAHLDVT >SECCE2Rv1G0121090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:804549914:804552498:-1 gene:SECCE2Rv1G0121090 transcript:SECCE2Rv1G0121090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 14 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43640) UniProtKB/Swiss-Prot;Acc:O04421] MVLMQADPFLSELTNMYERSTEKGSVWVTMKRSSMKCKARLKKMENKGEPVEYRCLVRASNGKRNISTSLSAKEYLKFQASYALVLKAHMHALKKRERKDRKKTVEAEKIPEKEPKKQKKSSSKKSAGSK >SECCE5Rv1G0332780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:551836235:551838159:-1 gene:SECCE5Rv1G0332780 transcript:SECCE5Rv1G0332780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTVLRRGGAAMRQAALAEGSTGNLLRLSVAERERSRRRRRDPGRDEFFVPTPESLKWLDSVTLPMILTAAAVALFTKLLMMEHEATDQERRERKIKNSHPEQGTVRMLSREEWDEIQEVRPRTPFESKLARPHARLRTGEKVRLEDAKDWAVDVLTDAFTRAEESAKRK >SECCE4Rv1G0280740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:823064264:823068490:1 gene:SECCE4Rv1G0280740 transcript:SECCE4Rv1G0280740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAWAAVAGVLTAVAAVALDAVVRAAHAWYWTASLGAGRRGRLPPGDMGWPLVGGMWAFLRAFKSGRPDSFIDSFARRFGRVGLYRAFMFSSPTIMVTTPDACKQVLMDDDTFVTGWPKATVALIGPKSFISMGYDEHRRLRKLTAAPINGFDALTSYLAFIDHTVVTTLRGWSDRGDDFEFLTELRRMTFRIIVQIFMGGADERTAAELESTYTELNYGMRAMAIDLPGFAYHKAIRARRRLVAALQRVLDERRARGAKTAGTAPVDMMDRLIAAEDEGGRRLQDDEIIDVLVMYLNAGHESSGHITMWATVFLQENPEILAKAKAEQEAIMRSIPPGQKGLTLRDFRKMEYLSQVVDETLRFVNISFVSFRQATRDVFVNGYLIPKGWKVQLWYRTVHMDPQVYPDPKKFDPSRWEGPPPRAGTFLPFGLGTRLCPGNDLAKLEISVFLHHFLLGYKLTRKNPNCRVRYLPHPRPLDNCLAKITSVSSAH >SECCEUnv1G0539060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75029687:75030919:1 gene:SECCEUnv1G0539060 transcript:SECCEUnv1G0539060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFTGVSIADGYKRCGCETLAVDARGDSGYHLLMVNGYSRTKELIPTGQSITANSFNVGGHDWLIEYYPNGENPGCADFISLFLNLLYDADDNDEVPMEVRFSFSLVDQVEKQMPMYIRATGETRSFSCTTSIWGNDRFIRRDALEQSPDLKCDCLTIRCDVVVVRNSKVDDDDVGGHGGTKALLPDIHQHFNSLLQNKVGADVAFQVGGETFAAHRCVLAARSQVFMAQLFGPMKEASNSVIQIKDMEPKVFTALLSFLYTDSFPDMYEDNIKLSELCKDTGQGQEEEMLEAVGQGQGREAAEDEMGLLQWLQGLFVAADRYDLQRLKFICVKQLSQHIGVSSVASTLALAEQHHCHILKEACLKFIQVQSPPCLQTLMTSNGWGHIVTTYPSVLNELIAMLASNQRK >SECCE6Rv1G0426150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:711885204:711889117:-1 gene:SECCE6Rv1G0426150 transcript:SECCE6Rv1G0426150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAELAAGSDALVVRLPPPSAEDPLHQDKKNFLENRKLSCVFQVPISSSAVDACKLLDQMIHAARVAHMDELELYFAGDDDYGPFSARNELESLNLLLKTINTLLVAANDDAKGVLQLLVDEIIVRLRSVGLTDKHQMALQTQNHETEDSLLKWGEQHGVKSKLQVAFFEGAGRGMLASEDIGVGDIALEIPESLIISEELLCQSDMFLALKDVNSITTETMLLLWSMRERHSPSSKFKMFFETLPSNFNTGLSFGIDALAALEGTLLFDELMQARQHLRQQYDELFPMLSTKFPEIFKQDIFSWDNFLWACELWYSNSMMVVLSSGKLTTCLIPVAGLMNHSVTPHILNYGRVDQATKSLKFPLSRPCEAGAQCFLSYGKHPGSHLITFYGFLPREDNPYDVIPLDLDTSVHEEDGTAQSVSTSVTTHMVRGTWLCRSQGAPTYGLPPPLLSHLRDALNCDHTESTPDADIKENDRMVLETLISIFTPMLEGLGEPDDYNRESASWDVVLALDYKDLQRRIITSIVTSCGSGLAMLDS >SECCE2Rv1G0077750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:101717836:101721245:-1 gene:SECCE2Rv1G0077750 transcript:SECCE2Rv1G0077750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVFHPTVWGDFFINYNPEPLQRFNQLKEKIIGLFSCGTIVEQLNLVDTLQHLSVDHHFHEQIDSTLRSTHAGEFNSSSLHHVALRFRILRQQGFWVSPDVFRKFKDEDGAFHVNITNDPRGLLSLYNAAHLFIHGETELEESVSFARRHLESMEGKLVYPLAEQVRRALHLPLPRTLKRVEALHYMSEYKQEPMHNPSILEFAKLDFNLLQRLHLKELKALSRWWKNLYREVGLNYSRDRVVECYFWAYTAYYEKEYTRARMILAKIIAIIIMTDDTYDVRATLVECKQLNEAIQRWEESAISLLPEYLQKFYLKLMSTFKEFEDELKPDEKYRVAFSTKAYQILSGNYLQEAEWFHQNHKPRFNDQVKVSSVCSGGPWVCVGLLVGMGDTATKEALEWALGCTDAVRACAEVTRFMNDLASFKRGKNKNDVASSVECYISEHCVASEVAIAKIGSLIEGAWKTTNQARFELPELLLPAVQRVANITISMPFMYDDKTDAFTFSTRLEGTIKRLFVNPVEL >SECCEUnv1G0548620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:190545221:190545586:-1 gene:SECCEUnv1G0548620 transcript:SECCEUnv1G0548620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRMLVAAAVAALVAVAWCAPPPVSFTVEKGSDEKHLALKIAYDKDGDSMKEVELKQNEEWLPLKKGYSGAWEVKSDKPLKGPFSFRYETEKGQRNVFDDVVPTDFKVGTTYKPEATY >SECCE4Rv1G0265580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726333879:726335536:-1 gene:SECCE4Rv1G0265580 transcript:SECCE4Rv1G0265580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRGIGAKLSANPEKVTSALLLGSFVALAFRSSEQQGEIDELEARKSSLRAANSAMSSTMWAWREELIKLAATPSPPITAARLRHIYGEEDLAVPAPKPSGPDAEEEPVTLKIT >SECCE7Rv1G0521150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873116028:873118088:1 gene:SECCE7Rv1G0521150 transcript:SECCE7Rv1G0521150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANAAGQFQKVRIQREDTAFDAYVVVGKQNAPGVVVLQEWWGLNNQVKNHAIHISQLGDGYRALIPDLYRGKVALDAAEARHLMEGLDWKGAIKDIQASVKWLKENGSPKVGVTGYCMGGALAIASGVLVPEVDAVVAFYGTPSSELADPSRALAPIQAHFGELDTYVGFADVTAAKLLEEKLKSCGVPHEVHIYPGCSHAFMNTSPEALKNQGAIDLAWSRFATWMGRFL >SECCE2Rv1G0137770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:919646610:919648294:1 gene:SECCE2Rv1G0137770 transcript:SECCE2Rv1G0137770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGAGTAANGGGMAEFLGRKSRYVRMDDVLPPEPEVEKEEEGVGGGVRVRGGARRHVFFCSVFASLNHVLLGYDVGVMSGCIIFIQKDLHIDEVQQEVLVGCLSFISLLGSLAAGRTSDAIGRKRTIGLAAAIFQAGAVVMALAPSFAALMAGRLLAGIGIGFGLMVAPVYISEISPAGLRGSLASLPEIFISFGILLGYVSNLAFAGLPDHVNWRVMLAAGILPSISVAYVLTVIPESPRWLVMQGRTGDARAVLVKVTETEEEAQERLAEIEESARVTTNDKAVWREIARPSPVVRRMLLAGLGVQFFQQATGIDALVYYSPTIFRDAGITTEGHLLAATVAVGLSKTIFIVIAILLVDHVGRKPLLLISTVGITACLAVLAATLSLLTRGALPGGVAIALAIVTVCGFVAFFSVGIGPINMVLSSEIYPLRLRAQAVGLGLAVNRLTSGAVAMSFLSICRAVSVAGAFTAFAAVSALSVAFVHWFVPETSGKTLEQIELLFGEAGGSGGEVELSDGEHLVHAR >SECCE1Rv1G0016940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:145489203:145492239:-1 gene:SECCE1Rv1G0016940 transcript:SECCE1Rv1G0016940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRARRAAGPLLRLADAGAVGERTCGGAQPSRAVFARGFLDFFKTWSKETPEDAEKKAKAKARLTDEMSRGYFQDISEIRKNNGKIAVASKIIIPEVAAVKFPNLALESPAGRALQLPLVASPPDDSHGAGGTVPVVPDAALVCLSFRASSQKMAESWSLPFLDAFGATGKVEAYEVSFIDSWLLSSSPVRRAFLKMMRKSGNPQRHAVYAFGDHYDFRKELQIVNLLTGYIYLVDRLGRIRWQGFGAATQEELSSLTACASTLLDEK >SECCE4Rv1G0228340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:134661924:134667037:1 gene:SECCE4Rv1G0228340 transcript:SECCE4Rv1G0228340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGATTAVAQNRRTRSRPPSAASSRKSDDPSAASANGNGKGPSKPTSPNHVGGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDVNGLLSGIVTDKDIATRVIAEGLRVEQTIISKIMTRSPHYVTADTLAIEALQKMVQGKFRHLPVVDNGEVIAMLDIAKCLYDAISRLEKAAEQGSALAAAVEGVERQFSSNFSAPSTSIETIRERMFKPSLSTIITESTKVAIVSPSDPVYVAAQKMRELRVNSVVITTGNLLQGIFTSKDVLMRVVAQNLSPELTLVEKVMTAHPDCATLDTSILDALHIMHDGKFLHIPVVDGDGRVVACLDVLQLTQAAISMAEGGSGAANDVANTMMQKFWDSALALEPLDEEFDSRSEISLVMPSEVGDGRSSIYPAVVGNSFAFKLQDKKGRIHRFTCGSESLDELMSSITQRLGTGGEKGPIQLLYDDDEGDRVLLTTDSDLAGAVLNAKSSGLKVLRLHIDDSDSSSEVKKQLPELVPPQNSQLTPVHYGLMAGAIALTGVVLVVYLKRSKV >SECCE3Rv1G0174620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:398893897:398912385:1 gene:SECCE3Rv1G0174620 transcript:SECCE3Rv1G0174620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSSSGADERRGSGSVEVQVAAAALQRSEVFHVVKELLGFVLYMHHQIPSVLQSLETEFAGLKEEMSEMTAPLAELKPSDQRKYNARKREVRCRIKKQEKLMKGISTVLSAFQQALDKVSTIEGVALILGGSLVRPLFVYDITVTHGRFDSGSAKGHGTTKLAQSVSRKAVRALISCGAGSLSYTGPTKLFLLVRCPCTLNLPLDFAPKREFRYSKKVVPQQMSIKCNTADYQKNNNHVASIVDPSCCTSESSPSDIIWFQCKHTIRGLPCKASLEG >SECCE6Rv1G0401890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:474890825:474901665:1 gene:SECCE6Rv1G0401890 transcript:SECCE6Rv1G0401890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSGLVGASTSATSPGAASSGGRPRSRGGGGMLLRATSPPPPSAVAAAACWESRTLRRDGEDDWEEVVAAPDGAAPGAGDAEADSDYRVVFWSPPTGDEVRAAFSSIQEVFEGSYYDVNSDETEKQLALLSNSEHSSSTNSSGSDDWVEPAAYVLNSTALLTREHRNVLDAFRLLQRDPNVQKMVMSLSCDRTVWDAVMNNEAVQEFRRSFQDGKEVNRKGNSCGPAAVLKWILANTQAKITEFFDNIAKIVSMLFHPQSDEHKPDLYSDAVKVSFMLSVFVFIVVAVARTNYEPWDFEVW >SECCE5Rv1G0372440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853040925:853041731:-1 gene:SECCE5Rv1G0372440 transcript:SECCE5Rv1G0372440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALLVAAVLAVVVCGAHGIPKVPPGPNITASPASYGNKWLDAKTTWYGKPTGAGPKDNGGACGYKEVDKAPFHGMTSCGNIPIFKDGRGCGSCFELKCTKPEACSGEPTIVTITDKNEEPIAPYHFDLSGHAFGSMAKKGEEQKLRDAGEVEIKFRRVKCKYPPGTKVNFHVEKGSSPNYLALVIKFLQGDGDVVGVDIKQKGEDKWIELKESWGAVWRIDTPDKLIGPFTVRYTTEGGTKTTVEDVIPKGWKPDTSYEAKGGY >SECCE4Rv1G0283060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:832819112:832820479:1 gene:SECCE4Rv1G0283060 transcript:SECCE4Rv1G0283060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAGEASKPGRTGKEQSHPPAIRRHDEPRPAPPFPAGASQKQRKTRRQRQPAAAILSEGPLVEILARLPYRSLCRFKCVSKKWLKLCSDPKIIKTASQTLSGFFHNHLACGLCFGNLSGGRPLVDASLTFLRESYGRIKLEQCATSLLLCKCWESESEDEDECDFVVCNPMTEQWTVLPPIEWLDKDNGEPESFELSYPFLVFDPAVPSHFVVFAPLFESVDIVAIYSSETGQWTPSRGWEYSPYPAVIPECAVFMNGMMHFLHLFVDEPLIAAVNIEGKVCREIAVRDGMIGASPGYCSVGCSQGLIHAWYMGPRDYELSVWVLKDYATEEWTLKHTVDVPELFEETECDQEEVCNEEDGTHKYDMFAIHPELNVIFLTDWKQVNLSYDMDSGQVHHMCTSGDFLGGLPFIPSFADLALG >SECCE3Rv1G0151700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:40677408:40679731:-1 gene:SECCE3Rv1G0151700 transcript:SECCE3Rv1G0151700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPPPAGAGGGGYPESTDSSPRSRGGDSWDEPFPSSAAAAAAGGGGGRLRLMCSFGGRIVPRPTDKSLCYLGGETRIVAVDRNATLADVHGRLSRSLLAGQPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRVAAASSSGGGAGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSENWFVDALNSAISGSFDGIPRGISTDSASVNCLLGLEDDNASQHSRSGVPNSGPADDQRANQQKLAAAAAAARHQHDVQSVPDSPMLDKNSSFGSTSSAPSLSNLPPIRVRPEDRQPVAPPVSVEDHFAQMGISEQQGLHPPVMGYMQPPPQAPIPAMAMQAASSISPSEPPSRAFSDDDRSDHGGRMQQPPKQEVPPTADPNNRAMFYNDMSPRNEMKRDMPVGTDAASYRAPAPAPDAAASAAAAQPPPPGYVYAQMQPQQQLQQPPQQQLQQQQLQQQAQQQLPQQIQQQPQQQLPPQLQQQAQQQLQQQAQQQPPQPQQAHQPAPQQYVTAGNQHFIHNPATGTFIPIQSYYQQTVPQQAPQQQQSPAFDPNTGMYYIPMQRPNAPQQYSIPAGAVAPMAAPALVDSAPKPTVPIPQQYMKPELQQPGMYRTAAPGAPAPGPNTAPNYAGMGYHHVMQSHHHPGSQPPPTMAGNYGYPEYAADPRAQVFYSQAGAPPASLPPQYQQPMGAPDAGGQADMNQNRGGS >SECCE6Rv1G0451850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873879533:873880384:-1 gene:SECCE6Rv1G0451850 transcript:SECCE6Rv1G0451850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCDRIRGPWSPEEDGALRQLVELHGARNWTAIGRGVPGRSGKSCRLRWCNQLSPGVERRPFTAEEDAAIARAHARLGNRWADIARLLRGRTDNAVKNHWNCSLKRRLADVGVAEEEERPSKRASVTPESTSGSGSGSDRSDLSHGGVFGRQVYRPVARAGEFEPADCAMSRRHEEEKEEAEDPLTSLSLSLPGTDVQGFRHDSSHSHFHQPSPSPSPPPTAAPPPYPFSPEFAALMHEMIRDEVRRYISSVGCGANLPSMPQVADGVMRAAAERFGGLRTQ >SECCE4Rv1G0242660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:486368568:486378021:-1 gene:SECCE4Rv1G0242660 transcript:SECCE4Rv1G0242660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTANTSEGIPVQMRLGLLSPLYLRRLFERMGATYIKLGQFIASAPTFFPAEYVEEFQNCFDRAPPVPYSEIESILREELQQPLDSVYEYIDPVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYLVARVLEFLSPELERTSLVSIIKDIKESMLEEVDFRKEAVNMEAFQRYIEAMGFDRQAKSPFVYQHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRVGFIDFGIVGRISPRTWAAMEIFLSSFATEDYNAMALALSEMGATGNDINVDSFAKDLQKIFSSLQELDTEIIVAAARSSDAAAVSANVVVDERQMNALFLDLVRVSESYGLKFPREFALLVKQLLYFDRYTRLLAPSMNMLQDERINMTSNKQTRRMN >SECCE6Rv1G0403280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:500163774:500164820:-1 gene:SECCE6Rv1G0403280 transcript:SECCE6Rv1G0403280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGFRRTISFPAPKSAPAAGSNGKSAAGYRVRSASLPCRFHPLVLQLDEDVATLRDLAGGLASARSIAEAAEQLGRVLVSLSELLHHPQAQEPLRRLGRSPFAERLLDDFLRLADAHGSFRDVLVALTALQAEARAALRREDSARLASAARGLRRSGRDLPRIASSARAVAGKAPPPAPAGLPSDAAALAAAIVDAAAAVASASAAVFSGVSSLSIAAATARVDVVSTPCWMPSPARFAATPRTGHHIVTTKPSSMRIWWVADLMRWMSRAKRRSANKQQADDGSSARQPQPGAAVVDPEEEDRKAAFERMDNLGRCIADVESSGENVFRALVNTRVSLLNILSPSF >SECCE4Rv1G0280990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:824724708:824726241:1 gene:SECCE4Rv1G0280990 transcript:SECCE4Rv1G0280990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSSPAIAQSHPSSGRHFYLAVDRLQFKMRTLLELLGVVSDRHGSVPIAICVSSRDELDAVCAAAANLPFVSLSPLYSDQDEAERASVLDKSRRAAIQRNQIEDTSFDESPKPEGVVLKLNITVVTDACLPSPAMGEAPLMSRVLINYELPTKKEAYLRRVSACLATGGIVINMVVGGEVALLKSLEETSGFVIAEMPIHVSEIL >SECCE2Rv1G0115580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:753197711:753200931:1 gene:SECCE2Rv1G0115580 transcript:SECCE2Rv1G0115580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAKKQQEAVRRYVRSRAPRMQWTAELHSSFLQAIECLGGEHNATPKLVLKVMGVKELTISHVKSHLQMYRGPSTRKAKREAQPQLPQRRHSCAADEPGGGPFMCPPLKRASTTGTAEAADKAGMQGSQSQGISETKTSGAAVNRYCIDDYYMQAMAMERRIMEGLSGCQRDAAAASSLRTVGCWEQGSAGDFKIIKPEAHYYPGLVVKKQGPKEKPKEEGSNGTEQCSLSLSLGLDPRCLRAVSSSSPSEGSCIISSSPPPPPRRGSSHCSGHSGCFDARGVNLDLSLSICGSY >SECCEUnv1G0531530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17537261:17539754:-1 gene:SECCEUnv1G0531530 transcript:SECCEUnv1G0531530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMDESLDFSELEAQLVLELGDIDRKRWVSLADSCSMYLPAEDSLSGLYSSGYDDDDSTSPRSTASTRATKNIIEERDRRRRLNEKLYAIRGVVPNITKMDKASIIQDAVAYIEELQEQERRILAEVSDLEAGGCTAVVVKSEASTGSEGVEDAGVGFSPRKKMRRTASSSSSINGAVASPATHPVVILELEVTPIEEKLAVVRMRHDNAQHVMAKIYKALDSLCLKVITSSVTVVDGRVVHTMFIETEETDSVETIKEMVQAALSHLEFLM >SECCE2Rv1G0075260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:83296212:83296469:-1 gene:SECCE2Rv1G0075260 transcript:SECCE2Rv1G0075260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPEMKGCDDFLEGEDQEEEKEMELAKVNLEEVGVFQDQVLDNKNVQKHVEEDDDEDLMEGMEATGPGAAGKLTGPSVAPRQEQ >SECCE3Rv1G0181000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:602357180:602359776:1 gene:SECCE3Rv1G0181000 transcript:SECCE3Rv1G0181000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A1 PLIP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G61680) UniProtKB/Swiss-Prot;Acc:Q7Y220] MVPSIAGATAASSSAHAPAGRRAKREPVHMHAGIRRSRSEPHLRCSRRGGAAGASLTTSRSIGVFPFQFGAAPLRPPPIPDGDGYRLLTVCAGETDPDPDPEMPQVRTPEDHWLDRLLELRSRFHDPTKRFFSDADNLFEEDDDDYHEDGGCGVSYEEEHSTAEADDKWDRESFVKLLARAPPGDARLFAQLAFLCNMAYVIPEIKTAELRKHYGLELVTSSVQKKAEASAVSAQADIDSTRLPGDAQHYEVAAEPQPRRPVRRSNHLAYEVAASAASYVQARARGLLSLRGHHHPPAGEEDRLYNSGMAAYVAASTVTAVVAAEDEARQEAARDLRSPLSSPCQWFVCDEADMRTRCFVIQGSDSVASWQANLLFEPTTFEDTGVLVHRGIYEAAKGIYEQLMPEIVEHLRAHKEGARLRFTGHSLGGSLALLVSLMLVARGVVGPESLLPVVTFGAPSVFCGGQRVLEALGVGEGHVRAVAMHRDIVPRAFSCRYPGHAVAVLKRLNGALRTHPCLNSQKVLYTPMGTTYILQPDGKASPHHPFLPEGAALFRVDNPEEPSGSGRAVVASALRAFLNSPHPLETLSDLSAYGSQGAILRDHESGNYFRALYALTKVAPRRRKQPEIVWRLPGVERLQQYWWPGVAGTVVPRPVAVRSKELVSEA >SECCE2Rv1G0093690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:384355614:384355926:1 gene:SECCE2Rv1G0093690 transcript:SECCE2Rv1G0093690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIHRFTCRFILEPRSLLVNEQEKKLNDSETAISSMQTSKEYLEKQLGEVENNIRELLQQDPGLARQILSMTVQ >SECCEUnv1G0568800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:431358096:431358341:-1 gene:SECCEUnv1G0568800 transcript:SECCEUnv1G0568800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTPADVPHSWAVPSSGVKCDAVPGRSNLTSISVQREGVYYGQCSEIRGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN >SECCEUnv1G0558640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:333963542:333963991:-1 gene:SECCEUnv1G0558640 transcript:SECCEUnv1G0558640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLWAVLLAVGSLAIGMLGVLGVWLCYLFQAVAFGPPPAPPPEMPETRDDDDVKNGLSEAELRQLGGVVQAEAADGEEEEPLCPICLDAMEPGRAVRVLPGCNRAFHQDCVDRWLAISPRCPVCNVWATPQSPQASPTAAKTAPGPGC >SECCE5Rv1G0333700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:560678614:560679675:-1 gene:SECCE5Rv1G0333700 transcript:SECCE5Rv1G0333700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVRELWAESRELLGLHSPDAVARADLPPTPLAFLRDHVSPGRPLLVSATATRHWPAASLWPTESYLPDALRSTDVSVHLTPDGRADALAPHPRRPGARCFASAHVRRVDFPAAVRLIRDSDPAAGMVAYAQQQDDCLRGEYAAVAGDVDAHVPWASEALGCLPEAVNLWIGNSCSVTSFHKDHYDNIYVVLSGEKHFLLLPPTEHHRLHVRDYPAARYVPVTEGEEVPKLKLEMEEPERVVPWSSVDPYPASPEEMAAQVSSCPLYFKGPRPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFLRSLEIKDSPLENNDDAFEGELEEKSD >SECCE7Rv1G0475890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:194463135:194468577:-1 gene:SECCE7Rv1G0475890 transcript:SECCE7Rv1G0475890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) UniProtKB/Swiss-Prot;Acc:Q9SCV4] MAAVGRLPGAAVALLLALLCLAGTSAATNVTYDHRALVIDGVRRVLVSGSIHYPRSTPDMWPGLMQKAKDGGLDVVETYVFWDVHEPVRGQYDFEGRNDLVRFVKAAAAAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKTEMQRFTEKVVATMKGAGLYASQGGPIILSQIENEYGNIDASYGAAGKSYIRWAAGMAVALDTGVPWVMCQQADAPAPLINTCNGFYCDQFTPSLPGSPKLWTENWSGWFLSFGGAVPYRPTEDLAFAVARFYQRGGTLQNYYMYHGGTNFGRSSGGPFISTSYDYDAPIDEYGLVRQPKWGHLRDVHKAIKMCEPALIATDPSYMSLGQNAEAAVYKAGSLCAAFLANIDDQSDKTVTFNGKAYKLPAWSVSILPDCKNVVLNTAQINSQVASTQMRNLGFSTQASDDSSVETELAASTWSYAVEPVGITKENALTKPGLMEQINTTADASDFLWYSTSVVVKGGEPYLNGSQSNLLVNSLGHVLQVFINGKLAGSSKGSAKSSLISLTTPVTLVPGKNKIDLLSATVGLTNYGAFFDLVGAGITGPVKLTGPKGTLDLSSADWTYQIGLRGEDLHLYNPSEASPEWVSDNSYPTNNPLTWYKSKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPANIAPQSGCVNSCNYRGPYGATKCMKKCGQPSQILYHVPRSFLQPGSNDIVLFEQFGGDPSKISFTIKQTESVCAHVSEDHPDQIDSWISPQQKLQRSGPALRLECPKEGQVISSIKFASFGTPSGTCGSYSHGECSSSQALAVAQEACVGVSSCSVPVSAKNFGDPCRGVTKSLVVEAACS >SECCE7Rv1G0454390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2408090:2412070:-1 gene:SECCE7Rv1G0454390 transcript:SECCE7Rv1G0454390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALGAAATLLGKVLTSLSAVPVAAYVDSLQLGLNSEQIRDKLLHTQGLLHNAQLQGSHVGDNPGLQGLLEKLSRDADQAEDLLDEVHYFQIHDRLHGTHYAATQDLQGLLRHQALHAASALRHLFRCFSCSPCTPPKSKRDGSDDAAAAAAAAGVTNSNSATAQDADTLLHFDRVSISRQIKSVLQGMQSHCDSVSNLLGIGNIPSGSTAAAVVQDRPHTASMIVQDTLYGRTDIFEETVNRIISCKHHVSVLPILGPGGIGKTTFVQHLYNDARTKNHFDVPVWVCVSTNFDVLKLTREILACIPATEEDISSSVANETTNLDQLQKSIAHRLKSKRFLIVLDDIWKCDSQDQWKTLLAPFTKGEAKGSMLLVTTRFPKVADMVKTVDPLELRGLESNDFFTFFEACIFGQDNKPEHYQDEFAAIARKIANKLKGSPLAAKTVGRLLQKDLSREHWNGVLEKHQWLKQQENDDIMQSLKISYDYLPFDLKKRFSYCGLFPEDHEFTSSEINHFWVAIGIIDSNHHADRNYLDELVDNGFLIKKLSHHGDRCWYVMHDLMHELSKSVSAQECLNISDLDFRADAIPQSVRHLSINIEDRYKANFEEEMCKLRERIDIANLRTLMIFRGYEEERIAKILKDSFKEINSLRVLFIVVKSAQSFPYRFSKLIHLQYLKITSSPDGDRVMSLPGTLSRFYHLKFMDLDGWNGRSDLPRDFSHLENLHDFHGGSELHSNIRNVGKMKHLHELKEFHVKKKSMGFELTELGALPDLEGGLTIRGLEHVATKEEATAAKLMLKSNLTELGLLWGRDGPTTDDGILDALKPHSNLRALTIANHGGIIGPSWLCLDIWLTSLETLALEGVCWSTLPPFEKLPNLEGLNLRKISGMHQFGLGCGGKCFMRLKTVEFYEMPELAEWVVEPNCHSFPSLEEIICIGCPNLSVMPLSEVSCTNLRRLEVYGCPKMSLPSMPHTSTLTDLYVSRGDSEKLSYDGKKLVVSGYGGALASHNLDKVEDMTVANVSHISLTDIEKFKSLTQLAVERCAGVFPEELDGSIVLSSVKSLRLDVSHLTSSKSSSSKVLSCFSALSVLEINGNEECVMQLPSSSSLQKLTLWGCKGLVLVPVEKENGGGIQEDKSLLLKLTISECGKLFSRWPMGESICPFPASLRELIVYQEPSMKSMALLSNLTSLTNLRLSSCSNLTVDGFNPLIGVNLTELEVRGCNTPGLAADMLSEVASQRAKLLLPAGYISRLELLSVDNISGLLVAPICSLLAPALHTLEFHEDETEMERFTEEQEKALQLLTSLRALEFSTPLSHYCNLLCALMNG >SECCE7Rv1G0474660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:175153930:175155755:1 gene:SECCE7Rv1G0474660 transcript:SECCE7Rv1G0474660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSMAVVLAVLAVAALRCAPAAAELPLVEHPAKDGGSLSLLVVGDWGRKGTYNQSKVAEQMGKVGEKLDIDFVVSTGDNFYENGLTGVHDQQFEESFTNIYTAKSLQKPWYLVLGNHDYRGDALAQLNPVMRKLDERFICMRSFVVNAEIVEFFFIDTTPFQLKYWTNPKDSHYDWRGVAPRKNYIANLLKDLDEAMKKSTAKWKIAVGHHTMRSVSDHGDTEELLQLLLPVLKVNGIDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVYQPNDDKLQFFYDGQGFMSLQLNQDQADFIFYDVSGKVLYKWSSRKTNYFQPSIYVTAE >SECCE4Rv1G0253050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:621580590:621582712:1 gene:SECCE4Rv1G0253050 transcript:SECCE4Rv1G0253050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHSEQRCWEDLLPDALGLIFRNLSLQEMLTVVPRVCKSWSRVVSGPYCWQEIDIQEWSQQQNKPDQLTRMVHTLVTRSGDSFSRISVSGLPNDSLFTFIANHARSLKTLELPRSEISDCIVEDVAQRLSKVTFLDVSSCTKIGARALEAFGKNCKSLVGLRRVMHPIDVAGKVCQHDEARAIACSMPKLRHLEIGYMLIATNAVVEIASRCRDLKFLDLRGCWGVDDKLLQERYPGLKILGPRVDDCYENSFLEECSDDSDDDSIYSWEEYMDDEDYFAAGSDDDEALWDDGHALEGLEVRFYGGGFGEGAFAGFDWPESP >SECCE5Rv1G0306560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:96105582:96109745:1 gene:SECCE5Rv1G0306560 transcript:SECCE5Rv1G0306560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGAKPDVFQTEGNIRFVATELATDIVITVGDVKFYLHKFPLLSKSSRLQTLVASSDEEGNDEVDISDIPGGPSAFEICAKFCYGMTVTLNAYNVLAARCAAEFLEMFETIDKGNLIYKIDVFLSSSIFRTWKDSIIVLQTTKSLLPWSENLKVINHCVDSIAAKASIDPSEVDWSYTYNRKKLPSESDPDSHWNGVRKQLTVPRDWWVEDICDLEMGLYKKVILAIKAKGRTAGEVVGEALRAYAYRRLFSTLDSAASNGLDCTRHRAALDTIISLLPPERGSVSCGFLLKLVRAACLLGSDEALRGDLVKRIGSQLDRASVSDLLIPASSDENALYNVDLVSSILEEFMVQRNGDDEALEDGESYTASSVSGEPELALVRLVDGYLAEIAKDPNLPLQKFIAIAEMAPLAARPTHDGLYRAIDMYLKEHPSLTKSEKKRLCGLMDCKKLTAEASSHAVQNERLPLRLVVQVLFFEQLRASASADAAAASDHHPSSALRSLLPRENGNSYGSSRSAATTATTNEDDQWGAGGAPASGDTSSFRSMSGPGNNNKSGGDGGKNGGKAAAKGPLQMPRKMLSKLWSGKASSGENSGGSDTSESPGSVNLEAETKSTHSRNTRHSVS >SECCE1Rv1G0026320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:369018008:369020909:-1 gene:SECCE1Rv1G0026320 transcript:SECCE1Rv1G0026320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKIGSSDSGAAASGAAAGASGGAPAKSSAAAAGGAPESVTVACPDHLVIADLAVAKSLGAVTNSAIAATRAIGRRSRRPLGERVHICSRCEFPIAIYGRLIPCEHAFCLACARSDSSCYLCDERIQKIQTVKMMEGIFICAAPMCLKSFLKKAEFMSHVPEVHANLLQTNTEKEERNEPDAPNISRASGGDAQRQSQMPEISTARAPPRRGVSPTSSSHMQDREDRSRYHQSREREHTPLRPPMLSKPPSFHGRHYPPGDTQSENNTPQGFDRPYSWAHDGTPGATPLRQEPDHGTQDKQQVMPNSSFMFSPMHPHQQNFMMHMNMNQPLIPNASFTYPVQQDGNPQYFSAPFQMQLQDAGSDQGSVSVPEGLQRPWGMGLMGNPSQGGGGMAFMPAGFGMMPDSSMNPGMQGRDFQGQADRGDGRGVQEQLPMVMQMQMSLPPPPPTQPPSAGQQSFNRT >SECCE5Rv1G0338960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604308859:604311183:1 gene:SECCE5Rv1G0338960 transcript:SECCE5Rv1G0338960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ssDNA-binding transcriptional regulator [Source:Projected from Arabidopsis thaliana (AT5G09250) TAIR;Acc:AT5G09250] MGLKGNKRFGGGGSGGQPPAKRQAAGKDGPSEETDDGIVVAQISKNKRVAVRSWNGKVMVDMRKFYEKDGKSLPTRKGISLSMDQWKILRDNIEAIDEAVKENT >SECCE3Rv1G0155680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:68097119:68099938:-1 gene:SECCE3Rv1G0155680 transcript:SECCE3Rv1G0155680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILDALTFKLLTKLGQVIQNEVVMTLSVKKDIKRLKNNLEHFSAIREDAEALAMEDKRIEAWWKNMSNVMFDVDVIIDLVMVHSEKLLLTPRSVCCNQSMVSCFGKLSFDHRVARRIKDINEKLDEIKMNTEMFNLDRTTSQQFQVTTVDRKQTSPVDELEVVGREIKQAVEDMVQMIVSSCCGNRSSVFGIQGMGGIGKTTLAQKIYNEPLVREKFQVRIWLCISQSYTETGLIKQTIRMAGEKCDQLETKTELLPLLVDSIKGKSVLLVLDDVWKSDVWIDLLLSPFRRALNFHVVVTTRNLDVLAEMHATYTHQVNTMNYQDGLELLLKKSFQPHEQISEFEKVGYEIVKKCDGLPLAIKVVAGVLSTKRTTAEWKSIRDSKWSIHGLPKELGGPLYLSYSNLPPQLKECFLWCALLPPNFEVHRDAVAYWWVAEGLVRKEHGFSIHEIAEEYYLELVRRNLLQPVPEYVDKAVSTMHDLLRSFGQYLTKDHSLCMNVDRIDAMSNLRRLGISHAVEEIPNLEEHKCLRSLLLFNNKNFKSMHRDIFRKLEHIRVLVLSGTSIKDIPDSVGNLVLLRLLDLSYTEINKLPESTGSLISLEYLSLLGCRQLDSLPAGLMRLPKISFLHLEQTSIDHVPKGIAKFQQLYNLRGVFESGTGFRLDELRCLPNIQRLWVSKLEKAIPGGELVLKNSRNLKELGLRCTMNTDTHDRTRYEHDKIQKIQQVYDMLIPSPSLEYIFLVGFPGTMFPEWLRSKPELNMPSLRQMHLDECISCSELPPAGQIPQLQFLKIKGADAIESIGEELLGKGVGSPAAFFPKLEVLKVIGMFSLRSWSLNTGNPSDNSQHISLMPCLKRMLLLDCPKLRALPQDMSKIVNLKRIHIEGAHKLQEVVDLPAVVWLKVKNNACLKTISNLCKLQDLLAQDCPALDQAKKL >SECCE7Rv1G0466150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:76770022:76772376:-1 gene:SECCE7Rv1G0466150 transcript:SECCE7Rv1G0466150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGAVDWWEEWQLRVLVISSQCVQWLLFLSARKRKSVVFAPFRFLIWLAYLGSDAVAIYALAALFNRHRKEQRDSHGESILEVVWAPVLLMHLGGQDCITAYNMEDNELWKRHVLTAVSQITVSIYVFCKSWRGGDKKLLQAAIMLFTVGGIRCLEKPHALRSASINSLVSSSDTTAQVDNKRSNPKINSLVDYVKKVRALFDAGRHAEAQAEAEVEADHLSDQVLGEGHVDANHLPDQAQVQGGVDANHLPDQAQVEGDVEANHFPDQAQVEGEGDSMDEEKKAAKRLSNSLHREQGADQVNKLFVDLASPYSARLDVTESFLKLDEKRAYEWLQEMLSGTFNLLYTKAKMLDPDLKDAFRGFRFFLFVLVAYFRLACTVLPFAAIGLFHKSHREAYNDKDVKVTYTLLSCTAVMELYSLRKDFGSRFSGTVSQCSFMGFFALKEKYIRCIFIQRWCMPRNSPFRITNLVVEHVIHWWKHIEDAADYVRFNNHRGQWTVQEAGCSEGLGWSMKRPFDESVLLWHIATDLCFYKVGASPNYACDTTQYDEEISSNAESTKSVHRVSAMGCREISNYMMYLLSEKPEMLMAGTRRNLFIATYNKLKEILKDQEQPLLEQRMGLGKFLYRCINLKEEKEQQLQEERSVAERIFVKMEKPPVEEGLIHDDLIHDAWILVEGLLKLDEEKMWKVIEGVWVEMLCYSASRSRGYLHAKSLGTGGELLTFVWLLWSHMGMETLAERMQMPDAEFASAGGNAGAAQLGGLLVNAGSSLVAAIGEDGGGV >SECCEUnv1G0554800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:291393283:291393504:1 gene:SECCEUnv1G0554800 transcript:SECCEUnv1G0554800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCCGPSDVKVLPKNTSCLSSASSASSPSKDSGDSGKKKQQQGVKKEKKKSNLDRAAMATPSLPFHSRPGLM >SECCE6Rv1G0439710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:798890491:798891351:1 gene:SECCE6Rv1G0439710 transcript:SECCE6Rv1G0439710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRIAIGAPGELSHPDTFRAGVAEFISMLIFVFAGSGSGMAFGKLTDGGPTTPAGLIAAALAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNISLLKAVVYWVAQLLGSVVACLLLKIATGGEAVGAFSLSAGVGVWNAVVFEIVMTFGLVYTVYATAVDPKRGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVTGVWENHWVYWLGPFAGAAIAALVYDICFIGQRPHEQLPTADY >SECCE3Rv1G0166000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:181552156:181555651:-1 gene:SECCE3Rv1G0166000 transcript:SECCE3Rv1G0166000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLHTSPFTLLIKLEPGRRRLALPQYAALRRPAPRSVRAAALAESVDAQLRQFARGGATADDDRLENYETLLVTRFLDILQDLHGSDFRRVVEECLRVSAEYQRDGGDRAAKLGELGALFTSLDVGDAIMVSSSLSHMLNLANLAEEIQMVYQKKMETSRRGGFADEALAPTESDIDETFQRMVGGLGKTPREVFDALRSQTIDLVFTAHPTQSIRRSLLEKHASIRTCLTQLCVDGVSENEKQEIDEALQREILAAFRTDEIRRTPPTPQDEMRAGMSYFHDTIWNGVPKFLRRVDTALKNIGIDERLPYDVPLIQFSSWMGGDRDGNPRVTPDVTRDVCLLARMMAANMYFSKMGSLMFELSMWRCNDELRARADELHRLSSRKYAKYYIEFWKQISPREPYRIILGDVRDKLYNTCERAREILSNGESSIPEEDTYTRVEEFLEPLELCYRSLCDCGDKLIADGSLLDFLRQVSTFGLCLLKLDIRQESDRHIDAVDAITTHLGIGSYRDWPEEQRQEWLVNELGGNRPLFGPDLPQSDEVADVLGTFRVIAELPADSFGAYVISMATAPSDVLAVELLQRECGVKKPMRVVPLFEKLADLQQARATMELLFSIDWYKERINGKQEIMIGYSDSGKDAGRLSAAWYLYKAQEEIVDVAEQHGVKLTIFHGRGGTVGRGGGPSHLAILSQPPNTVNGSLRVTIQGEVIEKSFGEDNLCFRTLQRFTAATLEHGMNPPVSPKPEWRALLDDMATVATEEYRSIVFQEPRFVEYFRSATPETEYGRMNIGSRPSKRKPGGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHAMDKPGGLATLREMYDEWPFFRVTIDLLEMVFAKGDPGIAALYDKLLVPQDLWPFGEQLRANYAETQSLLLKVAGHEDLLESDPYLRQRLLLRDSYITALNVCQAYTLKRIRDGEFRPATRPPLSKEFITETAESLMELNPSSEYDPGLEDTLILTMKGIAAGMQNTG >SECCE1Rv1G0008860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:50457341:50459534:-1 gene:SECCE1Rv1G0008860 transcript:SECCE1Rv1G0008860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MPILSLSAKPLSRLPAKQGLSRLGRARNLASTSRMRITMLKQQDHPGNETGSRPLYLGIDFGTSGARYALIDKQGAIRSEGKRTYSAVGQSTNWASSWREALFHLLGDIPSIHRPSISSISIDGTSATTLIIDRNNGELLAGPFLYNESFPDALPMVNSIAPANHTVCSGSSTLCKLVSWWNKHCSNGGDDSAILMHQSDWLLWLLHGEYGVSDYNNTLKVGYDPEIESYPSWLTSQPYSHILPSSVRAPGVPIGPIKEDVRSQYGFSNDCVVCTGTTDSIAAFLAARTTDPGKAVTSLGSTLAIKLVSKVRVEDARFGVYSHRLDDQWLVGGASNSGGVVLRQLFSDDQLVTLSRDIDPSSPSPLDYYPLPKSGERFPVSDPDMEPRLEPRPDSDAEYLHGILESMARIEASGYKLLKELGATPVEEVFTSGGGAQNEKWTEIRERVLGVPVRKAKQTEAAYGAALLALRGATTGK >SECCE3Rv1G0210250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943134413:943135406:1 gene:SECCE3Rv1G0210250 transcript:SECCE3Rv1G0210250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFDAASKTDPPAGKAPPTSFPSDPEGLERVLLYLKEAYGDIPIYIQENGQSSGNDSLVDTERTGYLKSYIGSTWAALRNGVNVKGYFVWAFLDVFEYLSGYQARYGLYRVDFDDEALPRQARLSGRWYSAFLKNNNDGIHVESELNNTGWHAEQ >SECCE2Rv1G0084820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:181596452:181597832:1 gene:SECCE2Rv1G0084820 transcript:SECCE2Rv1G0084820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHNCFSIITSQTQIEKSNPVAAAAAVAMDERLPQPPPPPQFQLGNPMSAPASPYSALHPLLLPSTNPHLLLKPKTLTLSLSSSSLASMPSSSSPPAPASDAWELVHPTVPVAAASPIDGGLDDCAIFPPRLHEGLGLEAEAEEVATKEEKEEETDDEEEWLWGWGRCRAAARRVWAAGSGAVLVRGECGCPGVRPAVWSAAAAAVVVGALLYVRRRDRRERDLLVMLSQEKDKRIAQLLHQIALLSDIRGGSEANKIMRNS >SECCE1Rv1G0014980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:119150753:119152267:1 gene:SECCE1Rv1G0014980 transcript:SECCE1Rv1G0014980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEWDASSLAGALKSAATCRSTPHVKPLHAVLLKLGLSASAILATSLAHLALRCGLPRYARALFDEMPRRDVVSWTSLITGHAHQGLHGDSLALLRRMVESGVAPNGYTLSGGLLACAGVGQGVLATGKEIHARVVKMSMYGPVDSVVENGILDMYARCGNVDYARRVFGVMVARDIVAWNSMMAGYLGSGQAEETLGLFASMVSCEVGADGFSYAIIVDACGELALLKQATQVHARVIGAGFESDVVVRNSLLDMYAKCGCVDSAELVFNAAPSLDAVLWTTMISAYGKFGRAQDAVCLFDRMARLGVKRDGVAYLAVLSACSHGGLVREGWCYFKFLFDGQSSVKLQPEHYGCMADLICRRGHLEDALEFIESMPFESSIAAWSALLNSCRIYGDTKLGQLAASRLLELDPENHSNWVALSSIHASEGDWHETWMIRENMNKEWVKKEPGCSWVELHDGVHVFLMADQSHPELVNVLQTLDSLKEDIWVMPSKPWTISYDAL >SECCE5Rv1G0320340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:386543128:386549025:-1 gene:SECCE5Rv1G0320340 transcript:SECCE5Rv1G0320340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSDDGDRTCPLCAEEMDITDQQLKPCKCGYEICVWCWHHIIDMAEKEDTEGRCPACRTRYDKDRIVKMAATCDRTVADKNTDKKQKTQKVKSKTLTVEAKKHLASVRVIQRNLVYIIGLPANLCNESVLERREYFGQYGKVLKVSVSRPTGAPSQQTATNNGISVYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHDVGSQEDSFTKDEIISAYTRSRVPQMASSVSQRRAGTILPPPAEDFSYSAVVSAKHTIKNGTTNTTGQSRLSPPNSSSGRSTLPQATSWGHRDLNTRTATTEVASSQSLIKSKSEAHSNSLSSSSMISNSRLPSSWNDDTSTVLKITEGRQVSERESLSKTLKPYRPGIAKETQAVTSLESSLDIDFSTIPSAWNDDEVVASDETSKGSEEKQVVNGKFIPSASSKPTEPGQIGSKSSTSPKNGEVVNSSKQNLADCVPHSAISGSVLKRDDGESRPGDTEVEKLSVGVTSVTLDSKDTVHSMAENQQVGAVPNTSVVVPLSQSLKKEQSHLKLAGLSSSENKDSVLSCQSSSDKHLDWSSELQSCRVASPLNDIWNSSVATDKPHATANTSHISLWNDKEINPTSTSDGRTSGTMLQTRLPSTDNASTMLNGRREGLGPIYTPDMVSEHSGMRNHQHRALDAARNDNIGSFGNAVSGNKDEGSIISDILSLEFDPWDESYSTANNFVKMLNESEKNDALFNAPSWKSKGTSNESRFSFARQDNQRNFQDSSFRNCGSDQNFSLLSQNSHGNSYQNGVAFQSPEEDFLKSNLAMSDIATAGSSRSKISAPPGFAAPARVPPPGFSSQDGLNPPPGFSSGFSSQDMLNHPHGYPSGFPSQAGSNPPHGFSSQAGSNTPHGFSSQAGSNPSRGFNSGFSSQDGSNNIPPGFSSAFSAGFSSQNGSNQAYGSTFSETRLLDNLFGSHTNQYQPQISRHTSDIEFIDPAILAVGKGRMPGVSDSGLDLKNAPFPAQLQTSNNDPRLQLLMQQSMPSHQNLRYTDHVQDAFNPIQNDNYLASRLLPQNHGSLSPYAQMSLQQPRNSQLANGHWDGWSDLRQGNNVPMSDMSRMLYPTEANNFHMLGSNDMYNRTFGL >SECCEUnv1G0544540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:130537107:130537470:-1 gene:SECCEUnv1G0544540 transcript:SECCEUnv1G0544540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQGYPQQGASATAYPPPGQQPQAYVAPPPPAGYPQKDQQYPAATGSDTTTSRGGHGHHHGGGFWRGCCAALCCCCLLDACF >SECCE3Rv1G0209970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:941354448:941355743:1 gene:SECCE3Rv1G0209970 transcript:SECCE3Rv1G0209970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTSKKSRGTPLLGKYELGRLLGRGTFAKVYLAHTVTGGEPVAVKVIDKAEVLGTEGMAPRVLQEVEAMRRLRHPGVLRLHEVLATRASIYLVMELAPRGDLQSRLAALPSRRFSEKAARRVFVQLTVALAHCHARGVTHRDLKPQNLLLDGAGNLKVSDFGLSALPDSLREDGRLHTACGTAAYAAPEVLRNKAYDGAKADAWSCGVTLFVLVAGRLPFDDANIPDMCRKACRRQYVVPPWVSPPTSRLLHRLLDPNPETRVAVEALAGTHPWFKRSLSLDSQLDGLPDGQPEHALAFRAPAANAFDIISTSQWLDLSGLFGESRRSKEKRFVTTASPEQTLEQLGRAGRKLGYVVVVGKKGVECPRCPLGGLTISVEISELEPPLMLVEMRLEMDDGEVQVFGWDQLRVELGDDVVRAWHSCEDLHEV >SECCE5Rv1G0319400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:375194724:375204848:1 gene:SECCE5Rv1G0319400 transcript:SECCE5Rv1G0319400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVHSSSSSSSSSLPTPSLAAVNHQTDRVDGELPAANGPELPREDAGEEDEEGRAVALVPCLPQVVVLCEQRHEGFDEAAAAAAGPSTSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMPPPKALETIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSASSVKDCILLAACEAHQTLPQSAEYPADVFTACLTTPIKMALHWFCKRSLLSGSLDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHELFQRLFRQDLLVASLFRNFLLAERIMRSANCSPITYPLLPPTHQHHMWDAWDMAAEICLSKLPHLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRRGQEACMSAGLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEAQLVGLQSNAPEIVTCLLSEPQPEVRASAVFALGNLLDIGSPSVNGGDDDSDDDEKVRAEINVVRSLLQVTSDGSPLVRAEVSVALTRFALGHNKHLKSVAAEYWRPQTNSLLKSLPSLANINNPSNVYSPSNFLQGSSGLSSHIGPVLRVGSDTSATGRDGRISTSSPIATNSIMHGSPQSDDSSQHSDSGILLRENASNGGLSYTRSRPIIDSGIYSLFISTMCSIAKDPYPRIANIGRRALSLVGVEQVVMRNSRFGSGGAGETSAPSSNIGMARSSSWFDMNSGISMAFRTPPVSPPQHDYLTGLRRVCSMEFRPHLLNSPDGLADPLLSSAAAPSTSELNILPQSTIYNWSCGHFSRPLLTGSDDNGEVSARREERERTALDCIAKCQRSSCKMTSQIASWDTKFELGTKSALLLPFSPIVVAADENEQIRVWNYDDALPVNTFENHKLSDRGLSKLLLINELDESLLLVGSSDGNVRIWRNYTQKGGQKLVTAFSSVQGHRAAGRSVVIDWQQQSGYLYASGDMSSILVWDLDKEQLLNTIPSSADSGISALSASQVRSGQFAAGFIDATVRIFDVRTPDRLVYMARPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVIASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEDNYQVR >SECCE1Rv1G0050010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:643917875:643921194:-1 gene:SECCE1Rv1G0050010 transcript:SECCE1Rv1G0050010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLALERIMPVRLRHDRRRRKIQSRRLSIASHSGKRGSIGHPQGGKTRRYSVPDLPQGIWDDILALLPLQDAARAGCVSHTLLSSWRCRPDLTFSKETLGLDGNSYLSKKLARIFNKRVDRIMKKHSGIGVKKFELRHGGSSLKSSYLDRWLQVAVAPGIEEVTLLVPEQPNEAYQNFPCSLLSNGSGSSIQHLRLTSCTFHPMAGSFGCLRRLHLDVVDITGDELWRLLSNSPAMEELNLNNCEKITFLKIPCQLDRLNSLSVSSCVALKAIENKAPNICNLQIDGNLLQLILGYTWQVKDLEVLDSYKRDLVRYACADLPYNMPNLETLYVCSVGEDFSTPILHGKFRCLKNLEIDFQHEGQGGFSPGYDYLSLVNFLDACPLLETFILGVSQRNVEHELISEDSVLRRMPGHHHGNMKDVTIIGFCAAKSMVELTCHILENATSLECLSLDAVYDNDFEEADRPCINKSYKKCSPLIGKRMIAQAHKGLWAIGRYVADKVPSTVKLNVKKLCERCHAME >SECCE6Rv1G0429000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:732806171:732806650:1 gene:SECCE6Rv1G0429000 transcript:SECCE6Rv1G0429000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMITLKSSDGEEFQVEEAVAMESQTIRHMIEDDCADNGIPLPNVDSKILSKVIEYCKKHVQASPKPADSTTEDLKSFDAEFVKVDQATLFDLILAANFLNIKGLLDLTCQTVADMMKGKTPEEIRKTFNIKNDFTPEEEEEIRKENQWAFE >SECCE7Rv1G0472010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:135627167:135628405:1 gene:SECCE7Rv1G0472010 transcript:SECCE7Rv1G0472010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRETERGSSEGLPEDMISEVLARLPPKRVLRFRAACKAWRDLATDPAFISKHHSFQPRQPLVLTHGCLQAVDLATNKRRTVLRVVKWVPPPRPFDDGRDALMVHGSCDGLLLLSSDDGRGALMVHGSCDGLLLLSFHRSFFVCNPATRQGTRLPLLLQDGRDVVGFYQHAASGEYRVLYHEAGGLGLQTRHSYYVLTVGSPQARSIDLRTSSAEVGAWLMRGLERSSTSPPVLLNGRLHWSPQQSQGGGILVFDQAAESFSRISLPAEASLTKDDHMQLFEMEGKLAMFCSPQFAKNLAVWFVDDYKEMCWVCKDWFKLSFKLAYQPIPLPPCPIVLYQEGDMLVPEEVSDKVLHCDKSGKSLGPVDCSARGIRITPHMLKESLVLHEFLQTQRNDGACEWFSEVEFADG >SECCE4Rv1G0260250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:694342514:694349753:-1 gene:SECCE4Rv1G0260250 transcript:SECCE4Rv1G0260250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLLLALAGLAVAAAQPFFPPSPQQLTNAPDAAALRAVFQRWGLRYGPTVNPGNPCGTRDWRGSFAQNASVGCACDGSTGECRITHLNVTGYWNLTEIPPELFNLTELVSLDLSNNNLSGSIPPEVANLSKLETWHFNNNQLSGPFPNESSPLRNLQSLWMFDNYIEGLFPEFIANFTNLTDLRIYGMKLQGPIPKQFSNLINLKYLMLGDLDGANSTIDFIPDSANLSILSLRKCGIVGQFPSTPPTSSNLTYLDLRSNNLSGQLQLLLPYKNSRYLYAGDNDFSGHLPAEFVQPSLALDISYNPFINGLLPNNPTDRKLSLNYIGTAIDTSRAINSENLTLLNCLHMKECNRKYYTNAITSFAVNCGGKQTIYSDPLPIRFDDDTADLGAAGFHVNTSMQWVVSHVGSDPFRESPSFVSTSQVILGTDMPELYQTARTSRSALWYYIVGLSNGKYTVQLFFAEIVIEKPGKRLFNIDIQDRNIKTDFDITKEAGGFRRPTNITYEATVVNSVLKIHLHWNGRGTCCIPYEGAYGPLVSAIRVFRPESPNNSPPPARPVSAPSDDDKRRGVVAGIAALCIAGAVISSSVVYLWWKWVALVKHPNT >SECCE5Rv1G0304750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:61626146:61627408:1 gene:SECCE5Rv1G0304750 transcript:SECCE5Rv1G0304750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTSLLRRARARVRSRPLVASDSARRARPRKSPPEEDPATALPDDMLLEVFKRLPPPTDTIRCAAVCRRWRRIISSGARAGCLPTPPRHFGFFRNYHQSPLPPFVATESGVGVGLDVGGLLPRVVLKHGVDIVDGRGGLLVLRERSKKFWELNLVLCSPLERSYRRLPPVNISGYDMACAAFVPLEGVSFRVAAVLFGGAEHLWRRYAVLVYDLASAPPAAWKVTTGSRPHGERHYNAHEGPSVVVGDVVYSLQGEHIMVVDTAKMTMSVLPEPVAHPDWLVDGNHWIGKTEDARLCFFVFHEYKPLLVERWPLGADDKWTPQQPLRLPRDLHGVKLTTGFSEVSSSFKRVRFAGFCKGSRMLFFVMDDWVVSFDIETLEMERLWCCDTDEPRWLGGLSEVYPYEMMAWPPVLKDFAH >SECCE2Rv1G0069220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27421225:27421602:1 gene:SECCE2Rv1G0069220 transcript:SECCE2Rv1G0069220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGRADDGHRGHLGPPGFGRRRGRQQSTPRAERRTSKSRSAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTR >SECCE3Rv1G0189500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:726604078:726606608:1 gene:SECCE3Rv1G0189500 transcript:SECCE3Rv1G0189500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPAAAGLKRKRKRRRRPKAGKGATADLPDFFSNLPDDVVLAIANRLPTRLAVTLSVLARRFRHLPTLYTRLDSLSFSGPASPVPLPDSQPKLLRRLDIAPPKRIKPSALRHVIKTAARHGLSELAVRLPRRVCLPKSVFAIRSLAVLSLNTCSVPPLSAVACPRLRTLKLHRVFIRPAVLTAILSAATGLHTLEMVHCTGLDAGCTVESLTVRSFLFHPNVDQREVTLRAPGLRTITLHTRPKTQKVHLEPSPDVSKAYLHVAKPQEKVLIRMRPFLDAATGLASLTLRGFAVKLLADEYKDIAKLPTTFQGLKILSVSLDFSRESQVVFLVKLLESCSNLQQLNVSAAENKKAGEDFSFADHKKMLAKASCLTNSLLKIKFLGFKSGEYEKDLLVFLLNRTEKLKKIAVQFPASEETAVNWALSVRPAPIERRSTLFNKGYLQLEYP >SECCE2Rv1G0129740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:870203950:870205099:-1 gene:SECCE2Rv1G0129740 transcript:SECCE2Rv1G0129740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKHAFGSYGIMALIFFSAALVSADLSAEFYDDTCPDALDIIEDAVRAAVSKESRMGASLLRLHFHDCFVNGCDGSVLLDGATGEKNAVPNKNSLRGFELVDDIKAQLEKACAKVVSCADILAVAARDSVVALGGPTWDVELGRRDGTTTSQDAANSDLPAPTSDLGALTKAFSIKGLTQKDMVALSGAHTIGQARCVNFRGRLYNETAPSLDATLATSLKPRCPATDGTGDDNTSPLDPSTSYVFDNFYYKNLLRNKGLLHSDQQLFSGGGSADAQTTAYASGMGAGFFNDFRDAMVKMGGIGVVTGSSGQVRVNCRKAN >SECCE3Rv1G0197540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:819887890:819888534:1 gene:SECCE3Rv1G0197540 transcript:SECCE3Rv1G0197540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEMKDKFEKYWKDIHGRMSVATVLDPRYKLHILNALYGPLYGREHATIEIEKVKKLLIQLVKQYEDEVGGEDAWDASVVEQLGEEDEAMKLYDLYLSSHPTVPSSSIHTELDLYLEEARLPRTQELDIINWWKVSGSRFPTLQKLARDILPIPITSVASECAFSTSGRVLSAHRSRLTPNVAEALMCMQAWSRADLLGNMSFFTCTCFLFCK >SECCE4Rv1G0224530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:81471419:81472859:1 gene:SECCE4Rv1G0224530 transcript:SECCE4Rv1G0224530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >SECCE2Rv1G0100380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:540397756:540399597:1 gene:SECCE2Rv1G0100380 transcript:SECCE2Rv1G0100380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRIRKKPASAPPDASSTAAAAASADGGGGGATILASPDRYSTENGGSRDESFFEARPWLDSDSEDDFQSVRGDFTPSRGSTPDHQMQTSFAARLSADRPIPSLTEKKQRLLELLQEKQQYDDEHDATTDAGSETGNSIHAEEHLNPSGKVEKAKKPAKPGCFACSAWKLSFKCCRKKKKEQKDL >SECCE1Rv1G0024030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:318142968:318146306:1 gene:SECCE1Rv1G0024030 transcript:SECCE1Rv1G0024030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMGHHQRSRSASGSPSATRSSNATELDFAAADLECPFGGIDALGAVELRETAYEIFFMSCRSSGGTAASSPGARGGGASEGEVSSPVASRGGSGSAVMSSKVKKALGLKPRRSAPTMVRTLSQNSGPVSPGRTRRPMTSAEIMRQQMRVTEQSDARLRRTLMRAVVGQVGKRPDSIVLPLELLRQLKPSEFTDGEEYHQWQFRQIKLLEAGLILHPSLPLDRLHAAVLRFREVMRATEIRAIDTGKGSDAMRVLTNAVHALAWRPGSGSDACHWADGYPLNVLLYVSLLQAVFDHREPTVVLDEVDELLELIKKTWPILGVGRALHNVCFAWVLFQQYAVTEQAEPDLASATLALLADVAADAKQGSRESQSRDPVYAKVLLSTLGKMQEWSEKRLLDYHDRYERGIGGTATEGMEILLSLALAAGKIVADREYAGTGNFAADRVDYYIRCSMKNIFTKILENGMGEADPANDPGVVLTRLARETEQLAMFERANFSPLLRRLHPAPIAVAAVTLHGCFGVVLREYLGKVTILTEELVRVLHSANRLEKALAQMTAEDAADCDDDRAKAVVGDMEPYEVESVVMSLLKAWMDDRLRIGTDCLLRAKETESWIPKSKEEPFPASAIELMRLSRATIHEFSDIPATAKDDVVQELVDGLDSIFQDYISFVASCGSKQSYVPPLPALTRCNQDSSFFRLWKKAVLPSCQAPEANPRGGASQHTPRPSISRGTQRLYVRLNTLHYVLTHVQAIEESLSSLSSASGGSRVATTSVHLDRTRAAAQSAVSHVAEVAAFRLIFLDSRHSLYQGLYIRNVVDTRIRPVLRALKQNLSFLVSVLVDRAQPVAVREVMKASFQAFLMVLLAGGNDRSFTRADHGMVEEDLRSLKRAFCTCGEGLVPEEVVVQEAEAAEGVVDLMARSTEHLIAAFGAATSESIAGVREYEDCDGGATAVPPTSRQWGPADPNTILRVLCHRDDEAANQFLKRTFQLAKRR >SECCE1Rv1G0032000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:449229815:449231082:-1 gene:SECCE1Rv1G0032000 transcript:SECCE1Rv1G0032000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKPVAPVPLPRAAAYPRILPLRLPRRQSPGITRASPPPTTCSGDAGGELPARLQPNARRPLWHGGGFSLGVDLGAARTGLAVGRGITQPRPLTVLKLRGQKLELMLLDVAQQQEAGELIIGLPVSADGRETPQSNKVRSVAGRLAVQAADRGLRVYLQDEHGTSVDALHYMISRGVKKSARDDKSDAYAAVMILDRYFSSSGQGAQIVLPRQQELQDKLIAKPRQDAEI >SECCE3Rv1G0214070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963868882:963870033:-1 gene:SECCE3Rv1G0214070 transcript:SECCE3Rv1G0214070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPSKLPAVSLPGRPTCFGPQGWADLPYGLLNSIVDRLGSFRDLVGFATTCPSWRAAFSSYPSKSTFLTKLPPLLIQPHIRARGPLLPCTGGCHELYPCKVVDPANRNAALRCWIPQDIPEKMTFIGSSYGKLIYYCNGSCRIIDAFTGDEVSTPRLPFRAECSKLHFTGILTAPLASPDSHLLVSTESFLFDLRVGNDSWSQLQLPCQFAIDHFVEFDGQLIVSNSYGRSYALRLAPQHGLQEIKTNPVGGRPVVGRLVVCGDMLLILSFGEFHRLDMSTEPATRVAVKKLGERALFIGAEVKSMPLSCMNPELWGGRSNIVYSARTSRPWYLYRLCGRPHPMQDRPTRIEPAGGGSTPVPVQSSVRPLWLYPSMLYSDGQ >SECCE6Rv1G0421040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:681362524:681364057:1 gene:SECCE6Rv1G0421040 transcript:SECCE6Rv1G0421040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSMEHPWAFAFGLLGNVISFSSLLAPIPTFYRIFKSKSTEGFQSVPYVVALFSAMLWIFYALVKTGEGLLISINAAGCVIETIYIIMYLVYAPRKAKIFTAKIVVLLNITGFGLIFLLTFFAFHGETRVVSLGWICVGFSVCVFVAPLSIIGRVIKTKSVEYMPFTLSLTLTLSAVVWFLYGLLIKDKYVALPNILGFTFGVIQMVLYMFYMNKTPVASQVKEGKEAWKAPAEDHVVVINVGKTDKSSCAEVRPVTEMASAVDVPRRCAAEAAAAPGLDFARSVDVV >SECCE7Rv1G0467750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:90879164:90883408:1 gene:SECCE7Rv1G0467750 transcript:SECCE7Rv1G0467750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMSVDSSGCGGLDAQIEQLLQCRPLAEQEVKALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVSLLVALKVRHPHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNAHTFIQFEPAPRRGEPDVTRRTPDYFL >SECCE2Rv1G0140670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932319801:932320148:-1 gene:SECCE2Rv1G0140670 transcript:SECCE2Rv1G0140670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTKLLLALVVVAAAVDCTAAFDQDNTPFKPIPDLSVPRIQELGRWAVQQHNDQTGDRLTFTGVNSGQFQIVAPALNYLLAIDTTNVDGTASTHTALIFVQYWTNTQRLDSFN >SECCEUnv1G0569940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:455026560:455027495:-1 gene:SECCEUnv1G0569940 transcript:SECCEUnv1G0569940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVPGLPVPVEMTRATCSSSFLKTPAWEAFQKNSMEAMRMAEGAIANTFLDLEGQFVSCYEAALGMPVWALGPLCLGNRDETALACRGDKDKPTAVDQRAVTEWLDAMRTGSVVYASFGSLVRVLHKQLFEVGHGLEDSDRPFLWVVKESEAGSPEVREWLRALEARTAGRGLVVRGWAPQLAILSHRAVGAFVTHCGWNSLLESIAHGVPVVTWPHLTDQFLNERLAVDVLGVGVPVGAAMPDGEAVIVARGDIARAVSEVMDSGDVGSERRRKAKEYGERARTAMGIGGSSYQNLTRLIQTFTRSPVE >SECCE5Rv1G0307120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:105742183:105743839:1 gene:SECCE5Rv1G0307120 transcript:SECCE5Rv1G0307120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGTCRRSTTRKRKSAEGEGYPAPAPAAAADPGAAEQDGGGGGGGGDLHHIKNLSDLPDDMLRKIISVLPIKQRGRTQILAKRWLPLWRSLPLNIDCAEIARSNDGKLGDVLQRIISSHRGDCHRFCIRPRLATDMENDAAVDACLLSPALNKLKVLEFYRRPWHNWQPVPPPTSIFRFSPTLCVAKFGHCTLTDDIVLGLHFPQLKKLGLDYVFLSEFSLSSMIASSPSLEGLRIIRCSGARRLRINSFTLRSIKVRNFSPDPSMEELIIESAPHLERLLHLDQNQDLHVSVLSAPKLETLGCCTTSTRLVFGSTDIHQGPCIPIGSLATSVCTIKSLHLSMRTLCLAMVIELMRCFPCLEKLYIQCEKSGTKNLWRRKHRDLLRSFDIRLKEIVLDYYRAKKTDIDFVTFFVLNARVLESMTILVKSDDEDFLAKQRQKLLIESKASDGAQINFVVKPQGGRKCWDIL >SECCE5Rv1G0368080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826526304:826527583:1 gene:SECCE5Rv1G0368080 transcript:SECCE5Rv1G0368080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRVMCCLMPSKPSGDARRRSSAACICCIGPHHRPSGGSSLAPVDADNSSVRTPLTSCCGTGDAVRTPRTPKTPSARRLCGVRSRTPRRAQQVRRFTPAPAPAPAAPAAPARAVAPAAPARTPVAAAASAGPALAPPPVKTPVSAAAPAGPPLVAPPPVRTPVAAAASGGPPLVAPPPARTPVAAAASAGAALAPPPARTPQTPSTPIGRTQRVCCVTTAAPAQGGNAKSKTGTARRRWLSSASKAVAQTRRADSGAGRDRSTNPRGNGDVAKAVHRAAPVAQAVEAAPAKEDAESVCSDEEYALLCREGFSREGVAAVTIQAYFRAHLARRAFKALKSLVRLQAVARGAYVRRQAEVAVHCMQAMARLQARVRSRQTVAAKRKDNDDKLLLLQN >SECCE6Rv1G0409060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:581171855:581174260:-1 gene:SECCE6Rv1G0409060 transcript:SECCE6Rv1G0409060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein REH1 [Source: Projected from Oryza sativa (Os02g0743400)] MITGTDFYHVMTAVVPLYVAMILAYGSVKWWGIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMMLAMLTAWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAGAIASIVVDPDVVSLDGRSNAIETEAEVKEDGKIHVTVRRSSASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFGAADAYGIRTGATPRPSNYEEDAPKPKHPAPGAGHYPAPNPAVAAAPKGPKKPATNGQAKGEDLHMFVWSSSASPVSDVFGGGAPDYNDAAAAKSPRKMDGAKEREDYNVERDDFSFGNRGALDRDAEAGDEKAMTADPNNAMSAGPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFTMPAIVLGSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATYAMAVRFLAGPAVMTAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYSVHPDILSTAVIFGMLIALPITLVYYILLGL >SECCE4Rv1G0290670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873605813:873606350:-1 gene:SECCE4Rv1G0290670 transcript:SECCE4Rv1G0290670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSAAAAEKEASKSPKKKTTTKSPKKKVAAKE >SECCE6Rv1G0382030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:37795651:37798612:1 gene:SECCE6Rv1G0382030 transcript:SECCE6Rv1G0382030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSCIPTGLRLDLDMVKAAASPGAHAHSSPLRPAHSSPSSTLSEASNASSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTATAPRPPLSGLAVAFGGAAASSDLLPPLPVLSDAAFLLRDHAASPSPPPHSPSTDACKNCSSPTPVSSAFREFRDPAPSPASPDTATDEPGELDFDDDGFDAESILDVDEAAAGGAAEGIDGIMGSLTMEANTATATSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGSRQTTRPNLNRALKRRDDDGAWWMWPAVPVKDITVTPPTPPPEPAAAASNTAMPPPASAAPEKKKSKKKKKVKMEKLMAKEEELANGKCEEGGDGTVDAADGNGDDDSAPTKAPKTGLGLKLDTDDVLKEWSGKGSMFAEGSGPDSTESAAEVRAKLADIDLFPENGSGGIREARVMRYKEKRRNRLFSKKIRYQVRKVNADCRPRMKGRFVRSPSLLQQALEEES >SECCE4Rv1G0288850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:862031501:862031865:-1 gene:SECCE4Rv1G0288850 transcript:SECCE4Rv1G0288850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIALVVLSLSVLAFFLCLGTAEADPRCLYKDNPYYHGSCENPYDENCRIACIRFEPPKYRGGEP >SECCE1Rv1G0010750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:65674357:65674929:1 gene:SECCE1Rv1G0010750 transcript:SECCE1Rv1G0010750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADVIFHVGGESFSAHRAVLAARSSVFRADLFGGMKEKAGGPIEISDMESDVFKALLHFIYTDEYVPVPEMTDGCEARREAVMAGHLLIAADRYNIDRLKQICEEKLCDLIDSDIVASSLALAEQHNFKRVKDVCFMFIASPSNLEAMLASDGYEHLNNSCPSILKELAASFLPAELKAAKDIIMSIL >SECCE5Rv1G0338650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:599363247:599366284:1 gene:SECCE5Rv1G0338650 transcript:SECCE5Rv1G0338650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g59380 [Source:Projected from Arabidopsis thaliana (AT3G59380) UniProtKB/TrEMBL;Acc:B3LF91] MGSSSGEEGGEEWVPPSRRPELADVAPLPQADGPCPVVSIAYRDDFREVMDYFRALYAAGERSPRALRLTADAIHLNPGNYTVWHFRRVVLEALDADLLLEMHFVDQIAESNPKNYQVWHHKRWLAEKIGPDAANSEHDFTRKILAMDAKNYHAWSHRQWVLQALGGWESELQYCNQLLEEDVFNNSAWNQRYLVVTRSPILGGLAAMRHSEVDYTVEAIMVNPQNESPWRYLRGLYKDDNNLLVADDRISDACLKVLNKDWTCVFALSFLLDLLRMGLQPSDELTGTIEAMENSDPETGHADIATAVCSILQKCDPLRINYWSWYRTNLSS >SECCE2Rv1G0107870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:655312090:655313454:-1 gene:SECCE2Rv1G0107870 transcript:SECCE2Rv1G0107870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPRLCMATSHAAAADSDASSNKLGSVASTSSSTVSTSSSSAAAAAVSEASSSTSLPSLPSLSTATSNATCRTASFSHVATLLPLPTAAAAPSAAAVVAVVDGSQSHGFVVARPASVALHDICTLEATSTSDSGDDTATAGSVKCVAHIHGGKAVTGHQDGRLRLWRASSRVPARIRLAAALPTVSDRLRRFPVPSNHVAVRRHHRRLWIEHADTVSGVAVSTDGRLLFSVSWDKTLKVWAIPSLRCLQSLPAHDDAVNAVAVAPDGTVYTGSADRRVRVWAPRPASDKTKRQSKKPVYYLVATLSRHTAAVNAVAVGCGGQVLYSGGNDRCVVVWEREDSASHMVAIGALRGHRRAVLSIASTGHGLVVSGSADHTVRAWRRETDGRGHACVAVIDGHSSVVRSVAVALVPGKKKLQGGDEDDDGEEEWRVCSASFDGEVRVWSLRVTTGL >SECCE7Rv1G0497110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:621131943:621134258:-1 gene:SECCE7Rv1G0497110 transcript:SECCE7Rv1G0497110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPGGAGWLATVRKVFKTSPSSSPSKDPRHAKKGLGGEEQEAAEIVSVEHFPAADTSPEATNEGSGGSVAGWAREGGGVEAEARRARRAMASRMARLAALRGRAAGREERAAVRIQAFYRGYLARRALRALRGLVRLQALVRGHQVRRQVHHTMRCMQALVRAQDRVRARRLTSHVATRSRPAPVVPAHGARRQSYGHESLFFDDHQDEEDAETAAQVRRPRNRHGSVGNLSPFQEGWDAVARAGALRRHDDALACPPSYAYDFQQRKMKFHHEQMQLERDELDKRKAGWHWLERCMAPNSAPPEQANQHLAAAETSYVTAATATATEGVSERTVEMEPSRKSPPTDLYPVRAEVIPGYMAATQSARAKARMAPPAAPRAHARSRSGSVALGGGSTASSGWSTSNNGDRAAQQRELYSPESSCSGDRSPPTLGGRGRVAYA >SECCEUnv1G0556270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310671203:310672729:-1 gene:SECCEUnv1G0556270 transcript:SECCEUnv1G0556270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLLAVHIMHTALVSGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITDSWGGWSISGGTVTNPGIWSYEGVAATHIVFSGLCFLAAIWHWVYWDLEIFSDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQAVNPAWGAEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSNGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPVFRDKEGRELFVRRMPTFFETFPVVLVDEEGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVNYSDPATVKKYARRSQLGEIFELDRATLKSDGVFRSSPRGWFTFGHATFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGTFQKVGDPTTKKQAV >SECCE4Rv1G0222400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:57522910:57538804:1 gene:SECCE4Rv1G0222400 transcript:SECCE4Rv1G0222400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYAVRRAAVAAYAALSAVLCAHEAPGGLPDGFVAWALPLLGEPASAPLVAEGLRELLAAGDAHAVERFVPPLLAACRDVLEDERTSLAVLRCLLGLLTLVAAKFPHCFRPLFVDMVDLLLGWVFVPDLAETDCRTIVDSFSQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLVASHNSGRLRPLFACFSTVLQIMASGVAERNNLRELVVGPLEALAPQLLRCASVIASKIGWSERMEEASRCLVLLAEILQERFAEFYAMFVDVLAQSLDNASSLQLVVALKTNLQVLSLQNLGLRSSAVEHLLEFSSLLSRLRLHPNHNVVVNSASTYLFCLQHGLEDVAEQAIASLMKELELLKLLLENMQVSYTGIQSHSIENNTPVGYSEHQLLSLMKFDLKILLATISADSEKIDRRVSRLTSFISEKLDPFGNPFHNFLEMQFQIFSTLHRLSNVELSINIETSEAFKRGSGDSGSQTQINTESRKSFCDCKMKFMHKYGKHIVRGLGASSSMTLKLDALDWIDSFAKLVLAMERDLNKTSLSYESGDATLANIILFTILDCAYDRETKVRCHVALSLEALFHGRLINPMSFSVVSQVLLDKLSDPDNAVKNGFLRLFSIALPITTYTFGFLEDGYSYQNCLGISNITKHCMSLRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLVFSYRGKKDMFSGQIDVSGDTDWNELFKGPDVDIGIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLALETNEGEGRYIGPSDISLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALQCSDAVIQYCSSRLLDLRNIAASSLKDNSRMGGATESNHAFRERLEVDILKVLRHASLALCRCHETDALVGLQRWAVSTFYTYFEQEKQLTRGVSDTHKHFSWMSGLIYQSQGQYEKAAAHYSHLLQSEEALTSMDSDVIQYIIARVIECYTALSDWKCLEGWLAELQELRAVHAGKPYSGALTSAGNELNAIHAMACFDEGDFHSAWSYLDLTPKSSSELTLDPKVALERSELMLLRGMLQSDSKPDKAREDLDKAKLILDEALSVAPLNGLTDAAACAGQLHCIFAFEEATGLTCRDGPNQPPALMDYLLRLLQDPIDRIHQDCSMWLKVFKVYRTAHPSSLATLLLCRKLASLARKQSNFMLAGRLNQYLINHPLESSDETDKEVLTLNIKYEGALLKHCEGNNEEALTDLWSLVRANVLSSVSDSSGIGNSLIAKACLKLSTWMEESSTSTLNMIVPKVVKDFSDFDGFQNGSEKLLSGDSGSVSTANCHVLAQEIIGSARKISWQLCPSMGKAWLSYASWCFTHANHSLSGSDSNVQNSLSSILQSELPPDRFHLTDSEKSEVEQIISFYADKSANYVDHNSPATAGCSDNSEQEYPMTMIIEQATQLLETAAGAPGFEASDGEGAYALLSSELKVLFGKCDSTMDSAMPLINNLTEIWWSLRKRRVSLFGHAANAYFQYLSHSSTELQPSYQRDALKGKTRGYTLRALLYLLHIILNYGVELKETLESGLSTVPLLPWQEIIPQLFARLSSHPEKIVRKQLESILVKLGKLSPYSIVYPTLVDINACEGEPSEELQRILNLLIKLYPKLIKDVKLVIDELGMITVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLTSTEKNKINAAKYSAIMTPIVVALERRLASTSREPRTPHETWFHKEYNTQLRSAITSLKVPPGSPAALGEIWRPFDSIAASLVSHQRKSCVSLSEIAPQLATLSTSDIPMPGFEKQILDSSESFFAGNHGTVTVSSFCKEVTILSTKTRPKKLALQGSDGQKYIYLLKGREDLRLDSRIMQLLEAINSFLHSSSDTRSRNIAIRFYSVTPISGRAGLIQWVENVSSIYNVYKSWQKRTQVAQAQVSSVSTGNIHNSVPHVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKKKVLLELMKETPKQILWQEMWCSSEGFKNFNSKVKRFSSSLAAMSMVGHILGLGDRHLDNILMDFSNGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLSGVEGVFRATCEAVMGALLKNKDIILMLMEVFVWDPLIEWTRGNMQDEAGIAGEEKKGMELAVSLSLFSSRIQESRVPLQEHQDLFVTNLPATVSVLKTFLDTLDQYEVKSAIFYHAEKERSSALHNETSAKSILAEATSVAEKSRTSFEVHAHELAEAKTSTVDEANTLAIWVEKHGRVLEAIRDNSITGSELLMKLDSKDEALSLISAVLVSGVPLTVVPEPTREHCYELDREVSELITELHDGRSSALQALGEYALILQQVLPVNYITTSPITGWAQALQLSVTSASQDMLALAKRQAAEVIAKVQGEGSNLAQQRYRDLLNQMESYVACVERIMRECSELMSSVGSDNEAQSKDRILSAFINSVQLSSQKNDEDTVPSSLADNLEVKSPAQEDVKEKASKVLSVLGIAVSQLYSGIRVRVSELSTKAVGITKFRTDEAGLQADAGMSLQLFDQQIEKCALLSGFVKEVHEVMGTKLGEINADYLKHRPGQWAYTFQAILHSSTNMIEQMTEVFLPEIIRSFVSYNSEVMEAFGSISRIRGSVDTALEKLAEVELERTSLTELEQSYFVEVGRITEQQAALEEAAMRGRDHLSWEEAEELASQEEACRTQLEQLQKTWSQKDMRISSVLKVEASVMNSLLSSEKYFSSLVNADQENEFHFRSKALLSILTKPFADLESLDHMLSSRGAFPSHMSGPVSNLREVLAANSSLSDVMWPLSGLLKDHAFFVWKLGLLDSILDLCMHEISSSVEHNCTTNQLYITLKKKLAIHVENQVGQYILKRIAPALILHLDKEICDLLETSQGRRESGQPTAAVGRVALMLEEYCNAHETARAARTAVSLKQRQLNDLSEALRKIILEIVQVEWLHDFSSPHAQKAKIFSQNILGDDKFMSVLLNLSRRNLLDKIQSSVSLITRSIECLQACESTSVSAEGQLERAMGWACAGPNTSGAGGSTAKGSGIPPEFHDHLSKRRKLLGGIQEQASDLVKSCTSVLEFEASRDGLYFVSEDKSSGQSTDKGRAWQQTFVNLLTRLDAAYHSFTCAEQDWKRGQLNMETAGKGLYSATNQLSVVSVKAKSALVDLQDTLVDMYERACEVSVSLSGFKHISQDRTALTAECGSLLEEVLAIAEGLHDVYTLGKEAAALHSSLTANISKANTILLPLEALLSADVAVMSEAISKEREKNNTSMPLSHGKALYQSYITRVREACKNIEPVVPLLTEYVKELHSMVIKLGRLSSLHAGNLHKALEVLEESEIGRSQDMPSARPDLLQSDSSIEKDKSSSGSREGVSQDLVIDTDGSLQDECWISPPEHSYTSSSGCTTLLTQLTSSENLEKIDALLDSGPGIEGPAANSQQVRDGRTDSESDSSSNKQAFSNNVTQASNIHVTETSFVEEGRIETEDNTGAFKQVRGQECESSDNKSYSDTRMTRGKNPFALSILKQVEHKLHGRDIDGTRSLKISEQVDYLLKQATSIDNLCNMYEGWTPWI >SECCE2Rv1G0081700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:143449087:143452801:1 gene:SECCE2Rv1G0081700 transcript:SECCE2Rv1G0081700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) membrane protein, Early tapetum development and meiosi [Source: Projected from Oryza sativa (Os07g0622900)] MLGRDELLRRSLVTLAAAVVVTGVATASVRKALATYVFGILAIAGVLLPDWEFFDRDFSQWLTPMPASRRTAAAAAAEREHDIWRFKPYPLRMAVLTTIYGFGLYKWWTYVSH >SECCE5Rv1G0346220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660492611:660493054:1 gene:SECCE5Rv1G0346220 transcript:SECCE5Rv1G0346220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAKSLVRLAKKWQRVAAIGRKRLTWSPSTSTEEAGGSCLSVAGKGHCVVYTADGARFEVPLAFLGTTVFSELLRMSQEEFGFTGIDGGRITLPCDASVMEYAMCLLRRSASEEMEAAFLNTFAMPCHYHVAQHLGVGQHFGVCSS >SECCE6Rv1G0419850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:673408248:673417891:-1 gene:SECCE6Rv1G0419850 transcript:SECCE6Rv1G0419850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGQQFKIREVPPIVQELVAGGVQEPPGQYVVPEQDRPAAAAVSEMPEPIPVVDLSRLSANSPDELAKLQSVLQNWDLFLAVGHGMEPGFLAEAMKAMREFFNLPLEEKQKYSNIVDGKKLSSDGYGNDMVVVENQVLDWNDRLSLQVEPESERAYAQWPTQPPSFRDILCEYTVRCRAMANLILQNLAKLLNLQEEYFTTMLGEKSLTHAMINYYPRCPKPDHVLGLKPHTDASTVTVNFIDADVSGLQLQKNGIWYNVPIVPNALVVNIGDVMEIVSNGFFKSLVHRVVTNAEKERLSLVLFYVLDPEVEIESVQELVDDKRPRRYMKMKSKDYLAKFFDTYATGKLAIDP >SECCE6Rv1G0411580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:608574609:608575271:1 gene:SECCE6Rv1G0411580 transcript:SECCE6Rv1G0411580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSGKPLLVVIRRSKLSAGDEGRHICTEFKQAKYPFKWRRYMCASCGLLREEHNACKTKLSAGDGGEHDTDKSKLSAGDKGKLSAEDEGECSANKSKISAGEEGERLCTEFKQAKYPFKWRKYMCARCGVLRSEHGVSKAKAKVDDDTENIKEEDLPPRKRLILRFKRSQALAAADAAAAAATSREKENKEGELEEGEINWSPGATITKGKRAPRRKR >SECCE4Rv1G0275330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:793064037:793067370:-1 gene:SECCE4Rv1G0275330 transcript:SECCE4Rv1G0275330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVSMARSMLGGAIRMAASAAATEMSLVMGVQKDIWFIKDELKTMQAFLAAAEATKNRDMLLKVWAEQVRDLSYNIEDCLDEFMVHVRSQSLMKRLMKLKDRRRIAIQIRNLKSRVEEVSSRNARYNLIKTEASMTSDKEVSYIEDVRNHSASNTDEAELVGFTKPREELIKLMDVNTRDGDAKVICVVGMGGLGKTTLARKTYESKEDIVKNFSCCAWITVSQSFFKIEMLKDMIRQLLGGDSLKNLLKELEGKVVQVKDLAEYLNQEIKDKRYLIILDDLWTIDAWRWIKDIVFPSSNKKGSRIIVTTRDVGLAKECTLESLIYHLKTLEVIEATNLLLKKSRKVSEEMDKDENFKSIVEKLVKKCGCLPLAILTIGGILATKKIVEWEHFYSQLPSELESNPGLEAMKMMVTLSYNHLPSHLKPCLLYLSIFPEDFEIQMRHVVERWIAEGLIRGATGVNIEDVAKGYFNELINRSMLQASRVNIEGVVKSCRVHDIVRDVMISVSRDENFVHVAGNNVTGAMEETFRHVAYHGSMCQKIDMDWSHVRSVTVFGERSFGPSSSVCSPDMRMIRALDLENAQFQVAQKDINNIGLFRHLKYLNFSRSRGYSHIYKLPRSIGRLQGLRTLNIRDSYITELPIEICKLKSLHSLRCTRKNSYEYFDLNRPKVCLLCTFCWSILFTPLFDPSERASLVPDLHMAWSSCGSKSEGVRVPKGIGKLKDLQILEVVDVSRTSRKAIKELGELVQLRKLSVLTEGTTKQKCKVLCDAIQKLTSLRSLSVDGSLEWLHVVSSPPPLLRSLKLDGCLGEIPGWVGSLMYLVKLYLMGSVIKEECKIMEILGPLPNLMHLRLGSGSYIGEKLAFKTEAFRNLKKLHIGGLEQLREVKFEDGTSPQLAMIDIGWCYLTSGIIGVNHLPKLKEIALGPGGRVAKLALLQSEVDAHPNSPVLRLSGEQIDHDLGGVVVQGEDATEEESSSLHPEPAEAGEISHAVVTTNVSQDDLLYTYNSC >SECCE5Rv1G0311920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:212135238:212145032:-1 gene:SECCE5Rv1G0311920 transcript:SECCE5Rv1G0311920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAAQNPNPEPPISAYYQTRAEHHAVVSSDWLDHAAAAASLPDAHADADAAPPPSLGSNGGSVIEEFNFWRRKPEAAEAVAAIMALAAVIRSSRATTMMELEIELKKELGPYRSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFISDGCTMLVHGYSRVVLEILKLAASNRKLFRVLCTEGRPDRTGLRMSNELAALGIPVKVLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPLYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPIPAGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >SECCE4Rv1G0246380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:543749784:543751132:-1 gene:SECCE4Rv1G0246380 transcript:SECCE4Rv1G0246380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQTVHMNGGQGDTSYARNSSLQNAEQNRMRPLIEEAVADLLSASASLPRSMVVADLGCSSGPNALTLVSISVDAIRGQCLRSQQPPVEVCVFLNDLPDNDFNMVVKSLVAFQQSHESVVTGVIPGSFYRRLFTSGSLHLVCSANSLHWLSEAPEELRRNKIPAYDIDEHVRRERWRVVIGAYARQFRKDFTLFLELRAKELVAGGRLVVSLAGRRSGEPAAEFTHAWESVGLVLSEMASKGMINKAKFDTFYIPIYGPSDGELREIIQAEGSFSIREMQVNEPTSNVESTLISPSKMARLLRAGFEPIIVQHFGSSGEIMDEFVRTAERRWSQQGSLEAELARNPRVILVVSLKKKV >SECCE3Rv1G0201180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:860725061:860726695:-1 gene:SECCE3Rv1G0201180 transcript:SECCE3Rv1G0201180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAPPATPSSRPLRAPPAPSTVHPQLRCHAGPSPSLLALRHRAARAAPARALLDKATPFNFGEEEDDDGDDHPREECGVFGVVGDPEASSLCYLGLQKLQHRGEEGAGICAAGDDGKLKSVTGLGLVSDVFRDPAHLNSLPGQAAIGHVRYSTSGGDAQLCNVQPFLAGYRFGQLAVAHNGNLVNYLPLRNKLEAQGSIFNNSSDTEVILHLIATSLSRPLLARICDACERLQGAYSLLFLTADKLFAVRDPFGFRPLVMGRRPNGAVVFASETCALDLIDAVYEREVEPGEVIVVDRRDMSVSSACLVPHRPRKSCVFEHIYFALPNSIVFGHAVHERRTAYGRALAEESPAPTADVVIPVPDSGFYAALGFAQASGLEFQQGLIRSHYTGRSFIQPTQAIRDLAVKLKLAPVRGVITGKSVVVVDDSIVRGTTSSKIVRLLRDAGAREVHMRISSPPVVGRCHYGIDTPDEKELISNRLDIEGVRKMIGCDSLGFLTLDKLHSIYGDEADELCDACFSRNYPVPMPEKVPAMASADED >SECCE3Rv1G0210540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944039641:944040219:1 gene:SECCE3Rv1G0210540 transcript:SECCE3Rv1G0210540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLSSSPCSTSFSGDQEGMQAYTVWMKSLVFNGNGCAVYGPDGAVAFRVDNYGCRGGREVLLMDRAGNALIRIRRKGFGLFRRWQVCRCEDEEATPWFTVRRADKGGAAVAMHGGAGTCYRMDRCSARKTEYKVRGVDGAAVAEVARKQTAAGVVLGEDVLTLTVEPEVDHLLVLGLVVVRGLMNRSL >SECCE3Rv1G0165870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:180005192:180007039:1 gene:SECCE3Rv1G0165870 transcript:SECCE3Rv1G0165870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVETLQEQYAPKPAAEGRGYWRWHRDDFFPEPSFASWGAYRSALAATPARLRDRFTGRSTDAIELGALRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPAIVLSYVVSGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDVAAFIAAANLILESIIGTAAVARSWTSYFASLINKPASALRIHTSLTEGYNELDPIAVVVIAVTATMAILSAKGTSRINWVASAIHVVVIAFVIVAGFIHAKPSNLTPFMPHGVPGVFRAAAIVYFAYGGFDNIATMAEEVKNPSRDIPLGLLGSMSVITVIYCVMALVLSMMQPYTAIDRSAAYSVAFSSVGMHWAQYVVALGALKGMTTVMLVGALGQARYTTHIARSHIIPPVFALVHPRTGTPVNANILIAAAACCIGFFSSLEVLSSLLSISTLFIFMMMATALLVRRYYVRGVTTRAHALRLLVFLLVIIASSAGIAAYWGTAPDRWEGYVVLVPAWLLGTLGIQLMVPAARAPKVWGVPLVPWLPSLSIATNLFLMGSLGSQAFVRFGVCTAIMLIYYVLVGLHATYDVAHEVCSEDELKDYGDTADDAAGEKATAKTADVEKAGAGGGGR >SECCE1Rv1G0034020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:474324248:474329268:-1 gene:SECCE1Rv1G0034020 transcript:SECCE1Rv1G0034020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIASAGTLCPGPSAAVRSKSNAAGRPILHRRPLLILRRSPEPSLPSTRRSRRLMPPLTAAARATSSGGDAFSELASAADFAAIASPEGRISVIGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKEFSSLSVEYCEGEMIIVTVFEIKEEEVPAFIERELEFRFLAVVPEGLDGAPFPHPAVVCARYSDEEYFQVRCKGSKEIYNQHYGRYNIDKIWRDDILPCRLYLRHCVLAAKNLGEPAYSNFLDHTYLGDRRTTIREYLATTGAGIMEEEPPETLRSRYGG >SECCE7Rv1G0493810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:575034992:575035918:-1 gene:SECCE7Rv1G0493810 transcript:SECCE7Rv1G0493810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKLLRQGQKMAVGKPEYKAIIEERLKIDCLYNPAVMEVMWGIQNCMPDLVPGEKSQLAEEDRLPMSKGLQEVLKRHCCNVKPEMVNKQIIAAAVDLFACDSVEKDHSTSLIRAAALIKGVSGISTEDWSLLEIATALKMIWQPEEVGSSCVVSKDEAIRLANDAHRYELFMNKDACLRVWNEMVKAHGVKTSKEELLKSLVKRGPMKHRKGRA >SECCE2Rv1G0067790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20038478:20039756:-1 gene:SECCE2Rv1G0067790 transcript:SECCE2Rv1G0067790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWLLKQPCPATRPWTRTRNDTGLQVTSITYRLRRRTTCTGRSADVAVKHEEEGADEEWLAYLEPAKLEVFDQLEPWAEANVVPLLKPAEVAWQPTDLMPDPASLGADGFHEACCDIRARAAGLPDAHLVCLVGNMVTEEALPSYRTMANRFEAVHDLTGSSGTAWARWTRGWSAEENRHGDRYLYLSGRVDMRQVESTIHNLIRSGMVLNAARSPYHGFIYVAFQERATFISHGNTARRAKEHGDVVLARICGAIAADEKRHELAYTRIMGKLFEIDPDGAVRALAYMMRRRIVMPASLMTDGRDDDLFAHYGAVAHQAGIYTASDYRGILEHLIKQWGVEELVAAGLSDEGRRARDYVCVLPQKIRRLEEKAHERSRQKAQPTTSIPFSWISDRPVNITVA >SECCE1Rv1G0012200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:89237434:89237757:1 gene:SECCE1Rv1G0012200 transcript:SECCE1Rv1G0012200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWKRTITSPFRKACTILSPQHGSGARSEDQQGGSGHDGKKTPRTRHHLKRSGGAAASAMAQGEVVESPSTTAQLYGDVMACAYEDVQVMWSMLDNKAKVLDAAAS >SECCE7Rv1G0464610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:62647093:62648447:1 gene:SECCE7Rv1G0464610 transcript:SECCE7Rv1G0464610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNSLLAEKELALASRCGLFSSSMQQSHTSSCPTITYEEALRRELEYRRRLERTHPHLLVALNEAPALSRETCTDSTPDVLKRKLTPESNMPSQQSSFNFTAARSQPANWYPPKKKVIVRQPASQAMQAVQIPRTNSVPSFWCKICKVDCVTEFNFGAHIGGKKHKLKKQLILGNMNTGRPATGSQFSSNTNRGPSENAVSGSRNDEPNVGSSSVAGPSSNVSSGSRPSEADPQA >SECCE2Rv1G0134320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900931112:900932560:-1 gene:SECCE2Rv1G0134320 transcript:SECCE2Rv1G0134320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSSKLNKDPHNHYFDFGAAQQVPETHAWEGQHEHLVVDGGVGAGEDAVPVVDMRDPHAAEAVARASEQWGAFLLEGHGVPSELLARVEAVNAGMFALPKPEKMRAARQGDDPYGYGLPHIALFFSKTMWSEGYCLTPANLRHELRKIWPDGGHDYNHFCGVMEEFHKEVRALADKLMELFLVALGLTAPQIASVEAERKLTETMSETIRLNWYPKCPDPKRALGMKAHTDSGFFTLVMQSQVPGLHLFRHGPPADRWVEVPAVPGALFVNIGDLFQILTNGRFRSVYHRAVVNRDRERISLAYFLGPPADAKVAPLKEVVGGGKPAYHALTWPEYVVVRKQAFANGGAELEFTKGGTALEMVSINPDVDGADHHRDISS >SECCEUnv1G0531410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17391742:17393250:1 gene:SECCEUnv1G0531410 transcript:SECCEUnv1G0531410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPGRSNPLTAFGELPPFRPLNDDDVRSYLYKAVDFISDYYKSVESMPVLPSVKPGYLQGELGASPPVHPAPFDVAMKELRASVVPAITHWASPNFFAFFPVTNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLCLPRSFMNRTGAARGTGGSVILGTTSEAMLVTLAAARDIAMRRSGAGIPNLTVYASDQTHSTFFKACRLAGFDPANFRSIPTGPETNYGVDPMKLLAAMQDDAMAGLVPTYVCATMGSTSSNAVDPIGDVAKVAAMFNAWVHVDAAYAGSACICPEFRHHLNGVERVDSISMSPHKWLLTCLDCTCLYVRDAHRLSESLETNPEYLKNDASVSGAVTDLKDMQVGVGRRFRGLKLWMVMRTYGTANLQEHIRRDVAMAKMFEDLVHADDRFEIVVPRNFALVCFRIKATGVRANDEVNRLLMANVNKTGKAYLAHTVVGGMLVLRFAVGSSLQEERHILSAWELIRKTSSEMTK >SECCE4Rv1G0221060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:45092529:45094041:1 gene:SECCE4Rv1G0221060 transcript:SECCE4Rv1G0221060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESLLARQPQQQQRPQWADEITTVSDGRPDPANEDPLLRRIRSLTIAPPLLSCQPATGSENETSFTDILVRKPSGSSSAASGNLSPNLMFELFAMYREWQEEMAKEISGRQGELENKIETADALAVKLLQRFNYSVTSMRSTSHNLAEVHPLQVEVGELKGRLTEVISNCDALCKRITAEGPESLRTSVEPFTTGILGTGGGSPDPKEQP >SECCE2Rv1G0090790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:290835809:290840175:1 gene:SECCE2Rv1G0090790 transcript:SECCE2Rv1G0090790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 7 [Source:Projected from Arabidopsis thaliana (AT1G02090) UniProtKB/Swiss-Prot;Acc:Q94JU3] MAMDAERRQAELIEQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLTGTQYASSLDLLRLFAYGTLKDYKSNSSTLPALLPDQARKLKQLSVLTLAESTKVLPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPDQLTDMIETLSDWLGTSDNLLHQIQEKIKWADTTSELNKKHQKEFEDRVEEAKKSVKADNDLRGHDDFLSESGGIMDFEEDRIRPKRRRQPMA >SECCE6Rv1G0422180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:688450776:688451585:1 gene:SECCE6Rv1G0422180 transcript:SECCE6Rv1G0422180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWPNSNEIFDDDEYMSEFSSMQMEYFAGVNCGALEWVDAPWPVILQRCLTKLCDMYHEENLGRVQAKEAHEIEVEKLKKELDSLGNQYSQLVDDVSKLFDYQDGQKSHDMDYTSQAINELKKKKHRLEEQAKIELQMEKLKLKKEQRCIM >SECCE6Rv1G0381500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:33189138:33189515:1 gene:SECCE6Rv1G0381500 transcript:SECCE6Rv1G0381500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGYFWAPRKSAALERDQSLRAGLLVDGGSGEAAAVPKGYFAVYVGAEARRFVVPMGYLHQPAFRALMELAAEEFGFGQAGGLRIPCREEDFVAIVAALEATAESRQRRRSAAGRRSRSNATPW >SECCE4Rv1G0293110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884619202:884621032:-1 gene:SECCE4Rv1G0293110 transcript:SECCE4Rv1G0293110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVRRLAGIPWRQIAGEATSVGLLMAQGLCAVHVFSEHVLGVVLPRGPSMLPALNMAGDVLLTDKVSPRYGRVAPGDVLFLVSPEDPRKVVIKRLLGVEGDEVTFPVDAGNSDATKTVVVPQGHIWVQGDNIYDSHDSRQFGPVPYGLVKGKVSYRIWPPSRIGSIDPKE >SECCE5Rv1G0310080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:163871603:163873039:1 gene:SECCE5Rv1G0310080 transcript:SECCE5Rv1G0310080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEALMAAERAETSTAPAVVTEPGANGKPAASGKPAMVLGIDESEHSYYALEWTIHHFFAPGQPQQYHLIVVSAKPPAASVIGIAGIGTAELLPRVELDLKRASARVIDKAKEHCSHVTDVSYEVKEGDARNVLCEAVERHHADMLVMGSHGYGAFKRAVLGSVSDYCTHNAHCTVMIVKQPKHHKKYEQWGIHRKEA >SECCE5Rv1G0374820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:864940705:864942408:1 gene:SECCE5Rv1G0374820 transcript:SECCE5Rv1G0374820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAAMNCGSGPAPATMVRCRQCSASVAAPPGARAVQCMQCCCVTRVGGGGRQQGAMVPSMRPIPGFGGGRGKKRAVLVGIKYTNTRACELRGPINDVKCMRYLLTEHFGFANDCVLILTDEERDPCRQPTKNNIRMAMHWLVQGCSSGDSLVFQFSGAGVQVPDCSGEERDGMDEAICPLDSFQQGPILDDEINQAIVRPLVHGVKLHAIVDACHSATVLDLPYQCTFSKQYGCLRWRDERPLNGAFKGTSGGQAVLISGSSNGKTQMNMMPGPDATVGAMTHSFIRAVECEPRTTYGHLLTSMRAIMRDGSGNCNLQGPAGGCIRKVANFSGVEEPQLSSACKFDINREPFCM >SECCE3Rv1G0158740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:90779061:90779939:-1 gene:SECCE3Rv1G0158740 transcript:SECCE3Rv1G0158740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCGEGCTIRPCLEWIRSADAQANATVFLAKFYGRAGLLNLLAPAGPDAALRPALFRSLLYEACGRMVNPVYGSVGLLWSGQWDACQAAVEAVLKGRPIVRVSSGAPLTACDIRHVAKAGDRPAASPEAAAAGALLGVSRAGRTGFKRASSSTARPKTKSFSGAKHDDGLDRAPSHDHEESAGSHDHGSQVEDGGMAVEQARREESSEGTEVDAGSHVSQAEHSPVPPVAQDEEAHDDEVGLELTLGFQPVTQRVVARSPPARFGAESGHIGLLFELPVA >SECCE2Rv1G0132410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:891842773:891845581:-1 gene:SECCE2Rv1G0132410 transcript:SECCE2Rv1G0132410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTRVLGSKSPGRFQLRDPNSWVCLTELASDVVVEVGDTSFHLHKFPLISRSGTLQKLITESTTSPDDQDGGKPRTVQLDDLPGGAPAFGLVVKFCYDLQFELNAANVVPVRCAAERLGMAGEGNLAAHAEAFFVRDVLGSWDAAVRALQACDDGDDGVRQLAEDLLLAPRCIESLAAKACADPTLFGWPMVENYTARYVEAAAPPVMWNGISTFGKPRSPGAGWWYRQASSLRLPLYKRLISEMRSRGMSPEGIAGSLAHYARRHLSGLNRRDVGGGGGASDTTSSDDVVGEQRVLLEEIVALLPAEKGVATTRFLLGMLRTATVLHAGAACRDALERRAGEQLEEAALEDLLIPNTSYSTDTLYDVDCMQRMLEQFLLSNTTAYADPLPEITADEAPPGELMPASTVAKLIDGYLAEVGTDANLKCSQFQQMAALVPDYARSLDDGLYRAIDIFIKAHPWLMESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLYFEQLRLRTAVASWFFVGDNNAAAADQGSPRSSRPRKSRAGEVDFGTGSENNDIEIEEVEVYTPGSSSEPASAMSVPEIRQRVVDLEGECSSMQQEMHRLGRPKGALSRLFRKLGLGGGDRPSSSRQQQGRLPSSGDEKRSRFLDLGC >SECCE2Rv1G0123790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:827974415:827975555:-1 gene:SECCE2Rv1G0123790 transcript:SECCE2Rv1G0123790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCCHDAGVKKGPWTEEEDKTLVEHIQKRGGNVGSWRGLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFTDDEERLIITLHASLGNRWATIATHLEGRTDNEIKNYWNTHIRKKLLRMGVDPVTHQQLPPDHHLDGASTALLPEVLLWAAAASLGGLDTGSLRQAQLLQHLLQTIGSNNNATNLIANLAATNSVLNSSSSIVPNHLFQDKFNMLSGTNYLQPGYLCNTSNFAEQDAQQQLINDMSPGTSSFAAAEPADQLCNTAAFAARDVAPAIDMLPVQEFTGLVEPMEQLPNLCSLESDSFWKELLEDGYRL >SECCE6Rv1G0437240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782989051:782990050:-1 gene:SECCE6Rv1G0437240 transcript:SECCE6Rv1G0437240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAISIGKSVLSGALNYAQSAIAEEVALQLGVQRDHSFITRELEMMQGFLMAAHDERDDNMVVKIWVKQVRDVSYAVEDCLLDFAVHLEKQSWWCLSRKVLARRYVAKQMKDLRAEVEEVSQRNQRYHLIKGSSSKPTSTVGQPIMSSATMSAADNARLRRHKAKMDLVELINCEDSALQEQRMKL >SECCE6Rv1G0399270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:434698706:434701498:1 gene:SECCE6Rv1G0399270 transcript:SECCE6Rv1G0399270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCGSRNVRFQNDLEVQQLKAISLESPSTTSKNHSKLPHDPRKCKLGSRVCPERPCRSLRDRVLSRAFSEELESLMHSGSHIFFDPRGRVIHLWNKIFLTACLLSLFVDPLFLYLTGTQQNMCIEFKHSLALMLSVIRSLLDVFYAAHICLRFRTAFIAPSSRVFGRGELVIQPYKIAMRYLSRAFWFDLITALPLPQFVIWIVVPMLKESATANRKDILRFSIIFQYLPRLFQIFPLTKQIVMATGAMTENAWASAAYNLILYMLASHVLGALWYLFSVQRQEACWREACNLEGPMCRTEFFDCNTVSSNRTIWYELSNITRLCTPDNSFYQFGIYAEAFNYKLTTSAFTQKYFYCFWWGLKNLSCLGQNLATSLYIGEISFAIVIGVLGLVLFALLIGNMQSYLQATMIRLEEWRTKRTDMERWMHHRQIPQPLKQCVRRYQQYKWVATRGVDEESLLKDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERTLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSYTTQGGRSGFFNSCRIGAGEFCGEELLTWALDPRPSEYLPRSTRTVRAVSEVEAFALVAEDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRHKRRRASMEHRMPQAGDGRTGGGVRCRRHSCDGKALKKPMEPDFTVEQEI >SECCE7Rv1G0480400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:270158045:270159736:1 gene:SECCE7Rv1G0480400 transcript:SECCE7Rv1G0480400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIIGASTVLVVAVVAAVCVVSFKEASNEKDSTELTTSVKSIKSFCQPVDYKVACEKTLEETAGNATTTTELAKAIFKATSERIEKAMRESTLLNELKHDPRTSGALKNCKEMLHYAIDDLKTTFDQLGGFEMTNFKHAMDDLKTWLSSALTYQETCLDGFANTTTNASSKMRKALNVSQELTENILSIVDEFGDTIANLDLSIFSRRLLGHDGAPRWMSDAKRRLLEVSPSEPDFKPDMTVAADGSGDYTTINEALAKVPLKSEETYVMHVKEGTYKEYVSVARNVTNLVMIGDGAGKTVITGDKNFMMNITTKDTATMEAIGNGFFMRGITVENTAGAKNHQAVALRVQSDQSVFYECQFDGYQDTLYTHTSRQYYRDCTVSGTIDFIFGNAQVVFQNCLLQVRKCMENQQNIITAQGRKERHSAGGIVIHNCTVEPHPEFKDHIGRLRTFLGRPWKEHSRTLYIQSEIGDLVDPQGWLPWLGDFALSTCYYAEVENRGTGADMSNRVTWRGVKHVTYEQAQEKYTVERFIQGQLWISKYGVPFIPGLLPQEQAGRLH >SECCE3Rv1G0173630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:351641637:351648980:1 gene:SECCE3Rv1G0173630 transcript:SECCE3Rv1G0173630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMVREADVDGDGQINYDEFVKVMMAK >SECCE2Rv1G0065530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:8936679:8938262:1 gene:SECCE2Rv1G0065530 transcript:SECCE2Rv1G0065530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPLRSGGAIYAPPRQAAASGRAVVGDKSGPAYQRRTWDALRRSITGLVNKATAANIRHVLPELLAENLVRGRGLLCRALLKSQAACPAFTDVFAAIAAVVNSKIPAVGRLLLVRLAIRLRRAHVAGDKHQLAAAARFVAHLVNQGVAHELLALELVAMLLAEPADDGVEVAVVVVTECGAALGEACPRELDAVFDALRSILVDGDVDRRIGFLIEGLFAVRRTRFRGHPPVRPELDLVEQEDRFTHQIEIPLEDGHGDQLDPETHLDVFKPSATFMQDEAAYEDLKRSMLYGDEDDGEDIEEEDDDDDDREDMEVVIKDETETNLINLRRTIYLTIISSAGVDEAGHKLLSIVRPGQEAELCAMLVECCKQERASSNARFYGQLGQRLCGVSRAYRAGFEACFARCYAAAHRMGTDELRAAAGLFARLLAADAVPWRGVLGGVRVTEEDTTSSSRILMKMMFQEMAEQLGVRALGRRMNDHDEPEVRDALFPRDSVENTRFAVNFFTAIGLGGVTEPARKILPL >SECCE6Rv1G0428180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:724770359:724770805:-1 gene:SECCE6Rv1G0428180 transcript:SECCE6Rv1G0428180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFSRDQLMGSAFVAFGIILFVGFFYAAVVSKMLPRYENWLLAAIQNDRYYCLLVPLSLPVIIVAVYLHWLSMKMFKHA >SECCE4Rv1G0271380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:760720548:760721753:-1 gene:SECCE4Rv1G0271380 transcript:SECCE4Rv1G0271380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIGPYHRLLPELQAMEVVKKKAQLFFCDGASLEAYEKEVAGVAGAARACYDAHSLEGISDTAFASMMFHDACFLLAAILAITGENQFMTSVLCLGYANASVVITDMFLLENQIPWVVLQALMTLKKMDLGTFIAKMGMDVQSRIDRKERPLELNGYKPPHLLGLFHFYQSGRSHSDCKIWCDEGLTVTPFPASQLCSDDPRSITKFGIALPPLTIVMETLPLGTSAVELAEIGIEVTASKTSELQDIGVRKGPLFRKIFLPLLRLNEHTACWLVNMAAFEEVTAFSDGDYIVSSYLSLFAMFMDREEDVHELRAKRLIHGEFTDKQTLDFFKGHHAGSLHAGYQFYRILRRLERYKQKWWLWIAVHKFVYKNFKTIVAVLSIVAALAGIFKALISLQKR >SECCE5Rv1G0306870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:101370352:101370729:-1 gene:SECCE5Rv1G0306870 transcript:SECCE5Rv1G0306870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMNTQPLEADYRFDGQRFERVRLADEGSTHPAGKTQVYHLVSGADSAYVTLGNAGVAEFKEENNTRVYQVEVALSGEVTYQAHFTKCKFQAKCPLKLQLAPPGTPAVVFQKVKCKLTPADRNC >SECCE2Rv1G0118350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:781903812:781906621:1 gene:SECCE2Rv1G0118350 transcript:SECCE2Rv1G0118350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPSVSEPSSFAGASPPSPSSIGGGAGGAASAAQDPRKMASAEQLVLDLCDRELRENALLELSKKREIFQDLAPLLWHSFGTIAALLQEIVSIYPVLSPPTLSAGASNRVCNALALLQCVASHPDTRIPFLHAHVPLFLYPFLNTFSKTRPFEYLRLTSLGVIGALVKVDDTEVIGFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDIGLRYVCATPERFYAVGSVLGNMVISLADQPSTRLLKHIIRCYLRLSDNPRACVALHNCLPDMLKDGTFNISLRDDPATRRWLQQLLHNVTVGGMGGPGMGVPPQPGLDHMMGI >SECCE4Rv1G0270880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:757880592:757902025:1 gene:SECCE4Rv1G0270880 transcript:SECCE4Rv1G0270880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRRLLSLLRHGRLAPAGRLAAAPSSRSFSLPRAAVGAASTSGSSDGHFSCKGSTYAAILIGQAAVVLGLSSNSVLAQDDSVAPAATSEQADVNVTGLRRIEDGSVISNEHTIKWRMCTDKAREFFMEGKLDEAEKLFKAALQEAKEGFGLRDPHAASALNNLAEFYRLRKEYEKAEPLYLEAIEILEQSFGPDDIRVGAAFRNLGQYYYIQRRFDQAQTCFERALKIEGRVMGLGNPDYANTMYLLAKVLSQQGKGKDAEALIRESIRILEEAGLGESPACIQRMKFLSLELVKSKQLAEAENLQRKILHNLELSKGWNSLDTTAAAETLSVTLQNIGNLKESEELLERCLTVRRKILSEDHFEVAGILVHLARLTLLKITSDIKVNNDLSTSHLVKAKQLVNDSIRITEGILNPSRENRKKLNSTFAMEREKIGATAVLLQALEVVGLLEAARKRIQAPAFDYQHVEQALRRCISLYNEPHTRNVVSKALRQHYLKCLRSLTLIVQRDPDISNAPQMQDLLGESQQIVRELGEENNTK >SECCE5Rv1G0340760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:618351828:618352251:1 gene:SECCE5Rv1G0340760 transcript:SECCE5Rv1G0340760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEAQLLQPRALAALLVVLVLITLGGAAEAQQGTCGAQLSQLAPCARYSVPPLPGQALPTPGPECCSALGSVSRDCACGAIDIINSLPAKCGLPRVSCQ >SECCE2Rv1G0114830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:739816988:739825075:-1 gene:SECCE2Rv1G0114830 transcript:SECCE2Rv1G0114830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLLSTVAHHDTLPKGYTRPENDRPQLADVVTDNNIPLIDLGSPDKHRVIAEIDQACRTYGFFQIINHGISEELMEKVMAVGLEFFRLPPEEKAKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFVPDWPSNPETFKEIISTYCREVRLLGLRLLGAISLGLGLEEDYIENVLGEQEQHMAVNYYPRCPEPDLTYGLPKHTDPNALTILLMDPHVSGLQVLKDGAQWIAVDPRPNALVVNLGDQLQALSNGAYKSVWHRAVVNAARERLSVASFLCPCNSAVIGPAAKLVGDGDEPVYRSYTYDEYYKKFWSRNLDQDHCLELFTGQK >SECCE5Rv1G0358330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755261163:755261831:-1 gene:SECCE5Rv1G0358330 transcript:SECCE5Rv1G0358330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARAVAAMSSSSRVLLAAVVAALLVASASAQSGCTSVLIGLYPCMNYISGSGTAPTKSCCSQLASVVQSQPQCLCTALGGDSSSLGGITINKTRALELPNACNVQTPPASKCNGAGGGSAPGASASTPTTPAVQTPAGLGSKTTPSAYLQGSGGSSLHGPAGLVFALAVAAVYAASAV >SECCE6Rv1G0420530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:677643051:677645214:-1 gene:SECCE6Rv1G0420530 transcript:SECCE6Rv1G0420530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYLLILLVLWPPAASVVTATVSPLYTDCNRTAGGSTYAANSTYGSNLRQLGATLAAGASESGFAKGSSGEAPDKVYGVVLCRGDYTGARCTEGLGAAFQDVSGRVFCRNAVLYYDQYMIRFTDDEQSLSSTSNEPECVANNVNSILGIEAAVRLMERVVTLMNKMADLATSSSSSRSYATGKVGFGEQGMSTVYGMMQCTLDLTAPQCKSCLGDITRKIVKLFSNISDQAQNGGRIIGVRCNLRFEKELFFQETNDTIKIDMPNNGLTTVLKIIIFGVPLLVLLVFGLLLRPYIVKKVRESLLQRDLVILKKEIVSKSDSRFLLFSYLKIRSATNNFSQENKIGEGGFGNVYKVIAFN >SECCE6Rv1G0409620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:590096356:590097573:1 gene:SECCE6Rv1G0409620 transcript:SECCE6Rv1G0409620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAPRLLPCPAMLHSPMPILFLAAGPLAAVHFSARCRLRLRLRVPHVATAAGAATTPGNSFAVEDYLIANCHLTQPQAVKASKNLAHLKSASNPDAVLAFLDGLGFSPKEVAAAVASNPRILCARIERSLAPISAELRALGLSTSQVARLAKIAGRYFLCRSFVSKVQFWLPLFGSPERLLQASDWNYWLLTSDLEKVVEPNVSFLRQCGLSACDISKLLVAAPRLVTMHPEYVQDSVRRAIDLGVPPGSQMFRHAISTAGCIGQEKVDAKIAVLRETLGWSKEEVSLAISKAPRILVASEERLRRNAEFLVKEVGLEPQYIARRSVLLMYSLERRLMPRHLVVKLLKQRRLIEEDRCFFNVVAPTEEKFLEKFVAPFEDCIPGLGDAYDAACAGKAPVKAE >SECCE2Rv1G0065360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:8318380:8319351:-1 gene:SECCE2Rv1G0065360 transcript:SECCE2Rv1G0065360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKKQQQQPAVVDPKFEWADKAGTYVLRLSLEGFKKGDFRVQVDGAGRLTVSGARPGATPGSLHKVFQLPSTASLDDIAGRFEAGVLTLTVPKRAHSGVPAPTSIEEIRKEKPGVAKDDGATATTGTVRPPPTKDVDGSEKKAASGDDSTKPKEEIAKEEEASNKAMDEGTKKAQQQRQQEEASKHKEEQPKLAPESEANKEQEVKPEAPQKPAPEPEVAVGEKDKAVIDQERLAAAVRRRSEEEKAKAADAAMEAKAVLEKKVTACSGWKERMAGGLEQLTDMKWADSMVEKARKNKEVVAIAIAAFSLGFFVSHKLFRK >SECCE5Rv1G0362320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:785438253:785439404:-1 gene:SECCE5Rv1G0362320 transcript:SECCE5Rv1G0362320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAVLPDDLVVEILSGLPLKSFCRFKCVSKTWLAFSSDPHYREKLPRTPVGLLYQKRKHDTAIHLAGLPSNDRDIDTTLSFVPCYEHPLKLEDCSNGLLLCYHGDTHYKEIFDAILCNPATKEWMALPDTEPGLAVSFSVLRLCFDPLWSQHFYVVKFESSSSPNGGYDTKVKVFFSEDSTWSSCLWETSDAFAGAWIFVNGVLYVKHLWGHYLLALDAPGTCTQSLNHRTFQLPGFPYGPHHRFHCYDGCLWQSSGVLCYAQHELDGCMIRIWSLEGSDRWVVKHRLSMNNVFGRDIMLHINSDRFWYFDYEILAFDLERELVILADTIADNKIISYSISTGKVCQILNIPSFVNLYRSLLYVPYYGKFPASALQGVQAKC >SECCE7Rv1G0506980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:757210672:757211085:-1 gene:SECCE7Rv1G0506980 transcript:SECCE7Rv1G0506980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGRGASNKIRDIVRLQQLLKRWKRMAVAPGGGGGRGKNGGGGGAVPKGSFAVYVGEEMRRFVIPTEYLGHWAFEELLREAEEEFGFRHEGALRIPCDVETFEGILRLVAAGKKESAGADMCDRSCSSETEILCR >SECCE6Rv1G0384430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:63390325:63392350:-1 gene:SECCE6Rv1G0384430 transcript:SECCE6Rv1G0384430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKTREPKEENVTLGPAVREGEHVFGVAHVFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >SECCE1Rv1G0012380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:92228178:92232140:1 gene:SECCE1Rv1G0012380 transcript:SECCE1Rv1G0012380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGDAEEAAAGCRARAALLGAYELGRTLGEGSFGKVKHARHRATGDHFAVKILDRGRVLSLRGADDQVRREIATLTMLAHPNVVRLHEVAASKTKIYMVLEFVNGGELFDRIAMKRKLSEREGRRLFQQLIDGVSYCHGKGVCHRDLKPENVLIDRKGNIKISDFGLCALPQHLGKDGLLHTTCGSPNYVAPEVLRNRGYDGSLSDIWSCGVILYIMLVGQLPFDDRNMVVLYQKIFKGDAKIPEWLSPGAQNLLKRILEPNPMKRINMAEIKLHEWFQKDYIPVAPYDDVDEDVRLGAILPVKQQISEAPGDKSTRQMNAFQLIGMASSLDLSGFFEEEGVSQRKIRFTSALPPKDLFDKIEVSATQSGFHVQRAHTKLKISGNCNKPNNPSPFLVCAEVFELGPSLHVVELRKSQGDTAVYRELCDRISSDLGIDKIFGMGSLFDEDLPSFDSRAATPLVAL >SECCE5Rv1G0323480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:436604309:436606472:-1 gene:SECCE5Rv1G0323480 transcript:SECCE5Rv1G0323480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIQLGCYTIKSHGAKVARLHMYDWIILLLLAVIDGLLNIIEPFHRFIGKDMMTDLRYPLKGNTVPFWAVPLIGIVLPCVIFGGIYFKKKNIYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKDLYDNVTTGVLCHGEKSVIKEGHKSFPSGHSSWSFAGLGFLTWYLTGKIAVFDRKGHIAKLCIIVLPLLTAALVAVSRVDDYWHHWQDVVAGAVLGLTVASFCYLQFFPYPYDADGLWPHAYTLQLAEARNSGIANSFSVRPPTETEEGEGQGGIALRDTSPILDTMESGRRYGN >SECCEUnv1G0553020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:262888975:262889667:1 gene:SECCEUnv1G0553020 transcript:SECCEUnv1G0553020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKALPSLLYLVFIVLLPWGVSSSFNKCLELWIKNWWNTRQSETLLTDIQEKRILERFIELEELSLLDEMIKGKLKTHVQKPPTGIHKEIIQWVKINNEDHLHTILHFSTNIICLAILSGSFFLVTDFFVGFHSNRGWELVIRWVYNDFGWAPNELIFTIFVCSFPVILDTCLKFWVFFCLNRLSPSLVVIYHSISEA >SECCE7Rv1G0518150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857774929:857785753:-1 gene:SECCE7Rv1G0518150 transcript:SECCE7Rv1G0518150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 54, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19490) UniProtKB/Swiss-Prot;Acc:F4JT76] MASRPPHRTASTSSSAYSTDAPTAPPGGAPQSITSLLNNPLPSASAGSYWLPWPPPAALPDAPPPPAHPCEVSRADFAPYLARVADPFARFADVRLHATAELALAASDDGAPAAASPSSGLAACLREVPALFFKEDFALEEGATFEAACPLGEAALQERLGQHLDVVEAHLVQEIARRSESFYEAQGRLRGLDGEIVAAVGRIRELREVVRVLTGDLVGDAQQVQELNATRGNLVALQEKLTIILYVSQALAALKLLVLAADCAGALDVIDDLQNLIDTDELAGLYCFRHIRDQLGTSLDSVNSILSAEFVRAAVPDGKTVDAMVLSTVKRKTCTPLNGTEHEVNVDEEDGFILRDRLLPLIICLLRTDKVPAVLRMYRDTLITVMKASIKSTVAEMLPVLISKPIDSDSVTGDRAADSDAGGQSLANKLRSLSSEGFVQLLSAIFSIVQVHLQQAAEVKKIVQWIMRNLDGNISPDDTNPVVQHGGSVDFSQEKDYDVTSRVSNTVTRSPTKLPLFQGKANDMSSINSIKNIRADVLRESTEAVFAACDAAHGRWAKLLGVRAALHPKLRLQEFLIIYNITEEFIAATEKVGGRLGYNIRGILQQQSKQFVEYQHSVRMAKIKAVLDQETWVAVDVPEEFQAIVLSLSSTDFPVNGMEMPSNDNNSKLSEDGISTSQESAHSTENNVENSNGTSTTSNENKVESTSQTENSVAGNVRPVSQTIVIGGVGYHMVNCGLILLKMLSEYVDISKCLPSLSFEVVQRVVEILKHFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIHSLIPDIRRVLFLKIPEARKHLLMSELDRVTQDYKVHRDEIHTKLVQIMRERLLANLRKLPQIVESWNGPDDNDPQPSLFAKAVTKEVTYLHRILSQILLEVDLQGIFRQVVQIFHSHITEAFSKLEVSSPQAKNRLCRDVQHILVCIRKLPAQNFSSEPVRNYGLLDEFLVEKFGTKVDE >SECCE5Rv1G0345980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:659806234:659807399:-1 gene:SECCE5Rv1G0345980 transcript:SECCE5Rv1G0345980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRGPPSPAPVATTTAITAIGDDLLCEIFLRLPSLPSLVRAALACRAFLHAVRSSPSFRRRFRAVHPPQILVFFNAGIHSLIPLDGRSDHDLAAAVHGSDFRFIRLPKGRDDSRWNILNGCRCRCGYVFLCNENTDQIAAYNPLKRALYIFPYPPQETCDDPHCLDFSIIFSEDDQRSFRVVSVQPKLARFSVFSSDSREWQSISWVDTSTPQRVDNGGDNSVLPSYTDTVMMDEFDRLTYWKDKNTGYIVVLNTATLQLSRMDLPQPLKDMDSMQFELGRTNAGKLCMVCVDGFGAENTMISVWIWRADGDGVDKWMQHKVFTLNKFIDVTMCSAEECKRVLQSYISQ >SECCE2Rv1G0117670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:775081489:775089202:1 gene:SECCE2Rv1G0117670 transcript:SECCE2Rv1G0117670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRQILHGAALPLLLLAAVAHAQQTDPGDAAALNAVFAKLGQKALSSWNISGDPCTGAATDNTNIDNNPPFNPAIKCECTGGNASVCRVTRLKIYALDAVGPIPEELRNLTALTNLDLSQNYLTGPLPSFIGELTRMQYMSFGINALSGPLPKELGNLTDLVSLSVSSNNFSGSLPPELGNLAKLEQLYVDSAGFSGPLPSSLSKLTKMKTLWASDNDFTGQIPDYIGSWNLTDLRFQGNSFQGPLPATLSNLVQLTNLRIGDILNGSSSSLAFISNMTSLNSLVLRNCRISDTLLSVNFSKFTSLTLLDLSFNNITGQVPQTLLNLNSLSFLFLGNNSLSGSLPSSVGPMLKNLDFSYNQLTGSVPSWARNSQLNLVANNFGADISSNSALPTGLDCLQRNTPCFLGSPKSSSFAVDCGSDRPISGSDNSLYQPDAATLGAASYYVTGEPTWGASNVGRFMDASNGSSIIYSSHQFLNTLDTELFRNARMSPSSLRYYGIGLENGNYTVTLQFAEFAFPDAQSWKSRGRRVFDIYVQGERKEQNFDIRKAAGGKSYTAVRKQYTVPVTKNFLEIHLFWAGKGTCCIPDQGYYGPAVSALSATPNFTPTVRSAAAKKNGSKTGVIAGVIVGVAVLGLVVLAGIFLWRRRQKRRKLSLEQEELYSIVGRPNVLSYGELRSATDNFSPNNLLGQGGYGSVYKGKLTDGRFVAVKQLSEASHQGKKEFATEIETISRVQHRNLVKLYGCCLEGNKPLLVYEYLENGSLDHALFGKGKSSLDWPTRFEICLGVARGLSYLHEESSIRVVHRDIKASNILLDANLNPKISDFGLAKLYDDQKTHVSTKVAGTFGYLAPEYAMRGHMTEKIDVFAFGVVVLETLAGRPNYSTEDESKVYIFEWVWELYENNHPLDVVDPRLEEFDSEQALRAIKVALLCTQGSPHQRPSMSRVVAMLTGDVEAPDVVTKPSYITEWQIQGGNTTYMSTDVSGQPSSAPRPNSPSSRTSSPFLSSVIDEGR >SECCE4Rv1G0222830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:63059357:63063567:-1 gene:SECCE4Rv1G0222830 transcript:SECCE4Rv1G0222830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDECYDYEYDYDEDDEEEEAMEADEDGLFEDDTPMPDRPADCWAITQESLSTAQQQDLSMVTTLLNVKQHHARALLIHHRWKIDCVYDHLERKGRDRMFREAGIVLQENINGKAPPSRVVNCMVCFDEFSVGAVSTMECGHYFCNDCWTEHFKASVESGKKQIPCMGLKCPVVCDEAVVQQLLAGKYPDVARRFDRFLLESYLENNDSVKWCPSVPHCGRAIRVGAGERYTEVECPCGLGFCFACAAGAHSPCPCTMWDMWEVKCNGESETVNWILANTKSCPKCFKPIVKDGGCNLVICKCGQPLCWKCGAATGTAHDWDSIAGHSCNRFQGEEKKVDNAKRNLHRYTHYYDRFKIHGDSHKLEHDKLGPAVEDRVKQLEALQDRPVIQDASWLTEAHRGLLQSRQVLLRSYVFAYYMFGDDDKVRMRPSDRGNLPIAQGLFENYQEELESNVERLSKALATEYGPQPEVDDVQRDKQNAMNLAKIVQTHCREIYKCIQDELLSLLLHPMTIATYRPRGPDKAKEFTA >SECCE7Rv1G0501320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:681510193:681511158:1 gene:SECCE7Rv1G0501320 transcript:SECCE7Rv1G0501320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPPPPPSLPPFGSLLLPLSSDSSLPSLPWPDLIAGVAGATLRLVSAHSRHFLALSCLLLLPLSLLLFSLPAPFPGASPSVSLRSPQDSWSNPLLLLALVAAALLYLAAFAAAAASAHAGFFGRPVKLLASLLSVPASVLRLLLTALPASPLLLIPLLPLPAALSTAIVLLAVSLLAPFWSLAGAAAVVESTAGSSPLRQSCRLLSGARLAALSAFLVFAAGIGLVLWGFSGVAMETYDAATGWAGMAPVVLKAMVGTAVLAAMMLYGMVINVVLYMHCRALHGELTGEIYNEFASSYVYLPFDEGKDRHIVSVVTLWP >SECCE7Rv1G0483150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:317874915:317876438:1 gene:SECCE7Rv1G0483150 transcript:SECCE7Rv1G0483150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAQPLLATAEHDHEADERITVVPGNGNKHAEAEEMTTSVQSQLEESGTASAGVGDEADDEMASRMQRRLDALPGKPHESEPFTIFRVAGPMRDRNRHLYEPQMVSVGPFHRGASRLRAMEEHKWRYLRDLLARGHAPLASYARAARALEPAARRRYAEPTEDLLTPRDFAEMLLLDGCFIVEFFLKGEDGAADALIDAAWAMQNVYSDLFLLENQLPFFVLERFYAIATGGRSRDHLVTSLLAKYLTVETPQDAATAKAPDGEILHLLHLYYHWFLPPQDEDAAVPPGSGETNKIAEEEEAFNEWLAKPMDERLPWVLPSASELEDAGVTFRAKKSPRSLVDVTFRARDGVLEIPAVESYTNRAMFANLLAYEQSRGRWELQRLMSYVVLMASVASHGRRDVEILQRAGVFVKGDEETAAFYAHLGELCGGAAAGTPGDANNCYADMFRDVRQYCGRSWNRHRAVLAHDYFSNPWTSMSAAAAVLLLVLTVVQTVYTVLPYYHQ >SECCE7Rv1G0504440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722870975:722874003:1 gene:SECCE7Rv1G0504440 transcript:SECCE7Rv1G0504440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPQRSPAVMAGGGGGGGGGGGTPALHYLSGPYGDTTYTKVFVGGLAWETRSEGLRAHFEAYGDILEAVVITDRATGRSKGYGFVTFRDPDSARMACMDPYPVIDGRRANCNLAILGRPGPAVPFGPIRPVMPYNGGAAVPGGMYVPSPTYQQPPYNYSQALVYPPYGPSTYGPEYLYPQNAYGPYVGQQYVPVYGGPRTVGPAVYPYGQFGQPVPSDHSYSPSYVPGHLLPLSNQNAVNARASAVQQQYPLGAPRPQQQLLLPARVQQFPPNNVSEQMSG >SECCE3Rv1G0200970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:858991504:858993723:-1 gene:SECCE3Rv1G0200970 transcript:SECCE3Rv1G0200970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGALLPPGEPSTTSKLPGGRGGWPAALFLIAVEFVERVGFYGVQGNLIMYLTGPLGLSTASAAAGVNAWGGTASMLPLLGALAADSWIGRYRAIVAAGVLYLVSFGILTVSSMVPPRQPQQAASPAASPSRAAFFYATIYMVALAQGFHKPNAQALGADQFPRSSPDGIASRSSFFNWLHFSMSWGFIVAVVALSYVQDNVGWAAGFGTSWVMMLVSLSVFLLGTGTYHVAERPRDRRALGRLTKTLAATARTWTDMVFRRREAAMDAECLLTPKEEQGKGVMVRLLPIWTTSVVYAMVIAQVSTLFTKQGSTMDRRIGAGLVMPPAALQSFVGLAIIASVPVYDRAFVPLARRVTKHPSGITMLQRIGAGMAIASVAMAVAALVEAARLRAARDAGLVDRPGVAVPMSLWWMVPQYVLLGLANVFTIVGLEEFFYDQVPDALRSVGLALCMSIMGVGSYASGLLVSAIDWATRRTGESWFSDNLNRAHLDYFYWVLAGVAALEVLVFLYFSRRYVYTNKCELVM >SECCE3Rv1G0196700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:811494084:811496048:1 gene:SECCE3Rv1G0196700 transcript:SECCE3Rv1G0196700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAAAAAALPSPSPHRRGPAASAKSIWLNPNLPSSHPLHRHKSAELQRQDHAPDITALVAALSAARAAPDLAATLSPHRPVSPRLLCTLLSRLPDPRRGVALLDLLAPDLPSSALLVPYNLLLRAASRAGQLRLASGLLLEMRARGVAADGFSYSTLLAALTRAGHLDHALTFLPLMEADAVAPDLVLFSNLIHLALRAGDAPKALALFSRLRAAGIRPDLKAYNAAIAAYCKSDLLRDAKRLLLHDVPADGVAPDAESYAPVLAALARRGRHLAAVSLFSHMRAVARVKPDLSVFNIVLNAYGQLDLARDADRLFWSMRRAGVPPSVVTYNTMLRVYGDAGLFGEAVHLFGLMCSAASDGASNGGAVRPNVVTYNTMIAIHGKALEDDKAGSLVQQMQASGIQPNAVTYSTVLSIWVKAGKLDRAAKLFEKLRESGTEMDPVLYQTMVVAYERAGLVSQSKRLLRELRDPDQAIPKETAMKILASAGRVEEAAWLFRRAVHTGEVKDPSVHRAMMALFAKNRRHRSVVEVFDEMRKLGHLPDSETIAVTMNAYGKLKEFDKAAGLYRALREEGCVFSDRVHFQMLSLLGAQQDFEALERLVGELSHDPSIDKRELYLVSAGVYERAYRFDEAAQIISQIRSSSDFQVQKLR >SECCE2Rv1G0104320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:605099508:605102166:1 gene:SECCE2Rv1G0104320 transcript:SECCE2Rv1G0104320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAHPTRHPDPRPASRAPPPERSPARARARPRVPRRSSHALTLTRAQPPSAEPGKNTETTSAPASSSVLSFLCPLLKFFGGGDPSQERNDIVEVATSSLSSLARLPWGSSVAASSAENVTTPASGPTLQLYEFEACPFCRRVRETMTELDLSAEVYPCPKGSLRHRDVVRKIGGKEQFPLLVDASTGVTMYESGDIVKYLFKQYGQGKSPSFGLLESTIFTGWVPTLLRAGRGMTMWSKAGAVPAEKLELFSFENNTYARIVREALCELELPYVLQNVGEGSTKMSSLLSIAGSKQVPYLMDPNTGFRSGDHKTILSYLFQQYSVGG >SECCE2Rv1G0070310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:34419915:34420979:1 gene:SECCE2Rv1G0070310 transcript:SECCE2Rv1G0070310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKSSSVRRVVAILAPVVGFILLSILFLGTYFIRKRRTQRQYEMEREEEFWELQETPMRFTFQQLKAATEQFADKLGEGGFGSVFKGQFGDERIAVKRLDRAGQGKKEFSEEVQTIGSIHHINLVRLIGFYAEKSHRLLVYEYMPNGSLDRWINCRHDNDSPPLYWSTRCKIITNIAKGLAYLHEECLKKIAHLDVKPQNILLDDDFNAKLSDLGLCKLIDRDMNQVFTRMRGTPAYLAPEWLTSQITEKADVYSFGVVVMEVISGRKNLDNSRSEQSIHLITQLEEKVKTNRLVELIDNKSNEMLAHKQDVIEMMKLAMWCFQIDCKRRPKMSEVVKVLEGAMNAESNIDHN >SECCE2Rv1G0121170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:805824083:805828247:1 gene:SECCE2Rv1G0121170 transcript:SECCE2Rv1G0121170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0623300)] MANNNSSYGENVSRKSHTPSAIVIGGGFAGIAAANALRNASFEVVLLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTNGNQVPQEFVEKIGKVFEAILEETGKLREEMKEDISIAKAIAIVLEKNPHLRQEGIAHDVLQWYLCRMEGWFATDADAISLQCWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLGHRVVEIVRHWNRVEVTVSNGKTFVADAAVIAVPLGVLKANTIKFEPRLPEWKEEAIRELSVGVENKIVLHFSEVFWPNVEFLGVVSSTTYGCSYFLNLHKATGHAVLVYMPAGRLACDIEKMSDEAAAQFAFSQLKKILPNAAEPLNYLVSHWGSDENTLGSYTFDGVGKPRDLYEKLRIPVDNLFFAGEATSVQYTGTVHGAFSTGEMAAEECRMRVLEKFRELDMLEMCHPMAEQTATVSVPLLISRL >SECCE1Rv1G0048400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:634709843:634711156:1 gene:SECCE1Rv1G0048400 transcript:SECCE1Rv1G0048400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRACCWRSLAVVLAVLGAAATAARAAPQVPCYFVFGDSLVDNGNNNGIVSLARANYPPYGVDFAGGPTGRFSNGLTTVDVISKLLGFDDFIPPFAGATSEQLLTGVNFASAAAGIREETGQQLGGRISFSGQVQNYQSAVQQLVSILGDEDAAASRLSRCIFTVGMGSNDYLNNYFMPAFYDTGSRYTPAQYADDLAARYTQLLRALYSYGARKVALIGVGQVGCSPNELATQSANGVACVDRINVAVRMFNQRLVGMVDQFNRLLPGAHFTYVNIDGIFADILRAPGGHGLRVTNRGCCGVGRNNGQVTCLPFQTPCPNRNEYLFWDAFHPTEAANVLVGQRAYAARLASDVHPVDLRTLASL >SECCE7Rv1G0525920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893352108:893360961:1 gene:SECCE7Rv1G0525920 transcript:SECCE7Rv1G0525920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSGSPWASSVQRNRLLPIGASREPADTEWTPPAGSPAEEPVSPTARAMEDIGIYIVITFGLDTPINLTTFRAGIEAMLARCPRYRCIQVADGSNNGEARWVRTTVNVQDHMIVPRLESAAMATTDPDNVVEDYVASLSTLPMDPSRPPWDFHFLDFPTSEAASTVVIRVHHAYGDGMSSLALLMMSTTHSSATNTKGRPEAPPGRLPTRTGAIYAPQRPPLCAGALPFVAWVWSYLVVAWNTVADIAYFVATIMFLSDPHTLFKRAADDDGFHARRFVHRSLSLYDVKFLKNSMNCTVNDVLVAVTSAALSRYYFRKSGDANTREICVRSLLPVNTRPATSLQTYANVIESDKRNEVIWGNKLGYIILPFYLATHDDPLAYIRKAKKVLDRKKRSLEVIFTYKIAQIFIKVFGAKVGSSIFRCLFARTTIVFSNMVGPTEQVELCGHPVAFIAPSVYGIPEALIIHYQSYRSTIKIILSVDEDKFPDYHQLLDDFDQSLAVMMDAASRLSTSTKND >SECCE2Rv1G0132900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894168614:894170932:1 gene:SECCE2Rv1G0132900 transcript:SECCE2Rv1G0132900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLSSISTNSFRAPSRKPRAPLAALAAATERVREGTLSREDAHHLFDELLGQAAAVPERGLNNFLAALARAPPSAACSDGPALAIALFNRMSPGAGARVVSPTLCTYSILMDCCCRAGRPDLVVAFFGRLLRLGLRLNAISFSNLLKGLCQAKRTNEAVDLLLHRMPELDCAPGVFSYNIVINGCLKQGEVDKACNLFHRMIQLGVQPDVVTYTSIIDALSKSGAMDKAEVVLRQMVDQGIGPNIRTYTSLIHGYSASGQWKAAVRAFKEMVSVGVLPNAITLNSFMDSLCKHRRTKEARDIFDSMAAKGQKPDIVSYSIMLNGYAKEGYFEDMTGLFNLMLQNGIVPDHRVFNILINAYAKRGLMDEAMHMFEVMRQHGVNPDVFDYQVIMDSLCKMGRMDAALNKFNQMVNQGVSPDKAVYQCLVLGSCSHGHFVKAKELISEAVNRGLCSNSVFFYPVINDLCKEGKVKEAQDMFDFIVGIGQRPDVIMYNSLMDGYCLVGKVEEALRVLDAMKSAGLQPTAVTYAILLNGYCKIGRIAAGLSLFREMSLSGVKPTTIMYNIILDGLFRSGRTVSAKEKFRTMSESGIPVGIDTYNIVLHGFCRNNCTDEAIELFKKLRAMNVKIDVITLNTMISVMFKTRRIEEAKDLFATISAIGLVPSVVTYSVMMTNFIKEGLLAEADDMFLAMGKAGCAPDSRLLNHMIRVLLENGAVVKAATYLDKLDSKQLSVEASTVSLIVSLFSRKGKLREHVKLLPVKYQPPEMLD >SECCE7Rv1G0490160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:475004307:475035273:1 gene:SECCE7Rv1G0490160 transcript:SECCE7Rv1G0490160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGSMNNDNDNVIDLISDSGDDFDSDSDDPTSTNVTSGENDGGHSASFQDVDLLRSTPSSSISNMNDNGQYRTLPPSFAKGIDIEKARYTLGSGDRTYPHSNSCAGPRHDSGTASLSSSRLDIAVREHNGLAVDANVNNKRILPSSFSNGSTSKSTHPSVASENRKLPSRFTNGNSQRLDDNRMGTNDANDIGQPSSSRFSIRSYSVSNAQKDTMENDDDDVYVYDSPSSHRMLPASFGGHNPASNNELANVNDMQARPNLENRYLDSDERAVYQEALQNISLDKREDDLDEGVLSVSLLKHQKMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALILKQKSQQSKFMCADSDPLKSEALNLDEDDEAVTVVYKAKQPMNDEPKKDLDASLSSTAASTSDVKPSTSHMDIVPNRIVESKVERKNTKTGTSSTMRSMTRPAAGTLVVCPASVLKQWANELTDKVNQSAKLSVLVYHGGARTKDPSELAKYDVVVTTYTIVANEVPKQNADDEQDQKNGEESSVGNKRKPPSKSKKRKKKLKDSDIDLDSGPVARVRWFRVVLDEAQTIKNFRTQVAKGCCGLRAKRRWCLSGTPIQNSIDELYSYFRFLKYDPYSTYSSFCTMIKHPIARNAVHGYKKLQTVLRIVLLRRTKETMINGEPIINLPKKTIKLQKVDFTKEERAFYLTLEERSRQQFKEYAAAGTVKQNYANILLLLLRLRQACDHPLLVKGHQSVFKGDGSIEMAKQLSKERVIDLLARLEVSALCAVCRDTPEDAVVAMCGHIFCYQCIYERITTDENMCPVPNCRNTLSTESVFSSGTLKICISGKTSTHAIASSSADDELSSISQSSYISSKIQATVDILNSIINTHALTDSDIIESNPSRVSPVKAIVFSQWTGMLDLLELSLNSNLIQYRRLDGTMSLNARDKAVKDFNTDPEVRAMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAIDRAHRIGQTRPVTVSRLTITDTVEDRILSLQEEKRAMVNSAFGEDKSSAHATRLTVDDLRYLFRI >SECCE7Rv1G0468760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:103284908:103287277:-1 gene:SECCE7Rv1G0468760 transcript:SECCE7Rv1G0468760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFKGSPYFNRVSSNATKSESPKLQSPSERTKKDDSLLPSNPHEVEALRNDSARKNPLVAFTFEELKRITKNFRQDSVLGGGGFGRVYKGCVTSDLREGLAIEEPLRVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLVGYCCEDDHRVLIYELMPLGSVESHLFSRVMLPLPWATRMKIALGAARGLAFLHEAEKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDRSRPVREQTLADWAFPLLAHRKKVLGIVDPRLTADDYPVKAVHKTAMLAYHCLSRNPKARPLMRDIVATLEPLQQQPPQPQPELVVEVSPANDSGGGDGVGVR >SECCE3Rv1G0185030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:669820691:669829987:-1 gene:SECCE3Rv1G0185030 transcript:SECCE3Rv1G0185030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MATAATPCSPRLLLASSASPPRLFRVAARPLLPGSRGGGVRLRVRCGAADEEAEARRGEQVKASVEEMAPGLDLVTLAACLVGLLTGVSVVLFNLSVHEIRDLLWDGIPLRGASWLREEPTAEIWQRVIFVPVSGGIIVGGLNTLRSSIKTNSDASVSNIKGVFSPFLKAVAASFTLGTGNSLGPEGPSVEIGSAIAKGFGNVFGWEGGKKLSLVAAGSAAGIASGFNAAVAGCFFAVESVLWPSSGDSSSLSNSTPMVILSAVIGSVVSEIGLGSDPAFTVPEYDFRSPTELPLYLLLGVFCGVVSITLSRCTALTMDMVERLQKTTGLPMAVSPALGGLIVGLLALVYPEVLYWGFENVDILLESRPFTSGLSAAVLVQLIGVKILATSLCRAFGLVGGYYAPSLFIGAATGMAYGKFMKFTFTGPEALFHIPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGLSSWIVSPQRFSTSSKGKLDSLEQKISIVQEAKNVPTQTQQLTSVDSVDATAELCKLESSLCVYDARDDSMLENLTVAGTMKTRYISVSLKTPVVEALNLMLVEKQPFVMVTERNKYLVGLLTVKDFQDFCKTAKSTRTQPEVDECLVSHVCGTIRCKTWSVTPQMSLTTAEKIMDSHGVDQVPVVSEHVNHQDRGILIGFVDRECITIARRALAAKEFFSFTSEIRREES >SECCE2Rv1G0091340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:313659762:313667897:1 gene:SECCE2Rv1G0091340 transcript:SECCE2Rv1G0091340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MLPARRSPASGLRRRKVFLPLVLLVPLILLTLILIFPSRSIPALRDAALRSKQTCDYAAGGWVPDASAESHLRYDHTCKEIFKGWNCIANGKRNGRALLRWRWKPAGCELLPRLDPLRFLERHRNTKIGFVGDSLNRNMFVSLVCMLRGVSGEVRKWRPAGADRGFTFLHYNLTLAYHRTNLLVRYGSWSASPNGGPLESLGYKQGHRIDIDIADQTWAEAPSFHDILIFNTGHWWWSSLKFDPIHSPMLFFEKGKPIIPPLLPPEGLDLTLKHMITFVNKAMRPNGLKLFSTQSPRHFEGGDWNEGGSCQRDQPLSSEEVKEFFSLYNNGTNTEVRMVNQHLMKALEQSSFRVLNVTHMSEFRADAHPATTGGKKHDDCMHWCLPGPTDAWNDLLAVSLAEIQS >SECCE6Rv1G0377190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:296564:298687:-1 gene:SECCE6Rv1G0377190 transcript:SECCE6Rv1G0377190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETEPAAAAAAAPCLLLGPPLIRSARPSPATDTDASHPFLDLLDAAFNAPSAEETKAALKPRRALTENCSATYANSGNPCLDFFFQVVPDTPAERVRSLLAAAWTHDALTALKLACNLRGVRGTGKSDKEGFYAAALWMHDNHPKTLACNVAALAEFGYLKDFPELLFRLIHGADVRKVAKAGVEDDKVRRKAKALAKQREGLRASLAGRKRARELAPVPAKATFGDFLSAALSAFGKGKPTEVETAPVAVAVDEPKPETMEVDPEKTASKPRRMSKKARKVAKLAVQSLETYYGDRAYRFLFDAVADFFAALLASDLEQLAPKGKKRRIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSDPQLAQLSDDHYTYQALRRLRREVLVPLRKVLELPEVYMSAQRWSELPYNRVASVAMRRYKFLFKKHDEERFDKYLEDVEDGKAKISAGALLPHEIAAAAYRGEDDNVSELQWRRMVDDLRSKGSLRNCISVCDVSGSMSGTPMEVCVALGVLTSELSEEPWAGKVITFSSTPEIHLIKGKTLAKKMAFVKRMQWNMSTNFQAVLDQILGTAVKARLAPEKMIRTVFVYSDMEFNEASGRAGYGYYGSQRSSGSWDTDYNVICNKFRDAGYGDVVPQIIFWNLRDSKSTPVMSTQPGVAMVSGFSKNFLKIFLQNDGVVNPEAIMMQAIAGDEYQKLTVYD >SECCE2Rv1G0111750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:703388541:703392042:-1 gene:SECCE2Rv1G0111750 transcript:SECCE2Rv1G0111750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTLEVLLVGAKGLENTDYLCNMDPYAVLKCTSQEQKSTVASGKGSDPEWNETFVFTVSENARELVIKLLDSDGGTEDDSVGEATIPLDGVYTEGSIPPTVYNVVKDEEYRGEIKIGLTFTPEEARDQDQPEENYGGWNQSS >SECCE5Rv1G0297490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:3257220:3260798:-1 gene:SECCE5Rv1G0297490 transcript:SECCE5Rv1G0297490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEDTTSHYYFVWALALSTLVLAITRSKKANNSLPLHLPPGPWQLPVVGSLHHLVGKLPHQAMRDLARRHGPVMLLRLGSVPTLVLSSPDAAREVTKTHDVAFASRPLTATMSVLTCGGRDIIFSPYGEYWRQLRKIAVTELLTAVRVRSFRAIREEEVATMLRGIESAGPMVEMRARLSMLVADGTFRAVMGDRCDPKQRNLFLQELNRIIGLATGFNTADLWPSWWLAGRLSNALRCAKESHATVFGIIKGIIHEHMERRTEGQRGEEAKDDLLDVLLKIHQDGGIDMVAVEAVIFDIFAAGSETSATALEWAMAELVKNPRAMMKATSEVRRAFEAGGTVDEGRLGELPYMRLVIRETLRLHPPLPLLLPRECREPCKVLGFDVPKGTHVIINAWALGRDEGFWGSDTAEEFQPMRFEAGAGMEVDFTGTDFDLLPFGAGRRMCPGMAFGLANVELPLASMLLHFDWEAPDISDPSEFDMTEAFGVTVKRKAKLLLRPSLRVPLPTSTPGGV >SECCE2Rv1G0142300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:939272831:939274048:1 gene:SECCE2Rv1G0142300 transcript:SECCE2Rv1G0142300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVQSAVVQEAVSGAVSFMFSSRGEKNLMERLEMAHIKLDLELERTRMMPITIMPLLRLRKKLKDVFKECDDLLGRARDRQQVGPSLRRKIMHTVLPSYLVPNQDVLSSSVVARFERFVEEADRFVSDVESGSSLSHYRFLTSPTRHLLEGKDLRYYMVQGSKALSLIIRTDSVEEYGRVAWLYFDYKDRKAPLKNCDIMLILRLYESTNIVGITAKCLRALGPQFKSLVEDAAGELTLLPTQDVSYSDSVWSETMVEVTTFRSPDPFCCIANGLNQPCASSIISSELTGRFPQEVMSVRFACCFSASEYCSRSSTDEARINAIKAWPPLRMEIFFLPHFPNEFWDGSSLQQKEEELQTEAVDCFIRQPGITEYSTYWFSAHGCAVFHVLKQIAKTRRASKRRR >SECCE3Rv1G0165520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:175406830:175408705:1 gene:SECCE3Rv1G0165520 transcript:SECCE3Rv1G0165520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCESLIGFALIVAVAGLWPRHAAAQKYAAIFNFGDSLADAGNLCADGIPAYLATARLPYGITYFGYPTGRVSDGRLVVDFIAQELGLPLLPPSKAHNASFHRGANFAITGGTSLDTSFFEARGMRHTVWNSGSLHTQLKWFQDMKPSICNSPKECRDLFRRSLFIVGEFGGNDYAAALGAFLPLHKVHTFVPHIVDSIGKGIEKLIAEGAVELVVPGVLPIGCFPVYLSIFLKQQPEMYGPRSGCIKDLNTLSWVHNALLQRKIVQLRKKHPGVRIMYADYYTAVTQFVLHAEKWGFLKQTPRTCCGAPGVGQYNFNLTSKCGEPGAYACDDPSNHWNWDGVHLTEAAYGHIAKGWLYGPFADPPILEARQR >SECCE2Rv1G0121660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:809592180:809593854:1 gene:SECCE2Rv1G0121660 transcript:SECCE2Rv1G0121660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEEVSSKKERNEECFIKCLPRELIERIFFELPVSTLLVCIGVCTHWKNLIRDPNFVTSHLKHASHYSHIFFQQDYVAGKKYPSDAILIDKAWSQSTYAVPTIDPDDFLCGSCNGLICLYTKTSTIKIANLATGECLHLEKPIKRLKGDQFLFYSFGFHPLTKEYKITHFVGDYVDGQPQNGDKFTIIQVYRLGDDKWKDIRTPKALSLTCIKNSGLIHVGGTMYWLNNDMTTNWKHVVMCFDLGEEAFALMHLPTSVPKNCIYGGPRRYWIREIDGKICTATAQTWHKVIYGKLQIWTLDNKVEQRWSQKYNIHTAGYITGPNLSYGDKLLMQRNDSRLFSHELHGKEGNIEPMLLNMAKLSDFSPVLDFSPRKPDNMQSYIYVESLVRLDVYKKGGIVRRPKKREVWKLKKWESWEHELSQLEEAWSHIHQKENDIIVFSQRSGIQLKHHLQVSKIPSTA >SECCEUnv1G0540680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:88714581:88714994:1 gene:SECCEUnv1G0540680 transcript:SECCEUnv1G0540680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLYVLTPKRIIWDCEVKEIILSTNSGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQKALEIAEANLSKAEGTKDLVEAKLAPRRARIRIEAVNWIPPSN >SECCE7Rv1G0485390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:371576458:371578285:1 gene:SECCE7Rv1G0485390 transcript:SECCE7Rv1G0485390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGLSNAGDHDPGKRALGDDDEAAAADYRLKGVRHLSDAGITRLPGRYVLPASDRPARSVSAGTRVRLPVVDLGRLRVPSERAAVLKTLKAACRELGFFQVVNHGVDMDGAAARMLDVAARFFELPFQERARYMSADVRAPVRYGTSFNQANDAVLCWRDFLKLSCAPPLRDVVPSWPDSPADLREVAAEYAAANRRVFDDVEGLQVMHGGEWLTVDPVPGSFVVNVGDHFEIYSNGRYKSVLHRVRVNSTRPRISVASFHSVGAERVVGPAAELLDQDRGGEPRRYMDTNFATFLAYLASAEGKHKTFLQSRRLAFA >SECCE3Rv1G0156270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:71096848:71098045:1 gene:SECCE3Rv1G0156270 transcript:SECCE3Rv1G0156270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQSYFDLLHSDAGLNYLHWTEEQHVDLEGHVEEENDLEGHEEQQTDLEGIEEPTPPVKARSSKVKAKKATASKESASKRQKNFSKAEDLTLMDAYLEITQDPIIGVGQSRDCYWKRINAYFHANKSEDHGRTQGSLQHRWAIIQEQVNRFCACYAQVLNRNQSGMTRDNKLFPIKGYLESRGLKMQYGGLKVLPLQREEDRLVEERKKLAIQEKKMKLEEEEIQIMRMAEELMMGVEESKIMSMDLTGMDEQEQEFYKLRKSEIINRHRNSSA >SECCE1Rv1G0024420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:325983109:325988012:1 gene:SECCE1Rv1G0024420 transcript:SECCE1Rv1G0024420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAP4 [Source:Projected from Arabidopsis thaliana (AT1G03900) UniProtKB/TrEMBL;Acc:A0A178WMR3] MASLPEEEEAFEHTLLVVREVSVFKIPPRTTSGGYKCGEWLQTDKIWTGRLRVVSCGDRCEIRLEDPGSGDLFAACFVLPGQRDSAVETVLDSSRYFVLRIEDGRGKHAFVGLGFGERNEAFDFNVALSDHEKYVKREQDKETGGEEADDSQIDIHPAVNRRLKEGETIRINVKNKPSTGSGMLSSAGLSGGATEKPKASMLLAPPPGATGKLRSPLPPPPNDSASARMSSGPSAGTRASKEPTKKSIDPFSDISAIERSLPSSTELGQTKSTGAGWAAF >SECCE3Rv1G0180750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:590976270:590987650:-1 gene:SECCE3Rv1G0180750 transcript:SECCE3Rv1G0180750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G80410) UniProtKB/TrEMBL;Acc:F4HS68] MGSSLPAKEANLFKVIVKSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFAVSHHLSSNSSKAIEVLEAYEGTLEDDYPPENERYEHGEMLLYKISLLEECGMLDRALQEMHKMESKIVDKLSFREQMACILLKLGRFEEAEKIYRSLLFMNPDNYKYLIAVQKCLGLYSENGQYSADDVERLSALYKSLKEEYSWSSAVKRIPLDFLEGEKFQEAADNYVRPLLTKGVPSLFSDLSPLYEHPGKANILEQLFLKLEGSIRTSGCFPGSSQMEPPSTLMWTLLLVSQHYDRRSQYDIALDKIDEAILHTPTVIDLYSIKGNILQHAGNFSAAAALADEARSMDLADRYLNSECVMQMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKNFLAVEKHYTDMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDSPLKSSAEENDELSKLPAAQRKKLRQKQKKAEARAKREAEEKQEDETTTSNSSKSGKKQQARPVDLDPHGEKLVQVEDPLAEATKYLKLLQNNSSDSLETHILSFELNMRKQKVLLAFQAVKQLIKLDENNPDSHRSLIRFFHRINNLPAPGTDSEKLIWNVLEAERPDLRQLHGKSLIEVNINFLEKHNASLTHRAAAAEMMYLLEPDKKLQAIKLIEDSTNNTASGNGVLGPIKEWGIQDCIDVHKLLDTVFADKDVANRWKVRCAEYFPCSTYFEGVKSAITAHIPGGTSESSPENGDVPSPQAKIKEGDAHSLNGTVHVVDDLSNLSMR >SECCE6Rv1G0443220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:821698170:821699252:-1 gene:SECCE6Rv1G0443220 transcript:SECCE6Rv1G0443220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHSSRTTTSTCAAETAHGTHLFRITGCSLYSGIGVGERIESATFAVGGYDWRLSYYLDGNNEEYTDWVSVFLDLKTENAEVRAFFDLKLVNQATQQAPRPFAGLDPSEITLFDSDAPSWGYRQLRKKTKLKKYILDDVLVIECNLTVINYKEALVAEAMMKYEDQVPRSDLLNNICNLLETQEVADVSFDVHGEVFPAHKVILAMRSPVFKAEFYGPMSNECKPSVTIEDMQPAVFKALLHFIYTDSLPPMDDLSDEEHEEMVKHILVAADRYAMERMKLMCESKLCDTLLAESVTTRLALADQHHCSMLKDACIEFISSSNRMADVVASKGYERLKRTCPTICADILEKAAKMRKI >SECCEUnv1G0529360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7446111:7450935:1 gene:SECCEUnv1G0529360 transcript:SECCEUnv1G0529360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRISDVEDVKLLEEVKDKMEDSLVEPPDWLPDGWIMEVRLGDDGALYRYYISPVSGAKFRMKSEVLNYLFSEIDEHYLEAKESVARSMLVSSHEWLPKGWLIEIRAGGENMDKMYKFYVYSALGVRVFSKEDVLLYVKEMKIANCDTNGQCDTNSRDNILAEVEFNPSGLPHGWVKELVYRKTLEGIRKDPYYTDPVNNYVFRTLTSAVRYLETGKATKRAFFPRTSVHELYNFEKSADLHECLRKRLTLKVMTDTTHATPSRPRRTRSSMKKINLNEQNLTLCEDSNTSTDLDSPDENEEIRKRNMKAKGKEASSSKTIRHPRGRPSKVVKETDGDISASEE >SECCE5Rv1G0298360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11157843:11168368:1 gene:SECCE5Rv1G0298360 transcript:SECCE5Rv1G0298360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) UniProtKB/TrEMBL;Acc:F4J9G0] MVRGKSDRGDTSVVVVVLETNEVYIVASLSTAGDTQVISVDPTTGALRHQGKQGEDVFDSEAAALKHITNGSRFLSKSTTYAKAVLGYAVLGSYALLLVATQLSATVPRLPGGGCIYTVVESQWVKIQLQNPQPQGSGELKNIKDLADLDIDGKYYFCETRDITRPFPSRMAVQEPDEEFVWNAWLSRPFKDIGLPGHCVILLQGFAECRNFGATGQQAGLVALIARRSRLHPGTRYLARGLNACSGTGNEVECEQIVWAPQKGGQVIPFNSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPYNGTLQYYQRLGRRYGNKSSEVNATSKKKPGMVPIVCVNLLRYAEGKTETILVEHFKESLKYLKSTGKLGNTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGNYYSVKQQLNECKGSIICNDDGGFCMDSIQNGVVRFNCADSLDRTNAASYFGALQVFVEQCSQLGISLDIDAMFGLSVSRNSEYNGRSARSLPPGWEERFDSVTGKSFYIDHNTRTTSWEHPCQEAPHKRWKRFDMTFEQFKSSTMLAPVNHLAEIFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFGKFSAAQNVKITLQRRFHNYMNDSSRQKQFEMFLGLRLYKHLPSIPIFPLNVLSRPSGCMLKPVPCITPMADGGSSLLSFKKKDIVWVCQQGADYVELFIYLGEPCHVSQLLLTVSHGVEDSSYPATVDVRVGSSIDALKLVVEGACIPQCSNGTNLLIPLTGRIHPEDLAVTGNSARPDVQESTYLPLLYDFEELEGEVNFLNRVVALSFHPSPMARTAITLGEIEVLGVSLPWVDMLTNSKGVAQVLELLHEKAHTPRNLGLKNIADSSSPGNDVHGSERSYTRSSPSVQPGGSGNFVDFLTGDIDVPNQSKITGNTSFGNEDQTNFFDDEFDVNPFATASEETVAEVNNHVEDCDSTQFYLEFLESLSQNNKGKSLNFEQMMKLEIKRLYLDLSAAERDRALLSIGVIPATVDPNRSVDYSYLLKLSSLTDKLALLGHSVFEDRANASLGLEKGNSHAVDFWNISENGESCSGGACEVRAVSSLQASATSGNTSLFVECSQCERTACKACCAGKGAFLLLGNTYRDLKIYGGNQGGGYSALADSSVCKSCCNEMIKQALYVDYVRVLHSMRRKGRAEKAALKAVNQVCQLEPSRISDSVHSVQSGLRQLKQLLDDEESLAEFPHASFLHTVETADDSAPLFSLLAPLGSGVHKSYWKAPQGNTSVEFPIVLGGVSDVSGVAIIVSSCGYSTSDCPIVEIWASNKIQRDDRTFIGKWDVQSMIVSSPQLYGPENSGSLDEAPRHFKLHFPNPIRCRIISIKMTLPQIGSSSTKFNEDFSDLLSLDESSFIDSKANNSHNSFIHAKRIVVFGSSLPKEMGPDTSVAIMRMRSYVDGSPSFGRFRIPVEAERLRDHDLVLEQYLLPNSPGIAGFRLDSFGVIRPRVTHSPLPSELDMKECSLIRMEDRHLNPAILHIQVTVVKESGKLFVEEYRLPEVKANTPLYFDFSDLQQDARCVIFRLLGDVAAFVDDIAEIDGLSLRNLPLASGLSLSNKVKLYYYADTYEMGKIGSLSAV >SECCE5Rv1G0312610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:240205865:240247535:-1 gene:SECCE5Rv1G0312610 transcript:SECCE5Rv1G0312610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(Oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) UniProtKB/TrEMBL;Acc:F4HP28] MNPLCCIAPVSVDYAADHHHHHHQPRSVVAATLSATPEAAPPESTPPVVSGVLHKWVNYGKGWRSRWFVLEDGVLSYYKLRDGGGESGESSASTAAAKVIGEGDALRRAREDAAAAGKQWKPFGDIHLKVSSIRASRSDDKRLYIFSGTKTLHLRCETEEDRNAWLEALLVAKDRFPRSLMTNDFAPVADIMLSTEKLRMRLLHEGLNETVVNECESIMMTELLELHSQIKSQQQQHSVLVDRLRQLETEKVELERTVVHETKEREAHGYVNGRYSDFYSVLSGGSASESDPDTASQVADAESDEDDVTYFDTRDFLSVESLRSASRRRELVTNGCNALESIGDSRSYTAKFTKYPIVERRDKLPEPTEKEKPIGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDNALQWGKQGDSLMRTLLVAAFAVSSYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPVVVACHCDGRGWKFWGDSNLRGKFWGRSIQLDPIGVLTLQFDDGEKFQWSKVTTSIYNIIIGKIYCDHYGTMHIKGSSRYSCKLKFKELSIIDRNPHQVQGFVQDNRTGEKVAMLIGKWDEAMYYVLGDPSAKPKWYDPMSEAVLLWERDKSLNQTRYNLSPFAISLNEFPPHMLTMLPPTDSRLRPDQRHLENGEYERANSEKLRLEQLQRQARRLQEKGWQPRWFRKDEDDSYCYLGGYWEAREKGNWDGIPHIFGQSSASTG >SECCE5Rv1G0345690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:657830504:657834973:1 gene:SECCE5Rv1G0345690 transcript:SECCE5Rv1G0345690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSSPRRLQGPRPPRLKVRQESHAIKKPSGAPEQSQAQGHGRLEQQRRQAVRVDADPRNFREVVQYLTGGVPASDSIAQQLAGQGSGSQTQDFQTVEAGEPQSFLPSEQLLSPSAAVSPAARLASIERFVRPVPAQQPEVIDLTDDRFDDGGLVAVVDDDWLSLRCASPRSRVDDDGGLAGIADDDWLSLKGALPSSPPPESASGQFSPQGQKSSKQHKQTVSDISHEVHSQVKQACQTKKSIQKPQAPVCAMADAMFRLPAKLDGLLEVHGQMLPRGAEEEIPLIKQDLEKMVAILQEHNDSRAEDRVMTAKCLAKEVRELSYDMEDSVDQYEQAATTSRWIAPRLKKHKFARRRVTRLPEKLRWRLWMANKIREFSLRSLEALQRYSLFNHHGDNDIRAAAIGGTSSSTPPRHDASFGSWYPTPYEELVGIGEHVNNLEAWLGRDGEQRLKVVTVVGSGGIGKSALVKELYRRIRGQFECRAFVRTSRKPDIRRLLISMLSQVRPHQTSHTWKLHSLIADIRTHLQDKRYLIVIDDVWSTQTWDIVSRALPDGNLCSGILITTEIDDVALKCAGYDSKYVLPMKPLGHDDSRKLFFRTAFGPQYECPPELSEVANDIVRKCAGFPLAVVTIASLLANQMGKPDQWNFVNKSLGHGLRTNPASEGMKQALNLSYNNLPLHLKACLMYLSIYQEDYIIQKNDLVKQWIAEGFIHATEEKDVVEISRICFDELISSRMIQPVHINDTGDVLSCTVHHMVLDFITHKSLEENFVTAIDHCQTTARLADKVRRLSLHFGNAEAMPPTNMRLSQVRTLAFFGVIKCLPSIVEFGLLQILILHLWGDDESISFDLTGISELFRLRYLHVTCNATLEVPQTQIRGLRYLETLKIDARVSAVPSDIVHLPGLLHLSLPVKTNLPNGIGRMTSLCTLEFFDISVNSVENVHSLGELTNLRDLRLTCSTVHSCYLASKMDSMCNILTKISNLRSLTLEHSSILDVGSSSMSISCDGLSSVSSPPACLQTFEWLPRICTFSSLPKWIGRLSKLCILKIGVRKLANNDFDILRGLPALTVLSLYIRTKPAKRILFNKIGFSVLKYFKFRCRAPWLEFEVDAMPNLLKLKLRFDAHAVDQHGTIPVGIVHLTGLKEISAKIGGAGANDPDRRAAESALIDAIKMHPARPTFSIHCLDAMFSGEDDDIKKEDLIEHMTLQKQYDVKKEDSIEHIILRKQYDIKKEDSIEHTTLQEQYDIKKEESTEHMTLQIQNDIKKEDSDKQHGVLQKDYRDDEDKHAHGSMTLSMISETQP >SECCE1Rv1G0051840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:657321787:657322580:1 gene:SECCE1Rv1G0051840 transcript:SECCE1Rv1G0051840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQNQASYRAGEAKGHTQEKTGQVMGAAKDMAYEAKDRAADMAGHASGQGQGATEATKHKAGEATDKAAQTAQAVKDRAASTAQAAKDKTSETAQAAKDKAAGTTQAAKDHTVDSKDQTGSFLGEKTEMAKQKAAETAEAARQKAAGAAQYTQDKTYDAAQYAKESAVAGKDKTGSVLQQAGETVVNAVVGAKDAVANTLGMGGDNTSANTTGSADTKDAVKIVRDHH >SECCE4Rv1G0243260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:499334523:499335101:-1 gene:SECCE4Rv1G0243260 transcript:SECCE4Rv1G0243260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPVLRMGPCGGGGGHDRDMDMRGVNRVVKLVVRHGDTVDAISVLYERNGREEWTDLWGGQGGTLSEICLRPDEHFTGVVGHYGEFDGSFAVRSLTFVSNARSFGPYGQEDGVPFALPAAGGKILGFHARSGRRLDALGTYVKMANKSTQTPRN >SECCE5Rv1G0303670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:50199154:50201388:1 gene:SECCE5Rv1G0303670 transcript:SECCE5Rv1G0303670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPWVRLVLLLLAAVPACHGRPFIDDDVDKIMTTEPLPPSMISCSTAGNYSDGSQYHVNLDRLLSFIPMAAANDGGFFSGKFGVEGDEVFGLFMCYAGDTDSECQDCLTRAPAGVMKLCPHSRTVGAVYNACTLRYSDESFFSVADLVDISDLSDAPRMEVERSSYRTDSYRTQYQRGGDSYAAWYEGVLPLVGYVVDTAGMSRMRSELIHRLMGKAGQAAERIAEGKQRFTDMQWVWAVAQCTRDLPASECTRCLSYYTDQLPRLFPNNSGGAIKGYSCYLGYAILADKPSTVRLNRYRFSESYQREMAVKQAADELQQKHARESRRRKRHQKIATIIIIVVITVVLVVCLIGLLVWFLWYRWRRWVAAAKVAMKSFMEKLKKEAVYFRGKSARQDELEQGTGPRRFRYAELAAATDGFSGRNKLGEGGFGSVYRGFLQNMNLHIAVKKVSKSSRQGWKEFASEVRIISRLRHRNLVPLVGWFYGGDDDGLLLVYELMPNGSLDAHLHNLDHLLPWAVRYGVALGLGSALLYLHEDTEERIVHRDIKPSNIMLDASFNAKLGDFGLARFIRDGRGSLTTGAAGTLGYMDPKCVFAGTASVESDIYSFGVVLLEMACGRTPAVALDDDDGAVIHLLQWVQESYVKGAILEAADARLDGKFDQKEMERVMVVGLWCGHPDPGLRPSIRQAVSMLRLEAPLPSLPAEMPAAAYMMRPAADESVGSSVVSGGDASTTHSARGKVE >SECCE1Rv1G0036360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:508732682:508733469:-1 gene:SECCE1Rv1G0036360 transcript:SECCE1Rv1G0036360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVEDNGNTAPSSPAGLRGADLGLRVLLFAVSLSGLVVLVTAKQTEMVPLVLTPPFRFGPVPAQFKDSPALIYLLVALCMTSLYSLLTAASSVKSMSSSASCAKGIFILILLDVVYAGIMASATGTAGAVAWVGLKGNSHTRWNKICNVYDKFCRHTGSATCLGLIASILLVLLVVLNAYSLYRRSR >SECCE6Rv1G0384470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:63758559:63759872:-1 gene:SECCE6Rv1G0384470 transcript:SECCE6Rv1G0384470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRCSSAVPGVSAVVLAISVVALLNADMVLGGSYKRIFAFGDSLIDTGNLLYSIGNSPSTIKELPYGMTFFKHPNGRVTDGRVVVDFYAEAFGLPLLPPSIPEEASGQFPNGANFAVAGAIALPPEYYKAKYNFTMNAPSNLGRQLASFKKVLARIAPGDGATRALLNESLVLMGEIGGNDYNFWFIDPRNPRETPEEYLPDVVACISATVQEVINLGAKTIVVPGNFPIGCLPAYLSAHESNVTDDYDELHCLKWYNEFSQKHNLALRQEVVRLRSQNPGVEVVYADYYGAAMQFVQKPQAYGIDDPLVACCGGNGPYHSGACNNKTKLWGSPDRFANWDGLHMTEKAYKIVSDGVLDGPFADTPLRHLC >SECCEUnv1G0548610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:190542484:190542849:-1 gene:SECCEUnv1G0548610 transcript:SECCEUnv1G0548610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRMLVATVVAALVAVAWCAPPPVSFTVEKGSDEKHLALQIKYDKDGDSMKEVELKQNEEWLPLKKGYSGAWEIKSDKPLKGPYSFRYETEKGQRNVFDDVVPAEFKVGTTYKPEATY >SECCE5Rv1G0326270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:475806054:475806416:1 gene:SECCE5Rv1G0326270 transcript:SECCE5Rv1G0326270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSGREVLPNGGGAEGMGNGAANGRAVQALQRSFAEVQLILEKNRILIQEITQNQESLEAGGLSRNVALIRELNSNIARVVELYNALSCSFSSSLTNGSAPAASDAAKGAYKRPRPAQ >SECCE2Rv1G0101890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:571004594:571013005:1 gene:SECCE2Rv1G0101890 transcript:SECCE2Rv1G0101890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYELVRSEDAAGPALDLEAGRCGPPPPAKPSPAAPRQQRLVSLDVFRGITVLLMIIVDDAGSFLPAMNHSPWEGVTIADFVMPFFLFIVGVALALAYKRVPDKLDATRKATLRALKLFCVGLVLQGGFFHGVRSLTFGVDITQIRLMGILQRIAIAYLVTALCQIWLKGDDDVDSGLDLIKRYRYQLLAGLLITITYMVLLYATYVPDWEYRISGPGSTQKTFSVKCGVRGDSGPGCNAVGMIDRKILGIQHLYGRPVYARSQQCSINSPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHIIVHFQKHKERIMHWLVPSFGMLVLAFAMDFFGMHMNKPLYTVSYTLCTAGAAGLLFAGIYTLVDLYGYRRPTIAMEWMGMHALMIFVLIACNILPIFIHGFYLGEPNNNLLKFIGIRA >SECCEUnv1G0527080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:40790:43250:-1 gene:SECCEUnv1G0527080 transcript:SECCEUnv1G0527080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTTPSSQFIIYSWPPPAILLVLVVLAAMAMAMAEAQGQGEGGCLHKCGDMDIPFPFGIGVGPDCFRQGFQVACNHTSNPPRAFLADERTFKAGSYFNDSDRHDTYSVMSPVELVSISVGTGEARAYAAVSYRCSTSYQEAISVRQHMDFDGTPFAVSATGNVVVGVGWEAEARLTWSLPHLYGWSSFSCHAQIWQSSSPHARNGSCTGWGCCEHPFPADDGPVKTTELLVQPVEHNTRWDTSPCSYAMLVDKSWYNFSTPDMYGDKTLSKRFPRGVPFALDFAAGNTSCPAEGQPPPRDYACVSGNSSCANTTYSPAAAGYICKCWEHYHGNPYIANGCQDIDECKQPQVYPCSSGICKNRLGGYDCPCKFGMKGDGKAGTCTHVFTPAAKATVGAIGGILLMVILLFLVILRKEKKKAKEFFKKNGGPTLEEANVIKLYKKEELKPILKSSNLIGKGGFGEVYKGHLDNKEVAIKKPINGSVLENEQFANEVIIQSQVIHKNIVRLIGCCLEVDAPMLVYEFITQGSLHDNLHIRNNKVALNLDARLSIAAQSADGLAYMHSKANIRILHGDVKPANILLDDNLVPKISDFGISRLIARDKQHTGSVIGDMNYMDPVYLQEGLLTEKSDVYSFGVVILELISSRMAIRSENNSLVKSFLEAHKKQKKATEFFDKEIATAEDLELLDSLAVMAVECLSLDVDQRPTMMEVAERLHILSRSRKVQDVCK >SECCE1Rv1G0047670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:627866658:627867575:1 gene:SECCE1Rv1G0047670 transcript:SECCE1Rv1G0047670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAGDHGNDRRKFEPAAAGNKALQAAAAASRHWSASTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLNAAQHEIDKLPPLQFPPHDDDLLLSAHHHHHHLQPTSSMTSMAMAPPFSHATATGTAASMMVVDSDKAAQAHDGGGDLKGFMSLSNSLGLVNGAGAAMPTLAAAQAGSYHHHYAAHEAWSNGGNNVHEQLGGHRPSPHVVPHHGLPFPSLLSLAPVSQFVFYTPEGGFAMKDVASDDQFPVDNLDDSQGQLSLSSGRSFLHSGRQG >SECCE4Rv1G0256680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:658729139:658729847:-1 gene:SECCE4Rv1G0256680 transcript:SECCE4Rv1G0256680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSFRGRKIHTTQNVMADVDFDLRFTYVLAGWEGSAHDALVLRDALNRPNGLRVPQGKFYLVDAGYGAKPGFLPPFRGVRYHLNEWGNNPPINEKELFNLRHSSLRTTVERGFGSLKGRFKILDDATPFFKYPTQVDIVVACCIIHNWVINDGIDEYIIPEDEWVANINHASSSSGQASEHTAMVNFRQGIADNMWADRQNYMQQNHM >SECCE6Rv1G0424850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702508195:702512640:1 gene:SECCE6Rv1G0424850 transcript:SECCE6Rv1G0424850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEEEGAVNGGEEGPGLAAAPHDRWVLLRPAQGSARPSARYKHAAEVVQDKLYVVGGSRNGRSLSDVQVFDFRTSKWSALLLSPSRDSNQLNLENNAGNQPFPALASHSMVKWRNMLLVVAGNSRGSSSNKVSVWFIDVETNSWSAVDTYGKVPMARGGQSVTLVDSRLIMFGGEDNKRRLLSDLHVLDLETMIWEEVKTEKGGPAPRYDHSAAVYADHYLLIFGGSSHSTCFNDLYLLDLQTLEWSQPDTQGADITPRSGHAGMMIDENWYIVGGGDNASGSTDTIVMNASKFVWSVVTSVSVRDPLACEGLTLCSTTVDDEKFLIAFGGYNGKYNNEIFVMKPKPRNFVQPRLFQSPAAAAAAASVKAAYAVITATDEKTKDIVATDDLDVKRAEPGSSSKQIVAKIDALNGEKGELESRLAEVRSENSKLKDKLDMVKLSYGELTKELRSVENQLAAEGSRCQKLESQIAAAHKRLESASSLENELEALQQQLSQAEQTMTTSQRRKSGGVWKWVGGSAEVSDNE >SECCE7Rv1G0506490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:748369315:748371822:-1 gene:SECCE7Rv1G0506490 transcript:SECCE7Rv1G0506490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKDKRDIYYRKAKEEGWRARSAFKLMQIDQEFNIFHGVKRAVDLCAAPGSWSQVLSRNLYLPAKLSSDGKDGGLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQLKLFFSQVTFAKPKSSRNSSIEAFAVCENYSPPEGFKEKDLYHLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPSTEGGTYQSLDPVQPPIAPPYKTALEMKKASSHGAGADTSKSSLDP >SECCE2Rv1G0132750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:893915992:893916198:1 gene:SECCE2Rv1G0132750 transcript:SECCE2Rv1G0132750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASWMVAMSVGAIHRAAKAKANVRGGASQGAKQLPASAAGAAERRRAEKAEEGLRTVMYLSCWGPN >SECCE6Rv1G0423410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695994796:695998255:-1 gene:SECCE6Rv1G0423410 transcript:SECCE6Rv1G0423410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASLVLRQRLRTLAPAPAPKPLNPLLPPPRRHYSPRPPPALPASARALADAAEEAFEAASTTTDLFAAFSRLENAVSPTDKRLALACLKLGQHLDSSASADPSRVLKLALRSVGILESGGGRSAGASSDSDAVSLAMALHLAGSASLDLTRFHDALSFLSRSLRLLTPLLPAKDAAVGDEGGDSDADAEGFDVRPVAHAVRLQLANVKTALGRREEALADLRASLELKESILPPGSRELGAAYRDLAEAHASVLDFKQALPFCQKALELHESTLGKNSVELAHDRRLLGVIYTGLEQHEQALEQNEMSQKVMKKWGVAGADLIHAEIDAANIKIALGKFDEAIRVLKDVAKKLDKDSDVRALVFISMAKALANQEKVGDTKRCLEIACDILEKKEVSEPDKVAEAYIEASSLYETVNEFDKAISLMKRSLGMLERIPQSQHLEGNVAAKIGWLLLLTGKVTEAVPYLEDAVERMKESFGPKHYGVGYVYNNLGAAYMEMDRPQSAAQMFALAKEVMDVSLGPHHSDTIETCQSLANAYNTMGSYALAMEFQKRVIDSWRNHGPSATDELKEAIRLYEQITIKALAASVSPGDQAIALPEPQEQEVDSDPAKVAQQ >SECCE5Rv1G0373010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:855817263:855821146:1 gene:SECCE5Rv1G0373010 transcript:SECCE5Rv1G0373010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSSCRALGGAALLKGSPIDALIRSCLLEERSGDAGFAQDAYALKWTFNNDLGLVFVAVYQRMLHLLYVDDLLAAVRRDFSQIYNPRTVSYDDLFTDTFRQLHLEAEARAEAMKKSRQQPANPSSAAGKKLGPNARGAGAGGGKKNSGSGKDDSDGDSGRKEQHNGGFTANGGSVAKGGHENGAVKDTSRAARALVVNSDGKENGDPNDGAFDVNTLRKKTGGKKGGGGGKKNDVKKPAKTEPKKVVKQMRVWDDKPPKNEKLDFTDPADERGEEVIEKVLTNQGDSMMDKDEDLSSDSEDEEDEQEENAGAGQKKKGWFSSMFKSIAGNNVLEKSDLQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVQAAKERGKPYVVVFVGVNGVGKSTNLAKVAYWLLQHNLSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNKPDLVLFVGEALVGNDAVDQLNKFNQKLADLSTVPTARLIDGILLTKFDTIDDKVGAALSMVYISGSPVMFVGCGQSYTDLKKLNVKSIVKTLLK >SECCE1Rv1G0034230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:478828497:478829597:-1 gene:SECCE1Rv1G0034230 transcript:SECCE1Rv1G0034230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 49 [Source:Projected from Arabidopsis thaliana (AT5G49060) UniProtKB/Swiss-Prot;Acc:Q9FH28] MEGNKDEALRSVKLAQTALASGDRQRADKFIRIAQRLDPSLPIVDLLTSTKKFDPLNLNGTACQDKTRRGHENLKTPKEFVGPSNVDKGYTEENVRVIRDIRKNKDYYAILGVERTCSLEEIRKAYRRLSLKIHPDKNKAPGAEDAFKMLSKAFKCLGNDQSRKTYDQTGTLEGHEFNDQYSNVMRQRTARRSRQTRNGFYNYEEDLDPDEIFRSFFYGTRDNSFHGHNVYRTREAGRQEQRRREHPVQGGSFMNLTVLMHLSVVLLFVLFAFIPVQQPQYALHKTYNFPISKVTDKHGVEYFVSKQDFDQQFPHGSPSRDNLEDHVFRDYKTMLGRNCRVELHRRKWANSYPTPHCDKLRSLDVA >SECCE4Rv1G0284430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:839548537:839549937:1 gene:SECCE4Rv1G0284430 transcript:SECCE4Rv1G0284430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSMVRLVSTRTVAPPPRPRHRIPLTSWDSVFLSASYIQKGLLFHKPAAALDVDNHLAAALADALAHYYPVAGRFTTEQHRDEHTGAVVGCSVHIDCGGQGVVLVHAVADGVTMADILPRDSDVPRELLAQFFPLTDAVNYGGHEQPLFVVQVTDLADGVFAGFAYNHALSDGTAFWDFVNYWAALARARLGLAAPPPTPKPSFDRWSPDGGAAVLPCADVSELIERAAPPPLRERMLHFSADSLAALKERALEELLAAGDEAGASALTRFQALSSLLWRCIARARRLAPDQETTCRVAINNRARLRPQLPREYFGNTIYVIGTESARAADLLLRGHGWAAAAVGRAVAAHTDAAIRARVAAWTAKPVLYTPRFFDPTWTMMGSSPRFDMYGCDFGWGKPVAARSGKANKFDGKTSLYPGREGGGSIDAELTLTPETMAALEQDEEFWAAVSPDALVPTPENKA >SECCE7Rv1G0522160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876235983:876239813:1 gene:SECCE7Rv1G0522160 transcript:SECCE7Rv1G0522160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYAAHKFEYSISLSWKKYTVGQINSTEMTDAIWKSFFQGKLTFPQWIKGGEAMAPVVAPTGGTVLVRKLATLSPKELFVGDIVLLKDPEKSDDLIVRRLAAVQGYEMVSTDENEEPFVLDKDECWVMADNQELKAKEARDSRLFGPVPMTDIVGRVIYSLRTAVDHGPVDNSRVAMFQDSPVLAVELDVEEMVKNNKM >SECCE4Rv1G0248790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:575591907:575593277:1 gene:SECCE4Rv1G0248790 transcript:SECCE4Rv1G0248790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLQLASPTAVSLPALCLFVIFLLAARQQRSLLDTYRSGFASVSSSGSPRLEPGRPPTARVPKGCDIFRGEWVPDEDGEPYYTNRTCPLIQEHQNCMKYGRPDLGFLRWRWRPAQCELPRFDAAAFFDAVRGRSMAFVGDSLARNHMQSLMCLLSKLEYPKDISTTKNQEFRILYYESYNFTISTFWSPFLIKANQSDADYGSGRLWNLYLDEPDDAWLPDVAGADYLVLSSANWFTRPSLFYESGRLVACHYCLIPGVPDLTLRHSQRVAFRTVLRAVTSHPGFNGTAIVRTVSPTHFEGGEWNKGGDCRRTRPYAANETRMAGLSLDFHTAQVEEFAQVEEAARRRVGGARLVLMDTTAAMLLRPDGHPSRYGHWAHENVTLYKDCVHWCLPGPIDAWNEMLLQIVLP >SECCE7Rv1G0485160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:360317337:360326428:-1 gene:SECCE7Rv1G0485160 transcript:SECCE7Rv1G0485160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAQVQASAAPAAGAVEGGASPAAGAAAAAAPATAAAFPATSLYVGDLDVSVQDAQLFDVFSQVGSVVSVRVCRDVNTRMSLGYAYVNFSSPADAARALEMLNFTPVNGKPIRIMYSNRDPSSRKSGAANIFIKNLDKSIDNKALYDTFSAFGNILSCKVATEMSGESKGYGFVQYEQDESAQNAINELNGMLLNDKKVYVGPFVRKQERENVFGSPKFNNVYVKNLSESTNEDNLRELFGNFGPITSVIVVRADDGKSRCFGFVNFENPDDAARAVDDLNGKKFDDKELYVGRAQKKSEREMQLKENFEKSNKETADRNQGTNLYLKNLDGSVDDDEKLKELFAEFGTITSCKVMRDSNGVNKGSGFVAFKSSEDASRALLAMNGKMVGGKPLYVALAQRKEERRARLQAQFSQMRPVMPPPVAPRMPMYPPGVPGMGQQLFYGQPPPAFVNPQPGFGFQQHMIPGMRPGVAPMPNFVMPMVQQGQQPQRPSGRRAGAGGMQQQPMPMGHQQMVPRGGRGGYRYASGRGMPDAAFRGVGAMVPSLYEMGRMTPSDTGAPQQVSSGALASALANSPPEQQRLMLGESLYPLVDQLEHDQAAKVTGMLLEMDQTEVLHLIESPDALKSKVAEAMEVLRSAQQQQTNVPADQLAALSLSDGFVAS >SECCE6Rv1G0451920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874058841:874060223:1 gene:SECCE6Rv1G0451920 transcript:SECCE6Rv1G0451920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDPASPRLRVLESAVVAPSLTPPAPETSLPLTFLDVLWLNAPPVERVFFYRLTPGPDAGNDITAILSNLKTSLSHALRAFYPLAGRLRLTPGTADRYELHHHPGDGVTFTVAECDVDVNELAADGPREVAKILPLVPPLPDGGAVLALQATVLRGGRGLAVGMTLLHAACDGASSTRFFHTWAAASTGAGAPPPPIIDRTLVTKDPSACRLYDVFVGAVAGRAEREFVKMSADQLLATFTLSKEDIQLVKDVVVVAADEARAAPPRCSSLVATFGFIRWCYQRAKHDDAANGGGPTYFLFTVDHRSRMKPHPVPAEYLGNCVGVALHGAPKAQLAAVGAGSLLAGCTAVAAAIEHAVAGGMGSPELWRERIREAVATGGGVLSVAGSPRFRVYDVDFGFGRPAKVEIVSVARTGAMAVAEGARSSAGGGMEVGISLPPAGMWRFQKCFDDAIAWLHHH >SECCE7Rv1G0496660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:614808165:614809026:1 gene:SECCE7Rv1G0496660 transcript:SECCE7Rv1G0496660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVARMPLLRAPSRLCPNSQINLRRHFRPTVSVAVGGVGGPVLRTCKNCKKQYDPAANQPSSCRYHTAHFGGETKRKFESVHSGGTMDTPGAGKVLQYWHCCGSEDPFDVGCTAAPHSSYDD >SECCE1Rv1G0009340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:53718002:53719108:1 gene:SECCE1Rv1G0009340 transcript:SECCE1Rv1G0009340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHGALNSLPLLVFEHQPARNETTAATGGDDQDIPEMLMFSISEERTCTEPTPAMLSPQDNQICFATPQGWIFILHGAAPWETWLWHPVTGETIPLPPIRDDHYVPTNCACHLTHSSAAHPDCAVVLLDVADPDMWFCRINGGGSREWAQHTYDVGEYTLPEGDGDSDDDGDDCTSTPPTKRVIAGVVSALGGKLHFIFSESNQYKMGVLHLDFGTPAPTAELQTLEDVDATITLPECMCGGVTRLLESQGELFQVCVCFRGFDPNDIGAVLVLKMDFDHGRRWRRVHDIGDRVFMLAHGGNAVSCQASACNLQGNRVYFMKNFLEDDGDLCIYDLVEEVLEILVVHERDLTLARTTPYWIVPPTA >SECCE4Rv1G0285780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:846464957:846465268:-1 gene:SECCE4Rv1G0285780 transcript:SECCE4Rv1G0285780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE5Rv1G0370960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:844851296:844853569:1 gene:SECCE5Rv1G0370960 transcript:SECCE5Rv1G0370960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVAAVLLLLAQLLGACHGVQQQQRKNYVVHLEPRDDEGSAALPVEDWHRSFLPEAAPSSAGDGGADAGPRIIYSYSHVLTGFAARLSDAEAEALRGRPGCIRLYPEVFLPLATTHSPGFLGLQTGKDGFWSRSGFGRGVVIGLVDTGILPSHPSFGDAGMPPPPKKWKGACEFKAIAGAGGCNNKVIGARAFGSAAVNDTAPPVDDAGHGTHTASTAAGNFVENADVRGNAHGTASGMAPHAHLAIYKVCSRSRCSIMDVIAGLDAAVKDGVDVISMSIDVSDGAQFNYDLVAVATYKAIERGIFVSAAAGNAGPAAGSVSNCAPWMLTVAAGTTDRAIRTTVKLGDGQEFDGESLFQPRNNSAGRPLPLVFPGASGDPDARGCSSLPDSVSGKVVLCESRGFKEHVEQGQTVKAYSAAGMILMNKPEEGYTTFANAHVLPASHVSNAAGSKITAYFKSTPNPTASITFKGTVLGISPAPTVAFFSSRGPSKASPGILKPDISGPGMNILAAWAPSEMHPEFIDDVSLAFFVESGTSMSTPHLSGIAAVIKSLHPSWSPAAIKSALMTSSDHADHAGVPVKDEQYRRASFFTMGAGYVNPSRAVDPGLVYDLSPNDYIPYLCGLGYGDDGVKEIVHRRVDCAKLKPITEAELNYPSLVVRLLSQPITVRRTVKNVGKAGSVYTAVVDMPKEVSVTVRPPMLRFSKVNERQSFTVTVRWAGKQPAVAGAEGNLKWVSPEHVVRSPIVVPPAKVVV >SECCE1Rv1G0009940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:59117250:59118479:1 gene:SECCE1Rv1G0009940 transcript:SECCE1Rv1G0009940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREMKELRKRRISGHLRSPEHKRQKQRNPYVAARSTSPDCNFWDNRQKELYDQYCREKKVFNHRFVEWSDIADAETCPFDLSTKYEDLGLKGLTSNNPSWVWNEEVVRQFYGTLYVDPDRREMHFMIGSQHCFATKEDLEKALLIEPRADSLVLHTWDDFDVYSLFRVPNPDIGLVRDLKTEVDLIQRINRHTIFPKSGNRGGCTTMLLKLAYAIYHGKQFDIAHFLLSEMCEAIDTVNHALPYAPLIFCLLRHMKCDLTNIDAKCCLKKYSLHLASLNREQRAASAEHEVMEVQHQQPISYSHQPHQQPMSYSHQLPQPANPDSTVASNAQLSKLIEGLHEKVVKGFEAIEKRLYTMEVLFSVLSSEVSEMKACMLDPCHALNGDKQKRAQTEAHQGGDGSSAAADP >SECCEUnv1G0529600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8742119:8744308:-1 gene:SECCEUnv1G0529600 transcript:SECCEUnv1G0529600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSYGARDLWKNPRITMIRIEVLVSLVAGVLFLLAIFGSQRRRSRNWLLQKGVFGAFTLSFSVATYLLGSMQSSPVKSTMFPIWAVSLIMLHGCTDSVTAYHLDDNKQLTRSLYQAVMYSAYAFFLLISVRTRYTGALFYMVLLAFVRYAQRFAVCRLANNSYNWNKIVADHMYEEQDKDVPVTLEHCNYLVDWPLSKSKFDAPTYATQSTVECPDENKYGVIDIGKIWQFKEESLGPELKDACLSFSLFHLLRRRYFGFACDESKKRAHDFVFKALLSDDDDDDATDYNRVFKVLEVELAYTYDFFFTKYAVIYYGSMAATIWSLISVIGLSITACITAITSHVISRGRDDVVITLVILVSTALLEFLQLLFYWMGIWGRVSFVCQSIREEARSKGKIGVRLAYCIMGLREFLANIGVKFAPYQHYWQHKLGQYSLLDSVSHNPNPSKHGSACRLFISRLMGRVVKFFELMDARFFHQTNVQALRVRKKAGKSVELPDEVKEAVICSLKSTDGKLSNGRFSLQSNGAKHLLWACQWEMHQDPSWSQRKQNQTHIILTWHIATWYCEMVPLSPVAAPGVKVSTFCVATKLSKYCAYLVVSAPKLLPGHHYDTRSKFDAAAVEAVTFLEKSANKYRDMKNLTLPQKTEKIFESGVKLGRQLEEMDEGARWQVMADFWAEMILYVAPSDNVNEHIEQLAHGGEFITHLWALLSHAGILERDQEHQVGGV >SECCE5Rv1G0324060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:442254211:442255647:1 gene:SECCE5Rv1G0324060 transcript:SECCE5Rv1G0324060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDENGGSRLVVTQLGYIKELVRQLDVNLGGCPDHCKRLAAQIFALTERSIGMIRSGHFDCRKRSAAGAGLDSPPFSATPSPLSDASGMPFHTNNKKRKTMEKRKHQIRVSSEGGGAETPVDDGHSWRKYGQKDILGAKHPRGYYRCTHRKSQGCAATKQVQRADEDPALFDVIYHGEHTCVHKTVAAAAMAQPAEENPDARRLLQNLSTSLTVNTEGLTATAGHQGCSTATSFCFSSQAAGALTTPQEHYPFSMPSTPENCFGQRASLSTSLEPSPVTSDSNHFSLTPFQAEWRARSELDEVVSALVAAGTMPAEEAAVDGFEFDVSCFFALDD >SECCE2Rv1G0134290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900888719:900890077:-1 gene:SECCE2Rv1G0134290 transcript:SECCE2Rv1G0134290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSSKLNKDPHNHYFDFGAAQQVPETHAWEGQHEHLVVDGGVGAGEDAVPVVDMRDPHAAEAVARASEQWGAFLLEGHGVPSELLARVEAVNAGMFALPKPEKMRAARQGDDPYGYGLPHIALFFSKTMWSEGYCLTPANLRHELRKIWPDGGHDYNHFCGVMEEFHEEVRALADKLMELFLVALGLTAPQIASVEAERKLTETMSETIRLNWYPKCPDPKRALGMKAHTDSGFFTLVMQSQVPGLHLFRHGPPADRWVEVPAVPGALFVNIGDLFQILTNGRFRSVYHRAVVNRDRERISLAYFLGPPADAKVAPLKEVVGGGKPAYHALTWPEYVVVRKQAFANGGAELEFTKGGTALEMVSINPDDDGADHHRDISS >SECCE1Rv1G0024870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:334324361:334327095:1 gene:SECCE1Rv1G0024870 transcript:SECCE1Rv1G0024870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRPPIPLRLLLPQLWRRPSLPPAHLPRPISSHPSAAAVAAATDSEEDAVVARDPLLAPHFVGGAGGSPRFGEDKADFERKASIAARIKLSYELLQQRRWREMRGGLAQLVSEQGSGSAATLCDILWNEFREYDSSGVVWDALANSYARTQMVHDALYVLSKMNSLNMQISVSTYDSLLYGLRKTDMALELFDEMEAYGISHSKYSHSILIDGLCKQNKVGEALSFLQEAREGGTFRPLGMSFNTLMSALCNWGFIQPAKSFLCLMLKYGLNPNRYTYSTLIHGLCKVGYLDEAVDLLERVTKEGMKLETVTYNSLINGYRLFGLTREIPKIIQFMRYQGIEPDLVTYTILIAGHCEGGDVEEGMKIRKDILDKGLQLNIVTYSVLLNALFKKGLVHEVENLLGEIYSIGLDMDVIAYSILIHGYCKLGEIERALEVCDVMCCSQKVVPTSLNHLSILLGLCKKGLLVEARWYLENVASRYQPGDVILYNVVIDGYAKVGDIGNAVCLYNQIVVAGMNPTIITCNSLLYGYCKFGDLHAAENYFRAIEISNLLPTAVTYTTFMDALSEAGKVDTMLSFFYEMVEKGIKPNAVTYSVVIKGLCKQLRFRDAIHFLDNMDGADPITYNTLIQGFCEAQDIQMAFCIHDRMLCCGLVPTPVTYNLLINVLCLKGKVIQAEMLLESLREKGIELRKFAYTTVIKAQCAKGMPYDAISLVGKLIDDGFEASIEDFSAAINRLCKRKFPKEAIMFIPVMLSVGVFPDMRVYFVLVRALRKSNMLCYIPILHALSAKTGI >SECCE2Rv1G0118080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:779264054:779264996:-1 gene:SECCE2Rv1G0118080 transcript:SECCE2Rv1G0118080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPDEFVIFPAEGKISIGPEGALVSPDCPFLVSRLPDPLNKSFEQVRRWIMQMFQLNDGMHEITLHHILYVRNNPLAPPSTVLIDIIGDDSWRAFLNVAWRHVGVFRLFVKWSVKKTASATKAVASNAAPVADEDPAVTDESDDDGSWPTCKHDKPCTIETSWDRQDPGRRFYRCPLFVDPKQDCGFTQWLDRKFPEKAIEHMNYLTDKVDSLEQQVDNLKCELEELRRRRQKRSIAEAVVSHGDKCPCGKIPCDPACCNQDKKPHPSQLRRLAKAN >SECCE7Rv1G0501080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:679214858:679216124:-1 gene:SECCE7Rv1G0501080 transcript:SECCE7Rv1G0501080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDDDSAYTNGSVSVNDFSVEDGRKEKEVSADYHPDQDMFCGFPVSVSFLQMLLAELFSTFFLLFAGMGAIVVNGGKQGGIVVNGEEQGAVTFPGITVVWGMAVMVMVYTVGHISGAHMNPAVTVGFAIARRFPWRRVPAYMAVQMVAAMIASFLLRLMFHGKHEFAPVTQPTGSNLQSLVMEFITTFYLVFVIMAVATDDRASGQMAGLAVGATIMLNALFSGPVTGASMNPARSIGPALVAGKFKSLWVYILGPFAGGAAGAWAYGLVRHTGKPLREITKSTDRA >SECCE7Rv1G0477390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:218523655:218526904:1 gene:SECCE7Rv1G0477390 transcript:SECCE7Rv1G0477390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPKWKAVEGGGIGGDQRRRCVAASLSMLIAATLAFLAYVAFFPDDGAGGVYRWWSCQDCAGALGEFPPDEAAAADGPAAAAAAAGRAPTTLSHIVFGIGASARTWDQRRAYAELWWRPEAMRGHVWLDEQPVGAWPAATCPPYRVSADASRFGNRASASRMARIVADSFLAVSAELANGTAAGQDEAPRWFVMGDDDTVFFPDNLVAVLRKYDHEEMYYVGAPSESVEQNVMHSYGMAFGGGGFAVSYPAAAELAKAIDGCLDRYSQFYGSDQRVQACLSELGIPLTREPGFHQVDIRGDAYGMLAAHPVAPLVSLHHLDHIEPISPVGHTPLAAVRPLVRAARFDSARLLQQAFGYQHGPGYTWSVSIAWGYTVQLYPWAVAPHELEVPLQTFKTWRSWANGPFVFNTRPLMSTDNPCYRPAMFFLSRVRNETSRGTVSEYSRHTAKSEKECDKASFRAASTVHTVKVFAPKMNQNEWKRAPRRHCCKTTRTRWGTVLEVRIRYCSRGELTTP >SECCE6Rv1G0379960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:18105714:18106118:-1 gene:SECCE6Rv1G0379960 transcript:SECCE6Rv1G0379960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKALFVLAVLLGSAVLLAAAASEQTHDKEGKVDTNSAGVQDDWRGGGRGGGYPGRGGGGYGPCGRWGCCRRGYHGDCIRCCRAANDVPEAMDRTEVHN >SECCE2Rv1G0132040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888142786:888143096:-1 gene:SECCE2Rv1G0132040 transcript:SECCE2Rv1G0132040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASQLQLLDVFYDLVERVTGYSARAEDDKDLQKHSKLATTTAYRKEEVVEIRSRNHLDVSGGSGAQVNMDGM >SECCE6Rv1G0431570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746882322:746882555:1 gene:SECCE6Rv1G0431570 transcript:SECCE6Rv1G0431570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIENASTHGRLRDDLVEHHWQLDGRRTGP >SECCE6Rv1G0436980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:780436161:780437559:1 gene:SECCE6Rv1G0436980 transcript:SECCE6Rv1G0436980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPKCARLCGISAELSMDRLSVLPDDILHAVLSRLKARQVVRMCVLSTRWRHLWRSVPCLHIDQREFYAVAGATDGSLVERQRFKNFAHVLLHRHDVALLEEFRLLVSTSSFMGRHAYSWVRRGICQDETWPSRLKKLHLTNVFLDGYFARHISSRCPALEDVHLENCSYLLTEDTSVTFSSLKKLVIIGFRQVEDDSFGLFLEAPALASLGLAGHYNRICGGWPQDCMPSLVDASIRLTVSKEFNYNNNEENNPIEMQLSLLDELFNVTSLHLSRFGVMFLVLGYDEGLYFPEFKNLKMLSLVECDISDDFLTLEHFLRSSANLEKLTLRCCKLLDPRPRRSRKEIMEKAHSYSQDMVSVECKNLRLTEIIYQDDDDSTHLLVKFLLGMSKNMPNNKIEITKVN >SECCE4Rv1G0217230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14833085:14833372:1 gene:SECCE4Rv1G0217230 transcript:SECCE4Rv1G0217230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIMEFAENLILRLMEDPEQRDEAQRAHVYRMKERCERTKAAWALPLRPYGFWTFDRFNSQLSWDPQISQAAGRRDPYDDLLARHTGPAPPSSS >SECCE2Rv1G0098930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:501254140:501263301:-1 gene:SECCE2Rv1G0098930 transcript:SECCE2Rv1G0098930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSLTLLLALVLSLIPLAVAAPEKHLVTHLPGFDGALPSKHYAGYITVDESNGRWLFYYLVLSERDPAADPVVLWLNGGPGCSSFDGFVYENGPFNFERGSTPGGLPKLQLNPYSWSKVSSMMYLDSPAGVGMSYSLNKSDYTTGDMKTAADAHTFLLKWFELYPEFQSNPFYISGESYAGIYIPTLADEVVKGIQMALKPRINLKGYLIGNGATDADYDLNSFVPFAHGMGLISTDLFEDVSAACHGTFWGKVNDVCQEKIDRVRWELKDLNTYNILAPCYHHPEVQETAFVNSSLPSSFRKLGETERPFPVRKRMAGLSWPLGLPVSSGHVTLWPELGGRSLPCTSDELATVWLDDEDVRAAIHAKPKSLIGSWELNTARIDYTHDTGSMVEYHKKFTAMGYRVLIYSGDHDLCIPFTGTEAWVRSLGYRVVDSWRPWHFGRQVAGYTQGYDHNLTFLTVKGSGHTVPEYKPKESLAFYTHWLFGEKI >SECCE7Rv1G0517270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:853049391:853051448:-1 gene:SECCE7Rv1G0517270 transcript:SECCE7Rv1G0517270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPWQPSTYAAATIVAAPPCRSSSPAGFHASPDSDPLSFVSDEEKTIGDTSTAASPVSIDDEFPKATPMGPCASAGWSDLPIDLLIRILHLLELPEALAFHAVCPSWRSASVAAGGAPPRRTPWLVSLAEEPLPAGDQQRRVRRELCDPAATSELRNLLDAERTFQVSFPRGQAVACCGASHGWLIMANELSDLVLYDPFTAVLIPLPPITGFASCIQGVYGDEGEIMGYRYGCYMTERVHDMQSLGGYFYDKVVLSGPPSTVRAVALAIHLDGKRLSFARIGDTFWQQVSVIQRNGDSFADCIYHHGRFYAVTMEGILKSWDFSGPDKPRKKTVIAEDDNDMFDDPVITRYLLSTPWGNLLQVRVFLDTHEGNNVRIEIDRLDLKSQTRVALSSRKALRGHAAFVGQNSPGILSTKEFPKLRPDCIYFTTPRLRERRPFENRHNQWSGVKVYDLKRRTLEAAFPSGDYETICPLEVWFTPSLV >SECCE3Rv1G0181980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:620844009:620846836:-1 gene:SECCE3Rv1G0181980 transcript:SECCE3Rv1G0181980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTSLLGRRFLLLSRRFVSSPLRPLSTIAPPSPHSAAGSDADAEPDPEPPADQGNQYRSQPRPPNTTRPLENGLDHGIYKAIMVGKVGQEPVQKRLRSGKTVVLFSLGTGGIRNNRRPLDNEEPHQYAERSSVQWHRVCIYPDRLGSLALKHVKTGSVLYLEGNIETKVFSDPITGLVRRIREIAVRGNGRFLFLGNDGNGPKIGEVKGVGYF >SECCE3Rv1G0183190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:646027533:646028063:1 gene:SECCE3Rv1G0183190 transcript:SECCE3Rv1G0183190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTFSSAFSILSLPSSSPSPSASAPRTLPVANRRRRAVAVASTATESPKVLELGDAIAGLTLEEARNLVDHLQERLGVSAASFAPAAAVAAPAAAAVEEAPVEQTEFDVVIEEVPSSARIATIKIVRALTNLALKEAKDLIEGLPKKLKEAVSKDEAEEAKKQLEGVGAKVSIA >SECCE7Rv1G0458490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:21950459:21951478:-1 gene:SECCE7Rv1G0458490 transcript:SECCE7Rv1G0458490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMRFVQLFAAVLAFCFAPANSGYWLPAYATFYGGADGSDTMGGACGYGNLYNAGYGINNAALSTVLFNNGLSCGQCYLITCDTGKSNMCKPGTSITVSATNFCPPNWALASDNGGWCNPPRVHFDMSQPSWENLAIYRAGIVPVLYQQVACQRQGGLRFTINGFNYFELVLVTNIAMSGSIKSMSVKGTNTAWIPMSQNWGANWQCLAGLTGQALSFAITSSGGQYKVFQDVIPAWWLFGQTFSTWQQFDY >SECCEUnv1G0541670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98142901:98144430:-1 gene:SECCEUnv1G0541670 transcript:SECCEUnv1G0541670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRATELTTLLESRMTNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGKGALSDHERRRVEVKAPGIIESKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRGTNESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQKGAGSSTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVVLSVSRVGSAAQLKAMKQVCGSSKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVPKQPQYEPLPIEKQIVVIYAAVNGFCDRMPLDRISQYEKAILSTINPELQKSFLEKGGLTNERKMEPDASLKESTLPYL >SECCE3Rv1G0210980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946558704:946559309:-1 gene:SECCE3Rv1G0210980 transcript:SECCE3Rv1G0210980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSQAMAKASKRKKKKKRSVKEVDPTSKLTEDVLVDIISRVPYKSTCCCKCVSTRWRDLFTHPDHRKKMPQSLVGFFYESYDEYRFPKKARSFTNLSRRHHPLPIVEPFVDPSFSFLPKYESLDIVDGCNGLLLCRCWKATDPKTLDYIVCNPATEKWVVVPATDWSCKVSCKVSTAHLGFDPTVSSHFHVFEFIDQET >SECCE4Rv1G0242620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:485695536:485696980:1 gene:SECCE4Rv1G0242620 transcript:SECCE4Rv1G0242620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGMGSRRMQQQQQEEEDEHLKATAAAVEEERGGKRKRKRGRVELRRIEDRTSRQVRFSKRRSGLFKKAFELSVLCDAEVGLVVFSPAGRLYEFISSDASVEQIFGRCSNIPNTMIDLNIVARDSRGYCNIQVRNMYVCVYMLG >SECCE7Rv1G0484040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:339106295:339110538:1 gene:SECCE7Rv1G0484040 transcript:SECCE7Rv1G0484040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRRPMAVVLSWVRRQPPKVKAFLAVVAGMTALVFIRFIVHDHDNLFVAAEAAHALGIAVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDSATLVATLFVIYMIRFRLRSTYMLDKDNFALYYVVVPCCVLAFIAHPSTSHIMINRICWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGFWPSMVLLSEIVQTFILADFCYYYVKSLAGGQLVLRLPSGVV >SECCE5Rv1G0309510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:154725640:154726308:1 gene:SECCE5Rv1G0309510 transcript:SECCE5Rv1G0309510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLVACLLLLLLSAAAAPPAGAICVPRRPGAAGKVGPLPSPAKPTPPQSKPLPPKATPVAPGGDIVKALCAKTDYPDVCLAAIGKQPPPAGKKLDGPGVLQLAMGAVRAKAAEAKKAANALIADPKTPKLAVGPLRDCADSYDDIPYSLDHAEKAIAAGDKDTTGTMLDTCRTDVDTCDQGFEDREELPRLMSKHDAELAKLASNCLAIAQAVGLHPGQS >SECCE7Rv1G0507540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:763314224:763315413:-1 gene:SECCE7Rv1G0507540 transcript:SECCE7Rv1G0507540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGESCGKSVSEEVKPEWEDGEMMMTQDGGQGEGVGAVVAAAAEAMTPVQIDVRMDVALLHCQACLLPLKPPVFKCEAAGHVVCCFCRAGHAALCSRAITHCGELDTVVGAAKVACPYRAFGCERYVVYHEAADHQRACQCAPCSCPEPGCAFVGSRAMLLGHFAAGHRRPAVTIHYARAWNLSLSLSHRWHVLVGEDGSVFLVSLGPLGAATAVSLVCVRPDGGAEAAPQFRCKLSVERPAGDGKDNLVLMASAVSSSALSTGAPAPGQGMFLAVPQELLSGDTLMLSVRIDLIPPAPAGGAPKSATPQARTPRRVQ >SECCE1Rv1G0024770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:331829489:331837799:-1 gene:SECCE1Rv1G0024770 transcript:SECCE1Rv1G0024770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRAAAGTFVSLSSFGRRNIASSSCYSLHLRLTILPPRFAPLVARPTRFSAAAVPLKPGGCVLAAASAAGSPQFGSGENDSPYEILGISPLDGFDQVKMAYKWRRKNAENSGDAAYLLKLETAYDTVMMEQLQNRKKGAAYGSVQVSKDIKYADNQPIVPWGPRYSRATAKDVQINMAISATFITCILTMGHADWKPLQFLCFAYFYRILEKLKSTEPAITPVYNEYGEVEGRGIHMAKRVLRSLGLVLGSIFAASLGYTGLANFSQFLGHYIPSVVYNFQELIVTTASSVLLCILATYYR >SECCE7Rv1G0521900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:875175061:875177109:1 gene:SECCE7Rv1G0521900 transcript:SECCE7Rv1G0521900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPLVLWNHRSMQILVLLSLGLQLVLFVFAGIRRRQTLPVRRFLLWLAYLMADSTAVYAVGHLSFGGAVRENQLVAFWAPFLLLHLGGPDNITAYALQDNQLWLRHLTVLIVQVLGAGYVLYKHIAIPGGQDGKLLLIASILMFVVGFVKYGERTWALKCSTLESIGASVKTQPPAIHNHDHPQDKATDEEFHLRRAHSLFHICKRAMVDSSVIEEDSVDGQEEYTGKLIQGVELWTLMEIELSLMYDILYTKAAVVHTFRGYLIRFVTPLAVATSTLLFRFIPKDGYIRDDVAITYVLLGGAMFMETTALLNALASSWTFAFLSTTKWHWLRYTALCNKRWDKLRRAVVWLHDLVKGRIAGNSRYKSRRWSYTIGQYNLLHFCTRPADTAFTSPLLGRLARVLAPDEWWNRKHYSATVEMTDPIRRRISVYMSRLYSKGKFNTGMFRKKWGGYPLELRGLYHKGVLKDSLGIEFQEGIIIWHIATEVFLTKSERAKAVDAAPDVHAIRVMSDYMMFLLVERPYMLPGQPQKRLYQQTCERLVTRRSTDPRYPSRARVVKDLFRVFDAPHSSISRVAEREELANNLYDEYEDKEYSHLAPRLTHMARLAKELLEKEKDGTINSLELVLDVWMDILVYASNKCSRESHAQKLNSGGELTTILWLMAEYIYQASVAQRDDVV >SECCE6Rv1G0439800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:799509070:799509547:1 gene:SECCE6Rv1G0439800 transcript:SECCE6Rv1G0439800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSSAARRLRTPPPPLPLILCPRCAGTRTRWYVSGTDRNPGVRFYKCPNQRDGGPCDFCLWEDHYAFYITGVGINLLIEAAGGGSNVMFGIGRAMEDVRMAARNTMTICLVILLLLLAKAVGGQ >SECCE2Rv1G0110490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:690560001:690561424:-1 gene:SECCE2Rv1G0110490 transcript:SECCE2Rv1G0110490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAGSQHPHVVLFPFLARGHVPAFLRLAGLLHELRPGITVTLVSTARILDSISLPPTNPPIRLHALPFAPADHGLPPGADSLADLHVHQFIAFFQASESLRPAFEGFVSGIRSPVCIVADAFFGWTADVARAHRAFHAVFLTGGAFGFAVFFSVWEHLPHTLAAGDEFPLPDFPTVFLHRTQIPRYILAATGADPWTAFFRRASQLESSGLDMLRRTFGVQAWPIGPILAAPTTPSASQDDASIIRWLDAHPPRSVLYISFGSQNSINADQMTELARGLEASGRPFLWVLRPPLGLDAKDGFRPEWLPAGFEERTAEANRGLLVRGWAPQVRILAHPSTGAFMSHCGWNSILESLCHGVPVIGWPLGAEQFFNAMLVVEWGVCVEVARGNMESSVVAMGAVADAVGTVMGETEKGGEMRRKAEVIARAMAAAWEGPRGSSAVSLEGLLGCVETSVR >SECCE7Rv1G0476650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:208815474:208818284:1 gene:SECCE7Rv1G0476650 transcript:SECCE7Rv1G0476650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKKKKXXXXXXXILSVDQTPQLSVACRIIFPRGGGVRPSRWARRLHLATQSAPKSRVGVPRKSSRRTPLRVSASRRCGRARVKGGSQLSSAQLAEQAFARTPSPLLPLPCASPTATTSRVPRPAPSSRPQMASRDKAGCPTAAATLPLDRLLASLAANAEQLGKRWEIAVRDRRARAAERRMEADTAPPVQLHTPLFYATCALGGVLSTGLTHLAVTPLDLVKCNMQVDPSKYRDISSGFGVLLQEQGLGGFFKGWMATLVGYSSQGACKFGFYEYFKKCYSDIAGPENADRLKTVIYLASSASAEVIADLALCPMEAVKVRIQTQPGFARCLTDGLPKLIRSEGAFGLYKGIVPLWGRQIPYTMMKFACFETIIEMVYKHAVPKPKDQCSKPLQLAVSFAGGYIAGVLCAAVSHPADNLVSFLNNAKGATVADAITTIGLWGLFTRGLPLRIIMVGTLTGAQWATYDAFKVFVGLPTTGGVSSSSHAATTLQRVDHEKQN >SECCE2Rv1G0123060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:821266317:821266850:1 gene:SECCE2Rv1G0123060 transcript:SECCE2Rv1G0123060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSRALPCLVLLFFLLASSSASVLEDTCKSFAAGNPGIGYDYCIKFFQASKDSATADKRGLAVIASKLTRAAAKSIGKRIQALKASEKDKQIQMRLNDCGDMYSQAVDLLDVAAKGVAAGTLRGKLDAVANLNGALVGPTTCEDGFSEMGVKSPLAAEDSEFTKEVSIALAITNSL >SECCE5Rv1G0371700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:849282168:849283958:-1 gene:SECCE5Rv1G0371700 transcript:SECCE5Rv1G0371700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSNEDSARLFFSRIFGSKEACPPQLRDVSVEIIKKCGGLPLAVISISSMLASKGCNQKEWWEYVQNSLDPGSNYMLEVEGMMQILNLSYVDLPPYLKTCFLYLGMYPEDYQIERSNLERQWMAEGFIGTENGQDVEKVARNHFNELVNRSLIQPIEFNKQGSVTKCKVHDMLLDLILMKAAQENFLTIVDGSHAFTKLKYNVRRLSIRLDGASNGREILSRNSGMSQVRSVMFFGSSQNTPPLSEFKFLRVVHIDLDYATVDLTGLCKLYQLRYLCISDGCSYQLPTKIRVLQHLQTLELFSCDRVPSDIIHLPRLMFLKAWTRLPDGIGNMKSLRHLFGFDFALYKLDNIRGLGELTNLKFLFLLCGIRKDDWERRMDALCSSLGNLCHLENLFVDLIGCIDGFMPLSPPPTRYRLERLIMQWRCWFSRVPSWMGELHYLCQLKFKVAELLTDGVGILAELPALTHLDVETGKATKKVISIERGAFPALKSFKLLLSSASYLTFQAGAMPRLQRLKLKFNVHGSEQIGAAPAGLEQLLALEELTAKICCHRASESDKRSAESDLMGAIDMLPSHLLLKVSYEEVWNFGFSDD >SECCE5Rv1G0300810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28048011:28048232:1 gene:SECCE5Rv1G0300810 transcript:SECCE5Rv1G0300810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPACVQCGTRENPCRCKFIGPTLGFVAFLVTGVIEWPVGAVVYLFRHRKGRRIMGHPSRVVYPRVSRAIPI >SECCE4Rv1G0221510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:49521451:49522488:1 gene:SECCE4Rv1G0221510 transcript:SECCE4Rv1G0221510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPGKLMDTIRRPVAAASSLHHSAAKHLATLAQRNGVNRRCLLTLLASAAAIPEAGESRKALLQDYVKRSKENKEKNDKERRDAVYKRNYKDYFGFMEGPVREKPAEELTESEKGILAWLDKNK >SECCE2Rv1G0080060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:126052519:126053649:-1 gene:SECCE2Rv1G0080060 transcript:SECCE2Rv1G0080060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAGDGSSAAVAALSFTDADGDDYSEDGDFTGAPFLEPPDPTLPDPTSSSATALFPAGGSGGQASSGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRRRLQLDFSKSQLVEKLRRLKRKYRNCVSRLRGSGAAFSFRSPHEQAIFEIARNIWRPTNKHGRDPSADSDDEEAVVAAAAVAATSVPANTSPNGEVKSPSGRQRRRRRSVESAAPTAPAAVPATILVQPPQQVQVPVSVPVKMDDSLPALPQAPMPVTVTMEGSEPLRFPVMSPQSGIIDVEKNFLTPMFKEMIHAVINIGSSPFGMKLPEPPHGLPMEGEKWRNQRILELEVYLKRIELLQDQVKATLEELKSSTPRT >SECCE2Rv1G0135920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:909291585:909295241:1 gene:SECCE2Rv1G0135920 transcript:SECCE2Rv1G0135920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAAAEQDAVSLVRRVARALNRRISDLVALLFRHKSAGSFGAVAGFAIAVVFAWKFLRSSSPARPRRPAAPKRPQAAGSAAAPSSGDEAAEPAGDSGKLTTRQIVARRLGGCRRVTCQLIGIVFEETTPEELQKHATVKPSVVELLLEISKYCDFYLMETVLDDKSEENALMALENAGLFKTGGLMKEKVLFCSSEVGRTSFVRQLESDFHIDASLEIISQLSRFIRCQLFVSSMEGGQLAANVFNSPSLEQFFS >SECCE2Rv1G0079870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:123990310:123991191:-1 gene:SECCE2Rv1G0079870 transcript:SECCE2Rv1G0079870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTKLVALGFVVLVSIGFTNASRMLASSSSSGGGGGGGGGGGSTSGGSGWGHGAGQGGGLSYGQSGGDAGNKYNFAKGAGGGGGNGAGGGSLGGSGSGSGSGGGNGVGSSGSASAPSGSGYANADGQGGGGGGGGGADGSSGSGAGDGAGQGQGESGIATAPALAPSAGGVSYSDAGGAGTGGGGGDGGNGGGNGAGGGQAASDDTSGGSASGSGSGNGGGQGGGVAQGPSMGVGSGSGIGGAQTGSTGSYGQGYATGTGAGMGGGTGGSNNGGSGGGGGSGSGSGSGGYP >SECCE7Rv1G0496780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:616240624:616242898:-1 gene:SECCE7Rv1G0496780 transcript:SECCE7Rv1G0496780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGLTATVTSPPAALRTSPPPVLFLRPISRCSRLHSLKAKAAAKDQDEKKPEATKKKAYSLVCTACEGNGAIACTQCKGGGENLEDHFGGRFKAGGLCWLCRGKREILCGSCNGAGFLGGFMSTADDTSE >SECCEUnv1G0567190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:412761542:412762259:1 gene:SECCEUnv1G0567190 transcript:SECCEUnv1G0567190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSRRLKPPTFCQTLDRDIWIDGWIYLSIQISFAISPKSLIWPGNKALLWAQEAKGMSSAASPPHFFISPCPFRMRFARHWRFDLLLFLHWRVRMDFAVLSQRKWKGLYHIEMSIRFPPQMRWGISHLCPFIFLKGIEARPGSRRSNNRRGAPQYTIARSNWESYYT >SECCE5Rv1G0310520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:170248768:170253274:-1 gene:SECCE5Rv1G0310520 transcript:SECCE5Rv1G0310520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDAGHRHSSELPAAGADMEGASVLPLSGAAYQPYVSELLSFSIERLHKEPELLRVDGERVRRQMQEVAVENYAAFIAASEALSFVRAQLEGFDKHLEALVEEIPNLTSGCTEFVESAHQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVIQGLAAEVKKTIQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREAWLSGILDDLDQRNVYDYLKGMVTCHRTHLFDVVNQYRAIFNNDKSGSEENSDGGLLFSWAMHQVSNHLTTLQVMLPNITEGGSLSNMLENCMYCAMGLGLVGLDFRCLLPPIFENAVLNLFSKNMSTAVENFQVVLDSHRWVPMPSIGFVTNGAVDDTSDDVTPPSVLMEHPPLAVFVNGVSAAMNELKPCAPLSLKHVLAQEVVKGLQAVSDSLVRYNAMRMLRGNESSLFLSLCQAFIEVAYPYCAACFGRCYPNGGALITECPSLLDSVSQLPIRSGSGSIDRKVSGGIERRQSGGIERRQSGGIERKQSEGIERRQSAGIERRQSIESAGTAAPENGLVTNGPEPEVTSDAGTTAAPGGADTQTDVLANA >SECCE3Rv1G0155710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:68286012:68295497:-1 gene:SECCE3Rv1G0155710 transcript:SECCE3Rv1G0155710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 77 [Source:Projected from Arabidopsis thaliana (AT1G17760) UniProtKB/Swiss-Prot;Acc:Q8GUP1] MDIYNVEAAEILAHETLNLPIGEAAPIYEKLLATFPTAAKYWKQYVESYIVTNDEETAKQIFSRCLLTCPHINLWRCYINFIKKVNSKRGSEGLEETKKAFDFMLNYVGNDVASGPVWMEYIAFLKSMPVMTPQEESHRMTTIRKVYQKAILVPTSHVEQLWKDYDNFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIDDIDWGMLATPSTGSYKEEQQCLAWKRLLTFEKGNPQRIDVTAANRRITFTYEQCLMYLYHHPDVWYDYATWHAKNGSTDSAIKIFQRAVKALPGSEVLKYAFAELEESVGAIQPAKTIYESLIAENASMSSLAHIQFIRFLRRTEGIEAARKYFLEVRKLPSCTYHVYVAYATMSFCLDKDAKVAQNVFEVGLKRFMQEPGYVLEYADFLCRLNDDRNVRALFERALSLLPPEESVEVWKRFAQFEQIYGDLSSMLKVEQRRKEALSRTSEDVVSSLENTLYDVVSRYNYMDLWPCSSKELDYLSRQEWLAKNMSKKADRSVMLTSSGGSTLDKGTVGVGANAKLLPQSTKVVRPETSRMVIYDPRQMKGPVISATSSGYTKEVDEIFKMLSPPLMSFITNLPAIEGPSPDIDIVLSVLLQSTLPTGHDVGKPSAPVPGPATSDIPGPRNQNGSVRRPPKRKAVERQEEEDAAAAAQSRAMPDIFRLRQIQRSRGGGPAQSGSAAMSSGSMFSGEQSANSSS >SECCE6Rv1G0432450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:751990704:751991294:1 gene:SECCE6Rv1G0432450 transcript:SECCE6Rv1G0432450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMASSPAAKPTTTLRVAAFSGSLRKGSWHGGLIRAAEELCEESIPGLQIDHVDISSLPMSNPDLETDDGDGFPPDVEAFRDRVRAADCFLFASPEYNYSVTATLKNALDWASRGKHKCWADKAAAIVSAGGSCGGGRAAFHVRQIGVFLDLHFINKPELHVRAYEDPPKFDAETREQLKQVLLSLQAFALRLQH >SECCE3Rv1G0155090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:63832821:63834134:1 gene:SECCE3Rv1G0155090 transcript:SECCE3Rv1G0155090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHTIMFSTSRCSSLALCVFLATSYLMLAGCSSESLPDDLPRELSDIGIDNHHDLMMGRFHVWMTAQNRSYSTSDEKARRFEVYRSNMRYIEAVNAEAATSGLTYELGEGPFTDLTDEEFMALYTGQIPEDDEQIITTHVGPVNGAGTYEGVTMYANFSARVPSSMDWRKRGAVTPVKDQGKCGSCWAFAAVGAIEGLHKIKGGTLVSLSEQQLVDCDSFDSGCNGGRASRAFQWIQQNGGITTASSYGYKAATGRCKANRKPAAKITGSGRVESNSEVSLRNAVANRPIAVSIASSGSHFHHYKGGIFNGPCSSTKLTHAVTVVGYGRQEQNGAKYWIVKNSWGVTWGDKGYMLMKRGTTNPSGQCGIATRPVFPLMKVRESTD >SECCE6Rv1G0410780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:602468507:602473780:1 gene:SECCE6Rv1G0410780 transcript:SECCE6Rv1G0410780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive exonuclease DIS3L2 [Source:Projected from Arabidopsis thaliana (AT1G77680) UniProtKB/Swiss-Prot;Acc:Q0WPN0] MRATGQHAAAVPAHASPPTPHTEDDADRRRRRRPARRPKQASTPPPQVDAAGPSPCRSMPPMRADSRLDAVALAEAAGTSRSCPLLPTPSRVEARGPVVGTGAGAPRRLFFPPYWPEQVVEDALKRGNVFAGKFRVNAHNRNEAYCTIDGIPVDVLITGPAQNRAIEGDVVAITLDPVVYWTRMKGQNIACNPATGGVSVAREVSETNGNHSLKKGQADASCRFEKCSNGQPVPDRMHNHHKNSGFSQAVICENGHATVPANYEDLDEAKTEFARALQRICSVIYSHPSRRPTGKVVSVIKKSPRRSTVVGFLAPFSDFPDGEQRNQINVQGSKRMNHIASSIFTGLVQILPTDPKFPQMIVSISTLSDGIKQRLKEGDTTIWKELVAAQIDEWNEESPYPWACIVQFLGKGGQVETHMDAILFENAISDTEFSTESMACLPDTCWKIPQEELAARKDLRNVLTLTIDPPTASDLDDAISIETLSGGIVRIGVHIADVSYFVHPETALDAEAQIRSTSVYTLRHKVSMLPSRLSEELVSLNPGVDRLAFSVIWDIDPHGSIVNRWIGRTIIFSCCKLSYDLVQDLISSDSSQFRSAAASLQVHGMFKHEDVIKSLRCLYDISKNLKDIRFKGGALSLDTSKPTILFDEDGAPCDSYRYKRNDACFIVEELMLLANMSAAEVISNAFPDCALLRRHPEPNPRKLKEFEAFCAKNGFELDSSSSGQLHLSICRLKEELQDDPVMFDILMFYASKQMQSAEYFCTGDLISKKDDWAHYALSIPLYTHFTSPLRRYPDIIVHRTLNAVIEAEQMYLKQRKISTGQNGVKGTSCEVMNRCFTGLQFSKDAAESKEGKEALSAAAKKFKVPNSEDLGEAAEHCNERKWASRRAEEAGQKLYMWALLKRNETLVSNARVLGLGPRFMSVYVPKLSMERRIYYDEVEGLSTEWLEATGTLVLDACRNKPQKKGGQFRCSRAIEEVAVVVNPSELILPEDEDESGATEAVDSVLLSDEAVKVEVAPAVLPMVISYLSDIPVVLHAIGGEDCPVDIGVRLYMSSYFK >SECCEUnv1G0528170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3358518:3361147:-1 gene:SECCEUnv1G0528170 transcript:SECCEUnv1G0528170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGDMQMRPRPMHRGPPPMARPRPEPIDREKTCPLLLRVFTKVGGHHLNEEFSERGKEPKDEVQIYTWKDATLRELTDLVKEVALPARKRNARLSFAFVYPDKNGRFVVKQVGSTFSYGHGRGDDAKSLGDLGFQIGDYLSVSIM >SECCE7Rv1G0521330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873385212:873414435:-1 gene:SECCE7Rv1G0521330 transcript:SECCE7Rv1G0521330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGSSPDDAVLFFGVSLVLGIGSRHLLRGTRVPYTAALLVLGVGLGGLEYGTKHGLGKLGAGIRIWAAINPDLLLAVFLPALLFESSFSMEVHQIKKCMAQIVLLAVPGVVISTFLLGTAVKLTFPYGWNWETSFLFGGLLSATDPVAVVALLKDLGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSFGAVALGLAFGIASVLWRSFIFNDTIIEISLTLAASYIAFFTAQDALEVSGVLAVMTLGMFYAAFAKIAFKGDNQQSLHHFWEMVAYIANTLIFILSGVIIADGVLQDNIHFERHGTPWGFLLLLYVYVQISRALVIVVLYPLLHHFGYGMNVKEAIVLVWSGLRGAVALSLSLSVKHASDAVQPYLKQEVGKMFVFFTAGIMFLTLTLNGSTTQILLHLLGLGKLTTTKLRVLKYTRYEMVNKALEAFGDLKDDEELGTVDWITVKKYITCLNNLEDVQANPHDVPEKDDHTHTMNLLDTRVRLLNGVQAAYWGMLEEGRITQSTTNILLRSVDEAKDLVSSQPLCDWKVLRSNVQFSNYYRFLQMSRLPQRLITYFTVERLELGCYTCAAFLHAHRTARRQLHDFLGESEIARIVIDESTVAGEEAKKFLEDVRVTFPQVLRALMTRQVTYAVLTHLSEYIQDLGKTGLLEEEEMVHLDDALQTDLKKLKRNPPMVKMPRVGEHLNSHPLVGALPSAVRGLLLSNTKETIKGHGQILYREGSRPTGIWLVSAGIVKWTSQRLSRRHSLDTILSHGSTLGLYEALTGEPYICDIITESVVHCFFIKAEKIEQLRQTDPCVEDLMWQESALVTARILLPHIFEKMAMREMRDLLSERSSMNIYIKGEDVELGHNYIGIVLEGFLKTTHQPLITPPAVLLPSNTDLNLSGLQSSAMNHIDYCYTATSYQVEARTRAILIEIGRPDIEVDLQTSASLLSPSPTLRPPPTQRKGHMDDIHRAQRPSSLDDAEAKKKHITSYPKVPSRLASSMQLPLLSVRSEGSNAMMNTTSALSPALAPFPPLAASRQRRVVVAEDGNSSDESAGEEVIVRVDSPSMLSFHLTSSLPPRGD >SECCE1Rv1G0014140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:110876303:110883684:1 gene:SECCE1Rv1G0014140 transcript:SECCE1Rv1G0014140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MEAARDSMAALLDAGIFDSAQTLGCFLVSSGGASNEASISMKAESLVLHGDALYGEKEFRRALNVYKQAMQCSKSIPRQATSTTRISVSSTGRSPSPNSSNVMPFNENEVKCKIAICHSALHEHREALQEMEGIPSKLRSLKMNLMLGKLYRISRNNRAAAICYKECLRQCPYVFEAVAALAEMGLSSKEFSLLFSQAPNRGGKPPADFLDAQRWWNRYVEAQCCIASHDYKGGLDIYLELMQRFPNNVHILLEIAKVETIVGKNEEAIMNFEKARLIEPNIMTYMDEYAILLKLKSDYTKLNKLVHDMLHIDPARPETCIALAAFWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLSLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKEAVLLLERYLRQWADDSLHIKLAQVFAATSLLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEDEDENEADDVDGDQDDAELL >SECCE4Rv1G0279090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:812047847:812049379:-1 gene:SECCE4Rv1G0279090 transcript:SECCE4Rv1G0279090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDSNPMSFSAFPDDKAVFEPLNPEDVRAYLHKAVDFISDYYTNVESMPVLPNVKPGYLQDELTASPPTYSAPFDVTMKELRTSVIPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQLLHLPTTFMNRTNIGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSIGVSHMTRLVVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETNYGLDPAKLLEVMQADADAGLMPTYVCATVGTTSSNAVDPVGAVADISAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLYVRDAHRLSDSLETNPEYLKNDATESGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEDFVRADNRFEVVVPRNFALVCYRIKPSGSMTEEDADEANRQLMEKLNKTGKAYLAHTVVGGKFVLRFAVGSSLQEERHVRGAWDLIKKTTSNIMD >SECCE4Rv1G0274570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785535240:785535584:-1 gene:SECCE4Rv1G0274570 transcript:SECCE4Rv1G0274570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQASGCQLMRQQCCQPLAQISEQARCQTVCRVAQVIMRLQQGQSFGQPQQQQGQSFGQPQQQVPVEITRMVLQTLPSMCSVNIPQYCTTTPCSTTTQTPYNIPMAATCVGGTC >SECCE7Rv1G0457700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18565987:18568054:-1 gene:SECCE7Rv1G0457700 transcript:SECCE7Rv1G0457700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEIKNLGGEKENLDGDKADDGGGAAAPGAGHGHGHGKGAKNKLSLVPLIFLIFFEVAGGPYGAEPAVQSAGPLYALLGFLIFPFIWAIPEALVTAELSTAMPGNGGFVVWADRAFGPVSGSLMGTWKYVSGAINGAAFPALCADYLARVVPAVAGGARRPGWPPSSPSTVVGWSAVALGVASLSPFVLMSGVALPKIRPSRWGATAGDKDRKLFFNTLFWNLNYWDSVSTMAGEVESPGKTFPTALMASVGMTSLGYLLPLMAATGAVDAPPEQWGNGFFADAAGMIAGNWLKYWIEVGAVLSSIGLYSATLSSAAFQLLGMADLGLLPRVFALRAPMFNTPWVSIVVTSLITLGMSFFSFNNIVAAANFLYSLGMLLEFATFVWLRIKRPEMSRPYRVPLRLPGIVILCLVPSGFLVFVMAIAGWKVYAISAIFTAAGLGVYYLMKFCKARGFLKFGTVEGECSMYERHQESANVGV >SECCE1Rv1G0024280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:322539585:322562561:-1 gene:SECCE1Rv1G0024280 transcript:SECCE1Rv1G0024280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases;transferases, transferring hexosyl groups;transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G71220) TAIR;Acc:AT1G71220] MAMAGGSRSGVSRAAFALVAVVLVGCLGVGVSVAEIKRQKNVQVALRAKWAGTPLLLEASELLSKERKDYFWDFISHWKELDKGSECLTAKCCAQKIVEDVRSFLSEPLASVFEFSLTLRSASPRLVLYRQLAEESLSSVPVKDDALEQISGSAAVEGTCCWVDTGNTLFFNSDDLHKWLEGSGKGATDSTGQPELFDFDHVYPRSNITAPVAIFYGAVGTKCFRELHVHLAEASKQGKVRYALRPVLPSGCQATSSFCGSIGAADAVTLSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPELNAEIMSFRDYLLSSTVSDTLEVWELKDLGHQTAQRILHASDPLQSMQEINQNFPSVVSSLSRMKVDDSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVREELSLADQFIRLKLPQSAARKILSAAPPAESNSFRVDFRSSHVHYLNNLEEDALYKRWRSNLNELLMPVYPGQMRYIRKNLFHAVYVFDPASACGAETIDTILSLHQDSVPVRFGIIMYSSRLINVIEENDGSKSDEDTSTLIMRLFLYIKETYSTQLAFQFLSDIHRLRNGGDDYSEEPVEVHHVEEAFVDSLLSGAKSHPQDELLKLQKENLYKQEAEENSRFVHKLGLYKLQCCLLMNGLVHEPNEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSTEKKRFVSLFASYHQEDSVLHDISYLHSHGTGDDAKPVTHLLAVDLSSVTGTKLLHEAIRYLMDGSNRARVGLLLYARSDSVSTVLLMKDIIDRTISSFSGKEKVLDFLYGLCKYYEGQHMVASSAAGDTLSSMKDKVYSLAAETALPVDDYKAWLTSFSADTILKGNNKLSDFLFGQLGLEFGSNAVITNGRIFVVDDGDSFLNDDLGLLESMEYELRTKYIHEIIEEVEWAGVDPDYLTSKFYSDITMLVSSSMSIRERPSERAHFEILNAEYSAIKLNSMNSSVHIDAVIDPLSPAGQKLSPLLRILSRQIQPSMRIVLNPISSLADLPLKNYYRFVLPLMDDFSSTDFSVHGPKAFFSNMPLSKTLTMNIDVPEPWLVEPVVAIHDLDNILLENLGDVRTLQAVYELEALLLTGHCMEKDREPPRGLQFILGTKQRPHLVDTLVMSNLGYWQMKVSPGVWYLQLAPGRSADLYELPSKLIAIDSLRGKLLHIEVQKKKGKEHEDLLNADDDNHVQEKTDNKGWNTNLLKWASSFISGDASLKKKAEKNTDLEDARQGETINIFSVASGHLYERFLKIMILSVLKKTQRPVKFWFIKNYLSPQFKDVIPHMALEYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRTDMGELYDMDLKGRPLAYTPFCDNNKEMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTAAGDNLRVVYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGAKRIVPEWVDFDAEVRQFTARILGENAEEIPEAASPPSDAPKPEHEDSSQDVKDEL >SECCE7Rv1G0483940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:334286991:334293809:1 gene:SECCE7Rv1G0483940 transcript:SECCE7Rv1G0483940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSSSSSSSSSPRTPSSPPPPSSRIHRRSLLLVASSASSLAALGKSAAAASASASPLLAADSSSSSPPNSDRGGIKSPWSRRKRKQALSCQNWSRLFAVNGKFRDGGRKFLKKVRSGGIEPGIRAEVWPFLLGVYDLNSSEEERNIIKTKKRNEYEKLRRKCHQILNCQKGFGLKVIHEINNEGCSRGVPYLQDVSASVSVSAKEFNCSGSEANSPDSAACGAAECMDDDTSELACVDPSMAESEFSYSGSSDEDDHGQISVCADIEENCAPEPKFVRSTSSKSDFFRSNKTPEDFATWQRIIRLDAIRANTDWALFSCNQAEISEEKALQRALSVGLNDYDHLEPYMIYHAARLVALLEAYALYDPEIGYCQGMSDLLSPIIAVMKEDHEAFWCFVGFMKKARHNFRLDEVGIRRQLKTVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVLVLFRRELTFEQTLCLWEVMWADQAAVRAGIGRSTWGRIRLHAPPTDDLLLYAIAACVLQRRRLIIERYSSMDEILRECHSMAGQLDVWRLLDDAHDLVVNLHNKI >SECCE1Rv1G0048950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:638134607:638135167:1 gene:SECCE1Rv1G0048950 transcript:SECCE1Rv1G0048950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRMMGEEEEYAFEASSCASSGGESGDEGDRFPDSVGGRRQSAPPQAPLRRMNSDSIYDMSGMTAHLPAKKGLSAYYQGKSQSFACMAEARCLEDLQKKEKPRGHKMKPCKSYATLGGMAMMAGKPPGSSCANLGLMDAGNGFMAPRNIPVNQDCYHQ >SECCE5Rv1G0318830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:368443656:368444342:1 gene:SECCE5Rv1G0318830 transcript:SECCE5Rv1G0318830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNVAAPELPAGDRAVVGEQQPTVLTVWRKSLLFNCDGFTVFDSAGGLAFRVDRYGSSSGIRRRAEDVVLMDAAGKPLLTVRRKIKLGLGLGEHWVVYDGEASASTAKPLLSVRRHHAGLRRRASDKTLAHVTPLGPSSAGADAASYVVEGSYGRRSCAVRDARGGAPVAEVRRKESVGDDVFRLVVPDHRLGTALSMGVVVALDQMFGAASSRTSLLPRSWSA >SECCE4Rv1G0277780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:805685834:805686370:-1 gene:SECCE4Rv1G0277780 transcript:SECCE4Rv1G0277780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPRPRGWSTKRYILAALLGALVTGVVVIAVSAVLTPAEVVFTVTNATRTSKWELHLTLSANDTSHRAWVEYHSFIVYLQYTVKGRVYKVPADVALPAPQRPGTTANMNVSASFALNPFRTAGEGGGKPEISVLVLAVVRFIVKPAYTRPYDVRVLCAPIDYFGVKKLRFPVKCDA >SECCE7Rv1G0520760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:871911286:871912854:1 gene:SECCE7Rv1G0520760 transcript:SECCE7Rv1G0520760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADGRKPHAVCVPYPAQGHATPMMKLAKLLHSRGFHVTFVYTEHNHRRLVRSRGPGAVTGLPDFRFATIPDGLPPSDPDATQDQASICHSTATTCLPHLKTLLGDLNNSATGSPPVTCVVADSIMSFAVDAAAELGVPCALFWTASACGYMGYHHFQFLVDQGLTPLKGEEQLRNGYLDTPVTRVLGMSKHMRLRDFPSFIRTTDRDDILLNFMIHELERASRAAVVIVNTFDALEQPALDAMRAILTPPVYTIGPLNLVVEQLVPDRGDGNSPLAAIRPSLWREDRSCLEWLHGREPRSVVYVNFGSITTMTSEQLVEFAWGLANCGYDFLWIVRNDLVKGDAAVLPPEFLVATKGRCFLASWCEQEAVLRHEAVGIFLTHYGWNSMMEGLGAGLPMLGWPFFAEQQTNCRYACVEWGVGMEVGDDVRREVVEERIREVMDGGEVGKTMRRKAMEWKEIALRATVVPDGRSLANLESLLKDVLCSPQRNIV >SECCE6Rv1G0398640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:424171687:424175200:1 gene:SECCE6Rv1G0398640 transcript:SECCE6Rv1G0398640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGETSAAEAVLGLSPQTFINEVLNFVDDVCFQAFEYCLQEGAPTAVGVATAINKAEELKRGVNEIHHLVKDVLDKRMSNWETYCLRKCLTVPEGFVAPEDGNSSAMLLHKDGNSDSELDAELNSLRKKLADANKESEELQREFSSLERQTTYKSNLNSSIAEVLKLFEDKSVQENIQAITNTIPKLHQKMKVMKRKKVELEAMVGQNVCNVNCLKDQKRLAPGSAPSTEDIQEVNAAANGLRKE >SECCE6Rv1G0435380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:770905992:770907707:-1 gene:SECCE6Rv1G0435380 transcript:SECCE6Rv1G0435380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEMEAEREPRKRPRVAAAAAAGDPSLAAAAAEYAAWLEEMAAHEVKSAEFRKKLLANPLTAEQLREREEAAWDKYHNESWERARDNWIWPPFEAVTDIPCMRFTNDNINDPNYPRLVRTMPTLQIVSVQVKEITGGLHWPLDVYGFVAVRDVVDRKRIMVFNRERDDYQRISEQDSYLTLTGPTRGVVMTVDPSYLEAKLKVRGATESEDRDLSKFAKTYRLGCYVPIKHTSKLCTLELQHYTVSSSVEATIRVQVTEGQFPRGFRGVLTASTDSESGVMISLLDFIDDELPVDADGSVKLSRQVVTVKKGGKLKVSVWQRGVGEEEDQEITAASFTATEAETNTNYMPMKKWKSWMEVTVAWSLFSCW >SECCE1Rv1G0024980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:337306740:337311882:-1 gene:SECCE1Rv1G0024980 transcript:SECCE1Rv1G0024980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKAAVIFNGEQFESPGFFTTLRQAEHAAAEVALAALARRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGITFTGDHAKNKKQAEKNAASAAWSSLKQLAREEANSTNEPENNDEQEQIRIARALLSYRLKEKIAMANNPHASPFPKKFPMQPERKPCFGQSSQSSYSKILPLFRPKSTSRSRPESPAASDGASPSPFWPVESSNSRSRFPAAEAAPYVPVGHYHMSCHSMAPPVTVRSSIPVFSAPPLPPPSGRTQQLPPLLSNPPSVRMASPVRIRPASPHFAPSGPAQRPRPVMSVQMKDVQHKPVRESMSSAIPVQVKDAQRRPMKESPSSAMPVQVKDAQHQLFKGSMSSVLPIQMKDVQPQPAKEPLSEGKDAPPAATEPPVKIEAPAKVKEASEVVTSDVVPCPAAGSVAAASSTSTPTSECSAAPASQSGADDDAAKGEEVQKDVLEAEAALEEGIIKLLEIK >SECCE5Rv1G0373610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:859775475:859776247:1 gene:SECCE5Rv1G0373610 transcript:SECCE5Rv1G0373610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVADAKRQFSLRPKSPSPDEQLKRRQERKRKGLVIALNTYAKRNNIQLSELEFVEEKERNQVDGCAALYVHSNFLVKGSDGKHTMFFAEMRTDCTREEDVVLCTPLEEKNYGHCYGCDDRAKELRHPSGGGYLGGHNKMIFHLEELDSDDDCFM >SECCE5Rv1G0353310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:716376724:716377685:1 gene:SECCE5Rv1G0353310 transcript:SECCE5Rv1G0353310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-A [Source:Projected from Arabidopsis thaliana (AT1G26340) UniProtKB/TrEMBL;Acc:A0A178WMK3] MPTLTKLYSMKEAALHNTPDDCWIVVDGKIYDVTAYLDDHPGGADVLLAVTGMDGTEEFEDAGHSKDAKELMKDYFIGELDLDETPDMPEMEVFRKEQDKDFASKLVAYAVQYWAIPVAAVGISAVVAILYARRK >SECCE7Rv1G0473230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:155343856:155345755:1 gene:SECCE7Rv1G0473230 transcript:SECCE7Rv1G0473230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAGSATAMSLLLVLFLSSCSAPAVAIRTHGRGYVSAVGDPGMQRDGLRVAWEAWNFCNEVGQEAPGMGSPRGADCFDIEGTDDEQGQPVYKVVHRVTDADNTLRAGDPFPGTSANSTVTDTDRYAAAKELYLGDRCQVPDSPVPWQFWMVMLKNGNLDTTAAICPDNGRPARPFPQTSRFPCPGGTGCMNQPLVFHNRTALDDAGRWLRGGMYGTYELDATDLGSNNVSYYSVVWEKQIGPAGGAGWAFHHKLRTSAKYPWLMLYLRSDATRGFSGGYHYDTRGMTKIVPESPDFKVRLTLEVKQGGGPNSQFYLMDMGSCWKNDGSPCDGDTATDVTRYSEMIINPETPAWCRPGRRDQCPPWHTFRNGTRVHRDDAARFPYAAYHVYCSPGNARHAEQPTTYCDPYSNPQPQEILQIVPHPVWGEFGYPTAKGQGWIGDPRAWELDVGALSHALYFYQDPGTPPAKRRWSSLDVGTEIYVSKNAEAEWTLSGFDILVPNKCITSQGGTVSSCW >SECCE2Rv1G0113090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:719143513:719145667:1 gene:SECCE2Rv1G0113090 transcript:SECCE2Rv1G0113090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRIPKKATLVKAMEEADVKTKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEVAAA >SECCE1Rv1G0056880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689752341:689753334:1 gene:SECCE1Rv1G0056880 transcript:SECCE1Rv1G0056880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAYHKKLGVNKAATAGAGKGKATRGGNGKRSGGSTAGAGADGAGPTEGAKRAGGAGACGGWGGDPAFFPTGHSTFHFQTAVAATNEYYDQEEKDCSSEDDCPPFTPACHSTFQTAVHSTNEYYDEEENDCPSPFAPADHSTFQTAVETMNEYYEEEENLGLSMDDENEGVQDAELVSDADGEGDNGSGGGGGDDNAGAGSGDDYYDDDIAGGFDDAYDSGDAGDGGDDWW >SECCE5Rv1G0340300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:613981691:613985752:-1 gene:SECCE5Rv1G0340300 transcript:SECCE5Rv1G0340300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAVVQAGDVPDPTVRNLLEQDTLKWVFVGGKGGVGKTTCSSIVSILLASVRQSVLVISTDPAHNLSDAFQQRFTKFPTLVKGFSNLYAMEIDPKVENDDFGNEGMEGFISELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMDLKNRFGGMINQASRLFGLGDDLNEDMMLGRIEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVIFDEEAVESKLLKARVKMQQKYVDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSKHFLAPYTSALKRGSVEELEERVDTLKSALQIAEAELDRVRKGKQVA >SECCE5Rv1G0363390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:792734733:792738527:1 gene:SECCE5Rv1G0363390 transcript:SECCE5Rv1G0363390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLACALQTMGSSCSRPHSVNEAEAADNRRSADIDRRILQETKADQHVHKLLLLGAGESGKSTIFKQIKLLFRTGFDEAELKGYTPVIHANVFQTIKILYDGAKELAQMETESSSKHVISPDNQEIGEKLSEIGGRLDYPLLNKELVQDVRKLWEDPAIQETYSCGSVLQVPDCAHYFMENLDRLAEPDYVPTKEDVLHARVRTNGVVEIQFSPLGESKRGGEVYRLYDVGGQRNERRKWIHLFEGVDAVIFCAAISEYDQLLFEDETQNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLTVCEWFKDYEPIAPGKQDVEHAYEFVKKKFEEVYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGTGT >SECCE6Rv1G0392060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:248629227:248638528:1 gene:SECCE6Rv1G0392060 transcript:SECCE6Rv1G0392060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE-LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06130) UniProtKB/Swiss-Prot;Acc:Q8VYD8] MSCLAAYSASPPASRPTAQRLVVTVAAPPRWRSVEPHRAVWRSEGTRALTMLRRCFLAGESRAPGDGSLSSFCIIEGPETIQDFVQMQSQEIQDNIKSRRSKIFLLMEEVRRLRVQQRIRAAESRCSSSEENEMPEMPSTIPFLPYMSPKTMKQLYLTSFSFISGIIIFGGLIAPILELKLGLGGTSYEDFIRNMYLPLQLSQVDPIVASFSGGAVGVISALMLVEVKNVRQQEKNRCTYCHGTGYLPCARCSASKMLLGTKRFSFSTTERCSNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >SECCE3Rv1G0153840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:57328439:57330212:-1 gene:SECCE3Rv1G0153840 transcript:SECCE3Rv1G0153840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTRSSAVAHESHEEDLARPAPQASSLPHASSMALASPLEDDDLIREILLRLAPQPSSLPRASVVCKRWRGLLADPRFLRRYYAHHRKPPLLGVFETRSKRNPFISILDSPDCIPPERFDLQRHVNFPNSVLDCRHGRVLVKYWMREDLVVCNPITGEKHHMSVPSKFKGVFISGAVLCAAGDQGHVHGSCHSSPIKVVLVSTTKDPRALACVYSSETGVWDNLISTSTPCQLFVGRFSGTLIGKALYWPLFTPEKGILEFNLEEHSLAVIKGPPLTNDFDMGNIWIIQAEDGVLGIAVLSYPFFQIWKRDIDFHGVATWVLQKTVEIHSILGLPPQIGRGTGARKTIVGYSEDADVVFIIVGDSLCMVQLKSMKCKRLCETNHSVCYYPLTSFYAPGTSIGSNGENDA >SECCEUnv1G0542360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98726656:98727471:-1 gene:SECCEUnv1G0542360 transcript:SECCEUnv1G0542360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIHFSFELLIFWNFAPETFLGEVRIRSVRILIGLGLTWFTRYWFPEESISPLAKPFITLPLDSYFVCTQSMEALPTYVATSSIACSYFVFPLISHQIWCFSIPSCYGEQRQKYNRILHLSGSRFSLFLLLTPPRVVPNVWHFPYFVGATSTNSLMIKLQPKIYDYIMLTVRILFIPSVCSQVPVIVICLPEPRGLSVETFTSNRRFLMVFPLFTAALSTPPDIWCQTVAPFLIYSIIEFAIFVALIVQVREEGWTSRMRESGSIEKKEE >SECCE5Rv1G0324670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:449288799:449291723:1 gene:SECCE5Rv1G0324670 transcript:SECCE5Rv1G0324670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGLYSGIGKKAKDLLYRDYQTDQKFTLTTYTANGAAITATSTKKADLILGEIQSQIKNKNITVDVKANSASNVITTITADDLAAPGLKTILSFAVPDQKSGKVELQYLHDYAGINASIGLTANPVVNLSGAFGTSVLAVGADVSLDTATKNFTKYNAALSYTNQDLIASLNLNNKGDSLTASYYHIVEKSGTAVGAELTRSFSSNESSLTFGTQYILDPLTLVKARINNSGKASALIQHEFRPKSLCTISAEVDTKAIEKSSKVGIAIALKP >SECCE3Rv1G0148900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20910915:20912864:1 gene:SECCE3Rv1G0148900 transcript:SECCE3Rv1G0148900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAKPMPALHSGSVLPNVVTVLLLLSIGFVLGMVCTTNFHDSYLPSFLQPLPSLLRSRPPLPPHAPAPSPVTELPSQSPSPSPETACVIGPPLPSPPSQQPTPSPPASLGFADFLAPNGGLMHNMTDEELFWRASMVPMVARVPWRIVPKVAFLFLVRGDLPLRPLWEKFFARHEGLYSIYVHASPAYTGSPPADSVFYGRMIPSQNTSWGDMNLVHAERRLVATALLDLGNARFTLLSETCIPLLSFPATYAFLTGANASFIDSFPTRARHVPFFTERNVSLAHWRKGSQWFEMDRAIAVEVVAEERYMAVFRGDHGIGNMEEHYLPTLVTLLGWGARAANRTLTYVAWPRPGPHPASYSVRDVTAELLEGMRRGNGECGYGRASGAVEFCFMFARKFSGDALDKLLELAPKVMGFG >SECCE3Rv1G0188380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:712776699:712777763:1 gene:SECCE3Rv1G0188380 transcript:SECCE3Rv1G0188380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29280 [Source:Projected from Arabidopsis thaliana (AT1G29280) UniProtKB/TrEMBL;Acc:Q0V866] MDGEWSDGAVSGGEQKASGDGGSADCNSPGSPSPPAVPSTSGRRRSLQKRVVTVPLADLNVPRPKGVGEGNTPTDSWAWRKYGQKPIKGSPFPRAYYRCSSSKGCPARKQVERSQADPDTVLITYSYEHNHSSTVARAQSRPTPKPNKERPLPSPEPTKSDDHDTANVAGGLVTASPAPAIEVHDDFRWLYDVVSVTSSTSPTEVEAADDMLLYGPMFFGKAVVDTAALLPDEFGGEAVGREGSEEDDAMFAGLGELPECAIVFRRHARDGLAAMAGGVKVEQPAEGTAMT >SECCE2Rv1G0082030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:147290151:147291038:-1 gene:SECCE2Rv1G0082030 transcript:SECCE2Rv1G0082030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNQANEPPVLRPPPTLFAEAGHSGTMDEVHRARDVAVVVPMPLGDELEGLRAELAAAKSRLAATAAEIPPLKSLIESTNGSIAARQQEEGEKQAAVEELRLRADQGRDELRGLLLEVAAARDAKDALERRVSVRRQAARALQLAERAVAAETHALAWSAAAAAEQLNARSVGGADDDDDAHHDVVAVPARSYEEPRHRVEDEERKADARVEEAEALRRAAKARRAAAVGRLEAARARRREAAEGRRRDVDHRRGKMEIGKGPVKSRSWRACLVVKKKLGAFFCKERKASRGRS >SECCE4Rv1G0218920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:25073026:25079927:1 gene:SECCE4Rv1G0218920 transcript:SECCE4Rv1G0218920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g77720 [Source:Projected from Arabidopsis thaliana (AT1G77720) UniProtKB/TrEMBL;Acc:Q84VX4] MERRGNFLRPPAPDDAAAAGRSSTFPAAGDAGHTNLTSSSGSSSSSSITGDPLDFVGQIHAACKRQRPLGATQRATRILLPRGEGPAKAGASPSVRQNSEGMAMQKQRGVLAASRLQRVSPDQKDVNAGAGVLASNQNDMLTTPSMLSTITDTRNQNAGQNDQQKSEMDLSVDRNKSSLDVSLCQMTSRKASVDVGFKKGQFYAVGDSHLTSQGDNYHVAQAAHDQGGKHQKLELAGAAVEMDVKYDATNLPQKGIEEACNQNHGEPMTRCSVVGSSVTAVSLHSGQNTRVPQSNRYASPMQMPECTVESSAAIPGSVPPKQQEAAMPSSVGDWNPKNQQAPNTTDKAAPGNGGLPSQGQGLSANEQSTSAKDGGTSQANRDQKERRKKGYDPEHFFKVNGKLYQKLGKIGSGGSSEVHKVISMERAIYALKKIKLKGRDYPTAYGFCQEIGYLNKLKGKSNIIQLIDYEVTDKNLLKEGPISPRDGKIKDDQYIYMVLEFGEIDLAHMVAQKWKERNNSNMKIDENWLRFYWQQMLEAVNTIHEERIVHSDLKPANFMLVKGSLKLIDFGIAKAIMNDTTNIQRDSQVGTLNYMSPEALLCNEQDSSGNIFKCGRPTDIWSLGCILYQMVYGKTPFADYTSFWTKFKAVTDRNHKVSYEPVDNPWLIDLMQRCLAWDRDERWRIPQLLQHPFLVPLVPRDLPPVNPDPDPCSMLMDQVRPHWDDPEVARLCSELRDVIAKRKEGQSSQSTET >SECCE6Rv1G0381800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:35591941:35592246:1 gene:SECCE6Rv1G0381800 transcript:SECCE6Rv1G0381800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRINQSNLLNN >SECCE7Rv1G0482690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:310344494:310345831:1 gene:SECCE7Rv1G0482690 transcript:SECCE7Rv1G0482690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDDHYKTLGLRRDASKAEVKAAFSRLALLHHPDRHAQADAATRADATHRFRQVYNAYHVLYDDHRRAEYDLRTSSAWSGFGHGGAKSRSSSASGGYGHGGASSSPSGRYGYPHGRGGDFQDWPSPREGESLGVWMRANWYPLLCCTMRIAKVVIDGWKLYNTWKSSRQSSIEKEAEESEEKMKNEPSEEKE >SECCE3Rv1G0185330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:673595127:673596820:1 gene:SECCE3Rv1G0185330 transcript:SECCE3Rv1G0185330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVAKQLRRIRTLGRGASGAVVWLASDEASGKLLAVKSAAAGGAAQLEREGSVLNGLCSPHIIPCLGSRAAECGGYQLFLEFAPGGSLADEAARSGGCLPEHAIRAYAGDVARGLEYLHARSLVHGDVKARNVVIGGDGRARLTDFGCARAVDSMLPMGGTPAFMAPEVARGEEQGPASDVWALGCTVVEMATGRAPWSDMNDLLAAVHRIGYTAAVPEVPGWLSAEAKDFLDGCFRRQPSDRSTAAQLLDHPFVAYAAAAGDYKAAAPAKQQYTSPKSTLQDALWDSDTDDEADEMSATPAERIGALACGTSALPDWDLDDGWIDVCHQVHRHPDSPSADAGYDLVWAEESDAEREPSAVAADDSNDIPRNAVVTDSSIWQDCYVCPVHLGSRRNRVHPFQSDGDGNLRFVRMVERKQSKSTSAPSWMSASRLPALGIYLLTVFFFSFLRGKSISILN >SECCE6Rv1G0385910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:87252019:87258292:1 gene:SECCE6Rv1G0385910 transcript:SECCE6Rv1G0385910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAASRLSRAARAAAVARRLAAGSREPLPRALAPLAGETSFSTAAKVSRPSWFAPPMARLPTGGGGGLLVPPRRLFHSTPPARYSASSSSQIAPDQFTEMAWEGVAGAVDAARLSKQQIVESEHLMKALLEQKDGLARRIFSKAGIDNTSVLQATDDFIAKQPKVSGGTSGPVVGQSLTFILDKAMKYKKEYGDEFVSVEHMLRAFTADKRFGQQLFEDLQIGENELKEAISAVRGSQRVTDQNPEGKFQALEKYGIDMTELARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLQNRKLISLDMGALLAGSKYRGEFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGATGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTVSILRGLRERYELHHGVKISDGALVAAAALSDRYITGRFLPDKAIDLVDEAAAKLKMEITSKPIELDAIDREIIRFEMEKLSLKNDTDKASKERLSKLEAELESLKQKQKNLSEHWEYEKSLMTRIKSIKEEIDRVNLEMEAAEREHNLNRAAELKYSTLFTLQKQLDEAEENLTEFQQSGKSMLREEVTDVDIAEIVSKWTGIPLSNLQQSDREKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLSEAVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTTDSKDAVYEVMKKQVTEIARQTFRPEFLNRIDEYIVFQPLDSTEINRIVEIQLNRVKNRLLQQKIHLQYTPEAVEHLGALGFDPNYGARPVKRVIQQMVENEIALGVLKGDFKEDDTVVVDVSSVSIAKGFAPKKQLVLRRLENGSTELVTENGSTELVAND >SECCE1Rv1G0025720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:358684352:358686810:-1 gene:SECCE1Rv1G0025720 transcript:SECCE1Rv1G0025720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLSEASKRAAPSPIQQLSHLAQRVGAVNLAEGFPDFPAPAHVKAAAAAAIAADLNQYRHVQGICDVLAATMKRVHGLDVDPLTDFAICCGQSEAFAAAIFAVIDPGNEVMLFDPVYETYQTCIELARGVPVYVPLDPPYWTLNADKFLKSFTSRTKAVILNSPHNPTGKVFSKEELLIISQACQQMDCFAITDEVYEYITYDENKHISLASLPGMQERTIITSSLSKTYSVTGWRIGWACAAANIAAAIRNIHVKLTDSAPAPFQEAALIALTSTPDYYESLKKDYAERRDFILQLLKNYGFHISFKPQGSVFVFAELPKSWQISDIDFVTNLINNAGVAAVPGRGFFHTDADDQSYNHRYVRFAFCKSDETLKAAAQKMMKLVKSNGKV >SECCE4Rv1G0215530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:5107131:5108792:1 gene:SECCE4Rv1G0215530 transcript:SECCE4Rv1G0215530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVIPEPTNEVVVRVEPGRPARGELTLRNAMHTMPVAFRLQPAVRGRFAVRPHTGILAPLAAVTVEVLYMASEAPDGPGGGGSRGEDAFLLHSVVAPGASVREPVSALDSVNPEWFSARKKQVFVDSGIRASFVGAEVAARLVATGAVEALREVLDRSDPAWRAADAADESGSTLLDLAVGLGRADIVQVLLEYGADADKPSRGRTPLEIAAASGECLIAELLLANGAKHAGSDALHVAAAAGHDDVLKLLLGKPTSASPGSSSSSASFSGSFTSIDAAGRDGKTPLRLAAEGGRREAVKALLAAGARADARCGTDGGTALHAAARRGDEAVARLILAHGVAGTASVRDAKGKTAYETAAEEGHTGRIMDFLGLGEAILAAARKGEARAVRRAADGGASVEGRDAHGWTPLMRAAFKGRADTVHDLIERGVDVEACDAEGYTALHCAAEAGRSDVVDILLKAGANARAATAKGRSAAASAAVTGKAKVVRLLEKAGGVGRKGAGEKASPAVSKGGSMDRRRRGRKGSSGAIRFGGGKEGFEAATVTVGWSH >SECCE2Rv1G0086390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:210865386:210867818:-1 gene:SECCE2Rv1G0086390 transcript:SECCE2Rv1G0086390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGISCLGCVPMSTAALPVERDFDLPAAMPSWPLSVSDGGFAKGSIDLGGIEVRQVTTFAKVWSTTQGGQDGLGATFFKPSPVPAGFHALGHYAQPNSRPLFGHLLVARDASGTGALLAPPLDYALVWSSGQDDGAGFFWLPTPPDGYKAVGVAVTATKDKPQPGEFMCVRADFTDACEAEESVWGSDKDGFSATGLRPAVRGIDARGVHTGTFLARSNVTPASVSALACLKNNSAAYTSCMPDLAQLNALLAAYSPHVYLHPSDPYLPSSVTWFFENGALLYQKGNPTPTPVAADGSNLPQGGGNDDAYWLDLPVDNAQKERVKKGDLASAKAYVQAKPMLGGTATDLALFFFYPFNGPARAKVGPLTIPLGMIGEHVGDWEHLTLRVSNFSGELLRMYFSQHSTGAWVDASRLEYLDSRPVAYASRHGHAFYPREGLVLQGDSKLGVGIRNDCAKGSRMDTGGGRCELVSAEYLGAGKVAEPVWLGFERGWGPREEYDIGREINRAARILPRAMKERLAQLVNKLLVGEGPTGPKMKGSWRNDERDP >SECCE3Rv1G0171260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:266397998:266402956:1 gene:SECCE3Rv1G0171260 transcript:SECCE3Rv1G0171260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASAGGEPVEPQSLKKLSLKSLRRSLDLFAPTHSLPFAPDAESKRIRVSCKVNAEYGAVKNLPTDQGRAQGKGAAPPSNALALLGTQDTKDAPREGTSNAIIPAPLMLPKAPESAVPGKNTTVLSIPGSSDRFSTAALMERLPSRWPKPVWHAPWKNYRVISGHLGWVRSIAFDPGNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQVRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDTTIKFWDLVAGKTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKWSLPKGEFLHNMLSQQKTILNAMAVNEDGVLATAGDNGSMWFWDWKSGHNFQQEQTIVQPGSLESEACIYALSYDNSGSRLVTCEADKTIKMWKEDLTATPETHPVNFKPPKDIRRY >SECCE2Rv1G0085520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:192511033:192511707:1 gene:SECCE2Rv1G0085520 transcript:SECCE2Rv1G0085520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNNVQEVCEDQLSEISSQAASNNEASNTSSGPKFALDLSLTVTSAATATTIAEWSTTDSNGGAQAAREPMRVFTCNYCQRKFFSSQALGGHQNAHRRERTLARRAVHQLEAYPYPYGYTDVASLPLYGSPGLRNGSSSRTLPSSRRRRARGLLLGDNEEVSFECPSSFRLPPLGADVLPLLHSGHNLGSSGNIMVQADEEPDLTL >SECCE2Rv1G0121620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:809524341:809526878:1 gene:SECCE2Rv1G0121620 transcript:SECCE2Rv1G0121620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMRLLVLAAVSIVFANLQFLKAHGRELFLSCGSNATADADGRRWIGDMAPDLNFTLSSPGIAALLAGSTNGSEVMAPVYRSARFFTTTSWYDLSVLPGNYCVRLHFFPSAFGNFSANGSVFDVVANEFKLVSKFNVSEEIVWRNSVSNSAATVVVKEYFLAVNTSRLQIEFDPRPGSFAFVNAIEVLLTPDNSFNSTVNKVGGVDVHIPPELSGRAIETMYRLNIGGPALASSHDQYLYRPWYTDEAFMFSANAALTVSNTSAIKYVSSDDSSIAPIDVYETARIMGNNMVMDKRFNVTWRFVVHPNFDYMVRLHFCELVYDKPSQRIFKIYINNKTAAENYDVYDKAGGINKAYHEDYFDSLPQQVDSLWLQLGPDSMTSASGTDALLNGLEIFKISRSGNLDYVLGHIDMGNKRGRSKGRSRLGLWEEVGIGSAAFVALASVALFSWCYVRRKRKAVNEEVPAGWHPLVLHEAMKSTTDARASKKAPLARNSSSIGHRMGRRFSIVDIRAATKNFDESLVIGSGGFGKVYKGEIDDGITVAIKRANPLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILIYEYMAKGTLRSHLYGSGLPPLTWKQRIDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDKNFVAKIADFGLSKTGPTLDQTHVSTAIRGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVVCARPVIDPSVPKDQINLAEWAMRWQRQRSLEAIADPRLDGDYSPESLKKFGDIAEKCLADDGRTRPSMGEVLWHLEYVLQLHEAYKRNVDCESFGSSELGFADMSFSMPHIREGEEEHHPKKSGIREDSAP >SECCE1Rv1G0037870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:531815495:531816303:-1 gene:SECCE1Rv1G0037870 transcript:SECCE1Rv1G0037870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSQMAIQLTLLLISCSLYSMYSSSSFSTSSSLALLVLVISTCLSLLFSNLRQLMKTSSHKTSMEVVAHQEKSTVPQDEVSEDAPVDSAGSLSGSSDCQVSEEWTDEVSVSDDDDDDESLIEISLVDGYYVGQEEQCLWKKEQDLLADFLPEFILDRRDFIDILSEISEEENMIEIDIARGSIKCANFGIKKK >SECCE1Rv1G0029540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:416283418:416283663:1 gene:SECCE1Rv1G0029540 transcript:SECCE1Rv1G0029540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSSHPTLSGGVTEKLSRTNYILWRTQITPQLRGTGVFHYVDGTSTEPAKTHVTKDAAEKETEGPNPLHPLWVKEDQ >SECCE6Rv1G0417790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659548816:659551482:-1 gene:SECCE6Rv1G0417790 transcript:SECCE6Rv1G0417790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDQSGPQAQQPWEYSLRKYLLLLATLVVTVTYSAGFNAPGGVWRVSHDGQLAGDPIIRQTNYHRYLAFFYCNATAFAASLVVIVLILVLAIWHDKGKDTLWVVVPLRVVMVLDLLSLMGAYSAGTCRDKISTVYSAVLAAIIFLYVAILKLLDLNWWWMVNQPPSGSSALKEPKLEERLRKVMMLLATFAVSITYVAGLNTPGGFWDSTGDGHGPGDAILKDHHKMRLTVFLLCNTTAFVASLLITMLLIIDSKKHRARSIVLYACIIVALVGLVGAYAAGSCRKANTTAKVVSLAGATLALAYLLLYTLPIKCSSCCSSTAKRTNAAQHSPGEAMDKARSLVLLLATLAATITYTAGLDPPGGLWQENGDGHMAGDPILLTTNARRYKAFFYCNSVAFVTSLVAIVLVQEENLVRHHVLEAAMILDLFGLIGAYAAGSCRDVSTSIYAMALAGAVLVYVVIHVVFFTLDDEGETNNNEEDRSLEKRRKRLLLFAILAATITYQAGLTPPGGFLLQDDQLGHHAGDPVLLYNYPGRYNAFFYCNSVSFMLSIALIILLVNHNLYKPAIRSNALSVCTVVGLFCLMGAYAAGSTQHLKTSIYIFMLVAVVLVVAVVLLLVFLRKRNDSDSKKVQLTDQNKEERKDVEENNGDKEERKGEREENNEDKEERKKEAEEKEKEMEEVEEKKNERKKHARRKYLMLLGILVASVAYQAGLKPPGGAWQSNDDGYEAGNPVMHDNRRPRYLAFFYSNSISFMSSIVVIIMLLPQWLPKGKGEWEKWSLRVMNWTIRLDLFALLVAYAAGSSRGWKTSVYVGTLIIAVLGYFAIHMMLSCIVRGREKTQSNPEV >SECCE5Rv1G0304260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:55325621:55326997:1 gene:SECCE5Rv1G0304260 transcript:SECCE5Rv1G0304260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTKRGGSAVGEPEEKSSKRRRICNVAKEDEVPLAVFSRLPARDAARSVVLSKRWREILTGGDFWANHYQRPSKEVCLAYLDRGQRLPFRFSLYGLEGKHAFYLVAAGDTFPISHGLPVAGTELSYRFFGSCNGLVAFEQRGLSDSSMTCLVANPATSQHLTAQLLDLSTLSWMLAFGYDPKEHKYKVFVQCGSDVHVVPMTRSGTDGSRPVTVLANVAHEFEKLQKNTYSLCIEGICYVLLSPRDEVCTDGTESQSRKEKLLVFHVQRESVTSIETPDDGTLFSGIMEMGKSVCVATLSRDRPEIVLSVLNSGLSWERMCCLSVSSSPGGRGPGLLGAWQCKQRVVLWFREHGLAFYNLSPANLVDAVHGCTQTCSLEGYQEENQKLLQHLHERYQFCWGYRPTLVAPGSVIGNVCVTKAEDNILASITTGRIVPEEPQMELLQTVASAVLSFLG >SECCE5Rv1G0368540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:829759749:829760850:-1 gene:SECCE5Rv1G0368540 transcript:SECCE5Rv1G0368540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQEGGPVPVPGREQQFAEPPVPGHEEQCYTPPIAILTFNPSCSSNFPSFGGSSSLPNLPFGSAMVKNELGQSSSSNFLSFGAGQASTLNFSGWQRDAVQGTMPQLQAPERRGRAPQEHVIAERKRREKLQQQFVSLATIVPGLKKTDKLSLLGSTIDYVKQLEEKVKAMEQGSRRSSESMVFDSKCCISAPENDAAGPSGSGSGSGYSSPDIEASIRGNTVLLNICCKNRRGVLVMILSEVENQGLSIINTNVVPFTDSCLNITITAKIEEGVLSAVELVKNLKMALRGFSWK >SECCE3Rv1G0150020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30240250:30242547:-1 gene:SECCE3Rv1G0150020 transcript:SECCE3Rv1G0150020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAPWSWACCGRRRKRTGGDDDGAGASGRDGGQWSLFIDLPVLEAATAGFSDGNLLGRGGFGPVYKGVLDGGQEIAVKRLSLESRQGVREFLNEVRLLLKVQHRNLVSLLGCCAAAGHKMLVYPYFPNGSLDHILFDREKRAQLDWPKRYQIILGLARGLLYLHEESPVKIIHRDIKASNVLLDEKLNPKISDFGMARLFLEDATHVNTFRISGTYGYMAPEYAMNGYLSTKTDVFSFGMLVLEIVSGRKNIDRHLGDEKVDLLNYTWKLSEEGRSLEIVDPGLSGWDAGEVAMCVQLGLLCCQAVVSERPDMYSVHLMLSSDSFTLPKPGKPAVHGRVGRWMSTTGSASRSASGANTNTTFATDTTKASTLGNIAEDESRNSISISFTSEGR >SECCE2Rv1G0082750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:156280130:156283498:-1 gene:SECCE2Rv1G0082750 transcript:SECCE2Rv1G0082750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDGVIKAGGARERSSSPWRSLLGGCLGGADRGAAGDQGAKKKVRPRSGGGLRRLSFTDLSGAADEDLSISLVGSNLHVFTVAELRAATGEFADDNFLGEGGFGPVYKGFVGGGVKPGLKPQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDAHRLLVYEYMPNGSLENHLFVKQVPSALSWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKGSNILLDSDKKPKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILSGRHSVDKTRPSREQNLVEYARPGLRDPLKLARRIMDPALEGRYPADAAQKAAAVAYQCLSSSPKNRPDMSAVVEALEPLLSVTEDIAVGPVVLFVAAPEPPAGEEKERKERPSRTARDAGAHHRRRLRSPKGSPRKRGAGPKEEFWVWHVPAEDDKKA >SECCE1Rv1G0061700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:716989180:716989380:1 gene:SECCE1Rv1G0061700 transcript:SECCE1Rv1G0061700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSILQVFIVFLIAQVCLLMMMAAPVAQAAGRLMGYNPVCCPRDIFCCGFGGVMSNGTASSIKS >SECCE7Rv1G0455400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6336891:6350789:-1 gene:SECCE7Rv1G0455400 transcript:SECCE7Rv1G0455400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNIFKIGELQTVSWFQFLPVEPDYSATSDRSSKAEQKDALNSTVLSAYLRLQNEGFLSTWTNSFVGPWDPSQGEHNPDEKIKLWLFLSGRHSSVPEMTQPAVAKLRVVSSGLWVAPGNSEEIAAALCQALRNSLERALRGLSYARFGDVFTKYNPPTRNQNSFRRAQPTVEFVFAATEEAIFVHVIISARYMRNLSSDDIEKVLTHTPRSVGEGLPVIVAPSGMLGRLVGCCPSDLARQVYSSKLSAPNLPGFTQPTICQLRGQSYYVEVALGFPPASTDKISESENNQIKKELDSVNDPHLGADGQQKLESADGLPVLERTFIYPPEAVMVPMVHQAFVRFSSKRMWSQDWMGSSREAWPFWNFSPSSYFRNSSFFGSSRGLGVNSNFLRLRRQRNSNSNGMASSISSVSSTSNGSEHAVTGKGGDLLADADSTACRQSDQPLNNDIGGSKTVSKRSRSEITEVSSHAGKEVRENMQGTNGQGGCSWGWGEEGVVMDINILLSEFGDFSDFFQEDELDFGEPPGTAESHALVTPASEYGDMPFIDSPSAAMDIPEQRLSPVGFTSMEAFNHQTMSPIQDVASKVQEPLKEIASPAGSQSLVLSSSRSDFLTRAEATLTFAPEYAAVEISSCETPATLFTNPYLPRSKKRGSCGFSSRVYSYDVTQSSKVESAGDKSDKLTPANLSRDVGRSSLYTLVQGRKNESEKSLNNADEQSCKGETSRPVSGETSFSSSLTLQKKSDNMLNVGYFLLSMKTALATEIECITFQAAMCRIRHTLVSLRTKASAELKSALSSAMQTESSSNSDLVPKYDMKRKESIPARLSSDVDHEMYDRSRLENVGVWRSVVVPKGAKPLDSLSAKTFSGTSPSVQRQPIVELLSAMALLVQQSTSFVDIALDMDDGDGSFFWLSLDEQRRRGFSCDPSMVHAGCGGLLGTCHSKDCAGVDLVDPLSAEVSESSMIGLLQSDIKSALKTAFANMDGPLSVIDWCRGRSNIAESAAMGDAYTFHYTGDIRESSNSIPIGGDAMSPPQSSSDRGTSEEHHKGYHRVRPTIAVLPSPSLLVGYQDDWLKTSASCLKLWEKAPLEPYASAKPVTYYALCPDIDMLTSAATDFFMQLGTIYEVCKLGTHSPQHSGGQIEQSPGKYQPSGLVLVECPDQLKSSGSHSVSISSVTEYFQALSKSWSVKSFLSSLARIIKEIKLNLSISTNQKESSNIPCTVVYVVCPFPEPSAVLQTLVESSVALGSILSSERERKSFLYTQVAKALNSSASADEASASNVVMLSGFSIPKLVLQIVTVETLLRLHKPNELAAFKDIAFTVYNKARRIPRFVSTGDMFQSPTYMSRPQSTMMHTASPGPTLWKDCLAPRMSGQTLSRESEFDASMRSVSWDNSWQPARAVGLPDPSKIPELCAQDDRKYAFEPLFILAEPGAVDYNDTMESSRFGADASSSRAYSSISGGTDSGASPLLEGSENDSATSLHCCYGWTEDWRWLVCIWTDSRGELLDSLIFPFGGISSRQDTTVLQSLFIQILQQGCQIMSSSPEASNTRSRDVVITRIGGFLELEIQEWQKAIYSFGGNEVKNWPVQLRRSIPDGIPSNSNGPTLEQQDMVGLIQDRNMPSSPSALYSSHSKSSFTKGQPGNKKQILAEQTGMDSSRGSLHLVRSISLVAVSQDSSLHLACQADLLAARPTSGEGNQSSGTGSSSYLDGFAPVKSIGSMSASYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGTATPLAMGYVVSKAVPPVRKQLSKEDSRHSVLSVSIVDYYGGTVQDKMSRGSKQAARHETPARDHETDMHNVLETVAAELHSLSWMTVSPVYVERRSALPFHCDMVLRLRRLLHYADRHLSQLTDKGDV >SECCE3Rv1G0181280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:609938027:609942665:1 gene:SECCE3Rv1G0181280 transcript:SECCE3Rv1G0181280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSLLASAVTVGVGVGIGLVSARLTAASTPDDGGVAGAEVEAELRRLLVDGLDSGVTFDDFPYHLSEETKLALTSAGYAYLSKINLPSHIRVLSAASRTILLCGPSEPYLQSLAKALAHHFDARLLPLDIAEFSRQIQNKYGSASSALVRKRSLTESALDKVSGLVGSFNFFRKKDEPADSLKYEKNLLDLRTSNCTKTPSVRVHISLLPAAFFHACEPSEDYGPIRQSWNLDEKILIKSLYKMITSVSECNPVIVYIRDVNLLLGASDAACSMFKKMLSKLSGRVLIIGSYFLESDANSDDVDEVVSDIFPYILETKPPKEETDLVKWKTQIEEDTKKTKGQIFTNMIVEVLSANSLICDDLDSLDPDDDLQTIASYMEEIMAPAVSYHLMDNKVPEYRNGKLIIPAESLSHGLRIFQESSSLGKDTVEPKDVGKKVTPDNEFEKLIRPTVVPASQIGVTFDDIGALADIKESLQELVMLPLKRPELFNGGLLKPCKGILLFGPPGTGKTMLAKALANESGASFLNISLSTIMSKYYGDAEKTIRALFSLATKLAPAIIFVDEVDSLLGQRDRRNENELPRRIKNEFMTHWDGLLSKSNERILVLAATNRPFDLDEAIVRRFEHRIMVGLPSLESRELILKKLLSKEKVEEGVDFKELATSTEGYSGSDLKNLCVTAAYRPVRELIQKEQQKEKDKKENAVQVKGPEAQPKCQEGAEQSSESKKGENVIPETKQGETEKGVKGATEETVTLRPLTMEDLRLAKDQVGASLASEGSIMTALKEWNELYGKGGSRKKEQLSYFL >SECCE3Rv1G0195810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:802912140:802913359:1 gene:SECCE3Rv1G0195810 transcript:SECCE3Rv1G0195810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKQGRGHVKFVRCSNCAKCVPKDKAIKRFKVRNIVEAAGMRDIKDACVFEGYVLPKLYILEHYCVSCAIHQHQVRVRSRENRKNRAPPERFSRFKEARPEGQAPRPGGVGVGRGPGGLGGGPGGAGGGLDTGAPAPNVAST >SECCE3Rv1G0146750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12265827:12266331:-1 gene:SECCE3Rv1G0146750 transcript:SECCE3Rv1G0146750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDYPRTAPLKRLTSKEEKNGNFGREFVKCESKTEEQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPAAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGFFYLMAISR >SECCE4Rv1G0257090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:663246495:663247934:1 gene:SECCE4Rv1G0257090 transcript:SECCE4Rv1G0257090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPLNPVTVMEQCQVSPSPLPPAGKPRTLPLTFFDLVFWDVPPVQRLFFYDNADLLGASEFLLHGLPMFEESLAAALHHFYPLAGKLVRSIPEAGAPEVVFSDGDSVRLTVAVGGDDFQDLAGDHARDTARLRPLLPSLPRHGGGGDDSRCSTQDVFAVQLTVFPHAGLCVGTTLHHAVADGSSYVHFMRTWAAIHRLGPEHGAKWAVVDAPPLFDRSVVRDDNGLREVFLRDHRDLAAAGGKRLHDWDLSHRPGAADLATFRFTEKLLRGLGRQVESETSARRCSPYALACGAVWAGIVHARGSSTSFGFVTGCKPRATPPVPGNYFGNCLGLCRVGKEEEEAKPSGNLTAATASAAIWRAIEGLAEQGRVFRDARGWVRLVREYASARAVTVAGSPKLGVYAATDLGAPWGRPRKVEIVSVERTGALALAESGRDGDGGIEVGLALPRGEMEAFRVFYHDLVATASSYGTDSGKA >SECCE2Rv1G0114880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:740836758:740837768:1 gene:SECCE2Rv1G0114880 transcript:SECCE2Rv1G0114880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFAPPTKMSPCCPLLLFPAMTTAGLSREAFLLLMLAAAIVALAWPCSALQLQDAALIDDVIMEAAEECYNGKDRRTGVAYPLSLPGSLSAVDGSVSRFRSGSLSRHGVRLFGEFSVPPGLVVPGRANAHLLAVRVNMGNLSAVYDEYAARGGGYRIVSPVLGLMFYGLEPRNGTAALEVRVMGAAIRVNFSMAVPALQPGVVPLCMAVGLNGSVTVTDVQAGSNTCHLRDQGHVALVLGGVGDGDAVVEDGEVSKWKLALFGAALGAGGTVLLGLVLVAMLSVQRRKSEMAEMERRAYEEEALRVAMVGHVRAPSACGSRTTPDELENEYRATL >SECCE1Rv1G0027710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:387509979:387511118:1 gene:SECCE1Rv1G0027710 transcript:SECCE1Rv1G0027710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRFRFFCCGCGANAADGDMEVDDESECGGSKAGEEVGTRQLSWAQVERMTGGFTSAVVGEGGFSTVYLARLSGALAAVKVHRSSERIHRVFRQELETLLRIRHPHIVRLLGFCEQQDEGVLVLEFAANGNLYEKLHGGGKAAGAMPWARRVSVALQVAQALEYLHEQCEPQVVHGDVKASNVLLDASMSAKLCDFGSARMGFSAAVRPRSSAHTMLGSPGYVDPHYIRSGVVTKKTDVYSFGVLLLELLTGIEAFCPVEGRLLTAVLAPRLKATGDARMLVDERLGSAYDAGEASAVAALAASCVGQNPSLRPSMGDVVRTLEQSAQGSILALGKGSDGQGKL >SECCE4Rv1G0290420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:872664369:872667670:-1 gene:SECCE4Rv1G0290420 transcript:SECCE4Rv1G0290420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPEEIKIQANNIDAATEEIISILEDTSKGNVIFVRGWHGFGASATLKAVAQRLKSSKSMFDRVVHVDCSMWKSMRALHKAVAEELELPPLVMALFNRWDEEDDFSGIKEGSRGVIADIRTAIFRKLVSSRFVVIFHNGSDEYIDLYESCGVPVISVLSNKVLWTWHGRFRPRLGRFKRKQKDMEMHTDVVVNYSHDDCIPDEALLEEAKEVVTYMGNTWPYMDHMIVEKCLQYAYELGSVMANWPNHASNYLVCDGIIQGQCDTSAWGVADVLLRNMHLECLRESIDDDIRYHLQSRLLDHWVLVEPRGGKLPDGMMLSEATSLFVVPGRYEWDLILPDGIFRHSHSSKLCVLHLSSCSFSFESPPFLCCRQLRFLQLYACTNIPSDSDKHPSHNEYMPCFQKLWVLHLSHTNWYRLLSEEMMNFMADLRELHVQGVKDWSISDLRGRFLSLARVHVEEEYRFPTKEQAYNLPDLSNASFLKSIILVNCDFVKQVVPSMLPPSLESFTFLCDDYHICNPMVSRISFQGCSQLKSIQLEGHLWRLEELDLSGTAMKTLDLRKVNAPNIKRLILLACEKLRAILWPPEDKRTHVLEVLHISTVRSTSPVQASWEEKSRNPVAAIGSSSSLVATEQGIHRYASFDFKWYICTRDTRILRSLEPHKGFIEHSYVYIEMDSSPASSATVGDSEVAQGIRSRCQPDNYSYARDVFFQRDLQADANNEGAISWMWDCPTSPTPRAQDLYLHIQDKQEVKRGLQKKQSNIEGINVSAVFPGFIANNARMLHVHDSSSITCITCPQPQSQCWRWLQWCRVERCPKLRTVFYTPQPSEGDGFCYELATFWASELPKACYICDWSVIRMFSFVHIVLLHLDHCPRLIHVLPLSEYVDTLPHFDTLEIVCCGDLREVFALDPEQNGQKILEFPKLRRIHLRMSAPNLETIKIRGCWSLRSLPAVSKNNKKLPSVDCEKDWWDNLEWDGVDANHHPSLYEHNHSSYYKAQQQRGTVLR >SECCE7Rv1G0461090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:35659857:35661973:-1 gene:SECCE7Rv1G0461090 transcript:SECCE7Rv1G0461090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPPPPALPDELLQEILARLPPDDPASLLRASLVCKAWGLAVSHPGFRRRLHELHRAPPLLGFLSTWPSDSTPHFIPTTASSFSLHAPDCHSWRAVDCRHGRALFLSVNKKAETLLVWEPITGAQNRLPVPAAYDTAKPYPGAPMYSNAAVSCATDRCDHRGCLGGPFRVVFIFEEHTKEEQNVTWACVYSLENGAWGELTSLHTERSIEITDNSSVLVGSSLLYFRASASILEYDLARHALALFIPPDNDENVCFNLILTEDGGLGLIEDWCQHLKLWTLENASDDIDALWVLNRVIYLNNLFPASAGLNEGYGVWVMGFAEGANVIFVHSVAGVFMIELQSEEVRKVFADSFGSVVPVVTFYTPVLRGDKQYMLVSNPSGVAYGEERGDREKTMDQGQQLFDKGSNATNEGDFVNTFECISLDHHIGVPRYGEVALGCASTFDKYGCAYKAQEVNDSVDDVIRSAPNEELVKDTASDDDAGDSKASGSSVEDTSIFGER >SECCE4Rv1G0290920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874521013:874521975:1 gene:SECCE4Rv1G0290920 transcript:SECCE4Rv1G0290920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAASKLLFDSPAFRVHEDGRVERFFGTDTTQPGLDAATGVTSKDVVLDGATGAFARLYLPALPAPDRGKLPILVYFHGGGLVLGSAASQMYHGYLNSVVARAGVLAVSVDYRLAPEHQIPAAYDDSWLALSWAASRADPWLSEHGDAGRIFLAGDSGGANIVHNMAIKAGSTDQDGLPAGALVEQVILLHPMFGGKEPVDGEAADTREHMEKLWALICPDGDGLGVDDPRLNPMAPAAPSLRALAGRRLLVCSAERDFGRARAAAYYEAVKGSGWPGSSEWLESPGEEHGFFLLQPERDESSALMNQVVAFLSVSAE >SECCE6Rv1G0446520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:844779578:844780841:-1 gene:SECCE6Rv1G0446520 transcript:SECCE6Rv1G0446520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRSSSSSMALALLPLLVAAAFFIPSMASSASGTLDHGLDGEALLMLGRFHGWMAAHGRSYATVEEKLRRFEVYRSNMEFIEAANRDSRMTYRLGETPFTDLTHDEFMAMYSSNESSWESEEMTVITTRAGPVHEGTATVEESPRRTNLTAVVPPSVDWREKGVVTAAKYQGDSCSSCWAFTSVATMESAHAIRTGGSPPVLSEQQLVDCRINGCGNSWMDKAFEWVIHNGGITTEAAYPYTGKVGKCQRAKPVAVRIRGYKKISPPGDEAALMAAVAQQPVAASFDYSDPCFQHYIRGVYNAGCSRSGVYTKGACKTAQNHALALVGYGTKPDGTKYWIGKNSWTDQWGDKGFVYFLRDSPPLGLCGIAMYPLYPII >SECCE3Rv1G0158450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:88432383:88433220:-1 gene:SECCE3Rv1G0158450 transcript:SECCE3Rv1G0158450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKESSSSKLTMKLLVNSKTQRVLYAEAGKDVVDFLFSLLTLPVGTVVKLLSTDTMHHGRQRRQPKALLAPAGACESGMVLQLPEAAAPETGRFFRCSSLCYGECYNNVTKVKGTACPRCKNGMGTEMQLVVPAAGSAGGPVSADARTGFVQGIVTYTVMDDLKVSPMSSISGITLLTKCGVTDIGSLEEKTVQLGYDEGLEILKASLQSKTVLTDVFLPKKQRKG >SECCE5Rv1G0320950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:394961901:394968732:1 gene:SECCE5Rv1G0320950 transcript:SECCE5Rv1G0320950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAAGASYQRFPRVRIRELKDEYAKFELKDTDASMANALRRVMIAEVPTVAIDLVEIESNSSVLNDEFIAHRLGLIPLTSSAAMSMRFSRDCDACDGDGSCEYCSVEFHLAARATDSGQTLEVTSTKDLRSTDPKVCPVDQQREYQQALGNVDAYEPDAAGDHRGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDIRINQELMETLTLEEKQSWVESSPTKVFDIDPVTQQVTIVDPEAYTYDDEVIKKAEAMGKPGLVEINAKEDSFVFTVETTGAITAYELIMNAITVLRQKLDAVRLQDDDGDLGELGAHLIGG >SECCE5Rv1G0332250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:545818987:545828872:-1 gene:SECCE5Rv1G0332250 transcript:SECCE5Rv1G0332250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoamylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09020) UniProtKB/Swiss-Prot;Acc:Q9M0S5] MDSAASTNRSPLRPSAAAAARRSSSSVRPALPNRVAASRLGVAPGCGGGQFGKAQRFRSVRSTSAGAQTGNAGRIVTEERESAMAGTEMPLKYSSGKASPLGVSQVENGVNFAIFSQHASSVTLCIKLPEGGTKDEESEKVVEFALDCQKNKTGDIWHVSVEGLPTSGVLYGYRVNGPQGWEQGHRFDSNIILLDPYAKLVSGRNYFGVDKGKPSQPFGTYDFDSSPFDWGADYQLPNLPETDLVIYEMNVRAFTADESSGLDPAVRGSYLGFIDKIPHLLELGVNAVELLPVFEFDELEFKRYPNPRDHMVNTWGYSTINFFAPMTRYASAGGGPLAASKELKQMVKALHNAGIEVILDVVYNHTNEADDANPYVTSFRGIDNKVYYMLDPNNNSQLLNFSGCGNTLNCNHPVVMELVLDSLRHWVKEYHIDGFRFDLASVLCRGPDGSPLDAPPLIREIAKDSVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGKYRDDLRRFIKGDPGMKGVLATRVSGSADLYQVNQRKPHHGVNFIIAHDGFTLCDLVSYNLKHNDANGEGGRDGCNDNFSWNCGVEGETNDSNVLALRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTCINNFQWGQLAERRYGHFRFFSEMIKFRQNHPILKRDRFLSKNDVTWHEDCWDNLESKFLAFTIHDHNSGGDIYLAFNAHDYSVDAVIPPAPQHKHWNRVVDTNLESPNDIAPEGVLLTGSGYRIAPYSSILLKANP >SECCE2Rv1G0104250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:603393612:603394085:-1 gene:SECCE2Rv1G0104250 transcript:SECCE2Rv1G0104250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMAAAAPSRRLLLLLLGLNLLSSITSTAPYDPPTVPELMDRFGLPRALLPSTARRYLLHSDGSFELFLDDGCEVEAGGYRVLYDIKLSGSVAPGAVTGLEGVRVRVLFVWIPVTSVEVDGGVVTLSVGPVKKSFPAVGFKANPRCSTGAAVVDVA >SECCE7Rv1G0459940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:28178138:28179499:1 gene:SECCE7Rv1G0459940 transcript:SECCE7Rv1G0459940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAARVILLPLVFALLLHPAIVIAVGDGTPGRGGGGFSLRLVPSPGGNRSSHVDDDGFVHLNEHATTALRPPMHTQVGGKYSVVTTVGTGAGRRTYVLALDMTTNLLWMQCKPVQEPFTQQPPPFDAAKSPSFRRLPGNNDFCLPSADGNRPKVEDPCKFRSIGLHGTDARGVLSNETLAFAASGQEVAGVVIGCTHNTEGFNFNSHGVLAGVLGLGRQAPSLIWTLGQHRHGAVPVHRFSYCLPSHGSPDHHTFLRFGDDIPHTQHMVSTKILFMSAIISQDFSAYFVNLASVSVEGRQLQHIGELFKRHLHRGRWTSGCALDAGTATMVMIMPAYEKLKAAVLDHLRPLRVPTVSRVEYHLCFRATSQLWHHLPTVTLHFVEPDARLVLPPQRLFVAVGHDICLAVVRSNDITIIGAMQQVDTRFVYDVRAGRIYFAPENACHADAGHQI >SECCE1Rv1G0062690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:720909258:720911959:1 gene:SECCE1Rv1G0062690 transcript:SECCE1Rv1G0062690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSEFAFSLHDGSLAVGGAVLLSGVPSNVALSPFKIDPSSSDAPAHLLGQATAAAGRGAFLGFTAPAATDRAPCSIGLLAGRRFLSVFRFKTWWSTMRAGDRGRDVQPETQWVLLDAPELGPGGCVFVLPLVQGSFRSAIFPSEDDEDGLVLCAESGSPAVTGSDFGRIAYVHAGTDPYKVIREAYVAARVHLGTFKLMEEKALPPMAERFGWCTWDAFYLTVDPAGVWQGVSEFTDAGVPPRFIVIDDGWQSANRDEDPPHADTPGLVLCGGQMTARLYRLDEGERFRRYRDGDLLRCPPELFYDKNLPKTVVRKACEIEGIVNARKKATAADLSSLDAKLEQLRRELEQLLAKSADVLEKLRNRDGGVGGEVGLKAFLKDMREKFPSLDDVYVWQALCGAWGGVRPGTAALDTVVEPARLSPGLAGTMDDLAVDRIVEGGIGLVQPQHAGKLYDAMHSYLAGAGVTGVKVDVINTLEYVCADHGGRVELGKAYYAGLSESIAANFKGTGIIASMEQCNDFFFLGTRQVAMARAGDDFWLGNGDDAYWLQGVHMVNCSYNSLWMGQFVRPDWDMFQSDHVCAAFHGASRAVSGSPIYVSDSLGCHDFALLKTLVFPDGTLPLCLHYALPTRDCLFKNPLSDQETVLKMWNLNKFGGVIGAFNCQGAGWDPAERRIRGHAHCYKAVSGEVHPTHVEWGQREETAAMADTMEFAVYKHLSRELVLMTPQSEPIHFTLQPSSYDIFTFAPVMPVAGGAARFTPIGVVDMLNCGGTIADVVVGGTEVMVKVKGAGRLVVYSSARPEKCTVDGCEAGFEWGVGGKLEVAVSWNKDKEGVSQVVFSY >SECCE3Rv1G0197420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:817928119:817931378:-1 gene:SECCE3Rv1G0197420 transcript:SECCE3Rv1G0197420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGAKRRKRHPEKALPAGVMAPLPPPDAADWWDSFSRRLAAGHYSKDCQNFESVFKMSRRTFDYICTLITGDFSRKTHGFRNFRFGDKTILALEDQVAVALLRLTTGESLLSIGNRFGMNHSAISNITWKFIESLEDHAISHLRWPDPEEMATIKAKFEKLQGLPNCCGAIDTTHILMCSSAQPNSNVWLDCENRNSMVLQAVVDPDMRFRDVVSGWPGSMDDSCILRTSGFYKLCEKGGRLDGQMELPGEPAASVVREYIIGDTGYPLLPWLMTPYQERDLSPAKAAFNKQHAAARTVVQGAMARLKERWQVLKGELWRPDKHRLPRIIYACCLLTNIMIDLGDTHRARAPASHDHDDGYYQQFSNVADDGAVAQRDVLCQYVSRLGSKLPE >SECCE5Rv1G0320730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:391624778:391628502:-1 gene:SECCE5Rv1G0320730 transcript:SECCE5Rv1G0320730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEVKPGKPYTHSYNPSHGRLRICQATLGSCDAATRTVVQCIVGNKKPIILCSLNPKLAEMCHLEIELEEVDEVLFSVLGQSSVHLSGYYLRPGSRGNAGEEDSESYGEDVGESDTDQDYEGSEDSYESDFIDDGDNVVPENSDVSDSMDDGDVCSTPDHRKQDSEKHAHKVKRQRRLKKKHQVDSSADKIADSPSKPAVRRKRGSIFDSASEDEDFLAQSEEENLPMPVSLAKRTNGKVSEEIKPGNVTSNDEAKKRSNSGRKRKSDAINQDSASPMDVTEVDGSSVPKQEAEIKKKSKKKKKTLEAEDGKHSNNMRTLEDGLVIEDLSAGNQDAKVASDGNKVYINYVGKLQDGKTVHSNGEEKPYKFKLGSEKVMRGWNLGITGMRVGEKRRLTIPPSLCDNGGKSVVELPKDSTIIYEVELVKVR >SECCE6Rv1G0437950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786701373:786702641:-1 gene:SECCE6Rv1G0437950 transcript:SECCE6Rv1G0437950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPDDVLRYILVRLHDAAILFRCAAVCRRWRHLVSDPTFLRHQWPHHSCSSFAGFFNKQRHRDQGAKVLVPTPWSPLGRGRRAISSFVHDVPASLLYRAVPLVSRRGLLLVHLVPPRGTKDNYWWVVRLAVCNLLVGRCEMLPPLTCASIGSGDYDCNGYAVISRDGCRSSSGDDEQTPANSSFYKVAIVSIGHVHVIGFKLDVHTFSFGTESWSTHKKCFSGTTYSDTLGSLCQSDAIVGHGAFHWLFHNSTTGCFRLLKLDAKSSDISFTKILIPAMYHADHACLGLTMTDGALSILRMQEAASPKLEIWRQQEDHQSANGTSQWLCTDTVEFVQPRRTESQERDTLYILGEKCGKLLVNDKRQRVYSADLETGTVEAVADWRGLHPNIPLDVVPLEMDWVAFFLSRLGTARYVYLV >SECCE2Rv1G0072710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:60676439:60677407:-1 gene:SECCE2Rv1G0072710 transcript:SECCE2Rv1G0072710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPPPPCFFAFLKHGALLPARHGALFLPLVAVTAALAAALLLTNSLAVQPLAAAALVDASAISRADPAGAAYPGLVRALRGDLRRLLLEVGACVLAAVVVGSAIKIATVFAAVRAFSSGADDGTERLTVSAVLGSARGNLWGPVATVAFGYVLEVACAGAIVALALATVYLLERSLLLLFLDVLLVLLASLFLVYLTVVCAVAVVVSAAEPGRHGAAAVSRAWRLMEGRSARAALYVVATCALGAAVSPVYTLALRWWPRSPCGGVAAGAAYVALLGAVEVFSVAAVTAYYFECRDSKEEEEEEVATHRYRYAKLPNGDA >SECCE6Rv1G0422720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:690984956:690989104:-1 gene:SECCE6Rv1G0422720 transcript:SECCE6Rv1G0422720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAQSAVGSLLGTLATVISDEAKLLGGVRGDVQFIKDEMESMNGFLLDVADTGCQPNNQVRSWMRQVREVAYDSQNCIDHYVQTFGASRPTAGLLGTVQHVPQMMRTMPDRHRIAMQIRELKSRVCEVGERRQRYGVTIVPDKPPATHASTGEDGAEEEDKQMHTALADIADLLDVDARAQQVIAWLRGEGDHPKSSSLGDIWIARSKYDELVVQILKLGKGLVKEKDLLSMINKAKITEQHALTATIPVVARMQTNKEAMKLVDKDADIQKTMQELKEKRRRQWYDTAIWDEFDKMPMKTMMPEFKNKRRLPLFSNAIDKQLLSRNAKGELLHEMRYMDHSEREEIIMGLPKYKKRVEQFVKTKEKEQGNTFEENLREEAMKVVEENEIKKKLQEIKDKKVLEWYENAKQKVMVLLEEATKVQEENEKVKKKVWLLLRHLAKVGVRELVHDGGWLMGMILGDDNPGKSTASSSKKVAGEEEDDGEGGGGTQNKREKEKQPPIKSTVTSSSEKVEGGTEVEEGEEGEGGGTQNQREKEKQPPGKSTVADSPKVEGGGRREVEQEEEDGEEGGRHNQKEKAKEPSAMEVQYTMKLIRWLKGVFGNDHMRAKLLAIVTPPVDASNPEAHKRATDLARTVYDHPDAASHFNFKVWVDAKRNPNRKERLQCILKQVQPHSIEDHRISTWDEMKLEQEIQQHLKGHKFLIILADHEDHSPWADITNALPTDHTSDSAIIVTPMIQQTPHLHGWYIASCFFLLKDYRCNVHFLSYLDTALKKATQLLEGSNYMEESLGIVEQILKRCRWDSFSTKMVLHALHANPHRSNEDWKKLLHRLKDFSTLSNANQILRFCYDDLPSHYKICLLYLSIFPQDSKIRRTSLVRRWVAENLIIRTHELTALDHAEHAFNALVARGLVLPCEIGTEGKAKFCKVHPHVCSFIAKMAREENVGNTGLLPEFAHCLSIRNGIQLQQLPKKRQATYPNTCCWRPQKPPASIKDPLYVMVTFLNSLLASSQLGLVKVLDLEGCNGLKKQNLKIICNKLFQLKYLSLRNTDLTELPKEIDKLRYLETFDIRQTKIRSFPTKAIGMLQKLVHLLAGHTGLDESLSTVHIPHGIGTMTNMQILSHVEVSKKGDEHELTEVGRLQQLRKLGVVIHGNEAHLLHILLRVIGKLHESLCSLSVRIEIRKTDTGVFRSKSEHDGDDTDDMNTNETFSPPKSLINLRIHGWIRGLPSWIKELHKLSKITLCGTYLTDTDIQLLGELGNLRLVMLRPKSYKDKKLTLRSNKFKRLQFLVIEDSDISNIHFEHNGASNLEKIAWTSNSMENLHGIEQLLSLKEIELNSNCDLSHIIHATKGNPNHPILTQNRISKATEVTDGSNIPRRQ >SECCE1Rv1G0014990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:119155277:119157400:-1 gene:SECCE1Rv1G0014990 transcript:SECCE1Rv1G0014990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGDQGLCVAKPPSADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVVMEGASLTIAQVVAVATAGGARVELDESARGRVKESSDWVMASMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNAVAVAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASIVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMILAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVKNAVKNCVTRVARKTLITNDMGGLHNARFCEKDLLQTIDREAVFAYADDPCSANYPLMKKMRAVLVEHALANGEAERNMETSVFAKVATFEQELCSSLPQEVEAARGAVENGTAAEPNRIVDCRSYPLYRFVREELGTVYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNGEPLPIC >SECCEUnv1G0528280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3593838:3596065:-1 gene:SECCEUnv1G0528280 transcript:SECCEUnv1G0528280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFCGNLDYDARQSEIERLFGKYGRVERVDMKTGFAFVYMEDERDAEDAIHRLDRTDFGRKGRRLRVEWTKEDRSGGRKGNGKRSPSSVKPTKTLFVINFDPINTRTRDLEKHFDLYGKIANIRIRRNFAFVQYETQEDATKALDGTNGSTVMDRVISVEYALRDDDEKRNGYSPDRRGGGRDRSPDRRDNRGRSGSPYGRGRERGSPDYGRGRERGSPDYGKGGARDSPDYVRGGSPYAGKGDERASPKYDRERREASPAYDRRRSRSPARSPAREDRD >SECCE3Rv1G0202010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:868682472:868682957:-1 gene:SECCE3Rv1G0202010 transcript:SECCE3Rv1G0202010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSRSTAGIGGVDMCAMVAEHERIEWEVRPGGMLVQKRRGPNDDDVVEAILVRVSAGCGGWQHDVSINATATFGDLKVLLSLATGLWPREQRLLYRGRERDDGDHLHMAGVQDKDKVLLLEDPAVTERKLRSTSLAQLMGVPCHSFIQV >SECCE4Rv1G0227260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:119874501:119875057:-1 gene:SECCE4Rv1G0227260 transcript:SECCE4Rv1G0227260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLRFLSDVLLGSSSQRRHSKKRRQFNTVELKVKMDCDGCELKIRNTLANMKGAQSVEINRKQHKVTVKGFVEPQRVLRRVQSTGKRAELWPYVPYTNPYMAPVYDKRAPAGHVRKVEGVMPVSAGQEERLATLFSDDNPNACSVM >SECCE3Rv1G0209440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:938972326:938973305:-1 gene:SECCE3Rv1G0209440 transcript:SECCE3Rv1G0209440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSANGDSPAGAAVTTPRSRLPRWTRHETLVLIQAKRVTEQRAAQPVRLKWAVVSAYCRRHGVERGPMQCRKRWGNLSWDLKKIVAWEKKHAAAAVAGDADSAAPERESFWDMRGEQRRARQLPSSFDREVYDAIVGAGNQLKPDSAEEMELADDADEHPPSPMMVMPIQARMYVPPASSQSQHECSDPATESAKKPASDKNSTSQQDSEATCGAGVGAEDTDTATATPTAAAGATSMGRQMSEALERGNRLLAEQLEAQRANWDADREHRRALLAAVNKLADAAARIAERL >SECCE3Rv1G0194340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:780474863:780478796:1 gene:SECCE3Rv1G0194340 transcript:SECCE3Rv1G0194340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGALGLALVAAFALALAGANSEGDALSALRRSLRDPGGVLQSWDPTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLEHLQYLELYKNNIEGTIPSELGDLKNLISLDLYKNNVSGTIPPTLGKLKSLVFLRLNGNRLTGPIPRELAGISSLKVVDVSGNNLCGTIPTTGPFEHIPLSNFERNPRLEGPELQGLAVYETNC >SECCE6Rv1G0416480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:648821945:648823866:1 gene:SECCE6Rv1G0416480 transcript:SECCE6Rv1G0416480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRRHQWLTAAAVLAVLLLVQAEARGVTSPYRRRLEAAEDMPLDADVFAVPPGHNAPQQVHITLGDQAGTAMTVSWVTVDEVGNSTVMYGRAMGKLDMAAEGTHTRYKYHNYTSGFIHHCTLTNLEHGTKYYYAMGFGHTVRTFWFTTPPKPGPDVPFRLGLIGDLGQTSDSNSTLTHYEATGGDAVLFMGDLSYADKHPLHDNNRWDTWGRFSERSVAYQPWIWVTGNHEVDYAPELGETAPFKPFSHRYPTPHRSSGSPEPYWYSVKLASAHIIVLSSYSAFGKYTPQYKWLEAELKRVNRSETPWLIVASHSPWYNSYNFHYMEGEPMRVQFEQWAVDARVDLVFAGHVHAYERSHRVSNIKYNITDGRCKPVRDRRAPVYMTIGDGGNIEGLADSMTEPQPSYSAFREASFGHAVLDIKNRTHAYYAWYRNADGVKVPADTVWFTNRYYMPNHDDSR >SECCE2Rv1G0130490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:877164966:877165700:1 gene:SECCE2Rv1G0130490 transcript:SECCE2Rv1G0130490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGEYRSSSSSEGSAGSAAAAAAAAAAMAPLAAAAAAVAAKEEHNVTVAVAPPMPMAMAMPLQQQQPRKQYRGVRMRKWGKWVAEIREPHKRTRIWLGSYATPVAAARAYDTAVFYLRGRSARLNFPDEISALALSSPEAAEAGGAGEVAGELGDGGALSAASIRKKAIEVGSRVDALQTGMTTMVAAPAHHRERQRLHHLHHSEPHGEELHRHVKQQRTAWNGRAKNPDLNQAPSPDTSDAE >SECCE3Rv1G0183430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:650243063:650248254:1 gene:SECCE3Rv1G0183430 transcript:SECCE3Rv1G0183430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQQQQQQRRKGSPEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIRHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSLDTVSRIRNEKARRYLSSMRKKQPVCFSERFPKADAAALKLMQRLLAFDPKDRPTAEEALADPYFKGLGKVEREPSCQPISKFEFEFERKKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTNFLYPSAVDNFRRQFANLEENGGKGGAIVPSDRKHVSLPRTTTVHSTSIPPKEQKSSQVPQRIPTGRPGRVVGPVIPFENSCAMDPYSQRRVARNPVLPAAATNVSAYAYHRKSDSSERELQQELEKDRMQYQPMQRFMDAKMVSPDLRSTSYYMPKGVPKADVAERTGLQPNMMQGIAPFNGIAAVGGSYNKASAVQYGVSRMY >SECCE2Rv1G0138960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:924510721:924513528:-1 gene:SECCE2Rv1G0138960 transcript:SECCE2Rv1G0138960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06340) UniProtKB/Swiss-Prot;Acc:Q9FNH4] MTVAAALCLILTPTISSSAVLRLPRIARRRPLSCSASPLAVFATMDSPPEGYRTNVGICLADPTLTKIFSASRIDIPTAWQMPQGGIDPGEEPRAAAVRELREETGVRSAEIVAEAPNWLTYDFPADVKDKLNARWGTNWKGQAQKWFLFRLTGGDDEINLMGDGSEKPEFSEWAWMTPQQVIEKAVDFKKPVYEETLRHFAPYLQSDPTASS >SECCE1Rv1G0061810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717452949:717456095:-1 gene:SECCE1Rv1G0061810 transcript:SECCE1Rv1G0061810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEADRVRRLTLEGGGAVDRDKPDCKRDVFADLGSPVSPLRLRATPSSSSSSAGSAKSPALCNAAAAGGRGGGARSVSGRGSHSGELAAESGSGSNPPRPPGHRRSGSGPLIFSGGSGSSGGGGGGGGGSTASSPLTNALPTGNICSSGRVAPAPAAPRPRARPDVLGSGTGHYGHGSIMRGGMAPARSGGIDAAPLAGRSSRSPASFPAPPDSLQEVTRAGNELYKQGRYGEALRHYDRALALCPDSAACRGNRAAALTGLGRLAEALRDCEEAVRLEPASGRAHGRLAALCLRFGMVEKARRQLTLAGNANLSDPAEWQKLHEVESHLGKCMDARRIGDWKIALREADAAIANGADSSQLLLAMRSEALLRLNKLEEADSTITGLLKLDSASLSSMSTKLSGMVADSYVHVVQAQVNMAFGRFDAAIAIAEKARAIDPANAEVGLILNNMRLVARARAQGNDLFKAGKFAEASIAYGEGLKHESSNPVLYCNRAACWSKLGRWTKAVEDCNEALRIQPSYMKALLRRAASYAKLERWADCVRDYEVLRKELPGDTEVAESLFHAQVALKTTRGEEVSNMKFGGEVEIVTSLEQVRAAIHSPGVTVLYFMATMNQQCAQITPSVDALCSECPSVNFLKVNVDESPMIAKAENVRVVPTFKIYKDGARVKEMICPSLHVLRYSVRHYAVSNS >SECCE5Rv1G0371000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845218076:845221754:1 gene:SECCE5Rv1G0371000 transcript:SECCE5Rv1G0371000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEDCRTTAALLASTSCNHRAVLLHGSLDIWIDEACNLPNKDILSNTMGGLLKSCTSDPGAESTSDPYVTVLVGSATVARTHVIQDDENPRWRQHFLVPVAHEAAAVSFVIKDSDVIGAELIGAVAVPAESLLAGERVDGVYPVLEPSGKPCAPGATLRMSVQYVPVARLTMYSHGVTPGPDFPGVPNTYFPLRRGGRVTLYQDAHVPGGGDDGQCLPEIRLGNGELYGHGQCWHDVYDAMSQAKHLIYITGWSVFHTIRLVRDGDKARPLGDLLKKKSQEGVRVLLLVWDDPTSRSVLGIQMEGYMGTRDEETRRFFKHSSVQILLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNGRRKIVAFVGGLDMCGGRYDTPRHHLFHTLHTVHKEDYYNPNFAVTDERGPREPWHDLHSKIDGPAAFDVLKNFEERWLKSAKRSGAKKLSKSCNDTLLWLEKISEIAAIDDDVYSNDNDTERWDVQIFRSIDSNSVKAFPKDPREATTQNLVCGKNVLIDTSIHTAYVTAIRAAQHFIYIENQYFLGSSFQWDSHRDLGANNLIPIEVALKIANKIYANERFSAYIVIPMWPEGNPTGAPTQRILYWQKKTMQMMYEIIYKALKDTGLNGSYDPQDYLNFFCLGNREAVENAAFAEAFSPTNPQDQARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPHYTWANMFSAPRGQIYGYRMSLWAEHIGGVEASFERPETLECVQRVRGIGDTNWKRFVAEEVTEMRGHLIRYPVAVEWNGKVGPLPGCAAFPDVGGNICGSFSGIQENLTI >SECCE2Rv1G0068120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22175860:22177470:-1 gene:SECCE2Rv1G0068120 transcript:SECCE2Rv1G0068120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGATLFFVSLISVLILAYLLSRKPSPSSKKRRPPGPWRLPLIGNLHQILTSKLPAVLRDLAKKHGPVMHLRLGQVDAVVVSSPAAAREVLRDKDLAFASRPSILMAEISLYGNLDVAFAPYGAYWRTLRKICAAELLSDRKVRQFSPVRDKETMSLVSSVREASRGGEPFNLRRLLVVCSNSITGKTAFGERCSSELQEQFLAVMDEVVKLGSGLCVGDLFPSLWFIDVVTGLRGRLWRARRQQDKVLDKIISQSQMRPGDHVLSSLLSIRDKGEIDSIPIGLDNVKAIIMDMFSAGTETTSSAAEWVMSDLMRNPEVMMKAQAEVRRTFDNKSSEDHEGYIVELHYMKMVIKESMRLNPVLPLLVPRVCRETCDLGGFEVVEGTRIMVNTWALGRDPKYWHEPEEFRPERFEDGTASYKGSRFDYLPFGSGRRICPGDTFGVAVLELMVARLLYYFDWSLPAGVKPSELNMEMIVALTSRRKNQLHLMATPYDGISHANVN >SECCE3Rv1G0175030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:415643554:415662445:1 gene:SECCE3Rv1G0175030 transcript:SECCE3Rv1G0175030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFTQQPTSDDDDDDKAVPQPLRTTKPTAPPSSGAKKQQRHRADEDDLELEEEEEDESDLAELRKNEEEERREEKQTRRRRGRKPKRPAEESDEEPEEKKAESEEEESREEDSTEAVPVGEPLKVTGKGKKQRRHYFSFEYEGNTFELEDPVLLTPEQQKEKPYVAIIKDITENDGSLSVTGQWFYRPEEADKKGGGNWTASDTRELFYSFHIDDVPAESVMHKCVVHFIPLNKQIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTVDRIGQLPDREPIDVPADNTDQFSNKRGLRKRPPNPLDVLRDTTGKPEQFIKAETPGSDNLKHYAILTKYKAVTNAIYRDKWLDKLVDTIPLTTKEVAEASHADAGSVAKISNSSSSARDNSSGDNENSYPPDVVVSIMASLERSTYDALHADFQKYNQKMRKLEFNIKNSPVLRRRLMNKELDPPVLLTMSPDELKAGLTPAEKTSEPEEARRLQMTDARCERCAEKRVGISDIIHAGHGDRYQLECISCGYTWFSSRDAISSLTVDTPSSGGNVGTAPWATAKFDVLQKQLVSPRDQPDNKASADALQKNTVASIPKLERQKSFIKPKPEEPSTATLEKQKAFAKPKSEEPSAPSASHE >SECCE1Rv1G0050450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:646918127:646921547:-1 gene:SECCE1Rv1G0050450 transcript:SECCE1Rv1G0050450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARYPLVFLILLILHGTKAAPDPPAPGWLTLSGRRPLVIARGGFSGVLPESSQLAYNMAMGSSLCDVVLFCDLQFSSDGVGFCHGSLRLDNSSIIAEDFPDRGSTYQVNGQDVHGWFSLDFKSQELHRVLLLQNVLSRSNTFDKTQELLSLDNVVQSVLAQKGERHAIWVNIEYNSFFLEHGLSSEDYILGLPKEFPVTRVSSPEFAFLKSLSGKLRSNIKLIFRFLHEDLVEPTTKRTYGELLKDLKSIKAFASGILVPKQFIWPQNKDMYLEPSTSLVKDAHALGLEVYASGFANDDPCMSYNYNYDPSAEILQYIDNSDFSVDGVLTDNPPTASGAIVCMAHTKGNAFVYPLAKAGAPPGENVRPLIITHNGASGIFSDSTDLAYQQAVKDGADIIDCWVRMSKDGVAFCLGSTDLNSSTTAATTFLGKMTTVNEIQNKSGIFSFDLLWSEIQTLKPNLIGPFADARLERNPAAKNAGKFMTLAEFLDFAKSSNITGILIGIEHATYLITKGLDVVDAASKALVKSGYDKETCNQRVLIQSEDAPVLAAFKTFPKFQRVLTIEFDISDASKPSVDEILEFANAVKLRRSSAARVNGFFLEGFTNSLVDRLHAGNLHVFVGVLKNEFMNLAFDYWADPLVEIATDTLSVGADGIVTEFPATAAAYFRSPCANSQIKDLPYTIDPAEPGGLLQLANSDSVPPAPPPAPVLEPQDILQQQLPVCPNDPMFRTFRCRLAPKEAETGKPEYNINMASLDD >SECCE4Rv1G0269970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:750741568:750744950:-1 gene:SECCE4Rv1G0269970 transcript:SECCE4Rv1G0269970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEDGAQQAAAAAAEPPAVLEVDSFRRQVDDLASKTDVLERRVNEVVGFYDVKKHGSGGRRASGSSRYAANGARDINCKGMPDLMRQLTGIIRQITSHEWSAPFLQPVDVVGLQLDDYHKIITKPMDFSTIQNKMEGKDGTKYKSVREIYSDVRLIFTNAMTYNDELHDVHIMAKLLLEKFEEKWLQLLPKVENEERKQQVESNEAPTTDTSPEDAIAQLAKDTDDELNEINKQLELLRNMVVQRCRKMTTDEKRKLGSGLCHLTPDDLSKALELVAQDNPDFQTTAEEVDLDMDAQSETTLWRLKFFVREALERQASAAAAPGRTDENAKRKRDIYNALAKTASKRIRR >SECCE2Rv1G0111150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:698088898:698089575:-1 gene:SECCE2Rv1G0111150 transcript:SECCE2Rv1G0111150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal protein, Response to salt and low nitrogen stresse [Source: Projected from Oryza sativa (Os04g0534600)] MSAGDTLDKLVVFLAKRDGVDKLVKTYQYVAKLAHWAAETSHPGLAGRAKSWETAAGLSRKVFRSGRSLTGLNALRRSPGEFGALAVLANAGEMVYFFFDHFTWLSRVGVLEPWLARRASFVSAFGECVGYVFFIAMDFIMIRRGIRRERALLRGGEGKGEEKESEVRMIRADRVMRLMGTAANLADLVIAVADIEPNPFCNHAVTLGISGLVSAWAGWYRNWPS >SECCE5Rv1G0336440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:584091883:584093155:1 gene:SECCE5Rv1G0336440 transcript:SECCE5Rv1G0336440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQDQGKLVQVVAADVGLVAPPIRYVLSEENRPATIAQQAKLVIPIVDVSRLAMPDDVEEAAKLRSALQSWGLFVVTGHGMPKEFLDEILEATRKFFHLPLEEKQKCGNVIDGVKFQNEGYGIDRIDSDEQVLDWCDRLWLQLQPEDERRLQDLLHEYTLESGRVTMDVLKAMAKLLNQEEGFFINMVGERFKSYSRFTYYPPCPRPDLVNGLKPHTDNSVITLLLMDKDVGGLQVLKDGHWVDVPVLGNDLLVVVGEGMEIVSNAIFKAPWHRVVTSANKERLSLAMFYQPEPERIIGPPGVLVHEKRPAMFKKCLVQTLADGYWDAFAAGDRTVDFLNVRINDEADAELEGRAVVANN >SECCE3Rv1G0209490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939068741:939069229:-1 gene:SECCE3Rv1G0209490 transcript:SECCE3Rv1G0209490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRPFFAGLVVYLLDRISARIPRGQDKFMCIVDLKGWGYANSDVRAYIAAIEIMQGYYPERLGKALMVHVPYIFMKAWKMVYPFIDTNTRDKFVFVDDKNLEETLRREMDESQLPEMYGGKLPTVPLTDD >SECCE3Rv1G0162990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:142496145:142500263:1 gene:SECCE3Rv1G0162990 transcript:SECCE3Rv1G0162990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLLSPSPSPPLASRHRSPAVAAGRHRARRGAAVVASCDGGPSSSQAARYALARRAVLLGVSALPLLRDTAAKAAAPSSAGLVTVYGMSLPQGFIRRKGILAPRIDRHAQNCYKTFKIGRNKVICKPNDAMLDETKDVPKVDEPQAGGTMVDDPQAGGTMVDEPQTGGTQSEKPLPEAPQPESSLPVVQTQSSGNPLAGLLNAIAVIASGVLAGLYGTSRQEKKALQSVVSSLEVKLAENEAAISLMRETYEKRLSEQQAAQKKQSMKFQEQEASLLDQLASTKKTVTSLSDEFRREKAQAEELKDEIRRLESSIDKAGGDKDVLEAKLTEKVGEMNDLQEKLSLLSQQNDSKEKRIEELNSSLSSKEAEYQNLRRFSHQTKESLEVANAKIQQLEEEIHTTKNDLASKISSIDLLSEKLQVLNSAKKQAEENINELIKECTDLKASSEIRANHDSELLFQKDDLIKQLEEKLYVALSASSKDHEVIAELNKELDATKAMLDDEVAALKSLRDLLKSTEETLSDSRTEVSKLSEDLDEANRTNKDLVLQISNLQSEFNEMREGLTSKLGEAESVSKALSDELVSAKEMVQKGQEELEATSNELASAVEARDNLKKELLDVYKKFESTTQELVDERRIVTTLNRELEALAKQLNADSQARKVLEADLDEATRSLDEMNTSALSLSKALESTHSKNATLEAEKEMLSKALDEQTKLTTEAQENCEDAQNLITRLQTERETFEMRSRHLEEELALAKGEMLRLRRQISASKSQKTRYVPRTSPSPETSTAPRANKPTETSNVPRTSVPTETSQTPNEQSVNDGTQKTGEIAAETPYTVRVKARRGKGGASR >SECCE6Rv1G0451580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872895635:872903735:-1 gene:SECCE6Rv1G0451580 transcript:SECCE6Rv1G0451580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPALAQPQLQAQARFVRAAAANAGVELDESRWVSEVRRRMEAGADELGAVAMVFDVPRLLRATRPEAYTPQHFALGPYHYQRPGLRDMERYKLAAAKRAENKFAAGLTFDHLVQNFVGMQDKIRAPYHRFLEVNEQTLSWMMAIDTCFLLDFLEKYHVDKATDLVSSSPNWINATVRDAMMLENQIPLFLFTAALQLRHGHGSQEAAADAMRAVLDRFIRDVSPIKTNTPALTGDIADHGHLLELLYHFLVPASTVSAQQNAAKLPPLVPEVAISLDAHGALEEQQVPDTDDKVRQACMQVSGLDVPPVRFIKNLISKPLSAASSLPGWIMGKVPQLSGVAQLVGKFMASTDVAALLKGVNLATIIKSPLAQEIMIPSVAQLAACGVRFLPAPEGVGGIAFDAATATLTLPVLHLDSNTDVILRNLVAYETAAVRGPLVLARYTELMNGIIDTPRDVKILTGCGIIVNGMKSNKEAAEMWNGMCRAVRPSKAPLLDCVITEVNAHRDRSAAVKARRMLKRYVFRSWKMLTLLATVVLLLMTALQTFCTVYDCKSWFGGRIAQLTAGGGGQ >SECCEUnv1G0528960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6241501:6242034:-1 gene:SECCEUnv1G0528960 transcript:SECCEUnv1G0528960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNPKVFFDILIGQAKAGRVVMELYADKVPRTAANFRQLCTGEKGLGASGKLLHYKGSAFHRIIPGFMCQGGDFTRGNGTGGESVYGAKFADENFLLRHTGPGVLSMANSGPGTNGSQFFICTAKTPWLDGKHVVFGQVVDGYGVVEKMEAVGSSGGATAERVVIEDCGQLPDDQ >SECCE4Rv1G0248390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:571231124:571238427:-1 gene:SECCE4Rv1G0248390 transcript:SECCE4Rv1G0248390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 3, Lateral root developmen [Source: Projected from Oryza sativa (Os10g0402200)] MGTPSREAPLTAANNIQPFFVLRKASAGASASVSSPATSRARRRIEVSQPSSPNPKSAKRPRDDDDEGDMELFEQLRLEAFHCTWSKIQSTINEVLRGINLKLFDQVLRWVQESFSAIRSITRPCPAEIQQPYPLLTDVICRKIPTAFVLTKNAEFVDDVTTFRDLMDHLESNGCHLAKLSATELSSKNGVGGCLRSLLRQLLSDVPDVADVSALASWYCKGDNYDQPIIIIIDDLEQCSGDVLGELVMTLSEWVIKIPIFFVMGIATTLDAPRKLLSSEALQRLDPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVAVFLRNYFFRHDGTITSFISALKLACSKHFSIEPLSFLCMGMLEEDSENFWRDKFGALPVAIQKQALGLPSCTRENNSIKPANNLVEGLSELMKLQKNWSSVLSCLYEAGRHGKVQLLDIFCEAINPDLHTQNDSNNELLMSKLTSGNLSSGKLGAGRRYIAQALDTVRYMSMETLFRVLEVWSIHLEGMNEINAKVKELQSTTTSADCVIITKDKWPRRSTNSTAIGTVPLNDKATMLLDDITRNVLVPVECLPFHEIICFKNVGVLQSALIGNPRRMVQLDLLKSQSRLNCSCCSRNGIAVSASLHDTSVMCNLAQEYGDVINLHDWYLSFDGIINSKVKSKLVGSPSKKKSKATPQQSGAMIQARFCRAVTELQITGLLRMPSKRRPDLVQRIAFGP >SECCE3Rv1G0167610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:205124382:205124648:1 gene:SECCE3Rv1G0167610 transcript:SECCE3Rv1G0167610.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMVANGGGEGRPAARYGDGEQQGAGCGGARRFQMPLHYPRYTRANYEAMPEWQLDRLLSDYGLPVHGNVHQKRTFAMGAFLWGAGN >SECCE3Rv1G0175410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:424652732:424664543:1 gene:SECCE3Rv1G0175410 transcript:SECCE3Rv1G0175410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFTESKKELDALLSDDSLANVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVNLVDSNVRPLEVFMCSVVRKMGYGDGFKWVSQYIK >SECCE2Rv1G0118100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:779383535:779384788:1 gene:SECCE2Rv1G0118100 transcript:SECCE2Rv1G0118100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSSGRKKARVTDPPASAQEAVPEAAADSLLTDDLIVEILSRLPARSIHRFKCVSPSWRALIMDPANRKKLPQTLAGFLYSTYHWADLRFQKFHFANVSVGAAPPVDLSLSFLPPDKYLYVDQLDACNGLLLCLCYMAASSPSTDKRTPLESHFIVCNPATERWVDLPPHAKVPKGHRGVARLGFDPAVSSHFHVLQFEETDKEDCVTGVNIYSSQTGAWKRRKSRLVEKITLYAGLASVFFHGMLHLLGMLKPMKLDDDAVLVAVDMEGQVWKAIRVPSGGLSFGTVGLSQGCLHYATTPLGIVDKNKKEDTSLATKIASVWCMEDYDSKEWVLKHSVSNDELRSKTRAEYKVTAIHPDRDTIFLDSCDVDTLASYDMQHREFHCILNLEKDRASLFVPYVPLFSDSLAGADGQ >SECCEUnv1G0559700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:340654026:340654679:-1 gene:SECCEUnv1G0559700 transcript:SECCEUnv1G0559700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKASSLSELGFDSGGASSGFFRPVADGCPTSSAVPHLRLTKVSVIGAGNVGMAIAQTILTQNLADEIALVDALPDKLRGEALDLQHAAAFLPRVRIVSGTDPAVTRNSDLVVVTAGARQIPGETRLNLLQRNVALYRKIVPPVAEHSPDALLLVVSNPVDVLTYVAWRLSGFPASRVIGSGTNLDSSRFRFLIADHLDVNAQDVQVNTPLNPSMIH >SECCE6Rv1G0379890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:17693491:17695099:-1 gene:SECCE6Rv1G0379890 transcript:SECCE6Rv1G0379890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGVLLLLLVLLTGLVATLFRSCGSRDGGTAPSPPSLPLLGHLHLIKKPLHRSLATLAASVHGRGGALAPLVSLRLGARPTLLVSTHAAAEECFTVHDAALAARPRLLVGKYLGYNYTAITWASHSAYSIGLRRFLAGNIFSAPRLEERAADRRAEVTSLVENLLHDAAAGGAAGVTVTLRPRIFELVLNVMMRAVTAHGHAGDVRRFQEFVEESYVVVGAPSVGDFFPALRWVDRLRGIDPAYARLQTKRDAFVAGLVDDHRRRRSNAGGDGDTTSVIDELLALQETDPEYYTENVVKGIVLVLFTTGTDTTALTIEWTMALLLTHPEMLQKARDEMDANVGAGRLVEESDMANLPYLQCVVKESLRLCPVGPLIPAHEAMEDCTVGGFRVRRGTMILFRPERFLDADMAKAAPMLPFGLGRRRCPAEGLAMRLLGFTLAALVQCFEWDAGEGRAIDMAEGGGLSMPMATPLALACRPRGFVKGLLSASG >SECCE1Rv1G0059050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703697891:703698634:1 gene:SECCE1Rv1G0059050 transcript:SECCE1Rv1G0059050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIPCSIKTLKGLYDISGVEVGQHFYWQIGGFQIHAQVLITSWVVITILLGSVVIAVRNPQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIELPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLSYFEKYIKPTPILLTINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVIPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >SECCE6Rv1G0411670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:609295004:609296675:1 gene:SECCE6Rv1G0411670 transcript:SECCE6Rv1G0411670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVSPRQRTQEAEQKEGVEAWKHPMQLCASLGYGDAEEEEVTRMVPPLNFGVVGDGVFRSGFPDAANFRFLASLNLRSVVYLCPEPYPEQSARFLGRSGIKLHHFGIQGRKEPFVGIPEEMIRDALKVILDVRNHPVLIHCKRGKHRTGCVVGCLRKLQKWRLSSVFDEYLHFAAAKARKTDQRFMELFDTSSLVHLLASQC >SECCE7Rv1G0502850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:701487984:701490320:-1 gene:SECCE7Rv1G0502850 transcript:SECCE7Rv1G0502850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVKPGFSGAGSLSGSRRSYMGAAAAAPSKPAVDGCGVALRVFILAATLVAAVVMGLDRQTSTVQVTIADTLPPLQVPVTAKWSYSSAFVYFVVANVMACVYSAVALAACRSRSGVAPLMVGDLVVLALLFSAVGAAAEFGILGERGNSHVRWGKVCHVYSSFCERAMAAVIVSLIAAFANLVHLMLAILDVHKNSSYY >SECCE3Rv1G0203720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:884599541:884602092:-1 gene:SECCE3Rv1G0203720 transcript:SECCE3Rv1G0203720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGGPCFSTSVLPLFIGFLLLQGAPSRAADTDTVAVGRPLSGGQRLVSKRGKFALGFFQPESSRSWYLGIWYNQISKHTTVWVANRDAPLSDPASSQLSISSDGNMVLLHGDRAKSPVLWSTDVASGGSTVGVLLDTGNLVLADASNTSVVLWQSFDHFGDTWLPGGRLGRNKRTGEVTRLFAWKAYDDPAPGVFALELDPNGTSQYLLNWNGSMEYWTSGNWTGHGFAAVPEMMDYGAYSISQYKFGYVDGVDESYFFYDVKDDAVVTRFLVDVTGQIKFLTWVESAGAWMLFWTQPKAQCDVYALCGAFAACVENELPSCRCLRGFRERRPLAWLQGNYAEGCVRHTALQQCARGGQAATPEARNDDGFYAMPDVRLPSDARGVVSAATARDCELACIGDCSCTAYSYNGSCWLWHGGLINLQDTSTSSTETRGGSGTIMVRLAASELTSPGRTKTLIIALVVLVTAVAAVTVAILVSVFVLRRRRLKAQRTVVEGSLMAFTYRDMQSVTNNFSDKLGGGSFGSVFKGSLPDATATATATLVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCSQGTRRLLVYEHMPNGSLDRHLFGSGSSPGHGVLSWETRYQIALGVARGLDYLHEKCRDCIIHCDIKPENILLDDAFAVKVADFGLAKLMGRDISRVLTTMRGTVGYLAPEWIAGTPITAKADVFSYGMLLFEIVSGRRNVEQRPDGTVDFFPSTAATRLLDGDVKSTVDRQLDGDADIAEVERACKVACWCVQDEEGARPTMGTVVQALEGLVHVSMPPVPRMLKVLGDPANYIKFFSGLPST >SECCEUnv1G0534120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35942787:35944244:1 gene:SECCEUnv1G0534120 transcript:SECCEUnv1G0534120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAISAVTGELASRFISFLMNKYADHLYSEQKVERLQQLLLRVHTVVEEADGRCITNSCMLMQLKMLSAAMYQGQHVLDSIRYRQHKDASKDLVSDSSTSYDYIIPVKRARTTNTCSPKKASNSELQSALQNLEAAVEHMVEFVVLLGGCERVSRRPYDAYLHVDNFMFGRHVEKQQIIGFLLQENMPGPPAVLPVVGGRGVGKKTLVAHVCRYDRVRSHFAVILHLNGDHLTKMTNHDIPSGKILVVVEFASDVDGDDWRTFYSPVTSMDRGSKVIVLGRNERLKKLGTVQAISLNRPALEEYRYLLKTLAFGSANPGDHPRLATIVEEFAVVLGGSLVPANLIAHAVRKNLNAHFWLSALNKIRTTMEMNISRLGFHPNELFDQGRPVHLRPHYLLSPAAPSCRIPSAGASCPSSLPELKFGDMLAEESHVVPPKGDFRLVAWESRLPPYTSFVHLVRFVPSCVDDKPEASLSGKKRLGPSV >SECCE4Rv1G0295560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898843683:898845493:-1 gene:SECCE4Rv1G0295560 transcript:SECCE4Rv1G0295560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLTAALPSYSPATPKAAGGSSASSHFLPYPSRPRNVRNGVRAQVSTTETAEPAPAAPAKPVKISKKQDEGVVTNKYRPKEPYVGRCLLNTRLTGDNAPGETWHMVFSTEGEVPYREGQSIGVIADGEDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDAGEEVKGVCSNFLCDLKPGSEVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEEHEDYKFNGLAWLFLGVPTSDTLLYKEEFEKMVEIGGENFRLDFAVSREQTNAAGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVDLAAKDGIDWIDYKKQLKKAEQWNVEVY >SECCE5Rv1G0354810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727030793:727031014:1 gene:SECCE5Rv1G0354810 transcript:SECCE5Rv1G0354810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVGVWFAKLGREAAPAAEAEVVGRRHQGDGLLEVEAVKTVQIQERRRRNSAVLANSEDTVCMLMDRFAPA >SECCE5Rv1G0376940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:874890538:874892049:-1 gene:SECCE5Rv1G0376940 transcript:SECCE5Rv1G0376940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGMVTAGVVVLAYAALAVAALRLALSHRSALHALRRLWRWADEHTQAYQLHQVPRRLRGLDNPLFLKAAAYVSSLPSLQDADAVSVLSSSAKKTDGGFSLHLGPGHTARDAFLGARLQWTCLAHEDGQEEALVLRVRRHDRPRVLRPYLQHVQSVADEMELRRRELRLFVNTGGGGVDGAPRWASAPFAHPATLDAVAMDPDLKARVRADLESFAKGRAYYHRLGRVWRRSYLLHGPPGTGKSTFAAAMARFLGYDVYDVDLSRGADVDLRALLMRTTPRSLILLEDLDRHLQQLHGAEARLLSFMDGVASCCGEERVMVFTMRGGSASLPAAVTRPGRIDVHIRFTLCDFEAFKALAGSYLGLTDHKLYPQVEEGFNAAGERRPSPAELGEIMLANRASSSRALRTVITRLQHQRASEGSAAPPRMPHRRITSWSGAGRWDAEATEEEAGGGALGKDAAPMRELKKLYGLIKTRSRKEVAPSEEGEATAAVSNHGMEMER >SECCE2Rv1G0105220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:616986590:616986835:-1 gene:SECCE2Rv1G0105220 transcript:SECCE2Rv1G0105220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGRALPQPAAACVPAVQAARPWAGESGANTSTEGRERDRSAVEVDVPLLWDDEGRMKRELVAWAKAVASMAIRESMRC >SECCE2Rv1G0097440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:460082754:460084386:1 gene:SECCE2Rv1G0097440 transcript:SECCE2Rv1G0097440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSFPFFYVAAITFLTVFLLHSFLTKKRRRLPPGPALAVPFLGHLPFIKKPLHATLARLAARHGPVFSLRLGSRPAVVVTSAELARDCFSSDLDATLANRPHFPSVREVSFDYTVLTLASYGAHWRAARRVAVVHLLSARRVDLMSDAVVARELRALVRRLARLTVGGAAARVELKRRLFDLSHSVLMEALARSRNTYSDDGADMSQEAREMKDVVDAIVPLVGVANLWDYLPVLRWFDWQGVRRQLADVTSRRNAFIYKLIDRERQKQQAAADEPEEEQGMIGVMLSLQKSESDLYTDTFIAALIANLLGVGTETTSTTTEWAMALLLNHPAVLKKAQEEIDAHLSGEPSRLLDKKDLPHLPYLHCIISETMRLCPAAPLLLPHEAAADCQLHGYDVAAGTIVLVNAYAIHRDPAAWGPAPEEFRPERFEHGGAEGKPMMPFGMGRRKCPGESLAMRTMGMVLGTLIQCFDWTRVGDEEVDMAASSGIVMFKAVALEALCTPRAGMDTLLHEL >SECCE5Rv1G0311880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:210145018:210145263:-1 gene:SECCE5Rv1G0311880 transcript:SECCE5Rv1G0311880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRRVGVQRKSDYLSGVVDGGDDPSVAHHKQHRPVGPGEDADLALELPHLQGKAANRKNQRQSSQHEEDEAALLWTIRF >SECCE3Rv1G0199140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:843081202:843084016:1 gene:SECCE3Rv1G0199140 transcript:SECCE3Rv1G0199140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSAPMVCGQGPRIDRRSIKPYKMTRSKDIQLHHLPTDVLRGILSRLTMKEAVRMSILSRKWSRLWKCYPKLVFTRATMRRSNATTGPAKPLRTRFIRGINSIRRQLKSSNLNRFVVKFALRKRHTPHIDRWINFCASSMAKHVVLDLCSGPKGSTDRDDKYSFPLHLLGASGGSCVKSLSLGFVYLTLPPDHRGFANLKKLSLEIVHITGDLGCLLPKCALLEWLSLTRCRLDEVSIGEELSRLHCLQVKYCMLQKLHVRAPNLTMFVFAGRVIPILLGESVKIPEATVDLVTSSDCFNYVFTDLVDALSHVPSLSIGFRIETKVINFVKNRTMLTNLRRLVLKIDIVGSPEVTGGILRLAYLLELAPALEELVLHMRCFDSAIDGEPREDAYRPHPHRHLKTIKMTGFYGLLGQVELALYLLRNATSLERMIIDPVVRNNWHIPPMGGAKPNIDRGTSIALNKLSRKEFRKVLDILH >SECCE3Rv1G0170780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:257420525:257420911:-1 gene:SECCE3Rv1G0170780 transcript:SECCE3Rv1G0170780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTKMVAVLVLAVLAAATSAEARNIKTTEAASASKDAVLQPTTFPPFDRLGSGSPALGGGLPGSSGMPGFSLPGSSGTAPGFGGIGSMPFLGGSSPGLGGSPGLGGFGGMPGSPAAAAVDEHAKKP >SECCE3Rv1G0198070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:826966127:826971020:-1 gene:SECCE3Rv1G0198070 transcript:SECCE3Rv1G0198070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGYVTLPIIAVLAAIGYVYYTAVFLAIPAWLGLATAAGVANAAAYTALALACLAAYALVVTRDPGRVPPAFVPDVEDAETPLHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCILKMDHHCIWINNCVGHENYKLFLVFVLYAATASFYSLALMIGGAVHSVPKDEQSGIDSPRKSLVICGVFVCVMTLALSILLAWHVYLILHNKTTIEYHEGVRSMWLAEKAGNLYHHPYDLGVYHNIVSVLGPNMLCWFCPESRNIGNGVRFRTSYDIPLSTPPSKAEKHLAMS >SECCE3Rv1G0206840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:911956446:911956904:1 gene:SECCE3Rv1G0206840 transcript:SECCE3Rv1G0206840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVIGASGACTLILVLLVACAASAARIEPGAARQLWDDGRKVGGRTVVRDVEGDREVQELGRYSVKEHNRRREEGCEGGGGVCGRLEFARVVSAQRQVVSGVKYYLRVAAAEENGAGSNGVTGGRVFDAVVVVKPWLQSRELVRFAPADAK >SECCE1Rv1G0030490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:428146086:428147426:-1 gene:SECCE1Rv1G0030490 transcript:SECCE1Rv1G0030490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWTDSGGSRGRGGGGGGFANRGGANANNNTVWRERRPPQTQPQPPPQQQQHHHQQQHHQQQHHRYRPVNDNGHFSQHPRHPPPAEELDRHQGQGGSSTSTRRPRPTRPPQPRPTLADHKPSPNPSTPSAIEPDDKARRNAANFECNVCFDMADDPVVTKCGHLFCWDCLYQWLHVHSNHRECPVCKGQVADDAIIPIYGRGGSAASVHAAPPRPTGARVESSRQQQLQQAAAANLNMMMIDEDDEDEDNSPFLHEISFGINSLRHAVIRSIISDDDIEQDYDDYTNPYLHNFDEVYGSDFMPLPIFRSADAAEAVIGSSRRHGHHSVFSDDIMDTFFDNTTHQEPDFGYRGGRRQRGRARGTTSADHLNDHIVGMVLGSSLRIDDNSGASYRDTGAGPHHINNSGGSSRPNGGFTERRGRSNRNSNSGGGRGTQNSRRQGANYN >SECCE4Rv1G0214780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:1672177:1673712:1 gene:SECCE4Rv1G0214780 transcript:SECCE4Rv1G0214780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLSQEQELLISMVLVLLLSLYLYFRSSSRSHNPSVLPTNWPIMHMLPRVIANLHNAHDYFAAILTEAGHNFRVHIPQRHIFLTCDPANVQHIFTKNHANFPKGEEFAAIFDIMDGGIFTVDGEHWRRQRAKFQSVLSSPGLLSSMAACCRDKVENGLLPLFTRLANTHTPFDMQEMISRFMFDLAAMPLFGVDPGLLSSDMPSMEVAVAMDMVMEVGFFRHIMPASCWKAMRRLNIGHERKLDAAHKVIRRFFMELIERKRIDGGCVCNNGEQERADVLSSYINDPDYADDAFLRATLISLMLGGRDTIGTTLPWIFYSLAQNPNIVSIIRNELSHIASHKVAMSTSGMVIFEPEETKTLVYLKAALYETLRLYPSAPIERKTVVVDDIMPSGHEVTAGDTILISLHSMARMEGVWGKDCRDYNPHRWLSEDGTKMRHVPSHKFLSFNSGPRMCPGKDIAVVQMKTVVAAVVWNFDIELVEGQSIQPKLSCTLQMKNGLTMMLKKREI >SECCE2Rv1G0104170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:602060266:602060499:1 gene:SECCE2Rv1G0104170 transcript:SECCE2Rv1G0104170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE5Rv1G0358440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755652643:755653200:1 gene:SECCE5Rv1G0358440 transcript:SECCE5Rv1G0358440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAGQGAQPAKKPAKEVTLRRFGLDDVDAMMVWASDPQVAAACRWEPYESTEPLLAFIRDAVLPHPWFRAICLAGDDRPVGAISVSPTEDACRAELGYVLARAHWGKGVATAAVRRAVAAAFGEVEGLQRVEALVDAANLASQRVLEKAGFRREAVLRRYCVLKGAVKDIVIFSFISTDTVLVE >SECCE6Rv1G0386300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:94576989:94578278:1 gene:SECCE6Rv1G0386300 transcript:SECCE6Rv1G0386300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAAEGGRRYALLLAVNDSDYARKAHGGYRNVFVRALCSGERDEVWDCYRVIDGEFPAAEDLGLYDGFVVSGSPHDAHGDGAPCWVRRLCLLLRTVHAMGKRVLGVCFGHQALCRALGGRVRRSPTGWDVGVKEVTFVDDLEWPFEFLPVEPPRSASIIEVHQDEVWEVPPDGKVLAYSDKTRVEMFAVGDNALGIQGHPEYTNDILLNLTNRLVNNSTIDGCVGEDARRTAESGQPDREFWTGLCKAFLKGSGCAGGGAPRLPPQGPAPELSCSHQVAHFPATAAPIGL >SECCE4Rv1G0232320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:196253166:196256015:-1 gene:SECCE4Rv1G0232320 transcript:SECCE4Rv1G0232320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPVNTVFDAKRLIGRRVSDPSVQSDMKLWPFKVIAGPGDKPMIVVQYKGEEKEFAAEEISSMVLIKMREIAEAYLGSSIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDEIEKMVQEAEKYKSEDEEHKKKVESKNALENYAYNMRNTIKDDKIASKLAADDKKKIEDAIDQAIQWLDGNQLAEADEFDDKMKELEGLCNPIIAKMYQGAGADMAGGMDEDDAPPAAGGAGPKIEEVD >SECCE1Rv1G0016800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:142806278:142807341:-1 gene:SECCE1Rv1G0016800 transcript:SECCE1Rv1G0016800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDAAEAQRARAANGSGGGSSNRIQVSNTKKPLFFYVNLAKRYMQQHGEVELSALGMAIATVVTVAEILKNNGFAFETRIRTSTVEIKDEMRGRPIQKAKIEIVLRKSDKFDELMATAAAEAAEEDEEE >SECCE5Rv1G0324270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:445040737:445043694:1 gene:SECCE5Rv1G0324270 transcript:SECCE5Rv1G0324270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACFGNSLCTPICRDMGMEVVGAEAAPAQVKVADEEVTLFQDKESQATAKEREEAAVFGSDNGKAAANDMAPPKDAAEEWPEPKQTYTFYFVKVRSFEDPKLRAKLEQAEKDFQNKIQARSKIIDAIKAKKTERAAVLAELRPLSAENRQYNEAFNEKLEEMKPYRNRLGKFRDENNAMRAESAGLCSSLEELEHEIKRLNHRISHESISLDEEKRLIKEIKNLEKTRPKVSSNAAKRAKMQDTVVERDAIQDQVKLIGDGIDGVKKERQAVRSKIKVLDDEMRVVDGEIALLQEDLNAATARKDKAYESLTELRKARDLANASFHQNRIVLNRARDFSSRNEVEELQELHKTEVEKFMTQWCSSKTFREDYEKRILTSLNGRQLTRDGRMRNPDEKPIFIETHQPAAPVQQEPIPSKAPLKQAKEAAAQVVAPKEEPLAKASAKSAKVKAAVDADDDAYEAEPPKEKPKPKEVDVAKLKEIKRQEEIEKNRLALERKKKQAEKQAAKAAARAQKEAEKKLKKEEKKTKKKTEVADTDEPTDSDTKSDEAAETQAEDEFTPVSVVNKEQKQNTRSRNVVTKTKAPLPKAILKRKKAQSYRSWATPTVVISAIAVLLALLAALGYYQYYRPASTSN >SECCE3Rv1G0201450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:864241493:864244804:1 gene:SECCE3Rv1G0201450 transcript:SECCE3Rv1G0201450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPESSDLSLGLHPHAHANAHARVHARAATPLRLFDDSDDVKTEGSVGGGGDGDDGDDEGADQHFSLLGHSLCVKRPRRGLYGGGGGGGEASSCSSSSAAMRPAKRQASGADLEARRGAVRAWGNQPLAEADPDVHALMERERERQVRGIELIASENFVCRAVLDALGSHLTNKYSEGHPGARYYGGNQHIDAIERLCHERACTAFGLDPACWGVNVQPYSCTSANLAVYTGLLLPNDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESLSYKVNPQTGYIDYDKLEDRAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRKGKNLRKRSGSFSQGDENDYDFEDKINFAVFPSLQGGPHNNHIAALAITLKQVATPEYKAYIQQVKKNAQALASALLSRKCRLVTGGTDNHLVLWDLRTFGLTGKNFEKVCELCHISINKTPIYGDNGSISPGGVRIGTPAMTTRGCLEEDFEMIADFLIRATHIAINVLKQHGKVQKEFLRGLENNKDIIELGNQVEAFASQFAMPGFDV >SECCE7Rv1G0465150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:67274804:67277215:1 gene:SECCE7Rv1G0465150 transcript:SECCE7Rv1G0465150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFAQGHFGYGQAPGLAPWCDMVGAGGFLEDCWDQQLLDAPGAPNAEDHWEPVSSWDQSEEASSEGKAAAPEPAMAAAATVRRKRRRTKIVKNKEEVESQRRTHIAVERNRRRQMNEYLAALRSLMPQSYAQRGDQASIVGGAINYVKELEQLVQSLEVQKSVKSRTGQSPFASSFTFPQYSISRDRNGTHATASDGSSGADSSGIKSEAGVADIEVTMVEGHASLKVLARRRPKQLLRLVAGLHQLRIPPLHLNVTTVDAMVLYSFSLKVEDGSKLGSVEDIAAAVHEILARIQREEEDAGRLSSSS >SECCE7Rv1G0493270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:566038926:566041827:-1 gene:SECCE7Rv1G0493270 transcript:SECCE7Rv1G0493270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRKELLSSAPWRTGEAEEDDEASRMSREGKVTVTSNPGETPTMNMPRSKRPDLDLAVDDFEEDEIDPELRYSFQRNSRFLRRVFTVDTLVKPLPPVMAYSVSRNVNFFFKIFTQFWDEEGIANAQRSLGLGSEDSSRRFR >SECCE4Rv1G0248280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:569904337:569905275:1 gene:SECCE4Rv1G0248280 transcript:SECCE4Rv1G0248280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-9 [Source:Projected from Arabidopsis thaliana (AT1G21970) UniProtKB/Swiss-Prot;Acc:Q9SFD8] MENDGVPNGAAAPAPTQATPVIREQDRLMPIANVIRIMRRALPAHAKISDDAKEAIQECVSEFISFVTGEANERCHMEHRKTVNAEDIVWALNRLGFDDYVLPLSVFLHRMRDPEVGNGGAAAGDSRAVTSEPPRAAAPVLHAVTSAPPRAPGPVLHAVPLRAHMQRPMYAPPAPVQVQNQMQRPMAMYAPPAPVQVQNQVQRPMYALPAPVQVQIPRGIYAPPRAPVHGYVVGMAPVRANVAGERTMAQQYYGYGYGEGAYGAGSSNGGIACGDEESSSNGVPAPGEGTEEPEPEQEPAAEEPHDNTVQSG >SECCE1Rv1G0057350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693266974:693267827:-1 gene:SECCE1Rv1G0057350 transcript:SECCE1Rv1G0057350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGQKGLESAIVCLLVLGLVLEQVRVEGVDCGANPFKVACFNSCLLGPSTVFQCADFCACRIPADLASVRSSDEPNAIEYCSLGCRSSVCDKVINTADNTEEMKLYVKRCGDACDSFCKGDTLLASLDD >SECCE1Rv1G0051720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:656533321:656534169:1 gene:SECCE1Rv1G0051720 transcript:SECCE1Rv1G0051720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin-related lectin 19 [Source:Projected from Arabidopsis thaliana (AT1G73040) UniProtKB/Swiss-Prot;Acc:Q9SSM3] MFVCTYQPPPPPRKMVASKKLMKVGPWGGTGGNPWDDGGHTGIRGVTLSYDHRCVVSIAVEYDRSGLAVPGERHGGAGGNHTTQIKLSYPDEHLTAVSGRYGAVAPGGSPVIRSLAFRTERAAYGPFGAAEGTPFEFAVEGGVIVGFCGRSGWQLDAVGMYVAPLRPEKLYDKVHHLGLMAYRSVMHRLGPAPAPPQDELQEARAQHQRQNGSVVQTSRKNY >SECCE4Rv1G0231700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:187014295:187014903:-1 gene:SECCE4Rv1G0231700 transcript:SECCE4Rv1G0231700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNKERGSDTNSRGLGGYYPSAHSSPPIAYPAYPPQAGHGCPPAYYPPQPQGYGHGYPSAGAYGYPPSSYPHHQGGYHGHGGSLLVAGGAAAAAAYGAHHMNHGHHGHGGYYHRPAGYHHHYGGKFKKHGRYGYGHGKFKHGKHMRLFGGKHGLFGGKHKWK >SECCE3Rv1G0166530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:187625763:187627923:1 gene:SECCE3Rv1G0166530 transcript:SECCE3Rv1G0166530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKMDEVHLHIEHKGLPGGEFGGVRASMSKPPTSSTSRPNSMVVKKVCPREYIPPHIVAEAISTLHGLDLRWSGPITPSERLYVEQYVMAKYPQYSHGLIEEESCDKDDLYSTYYSTGSLSASPEGGSGERRRPSPTGSPSSARPDIDMVRLEPSRLLDILTKKSSFTGSFISIPEIQARNRVLRHCGLTDDEYLVLFAATPKAAMMLIGESYPFFRSNYYMSILADDRDCIHAFAAYKEAKVIAAPESWLDLRIKGSQLSQYFRRKSKLTHKGLFAYPAVSAAAPATDDGIAPPPPRYSMHWVSEAHRNGWHVLLDATALVVGEDRLPLSLHRPDLVMCTLDDTHSQQPSAKVTCLLVRRRSFDTSALPQPQQKQ >SECCE6Rv1G0419800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:673035045:673036661:-1 gene:SECCE6Rv1G0419800 transcript:SECCE6Rv1G0419800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDQQFKVLEVPPIVQELVGAGVQEPPSQYVLPEHDRPAAAVSEMPEPIPIIDLSLLSAGSAEEFDKLRSALENWDLFLAVGHGMEPSFLAEAMKATREFFNLPLEEKQKYSNIVDGEKLGMDGYGNDMVVKESQVLDWNDRLNLLVEPESLRTYRLWPTQPASFRDILSEYTVKCKAAANLVFRNMAKILNLQEEHLVNMIGENSITQAIFNYYPQCPRPDHVLGLKAHTDGSIITVNFADAEGLQLQKNGIWYNVPIVPNALVMNVGDIMEILSNGFFKSLVHRVVTNAEKERLSLVLVYTLELETELAPVSVLVDDKRPARYMKIKLNDYMEKYHDTYATGTLAIDGVKI >SECCE6Rv1G0425850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:710111979:710113754:1 gene:SECCE6Rv1G0425850 transcript:SECCE6Rv1G0425850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKELIAKCGGFPGVIAAIASTLVTKAGTWKNTLHSLNQRLMKHLEIDPEFDSLQGLFHWMHSIILNPPDFLKPCIFYLSIFPRDYYIRRRRLLRRWIAEGYSKQSADKHVEENAENFFSELVQLSIFQPVTQLARNDTRMFFYQVNSLIREYIISRQVEENLVFELSADFAPTIQSRGRHLIISESWDRDKIAFESIDFSRLRSLTVFGRWESFFISKSMKLLGVLDLEDAIDLEDSHLEKIVKLLHCLKFLSLRGCKNISHLPASLGDLRQLQTLDIRYTSIVTLPASFAELQKLQYLRAGTNFNSASTPTASSSCLPVLCKRRCQAGVKVPRGIRKLTGLHTLGVVNVAASRGKVVIKNLKKLTQLRKLGVSGINRKNSRDFFDAFIQGYAHLESLSVQLDKNNQGCLDGVTLPLENLRSLKLYGLNDKLPEWSERLNKLEKLDLEMATLTENDMEFLGKLPQLCILRVKLLQDDELHFRVLTSGFEDVSCQKVKVLQIACGCSSSSLHVRFGSETMKKLELLKIDCCHGSPLYQFSGLGNLTELKKVLLLNGTNAQTLKEQLEGQLTEHPNSVKPVVQLEAPPRSS >SECCE3Rv1G0212530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:955908905:955909264:1 gene:SECCE3Rv1G0212530 transcript:SECCE3Rv1G0212530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIFTILASIAAACSDRKNLPKALISGGIFKSAAALCLVLFQGPGGVFLHHGKGAYYLYYGILVAIAVFGLVEAAVGGWVSGRLDDRHAAGKAVLCVSFLPLICVAAFGGFVVLRKVN >SECCE5Rv1G0364800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802916339:802916836:-1 gene:SECCE5Rv1G0364800 transcript:SECCE5Rv1G0364800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDEEVLSLALALTTGSSTSSTTSADSAGSPAKKSRRRTRCAVEATSGEGEFVCKTCGRAFASFQALGGHRTSHLRGRHELELGVGVARAIRERRRRENKQHECSICGMGFIMGQALGGHMRRHREEMALRGGGVALLPGQVVTGHQVTSNQPPVLLELFVD >SECCE6Rv1G0429660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737130200:737130649:-1 gene:SECCE6Rv1G0429660 transcript:SECCE6Rv1G0429660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHTAPLLVAILSILLTATRATLTKAPVPAPAAKPTAYEMLGRYGFPPGILPQGVHDYELRPDGSFEVHFTDECKLRIDGYDIHYSTRVAGNIKNDTISGLEGIKVKVFIAWISIEDVGRDGNELRLHTRVISKSFSVDVFSSSPKCN >SECCE4Rv1G0241380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448969795:448970175:-1 gene:SECCE4Rv1G0241380 transcript:SECCE4Rv1G0241380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTLLLLVATLVASATAAAATVELVVQGRTTDVAGWMRPGHSSCQGMVEECLREHDGGFGLRRRLVYEEEEAGGGYPTPYISYSALMRDSVPCSVPGASYYNCHPGAVANPYSRGCSAITQCRD >SECCEUnv1G0544350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:128403154:128404293:1 gene:SECCEUnv1G0544350 transcript:SECCEUnv1G0544350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPTIKVPDDLLVEVISRVPYKSTCCCKCVSTRWRDLIAHPDHREKLPQSTLAGFFHTTSATHDHQHLSHGYLSVSGNWCPHDASLSFLPEYESLQILDCCNGLLLCRGRKSSVPGTLSDPKTLDYVVCNPATEKWVTVPATEWSWLVSSACLGFDPAVSSHFYVFELIPALAWTWYKRDDYSIEAVGIYSSKDGVWKHPIVWDDPTEILYLSGGAFLSGVLYLCSDNNSVAAVDLEGTCRIIPLPTSHDAPGGPNVYVSRGQLHLTIQGASQLSFWVLQDSSSENCWTLKLNISYLRLFGIDYSSSEQFYGVISAHPEHNMIFMIKGFRLWYRPETKLFSYAMDSGELRFICDLPWNSRLPYLSYVPLFSESLGDGL >SECCE1Rv1G0027440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:382603468:382603755:-1 gene:SECCE1Rv1G0027440 transcript:SECCE1Rv1G0027440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAAVAMCALLALVVVGPPSVAAQDCDAGKLIVCAAAIIGGAEPSESCCSNLKAQQGCLCKYASNPAYSGYINSPTARKTLASCGIPIPTCPQ >SECCE7Rv1G0485950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:390596290:390603015:1 gene:SECCE7Rv1G0485950 transcript:SECCE7Rv1G0485950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP38, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01480) UniProtKB/Swiss-Prot;Acc:Q9SSA5] MAAALASSRCCRRPSLLTTDRRRRSVARCALSGGKGNSFSWKECAVSVALSVGLITGPPAFGWSAYAYPLEPVIPDISVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKVSGVRALDSVERNVRQASRALTNGRSLILSGLAESKRANGEKTLDKLAVGLEELQRLIEDRNRNAVAPKQKELLNYVGTVEEDMVDGFPYEVPEEYNNMPLLKGRATVDMTVKIKDNPNVEDCVFRIVLDGYNAPVTSGNFVDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTGKSRTIPLEIMVDGDKAPIYGETLEELGLYKAQTKLPFNAFGTMAMAREEFDDNSASSQVFWLLKESELTPSNSNILDGRYSVFGYVTENEDFLADLKVGDVIESIQVVSGLDNLVNPSYKIVG >SECCE2Rv1G0083930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172299548:172299988:1 gene:SECCE2Rv1G0083930 transcript:SECCE2Rv1G0083930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNSAIFLLGLLLSCVAMSGAARILEDTVPSKEEHQPEVPSLPKVELPPFPEVHLPPKPELPKVELPPVPEVHLPPKPEMPKVELPPILEVHMPPKPELPKVEVPAFPEVHLPPKPEMPKVELPPMPKMPTVPGIHFLEPEAKP >SECCEUnv1G0527400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1198123:1198722:1 gene:SECCEUnv1G0527400 transcript:SECCEUnv1G0527400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSIVFSVIVFMLLSGAITTQAGGSGGDKPKATGLVMEACKNASSESQDPGVTKEFCLSILQSDSRSAKAKDLRDLVLISIDILKGRVSDAGVKVKKMLQNAKKGTLTMYALSICELQYEKVARTLNICHAMIKDHQGDKGDLRSLGLPHFVDMANEAIQECENELAYVAGGEALLSEYEGLRILANLNSALVEPYI >SECCE5Rv1G0324920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:458685795:458686145:1 gene:SECCE5Rv1G0324920 transcript:SECCE5Rv1G0324920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDQMVVVRCADLVRVEVPASLAQRAGRVAAALEAGERVVELPRGVSGKGLATAAAYYEARAEAEAYGVDGAEFDGEFVQGLTHDTAIDLIYAAHHLGDQALFNLFVGYRASYF >SECCEUnv1G0538730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74155993:74157246:1 gene:SECCEUnv1G0538730 transcript:SECCEUnv1G0538730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPTAAMLLPDDIILEILLRVSTDSATLFRCAATSKRWRALIADRPFLQSCWTANARHPSLRLGFLIQQQRNKEDINSPYPPLFVPPLGSMLGARPRLLTDILSCVPDGLLDGAKPLAERHGLLVVRLFRGVLGDSVRIAVCDLRVGACNVLPHLACEASFITCTIVTGEDCPSLQGKRPSSSGDYSAFFKVLALVVSGHKRDANEECNLYTFSSAEPAWGAPTKCFEKMSGICRGGFSLLQWKYDNAVVSQGVAHWLAWYSSEVGASAKYYSLDVSAETDLVSLTEISVPFYQLPDNSSMLPMLSVAADRSLAMFCARKEFGIWYIDMWTRGNGTDSGVWLRTKAVKLIVEIRPYARMWREEKGGTLLIVDDSWVVRWVDIENLRMEVEHFPGGIGLEAMPMHVDWPVLFLSLVG >SECCE2Rv1G0082140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:148168456:148168731:-1 gene:SECCE2Rv1G0082140 transcript:SECCE2Rv1G0082140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGIPSQREMTVEEFKEWLKQFDVDGDGRISRDELREAIRRRGGWFTTLRAGRAVRRADRDNSGFVDAAEVENLVAFAQKDLGMRISAW >SECCE5Rv1G0318340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:359657908:359658480:-1 gene:SECCE5Rv1G0318340 transcript:SECCE5Rv1G0318340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTAMQHMDSPAHASSSSASPWQQLLAAQRGHLLPYEYEDHPSVPAAAHAPAAHQQHRPARRVARRRPRPSRRLPTTYISADPAEFRRMVHQVTGADELLLPPAQQQTEGPLLPALAARAALSSAGGTLMLPTLDTSAFLLGACRARPSTARTGAMPAPTTPDGSLPLDSTSGGSSSCGFPTLESWDLL >SECCE6Rv1G0381310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:31836881:31838188:-1 gene:SECCE6Rv1G0381310 transcript:SECCE6Rv1G0381310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFRRDRARPSATLPPYTNGWLWRRQHRHRTRRAGADGKKALPGVSSSATDSIRCGATCRHSVNAVATHVDAISRSRPPPAQFLHHLALGFFHQDARAPRASPARPPRFVPTEAASRLLGPRPQLGHVAAGLFDHARPVASRNGRVVLELRRQARADGVTLSVCNPMTGEVSVLPALSGDHCPGHYVCAILTGDDLDVPAPPGFFRVLLVYNRRSFTALRSCCSADADAGVGCRWGPEERKPGAKISDDMLRGLGHAVVVGGAAYWPMHHEAFGVRLDGAPSEPMDVCPVPYRQSHYYAGERLLGTSADGKRLSFLYVGFLGCTDFFINLETQTAAGGEWEGQEQARLKLISLPELGITMKTAFKLRWFGEKSGTLMFTVGEGGGCTSQGVFMLNITTGCLEKLADGVECHACKYLCGYEMDREALIASSVDRS >SECCE5Rv1G0299100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14723238:14728103:-1 gene:SECCE5Rv1G0299100 transcript:SECCE5Rv1G0299100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGLVSAATGALQPAMGKLAALLGDEYKGVRGEVELLTRELAAMDAFLLATSSSDPIPSAQDKACMHEIRELSYDIDDDLDDFIIMASVSDKSAELAGLLDKMKTTVGRTKARHHQMIAKAVDDLKEQVVKVAERHTHKRSKVDRRAPKLVDDAPRLVGLDGPKQELIQLLAAGSDSESTQQQPNPNPNLVAVVGSVGTGKTTLANQVYQALKAQFRCHAFCSLPRDADKVQVLRFIYNQLKRPYSPSPDAKDLPQLVAMISDLLQDTRYLIVLDDVWDVETWKVIECAFPTSSGSKIITTTRIHVVAQSCCSTFNGHVYNIRPLSMAHSRQLFYTRLFNSEEKCPSYLEGISDQILDKCAGLPSAIISISGVLTDKASENGIWEQVNDSIGRALRNPSVEDMAINIISLSYMDLPPHLKSCLLYLSIFPEGHIIEKENLIRRWIGEGFIQKKSGYTVYESGEMCFNDLINRSLIQAAKMDETFGDEVKSCRLHDTIHDFILSKAVEENFVTIVGVLGVINPVPKAKIRRVSLQNDGEIPPSLDVSSARSLHVFGRNVKIPSLSEFRLLRVLDFEDCSQLEDDHLEGIANLVHLKYLRFKHAKAVTKIPGQVARLQHLEIDVNGYSKLMEIPMPIQERLACYVTLHVDGYETVPDEIAAMQGLRVLEGLNIYTQSTEFLKRLGQLKKLRRLGIIWNMYDMSNCDVNADKEEEEVEEDEKEFLSSICELGKAGLESLHIYVKEAADAYFKLSWFPDPQCGLRELIIVGDSLSEVPTWVGSLVNLEKLCITMQVINERDIEILRGLPSLRHLRIYADEDDASESRAAMEKAMEEHPNHPTLVWYEY >SECCE6Rv1G0389180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:147155383:147157632:-1 gene:SECCE6Rv1G0389180 transcript:SECCE6Rv1G0389180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALTLLLLVLLPARHALATAAGDAHPGYAGAEADTCGAALGGSSPSGGGAAGRRHGPALEEYGGGRIVDITHAYRPSMPAFAPGATVGPLVRLKASMEDGSEYNLSELRMECHMGTHVDAPGHMNQAHFAAGLDVDTLDLDVLNGPALLVDVPRHANITAESMKSLNIPKGVRRVLFRTLNTDRGLMWKAAGDMSYVGFTEDGAQWLVDNTDIKLVGIDYISVAAFDHLISAHVAFFKNADIILVEALKLDNVNTGLYMLHCLPLRLVGSEGSPIRCILIK >SECCE3Rv1G0168620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:224425290:224428968:1 gene:SECCE3Rv1G0168620 transcript:SECCE3Rv1G0168620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLELDYIGLSAAAGGRADDDLKGTELRLGLPGCESPDRRSVAATTTLDLLPAKGAKRGFSDEAVPPAPVSAAGKGNEASGDEKDKKVAAPPQPAAKAQVVGWPPVRSYRKNTMATTTNQLKSSKEDSDTKQGQEFLYVKVSMDGAPYLRKVDLKTYKNYKDMVVALGKMFIGFRSGKDGEYVMTYEDKDGDWMLVGDVPWEMFAESCRKIRVMKSSDVVGLVTRAGVKSKNKN >SECCE2Rv1G0082600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:154405392:154411481:1 gene:SECCE2Rv1G0082600 transcript:SECCE2Rv1G0082600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARQLHGLLRLPPPRPLRPVVVPPTPCRARPPLASLRAPHPPSPLPKKRLSSASEAKSETAAALLGEAEEGNGGTEDEQREAQERAEGYVESVGAGDPAGPPAHHLAVRAGLGDPVFFLLTFVAVTTSVAFISMVAVAIPTMLAMRRAANSFALLADVALDELPSTMTALRLSGMEISDFTLELSDLSQVIADGVNKSTNIVQAVEDGIGQMRNITRQKTKCMCP >SECCE6Rv1G0431480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746588569:746590527:1 gene:SECCE6Rv1G0431480 transcript:SECCE6Rv1G0431480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISIFGDSGVGKRTVVHNIYHNKKVTDHFKIRVSYRMTEDSTTEDLLKRIYDEAHKGRQQPQHDNGMIIGDKLRFLLEKMRYLVVISGLSCKTMLNRVRASLPDDNNGSRVVLILDIESEEVAWHANTMNKHGINGVHLLSRLDEKRSGQLFYSRAFRKEQSGRKEDVSKYNKIVYDITGGYPLAIVVLAGLLRFKEKPGQWEAVLQQLGPGMEEEQDGVGNKIIQAVLSKEKRTEWQTSPAARANMSTKRTIERVFWASFEDLPNDLKSCFLYLAAYPKNVIMHANITVFMWIAEGFIKPQKGKIMEEIGHNYIKELVLRCLVQVEEMNAAGSITKISVHKSLHGFLHSEAHEAGFIEVHDIHDAFVPPSVRRLSYQSLEGRFTIFTNKFHKLHSFICYVEYRSIYSTGLSNKYCHDLKFLRWSKFLRLISVRGLRLDRLPDEIGDMVHLRYLRVECRNLKKLPSSIRRLLNLQTLDIRNTQVEKIDPCFWQIKVLRHVLADNLALPVSIKEELDELQTLHGVKPAEEEGWDQLNCPLHKMTRLRSLELHGFKRSEHKAALENALTRMHLLGNLKLQGDKIPSRVFTGQHLQNLQIVELDGTVKWPEAGWDVRKVRPNLVELTVVKHSSEDVPQHIKDELRVMLKEKIAI >SECCE2Rv1G0107780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:654483738:654486112:1 gene:SECCE2Rv1G0107780 transcript:SECCE2Rv1G0107780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMGRVRRPPLLAAWLALGFACHCALHHAPAAAVTLSTASRWVVDEAGDRVKLACVNWPSHLEPMLAEGLGKRPVGAIAGDVAAMGFNCVRLTWPTFLVTNASYSSLTVEQSFQRLNLTESLAGIRANNPAVVDLKLIDAFKSVVSSLGENNVMVILDNHVSKPGWCCDNADGNGFFGDGYFQPDVWVDGLTKMATMFAGVPHVVGMSLRNELRGPRQNSNDWYKYMQRGAEAVHAANPRVLVILSGLSFDNDLAFLNSRQVSLSFARKAAFEVHWYSFSNGQEWAAGNPNEVCARIGASVSRRALYLLDQGWPVFLSEFGVDNRGGNANDNRYYGCAAAVAADLDLDWALWTLQGSYYLRQGVLDLDEVYGVLDRAWSRPRNDTALRRVQPLQRPLRGPGYAEAAPYTVLFHPATGLCVLRRSLTQPLELGSCADTEAWEYAPQQGRLALRDSPLMCLRAQGAGRTVRLGMPCDGDMSRWRLVSDSKLHVAVNASSSSSGSGSGGGSMLCLDVGADGRSVVSNPCRCLSADNSCDPESQWFKLVSSTRSVAPNSMLAELPVKLGSSKIRSL >SECCE1Rv1G0003420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13814431:13817876:-1 gene:SECCE1Rv1G0003420 transcript:SECCE1Rv1G0003420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKYNDSHWKEVELINGYALLVAYVMSAIRGIGYLVVTWTTVVLLGGFVSTIVKDDFWCLALITLIEILWINASFIQRIRKVDHLFLSLKTVNSLLKRNWQLADTADVDDPEPSIIKRVFVAVRVVVSWVLVLVHTLVSYMCIVLVMCLMVFGLFVTTFLSMVGLIMDHDYYGDTDEGMTNLDPAHHVLYVLCVAQGALFLYFIILGRSEKRIVKQVSKAYGFQDKGRAIRGYMNKCRSDPSPAGERNLITYAVHLIQSQSSTSCLSGTLILDRLITPQTYTGGHLNEKKKKTKHQQSEKEIFLQQRRVIKHMIGSATSAHILQKLLQTLDSRHTNDRKMREAAARIVEFFASQIRLEQFPQGIQSISSLINTFEEYRRLQPYQSSSPSRSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSNEAANISPSSNINNGQDQEQAISSSLGSEPEPNWSTKLFGGYKELVLTGLRILWCLAASEHNCMIISETKHLVYKIMSPVSSDLVHRTHHSTWSTTVVQKALMVMLRLVTTEGETGAELRRQISGDDKAITTMENIVKCEECKGRSMQMKAMQILTQLCVDKTANRCTFTKMLLSIFIKRDSPIRKAAGKTLVGLFLGSKSVANLLPKEENDEFLGDLAAVLLQAGAGDTDECRTNAAEILEHLCINYTENVEYLGTLKNAITWVMPEVVREIRFGSIEGEGTPEYAISDTDVESQRETKKKKKKNTSSSPRQNQQHKLHVALLSLCVTACDKLHLDFDAILMGEGRNQDTYEGKYVTFHFAMRLVQLNRGLITTDSLTALKLTTRMVIAAMQKLRGHRAGVERDDIESLMESLSSVSKTVMDLESSMVFATGTTTMVPATADTLDSLVKQAQLLHGEIKHQDMEIVPASELAL >SECCEUnv1G0547720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:173414480:173415942:1 gene:SECCEUnv1G0547720 transcript:SECCEUnv1G0547720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSQTSSTTAAATSSALLSLTSGALQTQSLGVMASTSPSPSLTGLVTVKLSHDTHLLWKAQILPALRGAHWMSLADGSEPAPDKVIPGADGAPPVPNPEYLAWVTRDQHCLSFLLSTLSNNTLLQVLFLPSSAAVWSALERTFATRSRAHVMQLRRQLATIQKRDLSMVDYFTKVKGLTDALAAAGKQLDDEDIIIYLLTGLDSSYDPLVTSVTTRAEAISLNDLYSHMLDFEIRHQHNDHTFQLPGPGGPSVNQVSRNSGGGGGGGGGNGGNRPRNSGGNRPRNSGGGGAGGNGGNTNRTCNSPGHHHNCGDPSHNHTRGCGAPNAGRNTGKSDVFCQLCKRAGHDVFDCYRRFDHSFVRKERSINNVSTGQGFDPAWYLDSGATDHITGDLDKLTMHERYTGKDQVHAANGSGSGFEEGSSSRGH >SECCE4Rv1G0218470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:22525853:22527574:-1 gene:SECCE4Rv1G0218470 transcript:SECCE4Rv1G0218470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGSSSSSSLLHVVICPWLAFGHLLPCLDIAERLASRGHRVSFISTPRNIARLPPVRPAVAPLVDFVALPLPRVDGLPEGAESTNDVPHDQFELLRKAFDGLAAPFSEFLRAACSDRTSSRPDWLIVDTFHHWAAAAAIENKVPCVMLLLGAATVIAAWASGASEHAAAAVEKEQSEAEAPSFETERRRLMITQNASGMTVAERYFLTLMRSNLVAIRSCAEWEPESVTALTTLAGKPVVTLGLLPPSPEGGRGFSKEDAAVRWLDSQPAKSVVYVALGSEVPLHTEQVHELALGLELSGARFLWALRKPTGVPDAAILPPGFEDRTRGRGLVVTGWVPQISVLAHGAVAAFLTHCGWNSTIEGLLFGHPLIMLPISSDQGPNARLMEGRKVGMQVPRNVSDGSFSRDDVAATVRAVAMEEDGRSVFTANARKMQEIVADGACHERCIDGFIQQLRSYKA >SECCE3Rv1G0143830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2232764:2235125:-1 gene:SECCE3Rv1G0143830 transcript:SECCE3Rv1G0143830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIAFHFHNLTVLEALTVLFLLAVLLPNHVQGRHHRHDCPSFSCGPLRNVSSPFRQAGDPPWCGFQSYELVCSDTRATIRINNATYHVSRIIYYNDIVSYFLVVDAVLDLPNRCPLPQWNRPFYEHKYDPGDSHPKGELVLLADSVASFVRCSQEVRNNGMYMPVTCMSTSHSFVYVLTGIHSGAVGSLEPSCGYLAMTPLGRWDTPQIANASYADTIESMRNGFALRYPTRRGITKCLLVRFRRELGEVKTVKDWFLFLATIDLLFGACMIDALLAQVPHNNVYNLSEYSRMIAAALWHVKWIAVLCRFVLAPMAVLIFLGHKYLKTRVTIDAVEKFLRLQQMLGPTRYAYTDITAITSHFRDKLGQGGYGSVFKGVLLPGNVHVAVKMLEGNSSCNGEDFISEVSTIGRIHHVNVVHLMGFCSEEMRRALVYEYMPRGSLDKYIFSADKSFSWDKLNDIALGIARGINYLHLGCDMQILHFDIKPHNILLDNNFIPKIADFGLAKLYPRDNSFVPLSVLRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQSYYPSWVYDRLTQQEAGEISVSVADMHELERKLCIVGLWCIQMRPQDRPTMSEVVEMLEGGADTLQMPSRPFFCDEGHTDTDDSYHLSSELNEISEEDTSENIDE >SECCE3Rv1G0180220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:568370357:568372500:-1 gene:SECCE3Rv1G0180220 transcript:SECCE3Rv1G0180220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGARGVRREYGRTYVVRPKGRHLATIVWLHGIGDNGSSWSQVLGNLPLDNVKWICPTAPTRPVAAFGGFPCTAWFDVEETSVDGPDDVQGLDASAAHIANLLSSEPSDVRLGIGGFSMGAATALHSAACYAHGRFSNGAAYPITLSAIIGLSGWLPCSRTLRTKIESSQTAFRRAAALPIMLGHGRGDEVVTYRNGERSAEFLRNSGFSYLNFKAYNGLGHHTIPEEMDDVSKWLRARLGLDRSCG >SECCEUnv1G0556380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310691681:310692043:1 gene:SECCEUnv1G0556380 transcript:SECCEUnv1G0556380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLHEYDIFWTFLIIASLIPILAFSISGLLAPVSEGPEKLSSYESGIEPMGGAWVQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEALIFVLILVVGLVYAWRKGALEWS >SECCE5Rv1G0318180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:358413661:358416396:1 gene:SECCE5Rv1G0318180 transcript:SECCE5Rv1G0318180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSEAEPSSSPSQPKRLYQAWKGNNVFLCGGRIILGPDAASLLLSTFLVAGPAIVFCYQMQSKFFRSNGQPHMHRAAILIVVITTLLDLFFLFMTSARDPGIVPRNTRAPPPEADERNLPATPSMEWSVGGTPRMRFRRTKDVNVNGFTVKLKFCETCLRYRPPRSSHCSICNNCVQKFDHHCPWVGQCIGLRNYRYFFLFITTSTFLCISVLIFSWLNVHGEMQDNGGSIWKALRKEVYSFVLIIYTSIVVWFVGGLTVLHLYLISTNQTTYENFRYNYDKKDNPYRKSITKNFAEVFFTKIPPPLNDFRSHVGEGALEAGFYTPYIGLDVTSTREKIDTDMREKEVLVGGIQIPTVLQNIDYGSFEDSLYDKNRNNGNKTVAFAPAWAQKGSEDAGTSAAATTACKEETSEDDAKAISNSNTSSARTSTEANTMSEDEIVQDDAKESNAPDRSPAQSLKDMS >SECCEUnv1G0541910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98348663:98348959:1 gene:SECCEUnv1G0541910 transcript:SECCEUnv1G0541910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDFFEKERFDASEFIVLIPLPTRSMLLMIPAHDLIAMYLAIELQSLCFYVIAASKRKSEFSTEAGSKYLILGAFPSVILLFGCDRTTTDQFFGTYL >SECCE5Rv1G0308500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:132786264:132786878:1 gene:SECCE5Rv1G0308500 transcript:SECCE5Rv1G0308500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATARLIHPCMVVSKSPRAPPAPLFLHTHKPLTTALTSSHFTLHSVDVSKDDKPLDTALETKQEDATAAVGDLTTPLPGELDAEEDGPKLDPRRFEEQFAVLNTGVHECRSCGYLYDQAKGDPSYPVPPGLPFSKVPDDWRCPTCGAAQSFFDSKSVEIAGFAQNQQFGLGGNSLTSGQKTLLIYGSLLVGFAFFLSGYFLQ >SECCE4Rv1G0222550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:60412600:60416351:-1 gene:SECCE4Rv1G0222550 transcript:SECCE4Rv1G0222550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MLRIASRSGLLGGRRCYSAAAKGGLSISGVSDIIAVASGKGGVGKSTTAVNIAVALAKEFKLKVGLLDADIYGPSIPTMMHLHEKPEVSEDMKMIPIENHGVRCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGNLDVLVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGENSYIFGEGGAQRTAEDMDMKFLGEIPLEIDIRTGSDEGKPIVMSSPDSASAQAYLRVAEKVTQRLKELAEERLMGPEISL >SECCE4Rv1G0218370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:22233778:22239138:1 gene:SECCE4Rv1G0218370 transcript:SECCE4Rv1G0218370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEASTGSAQRGDTLPNGDVYVGNFDGLVPHGMGKYMWTDGSLYDGEWDKSKMTGRGMIQWPSGASYEGDFRGGFIDGTGTFKGVDGSVYKGSWRMNKKQGMGTMVYSNSDSYEGLWNEGLPDGYGKYTWAAGNIYIGSWKSGSMNGRGVMQWIHGDTLDCNWLSGLAHGKGYCKYASGACYIGTWDRGVKDGHGIFYQPGSKIPCNLEVSECATNNDGTSASSSSDEKVKVGLLFLLQNLCNKWGLRRFFHRPRRISNGTTPIFVDDSGNHLPQDLPNKSLSSNEGLQNSDVHKDFVYEREYIQGVLISEQPKGKHSGMLDSGETQENTWQKQERGPMETIYKGHRSYFLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIIMYFPCEGSQYTPPHCSVNFFWKDYCPMVFRNLREMFRIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKSELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKAGKKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQKINENTTLKDLDLAHAFHVDKSWREALFRQIALDSMFLESQSIIDYSMLLGIHFRAPNHLKAATSHQNTLESSGITSAIDCSVPLHCEDANSSKGFLLVAHEPGTTAGGSHIRGSMVRASEGGYEEVDLVLPGTGRFRVQLGVNMPARARKLLESTDAVEEYDVVLYLGIIDILQEYNTSKRVEHAVKSLKFDPLSISSVDPNLYSKRFVSFLERVFPQQD >SECCE1Rv1G0042690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:583430477:583431681:-1 gene:SECCE1Rv1G0042690 transcript:SECCE1Rv1G0042690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGALFFLFSSFCLLARHAAAGGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGAACGSCYELRCDNAGSSCRPGSILVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLHIAQYRAGIVPVSYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDARSVSIKGTRTGWQAMSRNWGMNWQSNTFLDGQCLSFRVTSSDGRTVTSNAAAPAGWHFGQTFEGAQF >SECCE5Rv1G0305440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:72505735:72507281:-1 gene:SECCE5Rv1G0305440 transcript:SECCE5Rv1G0305440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQDKPLKAARIAGREDQLARLPEDVLADVLRRVPPRWVAASRCVCKAWRDAIDAHGLLRADLLPLSFAGLFIHFDCHKYAEFFARPSARAVSGNLSFLPSTAPHAGTIWDDASDWGDYNIQNHCNGLLLLSNNHVVNPAIRRWNALPPCPAKDGTWYMWYGGHLVYDPMVSPHYEYEVFMVPCLRDKDDPHNRPDLLMDKSEWPPSLCKMYVFSSRSGCWEEKYFLREGDAAGIVSEMQVGWLEFRTAVYFRRALYIYSEAAFLMRISLSDTAYHVIKPPFDIKVNDYCLHTHIVRSEKGVYFVAFEDDKCWLRVWILNESCGQMEWMLKHDNDLNPVLARHRFDQRVHGPWILEDVNYNLFRSSHSSNEIKEASIEQIYEWNSDNDDDDVEDCHRNKDSRHREYDIQILGFHPHKEIVFMSAYQETGLAYHLNGSKIEVLGSIYPKEYSCFKELLNDMEYFTSFPYTPCWIGEFPHNNLVE >SECCE7Rv1G0467740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:90719885:90721341:-1 gene:SECCE7Rv1G0467740 transcript:SECCE7Rv1G0467740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSCYCRVDGGLKTVVNARKFVPGARLCMQPDVKPTKRKSRSSRKERCRTQAPLLPGLPDDLAISCLMRVPRLKHPNLRLVCKRWSRLLSGNYYYSLRKKFGMAEEWVYVFKRDRDQKISWHAFDPVHQLWKSLPPVPPEYSEAVGFGCAVLSGCYLYLFGGKNSVRGSMRRVVFYNTRTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLRSAEVYNPNKNRWSCITEMSIGIVPFIGVVYDGKWFLKGFDSHRQIVSEVYLPTSNMWSTTGNELVAGLRNPSISFNGRLYSVDCRDACKLRVYDGDKGLWTRFMDSRRHLGSSRSFEAVALVSLDGKICVIRNNMGITLVDVCDPTTVIEIDSARMWETFARKGQHRSFMANLWSTIAGRHLKTHIIHCQVLQV >SECCE7Rv1G0511500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803621740:803624732:1 gene:SECCE7Rv1G0511500 transcript:SECCE7Rv1G0511500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEGLRMLLAEASPWSVAGAAAAVALLWFAAWVLEWAWWTPRRLGRALRAQGIKGTRYRLFTGDIPENARFNAAARAQPMPLGSHDITPRVLPMFSNAIKEYGKVSFTWFGPTPRVMVPDPELVREILSNKFGHFGKQRSTRIGRLLANGLANHEGEKWAKHRRILNPAFHHEKIKRMLPVFSACCEEMIARWESSMSTEGLTEIDICPEFQNLTGDVISRTAFGSNFQEGMKIFQLQGELSERLIQGFQSIFIPGYWFLPTKNNRRMRAINREICMILRGIIGKKERAIKNGEASSDDLLGLLLESNMQESNGNANLGMSIDDIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQEQAREEVLHHFGRTTPDFENLGRLKIVTMIFYEVLRLYSPITALTRRTYKAMELGGVKYPAGVNLMLPILFIHHDHDIWGKDASEFNPQRFADGISNAAKHPAAFFPFGGGPRICIGQNFALLEAKMALSTILQRFSFELSPSYVHAPYTVITLQPQHGAPIRLKKI >SECCE2Rv1G0115190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:747241611:747242642:1 gene:SECCE2Rv1G0115190 transcript:SECCE2Rv1G0115190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYYRRTISFPTPKAAAAHEKLAAAYRVRSASLPCRFHPLVLQLDDDVAALRLVLGGQAPPSAPSVSAAASHIGRVLASLSELLHHPQAQEPLRRLGKSPLAERLLDDFLRLADAHGSFRQALVALAAHHAETRAALRRGDPGRLASAARAQRRAGRDLPRLAAAARAVATKAPTPLPEDLQPETAALGAAIADSTVAVASASAAVFSGVSSLSNAAAAARVEVASTPCWVTAASASASDAPRTSHQRVWWVADLVRWMSRAKRRSAGKQNTNIDDDASTSSTAQLRPEARMKPEERARKAAFELHDSLERCIASVDGSGEKVFRALINTRVSLLNILSPTF >SECCE1Rv1G0054440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:674926522:674926803:-1 gene:SECCE1Rv1G0054440 transcript:SECCE1Rv1G0054440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEIFEHVREVDCYPNISIAYGILFTVPVTVASAERSFSKLKLLKNYLRSTMTQERLNGLATLCIEKKLLDDIDIEPIISEFASRNVRRKF >SECCE1Rv1G0000080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636029:639572:1 gene:SECCE1Rv1G0000080 transcript:SECCE1Rv1G0000080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPLLLLLLLAAAASVSGTEVAYNDRALVIDGERRIVISGSIHYPRSTPEMWPDLIRKAKEGGLDAIETYVFWNGHEPRRRQYNFEGSYDIVRFFKEIQDAGMYAILRIGPYICGEWNYGGLPAWLREISGMQFRMHNHPFEQEMETFTTLIVDKLKEAKMFAGQGGPIILSQIENEYGNIMGKLNNNESASEYIHWCAAMANKQNVGVPWIMCQQDDDVPPNVINTCNGFYCHDWFPKRTDIPKIWTENWTGWFKAWDKPDFHRSAEDIAFSVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNIRQPKYGHLKDLHNVLKSMEKILLHGDYKDTPIGNNNVTMTKYTLDNSSACFISNKFDDKEVNVTLDGGATHVVPAWSVSILPDCKTVAYNSAKIKTQTSVMVKRPEVETVREGLAWSWMPENLQPFMTDEKGNFRKNELLEQIATSGDQSDYLWYRTSFEHKGEASYKLHVNTTGHELYAFVNGKLVGKQHSPNGGFVFQMETPVKLHSGKNYISLLSATIGLKNYGALFEMMPAGIVGGPVKLVDTVTNTTAYDLSNSSWSYKAGLAGEYRETHLDKADDRSQWSGGLNGTIPVHRPFTWYKATFEAPAGEEPVVADLLGLGKGVVWVNGNNLGRYWPSYVAADMGGCKQCDYRGTFKAEGDGQKCLTGCNEPSQRFYHVPRSFLKAGEPNTMVLFEEAGGDPTRVNFHTVAVGAACAEAAEVGDEVALACSHGRTISSVDVASLGVARGKCGAYEGGCESKAALAAFTAACVGKESCTVRHTKDFHAGSGCDSGVLTVQATC >SECCE3Rv1G0174400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:385776258:385803550:-1 gene:SECCE3Rv1G0174400 transcript:SECCE3Rv1G0174400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLPVLLLSLAAFSAASDEIDGCGGFVEASSGLAKSRRASESKFDYSDITVELCTVDGLVKESTQCAPNGYYFIPVYDKGSFIVKVKGPKGWSWKPELVRVVIDQNGCNGNADINFQFTGFTVSGKIVGAVGGKSCSKAGGPSGVKVELLSDLDELVASALTSSTGGYAFVNIIPGLYRLRASHPDYEIEMTGSSEVDLRFGNAVVDDVFFVSGYNIHGSVVAQGNPILGVHLYLYSNDVKEVPCPQDLTDAPREGALCHAVSGVDGKFSFRSMPCGSYDLLPYYRGENTVFDVSPSSLHVSVEHSHMTIPQKFQVTGFSVGGHVVDGYGAGIEGAKVIVDGQLRAVTDNLGYYRLDQVTSKKYDIVAEKDHYKFNRLKNFMILPNMESIDDIKSVRYDVCGVVQTVTPNSKAMVTLTHGPENVKPQRKMVSENGRFCFEVSTGEYRLSALPVDSEGSSSLLFSPGYIDVNVKCPLLDVEFSQSQVNVHGKILCKEKCNQNILLLLVRLAGGVEHETQTTSLEQDNANFVFTKVFPGKYRLEVKHSSSKAHANNDWCWDENTLDVDVGSDDVTNIVFVQKGYWIELVSSHDTEAYIRQPDSSKLELLIKEGSQRICIETPGQHELYLVNSCISFGTSPIVFDTQNPVLVHISAKQYLVRGEIHVDISSPLEEIDLLEDIVVDAFKSNGSSIDKISTMPVFAKSYQNGTTVFEYSTWTDLGEDFIFVPRDSSTRRKKILFYPSRHQFSVSANGCQDAVPSITAKMGLYLEGSVSPATPDVHIKILAAGNSKYAMLKKGDIATETKTNSDGLFFAGPLYEDIRYEVEASKAGYHLKQTGPYSFACQKLGQILVHIYGEKDTEMLPTVLLSLSGEGGYRKNSVSGSGGTFSFDNLFPRSYYLRALLKEYKFTPSTVAIDLNSGESRAVEFRATRVAFSAMGSVTLLTGQPKEGVFVEARSESRGYYEEATTDSFGRFRLRGLIPGSSYSIRVVAKDNLRLSAVERASPEYVSVDVDEDISGIGFVVFERPEATILSCHVEGNDIDMLQPHLSVEIRSAVDPSRIVSVVPVPLSYHVEVRNLPKGKHFVQLRSGLPSHTHIFESELVELDLEKQLQSHVGPLKYKTEERHHKQELTPAPVFPLIVGVFVVTVVISMPRLKDLYQSAVGMTSLSSGNTPSRKEPRKAVLRKRA >SECCE4Rv1G0246780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:548728416:548731987:-1 gene:SECCE4Rv1G0246780 transcript:SECCE4Rv1G0246780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRVLCKFFMHGACLKGEYCEFSHDWSDQANNVCTFYQRGSCSYGSRCRYDHVKVSRNNPVPPLPSSSTATRNPVRPPPSSSTATHVASTSLQLLSSGRPLHTGHQTNLSNQRQQISMDKPALSESKPAWRNEVQLDSVSEDGIDWSSIQTAQNQTSMKLADMPICSFAAGGNCPYGEECAHMHGDLCAFCGKMCLHPYRPDERQEHIKLCEKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRGNSPTSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKLEITENYKAKLKSIDCKYFDFGTGTCPFGTSCFYKHAYRDGRLEEVVLRHLDCDDGSTLIAKNIRLSDFLGRLHL >SECCE1Rv1G0013550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:106735635:106737463:-1 gene:SECCE1Rv1G0013550 transcript:SECCE1Rv1G0013550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRALLCPGLLCLAVLASGAAAAASHSQCTDNPPDLTAGGNETGAVVDDLAGFKAYVTGAAHSHRAVVLASDFYGFEAPLLRKIADKVGEAGYYVVVPDFFNGQPFTDGANRTEWFLAHSPVKAAEDAKPIFAALKKEKKSFVGVGGYCWGGKFAVEVAKTNEVESIVISHPSAVTTDDMKEIKCPIEILGAQNDAVTPPALVDQLVETLQQRNQIYYAKIFPEVAHGFACRYNVTNSFAVKTAEQALGLMLGWFHKYLK >SECCE2Rv1G0103840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:595499352:595501520:-1 gene:SECCE2Rv1G0103840 transcript:SECCE2Rv1G0103840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGASTSAATVSAISAHVATGRLFAALDTLTPSWSSSPIPSSLYASLLRLATQHCSLSAARRITAHFAASSYPASTSRSSVPTFLFNRAIECLAACGGLADARELFDLMPRRDGGSWNAIIYASSRAGKPTEALSLFTDMYSRGVRPNDVTMASVLACCSECLNLCGAQQLHGHISKRDFQSNVILGTALVDVYGKCHLLADARQAFDGISDPNAISWNVIIRRYLLAGMGDMAAEMFFRMVWAGVRPLVYTVSHAVLACRDNNALEEGRCLHTFVLRHGYEHHVHVRSSVVDMYAKCGAIDAAERLFNLAPVKDIIMSTSILSGLASCGRIADAKRVFDGMEERNLVSWNAMLTGYIRSLDLTGALHLFQQMRKETKELDDVTLGSVLNACTGMLDIGKGEEVHAFAFKCGLFSYPFLKDALLRMYSKCGCLRSAELLLQFEMGERDRNSWNSLISGYERHFMSEAALHALSEMQSEVTPNQSTFSSALAACANIFLLKHGMEIHAYMIRNGYEIDNILRSALIDMYSKCRLFDYGIRIFEAQPSRDVILWNSMIIGCAYSGKGEYGLELFDEMQVQGIKADSVTFLGALVSCISEGHVGLGKSYFTLMTEESIIPRMEHYECMVELLGKHGHMVELEDFVDHMPFEPTTTMWLRIFDCCREYGNRKLGERAAKCINDSNPQTPVRYAATADYMCSDGGSAESMSFGNPDEELMSPL >SECCE4Rv1G0231270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:180619671:180620006:-1 gene:SECCE4Rv1G0231270 transcript:SECCE4Rv1G0231270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSQSQSSVGGAGAAGSRPATAGPRGTPAATAGMRRRPGRTSSSASGGGGFSGGGGNNMLRFYTDEAPGLRLSPTMVLVMSVCFIGFVTALHVFGKLYRSRTAASASA >SECCE2Rv1G0142850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943055520:943057185:1 gene:SECCE2Rv1G0142850 transcript:SECCE2Rv1G0142850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWVVDMEKKLEDAELPVKVEKWPKHCIFRVPLHFKMVDGRVKSIYKPQTVSLGPFHHGDKDLKPMEEHKLRAVHHLLRRDSCKTTLAELIAAVEKMGDELEDAYMDLGDEWRGEKNRGKFLEMMITDGSFLLEVMRTAVGKKESIPKDYAHDPVFSWHGIQHIKPFVQRDMLMVENQLPLRLLQKIVAVEEGTSPSAASINSMVLKFLERKDGPHGTDKLGLHPLDIYRTSRLKIKGEKKIEAVVPRSAWKLSEAGIRFLPSKTGCLDDINLDNGRLYMPKVVLDDSTAYRIHNMMAFEAMHIGTGNDVTAYVLFVKDLVDSVDDVRLLERKGILEHDLADDHAAVVRLFNDLTRDVSKNWESQLCRVREAVEYHYRSNRMRVILYEWWANLRSKYFRSPWTLLALVTAILLVIGDIVQAVYAVLSYYKPDNDKPKMH >SECCE5Rv1G0376860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:874475211:874475792:-1 gene:SECCE5Rv1G0376860 transcript:SECCE5Rv1G0376860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLYKIHSHAHIQDLQARSDELGHSNKFMLVNLVSLESVRIARESYALLCPLIMESMFWACAELDSLSVVAGLSLEIQKLEHDVLPQLMVQEAKLERGALEAILLMKNSAITLLHLSKCFKEALGVLLAKEDLVSARVEGLSIVLKDIVVHVLQGNCYGVWLQARVPGLVQLVTDVLETPVRFCDPDEFSDE >SECCE6Rv1G0391780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:235037201:235072211:-1 gene:SECCE6Rv1G0391780 transcript:SECCE6Rv1G0391780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MLVVSLSPSSPLSFLPVPNLRPFVVSPLRRYCLHKQHKRRQCRGGSGLPLHLLHAVAGRRTGIVIDVDEVNDIGDRDLPVDVSFTRRLPPALTLVDGIAALRRAAEEVKASPPAAGSGVIRFEVLVPPSTKALKWLCSQFRRSSLFPQFYLSRKLSSNPSVQLEISGVGSALCLHGSSSQVKDGYDMISRYISFDSDLMGAYGAVGMKYNEELLSIEEKAGSFYFFIPQVELTEFVGYSVLSSTMVWDHFVSHTFEDSVCLFESCFHQVCGSYDSAASSYYEGMMTNYIGESHLLETVNAQLVYLDGEVLAKVDAETSMQKEKFLMSEQSFIRFSPHFLFSANMDLRSESNKTESSIKSCSNINSAWASLIVEECVRLGFTYFCIAPGSRSSPLALSATGHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPVILLTADRPPELHDAGANQAINQVNHFSNFVRYFFNLPPPGDQIYARMVLTTIDSAAYNAMQAPQGPVHINCAFREPLDHSNQNWSFDCLRGLDRWFRNNEPYTRYLGMKMVTAFGNYSCSVIEALDIIKKAKQGLLLVGALHKEDDMWAVALLARQLSWPIAADILSGLRMRKVLNSFPGFDKSILFIDQIDQILLSDSVKSWINPDVIVQIGSRITSKRMEMFLESCFPSSYILIDTHPCRHDPSHVVTHRIQTSVADFAASFCECNLERKTSRWKDILMVLNSAVSEEIMFHVHAEFSLTEPYVAHLIGEALYGDAAMFVGNSMVIRDLNMFGKGWLDHSTNGGNMMMHNIPDFVGATVAGNRGASGIDGLLSTAIGFAVGSNKHVCCVVGDVSFLHDTNGLSLLNQRAQRKPMTVIVINNHGGAIFSLLPVAKTAAPQILQKYFYTSHDISISKLCDAHRVKHFLVQTKAELHDTLVKTHAEHLDCVVEIENQIDENANFHRTISMFVDNTATHYLDYLLGDPYSRTTSDLDRMYNRKIHAMEYMLYRIQLSAPRTSGVSDSSFFHEGFILKLRMDDNIVGFGEVAPIEIHQEDIIDVEEQLRFLFHRVKDCELDIVPLLRGSFSNWIWTSIGIPPSSVFPSVKCGLEMAILNLLASQRKCRLSEILTGSNPLLRDQRMVEYNQNSSAGIHICALLDSDGTPMEVALAVTKLVDEGFTTVKVKVGRRASAAEDAAVIQKIREVVGYKINIRADANRKWTYKQAIEFGSIVKSLCLQYIEEPVSSVHDLIKFCENSGLPVALDESIDNLKGDPIQKLHQFVHPGIVAVVIKPSVVGGFENAAHIARWAHMHDKMAIISSAYESSVGLATYIQFAYYVDRQHDLFSRLKRYDSCGTVAHGFGTYQWLTEDVSEQKLKILVPPLGDGMGASVEDAHGYLHHLSINGEKIEKTYSEEKLNSYSIQVDGDHFSYVVKVQEAGDCTNDKVVLFLHGFLGTSEDWTPMMKALSLSARVIAVDLPGHGESQILQRNDENFNQISVTIQSVADLLLKLICNITDGKVVVVGYSMGARIALQMVLNENHKISGAVLISGSPGLRHEASRRRRTAIDKSRAQFLLTYGLECFIKTWYSGKMWTSLREHPQFDSLVRTRRKHSNITDLSKVLADWSIGRQKSLWEDLKHLEKPVLIVAGEKDVKFKEIAERMCSEIREYGEREADCRSNQELCEMIVVPESGHAVHVENPLPLVRAVRKFLVRLH >SECCE2Rv1G0107110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:645857783:645860508:1 gene:SECCE2Rv1G0107110 transcript:SECCE2Rv1G0107110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHMELKRTALTDHSGGGFFIRRVASPGALAGRRAGKSLPRRFASPSSNKENVPPAWAVKATPPRRRSPLPGWYPRTPLRDITVIVKAIERSRLRIAAARQQSETPEQSPQPANLTTPVPAEQGTPHSTEAQDSQAIASGSGLTEMVANPAPSQAEHGFKVYSSPSKSLQKTPTKPIDPALADLMEKKLTSSIEQIEKMVKKNQKKAAQPSKRANQRRTLMSMR >SECCE5Rv1G0353220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:714474365:714474748:-1 gene:SECCE5Rv1G0353220 transcript:SECCE5Rv1G0353220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQVGVDLDDFPLDHEFPEDYGLEEEDECDIKLEPLFEDALANQTVGPKPKRKSKRRKAYTAAEDKLLCECWRDIKQDPKTGAEQKHSTFWTRVHREFHERKKFSPYQFVSTRGWVSISKRWRVIQ >SECCE5Rv1G0372020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:850969523:850970036:-1 gene:SECCE5Rv1G0372020 transcript:SECCE5Rv1G0372020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGHIMKKCHHFEWMDDYIQRLQGLGLLDSRGNAIREFNLPHDSAAPAAAARPEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE5Rv1G0315330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:289423203:289486282:-1 gene:SECCE5Rv1G0315330 transcript:SECCE5Rv1G0315330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein ARC5 [Source:Projected from Arabidopsis thaliana (AT3G19720) UniProtKB/Swiss-Prot;Acc:Q84N64] MATPRPELLSPDENEEESARGLLYEAYNELQRLAAELGGAAVPAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPIALHLRFNPRCHSPNCRLLAGSGEGDAEDDAGAADRAMPLADIQAYIEAENKRLENDPSQFSAKEIIIRIEYKHCPNLTIIDTPGLILAAPGGKNRVLQSQACAVEALVHAKIQHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKIPQFARASDVEVFLHPPTCALDGSLLGDSPFFTSVPSGRVGSCHEAVFRSNEEFKKAISLRELDDVTSLEDKLGRSFTMEEKNRIGVSNLRLFLEELLQNRYIESVPSIIPLLEKEHRAASRKLRKVTQEISDLDEAKLKEKARLFHDSFLTKLSLLLKGMVVAPPDKFGETLINERINGGTFTGSENFQLPNKMMPNAGMRLYGGAQYHRAMAEFRLVAGSIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLSFRLLYILKRLSPMSVFLLEKDAEHFSSHDVLVKRVQAAFNRFAESTEQSCRERCMEDLESTTRYVTWSLHNKNRAGLRHFLDSFVAPEQLCANTHTAHSAGLHEQSSGLNDSKQERPKGELKSSHTLDSNPSGGVSETRLVDLLDSTLWNRRLVPSSERLVYALVHQIFHGIKEHFLVTTELKFNCFLLMPIVDKLAALLREDLESAFEDDLDSIFSVTQLRHSLGQKKRELEIELKQMKRLKEKFTGINKKLNSLQVRQQA >SECCE3Rv1G0143740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2101782:2105227:1 gene:SECCE3Rv1G0143740 transcript:SECCE3Rv1G0143740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTAGQWAEVPVRVDWAAMAAQCAWAGEQARALLVVPGVRLLVLLSLAMTVMILLEKLFVAAVCYAAKAFGHRPESRYKWGPIVAPACKTGGHDEEEDGIVVVGSSSSSGSGSGSGAFPVVLVQIPMYNEREVYKVSIGAACALEWPSDRMVIQVLDDSTDPVVKELVKTECQRWKSKGVNIRYEVRGNRKGYKAGALKQGLMRDYVRDCEFIAMFDADFQPESDFLLRTVPFLVHNPAIALVQTRWKFVNSDKCLLTRFQEMSLDYHFKFEQEAGSIVYSFFGFNGTAGVWRISAINDAGGWEDRTTVEDMDLAVRTALLGWKFVYVGAVKVRSELPSTFKAYRFQQHRWSCGPANLFKKMLLHILKNKKVSFWSKLHLLYDFFFVGKIAAHTVTFIYYCFVIPVSVFFPEIQIPLWGVVYVPTVITLCKALGSPSSFHLVILWVLFDNVMSLHRIKATITGLLDTRRVNEWVVTKKLGDANKTEPAMEGLDDVQVIDVELSTPLVPKLEKRRTRLWDKYNCSEIFVGTCIIICGCYDVLYAKKGYYIYLFIQGLAFLVIGFEYIGTRPPSAE >SECCE3Rv1G0189990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:733424188:733424424:1 gene:SECCE3Rv1G0189990 transcript:SECCE3Rv1G0189990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIGAVVLCMVLIVSAGLVATPTEARAVPDVVYAAAVANAAGGGVRRGRWSNARRLEGDAARKREVPGGPDPQHHH >SECCE7Rv1G0524130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884720156:884720764:-1 gene:SECCE7Rv1G0524130 transcript:SECCE7Rv1G0524130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAASSFAEYFQFFPAEMYHHQQQQLQQEGTLEAVLWQPVTAPAAPAEWGQPGNNGAPGPGGDVVGAARKRPFRTDRHSKIRTAQGVRDRRMRLSVGVARDFFALQDLLGFDKASKTVEWLLTQSKPAIDRLADETAAAAAAGPSMEKGEGATSSGTGCFEDAREKEHDVRDLMKSIGGEGELDWFMSEAAAIGQPMEGLD >SECCE7Rv1G0460020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:28610973:28612534:1 gene:SECCE7Rv1G0460020 transcript:SECCE7Rv1G0460020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPATAAVPRMKLGSQGMEVSAQGLGCMGMSFAYGSPKPDADMVALIHHAVAAGVTFLDTSDVYGPHTNEILLGKALQGGGVREKVNLATKFGSFFGEAGMGVRGDPAYVREACEGSLKRLGVDCIDLYYQHRVDTTVPIEITIGELKKLVEEGKIKYIGLSEASASTIRRAHAIHPITSVQMEWSLWSRDAEQDIIPTCRELGIGIVAYSPLGRGFFSLGPKLAASLSDDTDLRKLLPRFQPENLEKNTLIFESVNAMATRKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNVGALSVRLTPEEMAELESYAAAGDVHGDRYPQMMSTWTDSETPPLSSWKVEC >SECCE4Rv1G0259780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:690310849:690315179:1 gene:SECCE4Rv1G0259780 transcript:SECCE4Rv1G0259780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASNPFPFPRRRPPDDTLFYAVYPLPLPTGLPAPALLASLQSLHLSLLSHLAPFLSTHLFHRDPFTLSLPADPAGPCAPCASPPAVPHLHGALRFGDSLPDEWLAVSLLFALTRAFPGLAARAWDSDGDFLLIEAAFALPRWLDPDTAPNRVFIFRGELHILPPSLFPDTPSLPAALAAVHDDSVDTRASDAVQAAIQRRIAGMPERAVENLHTARVTVPAPVAKVLREEPCLIARAVEGFYDRDIDTMKHAARMDKFLKGPAGEGVDMVRTSVRMTKAMYGQLMQQSFQAPRGYPMPRSEEGPEKWMEAELGMKIACGFEMMYQERRHEVEEGMGSTWEVYKKSLEATGCFDGLLPGSKEYKRIMEDAMQYYKSSSSFSRTREMLSAPVRRIDEILAMPYSAEDFQGINLPPSDDDSWLRNGEDELNAELHERQKEMEEYASVKKNRKGQKQNVSSSSSSQPSEFNLGEITDSMQEFVQKMSSFEGAEVPTNREDTETVDFDVNLFFKAMESVLGRASQEEAGNDTETGRKSSSSDMDFDDSDFENDSTEEAGEKDMDDSFMESYSDALNDELSKTTIEETFSRAQRPSTNTEGPSNAADSDDGEMAPVDVNLNLVESFLNSYSSQQGLPGPASNLLGLMGVKVPPPDGKKP >SECCE7Rv1G0519880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866149580:866151119:-1 gene:SECCE7Rv1G0519880 transcript:SECCE7Rv1G0519880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMTVKEVRKAQRAEGPATVLAIGTATPANCVYQADYPDYYFKITKSDHMADLKEKFKRMCDKSQIRKRYMHLTEEILQDNPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPHESHLDSLVGQALFGDGAAAVIVGADPDESVERPLFQLVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEDAFKPLGIDDWNSVFWMAHPGGPAILDMVEAKVNLNKERMRATRHVLSEYGNMSSACVLFIMDEMRKRSAEDGHTTTGEGMDWGVLFGFGPGLTVETVVLHSVPITIRCSM >SECCE4Rv1G0222990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:64658780:64659178:-1 gene:SECCE4Rv1G0222990 transcript:SECCE4Rv1G0222990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEIPLHGANAMLVMNGSFTFVDPVQPAQIRDPAPAPAEKKKRDRGEDLLLADDSEAAGWSSAILDRSLALRRRRGPSPSSFHRGCLVVTEAQNSRDQETAPAVATEEILECQGSEKEPSVEELVYWTVI >SECCE7Rv1G0503950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:717319382:717321934:1 gene:SECCE7Rv1G0503950 transcript:SECCE7Rv1G0503950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALRLPQLPVPEQASSLHRLPKHRVSVTGRRSFAARAGSYPGNVGVPKQWYNLIADLPVKPPPMLHPGTHQPLNPSDLAPLFPDELIRQELTEERFIDIPDEVRDVYELWRPTPLIRAKRLEKLLGTPAKIYYKYEGTSPAGSHKGNTAVPQAWYNAAAGVKNVVTETGAGQWGSALSFASTLFGLNCEVWQVRASYDQKPYRRLMMETWGAKVHPSPSDVTEAGRKLLAADPSSPGSLGMAISEAVEVAATNADTKYCLGSVLNHVLLHQTVIGEECLEQLAAIGDTPDVVIGCTGGGSNFGGLAFPFMREKLAGRMNPQFRAVEPAACPTLTKGVYAYDYGDTAGLTPLMKMHTLGHDFVPDPIHAGGLRYHGMAPLISHVYELGFMEAMSIQQTECFEAAIQFARTEGIIPAPEPTHAIAAAIREALECKRTGEEKVILIAMCGHGHFDLAAYDRYLRGDMIDLSHSAEKLKESLGAIPKV >SECCE3Rv1G0192690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:761940058:761940457:-1 gene:SECCE3Rv1G0192690 transcript:SECCE3Rv1G0192690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGAAALLIASLLVAVTLADGRITVQVQRHGVSGGYAANKKAVPALTCSKVNAVQTGDTCSSIAEGGGLSQGQFLGFNPNINCEKIFLGQWVCLDASAA >SECCE2Rv1G0117110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:769987311:769989448:1 gene:SECCE2Rv1G0117110 transcript:SECCE2Rv1G0117110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00030) UniProtKB/Swiss-Prot;Acc:O81304] MAPLLSQTRTAVQTFAPSPRGNRRLAPAAPHAVGFLRALFPARPPPAKAELLRLIADQGRGLETQSDPSRLADIVSCIDALAASAPGADTVSDAAKLSGTWRLLWTTEQEQLFIVRNAPTFRTAAGDVLQVIDVPGGSLNNVITFPPSGAFVVNGSIEIQPPQRVNFRFTRAMLKGGNWEVPFPPFGKGWFDTVYLDDEIRVAKDIRGDYLVVERAPYSWNG >SECCE5Rv1G0337970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:595124065:595133827:-1 gene:SECCE5Rv1G0337970 transcript:SECCE5Rv1G0337970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFTRLDDSPMFRKQMQSLEEGAELLRERCLKYHKGCRKYTEGLGEAYDGDIAFASSLEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLLQFVDMDLHDVKDARKRFDKASLLYDQARERYLSLKKGTRTDIATAVEDELHSARSSFEQARFNLVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGMTDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDNRGMLYYYRKQNSRPSSGYSNQRSSTPTEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSGHHRTNSESSSFSSSTELDHSMSEDFMMEKNSGSGYFEHSRLTQHHRTSMRPDKPIELLRKVVGNDVCADCGAAEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTIWEEMLTSSSRFDHGDTSRADGIENTPDNLAVRKPKQSDPISLKEKFIHAKYAEKDFVRKHSMDETQLAQQMWDHVSSNNKMEVYSLIVRSNADVNLTYGQTSFNSALTLGKALLLQEQPSSPSNGSSRCFDRGTVEKVSPRSSLSPASTSARTDELDGCAEGLSLLHLACRVADIGMVELLLQYGASVNSTDSRGRTPLHHSILKGRRVHAKLLLSRGADSQATDREGRTALQYAIDSGTIEDEEILVLLEDPR >SECCE2Rv1G0074950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:81126803:81127748:1 gene:SECCE2Rv1G0074950 transcript:SECCE2Rv1G0074950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADAVLPSPPTPPTPPARMVVVMLVLVRFSRVLRVAAGALTYVCLAVACITCGATAALLVAHRAWGKRSGPFLFLQAFMYGGIKFCVCSVLASLALAALMVCVQRVAYAIAVRTGSTSEFNKIAFVSIKREPVAYSLRLSRTAVLGIVVDAAFFLLTLAGLLVATMLPHVEGSISQGRMIASMIMEVGSFGVLVTACFVTIPALFLHAWREDQAAWKARSWCC >SECCE4Rv1G0233140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:226480714:226481570:1 gene:SECCE4Rv1G0233140 transcript:SECCE4Rv1G0233140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTMLGFLVDLVAKGKRTSSGFRETHLKQCAAVLNEQFKLAITSDQVRNHLKKWRKIWVRVVNLNNLSGALWDEDTCTSRLSEEHYAGHCMTHKPDAPFLNNPIEHYHDIATIFGTTGAMGMNARSGNDLLSIDVDDEENGEVNGEINTSPQVGESSHPKGPPKKKAKVVKVLEDPLGAILKDGFKLVAEALVKSGGDDDDIPDDLWDIVSNLKEFDEEHLAHYFAHLVDNPKTARAFMKLSETNKSVWVSRYVKKNF >SECCE6Rv1G0387430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:112491667:112497060:1 gene:SECCE6Rv1G0387430 transcript:SECCE6Rv1G0387430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQNKEVAALIEKIAGLQAAIAELPSLSPSPEVDRLFTDLVTACVPPSPVDVTKLSPEHQRMREALIRLCSAAEGKLEAHYADMLATFDNPLDHLGRFPYYSNYVNLSRLEYELLARHVPGIAPARVAFVGSGPLPFSSFVLAAHHLPDAQFDNYDLCGAANERARKLFGTSEDGVGARMKFHTADVADLTQELGAYDVVFLAALVGMAAEEKAKVIAHLGAHMAEGASLVDIRRGGFEVLVVHHPEGEVINSVIVARKAVDAQLSGPQNGDAHARGAVPLVSPPCSFSTKMEASALEKSEELATKELAF >SECCE4Rv1G0267910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:737975738:737977656:-1 gene:SECCE4Rv1G0267910 transcript:SECCE4Rv1G0267910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRDLRLAVLLLLFSASVLPPAARAQQETEEEEEFSYSLDAENGPAHWGDIKEEWSACGKGNMQSPIDLASPRVSLVRGLGYLNHSYVPANATIINRGHDIMLQFEGDAGSVSIDGTPYFLRQLHWHSPTEHSVNGRRYDMELHMFHESAQGKAAVIGVFYQIGAHDAFLHKLEPYLEMIADRKDREEKMGIMDPRGARGKASVYYRYVGSLTTPPCAEGVIWTIVKRVRTVSRRQLELLREAVHDDMEKNARPRQEVNSRDISMFRPFEQNRH >SECCE3Rv1G0161230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:114250920:114255401:1 gene:SECCE3Rv1G0161230 transcript:SECCE3Rv1G0161230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAASGLGALKQHGADTQLLNIAVRSASTSVAQRSSGGFLGWLTGARSNALPPPDFALPGVTIPPPLADHVEPSKTKITTLSNGVKIASETSPGSACSVGVYVHCGSVYETPETLGATQLLKKLAFTTTRNRSQLRVVREICAIGGSAKASANRELMSYSYGALKTYMPEMVELLVDCVRNPALLDWEVKEEILKLKAELAEASSNPEAFLLDALHSSGYSGALANPLIASESSISRLNTDVLEEFLALNYTSPRIVLAASGVDHDELVSIAEPLLSDIPTATGTGKPKSVYVGGEYRRAADSSNTELALAFELPGGWLKEKEFATASVLQALLGGGGVFTWGRPGKGLHSRLNPLASEFDQIKSISAFKNVHSNTGIFGIHTSTEAAFVPKVIDLAARELTSLATPGQVDQTQLDRAKASAKSVILKNLESKASTTEDMGRQALAFGERKPVEQLLKAVDGITLADVSTVAEKIISSPLTMASHGNVINVPAYETVRGKFSSK >SECCE2Rv1G0088210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:240314316:240316357:-1 gene:SECCE2Rv1G0088210 transcript:SECCE2Rv1G0088210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVISSRLPAPCSLLVATLMLLIVQAQSITRHYDFNVQMANVTRLCASKSIVTVNGEYPGPTLVAREGDRVLVRVTNHVAHNMTLHWHGIRQLRSGWADGPAYVTQCPIQTGQSYLYNFTVAGQRGTLWWHAHISWLRATVYGAIVILPKLGVPYPFITPHKEVPVVFGEWWRADTEAVVSQALRTGGAPNISDAFTINGLPGPLYNCSANDTFKLKVEPGKTYLLRLINAALNDELFFSVANHTLTIVEVDAVYVKPFTVKTLIISPGQTTNVLLTAKPFYPKANFYMSAAPYSTIRPGTFDNTTVAGILEYHNPHSASESSFDKDLPLFRPTLPRFNDTILVTNFTSKLRSLATPQYPVAVPQSVDRRFFFTVGLGTRPCPVNATCQGPTNTTQFAAAINNISLVLPSTALLQSHFTGMSRGVYGSNFPVMPLSQFNYTGVSPNNTNVATGTKLLVLPFNATVEVVMQDTSILGIESHPLHLHGFNFFVVGQGFGNYDPVNDPTRFNLVDPVERNTVGVPAGGWVAIRFIADNPGVWFMHCHLEVHTTWGLRMAWLVLDGSLPNQKLLPPPSDLPKC >SECCEUnv1G0535670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:59735188:59737020:-1 gene:SECCEUnv1G0535670 transcript:SECCEUnv1G0535670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTGSGDGQSGSVRAHFVLVPMLAQGHTIPMTDMAHLLAEHGAQVSFITTPVNASRLAGFAADVEAAGLAVRLVELHFPSAEFGLPDGCENLDMIQSTDLLPNFMDAIAALQEPLKAYLREQQRSPPTCIISDLIHWWTGDIARELGIPRLTFSGFCGFSSLIRYITYHNNVFQNVNDENELITITGFPTPIELTKAKCPGNFSIPGMEQIRKKFLEEELKSDGEVINTFQELETLYIESFEQTTKKKVWTTGPMCLCHRDNNTMAARGNKASMDEAQCLQWLDSMKPGSVVFVSFGSLACTTPQQLVELGLGLEASRKPFIWVIKAGAKLPEVEEWLADGFEQRVKNRGMVIRGWAPQLMILQHQAVGGFVTHCGWNSTIEGICAGVPMITWPHFGEQFLNEKLVVDVLKIGVEVGVEGVTQWGSEKQEVMVTRDEVEKAVNTLMDEGAAAEEMRVRAKDFAIKARKAFHEGGSSYDNIRLLIEEMGNRTNACG >SECCE2Rv1G0131610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:885553599:885554960:-1 gene:SECCE2Rv1G0131610 transcript:SECCE2Rv1G0131610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSVEARRDMRWVEAAAVGPRARRSFSLSSADRQRLRAKAASVLRSLGPVPGRRSGASSSKYTTLSVEEIMVKFENDRALREVLARLKETAAKRNAAVGPRTSTPTLTPPNEPEVINAWELMAGLEDEGPTPRATHHQRPPTTPPWMLADQDVPVAFEFDPEILSSFREALAQDTSPSQQPITASSPTDKEESASQQQAKVADDASACTPVSPPTRDTASSPADKEKEEPAWQKDKKDGADASARTPVSPPARDMPELAGIVRARINAFQEKILERRTSNGGRDAKVQWPPGGKRKAVVYFTSLRGVRKTFVDGCTVRSILRSYGVRVDERDVSMHAAFKSELAQLLAGATLPRVFVDGRYLGGAEDVQALHEAGELSRALEGCDAAPARKLGCMEACAACGDVRFVPCETCYGSCKIFVEYEEDDDDGEFQRCPDCNENGLIGCPVCCC >SECCE6Rv1G0427960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:722635173:722636035:-1 gene:SECCE6Rv1G0427960 transcript:SECCE6Rv1G0427960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHPLKSLVWNVRGLNSPARRNVVAQVTCTAGPSLVCLQETKMEVIPPDVVKHCLGNKFEKFFYLPAVGTRGGILLAWEATVIHVSNPHYTAHTLTALVSPMGGSPWWFTELLDIRDLHAGPWLIAGDFNLIVNPEDKSNNRINRRMMNQFRAKINFLELKEIYLNGSDC >SECCEUnv1G0568950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:433672573:433672785:-1 gene:SECCEUnv1G0568950 transcript:SECCEUnv1G0568950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADHGELPIPTGDGTTAVTTRFIKGVDKRVTITRGWSDFFRQAQMTKGQAYAFAFKCTSKGLRMIVYSI >SECCE6Rv1G0409680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:590636705:590637859:1 gene:SECCE6Rv1G0409680 transcript:SECCE6Rv1G0409680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFHKQLLSLRLPASPVIFSLRRALLSTSAATDAPPAPFAVDDYLVTTCGLTPEQALKAAKHVSHCKSTSNADSVLAYLAGPTLRLSKADIAHVVARDPRILNCSVDNTLKARVATLRGYGLSEDHVRAFLRMASGVFRSISIQEKLGFWLPFLGSPEKFIRILKRNYYLLTSDLERVVKPNIAVLLESGVTADDIAKMCVPNSRLLTSSPKTVMSILERADKLGVPRGSLMFRQAVTTTTALGAETMAAKLKLFEEILGWSEAEVAKVVRMNPTVLRISVEKLRRAKEFLTKVVGVDTRYILSRPSILMYSLKCRLVPRHYVMKALQEKGLIQKDQSFYTMVTPSVKTFQRRHIDAHRHVLPGLAEAYAAACKGKLPAEVAV >SECCE1Rv1G0003980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:15942782:15944941:-1 gene:SECCE1Rv1G0003980 transcript:SECCE1Rv1G0003980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEPLRDNLDIAAATDQPYDTVYNYELSGPSSTVLSQANQASTYLLGGVTSPGLCSVNSSLGQNQFQSAAGVSPEHRWIRSNDTLHYISQMLMEDVDERVDICQGEAALQAAEKPFLDILGEVDTPATNCPPLHSNNKPDNSDKSGTSRYKRLWSTTFSNDCSSYSLLQPLATPLSPYTCNRSLFLPNQPLISAGWTSGSGFPALHYQRDVEDEEMFAPSIDKLVIYLEKGTLYISQLTTKAKVGERSENAIFEVTDQRDWDIFHGRSNKHHAVTTCAIIRNENFDRVLLCYGPKSFDRITRRQERVAGEGNNNSLKGRSKGRQKLWARKQQRKDLVDLSALLIHCAQAVAEGNHLFASELLKKIRQHSSADGDSTQRLAFYLVDGLEARLAGIGSQVYRNLMERRTSTTDWLEAYRLFLAACPFKRASHYFANQTILDVSQRQPRVHIIDFGISFGFQWPLMIQRFARREGGAPNLRITGIELPRPGFRPCEMIEETGKRLADYANVFDVPFQYQGIAASRWETIKIEDLNIAEDEVLIINCIFRMKNLGHETEAINSARDEIMKTMRRMNPKVLISGTVNGLHSSPFFIQRFKEIMLHYSSLFDMLDANVPRDNKARKMIERILFGRDALNIIACEDAERTRPESYKQWQARFLKAGFQQLPVDPAVLKKTVKIKNSLYHDEFFAVEDRGWLLQGWKGRVLYAISKWKPNEIYDGQ >SECCE1Rv1G0010740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:65515141:65516232:1 gene:SECCE1Rv1G0010740 transcript:SECCE1Rv1G0010740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPAAIVASSEGSSYVIKIDGYSRIKGQTEHGNYVQSTPFSVGGHDWAVEYYPNGCHAEKYEAGFISVFLSLYSVVSNDVKAKVGFSLLDKDGEPVESNSMVTHEHVFCSKDSDWGFSNFIKAADLEGSVHLRDDSFSIRCDVTVMKPVHKVNRFIVVPPSNLHQHLGDLLVSMDGADVTFLVGGESFLAHRSVLAARSSVFRAELFGPMKESGNSPIEIRDMESDVFKSLLHFIYTDSLPVPETTDGGETGRDVVMAGHLLVAADRYNIERLKLICEEKLCNLIDSDVVATSLALAEQHGLHRIKDACFEFLTSPSNLEAMMASDGYEYLKNSCPSILRELAASFLPAELKAVKDIIMTT >SECCE3Rv1G0198840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:836660760:836662709:1 gene:SECCE3Rv1G0198840 transcript:SECCE3Rv1G0198840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMARPALKTLTTGPPEARGEFIRLCSSGRLKDALHHPFRDVLWSDPNLFAHVFRACRAIPLLRQLHAFAATSGAAADRFTTNNLMLAYADLGDLPTACSLFERIPKPNVMSWNILIGGYIKNGDLGSARKLFDEMPTRNVATWNAMVAGLTNAGLDEDSLGFFLAMRREGLHPDEFGLGSVFRCCAGLSDLVSGRQVHAYVVRCGMDIDMCVGNSLAHMYMRCGCLAEGEAVLQALPSLTVVSFNTTIAGRTQHGDSEGALEYFSMMRGVGIAADVVTFVSIITCCSDLAALAQGQQVHAQVIKAGVDKVVPVITCLVHMYSRCGCLGDSERVYSGYCGSDLFLLSAMISACGFHGQGHKAVELFKQMMNAGARPNEVTFLALLYACSHSGLKDEGLEFFELMTKTYGLQPSVKHYTCIVDLLGRSGCLDEAEALILSMPVRADGVVWKTLLSACKTQKNFDMAERIAERVIEFDPRDSAPYVLLSNIRATSKRWGDVSELRKNMREKNVRKEPGVSWVELKGQVHQFCTGDKSHPRQGEIDEYLEEMMAKIRQCGYAPDMSMVFHDMEDEEKEVSLTHHSEKLAIAFAFLSLPEGVPIRIMKNLRVCDDCHVAIKLMSQVTGREIVVRDVSRFHHFRDGKCSCGDYW >SECCE2Rv1G0088020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:237060732:237061416:1 gene:SECCE2Rv1G0088020 transcript:SECCE2Rv1G0088020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQTMPKGSLATSLSFPSSGSTRILGRKRVAVSPAPSPSGPHSPVRTLRKQRSIRFHMDDTVCLLESLPQDVLVKVLCKVNHSDLRQLLLVSKPVSEATVVAKELHFAFATPSKASAEDEEEDDGPGAPKQHRVARSRCRGMNLASVTVNLSESFGSLMSEV >SECCE4Rv1G0272410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:768948364:768951455:1 gene:SECCE4Rv1G0272410 transcript:SECCE4Rv1G0272410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSVAAAHATIAARAGAAPASPAAAPAERLGFRLSSLAGRALRSPLPARRCSPAASASSRRSGSQRVRASAAVETVEAAATGELLDKSVNAIRFLAIDAVEKAVSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDAVKEEDLKQFRQWGSSTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYCIVGDGCNMEGILTEACSLAGHWGLGKLIVFYDDNHISIDGDTEIAFTEDVSGRFEALGWHTLWVKNGNDGYDEIRKAIQEAKSVTDKPTMIKVTTTIGFGSPNKANSYAVHGAALGTSEVEATRANLGWPYEPFFVPEDVKSHWSRHIPQGAELEAEWDAKFAQYEQKYPEDAATLKSIITGELPAGWADALPQYTTESPADATRNLSQQCLNALAKVVPGLIGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHTPGLIPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNMLMFRPADGKETAGAYKVAVLNRKRPSILALSRQKLPHLPGTSIEGVAKGGYTISDNSTDNKPDYIIMSTGSEVEIAVKAAEELTKEGKTVRVVSFVCWELFDEQSDEYKESVLPEAVTARISIEAGSTLGWQKYVGSKGKTIGIDKFGASAPAPKIYKEYGITAENVIAAAKSL >SECCE6Rv1G0441630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811621736:811622341:1 gene:SECCE6Rv1G0441630 transcript:SECCE6Rv1G0441630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSLHCKVPNGAIDITSDFINLNALTDAPHFIMEFIQGSPTSMVVLLDLLSRKDLALHPEYIEKYYENTEADKQCKIIEELPQARTYLSPPLFVRSRFSPMAVFFSIDCGQGGEGTLEEIVDGHLASVVKGVLQIWLDTCAGDTSEMEEGEREIMVKRDRTVRSKSIEVDLTANLPRMFGPDVSRRVIAEIRKAFGVEA >SECCE2Rv1G0133100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894970233:894970490:1 gene:SECCE2Rv1G0133100 transcript:SECCE2Rv1G0133100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAKASWMVAMSVGAVEALKDQAGLCRWNYALSSTHRAAKANVRGGVSQGTKQLPAAVAERRRAEKAEEGLRAVMYLSCWGPN >SECCE5Rv1G0335770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:579486583:579486975:1 gene:SECCE5Rv1G0335770 transcript:SECCE5Rv1G0335770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADESLPPLPPIKTAPSDAASASPSPASSTQESAAAEGKTEAAAAEEKDPSTPTSKECRIRLPEECPAAPRKPPVPRLPALKRKSRPTLTATTTARVCLAVPRDLSTVFRSMPMPMPVPAEKRIRAS >SECCE4Rv1G0273520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:777993735:777997357:1 gene:SECCE4Rv1G0273520 transcript:SECCE4Rv1G0273520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQLSSVAVSMAMCLVSVLAIAFLAITLYILGVVVSFAVFCTREFAQRAQDRPPLIGTVLRQLKNFDKLFDEQVSYALLHPTSRLVYPGHSEIFTSDPAVIEHFLKTNFSKYSKGDFNTRVMRDLFGNGIFATDGENWRHQRKLASHEFSTKVLRDFSSDVFRINSAKLAEKISYAAANRITINMQDLLMRTTMDSMFKVGLGFELNTLSGSDESSIRFSKAFDEASSLVYYRYVDMFWQVKRQLNIGSEAKLKKNIQIIDDFVMQLIHQKREQMKNRHDQKAREDILSRFILASEEDPVTMNDRYLRDIVLSFLIAGKDTTANTLSWFIYMLCKNPIVQDKVAYEIKESVELAQEDNMETFTARLKQGAIDKMQYLHATLTETLRLYPAVPVDGKMADEDDLLPNGYRVIKGDGMNYMIYAMGRMKYLWGEDAEEFTPERWLVDGIFQQESPYKFISFNAGPRICLGKEFAYRQMKIMAATLIHFFRFKLEDESKSPVYKTMFTLHMDQGLHLFAYPRNISA >SECCE5Rv1G0299820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:20019572:20024231:-1 gene:SECCE5Rv1G0299820 transcript:SECCE5Rv1G0299820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTQSDTQHTEREREMAAAGVSREQRWSLAGATALVTGGSRGIGHAIVEELVGLGARVHTCSENAAELEECRRRWEETELPVTVSVCDASVRAEREKLMETAKQTFAGKLDILVNNVGRNVAKAAVECTAEEYAHLMSTNLESALHLSQLAHPFLLDASIAGGGSIVNISSVASSLGYPTLAIYCITKGGINQLTRSLAAEWAQDKIRVNSVAPGAINTELQNSVEPEVVENTVSRTPMHRLGEPVEVASMVSFLCMPAASFVTGQVIYVDGGRTISG >SECCEUnv1G0564500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:383923996:383924508:1 gene:SECCEUnv1G0564500 transcript:SECCEUnv1G0564500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLFAAVHTAGAPGAAALVLVVLIVLVVAAVVVSLCTSSTHEKLWGQQRGSSSSAPLAKADSSVGASNRKHLLSATLSGIGGKAARMVSWNRRSPAPGGSSSDDEEAVAALGQDDDDEAVWRKAIIMGDKCRPLQFSGHIAFDSDGNQLPPPPAAIKKADPEVHAKN >SECCE7Rv1G0463220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:51693070:51693645:1 gene:SECCE7Rv1G0463220 transcript:SECCE7Rv1G0463220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGAVMLLALFTVLSLSAVSDAQLQHGLSHRTLSHGHRRSADPAAPTHLHFYFHDTVSGASPSAVRVVAPADPSSRSFFGMVSVMDDPLTEGPEPGSAAVGRAQGLYMGADQAELGFLQTMNLVLTSGPYNGSTLAVLGRNCPLTDVREMPVVGGTGAFRFARGYAQARTHWLDFNTGDATVEYDVYVMH >SECCE4Rv1G0277870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806056752:806057457:1 gene:SECCE4Rv1G0277870 transcript:SECCE4Rv1G0277870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEELSKLAADVAAISPAPVRKAVDALACSSPARAVTDALVYLSVGTTCTTFAAAFLTIFADLACARGWGCTPAYVLSEIADYLSTPVLLLLPPALVLFFLRAAGCRTKAADAESLIVKNDEEPTLLSPSPLALAILWLFIGSASMGTISFLLFKCGYTEISELLGYAALLCMFMWEALVYVRAAVALWRMNPGPRNMVAAE >SECCE1Rv1G0011470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:78017720:78019209:1 gene:SECCE1Rv1G0011470 transcript:SECCE1Rv1G0011470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGASERDALIDLESGNNLVISEHGHGMDANFAVSPPRTPPNGGLNRVIHTKDDGNQHMDCSSPAMETPSKNGDDRKSEGEEKLGLLDSSGGEKAKKKRSSSKKPPRPPRPPTHLPLDASDQKLLNELNELALLKRARIERMKALKKMKNGKQGSSNSNFCPMIITIIFCLVILWQGFCSRQASGVSFHGSPESSVREHSSLISIRFYKKNHSNVRPHVSTSAAPNNYETHHRGWRSVPRGERPRHEHVSRA >SECCE6Rv1G0429950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:738920727:738923895:-1 gene:SECCE6Rv1G0429950 transcript:SECCE6Rv1G0429950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRSAAETADADRARLHQLGYKQELKRGLSAVSNFAFSFSIISVLMGVTATYNTGLRYGGPASMTLGWLVVTVFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQWAGTTSVDFSLAQLIQVIVLLGTGGANGGGYLASKYVVLAIYGLILVLHGLINSLPIRWLSWFGKLGAFWNVAGILVLVILIPAVVKERASLKFIFTHFNTDNGMGIHNKAYIMAVGLLMSQYSVLGYDASAHMTEETKGADKSGPIGIISAVALSSIFGWIYLVALTSVVTDIPYLLSPDNDAGGNAIAQALYTTFRARYNSGVGAVACLGVIAGAMFLCGIGSITRNSRMGYAFSRDGAMPYSYVWHRVSTHEVPLNMVWLSVFVAFAMALTSLGSQVAFQAMASIATIGLYISYALPIFFRVTTARKSFIPGPFHLAQYGVAVGWAAVLWVAFVTVLFSLPVAYPVAKDDFNYTPVAVGGVLLLSIGAWVLHARFWFKGPIINVDTV >SECCE1Rv1G0034340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:481231953:481232977:-1 gene:SECCE1Rv1G0034340 transcript:SECCE1Rv1G0034340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRISRQYYEEPRGFRDDRSPRFARERSISPRRFEGELSSRHGEIRRIRDDNQHLVDEIVGLRQAMSHLKDDLHSSSQAIPKLRAEKELESRELTQRNLKLEAELRSLEPHRQDALQLRSEAGTLQSLRQELTAKVQGLTKEVEHQNFEKQRIPAMVAECDGLRQELFRTRAALDYEKNAKAELMAQVQAVEKDLVTMAQESEKLRAEIEKRRPPSFSGHGAYGPPMTTPGMGLQDIYDSGYSYRENRYGAGPWDPPGYPHP >SECCE6Rv1G0400690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:456687090:456691298:-1 gene:SECCE6Rv1G0400690 transcript:SECCE6Rv1G0400690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal protein TL20.3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12250) UniProtKB/Swiss-Prot;Acc:Q8H1Q1] MALASTSPLAATAARPKTFAPPTRCCSGRLQRISCQATTDRPRGGNASNTSPAPPRWRVAVSAALAAAVVVAMPAHADLNKYEADQRGEFGIGSAAQFGNADLKNTVHVNENFRRANFTSADMRESDFSGSTFNGAYMEKAVAFRANFTGADLSDTLMDRMVLNEANLTNAVLSRTVLTRSDLGGATIEGADFSDAVIDLPQKLALCKYASGTNPITGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPPKLLDRDGFCDEASGLCDAK >SECCE1Rv1G0045600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:610646090:610646581:-1 gene:SECCE1Rv1G0045600 transcript:SECCE1Rv1G0045600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSKQPFLKSKQPFSKSEQPFRKSKQTFRKFKQPFRKSKQPFRRRPRIGPGDRIDYRNMSLINRFISEQGKILSRRINRLTLKQQRLITLAIKQARILSFLPFRNYENEQQFQAQSISIITGSRPRKNRHIPQLTQKYNSNRNLKNNNQNLRNNNRNLSSDC >SECCE7Rv1G0454670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:3678271:3679344:-1 gene:SECCE7Rv1G0454670 transcript:SECCE7Rv1G0454670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTLLLFLASGMHAAVFCAAATFDEVPTVAFDEGFAPLFGESNMGRSSGGDAVSITLDRSTGSGFISKRYYHHGLFSADVKLPAGHTAGVVVAFYLSNGDVFESTHDELDFEFLGNRAGHRWRMQTNVYGNGSTARGREERYVLPFDPTAAKHRFSILWSSSSVVFYVDGTPVREARRRRSRRRFTDDGMGPDGDDSDMGADYPAKPMAVYVTIWDGSTWATENGKHTVDYRHGPFTAEFSGLVLRGCPADAGADIRQLHLGASTQRCATAEWELMTAEYAVMTAEKRAAMRRFRRRQMVYTVCYDTDRYPAALPECEVDAAERRMFERWGESKASFRSRTTPPPLVSMMQAD >SECCE5Rv1G0334290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565176049:565177955:-1 gene:SECCE5Rv1G0334290 transcript:SECCE5Rv1G0334290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNPTTSRPGVSTIEEKSTGRIDQIIGPVLDVTFPPGKLPYIYNALVVQSRDTADKQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDSSATFPIHRSAPAFIELDTKLSIFETGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIEESKVALVYGQMNEPPGDRMRVGLTALTMAEYFQDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERIASTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPVVDPLDSTSTMLQPRIIGNEHYETAQRGKETLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGNGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDVELGSDIDPEEAQKALEIAEANLSKAEGTKDLVEAKLALRRARIRIEAVNWIPPSN >SECCE5Rv1G0301160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29308105:29308761:-1 gene:SECCE5Rv1G0301160 transcript:SECCE5Rv1G0301160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKFRDPLLATVANGNKTIPAAVRRASGWALLTACATLASFAVGHVLAYALVHYKACCTESSVLLHCVQWTDVEAAGESALWFWMLFCAVLQVAAAELARHLPCRHRWVRRALAYLALVVTLFGHCIYAVHVRLILAADPGHVFVWILGTADIAIFAGGDLLCFLGLLLGGDN >SECCE4Rv1G0260080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:692244339:692244656:-1 gene:SECCE4Rv1G0260080 transcript:SECCE4Rv1G0260080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKILLATIEECVEAQRRLAEIRSQLAEAWTIADGVEQDMMVEDRPFHDVPPRLLEIERLMEGIADTVVEDLLPSFDRVVAVSKACTEEENSLGLAPPNARAAT >SECCE2Rv1G0111000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:697181677:697182762:-1 gene:SECCE2Rv1G0111000 transcript:SECCE2Rv1G0111000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRPTERLVSTCAPTTAETTLVFDIAGYSLHKGMGAGKFIKSNPASVGGYEWCIRYYPDGDASKESEDHVSVYLELLTKGAKARVIYDLRLVNHKIKLSTGRCCPKSPTEFDSLDASDDGSILGRNKLKKKRSELEKSPFLRDDHLMIECALTVIKEPLVEETVKIRVPPSDLADNLGKWLETEEETDVTFNVRGETFHGHKIILAIRSPVFKAQLYGPMGDRTARNITVEDMQPAVFEALLYFIYNDELPFKDKVDDENDDMVKHLLVAADRYAMERMKMMCEAILCKRLTVETVAATLALADEYHCSGLKDACIEFVISSGRMGGVVASQGYVHLKRSCPAVLGDILERVTKSPKV >SECCE7Rv1G0477300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:216900405:216905456:-1 gene:SECCE7Rv1G0477300 transcript:SECCE7Rv1G0477300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRGGGGGRRVGDYVLLRAIGSGAYSQVWLGKHVARGTEVAVKEIAMDRLSAKLRDSLLSEVDILRRITHPNIIALHDSIRDGGRIYLILEYCRGGDLYAYLLRHKRVPETVAKHFIRQLACGLQKLRESNVVHRDLKPQNILLVSNNGTSILKIADFGFAKFLQPSGLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGIILYQLVTGSPPFNGDSQIQLMKNILKSGQLRFPSDCELSHDCIDLCRKLLRISSVERLTVEEFVNHPFLFEHAPEKILSRTPSDTRDGFPIIKSSPTRLSSQSSQEDCMPFPLDLSTGQEESPALESNAPIKSYGFATSKKLDKTSGQSPSKHTGLFSRYIMGNNYGPSSQRLDHPGQRTKESKIGEGRGAKGVHPEDSPIIDSLEFVDQEYVFVSGHAEGSSSSTSASLQRNLPAKYENPSVSPPNLAALSAPVPINGTAINRQQSAGTGSLDSHCSPISGTSHGSAYMSDGLDQPPSHYLTRIRLLGQYASTVAELVKEEIKGGRHLEAFSIQLIILATWKQAIHICNSYAASAARESPSHDVTMKGLDTDAPHLLANSQMADDECTQIERQFLNEVEHAEELASTVGQIPDATAMPDAVEIIFQYALEYGRHGGVVEMMGKAAVAMSRYTKAICLLRFLLIEAPSLALNPPLSLTRSDRHRLRTYIEALNARLSQLQCPSH >SECCE3Rv1G0153510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:54121247:54121741:-1 gene:SECCE3Rv1G0153510 transcript:SECCE3Rv1G0153510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMMRIFAMLMLFSLCSRGNAEFRECTLSDLHVTQTATGKNAGGNPEYAVEVENKCICTQTNVKLLAPGFKSSEPVDPNVFRPDADGKLGTLNNGSPVYNGDKINFNYASATKFSLVPFSSTVACS >SECCE2Rv1G0142830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943011334:943013504:-1 gene:SECCE2Rv1G0142830 transcript:SECCE2Rv1G0142830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEEQGVQQPDVVGGGGKPDAATAKPPPPESDQQKPSNPRVSTCSTDKDDGLALCRVCHCVEPDLRGESALGFLGIVPPSFPDPSCLKADKDPGNDITKTSISKDGSDAPKFLEFISPEGEIFKCATDIESGHLRPQDDVVNLGCSCKNELALAHYACALKWFISHGSTVCEICGNVATNVRPEDFNMVLASLKDYEALRERTSTGDLSYLHYRADASVDPVALAAVRRQRLCEISSWFNPHNTHFVFSQSQRHNSNNSEEVPVSPSNNSADYSVSAWGPAHGGPTVGSTGAFVAIALAFVILAWFVAPHVGKRAAAIILHMLLGGLCSLTIIISLSFVFPRIQFGSMRYWAILFVSWFLVFGVWASRTRTIRRA >SECCE5Rv1G0319200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:371785237:371788045:1 gene:SECCE5Rv1G0319200 transcript:SECCE5Rv1G0319200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan phosphatase LSF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10940) UniProtKB/Swiss-Prot;Acc:Q9SRK5] MGRGSIPIAAARLPTTAGRTASTVSFSTSECRKDLAIMAATAKASCLPASPRFPASGAGIRNRGRLPMAAVGCSAGRGGVHLRSARPLLCTSSSAAAGARGSGRMEDYNTAMKRMMRNPYEYHHDLGMNYAVISDSLIVGSQPQKPDDIDHLKNEENVAYILCLQQDKDIEYWGIDFEAVVTRCKELGIHHLRRPAVDFDPDSLRKQLPKAVSALEWAISQGKGRVYIHCTAGLGRAPAVAISYMFWFENMDLNTAYDKLTSIRPCGPSKKAIRSATYDLAKSDPNKEAFETLPERAFEGISVSERKLIQDRVRSLYKE >SECCE5Rv1G0362590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:787202778:787204799:-1 gene:SECCE5Rv1G0362590 transcript:SECCE5Rv1G0362590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNMKPIVQFFLKLLLIGLSAPQLIAGDENQQFVYSGFANANLTLDGTASVTPSGLLELTNGTAMSMGHAFYPAPLHLRDSPNGTIQSFSASFVFGIISIYDLSSQGLAMLIAPSKDFTMAMPVQYLGLVNSSNNGNITNHIFAIELDTWQQLEFGDINYNHIGVDINGLRSVQSQPAGFFHGRNGTFKNLTLSSQEAMQVWVDYDKQTTQIDVRMAPLDMASKPQRPTVSARYNLSGVLTDVAYIGFSSSTGRIDTRHYVLGWSFAMNGPAPAFNLTMLPKLPRHHPKGHRYLVLEIILSVGTAVVLLSLGAIAFLLVQRHFRYVEVRDNWEVEFGPHRFSYKDLYHATGGFEDRNLLGVGGFGRVYSGVLPRSRLKIAVKRVSHDSKQGMKEFIAEIVSIGRLQNRNLVPLLGYCRRKGELLLVYEYMPNGSLDKYLHGKEDNMVLSWAQRFQIIKGIASGLIYLHEEWEKVVVHRDIKASNVLLDNEMNGRLGDFGLARLYDHGIDPQTTHVVGTIGYLAPELARSGNATPPTDVFAFGMFVLEVTCGQRPVNHQNTQDSQLMLVDWVIDNVQKGSFGDAVDARLKGRFDVGEAYLALKIGLLCSHPFANARPSMRQVMQYLDGETEPPELSSEALAMMQNEGFEPYVVSYHVSTTSIGTISHISGGR >SECCE7Rv1G0482120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:301001336:301005571:1 gene:SECCE7Rv1G0482120 transcript:SECCE7Rv1G0482120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGREEDAAAPGEADVDVEDGGDDSSARGFPPYGGGANHVRRACSVGVVGASAGPGSPPGSPGRSLSPRMFVPQTPVPPLQRPADVTPVFNEILMNEQEEFDGPPQKEIPALIVWTLGGKNVSVEGSWDNWKSRKPMQKSGKDHSLLLILPSGVYRYRFVVDGERRCFPDLPCETDAMGNAVNLLDVHDFVPESVESVSEFESPPSPESSYSFLAPEEKDFAKEPPALPSQLHLGVLNSQNSEEVCARPQHIVLNHLFIEKGWGAHPLVALGVTHRFESKYVTVVLYKPIER >SECCE6Rv1G0383740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:56865641:56866154:-1 gene:SECCE6Rv1G0383740 transcript:SECCE6Rv1G0383740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKWLTSKEEKNGNFGREFVKCESKPEGQIVKKCHHFEWINDYIQRLQGLGLLDSRGNAIREFNLPHDSAAPAAAARPEYPTVVDVELKMELKKMNKNFKQLIELKKQSNLIALGILALGFFYLMAISR >SECCE3Rv1G0207540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:922329439:922332768:1 gene:SECCE3Rv1G0207540 transcript:SECCE3Rv1G0207540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAPRFHASLPRGLLLRPRRPLPSWRRAARPDDQDLYVDDDIGDGFSFSGGKYAEAEGPSKSDEWFAQGRMVKAHALYGNKEKAKDPFFGLTMGSGSQSSGDVFNWFCVEAGSSSNPTVLLIHGFPSQAYSYRNVLPVLSDKYRSIAFDWLGFGFSDKPQPKYGFDYTLDEFTSALESLIDAVAPDKLSIVVQGYFAPIVVKYANEHQDKLNHLILVNPPITDKHAKLPSTLACFSNFLLGEVFSQDPLRASDKALTSSGPYMMKEEDATVYRRPYLVSGASGFALNAITRAMGKDLKAYIESMRSILASDSWNTKTTICWGLRDRWLTYDGVEDFCDGLKHNVVQLPMAGHHAQEDRGEELGNIIKRILSLMG >SECCE6Rv1G0425550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:707887043:707889388:1 gene:SECCE6Rv1G0425550 transcript:SECCE6Rv1G0425550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVQAENVVMSANDRLQAQLELYHHSLAFVKAAADLRIPEAIHRHGGTATLSDIASDTGIHATKLTHLRRLMRVLTITGIFSSSGDAVFKLTPVSRLLAEGSESSRNLSPVVVGVFVNPVAVTALLSICEWFTDERAAALSLFEVAHGCTRWEMAAKGKGDSSLLDAGMAADSTIIMEVLLRDHGSIFEGVSSLVDVGGGHGAVAAAIARAFPAVNCTVLDLPHVVAGAPADENIQFAAGDMFEHIPSADVVLLKWIMHCWQDEHCINILQRCKEAIPSREVGGKVIIIDMVVGYAGAASEENVSKETQVLSDVYKMYMDGVEREEDEWAKIFYEAGFSDYKISPVLGFRSIIEVYP >SECCE5Rv1G0346280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660505707:660506120:1 gene:SECCE5Rv1G0346280 transcript:SECCE5Rv1G0346280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKRLAQLVRKWQRVKAASRDDEACSTTSPVVDKGHCAMYTADGRRFEVPLAYLGTTVFGELLKMSQEEFGFTCDGRITLPFDAVVMDYVMCLLRRNASEEVERAFLSSVVMPCQYPSCTVPHVALHQQLAVCSS >SECCE7Rv1G0501210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:680600061:680601626:1 gene:SECCE7Rv1G0501210 transcript:SECCE7Rv1G0501210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRAFALVLLLCALSCHAAVSYAPVPAKDDFLGCLVKEIPVRLIYARSSPAFPTVLAQTIRNSRWSTPQNVKPLYIITPTNTSHIQSAVVCGRRHGIRLRVRSGGHDYEGLSYRSERPETFAVVDLNKMRAVLVDGKARTAWVDSGAQLGELYYAIAKVSPVLAFPAGVCPSIGVGGNFAGGGFGMLLRKYGIAAENVIDVKVVDANGKLLDKSSMSADHFWAVRGGGGESFGIVVSWQVKLLPVPPTVTVFKIPKTVHQGAVDLIDKWQVVAPALPADLMIRIIAMGDTATFEAMYLGTCKTLTPLMSSKFPELGMNTSHCNEMSWIKTIPFIHLGKQATLADLLNRNNTFKPFAEYKSDYVYQPVPKPVWAQILYGWLVKPGAGLMVMDPYGATISATPEAATPFPHRKGVLFNIQYVNYWFAEAAGAAALQWSKDMYKFMEPYVSKNPRQAYANYRDIDLGRNEVVKDISTYSSGKVWGEKYFKGNFQRLAITKGKVDPQDYFRNEQSIPPLVGKY >SECCE5Rv1G0329490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:517012961:517014304:1 gene:SECCE5Rv1G0329490 transcript:SECCE5Rv1G0329490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGREGEVRNLVLGKYELGRMLGQGSFAKVYYGRDLRDGQSVAIKVIDKARLRQTDGMVEQLRREISIMRMVRHPNVVGIREVLASRQRVFVVMEYARGGELFAKVARGRLTEDAARKYFQQLIAAVAFCHSRGVAHRDLKPENLLLDEEGRLKVTDFGLAALPEQLHHDGLLHTQCGTPAYVAPEVLRKRGYDGARADMWSCGVVLYVLLCGFLPFQHDNYVKMYQKIFKGEYQMPPWVSGEARRLIGRLLAVDPAKRISIPEIMLTPWFKRGFVPPVPSSPVTPRKWDDDNAAALIDGSEDSSGNVSPRTCNAFQLISSMSSGFDLSGLFESEQKAATVFTSRAPAATVFHKLESVGKALRYNTTRGKGWRIRMEAKAGGANGRLAVTAEVFEVAADVTVVEFAHDGGDALDFNKFCAEDVRPGLADIVWAWQGDVPALPGAVV >SECCE6Rv1G0408440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:572853666:572860351:-1 gene:SECCE6Rv1G0408440 transcript:SECCE6Rv1G0408440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSQFASSSMNEIIDKYSTHSKNLGKTDQPALDLNLEHSKYANLNDQLAEASLRLRQMRGEELEGLSVDELQQLEKNLETGLHKVLQTKDQQFLEQINELHRKSSQLAEENMKLRNQVGQIPTAGKLVVADTENVVAEDGQSSESVMTALHSGSSQDNDDGSDVSLKLGLPCLTWK >SECCE6Rv1G0435850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772980218:772980820:-1 gene:SECCE6Rv1G0435850 transcript:SECCE6Rv1G0435850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSQAADPVPLPLVPCPDCGRRVVTFVARRGQFEGERFYKCRNHNPGRGGCDFYRWQEAYAEHLAVLGPAAPPLGQINPGENEVAGANQGGQMQPVDGVAAQHNGAADGSQMPSAGSGVVGYQQRGRAVDPRPAAITLDAASINLVVSVGNMAICVAILVLVASSTVMRAFD >SECCE7Rv1G0512060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:806577583:806578846:-1 gene:SECCE7Rv1G0512060 transcript:SECCE7Rv1G0512060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAALCFAPTLRAAKFGSCEFPELTASPEFPRLKQLTLCRVTISEDSLHGMLAGCIALESLSLDRNVGIGRLCISSPTVRRFSFSPHRDKQGIVTCQELLVQDVPRLERLILHDSHIGPATIRITGAPKLELLGLLSHGISTLKPGATVLQKTIDVSLTTIMHKVKILVVDSIGPNLDAIVGLLKCFPFLERLYVISHPQEDMNNVRKYDPLDPVECLELHLKKMVLKNYDGNRTQVIHFAQFFVLNAKVLKEMEIGVVNRCNSKWMRFQRKRLQVENRASRDAQIELKWDTKKSFKYHGFSEADPFDMSSC >SECCE7Rv1G0523750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883429148:883431235:-1 gene:SECCE7Rv1G0523750 transcript:SECCE7Rv1G0523750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIDFWNAWAVQSLVLASLTLQVLMLLLAGIRRRETSWRLFSLILWLAYQLADATAIYALGHLSFDGATRREHRLVAFWAPFLLLHLGGPDNITAYSLEDNRLWLRHLITLGLQVVGAVYVLYKHYIGTHDMFVLAVILMFIVGVLKYGERTAALKGSNMDSIRSSLKKEPRAKCHFYLDDRPPKGGFKAKIDEEEFLMRHAHSLFHICKHAAVDSSSGMGEDNRETKVLEHLTYEQRYVLMELELSLMYDILYTKAAVVHNLFGYCVCIVSPAAAAASLLLFQFSGKAGHSRVDVLITYILLGSALLLEMRSLLSALGSSWTLPFLCGTRWSWLQHEVLCAGGWDQLRRRIVSLHGLIKVMGLSTWLRPARRLSGTVGQYNMLHLCSHPSKRNGPLLGRFANMLGAQEWWDREHHSWTIKFPEELKQRLMLYLEKLVNDGKVSTQGIIRKKWGEEALKACNLDEDMFEDLKRKLGVEFQEGVIIWHIATDLFLLHENRKKATEEPMEDEAFYEESIRVMSNYMMFLLVDRPYMLPGLSQSKLYRLTCENLVSIWSKEGQEHPAPSCGDLFRMYEDPNSRTSLRDRKKLADMVQEESPNPTDEVPRVSYAIAVARALVWNDKQVKRKNNSLRVLFSVWMDFLVHGANKCSRESHAKKLSSGGELTAILWLLTEHLHQLVKHNRQLKAEEAKQGI >SECCE4Rv1G0262460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:707637647:707638531:1 gene:SECCE4Rv1G0262460 transcript:SECCE4Rv1G0262460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMPRDSSASGALVSSDSAAMAPTKAAGKLPFPLLTRTNYAAWAMRMKYLLRANGAWGAIDREASSSEVDKGKEEMAMTIISQSIDDSTLLRVAEKETAADVWAALRSMHVGVERVREARVQSLRSEFDGLKMSDAESVDDFAARFTTFVGHIRELGDPMEEKYVVKKLLRAVSNKFIHVASSIALFGDTNKMAMEEAIGSLKVHEELVKGRETAREEEQLLMVRGHDSSRGRGRAGRGRGRGHGRGGGHRDKSEVQCYNCDDFGHFAWECPEKKKDEEKALLGVEDEPALL >SECCE1Rv1G0032210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:452213795:452215366:1 gene:SECCE1Rv1G0032210 transcript:SECCE1Rv1G0032210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASARGLQPHVFPVSPPPAVHHSTPRPPCITSVRSSRYKNHTARAYRRVTGAQDMSAAGDRDLRELAPLEAILFDIDGTLCDSDPFHFLAFRELLQEVGFNNGVPITEEFYSSNISGWHNDALAGALFPELDHDKAMEFMDRKEALFRKLAAAELKGLDGLQELCAWIEGRNLKRAAVTNAPRANAELVLSLLGLTSFFPVLVIGSECERAKPSPDPYLKALELIGASPDHTFIFEDSASGIRAGVAAGVAVVGLTTGNPEKVLRDAGASLLIGDFRDPKLMAMLQELDPAAADKQG >SECCE6Rv1G0411190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:605966729:605972197:-1 gene:SECCE6Rv1G0411190 transcript:SECCE6Rv1G0411190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADELLKKIRVLEEGHAELKREVGKLMPDRRAAARRRALRALPQQQPSSSSRRVAARGRLPDRHCHWILQSLGQAVHIIAPDGKLLYWNRYAEHMYGYSAAEAVGRNAVELIVHPTDFDSAKIVIQNIFMGKCWRGRFPVMNKAGERFFISVHNTPLYDDDGSLVGLICLSLDVRTLEEIFSPSDSAESYPSTAKHRFHANDRPTSGSVNKASLHSQRPLQSAITSKIVTLATSVTSRVRSRIRTGQNSDKQYGTGCEGQYSQLDLQAELASSEENTPSGDVMHGAFVAQEKSLGESSKTSSDDPGEVKVGSYKFFGSKAEALLAKKGISWPWKGHENDGGSGKNNMNSTQLQDKQESDQNHQRVAVIEPIIITDCQNSEDTWATKYEVSGSWWDFNKNSMSSMSSTGSTDSSGIERVDYEADCLDYEILWEDLVIGEQVGQGCCGTVYHALWYGSDVAAKVFSKQEYSEEMINTFRQEVSLMKKLRHPNIILFMGAVASQERLCIVTEFLPRGSLFRLLQSNIGKLDPRRRVNMAIDIARGMNYLHSSIPTVVHRDLKSPNLLVDKNWTVKVADFGLSRLKLDTFLSTKTGKGTPQWMAPEMLRSEPSNEKSDVFSYGVVLWELATQKIPWDTLNTMQVIGAVGFMDHRLEIPSDVDPQWASMIESCWESDPQRRPSFQELLKRLQGLQKQYTVQAQTERKAAGKGARKTSINDDG >SECCE1Rv1G0025790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:360090947:360098554:1 gene:SECCE1Rv1G0025790 transcript:SECCE1Rv1G0025790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYTAPRAPLPATAASFPPSSSSSRQLDPNPSRFLAAHRGQRLLRVRRLAGAAPTRRASDAASVFRCSARSPGADPGGERRRGWDALLHDAFQGAVRRWSEYVGNYWPSAHPSKEAGLATGAESSHELEERGQGVEEDGEEVDMMQGEGKWSWERWKQHFALIQESEGLVDELQLQLRTAVCREDYRSAHKLKLAIAATSRNDTVGRAISELHRAIEEERYVDAAYIRDHAGAGLLGWWSGISGSLSDPYGIIIRISAEHGRYVAKSYDIRQLASDGHGYPVFEIYFAEANGGYNLQAVHLKPDVSDSDQLRNMLSGKLDVSNINISSSSLGAKHEEHDEGMNMDDQNSDDSDVAAGPAGLKNLSNDSTPIPRIKILKVAPMENINQDYIIKIFDQISEEDDDNDEADIENESSQDIDDEDNNEEAGTISAEENNDESGEESDIEALLSIGIEVDNDKDFASQSSPKTFERMPAKLDKRDRFSFTFYTEQSSKKPAAEKAQHIPRKRVGFRTTEQDGDLKFDRVKLSGGNRKPILQLGIKQLNNKVQPKLYGVTHFSRIRMPISSDPLSGLYETASGFDSEVLSLQRKFGQWQEDDSSEEHLDLKFYEYVEAAKLTGDNLVPAGQVVFRAKVGKHYQLPHKGVIPRELGVVARYKGQRRIADAGFKNPRWVDGELLILDGKFIRDGPVIAFFYWTSNLHLFEFFRRLSLPD >SECCE4Rv1G0232350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:196608029:196610222:-1 gene:SECCE4Rv1G0232350 transcript:SECCE4Rv1G0232350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS2.1 [Source:Projected from Arabidopsis thaliana (AT2G06530) UniProtKB/TrEMBL;Acc:A0A178VT12] MSFLFGKRKTPAELLRENKRMLDKSIREIERERQGLQTQEKKLIAEIKKVAKQGQMGAVKVMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMSQMNRQMNLPALQKIMRDFEMQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVSQVLDEIGIDINSELVKAPATAVAMPAAAGKAPVQAEAAGGMDGGIDDDLQARLDNLRKM >SECCE5Rv1G0334770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:567972870:567975210:-1 gene:SECCE5Rv1G0334770 transcript:SECCE5Rv1G0334770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEEVQEHCSYLFSQQEIVSLYERFCQLDRSAKGFVAEDEFLSIPEYSTNPLSQRLLRMVDGLNFKEFVSFLSTFSARASLQQKIEFIFKVYDIDGKGKVCFKDLVEVLRDLTGSSMSEQQREQVLTKVLEEAGYTRDSTLSLEDFVTIIDHPGLKMEVEVPID >SECCE7Rv1G0506420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:748324277:748326191:-1 gene:SECCE7Rv1G0506420 transcript:SECCE7Rv1G0506420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMAKQLLQLQAVASATHQWNGARRRQLLAPLPPRHAPAARAGRFAACFLACLLALAAGAIFMLCITLHRAEPDASTAASPRGSGYAVVINTWKRYDLLKRAVAHYSVCAGVDAVHIVWSEPREPPEALRRSVLNCSRAAANVGFVMNRGNSLNNRFRPIQGLRTDAVFSVDDDLIVPCSTLRFAFGVWQSAPSAMVGFVPRMHWPADPRSNSKECRYGSWWSVWRTGTYSMVLSKASFFHRRYLDLYSNHMLPSIRDYVTQNRNCEDIAMSFLVANVTGAPPIWVQGRIFEIGSAGISSLKGHDLQRSRCLNAFASMYGHMPLVATTVKAVDGRTSWFW >SECCEUnv1G0551110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:229701223:229701636:1 gene:SECCEUnv1G0551110 transcript:SECCEUnv1G0551110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPSPPPWGWSTGFITTPLTTGRLPSQHLDPALPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVADLPSFAMSFATAPAALANCPPLPRVISMLCMAVPKGISVEVDSSFLSKNPFPNCTSFFQSIRLSRCI >SECCE5Rv1G0322670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:422467662:422471581:1 gene:SECCE5Rv1G0322670 transcript:SECCE5Rv1G0322670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGELLPRIAVVGAGIFARTQYIPRLREISHLVVLKAIWSRTQESAEAAAELARDFVPDIECKWGDAGLEEIMGDPSILGVAVVLAGQVQVELSLKMLKAGKHVIQEKPASGSSTEAETALSVYNSFPNKFPYKPIWAVGENYRFEPAFVESSKLMNDIGDMMNIQVIVEGSMNSSNPYFNSSWRRNFVGGFILDMGVHFIAGLRMLVGSEVATVSSISRHVDMDLPPPDNICSLFQLENGCAGVLVFAVNSRSPKILWRVDGTKGTVQIERGVDGGKHGYQVLFSNESGECQKTFYPFCGVHEELKAFVHDMVQASKDGDHKAEPRSSYVEGARDVAVLEAMLESSMKQGAMVQVKKF >SECCE2Rv1G0100830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:548819751:548819960:-1 gene:SECCE2Rv1G0100830 transcript:SECCE2Rv1G0100830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVIVATVLFVLLTPGLLCTLPGRGRVAEFGSMHTSGLSILIHAVLYFALVTIFLIAVGVHVYTG >SECCE1Rv1G0035080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:495358660:495360819:-1 gene:SECCE1Rv1G0035080 transcript:SECCE1Rv1G0035080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGDQVESIRSMQVRQVITQIISLGMIVTSALIIWKGLMVATGSESPVVVVLSGSMEPGFKRGDILFLHMSKEPIRTGEIVVFNIDGREIPIVHRVIKVHERQESGEVDILTKGDNNFGDDRLLYAQGQLWLQKHHIMGRAVGYLPYVGWVTIVMTEKPIIKYLLIGALGLLVITSKE >SECCEUnv1G0540380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:80565477:80569829:1 gene:SECCEUnv1G0540380 transcript:SECCEUnv1G0540380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPAAIALLAALDGNLRLLKKMSKKMDLRETKDPKGLNALHFAANKGSLEICKFLVEDVGVDVNSVSSVGATPMFYAALKGNLQVARYLLDHGSDPAKPSERGLTPLHNAAEHGHCEIVKLLLSKGVDVDVINYRGTPLHMTAAKGQHQAMKILLEHGADPNRVVNHIFSPLMMACCGNSLECMKLLIEAGADVNGNSSSGPTPLTGAVDDGSTEFAKFLLEAGADPNIPNQHGDIPIKRAAVRGQRELVELLFPKTTPIPSVPDWSVDGIITTMKSPQTRVQDRASAEERKADLKSQGKEAFAKKDYFTAMYYYGLVMEIDPLDATLFANRSLCWLRMREGDRALADAQRCKMLRPGWSKAWYREGSALSYMEDYQGAVDAFQEALRLDPDSSEIKKMLSEAKSKVTR >SECCE1Rv1G0010640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:64430079:64432057:1 gene:SECCE1Rv1G0010640 transcript:SECCE1Rv1G0010640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKKVDALSALPDDVLVNILDRLTVREAARTSILSKRWTQLCAKLSRLIISAQHFLPEGVSCIDISDDELVRINEAVVQAIESILTRRNPGEHTIRLLSTTFYLRDDVPISIGHAVGHAMTAHLVENVKFSVMTGKYDIIDMVEDDLVTSARNFMLFFDVCPNAFGGLTALDLENLRFGESDISNVLNTCKRLKRLRLYNCDSGDCSTLPVEHSHLSELSIVHCSLERVMLNWLPQLTRMVFEAWLRFQDPLFIGHAPLLEAVCLTNLSLSYHKMVKLSEFLSGSSIRDLKLRFSSEKIWVQPECPTQCLASVFRQLRFLNIVDLPEGYDLTWTMYFLEAAPLLKELYITVWDHECKMEMDEETRKEESYSENKGVEWDSAAADFQHHSLVTLVMFGFESEDCFVSYVRRILAAAVNLEDVFLYCGLECDDCPEKKLGRYPWTKRQRISLKKRMTAGIESFAIFHYGNMRTDHLKRREYPKCSLQEAKNDFL >SECCE1Rv1G0014930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:118664447:118668573:-1 gene:SECCE1Rv1G0014930 transcript:SECCE1Rv1G0014930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSATAGRPKLGEEKLIIRPEKVRLIDILSLLILRRPITSYAFVDAGDQTTRDVGVTPGDIFVVLTQIIQKALAAAYYPAKIIGAIVELVLNFFALNGGLLGIVWNIIRFKLVIPRREAANYRTMIGMIDGRTELKPAPAASVSDMRQLQVLDVVVSGEVADLESGGYVTAGTPLVLRQYLILEITVMAAKIAYENAAFVKNVVNNVWKFNFVGFYNGWNKFLKEDTTQAFVFTDRAKDASVVVVAFRGTEPFNMQDWSTDVNLSWLGMGAMGHVHAGFLKALGLHEEDGKDTGRAFPKDAPNGAAPVGKSIAYYKLREVIRDQLKTHPQARLVITGHSLGGALAAVFPALLALHGETEILGRLGIVQTYGQPRVGDATFVNFFRAEVEKAAAFYRVVYRYDIVPRVPFDAPPVAEFTHGGSCVYYDGWYDGKVLPGDAPNPNYFDPRYLLSMYGNALGDLLKGAFLWTKAGKDYREGPISLLYRASGLLVPGLASHSPRDYVNAVRLGRIAAKSL >SECCE4Rv1G0296760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904445604:904447469:1 gene:SECCE4Rv1G0296760 transcript:SECCE4Rv1G0296760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRQKLWQRLYSSLHGTRPPITNQVTATHPCMTQKLAAGRTLIVDVDAGLLLPSSSFPFSYFMLVALEAGGYLRGLVLLLLYPIILCMGGDSDVAVRLMAMVAFCGLRPSQFLVGCAVLPKWLMEDVAAETFETMRTSGAAGGRRVCVTRKLPRVIVEGFLREYLGAEAVVGKEMKVLWGFYTGLMDDGDEGMLDEQQKIMQDGDDAVGFSGSIEFFQHPLSRCCKKVYIQTRDDIAAWQALPRNQYPKAMVFHDGRLAFRPTAGSTVAMFMWLPLGVALGATRLAVTLTMPYRYATMILAATGMSWRLKAGEQPTLLGADDNRGRRRGQLYVCNHRTLIDPVYVSVALDRPVRAVSYSLSRLSDLISPIGRTVHLARDRVIDGNTMTHLLDLGDHVVVCPEGTTCREPYLLRFSPLFAELSDEVVPVGITVETAMFYATTAGGLKFLDPLYYLANPRMCYTVQFLERVSTYAVREGKVSSAEMANLVQRKIGDALGYGCTMLNRKDKYLMLAGNDGVVHKVDGN >SECCE3Rv1G0171130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:264588458:264589591:1 gene:SECCE3Rv1G0171130 transcript:SECCE3Rv1G0171130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCLEFVNSLHPRRQPPPQHSLPSTTTRSSTPSASAIVVSETSGHHVLKIEGYSRTKMMLQRGQHVASGEFQVGGHTWRMKYYPNGCDQGSAGYISMHLESTGIGMGVDVVHAKVKLSLPGHAREYLPLCAFTKDECTFTKHDSSISFDRFVKRAELESSRYLRDDCFNVRCDLTVFVNEVRVEPAVVVPPPALSRHLSQMLSYQEGSDVSFKVGHETFAAHRCILAARSPVFKAELVGPMKENKMRCVHVEDMDAAVFRALLHFIYTDELPATTRKEETAMAQHLLVAADRYDMERLKLVCQDTLCRHLDAATAATTLALAEQHQYPRLKEAVFAFLCSSPASLRAVLASDGYEHLTTSCPSITKELVARLAAAL >SECCE7Rv1G0508330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:771399200:771402684:-1 gene:SECCE7Rv1G0508330 transcript:SECCE7Rv1G0508330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPSPAASAALHREDLLRVAAPLRSLLAPAPYAPPEGSDTSIKSLLASLLPPPSEPPTGGAGKEAVDLLLFCAAARAASAEAPALHWVPEGLSKAAAAAMEEMAAMGGWGGVPEMLVAMMPEAVPPLKAVLKETGVDANDDMMMIGAVKPPKEHAFVAAHQFRWLLSQVNYPKLGDLCWLVIPCALTALDHWSPDVKEQGMISFMHIAKNVKVTELSLYEDAILDACCHNIPADDELWYRVVEVSVLLLTCTQRSNPRSPWYDRVLSEMLGHLERQPLNKERRVAWLTLIGPVLDAMGLFLLAHFRLLFSLFFQWMHADDDRTVLLVLERIHTVIKLTWIRKSPYTSRLVDELVLLYKESAMRKSREIMRNQIVEILMLLQKCKGEQFEEAWKNHEVDPDLTLLLSRFEELCTRDCLREF >SECCE7Rv1G0483950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:334668508:334670273:-1 gene:SECCE7Rv1G0483950 transcript:SECCE7Rv1G0483950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSEDCGWLLYVSLAAKCCGNGDGEPYRVVAFVAVLLAALVVTSLLHWASQGGAAWGRYWWTRKGLGIGEARIPGPRGFPVIGSMGLMTGLAHRKLAAAASGNVRRRQLMAFSMGETRVVVTADPDVARELLASPAFADRPVKESAYGLMFHRAIGFAPYGAYWRTLRRVSSSHLFSPRQVAASAAQRAVIARQMVDAMRPVGSAGIKPVEARRFLKRASLYNVMWSVFGRRYELDEAGSEEAAELRSLVDEGYDLLGQLNWSDHLPWLARFDLQKIRSRCSALVPRVNRFVGRIIDEHRAARNDADAVIDFTDVLLSLQGSDKLSDADMIAVLWEMIFRGTDTVAVVIEWVLARLVLHQDVQARAHEELDRVVGPNRAVTESDAASLVFLQAVVKEVLRLHPPGPLLSWARLATSDVHVGGLLVPAGTTAMVNMWAITHDPAVWGNPAEFKPERFLAGPSSDHAAAGAEFSVTGSDLRLAPFGSGRRSCPGKSLAIATVGFWVATLLHEFEWTPASSDGPGGVDLSEVLRLSCEMAAPLEARLRPRRAV >SECCE7Rv1G0467200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:84979264:84980562:-1 gene:SECCE7Rv1G0467200 transcript:SECCE7Rv1G0467200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEGKSCLVSRSLPSYCDPESDWGFLLSGSKRPAPEDFGAEDMEEVDAGGSSSGKRSKSPSPQPHTPDITESHGSSRHASSGSSGGEQQGSGANLIGEIGRDLSINCLLRLSRSDYGSVASLNRDFNSLVRNGEIYRLRRQNGIAEHWVYFSCNVLEWDAYDPYRERWIQVPKMPPDECFMCSDKESLAVGTELLVFGMAHIVFRYSILTNSWTRADPMNSPRCLFGSTSVGEKAYVAGGTDASGKILSSAEMYDSVTHTWTPLPSMNRARKMCSGVFLDGKFYVIGGVTNNNQVLTCGEEYDLNRGSWRVIENMSEGLNGVTGAPPLIAVVNNQLYAADYSEKDVKKYDKLNNKWIALGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTSIGGIIELNSWVPDEQPPVWNLVATRQSGNFVYNCAVMGC >SECCE1Rv1G0010570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:63549579:63551861:1 gene:SECCE1Rv1G0010570 transcript:SECCE1Rv1G0010570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHEQEKQQGDDASPSWSSLPDDVATEILAHLPAKSVVRFRRVSRSWNATLSSASFVELHLRRANYQSAAGGPKLFFSPTDEPSDEYYFYAWQPGGTVTKLMNNDLLRPAPLTRPLRGLVLLRCVCSGGYYVCNPSTGAVLPLPDTRVPFKMNSRYSIPRYWDVVYGLGYCLASHQYKAVRIFSNEDSMDAPCCDVFRPATSELPMCVVKEANPAVFLNGHLHFLCRYDGGILTFNVTDETFDSMPLPPYPLGQDPLSLRMTELDGCLCVFDAVSGDGDGLCHVWLLRDYEAQRWEQLCCIDRAAWPEPERTQLQSSWIAPLGLSNASNGSDKKIMFGTGTCKVFTVDQNGGGVPEIVFRPDEAIAGGCDDTYDFPALGLFEESLVHMGRDIEEMVFSSPVTEAWHHVLKWLPARSVSKLSLVCREWRAMITTDCFIRSHAAAHATRLRIKFAIDPLVGSFSDLDDHINNLLFYIPYDNPVQFSQPCHGLNLGRWSYGDFLYNPTLGTNMKIEDDHVMVSLAYEEKNMETREYKLRCDVRCLQRDDDWCSYDPPPRPVAVDVPPAYVNGNIYWVVEPMLGPESEVCEFLVFDVIDREFEVMRGPSWRSHGSGRTSILELYGAIYMAWWDEDRNAIDVWRMKDMDGAWYVECCIELDKFTPQYSSREATLMSADPIDGRILLNTGRSLGYYNPKTAELETIYTVGEPQGYRGFSAAVVQDSLVCPYMKW >SECCE6Rv1G0382480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:43369577:43373926:1 gene:SECCE6Rv1G0382480 transcript:SECCE6Rv1G0382480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVTGRFVLAAAVVAVSLVMASAARHDYGDALSKSLLYFESQRSGRLPYNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWAVLEYGDQIAAAGEGAHALQSIKWGTDYFIKAHTAPNELWTQVGDGDSDHYCWQRPEDMTTSRRAYKVDAEHPGSEVAAETAAAMAAASIVFRRAGDAHYAHLLLHHAQQLFEFADKYRGRYDASVRVVKSYYPSASGYNDELLWAALWLHRATGGREYLDYAVANADDFGGTGWGVSEFSWDIKYPGLQILASKLLLEDKDLSREYRAVLEKYRAKAEFYVCSNMNKNPGGAARNAPRTPAGLIFIRPWNNLQYVTNAVFLLTVHSDFLAALGEPLRCTVDEDADTSDTGGETAADIVVGAEEVLAFAKTQADYILGTNPMETSYLVGYSDKYPRRVHHRAASTASFADEKGFIGCAQGFDSWYSAGEENPHDLVGAVVGGPDGEDRFNDQRGAYMQTEACTYNTAPMVGVFSRLMELDLQERLREQRDL >SECCE5Rv1G0330950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:534858848:534862010:1 gene:SECCE5Rv1G0330950 transcript:SECCE5Rv1G0330950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEEDRWGGTAGIMDFRSSNGGSSSERRPAEGAPLARQGSIYSLTFEEFQSTLGGGAGVGGSDLGKDFSSMNMDELLRSIWTAEESQAMAASGAPPTSLQGQGSLTLPRTLSAKTVDEVWRNLVRDDPLPVGADCAEPQPHRQATLGEMTLEEFLVKAGVVREIPIAPAVPAPPMQPRPVPVVPKGPSFYGNFPSANDAGAATLGFPPVAMGDLALGNGLMPRAVGMGGAPLVVQAAVNPVDSGSKGSEDLSSPSEPMPYSFEGIVRGRRTGGGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKDLNDELLRKQAEILEMQKREQAPEMKDQFGRKKRQCLRRTLTGPW >SECCE3Rv1G0184130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:659921160:659923066:1 gene:SECCE3Rv1G0184130 transcript:SECCE3Rv1G0184130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFLALQCVECSTMQVKQQKKSSNKWACVVCNRRQSVLRVHARGYRAADLRRFVQDANLARGRGEPVREADWDPPAAGDQQDEPPRERKRMDWSEYLDDTGERHGGRGFADSRDDGVEVTTELPQERPKAPSLKRPPKAQLGVAGKRPKPPINPSLSKMQQMEQGQTCSTVCSATSTAEAQRSKFSKYLDSSFFEDRNQEGSGLHWTDLDESAPTTEVVVDDEVHPDFM >SECCE3Rv1G0149060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:22186903:22189320:1 gene:SECCE3Rv1G0149060 transcript:SECCE3Rv1G0149060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMAAFAKMAVEYLEDPLIWLFLVSVSVVLAILWRRRGNAPFPPGPKPLPIIGNMALVNQLTHRGLAEKYGGLLHLRLGCLHVVAVSTPEHAREVLQARDGAFSNRPATTANVYLTYGRSDLAFADGGAHVRAMRKLCATNLFSRRRAETWLAVRDGYGAMARDVGGRGGQAVNLRELIFKHTVGVIFRAAFSTGDEGLDEFTVILRVFSKILGEFHVGDYFPWLRWTARLGFNRRLHAARSALDRFTDKIIDDHLRRGKNPADADADLVDGLLAFLAEANLSKGKDGEDALPFTRDNVKAMIMDMLFGGPETISSTIEWAMAEMMRSPDTFARLQQELADVVGLGRMVDDSDLDKLPFLGCIVKETFRMHPPIPNLLHAAAKDCILGGYSVPRGTRIIINMWAINRYPKAWKDGDTFRPTRFMPGEGDAVGRDLKGGSFEFLPFGSGRRSCPAQGLGHHAVQLAVAYLAHGFNWELPDGMSPAELDMGDMPGITGPRATCLYVVPTSRLNCML >SECCE3Rv1G0162620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:134504828:134505430:1 gene:SECCE3Rv1G0162620 transcript:SECCE3Rv1G0162620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRYTVPFSSALINTTVTSDTDAADEWVRRVRAANDPAGRGLIVGLDCEWKPNYRSWTTSKVAILQLCVGTSCLVLQMFYANRVPAAIRGLLGDPTVRCVGIGVGEDASKLAEDYGLVCAAPVDLEGRCNEYLGIGGLGRRRLGLKGYAREVLGMTMEKPRHVTMSNWESRQLDVAQVQYACIDAYVSYKLGERLLDN >SECCE4Rv1G0253980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:631881576:631883629:-1 gene:SECCE4Rv1G0253980 transcript:SECCE4Rv1G0253980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGGAGIGNGIIKRPPQQCSVAVEKAAHHADGETEEEDEGKPWIARKWISSLAVCLVALPFLAIMVSRRDRPLPLASGWTSAATARTTYDRRESNQDGLLGGLLVPGFDEQSCISRYQSTSHLKKLARSPSTHLVKRLREQEVLQRRCGPGTEIYRRAAEQLGSRNGTGTTHAEDDDACKYLVLVPYRGLGNRILAMASAFLYAMLTDRVLLVHRGTSLPDLFCEPFQETSWLLPPDFPLRDLENLTGEVPESYRNLVREYGRAASVSELPYVFVDLDHACTYHDKLFYCDDHREFLHRAPWLVMRTDGYFVPALFLNPAYQDELDKLFPRKDSVFYLLARYLFHPTNKVWGLITRFYDSYLKNSDQRLGIQVRVFDGDTPFKHVLDQILACTSQEHLLPDVVAQEPGPPVTTAGARSKAVLMTGLSSWYFENIRARYWQSATAAGEVVSVHQPSHEEHQLTGEATHDMKALAEMYLLSMTDAIVTSGWSTFGYVGHGLGGLTPWIMFKPENLTTPDPPCRRAASMEPCLHGPPFYDCKARRGADTGKLVPHVRHCEDMSWGLKLVHTE >SECCE6Rv1G0423330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695419264:695420568:1 gene:SECCE6Rv1G0423330 transcript:SECCE6Rv1G0423330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNRGRRLRDSKKAAGNGDMLGKLPNDDMLSKLPNDVLLNILERVGTLDAVKTCILSKEMQKLPGMLSQIVIDLSPRDLVRMNGVVANVTANILSTRSPQITVRKLKVKFFLSPRSLPIGKAVALAMATQKLDAAEFEILTLRDSFNCTGEYFLLFAKQFNDFLSDCPDAFAGLTRLQLQNMRFGESDIPNILSTCKRLQSLSFFECDAGVRSVLHVQHAQLVELVITYGEFKTVVLDFLPKLQQMTYNNWPCDENPLVLGTVPQLWKLSLANANLSGKTLNLSKLLANAPAVSHLYLEFRSEKIWVQPECSKVLAPVLAKLRLVDLDNLPEECDIAWSLGQCSFLKLHHT >SECCEUnv1G0562880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:369926669:369928545:1 gene:SECCEUnv1G0562880 transcript:SECCEUnv1G0562880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLYCTRIGYSYTKRPLAYSISTDGGPTVPVKLFIDNEKKRVLFAESDKEFVDVLFSFLTLPLGRIVRSFGVRSQIGCLDELYMSVGSLSADHLQTRDCKTMLLSPVNAAAVHLDRLKVKIDSTRGKGFYLCSDRRCGKFTSFYDCNICRCGSSKSFWEYPSDYLVTAGDNEDGVFVKTGTKFIVTDDLQVAPSSTSLVFDLLDRFGLQDQANLEEKNLELNFAKISSLLQRALMSRQPLTGLCFDVAISPDDIDVNRLPENPLLKQAGETKSVFGPIKIKLVHTKDNSSVLYVEAGADFLDILFGLLAVPLGSVIRTYGQWSPNGCIDNIYSSIAGSAKACVRQERRSLLLTPNLAPFFGCSRNVLQADELKPRSLTFSCFSCRRIAGGKKCGCTMPVNVTLHEMNPKSPGNSSDNTAKAYVKGGMRNFLVTNDLRVSHFSLANTLQVIRAAKIPKEKLVEKELALDKRQVLKLLRTAMLSRDALTSLLLPSQN >SECCE1Rv1G0047430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:625226312:625226872:1 gene:SECCE1Rv1G0047430 transcript:SECCE1Rv1G0047430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNQRFSLLKQPIYSTLNQHLIDYPTPSNLSYWWGFGSLAGICLVIQIVTGVFLAMHHTPHVDLAFNSAEHIMRDVEGGWLLRYMHANGASMFLIVVHLHIFRSLYHASYSSPREFVRCLGVVIFLLMIVTAFIGYVPPWGQMRFWGATIITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFF >SECCE3Rv1G0204700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:896373056:896374675:1 gene:SECCE3Rv1G0204700 transcript:SECCE3Rv1G0204700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g21470 [Source:Projected from Arabidopsis thaliana (AT3G21470) UniProtKB/Swiss-Prot;Acc:Q9LVF9] MASRAKQLHAHYLVAALGDPDKWTCLVKEYASNASLRDAALVYARHLPRQTHHLPLLPVLLKAAGSRAAPGLGRSLHTEALKSAFAGDLLVGTTLVSMYCKCGLLADAGRVFDGMPGRNVVTCNAMLAGYAAAGDTGQAEALFGRMGSRTSVTWVTVIRGFAEKGDMEGARRWFDATPMGMRTVVTWTVLVHGYVATGDMETARELFDAMPARNVFVWSSMVTGYFKVGDADEARAMFDRVPVRNLVSWNALIAGYAQIGRSEEALHAFHSMLEDRVKPDEFTMASVLSACAQLGSLKQGRKVHDFMDRTRIRKNHFVLNGLVDMYAKCGDLDYARKVFDSIQRRNTECWNSMISALASHGRSQEAIRLFSQMECSEQKPNGVTLLAVLGACTHGGFVEEGLRIFNSFGVYGVAAGVEHYGCLVDLLGRAGRLEEAHAIVKSMAMEPNEVIWGALLGACRVHDNGEMSERVSDEINQLRSDDASTNDAEYIMLSNILAASERWEQAERVRRKMASHGVEKTPGCSSVELDIPEHKVCCR >SECCE7Rv1G0478760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:240835755:240837545:-1 gene:SECCE7Rv1G0478760 transcript:SECCE7Rv1G0478760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g37310 [Source:Projected from Arabidopsis thaliana (AT2G37310) UniProtKB/Swiss-Prot;Acc:Q9ZUT5] MKLPAWLTAVPPDPRVYGDLIQRCADAGHLGAGRQLHARLATLSVIPSNFLASKLISLYSRTGRLHDARRVFDAIQQPNLFAWNAILIALSLHSPQPSAALRLFAASGVSPDEVTVSALLKSLAASGPGLSPLVAGELHALAFLRGFGADLFVSNGLITAYANAGDMRSARAVFDEMPRKDVVSWNSLISAYARGGWYTECLELFHELVRACAGDGVRPNSVTVTSVLHACAQLKAVDFGVYVLRIANESGLDMDIAAWNSVVGFYAKCGRLHYARQLLERMPKKDAVSYSAMITGYMNNGHVDEAMDLFRQADAKGINTWNALISGLIQNGRQSDVLGLLHEMMGAGILPNTATLSIIMPSAPLFSTLLGAKQAHGYVIRNDYDQSNNVVSALIDAYSKAGFLDMARKVFELHENRSTIAWTSIISAVAAHGDVTEALDLFNQMVSAGTRPDTVTFTVVLTACAHAGKVTEAREIFHSMQAMFGISPVMEQYACMVSVLSRAGMLKDALDLVNKMPFEPNAKVWGALLNGAAAVGDVELGRFVFDRLFIIEPKNTGNYVVMANLYSNAGKWEEAETTRSMLWGVGLEKVPGCSWN >SECCE2Rv1G0085110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:185970519:185972816:1 gene:SECCE2Rv1G0085110 transcript:SECCE2Rv1G0085110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASYPSSPHRPALRPGSLQRLLRPPDPSDTDDAPTPRSRSRSRSNGRVLLQVTNITPALSGADPFSGHQGFYLRLSDSARSCYVSLHADHDDLILANGLHIGQVIEVDHLTPSVPAPVLRSFRVLPGRYPCIQHDSTDDDVDAAAGSARAEVKDKEVVSERPRPRPRRPSPTPPLPERKAWQSGSPASMGHGHRSRSFTTLSEACAAPVKPVKRSEGERKAADFLKKVRKISVASIDGNSSDVDDDDDESDVSSSLSTARRNWDFTGSIKDMRPAGPRRRSNSISPGKSGPNQSGTTNDPLESVRRKAEKAFKVLSKRSAHASSRTPRESSSAAGTPRSTGGTSGIRWCDDNVLWSSLSSSLTRHGKEAVKQRDMALQAVLDGLLEASTTEKLIKCLSTYSELQSDKDDDPRELIDRFLCFSQELDHAIFVAQSQTRLRQAKSGGSSSTSSASAKVAMKAALDRKQSAISWVRAAIEADLSPLSSHSRVTSESEKPSLSESKPVTPRLCCSKTKCNCNSKSSSRKTADASSQGGKLSAAMDLAVALRSECNRWFLRYIDKFLDDVENEAGYTRTCDSQVAGLLQQLKRVDDWLNHVVRHERMLPGPGDRSSRDCVFSEEEENDACERVRRKIYGALLRHVQHAAIALESMSNVVTEEEN >SECCE5Rv1G0376150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871319046:871321019:1 gene:SECCE5Rv1G0376150 transcript:SECCE5Rv1G0376150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFSGALQWWDEWHLRVLALGSLFIQYFLFVSGTVRRRALPAWLRLFIWLAYLGGDALAIYALATLFNRRWQLPGDGSVSGLEVVWAPVLLVHLGGQHTMTAYSIQDTELWMRHAITVASQVSVALYVFCKLWSGGDKGLLQAAILMFVVGIIRSCQKPWALKSASISAIASSSATLVPSSPSALRKQGMVALFWQVCTCGYGYGFQSTGFLEAVAEEKDILPQEFAKEARKCVLESELAHDQDKAEILAEPSVEMYVNMILGDIPVPYSTRIKILQHFMALDFPHAYHLSDRMFLLSFLLLYTRKTILISRLGLCLHLLLPFLALASVVILFSTGHKYLGYKYNVTDVKVTYILFSCTALLDFLMLLVGRFITFIPPVKVAQHSLLSCASRNKRPTILMKLATVVCCKDYVNMHCYIEQAPETSTAYIVHLVHGYVKDGWKEHIHNADSYRRFNSRGGHWTLRKRRLGRLRWSLNMAFDRSVLLWHIATGLCHGSTTNRIPECVQGSMVISNYMAYLLCIHPEMLMPGTRSSIFTSACHDVELMLGHESPLGDLVPNAHRLHQQLLELHDEGDMWEVVQGVWVEMLCYSASRCRGYLHAKNLSEGVELLSRVWLLLLFMGMETFADRFQRPFTRREVHNDNAVITDENEINISIV >SECCE6Rv1G0420020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:674743779:674745772:1 gene:SECCE6Rv1G0420020 transcript:SECCE6Rv1G0420020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKIMPPMAAGLIVFFLAVLLSAAAGGDAAVVEHTFVVSQVRMRHLCNDTLVTVVNGQFPGPALEATEGDTVVVHLVNQSPYGITIHWHGVKQRLTCWADGAGMITQCPIQPNTTFTYRFDVTGQEGTLWWHSHVSALRATLHGIIVIRPKSGAYPFPKPDVEVPVIIGEWWQRDLVKVDQNFSIGGSFDDNPAAATINGKLGDLYNCSGVAEDNFVLDVEPGKTYMLRLVNAALFSEYYFKVAGHKLTVVGADANYLKPFTTDVVAVAAGETIDVLMVADAPPCRYYMAALANQPPVPDPQIPVFVSRGVVQYRNIPKDAKNCTEKSPLMPAMPDQHDTITTFYFHGNLTGLQQPGGGNPLLPQVRDRVDERLFLTLGKGSMCTQNKTSCKRGGSNESFEVAYINNVSFHLPDTTAVLQARYYGGKLNGGSRAVPVQDLPGRPPRAFNFTDTALIPVVPGGKMEELEPTRKATMTRRFAHNATVEVVFQSTATMQSDSNPMHLHGHDFFVLAQGHGNYDAARDVRSYNLVDPPMKNTVQVPRLGWAVIRFVADNPGAWFLHCHFEFHMAMGMAAVFEVDNGPTLETTLPPPPSDLPKCSTR >SECCE5Rv1G0339070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604640346:604645186:-1 gene:SECCE5Rv1G0339070 transcript:SECCE5Rv1G0339070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering time control protein FPA [Source:Projected from Arabidopsis thaliana (AT2G43410) UniProtKB/Swiss-Prot;Acc:Q8LPQ9] MSSEPPPSGPSEAARPSASPPKEAEPSGAAAAAAAPGAAHETNALWVGNLPAHAGEDDVMAAFAPHEALDCVVTRAGSRSYAFVLFRSAAESRAALEALRGSKIKGALIRVEFARPARAVRNLWVGGISPSISKQEVEEEFQKFGKIEGVAFSHDQTSAYIDFEKLEDAISAHRALNGTDLGGKELCVDFQRSRGRAERSEAGNFNSRGSLPPGEMGVGHAKGSAGVRMREAKNPTNVLWVGLPNTHKVNEEALRRAMAAHGVVTNIKVFPERQYAFVEFATIEGASNAKNLLDGRLFNDTRIHVLFSSSGLAPSKLDNLTPPAGFPRSEMYNDSPYAAPDYFGAGRSSHGTSQGYDPRRGRSRYLDYGAVPITGGILPAPEAGSSLLSGYALDPREAKRVRMDAGMDPYHVRAGSEGLQPVADESFSSVIRIQGTAHQTSSLGHFWRGSIAKGGSPVCRARCLPIRRGIEIPLPDIVNISARTGLDMLAKHYGDASGFEIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDAGTTLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQMSNAAVQRPVLTGPESQAYYDGGDTMLALQRNYNTSSANHHQDADYRGSLREEAVQSGVSSFPMSQIAGQQGQSLKPDIMATLAKLMPNVQSTVPANSQVGNLQQSGQQFGRQAPAGYGGMVGAQEQHQTHTAYNPEVTLSLPPPPPLPTQGPVSALPTQQQYQPEHYYAPQNNYGSSGTVSQSNFQSSSTNLPFPPPHQMNDGPLAANNQMGNLAQLHQSSSFPTDRVNPDFSPQVQQQQNVASGSAQATEEADKNKKYQATLQFAHNLLLQLQRGSGNQS >SECCE2Rv1G0086920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:217043237:217044064:-1 gene:SECCE2Rv1G0086920 transcript:SECCE2Rv1G0086920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQTLKARILRVLRSSLPAAPAAPAAASASLLSSATKPDRVVLHSTDGLPEDASFFDAHETPTKINLPAEPIDDDWELVDQDGYDQDSLASGPAPASDPDGMLWDFPARCPPGGDEAVVLYTTTLRGIRKTFEDCNDVRALLDNLTVAFQERDVSMDRGLREQLWAATGEKAVPPRLFVRGHDLGGAAQVLGLHEDGRLTSLLQLPSPSPPEAAVSSNKKKKEKCEACGGLRFVVCGKCDGSRKVFDGERGGVRCHGCNENGLVMCTLCLLYPS >SECCE1Rv1G0003000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:11764994:11766781:-1 gene:SECCE1Rv1G0003000 transcript:SECCE1Rv1G0003000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVPSGSFNDDEEPRTFTAGTAKGGLVSINPVKYFKEDAALTADTVTAEVEINASSSTIAREGLDLVVVLDISRSMKSHDRIGKMKKAMQFVIMKLTPMDRLSICAFSNKAVRYSPLRSMTPGAQTELKAVVDGLTSSSGTNIEGGLVDAVAVVNQRKHTKGRTANIFLISDGQQSTGDATRANLGGGVPVYTFGLGKDADQQLLSDIARNSLGGTFSSVPDGTNLSIAFSQMLGGLLTIVAQDVQLTLTPNKADDDVDAIKVSPGTHYTQATDSRTGVITIKFGTLFAGEGRRVLITLDLKESKVSDEYDATLAEAQHSYTVQGRPQKQTPQDILILRRQNPSEVPGTGMKARQLQAEIARREHAQAIRQARELADQKRLDEAQYRLTEALNALEDIVLNDGGKLVNGLRAELQQLLKLMNTLELYEAQGRAYALAAEISHACQRFAAKGGNDTNGQDRTFNTQRMDEYLDQANDFEENPNAMIDSADKEAQKELEANPLAAIGPELALHLQNAIQALEAIQRIIAPST >SECCE2Rv1G0131550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:885083041:885085005:1 gene:SECCE2Rv1G0131550 transcript:SECCE2Rv1G0131550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGALIVASAWKQWGLQALVLLSFTLQVALLILAEFRRRMDSGVLRVFVWSAYMLADATAIYVLGHLSVTSRSPEHELRALWAAFLLLHLGGQDNITAYTIEDNRLWLRHLQTLAVQVVAAAYVLYESPMVGGGSSSSLIRPAAILIFVVGLVKYGERVWALRCAAAYSGTLDLSGEEVYEVVEMQLSLMHDVLYTKTEVMHTWYGLCIHTIAPLGVAAALALVLVGDRDHDSGHSADVAVTWVLLVGAIVLEVTSAMRAAFSSWTCALLVKRSFHARGAKARKTWDSLAQGVAWIRRLVRAAEWRRMYWSGSMGQHNLIRLCARSRVSRISKVARWLGLEDQWNTLAYSGPAVPVSKRIKQLVVARVLQSDGVLESSPDHVVNSRGRAALNRRPGLYQGLAWIVQDLELERSILVWHMATDIYLGWHKEQGGQDDAALVEAVGTLSNYMLFLLAERPHMLPPPASRNAYVDMCYGLTGLEHGPSQDLLRRHGDALNTAPENRFAYKTRLPNSRASLIYDDLLTRGSQLGAKLVGLQEHGILEVIAQVWVEMLCFAGYRCSADSHAKQLSSGGEFLTVAALLVEYIKRRGISEYPMIIG >SECCE1Rv1G0008930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:50756504:50759692:1 gene:SECCE1Rv1G0008930 transcript:SECCE1Rv1G0008930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEKEKAPPHPHAHGAAAAADPPPRARLGDEHVSASVYRVGLLEKAIAGFAQRETEAMVNPTVGSSFISLDEAYEFYNIYSWESGFGVRYGASSMDSHGTKCIQQFVCACEGKPTKDSDSFRRCECTASILLLRSRDGGWRVCEHQVGHNHPLSKMCVQRSSWQSHSSIDKNTRGLIRQLRENNVPHISDIEGKSPLSDDLKKALETFARIKAKDPTFDYTGLQLDSNCRVRPLLWTSGQSLQYQYFGDVIILDTTYRSDKYGTPFGLFVGVNNNFETILLGGVLMINEKTESYKWVLSQFFQLMGGEHPKTILTDRCEAIEEAVLEVLPSTTHRWFKWNVLRRAKDYLGFHYTKTSSFRVGLHKILNDTLTADEFERAWEMLLEEHGLENHPFLNEIYEVRHKWVKAYFSDTFCATLTSTQKRESAEHFLKQHHVPRDCSMELFAEQCEQLLSDQQPDYGFQEQRATMDEIVLRTNLPIEKHASEVYTRPVYEQFVQAMRESEPYVVEAVIPNLRYIARRPSSETWEKWSRVEYEVNVREDGEAFMCVCKQFEHTGMLCCHAVKVMIHLGVREIPRLHVMSRWTAKPLQCQMHCRGEPRTDTCQQCRRSMLHDQFLYLAHRACEDERCYEVVARGISKLSRELAAEDAVPRRRSTKPASRKRRRKR >SECCE6Rv1G0417100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:654493570:654496975:1 gene:SECCE6Rv1G0417100 transcript:SECCE6Rv1G0417100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTGREMHGGGQGQGQGQDDFFEQMLSALPTAWAELGSVRPPWELQAAGAPPPDGDPGFDESALLASRLRHNQISGGGGDDKPPPAMLPRHGLDGGGFLPLPLFTDRSREDLQGANQALFDGFGAAGLHGAGAAQPPFGQAGSMPPPAAQQGGAAAPPRQRQRARRGQATDPHSIAERLRRERIAERMKALQELVPSANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVGPLVAGLSSESSGNGNGTSSSGDGNGDDDNGGSTLRATEQQVARLMEEDMGTAMQYLQGKGLCLMPISLASVISSATSSSLRSATRPAGNAGGPLHEGGSPASPRLVNGLGADGSRTIGDGGRQ >SECCE3Rv1G0164370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:162185456:162190987:-1 gene:SECCE3Rv1G0164370 transcript:SECCE3Rv1G0164370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAASSSSPFAAAMADPALPAFLRPLVLHGLGAGAHLLLALAVAARLLFAPAPRGKESTAALRGGRAAGFRWGQFAVRATWTLAASEVFLGAYSLVSWYLDNGSAGGGAGWGAPDAVADQADAAARAVAWLLLAAYLQLEYRGRGEERFAAPLKLWWALFLLLSVLAVAVHAATSLSYGLPVPALPWARDAVEVLAAVALLVAGFSANTTGGSASEEPLLNGASESRGDDTVDASLFTSAGFLSVLTFSWMGPLLAVGNRKTLGLDDVPDLDHGDSVAGLLPLFKENLEALAGDGSGPKFTAFRLTRALVRTVWWHIAVTAFYALIYNLATYVGPYLIDSLVQYLNGDERYASKGKLLVVTFIVAKVFECLSQRHWFFRLQQAGIRARSALVSVVYQKGLSLSSSSRQSRTSGEMINIISVDADRVGLFSWYMHDLWLVPLQVGMALFILYSTLGVASLAALGATIVVMLANVPPMQMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIDLRTTETSWLKKYLYTSTAATFVFWGAPTFVAVVTFGACMILGIPLESGKVLSALATFRVLQEPIYNLPDTISMMIQTKVSLDRIASFLCLEELPTDAVERLPSGSSNVAIEVSNGCFSWDGSPELPTLKDLNFQAQQGMRVAVCGTVGSGKSSLLSCILGEVPKLSGEVKTCGTMAYVSQTAWIQSGKIQDNILFGKEMDSEKYDKVLEWCSLKKDLEILPFGDKTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGALASKTVVYVTHQIEFLPAADLILVMKGGRIAQAGKYNDILGSGEELMELVGAHQDALTALDVIDVANRGSETISSSLSRSLSSAEEKDKQNGKDDGDKVQSGQLVQEEEREKGRVGFWVYWKYLTLAYGGALVPFVLIAQLLFQVLQIASNYWMAWASPVSKDAEPPVSTSTLIYVFVALAVASSLCILIRALFLVTAAYKTATLLFNKMHMAIFRAPMSFFDSTPSGRILNRASTDQSEVDTNIAYQMGSVAFSIIQLVGIIAVMSQVAWQVFLVFVPVIIICFCYQRYYIETARELQRLVGVCKAPIIQHFAESITGSTTIRSFGKENQFVSTNSHLMDAYSRPKFYNAAAMEWLCFRLDTLSSFTFAFALVFLISLPTGIIDPGIAGLAVTYGLNLNMLQAWVVWSMCNLENKIISVERILQYISIPEEPPLSLSEDKLPHNWPSEGEIQLRDVHVRYAPQLPFVLKGLNVTFPGGMKTGIVGRTGSGKSTLIQALFRIVEPTVGQILVDGVDICTIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLNEYNDNQIWEALDNCQLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILKRTKILVLDEATASVDTATDNMIQKTLRENFSEATVITIAHRITSVLDSDMVLLLDNGVAVERDTPAKLLENKASLFSKLVAEYTMRATHT >SECCE3Rv1G0152320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:46817712:46819082:1 gene:SECCE3Rv1G0152320 transcript:SECCE3Rv1G0152320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHVLILPFPAQGHVIPLMELSHSLVEHGIKVTFVNTHHNHGLILGSLVSKPNSQDGSSLGGVDMVCIPDGLADGEDRKDLARLAESFLEVMPGELEKLVGRLSESGACKLTWIIADASMAWAFPVAKRLGLRAAAFNPLSVAMFATRICIPELIRDGLVDEKGQPKRRGTFRLAPGMPPMDTTELSWNRAGDPSGQPFIFDFILRNNAATRLAEAVVTNSVQELEPGAMALFPDVLTVGPLLSDKPVASFWAEDATCAAWLDAQPTGSVVYVAFGSFAIYDRAQLVELAEALALTSRPFLWVVRPDSASEEWVEDLRRRAGPRGRVVGWCPQQLVLAHPSTACFLSHCGWNSTLEGLVNGVPFLCWPYFTDQFLDRGYICDVWRTGLQVAAGDMTGTLVWREAIRGKVEELLGDAETKARALALRDVARRAVADGGSSRRNLARFVDLVRGSPS >SECCE7Rv1G0487740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:429586641:429588176:-1 gene:SECCE7Rv1G0487740 transcript:SECCE7Rv1G0487740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEDESSNDLSSLQYTNSSSDDGMLYRIPASIEDEDYMGIDTGSFVTCEQHGLPSERRVAFEGFETGRRFLACPLKEGQNCGSVQWVDPEWPPTMQNALLKLWEMYQDSKSDRRKDNLESSLTIHHLKEEKNNLEANYDKLVEDVHQLFNAQEDRVLDFRYLQLKMDNAEERKAEMTNSALSDMKTEMEKKEAEIFKMQEKYAVLINLTKAQATVIRNLKLNHLKEKEVLSADRRNLQFQVDEFIKSQEKLTQENLQLKAHIGDLEKVHDKLYKDRAQFKLQIAGMLKAEEKNKQKMKGIQAILDE >SECCE5Rv1G0305330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:70137537:70139620:1 gene:SECCE5Rv1G0305330 transcript:SECCE5Rv1G0305330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARQFLNVVMQKYGRGSLYTVSRIKPEEQLFYPSTAEAQAAAKSKPSMESISRMPTARLRLEAYRSDEKRLNFLPFYGRGSGGDRKILCVDAAGHTVLCDAHGLSVQPVPCLNEPKVDSPVSFSITRTSPENPNRAEALYVLDRFVTNRNSFNFEVLMYGKKTWEWVRLPPPPYINNPAYDSTSIHLVGTYCFNTISCKWEKAGSWALPLYGRAEHVPELRNLWFGMATDNPHNFCALDLSNLDQAPKLLQDWQDLDPPEDWVQMRCSLLYLGAGRFCITKFFNIGGSDIEDNTTAAVLTGVEVLCGVSGGEVVHGGSSELQMIKHKSFISYDGIRCVL >SECCE5Rv1G0303000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:43691072:43694630:1 gene:SECCE5Rv1G0303000 transcript:SECCE5Rv1G0303000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTMIRRADPLRRRAASAILAALPQHPAGPSAAGASASVLPPRSPLPPPAMWFHSRPAPLGFRETGSARAGAHAQFAADEGWNYEDKRKPAVGVAGAGSGAKEEGLEIAKLGISSEIVDKLSAKGITRLFPIQRAVLEPAMQGKDMVGRAKTGTGKTLAFGIPIMDAIIRHNAVNKPGTYPLAICLAPTRELAKQVEKEFVDSSRLDTLCVYGGTPIQQQMRVLQQGVDVVVGTPGRVIDLLNRRALNLSNVQFVVLDEADQMLSVGFDEAVEEILQNVPAKRQTLMFSATMPPWIRKLMQKYLKDPVIVDLVGEDDQKLAEGITLLSIATENHAKPAVLGQLIQDHAKGGKCIVFTQTKRDADRLSYTMGRSVQCQALHGDITQAQREKTLQGFREGRFNTLIATDVAARGLDIPNVDLVIHYELPNNSEIFVHRSGRTGRAGKKGTAIVMYSYNQSRAVRGIENDVGGKFTELPKINVEGSDLTMASGFDSFGGGGGGGRSRGGGFGGRSGGFGNSSSRGGGFGDSGFGRSGGGFGRSGGGGGGGGFGDSGFGRSGGGGGFGDSGFGRSGGGGGYGSSGGFGNSGSGRSGGFGSGSGSSGSSWGGFGSFGGKSS >SECCE3Rv1G0201750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:867211784:867215990:-1 gene:SECCE3Rv1G0201750 transcript:SECCE3Rv1G0201750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKVTEVAPEVDDDGRVRTGTVWTATTHAITAVIGSGVLALPWSVAQMGWVLGPIALIGCAYITYFTACLLSDCYRSPDPVHGKRNYTYMDAVRSCLGPREVVVCGITQYTILCGAVVGYTITAATGIMSVVRSNCRHYKGHGADCSQEGTMYLVIFGVVEVILSQLPSLEKVTFVSIVAAVMSFTYSFVALFLSAAKFASNHKANGTIFGSHIGGPDGVSAATRTWSFLQALGNIAFAYTYAMLLIEIQDTVKSPPSENVTMKKASMYGIGVTTAFYVSLGCIGYAAFGNAAPGNILTGFDEPFWLVDLANIAVVVHLIGAYQVYVQPVFACYEKNLRARYPDAAFFHRELAVRLPGRRGALRFTMAKLVLRTAFVAATTLVSLMLPFFNAILGLLGAAAFFPLTVYFPVTMYITQAKVPRGSGKWVALQALNAGALLVSLLAAVGSFADIVQRLGHVTMFKTQL >SECCE7Rv1G0464430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:61141383:61148342:1 gene:SECCE7Rv1G0464430 transcript:SECCE7Rv1G0464430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMRGGKFLQKFRLYETRSRFYLVGRDKSRTLWRVLKIDRLESTDLGIQEDPTSYTENECQELLWRIHEGNRLTGGLKFVTKCYGIIGFMKFLGPYYMVVITRRRKVGTICGHDIYSIGKSEMIAIPCPIVCPNVANSRDENRYKRLLCSVDLTKDFFFSYSYNIMRSLQKNINDKNTGHVVYETMFVWNEFLTRAMRNHLKNTDWTVALVHGFFKQSKLSVPGKDFWLTLIARRSRHFAGTRFMKRGVNEKGRVANDVETEQIVFEDTPDDIPSQITSVVQHRGSIPLVWFQETSRLNIRPEITLKSDVDYKATRLHFENLVLRYGNPIVILNLIKTREKKPRESLLRAEFAKAIHYINKGLPDDKRLKFLHMDLSKLSRRKGTNVLGLLNKVASDVLELTDLLHCEITTSSKPLDASSEQGSCDIKSNDEFCAATMVPLLLQKGVLRTNCIDCLDRTNVAQFAYGLAALGRQLHVLKLTEEPKIDLHDPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDPEKQDAINVFLGHFQPQQGKPPLWKLDSDQHYNIGRQGTLSEETGRFIKRSLSDGNILCETSAPVSDRNVGENNTANSELLPVQQLDDIREPTDSAPEIYMCETNPCPSTKYSMMPGRHSISEERQSYLKRLGYPELHSSNFLDLDLLSSSGNSCDEEAYERSSIIHSPLDGISVGSTTTYSEPGHNDEGRDETDLSRSSSQLSDSRDYSDRFAQWVANGGMLCY >SECCE3Rv1G0208950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:935889914:935890618:1 gene:SECCE3Rv1G0208950 transcript:SECCE3Rv1G0208950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGDDVKLLGTVVSPFAVRVRMALHVKGVGYEDLEQDVFDKGALLLASNPVRKKVPVLLHAGRPVCESLAIVEYVDEVWAGAAPLLPADPHGRAVARFWAAYVDDKVVPAMLGVLRATTDEERAERVDAALAAVRPLEDAFDACSGGKAFFAGDSVGYLDLALGCHLFWFEALREMFGVMIIDAGRTPRLAAWAGRFLETETAKKAASPIKSIVEYAGKLRAIWAAIAAAAK >SECCE5Rv1G0298210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10766046:10768668:1 gene:SECCE5Rv1G0298210 transcript:SECCE5Rv1G0298210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRGSISFFGTYRPPVPLGIFSCPVDPPPSSYKDELLLTDDLSYNQNGQPVPAAALREILAFMGKKNPKMFSDCGVTLEDVDKGRVTGLVFVSERDRGLETLHLALRRPATGQVVKVLSLLGDIYGVGGGVRMEDSGCIAGGFTVNGGRRTVGHSLVYVSTKEPARTRRTPWTVVYRTDLADGKTERLTPLGQYDLSPAVSPSGKMVAVANFHGNRWNGEIENLKTQIVIMNVDKRAQGGLDRKVVIKDGGWPTWGSDNIIFFHRGVDSNPPSNTAAWSVVRYDIAAGKEDWVTPVGVDAMTPAAISETRVAVAFIGEKSKQVQMKVEREESQYRHIQIFDTTMPRKPPVKITQMMRKEGDHYNPFVLDGGTRIGYHRCRTDKLLPITIKDNHGGEKKSTSIERKFDKVQSHQPEVGLFRVTGVFPSISKNGKKLAFVDNEFKAVWLADSQGGLRIVYKVRKEKSVFSTSWNQNDDLDTLYVCEGPAFTIKEPVQIMRIPDVSASGKRRALALTDKPFNCAFPSTNAKGDKLVFRSSRDRVGGERKDKNLFIIDAIKGEAAGVDQLTDGPWTDTHCSWSPREGCDWIVFSSSGRPEKDVFKPADEPELDHGLDPGYFAVYLVSAKDMVKGVVPVPVRVIYSAPTIAGHINHPVFSPDMMSIVFAADLAAVSADPISMPHFTHSVRPYGDIFSVNLIDTEDMAKNKDIQKFHRVTHSRYEYSTPTWSGRAAADGDELDPNTRWKMLESWHDDFQPRCPYVGGQAGQKESWHMTGHLSIDKRCC >SECCE5Rv1G0353560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718146358:718151210:1 gene:SECCE5Rv1G0353560 transcript:SECCE5Rv1G0353560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPHVMVLPFPAQGHVTPFMELSHRLVDRGFQVTFVCTELIHALLLGTGTGGDALCGIRLVSIPDGMADGEDRRDLSKFVGAITRYVPGYVEDLIMETVASGEKKVKWLLADVNLGFCFQGAKNLGVRVAAVWPAAAASLGMWSSIPKMIEDGFIDDKGVAKREGIYEIAPEMPPICTSRLPWCIDGPPEGQQLVFKLVVTDNAQAISLAEIVVCNSFLDAETVAFGLFPEILPIGPLFADQELRKPVGQFWLEDVSCLEWLDTQSEGSVVYVAFGSFTIFDVRQFRELAEGLELTGRPFLWVVRPDFTSDVLGKAWFDEFQSRVAGKGMIVSWCPQQQVLAHPAVACFVSHCGWNSTMEAVRNGVPILCWPYFADQFTNRSYICDIWRTGLAVTLGDGVVTKEEVKSKLEQVIGDEAIAERVGMLRDAACRSIAEGGSSYENFQRFVTLLNE >SECCE3Rv1G0184570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:664319537:664324867:-1 gene:SECCE3Rv1G0184570 transcript:SECCE3Rv1G0184570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANGNVDMEEGTLEIGIEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRKEKTDNILENAEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDASH >SECCE3Rv1G0177770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:481649537:481652671:1 gene:SECCE3Rv1G0177770 transcript:SECCE3Rv1G0177770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxylate/succinic semialdehyde reductase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17650) UniProtKB/Swiss-Prot;Acc:F4I907] MAAASYLLSPRVTLPLRRGSRLLVSCSASSSSSSSDAAGGVGFQGRVGFLGLGIMGAPMASNIIKAGCDITVWNRTKSKCDPLLSLGAKFESSPARVASSCDVTFAMLADPESAFEVACGANGAAEGMGPGKGYVDVSTVDDATSKLIGKHITSTGASFLEAPVSGSKKPAEDGLLIFLTAGDESLYKRVAPLLDVMGKSRFYLGDVGNGAAMKLVVNMVMGSMMVSFAEGLLLSEKVGLDANTVVEVISQGAINAPMFSLKGPSMVKAAYPTAFPLKHQQKDLRLALALAESVSQPIPTAAAANELYKVAKSLGLADQDFSAVIEALKAKVQSSRH >SECCE6Rv1G0405230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:528692570:528696207:1 gene:SECCE6Rv1G0405230 transcript:SECCE6Rv1G0405230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFTYVILGGGVAAGYAALEFVRRREGASPGEICIISDEAVAPYERPALSKGYLLPRGAARLPAFHTCAGANDELLTEQWYQDHDIELLLATRVISADVRRRTLLTDTGETIGYKTLIVATGARAMELGEIRVRGSDAANVCYLRSLEDADRMVGVMRSCRGGNAVVIGGGYIGMECAAALVANEIKVTMVFPGKHCMGRLFTPKIAEFYENYYAAKGVVFVKGTAVKSLEVSDGKVAAAILRDGRRLPADMVVVGIGARANTGLFDGQLAMERGGIKVNGRMQTSDAAVYAVGDVAAFPVALVGGDVRRFEHVDCARRTARRAVEAILEPSGGAAEQGKGFGYLPYFYSRVFDLSWQFYGDNAGEAVHFGDFSPPGAGRKAKFGACWVSGGRVAGAFIEGGSREDNEAMASAVRRGAAIADVAAELESRGLGLADEESRRKGARRGGLADGDRPTYARHATVGVAAAVSIAAFAYWYGWLAPYVVKRDFSNPEL >SECCE1Rv1G0016740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:141746832:141749239:-1 gene:SECCE1Rv1G0016740 transcript:SECCE1Rv1G0016740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWVGLSSAPAAPTAVWMRRRPRTTRWAQRSSSAARRAVVAAASSSPPSSDANSSSNSPGSDEEAEEAAGRAEGDKTAAAFLLRSQKYAMLKQQLAVAAQLEDYKEAARLRDSLRLFEEEEPVLRLRRSLKKAVEEERFADAAKYRDELMILAPHSLLKCSSDATTLGIRVQVRSVYIESRSQPLKGKFFFAYRIRITNNSQRAVQLLRRHWIVTDANGRTENVWGVGVVGEQPVIFPKTGFEYSSACPLNTPNGRMEGDFEMKHIDKVGSSTFNIAIAPFSLSILGDDNDAPL >SECCE7Rv1G0458670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22420207:22421478:-1 gene:SECCE7Rv1G0458670 transcript:SECCE7Rv1G0458670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCRLLLLFLAFLLPVAHATACHPDDLRALPSFAGNLSGGGALLRAAWSGASCCGWEGVGCEGGSSRVTVLRLPGYGLAGPIPGISLVGLAQLEELFLGSNSLTGSLPTSLFGLVGLQKLSFESNELTGQLSLHLQELKNLTLLDLSVNCFSSRLPDVFDDLTSLDHLSVHSNGFSGSLPPSVSSLSSLRELNLRNNSLSGLIARVNFSGMPLLASIDFAANYLSGSLPISIADCTELKSLSLANNQLVGTIPSWIRELDHLRYLDLSNNSLVGDVPKGLTLLKGLTTADRSQVMAFTNMPLYVKRNRRTLQQQPNVITGTNNHVRSGDGNTVSGNDNTVISGNDNTVSGSDNTVRSGDKNVLTGSNHVVSGISNVVSDNDHVVNGNNNVVSGGNNMVTGNNNVVSGSDHVVYGNNKVVTGG >SECCE4Rv1G0279460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:815061758:815062894:1 gene:SECCE4Rv1G0279460 transcript:SECCE4Rv1G0279460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDLLPLPFPVPPYAPQVAASLALAAAAHFLHLPSLLLYAVHTYIHPDAVPSTGPRAVLRPPGSAPPTGKRGAAPSDGSAQLYRLRLSHATLASRPHFAGFHLSLLLPLALLPPALLLPTRSPAAPLAPLLPLAFLFVALLRQVALASPPRPAHLVASLAALLAATVLSSSPFAGSVASLAALPAWRFARAFWLGTDQPRTGLAVLASSAPARLLLHLAVLVSSAASILQCCGFVDGPELEVKLLAAAAGLQLLASRAAVQMYLNEAVFCWYQRLHANRTPDTEYGRAKVFLHNHHLCAAATQFVAPPLLVLSLLALWWVQGKDFFEGVEGLDWLVGWSVAMKEAALLAARWVVAVWSAVTVGTLVGYKRGWLFVL >SECCE6Rv1G0403670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:508783842:508784246:1 gene:SECCE6Rv1G0403670 transcript:SECCE6Rv1G0403670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRGRTATIARSHEDKGSSGEEVVPARKKRGRPLKHFADKIEHVDVENFVEDVDGGDEDGTDVKLKASAGVKRGRPLKESPNIAIEENSNSSIRSSSDESARTNGFRQIGNRRKSTPRRAAEAGLECK >SECCE4Rv1G0291670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:877261497:877265207:-1 gene:SECCE4Rv1G0291670 transcript:SECCE4Rv1G0291670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRPHAPGPPPPPEDVGGDASPQSPGKAPRIRPWAERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRVAFGYGHLTWEWKRGLRGYLHPLIFAALYKFLAFLHLDTPWFMAMAPRLLQSVFAAFGDLYLYKLSKLIFNEHVAQWTLFSQLVNWFMFFCITRTLSNSLETVLTVAGLYYWFIAMESSKGISVISKQQAASYQSPHSRKVALLIAALACAIRPTSAITWLYVGLLDFIYIKSKCRFLFLEVIPIGAIVLAATTFLDWWMYGSRVIVPLNFLKFNIFSSGGDYYGTHVFHWYFTQGFPSMIWTFLPLSVFGVVKSREWRLSGLIAWVLGVYSILGHKEFRFVLPVLPLALMFSGYFLAAMSQFKGKNLHVKRHFSRLQLSVILLIITNVPMALYMSLFHQRGTEDVMFYLSKEAHNGRVKGVLFLMPCHSTPYYSTLHSSLPMRFLDCTPSDNKGTLDESDRFLMNPLDFVGEVFGNLSSFSHIVLFESEERHVIQLLLRDSFQEVRRFFHSHFKIDRDLQSSVVVYSQRDVL >SECCE6Rv1G0428470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:726974651:726975634:1 gene:SECCE6Rv1G0428470 transcript:SECCE6Rv1G0428470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRKKAQQELEDLYLGVPDGSVDLTFRDFVVPPRPPPPTASVSPAPTTLAPIHEQPDEDERTKKALLSRTSTNIFTYKIHSSGSSSDDDHHQHQDAAAIPPGFQLSPSPSPPPAGRSSRSTRGSTAGPQQLRPPPAHAGRSRQMRRAGIPHSNLCAACSRYIHVFRHRCLVCGRAYCRRCVAARMGDMTEGRKCLDCLGRNYSHRYIHRAGRGATAAAGLLCLCCCALHAWGSSSSVRAEELLWAEKGPAPRRRPSSSSTTSISASYSTAAGGGGGYSASMSMTMMSINSGAGNGNGHRNSNVVVVAPPPASFSRGTANPHAFPL >SECCE7Rv1G0506500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:748659478:748660368:-1 gene:SECCE7Rv1G0506500 transcript:SECCE7Rv1G0506500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKGTVKKGPWSPEEDRKLKDYIHTHGTGGNWIALPTKAGLKRCGKSCRLRWLNYLRPNIKHGDFSDEEDRVICALFTAIGSRWSIIAAQLPGRTDNDVKNYWNTKLKKKQLHLLIAAAAHGQQHSSRPPAPPFTLEHHHHHTSPTSAVSLGQLLMFGVGGGDHQQQQQQYMSSCSSVDISNNTSTAAVGLQESLMFGGLEFQQQEEDTNNLLSPAAEAVASGSDIMTYEHNEMISPSGFFFYGDGAGAAPTHEGTRFLY >SECCE4Rv1G0269020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:746863497:746867496:-1 gene:SECCE4Rv1G0269020 transcript:SECCE4Rv1G0269020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKASKRWVPVNRPAVASPAAGNPSNGMPPKVSKRWVPVNRPAVTSPAAGNPSDGMPPKVVPVDRPMGTGTSHGAGKPSNEIPCKASKRWVPVNRPAVPSPVAGNLSNGVPPKVVPVNRPMGTGTSHGAGKPSNEIPCKASKRWVPVNQPAVTSPAAGNPSKGMPPKVVPVNRPMGTAKSHGAGKPSNEIPRKVSKQWVPVNRPPTVETSRGAGEPADRLSDLPDALLHHIMSFLKAWEVVRTCRLSRRWRNLWASAPCIDVRVGCYDNPPEEFAKFVHRLLRSRDALTPVDTLRVRSVGEDDFYETYNDGNVKSWIRTAIKRKARAIQLNGHLHKYITLDRIDFASCHLKILKLSYVELDDKVVKQLSSQCPLEELELKSCVVDAHEILSSSLKSLTMVKCKLTMNLSVDAPNLMFFCCIKPEKWVPVFKNSVSLIRGSIMLDDSLLSREFQKYYEDEDEFPQTSDEDDDIDNTNGQCNGKSAAAAESGAEGLLDSILYGSFSDYCDGYSDDFYDGYSDDIKDDYDYGSDINSDDDTYEYSEIANGSEDKYFANDFEFSKGGKISGYSGNYGFNDYKTLGGQHILCSLLNARSIELLGHSGEVVLRRESISCPTFNNLKTLSLGEWCISRGADFDILIHLLQHTPYLENLFLQLEMNFDIQNALGRCKPNGGSFACKHLRMVKIKCTKDDPRVHMLAQLFKANDIQLKKICVRRSGSFSLRMLKLSREINIAEMRDCR >SECCE6Rv1G0404780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:523758873:523760348:1 gene:SECCE6Rv1G0404780 transcript:SECCE6Rv1G0404780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRREKRPSDAGGAADAAPDAKRAREPAAPAFPTYKDAPDLAPKIRLLCEILASSASDVEAALDDADVRVTTSDVEQVLRFSYAHPRGAAAFFRWAGHRHLHHQHSPYSWNLIIDLLGKNRLFDPMWDTVGSMRAQGLLSLATFASVFSSLAACPTSSPLKAFVEMPRYGMERDTPALNSLLSALCRASRLDDARAAIPVARAEAGTRPDADSYAILLEGCEAAGNAAVAREVFDEMVHALDFDPANVPAYDSFLTTLVSSGSSTALSDAMEYLAVLRRYRCSPGEKFLRAALAAHLEARELRSAVVLWDDFVLRRGLVPDKEMYTTMIMLQGTLGHAEVTVKYLDDMAIYGVFPDTDTYNVVLKLLLKGRKLREASAIFKEMVKNECWPNEANCSLALSVFLDTRDWETGIKVWKCMVANSLPPLEESGNMLVSKLKDEMLPEACKYAEDMIDQGIKLSSSTLAKLRHSLGKVKKGDIHDRLLAKWKAH >SECCE6Rv1G0390490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:185443063:185443413:1 gene:SECCE6Rv1G0390490 transcript:SECCE6Rv1G0390490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDQMVLVRCLDLARVELPASLAQRAGRVAAALEAGERVVELPRGVSGKGLATAAAYYEARAEAEAYGVDGAEFDVQFVQGLTHDAAIDLMYAAHHLGDEALFHLFVGYTANYF >SECCE5Rv1G0318850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:368662971:368663326:-1 gene:SECCE5Rv1G0318850 transcript:SECCE5Rv1G0318850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRLLPQRLPQFVRQVERDVETVINVLQPGPIGIVEHKFTDAEIREAQVTVRSAVQNWRRNWNLERNLSTGSCDKSK >SECCE4Rv1G0242980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:493571329:493586157:-1 gene:SECCE4Rv1G0242980 transcript:SECCE4Rv1G0242980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGKRKRDLSEDEVYLILHKYSPATILTALQEVAQHPERRSINWRALVAKTATGITSAREYQMLWRYIAYRHDFIENAEDIGTQPLGDESDLECEIEPCPKPSNEAAAEASRFAKILIYGPSREQGSSHRVNSEVPLLNTPNEKIPRVPSDKQLAQSHRLTNGTGAVSNSKQASHTRLSPDPFDGNGPHKKTKKPKAWSNKEDADLTDGVHKCGEGNWLNILHKYNFDSTRTYVQLSQRWAVICRRQGTTKPAKAKSVTTEFDIKATQKAFSMALDMPMGKPGGFSTLRSGASQQSTQHPAPVFVAAAPELKCATSSSSFPLPVPVPAQGQIPLPMVQPAPAQAAPSKVLNTSNKSRNSSKKQTAQANPTNAPSSIQAAAIAAGGRIAPASIATNLLKAAQSSQAVHITQKATYIRPRGKGSSKTSTSSKASTMAGEPGTQPGIAQHPEFPNCSAHTPSPPVLITQSIEQVNVVSEVAGVNPPEHSASAHLLEPDRALSTTPVSGPCYNMEMDDDSTYCAVTMEDLFPEDVMQPEMVKQPEIVKQPEMVDPKADEGIDPKDADMLEFDRFVAQGCLTTDCLDKSKGVNIAPGAQGAIPSQKKQAKQQPTVVKSIPVSARAPVTVKKTKTLASHGATFPSTVTSSGLVGTGNAGVLSKAIYQKPPGPATTGKQNRCQEIMAQKQHAMSSNSSAMARNAAPGVGTPARNAAPGVGTPAKIMSPSAGTPVRTAVPATGTLAKNMGPATGAPARNAAPGTGITPVRNLLTGTGTPPARNSLTGTATPPVRTAAPGTRTPPVRNVAPSAGTPPGRNSLTGTGTPASRQYTPMVNGATLGNPPASQ >SECCE2Rv1G0087580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:228522260:228523997:-1 gene:SECCE2Rv1G0087580 transcript:SECCE2Rv1G0087580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFFQSSYGISSNTIWIANMELAIVLWVACVPIFMAIVSTMIARRPPTFSQTMKQSPSPPPIAQGAPLLGILQALLAKGPLQVIRNAHTEMGSVFTVGLLHRKVTFLVGPDVSTHFYQGLDLEISQDEVSQFTIPTFGPGVAFDVDFATRREQFRFFGDAMKPAKLRTYAGLMVREVEEYFARWEESGTVDLKQELEHLVTLIASRCLFGEEVRAKMLGEVATHLRELNDGMRLVTILLPHLPIPAHRRRDRARARLGDIFSSIVSSCKADYPDGGPDDMLQCLIDSRYKDGRATTETEVVGMLVSALFAGQHTSSSTSTWTGARLLARANSEHLRAAVREQERIVARHGDRVDYEVLQEMDTLHRCVKEVLRLHPPAMLLLRHARRSFTVRTREGDEYEVPEGRTVASPLVLHNRLPYVYRNPERYEPDRFGPARREDVAGGAFSYTAFGGGRHACVGEAFAYMQIKVIWSHLMRNFEMEMMSPFPETDWNVVMPGPKGKVMVRYSRRSM >SECCEUnv1G0534450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:41443570:41444025:1 gene:SECCEUnv1G0534450 transcript:SECCEUnv1G0534450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHASATTAQKRKCPDGETAGMCAGGCGFFGAAATGNMCSKCYKDHVLAASTAPPEKKAKMTVSVASADAAVQVEPSVASAKQPAVTASRCAACRKKVGLLGFLCRCEGTFCSVHRYSDKHDCGFDYKKAGQEQIAKHNPVVVADKIARI >SECCE1Rv1G0042080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:576440457:576440975:-1 gene:SECCE1Rv1G0042080 transcript:SECCE1Rv1G0042080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKASRPASLLGTLRTAVNKVRFLLSFSATRWIISSIAGRRSAPSATAPLRLSFGSRRPGLLDAEDDEGSPASSSTTGPSRTVSLGSGGVSRTSSAVAASEYSRSASSGATSSSSSGGSSPAGDDDIDRRAEQFIANFYKHIQMERQVSLQLRYCRVDSLQERSPPRRVS >SECCEUnv1G0531900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:18019840:18020580:1 gene:SECCEUnv1G0531900 transcript:SECCEUnv1G0531900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGACRYSNLFFEGYGTCTAALSTVLFNDGAACGQCYKITCDRKRADPLFCKPGVTVTVTATNFCPPNDALPNNNGGWCNPPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVLCVKRGGVWFRINGHDDFNLVLVSNVAATCSINSMDVKSSDSEEWMTMARNWGANWHSLANLTGKMLSFRLTNADGHTLVLNDVVPKGWTFGQSFVSKLQFL >SECCE4Rv1G0247990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:565400947:565403646:1 gene:SECCE4Rv1G0247990 transcript:SECCE4Rv1G0247990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAEKVGFSTAGAAAAAAPKQEPSWEYHLRKYLMLLATLVATATYAAGLSPPGGVWQESGLDGEGRPREAGDPILYHSPRYLAFFYFNATAFAASLVVNLLLLVLSETWTAWLAVLRFVMVLDLLGLMGAFATGSCEDLPTTVYVSTLVVALAAYVGIHILLATYAPPAPKEESPDEALKHKEQRKVLLLLATFATGISYAAGLNPPGGFLGETEGTHEAGDPMLKVHQSARLMAFFYCNATAFVASLLIIVLLLGRRLQRYYADLPMYGFILVVLLGLLGAYAAGSSRKADTTAYVVVLVAAVLVYILLVMAVMVLFPDPPRSSSWQRLESISAPASHGLQEERGCHQNQTESQSPSPARELPETPGGGRQGSHADAAIIGNKNEGIEKAKSLILLLATLAATITYQAGMDPPGGVWQEADEQGRYKAGDPILLFKHAARYKAFFYCNSTAFVASLVVILMVQNKSLMSGHALEVAIILDLFGLIGAYAAGSCREVSTSIYVVALAGAVLVYVVIHVVFFTLDNEDLSDKEKTMIDKRRKRLLLLAILVATITYQAGLTPPGGFWTKDGQTKDGHSYYAGMPVLEDHDEYQKRYLAFFYCNSTSFMASMALIVMLVNPNLYRPGIRCYALYVCMVVALFGLMGAYAAGCARQLRTSIYVFVLVGAVVAFIVVQLLVFFKFFGTCSTANGEDGSSSGSRAAAGSSSKANTPSSSRPRPSSSPKPSPSTEATSSRRKYLMLLAILAASVTYQAGLKPPGGVSERWETAGNPLLRGSDLVRFRAFFYCNSTSFVASVVVIVLLLQESLQDQGLLLYAMNTAIVLDLLGLLGAYAAGSSREWDTSGYVIALAAAVLAYVGIHLVLWMLGGRRGQGRVASSPQQLLPALPKETRRCSSSPC >SECCE2Rv1G0064290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:2585297:2585983:-1 gene:SECCE2Rv1G0064290 transcript:SECCE2Rv1G0064290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSTTVIQMPLSPNTNGQPMAPATPKHVGDVPTTAPATASATDKVMSSAANLAQLLPTGTVLAYQALSPSFTNHGKCFSSNQWLTTALVVVLTISCVLFSFTDSILGRDQKLYYGIATPRGFNVFNFSDEEERLQWTDAEFRRLRIRALGFMRAVFTALVFLTVAFSDVGLQNCFFPGAGRNTEELLKNLPLGIAFLSSFVFMIFPTKRKGIGYSDTTPRAKLT >SECCE6Rv1G0397050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:385065246:385071684:-1 gene:SECCE6Rv1G0397050 transcript:SECCE6Rv1G0397050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVAPAPLPHVKVRGGGIGFTKSVDFAKVLSVPGALRTGSSRGRALLVRSSSTESDTMELEPASEGSPLLVPRQKYCESIHQTRRRKTRTVMVGNVALGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADFVRITVQGKKEADACFEIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEDDYEKELEHIEKVFSPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRNLNFHNFVFSMKASKPVVMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCRRLANLGTQAANLQIGVAPFEEKHRRYFDFQRRSGQLPLQKEGEAVDYRGVLHRDGSVLVSVSLDQLKAPELLYRSLATKLVVGVPFKDLATVDSILLRELPPVEDAEARLALKRLVDISMGVLTPLSEQLTKPLPHAIVLVTLDELSSDANKLLPEGTRFAVTLRGDESYEQLDVLKSVDNITMLLHNVPYGEEKTGRVHAARRLFEYLETNGLNFPVIHHIDFPKSIDRDGLVIGAGSNVGALLVDGLGDGVLLEASTQEFEFLRDTSFNLLQGCRMRNTKTVR >SECCE3Rv1G0212910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956987984:956989489:-1 gene:SECCE3Rv1G0212910 transcript:SECCE3Rv1G0212910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNDLWAGIGSALVFLITLITMAMNQSRIKVLINKISAYLNPYIQITIPEYSAESFKRSDFFVAIEAYLSHLCHQDGRVRKLKAELESNMQKPQVSVDDDQEIVDTFEGATLWWYADTERPKTTVITYRAGDEKRRFYRVAFHKRFHKHIVNDYLPHVIQNGRDVISKNRQHRLFTNSTSSQWSPYNREKSLWSHVEFRHPAKLDMLAMDPARKEEIKDDLNAFKKGKDYYAKVGKAWKRGYLLFGPPGTGKSMMIAAMANFMDYDIYDLELTAVKNNTELRKLFIETKGKSIIVVEDIDCSVDLTGKRRDKKKAREKSGDQSDMLQKEDEKKDDEDSKLTLSGVLNFIDGLWSACGEERIIIFTTNYKDKLDQALIRPGRMDKHIEMSYCGYEAFKVLAHNYLDISEDHFFELFGQIQGLLGQVDMSPADVAGHLIQTEKKDARACLRGLIRDLEILKAKKNSAADCHPQDPISIVVPDLNPTVMPDLNPTVTNTVYLR >SECCE7Rv1G0509390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:779974527:779975811:-1 gene:SECCE7Rv1G0509390 transcript:SECCE7Rv1G0509390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRREKREGICFIKDKKERGITFSKRRDGLYKMACDLSVLTGVRVVVVLEMESGIMHSFGTPSAAPIVDAFLSGGPLVEPLADEAMNARIIMMQREVARLDMESVTYEKRAELSLEHIKNIQDDNPGIVANFIFSREEDLCLEDLKKLFNELLRVREDIRRRVPPTNTSGPNVPRNEYKIYHHNFSYTIRTIHMSITQIILPFHVQVPQIFQSAPPSLGSHLTSLVQSVPDLVQDLPPHLQNYTSSYNTMEPPQNNTITNSTTGSIVEGPPQLFNPSGNDFSVGESFSNGTLAYAPSNQPDYNGNLGMDAYLGYNGNNVGQYNMDYDEFANAPPKSSNGNDDYVHSWFEGLL >SECCE3Rv1G0193310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:768731161:768735323:-1 gene:SECCE3Rv1G0193310 transcript:SECCE3Rv1G0193310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MAFLRTLNPLLRRNPALPNPRPLLSHYTSSAPFRAAAPPAPAPFAAAAAAAAALPRVLARPRSGPLFPSSSPWALSRSTTPFSTAAVFRSKLHRARAIAGGSAQAVADAVRWEPKRVYGGEAAGGGEGGGGSEKFLNLPNLVSIGRMASGPLIGWMIMNEWYLPAFGTLALSGASDWLDGFLARKMGINSVIGSYLDPLADKVLICCVAVAMVEKELLHPGLVGLVVARDFLLVGGAFYKRASSLGWKWNSWSDFCNLDAIQREKVQPLFISKVNTVFQLMLVAGALLQPEFGTEETQNYITYLGWLVATTTMASTVGYGVKYYQIRPRT >SECCE6Rv1G0444680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:830176870:830180785:-1 gene:SECCE6Rv1G0444680 transcript:SECCE6Rv1G0444680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAAGASYQRFPRVRIRVLRDEYAKFELRDTDASVANALRRVMIAEVPTVAIDLVEIESNSSVLTDEFIAHRLGLIPLTSSAAMSMRLSRDCDACDGDGSCEHCSVEFHLAARATESGQTLEVTAMDLRSTDPKVCPVDQADAAGVGDHRGISIVKLRCGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDICINEELMETLTLEEKQSWVESSPTKVFDIDPVTQQVTVVDPEAYTYDDEVIKKAEAMGKPGLVEINAKEDSFVFTVETTGAITAYELIMNAITILKQKLDAIRLQDDDGDLGELDAHLLGG >SECCE6Rv1G0442890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:819709359:819712091:1 gene:SECCE6Rv1G0442890 transcript:SECCE6Rv1G0442890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRPKLSDSDAAGVAAGGGEDRLSALPDDLLIHILLKLCDAPVAARTSLLARRWRRVWALLPELHFPAVTDPDGIRAALAAHDAPALGRLFVDSIEATPESVAAWLPIAARRLSGVLHFKNSRNMDEASAASPLKLPCFQNATQVILDLGSIGLTLPPSGIFTRLADLELVRIQLHGPCSISDVVSSSRCPSLRRISIGSVRGLDMFTIHSEFLLKLELFDLPSLQQLNVVGLALQELVVWRCFTNALNQSQPIANISAPQLVTLDWRSFYDPSSILLGEMPHLQQLTMIHFFVYGQDASSAHNRHCLMLLQHFHHISRLIHCLVYPPVQVNGRFLMEEMTKFPNITILTLRVIAFGHSFGATLFHVLRMSTGIRELVLKLDLETHPPCQSGCICNEPSNWKTEELVLRFLEKVTINCLRGTEHELALVKKLFNWTMMLKGMTVNFHDSISEIKGEELRKVLLSLSRPGICMKFVHHGKACSFG >SECCE4Rv1G0270410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755321621:755323271:-1 gene:SECCE4Rv1G0270410 transcript:SECCE4Rv1G0270410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVVLIVGAGPAGLATAACLSQFSIPYVIVERESCNASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKTLFVKYLDDYVEHFNIQPKYLTSVESSTYDNEKKCWFIMAHDMAKSTIVKFTSKFLVVASGENSAENIPMIPGLQSFSGDVIHSSSYKSGKSYSGMNVLVVGSGNSGMEIAYDLATHGADTSIVIRSPIHVMTKELIRLGMTLAHRLPLNLVDNLLVMAANLIFGDLSRHGIRRPKMGPMILKSKTGRSAVIDVGTAGLIKNGIIKVQRSISNVMGDIVEFQCSKRISFDAIVFATGYKSTANIWLKNGESMLNCNGLPIKEYLNHWKGENGLYCAGLARRGLAGIAADAKNIANDIKSVIGDMSG >SECCE5Rv1G0338430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:598092761:598096186:1 gene:SECCE5Rv1G0338430 transcript:SECCE5Rv1G0338430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVAGADDERIAQALVRDDAPKLLAALKEMKDGLDLVRSKVESLTRKVRKNQLPTGDGIGYLEAKHHLLLSYCQDLVYYLLRKAKGLSVDGHPVVRSLVEIRLFLEKIRPIDKKMEYQIQKLTNAADGAAAQDKVPDAEVNVKGRQQGEDDLLGYRPNPDMMDPKIVSEGQGKDGIYVPPRIGPAAMDDSHSKDAVRKEKRLLRMATENPYFKEMIDDAADRPEEWKETAGDESKEFMAYMRQREKQEKAEEELFTRAPVTKREKYMEKQMKKQLHGLQGLTDGFDLGMNTLLDGEKEDDGGSSEPRRQSAGRRKHQKGGKRKRH >SECCE7Rv1G0518880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:862522331:862522786:-1 gene:SECCE7Rv1G0518880 transcript:SECCE7Rv1G0518880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATIEAGTTPWSTGLFDCFEDQGVCLMGWCCPCIAVGRMAEILDKGATSSGAGAALYFTVGLLTGWQFQWIYSCVYRTKMRAQYGLQETPCPDCCVHFWLEPCAVCQEYRELRNRGFVMDIGWHANVELQRQQGRGDGSTVPPATQHMI >SECCE3Rv1G0190810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:743689567:743691027:-1 gene:SECCE3Rv1G0190810 transcript:SECCE3Rv1G0190810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLPPPLRPSSAPPAPAVTRTLHAINTCTSSTALAPLRGAILREPALLRSTTVVSAFFLACGRLRHLAPALSLFASLSRPHVFLFNSILRSLHPAPGCSPLPLFRRFLCLGGRPNRYTFPLLLASLSSLSDLRAVHSQVAKSGFSRDLHVRNALLARYAAGDPCLAHAEQLFDEMAHPDVVAWTTMITSYKNRGHSFNALAAFRRMLSASVAPNRVTMVTTLGACAAHGAIETGTWIHEYVQKQGWELDVILGTALVDMYGKCGHVVDGVRVFSEMAERNVYTWNSIIGALALAQDGTMALQWFYRMEADGVRPDAVTLISVLCACVHAGFVDIGREIFNLIIQGKYGFQPGIKHFGCMVDLLSRSGHLDDAVSVVETMSQPNAVIWGLLLQGCKAHGDSRLSEHVMTRLVELEPENASHYVLLANLYAETGRWQEAEEILQWMKKKGLRKDAGWSLRMLGDRLLSPKFGSTKSMFPIQGSFVR >SECCE2Rv1G0124100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:829698060:829701972:-1 gene:SECCE2Rv1G0124100 transcript:SECCE2Rv1G0124100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAAAAAAPLLEAGGKEMRHLGRTAHNMSSSSLRKKSDTSLVRKVPCAALRGFLSTLQEVLCGTKLFVLFPAVLLAVVARYMRFGQVWLFVLSLIGLIPLAERLSFLTEQIAFYTGPTVGGLLNATFGNVTEVIIALFALREGKITVVKCSLLGSILSNLLLVLGTSLFFGGLANLGVEQPYDRKQADVSTGLLILGVLCQSMPLMLRYAVSAGEHAVNSDDSGLVLSRACSVLMILAYGAYLYFQLKTHRQLFEPQEVEDDGDDLVSEDEAVLGFTSAMIWLAVMTVITALLSEYVVSTIEAASESWELSVSFISIILIPIVGNAAEHAGAIIFAFKNKLDITLGVSLGSATQISMFVVPLSVLVAWVMGVPMDLDFNLLETGSLFLAILVTSFTLQDGSSHYLKGLLLLLCYAVIAVSFFVLRRRSADGRNQPGSG >SECCE7Rv1G0464380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:60326077:60329109:1 gene:SECCE7Rv1G0464380 transcript:SECCE7Rv1G0464380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQGDAARATREAVPPVSRVAIIGGGISGLAAAKQMAAYDPVVFEATPSVGGVWKHCVYRTTRLQTPRPDYEFSDYSWKNREDPSFPTHTEIVDYLEGYADEFDLWRYISFGSKVVDIKFLGGAEAGFTELWSGTGKAPLRGKPMWEVGIVTGDSNTVQYYKFEFVVMCTGKYGDVPRMPVFPPGKGPEVFKGTVMHSLDYCKLSEEETVELMRGKKVVVVGYKKSAIDLANECAQANQGEGGQACTMLVRTLHWVVPSYSIWGLPFSMFYSTRLSQLFYERPNQGFFRSLLCRLMSPLRAGVSKFIESYLSWKLPLGKYGLTPDHPFVEDYASCQMAILPEGFFNMADRGLVRFKRASAGWCFSEHGVVLEDGTKVEADLVFLATGFEGKDKLREVLPKPFRDLVVGKSSMMPLYRGTIHPLIPNMAFVGFVESVSNLHTSELRCRWLSGLLDGRFALPTVKAMMGHVAGEAEAMRRTTRFYRRHCISTYSIHDSDGMCADLGSATLRKANWIAELFAPYNNKDYKEQ >SECCE4Rv1G0256260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:655185993:655187242:1 gene:SECCE4Rv1G0256260 transcript:SECCE4Rv1G0256260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPSSTSRQDLSPPADSLLPTSQGGSSNGPKADVARRKEVDHLLEKLEKEGLEIDGKIASIIDDEIARIKAEAERENMNEEKRRRIQTLLTIAGVAVGFVVGMKCEIDKYRKAFDKIYGRPSR >SECCE6Rv1G0444780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:831363531:831364343:1 gene:SECCE6Rv1G0444780 transcript:SECCE6Rv1G0444780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMKKLRPLLLTLVLLLTAAVATPTIDCDCDNPKAPKPSHPPKTKPPTYPSPAPKGHLPRPPVVGPPKGHVPRPPVVGPPKGHVPRPPVYGPPKGPITRPPVTAPPVVVGPPVTYPTPPVTAPPVTYPAPPVTAPPVTYPAPPVTTPPVTYPAPPVTNPPVTYPSPPVNTPCPPPPPATPAAQTCPVDSLKIGACVDLLGGLVHVGLGDPVVNKCCPLLEGLVELEAAVCLCTTIRLKLLNINLALPLALQLLLTCGKTPPPGFTCSI >SECCE7Rv1G0480350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:268914293:268914805:-1 gene:SECCE7Rv1G0480350 transcript:SECCE7Rv1G0480350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGSFDLIRIVHLNGHIEEYSRPVTAGEVMAAHPSHVVSRPCSQGGARRILIVDPDSELERGCFYFLVPTSSVPEKKRKPSSQPQQKKVWSSSTLKPTSVPSSAGAGADKVTKDHGAGDSYLAEVLSEGKARCKRSRSVRATVWRPHLQIIPEEALE >SECCE2Rv1G0096440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:439456032:439459996:1 gene:SECCE2Rv1G0096440 transcript:SECCE2Rv1G0096440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQPVFDQGMDPFLGRLTIPAANTSQSRCTPQNGMSLLNRPSLDTPSSLEGSAVPPPPSFLSCNCSCDIGSNFEAFATVMSRLHQHLLDADVEINYTEYLEFMKLEVDQQLNKLKEDMMLFKSHNFVHESDAHVPCPVICHHGKPIVEIDEGFNDLKLLLIVVFRQIKEMLSLFDASIHDLQWEHDLQLEVTGIMIGDSIRTLEDELERRFFEQSSIVNNLRKNRKETVVQCGAIRQELMSISDMLLPSQDESHSPHSKHENLGNRSNRWKYNLLRKKTGEEHSASSSVETKKSATQRSVSPREVISEKSDFRHLKGSTAEEIINYFRSEISKLKRLHELYLQDKTEELFKFKREKASLDLKHDVEFEHLRKKVPDIISRVDTIISSTIKIPTVCSTSEALEENCRLNNVIDSLYLENQHLSGLLAEKMNDIKELSCQISDSRRDISLRLSLEEQLKRQVATIQGDYQDLYVESTIRDEVYRTVTRNFVDDCRTSMEDVSRNCQAEVSSLEAKLSEKEKALCLANEQNQKLKEKLLLLEKEHFIRNNQEDPELTKQESEEMILRDIEMEPHVSPRSYGSSEPSMGDRELVKLSQTLEIASTTLQEDETKKLEYNGFLGKNEHIIQLDFITVSIMDLSKEFAEIEHKISGDIKGNQKRSDNLRDQCNHVVQQAIVLTKKGLSYKQMLNRRRSELRKAEAEVDILENKVTALLSIVQKIYVTLEHYSPVFQQYPGLLDAFLKTCKLVAGLRNKQKDDLQDAT >SECCE1Rv1G0003190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:12628517:12629854:-1 gene:SECCE1Rv1G0003190 transcript:SECCE1Rv1G0003190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRNDKDGGEDITVDLYPFIHKYKGGRVERFLRSRFMAATEDPAANHGVATRDVTIDNCTGLSARLFLPSDAAAAGERLPVIMYVHGGSFCTESAFGRTYNNYVRSLAAQTGALVVSVEYRLAPEHPVPAAYDDAWAALQWVASLSDPWLSSYADPERTFLAGDSAGGNIVYNTAVRAAGRGTNIVDIEGLVIVHPYFWGVDRLSNSEAVRDGVAMITPDFLDRLWPYVTAGQLDNDDPWINPLDEEIASLMCRRVLVAVAEKDSLSGRGRRLAASMRDLIWSDDQNVVTLVESEAEDHGFHLYNPLRATSKKLMESIMQFINQRPTLPLPAAFPPERHELHLHACQGKDQTSSSAIRPAILGVPTRPYVDVFGYGVAMKGSSGPKNTTRTSCLQIGGHERRSSPKTRRYGLSLGHSITSHMRFPLSATTARGGGCVRFHKFII >SECCE2Rv1G0068990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25994198:25996411:-1 gene:SECCE2Rv1G0068990 transcript:SECCE2Rv1G0068990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PARTING DANCERS [Source:Projected from Arabidopsis thaliana (AT1G12790) UniProtKB/Swiss-Prot;Acc:F4IDW9] MERCRTSAQPPHPQPARAPAPTPGRGICMMSTTWRDKQDHNFVNFIGAFLAANSYRLNFLGISPDFIFNNGGLSVAFIFETSWDCENGAAVFSRVNALKRQFKNLYVVVAVPTVEQIESFNQSYFKYGMELGCPTFVPVNDPEMGFEMMLKIAHARGVCKQQDISSTMRNEREQAVQCMDAYVRVLTSIPGIDDHDANMLAQAIGSIEAIAKASESCILESTDLSRDKAETIVRFFRDPQFYLSPKIN >SECCE7Rv1G0464540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:62200589:62205804:1 gene:SECCE7Rv1G0464540 transcript:SECCE7Rv1G0464540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRGGGMPGAASLPLLLLACCVWPRAQILVAATTDATDLTALNTLFTSLNSPGQLKGWQVNGGDPCGESWQGITCSGSSVTAIKLPSLGLSGNLGYNMNTMGSLVEIDMSQNSLGGGQAIQYNLPTAKLERLNLAGNQFGGNLPYSIFSMNNLKYLNLNHNQLQGKMTDVFANLGSLTTVDLSFNSLTGDLPEGLSSLSSVKTLYLQNNQLTGSINVLADLPLSDLNIANNRFTGWIPSQLKKINSLQTDGNSWTTGPAPPPPPFTAPPSNRRKSPAKKDNGSSSSDGKSGISGGAVAGIIISLLVVGAIIAFFVIKRKRKSKREEHFEQHQPFTSFPSNEVKDMKPFEESTTIDVDSLASPASVGLKPPAKIERNKSFDDDDDFSSKPVARKSNTKPIKAIVYSVADLQIATDSFSMDNLVGEGTFGRVYRSQFNDGKVLAVKKLDCTVIPFQSSDDFVELVSNISILHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHISDEYSKPLSWNTRIKIALGSARALEYLHEVCSPSVVHKNFKSSNILLDSEYNPHVSDAGLASFIPDSEFQTAEQSAGCTAPEVDMTGQYTMKSDVYSFGVVMLELLTGRKPFDSSKSRSEQSLVRWASPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQAEPEFRPPMSEVVQALVRLVQRANMTKKMLDGDASRRGDDQDQDFI >SECCE7Rv1G0501920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:691335895:691337952:1 gene:SECCE7Rv1G0501920 transcript:SECCE7Rv1G0501920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFHDCRDGGLLVLDPAAAMFGGVRQRKRARVTAVPPCVLAAAAEEALRAAPAAKRQRLREAPTLDALPDGCLFEILRRVQGARARGASSCVSRRWLALLGGIRASEIKRVEAPAVPDLNQVFVCEDEDEAARPGRSERTLEGEGATDVALTAAAVADGLHGSLESVVVRGSHPTRGVTDSGLSAVARGCPSLRSLALWDVPQVTDAGLAEIAAGCPSLQKLDITGCPLITDKGLIAVAQGCPDLKTLTIEACSGVANEGLKAIGRCCSKLQAVNIKNCAYVGDQGVSGLICSATASLAKVCLQGLSITDASLAVIGYYGKVITNLTLTRLSAVGERGFWVMANALGLQKLRCMSITSCPGVTELALVSIAKFCPSLKQLYLRKCSQISDGLLKDFAEAAKVLENLQIEECNRVTLMGILSFLLNCSPKFKTLSLVKCTGIKDICSAPAQLPVCKSLRSLTIKQCPGFTDASLAVVGMICPHLENLDLSGLGAVTDDGLLPLIRSSESGLVHVDLNGCENLTDAAISSLVKAHGTSLAHLSLEGCSKISDASLFAISESCSELAELDLSNCMVSDYGVAVLASAERLKLRVLSLSGCLKVTPKSVPFLGSMPASLEGLNLQFNFIGNHNIASLEKQLWWCDILA >SECCE3Rv1G0143910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2464428:2471590:1 gene:SECCE3Rv1G0143910 transcript:SECCE3Rv1G0143910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNDWINSYLEAILDAGGAAGEISAASSAAPGGAGAAAEKKRDKSSLMLRERGRFNPARYFVEEVISGFDETDLYKTWVRTSAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRSSKKRLEREKARRDAAADLSEDLSDGEKGEHANESSIHAESTRGHMPRIGSTDAIDVWANQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGETPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENLGDDMGESSGAYIVRIPFGPREKYIPKEQLWPHIQEFVDGALVHIMQMSKVLGEQVGNGQPVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQTRDEVNATYKIMRRIEAEELCLDASEIVITSTRQEIDKQWGLYNGFDVIMERKLRARIKRGVSCYGREMPRMIAIPPGMEFSHIVPHDVDLDSEEANEVSSDSPDPPVWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHHELRNLANLTLIMGNRDVIDEMSSTNGAVLTSVLKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAYIEPFGLTLIEAAAYGLPMVATQNGGPVDIHRVLDNGILVDPHNQNDIAEALYKLVSDKQLWAKCRQNGLDNIHRFSWPEHCKNYLSRVGTLKSRHPRWQRSDDATEVSETDSPGDSLRDIHDISLNLKISLDSEKSGSMSKYGRSSTSDRRNLEDAVLKFSEAVSAGTKDGSGEKAEATAGSNKWPSLRRRKHIVVIAVDSVQDADLVQIIKNIFQASSKEKSSGALGFVLSTYRAASEIHPLLTSGGIEITDFDAFICSSGSDLCYPSSNSEDMLSPTELPFMIDLDYHSQIQYRWGGEGLRKTLIRWAAEKNSESGQEAVVEDDECSSTYCISFKVKNTEAVPPVKDLRKTMRIQALRCHVLYSHDGSKLNFIPVLASRSQALRYLYIRWGVELSNMTVVVGESGDTDYEGLLGGMQRTIILKGSFNSAPNQLHAARNYSLEDVVSFDKPGIASVDGYAPDILKSALQQFGALEG >SECCE1Rv1G0028610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:404716949:404718145:1 gene:SECCE1Rv1G0028610 transcript:SECCE1Rv1G0028610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSVSAGRGYYYSAAPVGTGMGPLAGQLGEWLLRAVQPPAPTPCGSPGGPPITAPRVRMRDGRHLAYEESGVPKETARFKVVFSHGFTGSRLDSLRASPEVAEELGVYMVGFDRAGYGESDPNPGRSVESATQDMEDLADALGLGDKFHVVGFSLGCHAVWGALRYIPGRIAGAAMLAPVVNYWWPGFPAELAAREYGRQERGDQWALRVAHHAPGIIHWWMEQSWLPLPTSTVVDNTTYLPNKRDAEIRRTLTADGTLRKKREMATQQGIQESYYRDMAVMFGKWEFDPMALPEPPCPVHLWQGDEDGLVPVALQRHVAGRLGWVNYHELPGTGHFLSAVPGLGDTVLRTLFG >SECCE3Rv1G0209850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:940935549:940936751:-1 gene:SECCE3Rv1G0209850 transcript:SECCE3Rv1G0209850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGEMSPPRRRRRTSSPPASLPDDEDLLQEILLRLPPRPSSLPRASLVCKLWRRLVAEPQFQRRFRDHHHGKPPLLGFFLQDNISCPFVPMLDPPDRVPGARFSMPPHKRNIIIDCRHGLVLFRRQWRPLRLFVWDPVAREKRRLIVPPELDNGEMYIIHGAVLRPARGRSSHFQVALIAQDRGDTSVSGCLFSSETGLWSNIKTLQLDLANRCKPQPSTLIGNSLCWLETVHHDHPRYAILEFDLDGQSLAVTELPPHLEAGYDQLRIITPGEDGGLGFIHLSHFRAQIWKRKPDCDGLAVWVIDRAIEFKELRSNCKGYSLTLVGFVEESNAILVLTASGVFMVYLHSMEFKKLSKLWVFCLYYPFASFYPAVISC >SECCE4Rv1G0270190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:753936603:753938900:-1 gene:SECCE4Rv1G0270190 transcript:SECCE4Rv1G0270190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPWLAWLVVPLLLLSVYLLDLLTQARRRGLPPGPRPLPLIGSLHLLGDQPHRSLARLARTHGPLMSLRLGAVTTVVVSSPDAAREFLQKHDAVFATRAVQDAVGAHARSSVAWLPHAPRWRSLRRIMATELFAPHRLDALQRLRAEKVRELAAHVARLARDGAAVDVGRVAFTTSLNLLSRTVFSTDVTSLDDHGGSKGFQVLVTEIMEVAGSPNVSDFFPVLAWGLRRRLARLFVRLHQVFDREVDQRLRRRARDATGEPRKKKKDDHDGGDFLDVLLDVAERDDDQAALLDRDTLRALFSDLFAADSDTTSSTIEWVMAELLQSPLSMAKAHDELARVISSGRDIEEPDIDKLPYLQAVIKETFRLHPPAPLLLPRQAQTTITIAGYTIPKGARVLVNVWAMGRDEAIWSEPDKFVPERFLERAVDYRGRDFELIPFGAGRRMCPGMPLAIRMVHLVLGSLLHRFEWRLPTEVERSGIDMREKFGVTLTKAVPLRAIATPVLVDDNR >SECCE3Rv1G0182130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:622645992:622651404:1 gene:SECCE3Rv1G0182130 transcript:SECCE3Rv1G0182130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGDEGHVVTDLRSAAESAGGDEALHEIESLCMRCGENGTTRLLLTTIPNFREVVLMAFECPHCHERNNEVQFAGQLQPKGCCYHLEVPQGQNEVLNRQVVKSDSATIKIPELDLEIPSEAQRGTLSTVEGIIMRAVEELQALQDERKKADPQKAEAIDQFLIKLRSLGSGEAAFTFVLDDPAGNSFIENPHAPSSDPLLSVSFYERTSEQQAALGFLVDPPTGESGDPSQNASTVEANSGGLPKVPHGSVGAVAGRRAIAQGNPDEIAAALCRYTAPEEVDTLPSTCGACGAACVTRFFATKIPYFREVIVMAASCDLCGYRNSELKPGGEIPAKGKKITLHVRNVKDLSRDVIKSDSAGVKVPELELELSMGTLGGIVTTVEGLIVKICEALERVHGFQLGDSTNEWKKKKWDDFQQRLSKLLSLQEPWTLIIDDALAASFVAPATDLIEDDRQLLIEDYERSWEQNEELGLNDMDTSSADIAYNITSTE >SECCE1Rv1G0052890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:665090024:665092175:-1 gene:SECCE1Rv1G0052890 transcript:SECCE1Rv1G0052890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELVPGDHVLPVFTGECKDCAHCKSEESNLCDLLRINVDRGVMIGDGQSRFTINGKPIFHFVGTSNFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGLGATLNVAKPKKGSTVAIFGLGAVGLAAMEGARMAGASRIIGVDLNPAKYEQAKKFGCTDFVNPKDHSKPVQEVLVEMTNGGVDRAVECTGHIDAMIAAFECVHDGWGVAVLVGVPHKEAVFKTYPMNFLNERTLKGTFFGNYKPRTDLPEVVEMYMRKELELELEKFITHSVPFSQINTAFDLMLKGEGLRCIMRMDQ >SECCE6Rv1G0385180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:73577570:73581241:1 gene:SECCE6Rv1G0385180 transcript:SECCE6Rv1G0385180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSCFRGRPDPAGRGLHDPLVSKTRLGDAFLDDHHDDGTAKLEESGTPKEDLGNDGGVDDDLRREANYLKSCGAISETPPEILKASNQVEEEEINECNQASNNAQEIKEALVSENKDYLSEGFNSDGHDGVVKHEQDTAEGTDDHVAEAESAPRSSSQERSSGQSIRNQKLDPSDSPFPTPLALRDDIQTPGFTTHQGNFKPGKRGRASKQFVYPVLRPIENKLQWTDLRDESSPVVASHPPKRRYLSADSAEKPQQQALPSSVNSENAELSSEFAPFLFHAGKKEQHAEEVTCPEEPNHRQLVGGGVGELLNKSSENGKHGVASLSCWLKTSCVDGHVDGQTGRQLGFGSVDLSDMPIFVASGLNWDDENNPTPMLPKAWDGNGIPNTTTKYKEDQRVNWHATPFEERLMKVLSDEKPHHQRKISGKLIHLEEDAIESPAAASATASS >SECCE4Rv1G0284210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838559998:838561326:1 gene:SECCE4Rv1G0284210 transcript:SECCE4Rv1G0284210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFAWPVAESVTGSAAVRAELTHVDSGHGFTKPELLRRMAARSRARVQSLWQPPGRGGNARGVTAPAARGTPDIDSEYLIHFSIGTPRPQRVALTLDTGSDLIWTQCACAVCFDQPFRVLNTSASDTLRGVSCFDPLCTRGGLPVSGCAADDNYCLYVYAYADHSVTTGKIGEDTFTFQAQAPSSKRGTVVTVPNLRFGCGMFNTGVFKSNESGIAGFGRGPMSLPSQLKVRRFSHCFTSIMESRTSPVFLGTPDNLQAQANGRIQSSPFGPNPTGVRSSFYYLSLKGITVGKTRLPFNTSTFALKGDGSGGTIIDSGTGITVFPQAVFQSLRAAFVSQVPLLAKDINDMLCFSTEPKKKVPAVPKLIFHLEGADWDLPRENYLLDIDDEDGTGSWLCVVISWAGDSDMTIIGNFQQQNMHIVYDLASNQMVFVPARCDKL >SECCE5Rv1G0361060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:777133645:777135558:1 gene:SECCE5Rv1G0361060 transcript:SECCE5Rv1G0361060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRPSSRSAPAEADENASRTKLLIGGISAFLLLAMIIGTAAFIVSERAEDEGDTNKKAMASTMRTVDLFCSPTDYQATCKETLTKPLERSTNPVDHPHAAAAAAVTAVGRELSRGFNESSLLEAVRQSNDTLVHEALLDCKMLLDDCAADVTRALSSIAWRGVDGPAQDLQAWLSAVITFQGSCVDMFPKGEVRVQIKEIMEKAREISSNAIAIIQQGAALAAMLEIDAGAEVTLAQEADDDDNDAAPAEQSDGERQLQETVSDVPTWVPSEDRRILEEAEEERNGALTPNVTVAKDGSGEFTNISAALDALPKNYSGRYVIYIKEGVYDESVNVTNGMSNITMYGDGPKKSIITGSKSVGDGVRMWRTATLAVDSDRFMAVKLGIRNTAGDEKQQALALRVKGDRAIFFNCRIDGHQDTLFAQAYRQYYRSCIISGTVDFIFGDAAAVFQRCVLLVKDPLPGKPGVVTAHGRRDRQQTSGFVLHRTRIVADEALASKSSTVKTFLGRPWKEYSRVIVIESVIDGFVHAQGYMPWEGKNNLGTAFYGEYGNVGDGANITAREEMKGFHVLNKEKSKVFTVERFLNGGEWIPETGTPVRLGLFG >SECCEUnv1G0540060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78244672:78245781:1 gene:SECCEUnv1G0540060 transcript:SECCEUnv1G0540060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVDPLVVARVIHDVLDPFTSTVLLSIGYNNRLLVRGAELRPSAVVSKPRVDVGGNDMRVLYTLMLVDPDAPSPSHPTLREYLHWMVADIPGTTGVSFGQELVLYERPEPRSGIHRMVFVLFQQLGRGTVFAPNMRHNFSCRSLAREYHLNIVAATYFNCQMEGGWGGRRFRPESSQGE >SECCE7Rv1G0517960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856708406:856712420:-1 gene:SECCE7Rv1G0517960 transcript:SECCE7Rv1G0517960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQVTTAADARRHGADRQKMEETAEFMLSYIYHNLPEYPLYNGARLTALAAARRADRISRLPRDLLRNILARLPVRDAARTALLSSRWRALWRSTPLVLVDIHLLPKAQDFTPTPANTPAVTAAVSRILEAHPGPFSCVHLICSRMDAHKAQLARWLHLLAAKAVQDLVLVNRPWPLNVPLPASLFTITTLTRLHLGVCKLPGTAALRGAIKSPPFPTSGSSASVSSPVLEVLNIVGHIKGGLRLRLVSQSLRCLQICGSVLEDIAVVKAPCLERLILSSPYKPNRGLCARLRIGDAPKLHTIGYLEPGQVLEVHGTVIMPGIKPSESAMLTGVKILSLEVCFGVRNDVKMVPTFLKCFPNAERLHIVSKQCDEPTGEPLTAKFWEESGPIENVVSRINLVTFREFKGDRGEVGFLEFIFQSARALEMVAIFMANPSFTPFSTDEALVKAQYSARNPASKSCKKCIMWSSRLEGGDPWSLRVGADFSFEDPFTVSEEDS >SECCE3Rv1G0174280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:381668340:381668573:-1 gene:SECCE3Rv1G0174280 transcript:SECCE3Rv1G0174280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE2Rv1G0090680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:285093623:285133375:-1 gene:SECCE2Rv1G0090680 transcript:SECCE2Rv1G0090680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNCLYVAAASTAASAAALQWWAASFLDAPRDGDVGGGDWLETSLRSHVTVALLANLAAHVLLVLLLALKTLFFVRLTSTETRKVLEHIINYVIYKGTFLPLVVPPNSQQIILWSTWLVLLCSLKMFQSLARERIECLNASPSATPLKYLRVYSALLLVLSTDLLWMKLCVGFCSSCNSKLFWLMLFEPLSIAFESLQSIMVHGFQLFDIWQRHLMDSGVDYLDFQKTYKQAAGSFSEWRGQLIRNFGFVIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALVVSFLKRIKTYIKLRKALRSLDEALPDATYDEICTYDDECAICRGPMARAKKLPCNHLFHLPCLRSWLDQGFMEGYSCPSCRRPLFLSDQGHSRSTTAEVANVQLISEQLNMGLNQQRVPEQQDPSDGVWRGAGLDSRWAPPWSSPGVDDPSSSSAVRSVGLSGVQMMMRQLASVTNNYGHADATWNLWPESMAGPSIVPSSSQRPDVAAASLRFRGTTGTINGSMLRVNTMVDRVREVLPHMPDELIIEDLMRTNNVNSTVNNLLLMQ >SECCE3Rv1G0185640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:676507546:676508505:1 gene:SECCE3Rv1G0185640 transcript:SECCE3Rv1G0185640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIMAARGQGQGQGHQVQQDFDFFLVVDFEATCEKDARIYPQEIIEFPAVLVDGATGLIESAFRRYVRPRHRPVLTQFCRDLTGIRQEDVDGGVDLGEALWLHDAWLKAATAGAGNKRCVRLAVVTWGDWDCRTMLEFECRFKGIEKPSYFDRWINLRIPFQAALGGGGRVNLQEAVRAAGLDWEGRLHCGLDDAHNTARLLVEIMRRGVKITITGSLAPPPPRSTPFGGSSALAPPPLQQQPPHTRPCGGSLAPPLLPPIQQQQLPQRHICPWGGSSATCLCYCGVATRGGGVPVPGPGPMQVNCFFGGGNWTPAMV >SECCE1Rv1G0012760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:96750090:96752965:-1 gene:SECCE1Rv1G0012760 transcript:SECCE1Rv1G0012760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAMTRRLPPAPAAAAASVLLAALTCLLRPAAAASGHAADRIVRLPGQPAVDFDMYSGYITVDEAAGRSLFYLLQEAPEDVQPAPLVLWLNGGPGCSSVAYGASEELGAFRVKPRGAGLVLNEYRWNKVANVLFLDSPAGVGFSYTNTSSDIYTSGDNRTAHDSYAFLARWFERFPHYKYRDLYIAGESYAGHYVPELSQLVHRSSNPAINLKGFMVGNGLIDDYHDYVGTFEFWWNHGLVSDDTYRRLKDACLHDSFVHPSPACDAVTSDATAEQGNIDMYSLYTPVCNISSSSSSSSSSSSRRGRYPWLTGSYDPCTERYSTAYYNRREVQMALHANVTGAMNYTWSTCSDTINTHWHDAPRSMLPIYKELIAAGLRIWVFSGDTDAVVPLTATRYSIGALGLPTTTSWYPWYDDQEVGGWSQVYKGLTLVSVRGAGHEVPLHRPRQALVLFRYFLQGKPMPGQATNATVA >SECCE2Rv1G0128530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:862124976:862139302:1 gene:SECCE2Rv1G0128530 transcript:SECCE2Rv1G0128530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPAVAKLRVVSSGLWVAPGNSEEVAAALCQALRNSLERALRGLSYARFGDVFTKYNPPTRNQNSFRRAQPTVEFVFAATEEAIFVHVIISARYMRNLSSDDIEKVLTHTPRSVGEGLPVIVAPSGMLGRLVGCCPSDLARQVYSSKLSAPNLPGFTQPTICQLRGQSYYVEVALGFPPASTDKISESENNQIKKEFDSVKDPHLGDDGQQKLESADGLPVLERTFIYPPEAVMVPMVHQAFVRFSSKRMWSQDWMGSSSWEAWPFWNFSPSSYFRNSSFFGSSRGLGVNSNFLRLRRQRNNNSNGMASSISSVSSTSNGSEHAVAAKGGDLLADADSTACHQSDLPLNNDIAGSKMVSKRSRSEMMEDSSHAGKEVRENMQGTNGQRGCSWGWGEEGVVMDINILLSEFGDFSDFFQEDELDFGEPPGTAESHALVTPASEYGDMPFIDSPSIAMDIPEQRLSPVSFTSMEAFNHQTMSPIQDVASKVQEPLKEIASRAGSQSLVLSSSRSDFLTRAEATLTFAPEYAAVEISSCETPATLFTNPYLPGSKKRGSCGFSSRVYSYDVTQSSKVESAGDKSEKSDKLTPTNLSRDVGRSSLYTVVQGRKNESEKSLNNADEQSCKGETSRPVSGEASFSSSLTIQKKSDSMLNVGYFLLSMKTALATEIECITFQAAMCRIRHTLVSLRTKACAELKSALSSAMQTESSSNSGLVPKYEMKRKDSIPARLSSDVDHDMYDRSLLENVGVWRSVVVPKGAKPLDSLSAKTFTGTSPSVQRQPIVELLSAMALLVQQSTSFVDIALDMDDGDGSFFWLSLDEQRRRGFSCDPSMVHAGCGGLLGTCHSKDCAGVDLVDPLSAEVSESSMIGLLQSDIKSALKTAFANMDGPLSVIDWCRGRSNIAESAAMGDAYSFHYTTSDIRDTSNSIPTGGDAMSSPQSSNDRGTSEEHHKGYHRVRPTIAVLPSPSLLVGYQDDWLKTSANCLKLWEKAPLEPYASAKPVTYYALCPDIDMLTSAATDFFMQLGTIYEVCKLGTHSPQHSGGQIEQSPGKYLPSGLVLVECPDQLKTRGSHSVSISSATEYFQALSKSWSVKSFVSSLARIIKDIKLTLNISTNQKESSNIPCTVVYVVCPFPEPSAVLQTLVESSIAIGSILSSERERKSFLYTQVAKALDSSASADEASASNVVMLSGFSIPKLVLQIVTVETLLRLYKPNELAAFKDIAFTVYNKARRIPRFVSTSDMFQSPNYMSRPQSTMMHTASPGPTLWKECLVPRMSGQTLSRETEFDASMRSVSWDNSWQPGRAVGLPDPSKIPELCAQDDRKYAFEPLFILAEPGTADYSDIMESSRFGADASSSRAYSSVSGGTDSGASPPLEGSENDSATSLHCCYGWTEDWRWLVCIWTDSRGELLDSLIFPFGGISSRQDTKVLQSLFIQILQQGCQIMSSSPEASNTRSRDVIITRIGGFLELEIQEWQKAIYSFGGNEVNKWPVQLRRSIPDGIPSNSNGPTLQQQDMGLIQDRNMPSSPSTLYSPHSKSSFTKGQSGNKKQILGEQTGMDSSRGSLHLVRSISLVAVSLDSSLHLACQADLMATRPTSGEGNQSSTGSSSYLDGFAPVKSIGSMSASYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGMATPLAMGYVVSKAVPPVRKDAAQLTKEDRHSVLSVSIIDYYGGSTATVQEKTSRGVGGSTMSKQARNITHETSARDYEMDMHNVLEAVAAELHALSWMTVSPVYTERRSALPFHCDMVLRLRRLLHYADRHLSQLTAKGEM >SECCE7Rv1G0503710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:715636143:715636818:-1 gene:SECCE7Rv1G0503710 transcript:SECCE7Rv1G0503710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSNCVSFLLGVAILSAALEPFVAIAHRELLTATGSVRGPQTRLEPTVDKTITDEGIRSNVLTRGKMVLGDAAMEKTDDRSAASSGAKHPVGQCGHAGGKDLSMDCYFRSRKLHPGAYFDGHIPFTADYRRPRNHPPKNN >SECCE7Rv1G0512450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:811305544:811306434:-1 gene:SECCE7Rv1G0512450 transcript:SECCE7Rv1G0512450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE4Rv1G0271180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:759851487:759855258:-1 gene:SECCE4Rv1G0271180 transcript:SECCE4Rv1G0271180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRCVSIAAALLLLLISAPAAAAGAPPMMIGMPGCNTTCGNVSVPYPFGMGPKRCYWPGFKLTCDNNGSNLPRLLLGEGRDGVFEVVKISLENTTMHIISHGLQAINMSGGSGRWSLGDAETESIDAGRLPYLLQPDSNDFILTGCNVQATLLGNQSLASGCASFCLAYGDGGGGHLLYSGGKSNTCSNIGCCQLTIQTASASYGMELKRLKYSGVYNNFDLPVHVLIAEVGWFDLDDNRQKENLSRKADQLRVPVILGWALAHNAALYNTGHPRCPHDAARSICKSTNSNCSVGNTIHEIRGYSCHCKEGYEGNPYLTGGCQDIKECNQKEKHFCFGVCEELSGSFRCLCPHGTHGNYTMPGGCIIDSVDTIRGNRNLGLVIGLSVASGPFILLLVLGALLITYGFKQHKAKVLRQKFFSQNRGQLLKQLVSHRADIAERMLISLEEIEKGTNNFDQAHKLGGGGHGTVYKGIMLDLHVVAIKKSNIVVKREIDEFINEVAILSQINHRNIVKLRGCCLETEVPLLAYEFISNGTLADHLHTEERGSLPWKDRLRITSETGKALAYLHSAMSVTLIHRDIKPSNILLDDAMTAKVSNFGASRYIIPMDQTGTTTAVQGTIGYLDPMYYYTGRLTESSDVYSFGVLLVELLTRRKPSVYRSSEGDGLVMQFIALLAEGDLEKILDPQVIEEGGSEVKEVATLAVECLKVRAEERPTMRQVEMALEALQTPKKRVRDDLTEETDEKEYAATGFPSTSQQAKTNEAGRCDIQEEEFLLSAAYPR >SECCE5Rv1G0328760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509730322:509730840:1 gene:SECCE5Rv1G0328760 transcript:SECCE5Rv1G0328760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMAMSSFPGAAVLPRRSTGHFGARSLPAPGRRALVVRAQTEGPSAPPSNKSKASTSIWDTLAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLASGTGQAWFAYSVAVLSVASLVPLLQGESAEGRAGTIMNANAELWNGRFAMLGLVALAATEIITGAPFINV >SECCE4Rv1G0241390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:449009140:449012639:-1 gene:SECCE4Rv1G0241390 transcript:SECCE4Rv1G0241390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) UniProtKB/TrEMBL;Acc:F4J7B7] MELSMSGGALRTFSRCVTCLARIGSDLVFQAYPDKLELHTLNSSRSAFASVSLTRDFFDHFHLPADAAAPSSTPLQCSVLLKSVLAVLRTPTSAIDRLNASLPNPQASKLQFVIHCLSGRKKTHRISCSAESDVQTLALDRNGFPSRLAIRPRDLTRLLSNFQSSLQELTVIATEPAAAGLPGVDDGTGGKAVELLSYIDPTKDDYDSRLHTQLWIDPVEEFLEYEHAGDPVDVTFGVKELKAFLTFCEGCEVDILLFYQKAGEPVLLAPRFEFDDGSSSNFDVTLVLATMLVSQLNDGSVPHQPPTSAHHAEEPRAAAAAPSPVPENVSNHTRIWSELSGVTPKSFEANAENRAQKERNGRADVLNDTSVLPNTNAPCKLPVTDNANYIEQPVQMDHLEEPPEVVVDNPRSQHHPSNWVGADEDDDDEEDEELFVQTTPHYMD >SECCE2Rv1G0131960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887796392:887804801:-1 gene:SECCE2Rv1G0131960 transcript:SECCE2Rv1G0131960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRPLPLTLPAPALRAGPAARRQRPRRRPPRPRAASDYGAGGVSEEDAFTRCSGYLFEEGLATEGDLPTAYDIPGIARVYGRRPLLVLRRSLQIGTSFGRWFALRYLDSLSDRADDMFEIRASQLRRILLELGPAFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTKVAFDIIEKELQMPLDMIFSEMSPKPVAAASLGQVYQARLRSNGKLVAVKVQRPGVQAVISLDIYILRFLAGVARKARKLNTDLQAVLDEWASSLFREMDYREEARNGLKFRELYGKLRDVMVPEMYLEQTRRRVLIMEWVEGERLSEVRDQYLVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDGLAKDFVTLGLLPATSQRDEVTKALTGVFESAVNKGVQNISFGDLSGNLGQTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLSSSYPWIARKVLTDNSPNLRSTLYTLLYKDGTFQIDRLESLLTESLRARTEQTLVRTQQDESDSSRFAIKQVLSFTLTEQGAFVKDLLLQEIAKGLDALGVATLSSVTSAAASRLPFAPSPSPSLNNEEVTNLRNLYRLLLLLSKTPQKENSSQAPGYESTEEKGHDVTDDMSLVLNEMRSFPEFLPVLSIIPELSPESQQQFLLLPADLTNLVLSRAVARTIRRMFM >SECCE4Rv1G0217700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18535291:18536208:-1 gene:SECCE4Rv1G0217700 transcript:SECCE4Rv1G0217700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQQMWTIRVLVMAIVLGGIPWGAVCVPADRGDAEAMQAIAKSIGWNVPSPDPCDGTWTGVSCNEMGRVTSIVLTHAGLKGDLNGPDVSKLTFLSNLDLSFNGLLGDLPLLPTPHQHLRNIDLSSNSFTRIPDGFFAALPALEDITLDNNDMLDWLERRDLVACSRLRSFSANNATIYGQFPNFFGDAALFPALERLSLARNKIYGAVATGFGANSKIRYLDIGGQHYDGDTITGRLDLFIPDMVSLVEAHLDHSGFYGPLPDVTKLVNLRVFDASYNSLCGHPKFAPGTAVNLAENPNVGFAC >SECCE3Rv1G0166110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:182972984:182974210:1 gene:SECCE3Rv1G0166110 transcript:SECCE3Rv1G0166110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWPNNNETSDDDDEYMREFSSMQMEYFQTPDTVRDPSFCGLVTESDRRCILNRQRPGKFVAFEGTDTGWRFIGCATEDGVNCGVLEWVDAPWPIILQRCLCKLWDMYHDQNLGRAQDKEAHGIEVAKLQKELDSLANQYSQLVDDVSKLFDYQDGIKSHDMDCTSHAFNELKEKKKQLEEQANIELQMEKLKLKKEQRCILQSQADIIQNTMKAMKELEVEKDLLKEEKKKLENVIAELLKVGHGCKEKLDKIKEVVMEE >SECCE6Rv1G0407020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:553308084:553310123:1 gene:SECCE6Rv1G0407020 transcript:SECCE6Rv1G0407020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLAAAAAAAPWSYLLYGLAGSTLLWQARLLLDRLWWRPRRLERTLRAQGVGGTPYRFLMGDLKDFGRLNDEAWAKPLPLRCHDIVPRVIPFLHNNVRDNGKPCFSWFGPVANVAITDPELIKDVLSNKFGHFEKPQFPALTKLLANGLTTHEGEKWVKHRRILNPAFHLEKLKLMLPAFSASCEELVTRWKELHGSDGSCEVDVWPELQNLTGDVISRTAFGSSYLEGRRIFQLQSEQAKLFVGAVQRIIIPGYLFLPTKNNLKMRKNNKEVESILQGLINKRMRAMEEGEKSENDLLGLLLESNMKDVDENDQPSQGMAMEDVIEECKLFYLAGMETTSVLLTWTMIVLSMHPEWQDLAREEVLGLFRKNKPEYEGLSRLKTVTMILHEVLRLYPSATVFSRRTYKDMDIGGVRYPSGVFIEVPVLFINHDPDIWGSDVNEFKPNRFAQGISKASKHPGAFLSFGWGPRVCIGQNFAMLEAKMALSMILQHFEFELAPSYMHAPHTVMTLQPMHGAQIKMRAI >SECCE3Rv1G0210290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943295288:943296186:1 gene:SECCE3Rv1G0210290 transcript:SECCE3Rv1G0210290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASREERWSLAGKTALVTGGTKGIGRAIVEELAGFGVRVHTCARSDADVQERLRGWAADADAGRLSGRVTASACDVSVRGDREALMATARAELGGKLDILVNNAGQTFFMPATESTAEDYARLMATNLESCFHLAQLAHPLLVAAGPGGSVVNISSVAAAIAYPVLSVYSATKAAMNQLSRNLAVEWAGDGIRVNCVAPGGIRSDILRSSGIEVDLEALRGMGEKENARVALGRMGEPEEVASLVTFLCMPAASYVTGQVIYVDGGRTIAA >SECCE6Rv1G0384910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:70435298:70438938:1 gene:SECCE6Rv1G0384910 transcript:SECCE6Rv1G0384910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDPVVSAQWLQQHLGQPDIKVLDASWYMPHESRDPWQEYQVAHIPGALFFDIDGIVNRATDLPHMLPSEEAFAAAISALGINNHDKVIVYDGKGFFSAPRVWWMFRVLGHDKVWVLDGGFPQWQASGFNLASSCPDDAVLKSKAANSAVESAYNGELATTATFQTEFRPQLLWTLEKVKQNIAAKAHQLVDARAKGRFDGVMPEPREGVRSGHIPGTKCVPFPEMFDAAQTLLPADELSKKFEQAGISLDHPIVVACGSGVTACILALGLYRIGKHDVPVYDGSWTEWEAQPDDDYPKVTSTAS >SECCE3Rv1G0201770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:867448300:867449364:-1 gene:SECCE3Rv1G0201770 transcript:SECCE3Rv1G0201770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNQAKPKPPGSSHRARRPLPAMDTFFLSHGSPAICIDEAIPAHGFFRSWLPAAVAGTQPPRAVLVVSAHWETDTPAVNVVRGTNDTVHDLPGLPDEMYKLRYPAPGAPGLARRTKELLEGAGFGPVSEEHGRGLDHGAWVPLMLMFPEADVPACQLSLQTGRDGAYHYDLGTALAPLRDEGVLVLGSGTATHNLRELGPLDAPVPQWAADFDTWLRDALLDGRYDDVKRYKEKAPYGEVAHPSPEHIYPLHVALGAAGDEARAELIHHSWTNATFSYSSYRFTNKI >SECCE5Rv1G0309020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:145292737:145292928:1 gene:SECCE5Rv1G0309020 transcript:SECCE5Rv1G0309020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRVAHATLKGPSVVKEIFIGLTLGLVAGGMWKMHHWNEQRKTRSFYDMLEKGQISVVVEE >SECCE7Rv1G0506330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:747843336:747843620:-1 gene:SECCE7Rv1G0506330 transcript:SECCE7Rv1G0506330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIKAAVCVLALVLCGLLAADTAAAAGCDASALSPCMGAIMLGGAVTPGCCARLRAQRACLCQYARDPSYRGYVNSPRAQSVVAACGLPRPKC >SECCE7Rv1G0475790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:192877726:192881131:1 gene:SECCE7Rv1G0475790 transcript:SECCE7Rv1G0475790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIVIRRALCPVKLHRHVPSSLSRSLCCRGGSDTRCGAAPGKSARAVAARARGGPEVGDGGQAPPLQDVVAASPPPGGGGAKRGSVAGAVALIVGTSIGSGILAVPQSTAPAGFVPSAVCMVVCWAFLVAEALLIAEINVHLRRKRKKDGGDGAGLEVISMKSMAQATLGEWGGNLAATAYLFLSYTSMVAYTSKSGEVLSRAIGVPEPVSGAAFTAALALLIAGGGTGVTAQVNQVLTFFMIGLLLTIEVSAVAFGGGLSLPANAHWEQVPATLPVIIFTLVYHDIAPVICAYLEGDLARIRLSILVGSLVPLVSLLVWDDVALTLSAPSTDPNGFGILDMLETEWSYAVVETFSLLAVGTSLIGTLLAASQFFIEQMTNLAPSSAKIDEDASEEEGSSQLGFPTLLESNRLSFVATGVVVVPTMLIAAAVPDSFSIATDIAGGYCMTILYGVLPPLMAWSIGSKLSDQKVGLEQAEAASRAGKERVSFTGAKPVLVGMGLFAVLMVFQQILQDLVSFNSYLLSWAS >SECCE1Rv1G0055470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:680638201:680640168:1 gene:SECCE1Rv1G0055470 transcript:SECCE1Rv1G0055470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVVAAAAALAAVLVSVLAPAATAQMDSCSGDLPFALVGNYSGLACQPVWNNFVLRYHQDKNNVLRVVLSTMYSTGWVGMGFSRDGLMIGSSAMVGWMGKKGLPHIRQFSLRGKSGSKAAVVDRGFLVSNNHDHTVVVQQAKIYVAFQLKFSYRLSHQHIILAFGPGVPVKNKLSKHQDKTSFTFDFTTGKGFADGPFPYGLRRAHGGLNLFAWGILMPIGAILARYFRRMDPLWFYLHVGIQFAAFIIGLAGVVAGVALYSKIQADIPAHRGLGIFILFLGILQVLAFFLRPNTDSKYRKYWNWYHHWSGRLVLFFAAVNIVLGIHVGGGHDSWKIGYGFNLAILLVAVIGLEFMLWTRWSKNSASTLTY >SECCE2Rv1G0136770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:914049402:914051291:-1 gene:SECCE2Rv1G0136770 transcript:SECCE2Rv1G0136770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTLSSGAIYHSRVLIRCSSSERGGQQRSNAAVRVNGAAHRATLQVGAALETSINETLAELSAPLLAPAPATDGRERSRQNIPTEKQAEDPFRQAQIVEGGVRYQQMVVVRSYEVGPDRTATLETLLNLLQETALNHVWMSGLLGDGFGATHGMIKSNLIWVVSRMHVQVDHYPLWGDVLEIDTWVGSSGKNGMRRDWLIRGRGSGNIYVRATSTWVMMNKSTRRLSKMPEEVRGEISPWFSDRHAIHEEATEKIIKLDSNAKHVDADLKPKRSDLDMNQHVNNVKYVRWMLETIPDQFLQHHQLSSIILEYRKECGSSDVVQSICQPDEDSVSPSENVSMVSGPSLLPEVINGHHSLAGALQQWPTKYTHLLQLKAGDVHEEIVRGRTTWKKKL >SECCE4Rv1G0246680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:547858493:547858984:1 gene:SECCE4Rv1G0246680 transcript:SECCE4Rv1G0246680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKVDTMVADFDGHFERLFAGDDGGKLKLLLFLADREPSSSLTWCPDCNVAEPVIYEKLESLGKDVVLLRAFVGDKPTWRDPAHPWRVDPRFALKGVPTLLRWEKGAAAGRLEDDEANVGDKIDALLN >SECCE7Rv1G0456030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9323436:9327626:1 gene:SECCE7Rv1G0456030 transcript:SECCE7Rv1G0456030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSSGLDAAIESLLNAEKQCRLAGDVAGTRKAAVDIVELCFKDGGWKTLNDQIVVLSKRRGQLKQAITAVVQKAMEYIDVTPDVETRIELIKTLSSVAAGKIYVEIERARLIKRLAKIKEEQGKIDEAAEIMQEVAVETFGSMAKTEKLAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADTSKDKKKPKEGDNMVQEAPADVPSLLELKRIYYELMIRYYSHNNDYLEICRCYKSIYEIPSIKEDPAKWTPILRKICWFLVLAPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQVVTMEVIQWTKLWEFFKDEFENEKNLIGGALGTKAAEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQEAEKHISDMVNSKALIAKIDRPMGIVSFRTAQDSNGVLNSWASNLEKLLDLVEKSCHQIHKETMIHKAVLKA >SECCE5Rv1G0375630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869157762:869158957:-1 gene:SECCE5Rv1G0375630 transcript:SECCE5Rv1G0375630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPYLVLLLCLTTFLQAAANYPPPPPPPFELPESEVRERFSKWVTKYSKHYSCQQEEEMRFQVFKNNTNAIGQFDQQNPGSVVGRGFRPNAFQVGVSGGVRMNRFGDLSPREVIQQFTGLNTTSVNATSPTYLPYHSFKPCCVDWRSSGAVTGVKNQGTCGSCWAFAAVAAIEGMNKIRTGELVSLSEQVLVDCDTRSSGCGGGHSDSAMALVATRGGITSEERYPYAGFQGKCDVDKLLFDHQASVKGFKAVPPNNEGQLAIAVAMQPVTVYIDASGFEFQFYSGGIYRGPCSANVNHAVTIVGYCEGPGEGNKYWIAKNSWSNDWGEQGYVYLAKDVPSSTGTCGLATSPFYPTA >SECCE1Rv1G0031560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:444070485:444071276:-1 gene:SECCE1Rv1G0031560 transcript:SECCE1Rv1G0031560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTAGGGIALPSMGQPPPPLHPEPTSPTARPHHHYYLFSIKQLNTLGAAAVLAFSTTVPLSEIAFAVLLLPYLLLLATLAFPQRPGKPNPSAPVFVGPARHLLLVHTVGGFLVGAALPALYILDGLRSGDTAGIAAAAPHAFLLSAQVFTEGLTAAFPWRFSLPVRAAVPVMYSARRMFAAGEWLRQEMEEKRGGPGVAERRVVAGRVLALANMAFWGANLFAFLLPFYLPRALQRYYCDDGVDRTARTNGDEDKKDS >SECCE5Rv1G0309490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:153343027:153343569:-1 gene:SECCE5Rv1G0309490 transcript:SECCE5Rv1G0309490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKYPASVEAVAGEERPRAAKGLDCSEGDKKAAAAAGSEVEETPAKKMWRLPLEDIEWILAQSDEPVCAEFRALKRTNPSLLPSPEEEKDESMVLLYACARDCYDDEEKFARFQAWVRSEYNSKGFVEVAYDYYGERADATRLSEEAREEVFRDTDLSLDSEDDDELKLLKTAVRSWV >SECCE5Rv1G0351680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:701780809:701783760:1 gene:SECCE5Rv1G0351680 transcript:SECCE5Rv1G0351680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGIMASAATGAMSSLLAKLAELLGEDCYQHQMQRGTRREVAFLRDELSSMNALLERLADAGAAAPLDPQTREWRDQVREMSYDVEDCVDDYMGQLRRRSAGGGGGVVGLVLGYVGRVREMVSRRGIAEQIQELRARVVEAGHRRKRYKIDAAAASPSGAGVVPVDRRLPALYAELGGLVGVHGPRDELVRLLDDGEERTKVVSVVGAGGLGKTTLANQVYRNIGDRFDCKSFVSLSQNPDIGMIFRTMLSQVKKDEPELTRSGDMEQLINELRDFLQDKRYLIVIDDIWSTQAWKIIKCAFGENTCGSRIIVITRIGTIAKSCSSPDYDLVYELKPLSEDHSKMLFFRRIFGSEDKCPHQLKEASVEIVKKCGGLPLAIITMASLLTTKPDTREEWTKVCGSIGFGLEKNSDVEEMNMILSLSYNDLPHHLRTCLLYMSMFPEDYVIKRDYLVRRWIAEGFIKVFGGRTLEEEGECYFNELINRSLIQAMDFQYDGRVYACRVHDLILDLIVSKAVEDNFVTIVTDKRQVLLPQGKVHRLSSDCNNAENLLTCSKAVAHVRSLNMFRYSERMPPLLNFRALRVLDLDGNEDLESRYLEDIWKLLQLRYLRIRASNNITLPEQLGELQLLVILDLLNCYDVSELPASIAKLWNLKWLLAHRVKLPNGVGNMQALESVSLVVVDYTTPVTALQELGSLTKLRSLGLDWRISDAHKDKMTYADNLVSSLGKLGTSNLRCLSLISPWSLDFLLDSWCPPPHHLQELTIKGWCLRKIPVWMASLANLTYLDIEVEVVQETLEVLADFPVLQFLKSYSNAAGPQERCLVVGNDGFRCLKKLNFVHWTNLMFLEGAMPMLETLEFQIIVHEVKTACGLGSPPDLGICHLSALRNLAVNIYCECARVEEVEALEAAIHAAAGMLPNNPTPTLRRFREPEMLPDDAEQ >SECCE6Rv1G0440650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:805739200:805742558:-1 gene:SECCE6Rv1G0440650 transcript:SECCE6Rv1G0440650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAHATMYAERVRPSELHRRPADYRLKTWDYDSICFMQQQAQHDDKHSKQSSLQSSLKQRVRRLLLEEDEELATRLKIMDQLQSLGIAYHFEEEIRSILMSMHVHHAQLQLKHDLSSTALLFRMLREHGISASTDMLSAFWDENGDFKAANPKDIDAFVALHEASYLAFPGESMLDEARAFAVKTLEELIPCMSISMKPASEMERQGDLPQHWIVPRLQATWSLKEHGYNHERHLSMDPSILQLAAVDFNLMQAVHGAELVELTKWWKETGLGEKLPFARDRLVECFFCAACIAPEPCLAGCREVLAKVGSLIVHLDDVYDLYGTLDELAAFTDVVGRWWEWDNDEVLPEYMKVMYSAIWSTSMEAADRVMKEQGYDVLPLYKKAWHELCKAFLIEAKWQHEGQMPCLEEYLENGWVTSTGPLLLLHAFTMLQQQQQLDSWLPNADDDHHDDNNMVYPKVVELCSMIFRLCNDCATHEAESGRGEAASAITCRMGGGASKEEARAAVVDAIAETWKKVNREVALSSITGTGSEANRVCVNLARTIQFIYQDGDGITSPKDSRKRLVKNMLFTPVDLDICGAW >SECCE7Rv1G0468120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95435167:95437639:1 gene:SECCE7Rv1G0468120 transcript:SECCE7Rv1G0468120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKARVRPRDFSRRFWSVLCHALSECFLIVMLLVVAVVSYTATRFARICRIRPPCMLCSRLDKVLHGKAWFSEELICAAHRAEVARLSYCQIHSKLSPSDDLCGKCFLSCSGPVGKPGNPTNMSIKEKAGHTQRCSCCSEPFKKRDNAHKSFEEVNGRSQNDGMSKVKERSMATASVGHSSDEDFDQLPYGGYRKLNACHDSRSEIHVSDDDAGGDAKPFEAKRRTRDLDEHLKAVPEQIRQELPKEKTFLVGAEEVGDLEGVSRGPDQEATEAFAASASDGARSTTSHYVNRNSSMKNAPGGRGNIRSPRWSEVISAKETNSTTHEEVKTFMSQLSSARGLDGPWGEVAASPIISMQIDEYSQSDATDGRQFLDLEPSDGHVPTEAEGEISLESLKQQCELNKKKLSILYKELDAERSASSVAASEAMAMINRLQVEKAAMHMEALQYLRMMEEQADHDQEEIEKLNDLLTEREKEMLDLEAELESYESRFRIEPFELGKFDADGDMALRVLDSSDFVRNTIFDFEDEKAKILESLSRLEETLGMPCTNRFHLGGTSDSLQSGSLNHPRDGPGQQVENSELECRSSLLPQEHMNDDTLGDHPSDGPGQHLENSELECRSSLLPQEHMKDDSLRDHPSDGPGQHVENSELECRSSLLPQEDLNDDSLRDHPSDGPGQHVENPELECRSSLLPQEDLKDESVSLQRNDENQSVENQKYVGSYSRSDNDEISSMEIVKKEISLLNSRFMALEADQKFLKQILSSLKCSSDGEQYVQEITSHLRELRRIVTEQRDRTVL >SECCE4Rv1G0256290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:655276266:655278301:-1 gene:SECCE4Rv1G0256290 transcript:SECCE4Rv1G0256290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVILLLLPMALILVVGSLLRRAPPIKEMQTRLAAAKWALVRDFSAALREDVVVTDRVTAHHLLVRGGAGGGFCNRPPTSAASSVLSRQRHHNIGSAPYGPLWRGIRRNLVSEVFHPSRLRLYAPARRRALGGLVADLREQCKSSNDGLVLVAESIHAALFGLSAAMCFGDGVDPGQVRAMADGMEDLIRSLVGLRVFAALPSLTELIYRERWNRLVTLRRQQEEMYLPLINARRDLLRRPSGEAPTYVDTLIDLLVVDTDENSASGAKAPKQRLADGEFVGLCSEFLGAGTEPATAALQWIMANLVKHVDVQEAVRSEIDAVVGADAEEVSEEDLGKLEYLNAVLMEALRLHPTVPSVARQVMPDDHVFLDGRRLAAGTIVQFPLEHLARDETAWAEPDKFLPERFLAGGGGGNGVSLVTAAGSAGEIKMMPFGAGRRMCPGMGVAMLHLGYFVANLVREFEWTEAEGDLAVHLEPQVGFLNVMKRPLRALLKLQKKGA >SECCE2Rv1G0138470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921739483:921743250:1 gene:SECCE2Rv1G0138470 transcript:SECCE2Rv1G0138470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF3 [Source:Projected from Arabidopsis thaliana (AT3G33520) UniProtKB/TrEMBL;Acc:A0A178U6Y1] MTGGSHVVVIDNGGGLLKAGFGGDKDPIAVVPNCIAKSPGSNANSKKWLAADQLQAQDVDVTGMTLRRPIDRGYLINTEVQREVWERVLRSLLQVDPTNSSLLLVEPQFNPPGLQHATDELVFEEFGFNSLCVADAPSLVHLYEASRQPSLFQTQCSLVVDCGFSFTHASPVFQNFTLNYAVRRMDLGGKALTNYLKELVSYRSLNVMDETLLIDDAKEKLCFVSLDVPRDLRLASLSFRDNPFRCSYILPDGITYKKGFVKDLDEARRYCSLPVEGELDKKDGDSETDKDRKKPELSQNEFALTNERFLVPEMLFHPIDLGMNQAGLAECIVRAAQACHPYIQPVLYQSIILTGGSTLFPRFAERLQRELRPLVPEEYQVKIISQENPILGVWRGGSVLASSPDFESMCVTKSEYEEMGSARCRRRFFH >SECCE6Rv1G0420730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:679611066:679614627:1 gene:SECCE6Rv1G0420730 transcript:SECCE6Rv1G0420730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLKDRGGGVGGSSGVKAASSAPRALTPRPFPLSSSSATRRTPGTAAAAAAAGKENSASKLSKQQPTSAVRWSTSSIPRASRIPGGSVEPSSKLVSTLRASAALPAGRASLGKDAEPGLRRSVSGGIRSVSTERGRRSVSAAARPSDAARGGGGGAAPRASDAAAHEIGARSLGFDSRGRRAKAAEEISRKREVLDARAKQADGLGRSRESLDAKAKQISGKKVSLDVNAVKQCDVFRESSSAFDSNVKKQSDEGNGKRQGLLDARAKLGDEISRKQEEGHDLKLVNEIDFKNVFSGLAGPGEVSAKTVPCSATQSDCDGGNSAVIPVFTVHVVESDDVCLGAREHQKKPEECNKQGEKGKLADKIRVFEKAATSGEGKSVKTLCSVNKYPSKLHEKLAALEGRVQKIATDIKKTKEMLDENNPDEPKQILSNIQKEINAIEQAISHVKVDNKSQLGTEDNIDCEISHTKKGASEKSAVAKPRDLKNAGKGLNTDELEARFFPHHKLLRDRRTSSATQQESCMALKGCNDKMESGPLEPCDDENSIAMEFLASLDGEESDFFKDRRAKNLEKHMICEAADASGKTSSQGSSKIPDGSTNEVEMELCGENLEEFDEQENKSTMVIQEETEESSIDQLSGIGNKSATGGWFVSEGEAVLLAHGDGTCSYYDIANHEFKSEYKPPGVVSHNTWGDCWLIRAPGVDGCSGRYVVAASAGNALEPGFCSWDYYNREVQAFHVEEEASHAPAVPSVRTVLGPLPNIGSSKSSSAISTIERPQWWYRPCGPLLLSTASKQKVVTAYDIRDGDVVMKWETSSPVLGMEYSSPLQWRSRGKVVIAGTESIGLWDVNSLNPQPLLSVASAGKRVYCLHVNNTDAEVGGGVRQRVSSSEVEGNDGVFTTQESVNVFDFRVPSGIGLKMARNGGTANSVFSRGDLVFIGSTEGRLQIKGGPKSRIQQYSLKKGRLVATYELPEFNAHFHHSSITQVWGNSNVVLASCGMGLFAFDAFAEEGVQQTYSFDRGNTIGAREVIGSDDLYCPTFDYSSSRVLLVSKDRPAHWRYLP >SECCE5Rv1G0320480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:389142449:389144682:1 gene:SECCE5Rv1G0320480 transcript:SECCE5Rv1G0320480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDIKYQEEYISNARGLNLFTCQWSPSNGEPKALVFLCHGYAMECSISMRGTGTRLAKAGFMVHGVDYEGHGKSSGLQGYISNLNDVVSDCFIYFASVCEKEEHMRKRKFLLGESMGGAIALMLHRKEPTFWDGAILVAPMCKIVEDMKPSPMVITILSKLSNVIPTWKIIPNEDIIDRAIKSEERREEVRNNPYCYKGRPRLKTGYEIFMASLDIESNLEKVTLPFIIVHGGADAVTDPAVSEALYTLAESKDKTMKLYPGMCHALTSGEPENNIDIVFSDIIQWLDERALVQNES >SECCE7Rv1G0476120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:197561366:197561740:1 gene:SECCE7Rv1G0476120 transcript:SECCE7Rv1G0476120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKGAPVPEGLEPKSAVEIVEEVLKTEVKQSTFLRNVGLQSSRNISGKATAEVAAHVHDLEQKLERCELQAEVMQEELAAIKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFGDKAV >SECCE7Rv1G0508160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:769772145:769773896:-1 gene:SECCE7Rv1G0508160 transcript:SECCE7Rv1G0508160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPTPGSRDGSSARPRSTSGRPTSSSARPASSDPTSCRRARASLSPSPASGSDKPVPSFLRPTVSSTLRSSSPSSSTSRPPSSAGRATTPKGLSPAHPALRAPSPVTPKRSPAQQPASSPRPITPKRSPAQPASAPRPITPAMDKAKAKASTSRWSVASPRQLMQKASNAFKVGRSRSKKNKDLAGPAAEAVASVKEASGGSGEINAETARPPPVPEQQRRPPEMTAATPVDVVQAEVAVEPNAALQVLEEATSRPGEIVSNEIMTEEEMSQEEQLIPEEPRAEAEKANAIDEEKETPEESVEAVKPDEPAPEENLQAGNVEGTQKEAEKIPEDEPPPPAAVVEEPSKEAATPLNVPQDEPAASPVQEEAVVETKAEERPQAEPAKHEDATKQPEASLIPEEPKEQPAATQKQAEAAEEPKMIAASRASSVPATSLEEALEEVDTVTKVGTSWSEPVTPAKEAINKGKAAIDTILSASEPTTPLKGGARKEGAASFMSKIPEEARLSFKGSRVKTAMEKRPEEAQPKKKEVSRSNDVLEETKSKLLEKKKSKVKALVGAFEVVMDSPRASTPGPGRSAPRF >SECCE1Rv1G0000380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1409278:1410144:-1 gene:SECCE1Rv1G0000380 transcript:SECCE1Rv1G0000380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRKFSKQTGKLKSLLELTISRVAIARKPRLARKSIASGDVCQLLSLGQTDRALHRVEQVIKEDNMLEALGILELYCECLVKKAAQLDKPKECSDEVKEATAGIIFAAKWCSELPELQSARKILTDKFDGDFTTDAKVGTAVVDPMLVWKLSGDTPSISLKKKVIKEIATENNISVDLSKSP >SECCE3Rv1G0163480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:148250068:148251513:-1 gene:SECCE3Rv1G0163480 transcript:SECCE3Rv1G0163480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTMVSRALLLVGVVLTAQLCACTAYVGSGGDGFSVEFIHRDSVRSPFHDPTLTAPARALEAVRRSAASAAALSRSYVRADAPSADGGVVSEVTSRTTEYLMAVNIGTPPTRMVAIADTGSDLTWLNCSYGGDGPGLAAGRDVDAQPPGVQFDPSKSTTFGLVDCNSGTCSELPEESHSCDANSKCTYIYSYGDGSHTSGLLSTETFTFADAPGARGDGTTRVAKVNFGCSTTFVGTFIGDGLVGLGGGDLSLVSQLGADTSLGRRFSYCLVPYSVKASSALNFGSRAAVTDPGAATTPLIPSKVKAYYIVELRSLKVGNKTFAAPDRSPVIVDSGTTLTFLPTPLVDPLVKELARRIKLPPVQPPEESSLLLCFDVSGVREGQVAAMIPDVTLGLGGGAAVTLKAENTFLEVQEGTLCLTVTAKPEQLPASIIGNIAQQNMHVGYDLDKGTVTFAPADCARSYPAPSPSGSVKLMKAEN >SECCE7Rv1G0495250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:596066267:596066593:-1 gene:SECCE7Rv1G0495250 transcript:SECCE7Rv1G0495250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRADKENLPPATAPAAAVARPPGVAVRSCKLKRLGKARRRVPLRDITNLFVVESAVAQWQQALLQQPHEGSATVMLGKPEPAVKNGLAGGAVLKAGRYSLRKEFR >SECCE7Rv1G0503610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:714921943:714925444:1 gene:SECCE7Rv1G0503610 transcript:SECCE7Rv1G0503610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSLSLSLTTRAPLLRLLLRRRGPAHSAAPPKLPACSAPPRPRRGALFCRTGGAGGRQRSGVGSRTMSASIYSTTVDAESAMTKNGGDVELLPFVYDKHGGIIIEMTSPMDPQDFSASLKSLLSKWREQGIRGVWIKLPISLANLIQSAVEEGFWYHHAEETYLMLAYWLPNTPHTLPVNATHRVGVGAFVMNDKREVLVVQEKSGVLKGLGVWKFPTGVVEPGEDINIGVVREVKEETGVDAEFVEVLAFRQSHKAYFEKSDLFFVCILRPLSVDITKQESEIEDAQWMPVEEFAAQPFVQKHELVKYILEVGLAKADKEYAGFSPISIKSAFSPKQSLFYMNRRDLEKASGPITNTQKDS >SECCE3Rv1G0143530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:1007731:1009335:-1 gene:SECCE3Rv1G0143530 transcript:SECCE3Rv1G0143530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSRSLQRDLDAAADDDDDGKPYPADDGSGKHNSERGARRPSRLFYLALLYVVFWALVFYHHFSNSMQQSVSAAAAAPAVLQLKPAALFSVPRFFRRDPCAGRYVYMYDLPPRFNADLVRQCRRVSGSTDVCKDVANDGFGPRIAGGGDAGSLPETGAYDTDQYMLGLIFHARMRRHECLTADPAAAAVVYVPFYAGLDSAMHLGSKDLAARDALSRDVVDWLARRPEWRAMGGRDHFLVSGRGTWDFIVSPDAVGWGNALMSFPAILNATFLTTEASPWHGNDFAVPFPSHFHPSSDAEVVGWQDRVYRAERAFLWGFAGGARGGSQRTVRAQIMEQCGRSRRCALLGVPAPGHYAPGRAMRLLESAEFCVQPRGDGYTRKSTFDTILAGCIPVFFHPVSAYLQYIWHLPRDHRSYSVFIPHGDVVERNASIEEVLSRIPAAKVAEMRERVIRLIPAVLYRDPAAKGVTFKDAFDIALERVVERVARRRRAAAEGREYVDSVDGKYSWKYDLTQDREKMLAPHEFDPYINT >SECCE5Rv1G0376050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:870986543:870988277:1 gene:SECCE5Rv1G0376050 transcript:SECCE5Rv1G0376050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSTIQRPSGTAAKGDQVGELVSAGWTNDTHNMYISSMEASFMQQLRGQHAAPDRNITHVALLGHGLKPHQEGASDNAGAERNLSRPRDAGARGLPEDPWARRFKPRDSAMNRRGDGVGASDGESGTDTVQGMAPKHARGVTGSVGGNLVDKTSEVCGQNFPEDEVHSAAQPSKSCKKRRPTSSTVAGSFMSMLECSDKRW >SECCEUnv1G0530040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:10393357:10393824:-1 gene:SECCEUnv1G0530040 transcript:SECCEUnv1G0530040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADQLVPAVVAAVAVVCLLIAGRADGLEEYAPTAAVSLEMEPLSMNQNPGEFLPRPEVMPLPTDLLSRAEAGGGDGEEYVPQVEVVPEVVLQPGDPLAEALGGDSYVAEQPTTEPVTLMREPGDFYRTGMTPSSAEPPVKPSSPDQKKYGGSPK >SECCE2Rv1G0112510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:710448233:710450498:-1 gene:SECCE2Rv1G0112510 transcript:SECCE2Rv1G0112510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASLSSLASSAAAAISSSPGCPPSKPFHDPKPLHLSVRLFSRFAKPQSLSCSGPHAPRAATGDGSGAGNRGDDSGGNGGKDGGGNDGDGGGDDYEEAEFGPLLGFSEVVRLAAARGVTLPADMIEAAKDAGIREVILLRYFDLQAAPWPLAAMIRAFSVLRNRMLADPSFLFKVGIEVVIDSCCATLAEVQKRGEDFWAEFELYAADILIGIVVDVALVGMLAPYVRLGKASSSTGLLGRFNRMAGALPSSVFEAERPGCRFTVQQRIGTYFYKGVLYGSVGFVCGIIGQGICNMIMNAKRSVKKTDEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERVVEASPVAKGAPPVALAFTVGVRFANNIYGGMQFIDWARWSGVQ >SECCE5Rv1G0343520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638572695:638575381:-1 gene:SECCE5Rv1G0343520 transcript:SECCE5Rv1G0343520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASAVSFARPVKAINANSVSFSAPRKDNVSFRLQPVPQRFSVCCAAKKETVEKVCDIVKSQLALADDTVVSGSSTFADLGADSLDTVEIVMGLEEAFGISVEESSAQTIATVEDAANLIDSLVAN >SECCE2Rv1G0121500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:808782213:808793651:1 gene:SECCE2Rv1G0121500 transcript:SECCE2Rv1G0121500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLEWYCQPVKDGAWSHVLESAFGAYTPCGIDTLVVCISYLALFGVCFYRIWRTTKDYKVQRYKLRAPYYNYLLGLLVVFCIAEPLYKIATGTSIMNLDGQSGLAPFEVTSLVIEIAAWCTMLTMILLETKIYITEFRWYIRFVVIYVLVGKAAMFNVVLPVRQYYSSSSIFYLYCSEIICQCVFGILMVVYLPSLDPYPGYTPIRSELLVDNTDYEPLPGGEQICPERHANIFSRIFFSWMTPLMQQGYKRPITDNDIWKLDDWDETETLYSRFQECWNRELQKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPTVLSLLLESMQKGDPSWNGYIYAFSIFAGVSLGVLAEAQYFQNVMRTGFRLRSTLIAAVFRKSLRLTNDSRKKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVIAMVLLYAQLGPAALIGALMLALLIPIQTVIIGKMQKLTKEGLQRTDKRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDELSWFRSAQLLAALNSFILNSIPVVVTVVSFGVYSLLGGELTAAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLADERILLPNPPIDPELPAISIKNGNFSWELQAERPTLSNVNLDVPVGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSDTSVVIRGSVAYVPQVSWIFNATVRDNILFGSPFQPPRYGRAIDATALRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYLFDDPLSALDAHVGRQVFDKCIKEELRHKTRVLVTNQLHFLPYVDKILLIHDGVVKEEGTFDELSNTGEQFKKLMENAGKMEEQTEEKEDESKSQDDIKHTENGNVVIADGGPQKSQDSSSKTKQGKSVLIKQEERETGVVSTKVLSRYKNAMGGIWAVSVLFLCYTLTETLRISSSTWLSIWTDEGSLKIHGPGYYNLIYGILSFGQVLVTLTNSYWLITSSLRAAKRLHDYMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNLAVFVNMFMAQISQLISTFVLIGVVSTMSLWAIMPLLILFYAAYLYYQTTSREVKRMDSITRSPVYAQFSEALNGLSTIRAYKAYDRMSNINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSMNAVERVGTYIELPSEAPPVIEDNRPPPGWPSSGIIKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDTSKFGIWDLRKVLGIIPQAPVLFSGTIRFNLDPFSEHNDADLWEALERAHLKDVIRRNTLGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSSGKISEFDTPENLLSNEDGAFSKMVQSTGPSNAEYLKSLVLGNGEERLRKEESKLQDIQRKWAASNRWAVAAQFALAASLASSHSDLLSLEVAEGNNILRKTKDAVITLQNVLEGKHNTEIEESLTEYQVPSDRWWSSLYKVIEGLATMSKLGRNRLRQPGYSFENHGSIDWDQI >SECCE5Rv1G0367030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819137221:819138860:-1 gene:SECCE5Rv1G0367030 transcript:SECCE5Rv1G0367030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSPLIRLPVAEQVQPEVATGGSNRKEQSGKPTKATNKYYKNSSKDDLVLRATLDSITRIG >SECCE1Rv1G0063630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726153419:726154326:1 gene:SECCE1Rv1G0063630 transcript:SECCE1Rv1G0063630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGPTLESLVDQVISVITNDGRNIVGTLRGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNIGVVGEVDEELDAALDMSKLRAQPLKPVIH >SECCE2Rv1G0134800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:903106455:903107725:1 gene:SECCE2Rv1G0134800 transcript:SECCE2Rv1G0134800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPGVIPTVALLMGGLFAAFPAASGGRVDAGDMLMMDRFRQWQATHNRSYLSAEERLRRFEVYRSNVEYIDATNRRGDLTYELGENQFADLTGEEFVARYASSHDVRGHIDSVITTTAEADGLWSSGGGDDSLEAPPPASVDWRAKGAVTPVKNQGSECFSCWAFSAVAMMESLYFIKTGKLVPLSEQQLVDCDKYDGGCNRGYYHRAFQWIMENGGLTTAAQYPYKAARGACNRAKPAVTITGHAAVAKNELALQSAVARQPIGVAIEVPSSMQFYKSGVFSAACGIQMSHAVVTVGYGTDASSGLKYWLVKNSWGQTWGEAGYIRMRRDVGGSGLCGIALDTAYPTM >SECCE2Rv1G0103450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:590031146:590033395:1 gene:SECCE2Rv1G0103450 transcript:SECCE2Rv1G0103450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAASPGGGRRMSPAEEALRSLKRPRHPVDPGSGSGSDYGSSSGSESDGDFVSDLRQIACLLRLIKGGANKDRQKMCEQIIASVAANIQTMLEDTKSKFEKERQNLLKVLSGTSKEQCESSLNEEYTKLQETYEVFCEENDAHLQTFKDLFSQVEVEKKKLLEQYKYQREETAMLSELGDTFSEKITNTKPSPKRMKQDDKSFIVFRKSIGSHLDCASDDDFDLDDE >SECCE3Rv1G0179080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:525890128:525890922:-1 gene:SECCE3Rv1G0179080 transcript:SECCE3Rv1G0179080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLTSFSPTFSCPASSSKRPVITTSSFILPVCREHSFPALKTAAPRPRLALVRAQNGDARPRVSQLPVAGDHFHDEMERITQHYLDIISSDSGFLYAEATAMSARVCLSANKALFMASRVVDSAQLNLGAPSEISAETIHGTIRTYAAAFSAAADDSYKKTVNRNTVAYHILLEGALESLCHGHPRVAVSEYAFNSDLEDMYREFVQGVRDVEAEIRSASAVKTCRLVVPAILACTELAGYFVGLIAGSA >SECCE7Rv1G0508890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:775815305:775815616:1 gene:SECCE7Rv1G0508890 transcript:SECCE7Rv1G0508890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRHLARRGDVKRISGLIYEETRGMLKIFLENVICDAVTYTEHARRKTVTAMDVVYALKLQGRTLYGFGG >SECCE1Rv1G0018670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:204577371:204579493:-1 gene:SECCE1Rv1G0018670 transcript:SECCE1Rv1G0018670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTPSWCILLCLAAASAVVAAAAPLPQYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEALGVPLLPPSANKGTNFSQGANFAVMGATALELKYFRDNNVWSIPPFNTSMNCQLEWFQEVKETVCSSPQECNEFFGKALFVFGEFGGNDYSFAWKAEWSLDKVKTEMVPKVVESIIGGIEALLDEGARHVVVPGNLPAGCIPITLTMYASEDRSDYDPRTGCLKKFNSVALYHNAMLRIALDQLQRRRPDARIIYADYYTPYIQFARTPHLYGYKRGALRACCGGGGPYNYNMSSSCGLPGATVCDDPDAHVSWDGIHLTEAPYRFIANTWLKGPYAYPPLASVLRDDMVY >SECCE1Rv1G0053960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:672241349:672241579:1 gene:SECCE1Rv1G0053960 transcript:SECCE1Rv1G0053960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGGSLQVASRKMMEEKRQSHGGSWRQAPAPVRQLFWRVRRAMLRPKRRAVSFGYDLKSYSHNFDDGLVPAHRL >SECCE3Rv1G0199120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:842935198:842953832:-1 gene:SECCE3Rv1G0199120 transcript:SECCE3Rv1G0199120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRERDGVNGGEEGADHHESERPAAPRVPLYRMFAFADRTDAALMAVGAATAVANGMAQPLMTFIFGDVIDAFGSAASSRDVLHRVTKVIINFIYLGIGAGLASTLQVSCWTITGERQAARIRAMYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDAIGEKVGKIIQLLSTFFGGFIVAFVRGWLLALVMLSSIPPVAVAGAIVSRMMTTLSTEMQAKYGDAGDIVEQTIGTIRTVASFNGEKQAITTYNKFIRKAYESARREGAVSGLGMGSVMAILFCSYGLAVWYGSKLIVDRGYNGGIVINIIMSVMVGAMSLGQAAPSITAFAQGQGAAYRMFKTIERQPCIDVYNTTGIILEDIKGDVELKDVYFSYPTRPEHLVFDGFSLRVPSGTTMALVGVSGSGKSTVVSLVERFYDPQSGEVMIDGVDIRRMALGWIRGKIGLVSQEPVLFSSTIRENIAYGKDDLNLEEIRRAIELANAANFIDKLPNGLETMVGERGIQLSGGQKQRIAIARAIIKNPRILLLDEATSALDMESERVVQEALDRVMLERTTIIVAHRLSTVKNADVISVLQHGKIVEQGSHVQLVNKPEGAYSQLIHLQETLQVAEAPNVDPDAIMENSFGSRSVTRKTRSQGSSFRRSTSKGSSFGHSGTHPYPAPCDPMEFNNDQDLEETADKISNDRKKAPIGRLFYLNKPEALVLALGSVSAAMHGAILPVYGILISSAIKTFYEPPAELLKDSRFWASMFVVLGACALVLIPIEYFFFGLAGGKLVERIRSLTFRSVMHQDINWFDKPEHSSGAIGARLSTDALNVKRLVGENLALNVQTISTVIAGFTIAMVANWKLALIIAVVVPLVGFQAYAQMKFLKGLNKNAKLKYEEASQVATDAVGGIRTVASFCAEQKVMDAYEKKCESPTRQGVREGVVGGLGFGFSFLVFYLTYALCFYVGAKFVHEGTATFPEVFRVFFVLVLAATGISRTSAVGADSTKASESAISVFEILDRKSKIDSSSEEGMVVANLRGDIEFQNVCFSYPLRPNVQVFTDLSLSIPSGKTAALVGESGSGKSTAIALLERFYDPSSGRILLDGVELPTLKVSWLRLQIGLVAQEPVLFNDTIRANIAYGKQGEASEEEIVAAAEAANAHLFIFGLPNGYDTVVGERGIQLSGGQKQRVAIARAIVKDPKVLLLDEATSALDAESEHVVQEALDRVMVGRTTVVVAHRLSTVRGADIISVVRNGTIVEKGSHEELMRMKDGAYASLVELSSTSR >SECCE2Rv1G0119500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:791402226:791403750:-1 gene:SECCE2Rv1G0119500 transcript:SECCE2Rv1G0119500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFTGAARKLLSVSPPQCPVCSRAIKTLVLRFYMSDTLQLSSIGGGVEDVVSCGETEWLEFYILPPVPSNDNTTQLLAEFEQAHRFMSFSRAFPVAFRWLTRLTLQNLAFGDDDVAGLIKACDKLKHLILISCRLVDDHSALKIDTPCSGLQELHFIDFACTCIELISVPQLREIWRWSWPCESPPLHFGHVPQLHEARLGSRAGVWQAPFALSECLSATNLSRLYLNFGCEMIWIQLEQPKHLTTIFRNLTDVYLYCIFPKCDLNWTMFIVEAAPALLNFTLSRNQHPCVKPSEYSSEKTNMLWEPSKDWKHLNLKLLVMAGFEEEEKVTNYLRLFMERALGLKRIELHGKYPCDKCNAMDLELESTRSLVDKASRYRIKERLTHESSLSVKITIC >SECCE4Rv1G0231840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:189810506:189812877:-1 gene:SECCE4Rv1G0231840 transcript:SECCE4Rv1G0231840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPAEKVIVVDANPTKKGQEDELDDVPVADATSHQIGVDPWYQVAFVLTTGVNSAYVLGYSGSLMVPLGWVGGTVGLLLAAAVSMYANSLLGRLHLLGGKRHIRYRDLAGHIYGPKMYKITWAMQYVNLFMINTGFIIIAGQALKAMYLLISDDGAMKLPYCIAVSGFVCALFAFGIPYLSALRIWLGFSTVFSLTYIVAACVLSVKDGMRTPPRDYSIQGDPSSRVFTTIGAAASLVFAYNTGMLPEIQATVQAPVVKNIEKALWFQFTAGSLPLYAIISIGYWAYGNQTTTYLLNNVTGPVWVKAVANLAAFLQTVIALHIFASPMYEYLDTRFGSKVGGPFAMHNVIFRVGVRGGYLAVNTLMAAALPFLGDFMSLTGALSTFPLTFVLANHMYLVANRHRLSSLQKAWHWLNIVFFTLLSITAAIAALRLIARDSKTYHIFADV >SECCE3Rv1G0181160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:605471257:605481564:-1 gene:SECCE3Rv1G0181160 transcript:SECCE3Rv1G0181160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKEMKYRRRIGLEERAQCSDQRGVDWGVLKQDPVELLRKLDELRDQITRSCQVAEQPREHRRVGRRPPSLLPDLPEPVPLPGYHRPRYGARYGHGLPLSPCEPQHSDHGERYARQSSGRYRQYPGRQWENGGNYHQYGFASPHYLHGQRATPQEEHIPMARYFAGQHECYRFERSPSISSDYDQRSVASSLYSHRSVSKKRAEYFRKKAEHLCRPMHGAAPFVVCSSCYNLLQVPMEKCMGWKQNRLQCGSCSEIISVKRMKRKAIPYTSSPSFSLSKTEQSSHDRMRRDFEHQHHDDVTSAFYNLNEHSSMQINIDFGDDNSVSSTISHERTDKECGSNRSVQSKADGISLSPGRSGDIESPKDILCERDADYQEEHSVDGPVSPCSPILEDKLVDPLCSQEKLNSSEDLGMDNGSDLNCEGEYNVNDDESVIMGSEQKVNEAELVEESMCRKHDQKNKEDHCSSLEDVSKMHGQNSTKGDPDSLDNGNVKHEWTSKNDVTSSPESESTCKKYDHNSKEDETSGLKDKNNTADAIEGTSTSERYEENLMEQDNGKLHEPCAEDANALTESGSSVNGRTNSGFSRGSSEAGLDEDQSSTGKSGDSSFFAGFLKKGFKDLSLFNQSMDSVKVSINGHPISERALKKAEKKAGPVEPGSYWYDCRAGFWGIMGRECIGIIPPYIREFNYPMARNCAGGDTDVIVNGRELHQRDLDLLVGRGLPRISGKSYSIEISGNITDEATGKKLRSLGKLAPTIEKLKRGFGMHVPEEFR >SECCE5Rv1G0301530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:31690618:31694933:-1 gene:SECCE5Rv1G0301530 transcript:SECCE5Rv1G0301530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANKPHRQEQQQEEQKPMSEDKTTTMKVLPEGGGGEAEPPSECGDGEAADHNHRGWKAMPYVIGNETFEKLGTIGTLSNLLVYLTTVYHMPSVKAATLLNVFSGTSNLATVFGAYISDTYLGRYTTITVGTVSSFIGMLILTLTAALHSLHPPTCSSSKGKQCQGPTDSQLAALLVSFFFLVVGAGGIRPCNLAFGADQFDPRTADGRRGIASFFNWYYFTFTIAIMISATVIIYLQSNVNWALGLAVPAALMGLSCAIFIMGTRLYVRVRPEGSPFTSFAQVLVAATRKRHLQQARGGDSELFNPPHMSKLVSKLPYTDQFTCLDKAALQTSDDVLCPDGKTPVHPWRLCTVQQVEEVKCLARIIPVWSAGIVYYMVLTQLGTYVVLQAAQTDRQISNSSSFEIPQGSFIIFQMLALTLWIPIYDRFMVPALRRFTKREGGITLLQRIGVGLALSVVTMLVSAAVENRRRRIGSLMPWFWLVPQQLLAGLSEAFGAIGQIEFYYRQFPENMRSVAGAVSFLGIAVASYASGLMVTVVHRATRRRDGRPDWLAQDLNEGRVDLFYLVTAAMAAVNLVYFVACARWYKFKKANDDAHASDDVELDESPKKVASNMTQV >SECCE3Rv1G0188130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:710225906:710227321:-1 gene:SECCE3Rv1G0188130 transcript:SECCE3Rv1G0188130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGLGVGHLVPMVEVAKLFLKHGLAVTMALVEPQVKSTDFSAAVARARASSPSVVFHVLPSPPADPNLDSGPRHHVVKVIQLLATMNAPLRDFLRSLPSVHALVLDMFCVDAQDVAAELKLPVYYSFASAAGDLAIFLNLPSKFASNTAKIKELGDSIITFPGVPPFKASELPSEVIGDDEALMYVLRMFERMAEAKGILINSFDSLEKPTLSAVNDGLCVPGRATPPVYCIGPLVSGGGDKEHECLRWLDAQPDQSVVFLSFGSLGTFSSKQLKEIAIGLERSGERFLWVVRSPHSPDQKHGDPLPEPDLDALMPEGFLERTKERGLVVKSWAPQVEVLRHRATGAFMTHCGWNSTLEGITAGLPLLCWPLYAEQKVNKVHIVEGMKLGVEMRGYNDDVVKAEEVEEKVRWVMASEGGNALRVRVAAAKDAAAEALQEGGSSNLAFAQFLKDMDTSKVATMHD >SECCE4Rv1G0249040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:578280454:578281755:1 gene:SECCE4Rv1G0249040 transcript:SECCE4Rv1G0249040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDADDVFRYFRLNPTELQAVTYYLPRLLSGETLHCADKLIHRVEISGCEPKDLAARYAPVPLAVSSGDRFFFTTCKSKNGSKLQSVRGAGGGTWVIQKTTEISHAGAKVGEVKNLSFKTNGKSTGWVMEEYRCLLPEATVSDGVKVFCKMHLAQHAPDAARQESAAYNRQQAQPEAVTPSTHAQKRPAPAAAADPHPPRPKKRMRGAVPVPVPASATPSFLMYDEATNFPVQDAQASCESTTTSSRSDVAQAPEICSQSDVLESTQSEEAGSSSARSTSQENVFEPLEPISNLPEWEEDGFDLEELMRMMEDDPIEVEPVTGANTGVEMGQQEPLYLDAVDQSVLEGMLQSDYPAFHDADKEKRYNAASDLDAPLLQGQDHLIKPRPCFFDPFEAAWNDEEALENEKRCNPAANLHAGGHNSFFSPASVY >SECCE7Rv1G0465270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:68364948:68366389:1 gene:SECCE7Rv1G0465270 transcript:SECCE7Rv1G0465270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQIKRPTRSQESPEPGEKLAFGEKENLLAAYMEQDEPEMEEADEEEEEEERAMSCGLGGKKRRLALEQVRALERSFETDNKLDPERKARIARDLGLHPRQVAVWFQNRRARWKTKQLERDFNALRARHDALRADCDALRRDKDALAAEIHELREKLSTKPETAVKAEAAGNVDAAEERLQQATMVGAAVCKDGSSDSDSSAVFNDEASPYSSAVFEQQGFMGFGASFLDSASAAAATTGCSSLPMLEPKWPGAYPYDASRSGGYGFTEEWLAGSDAIGNDGSAAFFSEEHVSNLNFGWCSSGAEGFDLQSYCKK >SECCE7Rv1G0469430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:109070196:109070657:-1 gene:SECCE7Rv1G0469430 transcript:SECCE7Rv1G0469430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYPVLLLLLLFAAVAVAAAASTAEDAPTAYEMLERYDFPRGILPEGVEGYELGPDGGFEVHFPRECEFLLARQWLVRYDARIAGAVTAGRLAALEGIHVKVLFLWLPVAEVDRAGDRLSFYIGPVSTSFPLGAFASSPHCRGYHAVAAAVS >SECCE2Rv1G0071570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:45490592:45493280:-1 gene:SECCE2Rv1G0071570 transcript:SECCE2Rv1G0071570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQIANRAAGGNGPEPEQYDPSKDPKRKARSGDPGWKYGYWHDMNRRDRIRCTFCGTDVHAGIARFKRHLAGASIKGKKNSIKCKKVPEAVSKEMLDFMKAYTKKHNMPGESDNEDAATGDEEEDATATQTSSRTTAKRKVETVQTELPTQKASRTIAGMIRKTPEEVIELRHSKNPSQAALNAGCFKRTTEDKDRVDDHWAAFFFANAIPFNVTRSRAFEIALESTGQYGPGYIPPTIHALRLPLLVKAKKKTHALREQHELAWQEYGCTLMSDGWTDGRTRHLVNFLVNSPAGTFFIDSVDISALVANKELLADLMEKRINDIGRDYVVQICTDNGANYKAAGRLLTERIPTLWWTPCAAHCLNLMLESICKIKQFSECITKGKRVSTFIYRHGKVLDEMRVKTGNRDLVRAGATRFATNFLNLQSLHKHRQALKELFVGDVWHSNKKLSTSPVGQKVQEDVLSISFWNSVETCMKVSLPLLRVLRIVDGDERPAMPEVMAAMDYAKERIKAAIDDKPTLVKKVTKIVEDKWESQMGVKLYGAALFLNPNRIYGLKAKPEKARYCQKLRHMFNEVLLHMEPDDDKASVISRLADDYERGQGECFSSKLAINDRTKKSPLLWWGAYGGLAYELQYLAKHIVSLCASASGCERNWSCFQNIHSKKRNRLEFQRLNDLSYVQYNRKNADRFQKIREEGSKGTRSDPLVLEDLQWDNEWVDHNAQPVYEGADITWAQVDEAVGASEGPLRNLRSRGSGSQAAVTHVYSRSRVQNQVGEDEDEEEDANQEVELEDYSTSEDDQEGNQGEDEGGGEERGSIGFDDDLDY >SECCE1Rv1G0050330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:645878821:645881048:-1 gene:SECCE1Rv1G0050330 transcript:SECCE1Rv1G0050330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSFLRSPATGACGHVRWAQPLAPPKLHRSPLPPAATPGVPRRLLLPVAAGIWDFLSGGAAGAAGVAAAPLAVRRGMELFKQGDVAGSVAEFDRAIELDPRQKAYLWQRGLSLYYLDRFEDAAEQFRLDVAANPNDTEESIWCFLSEAQLYGVEEARKRFLEVGLDRRPVMREAYALFKDGGDPEKLASNFSSSSAGELFYASLYAGLYYESQKDADLAKSHIVAACKTPYGSRSGDYMASLAVVHCQCRNWSLEG >SECCE6Rv1G0396670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:371623025:371626150:-1 gene:SECCE6Rv1G0396670 transcript:SECCE6Rv1G0396670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGSKGDVPEDANAHCPGTQSEEAGKADSCAGCPNQQICATAPKGPDPDLVAIAERMATVKHKILVLSGKGGVGKSTFSAQLSFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPIYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATEVDGAIIVTTPQQVSLIDVRKEINFCKKVGVPVLGVVENMSGLRQAFTDLKFVKTGPAGETDATEWAMNYIKEKAPELLSVVACCEVFDSSKGGAEKMCHEMGVPFLGKVPMDPQLCKAAEEGRSCFADQRCSASAPALKSIIDKLIKTK >SECCE7Rv1G0460370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:31191851:31193155:1 gene:SECCE7Rv1G0460370 transcript:SECCE7Rv1G0460370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRGDPGAGADLAVLCCCFLILPFLSNGADMPLGSSLSPAANSTSWSSPNSTFSLGFAPSPASRSLFVAAVTYDGGVPVWSAGAGAAVDSRGSLRLSSSGDLQLVNGSGAVLWSSGTAGRGVAAAALQESGNLVLKNSRGRVLWQSFHHPTDTVVTSQSFTSGMNLTSGPYVFAVDKATGNLTLNWASAGAAPVTYFNKGYNNSFTAKKTFTLTMQTNGIVSLNDGSLVNPVLVAYSSNYGESADMLRFLRLDSDGNFRAYSAARGSGTATEQWSAVADQCQVFGYCGNMGVCSYNRTSPVCGCPSRNFELIDASNPRSGCKRKTELQNCPGNSTMLQLDNTQLLTYPPEIAIEQFYVGITACRLNCLAARSCVGATALSDGSGLCWLKVSNFLSAYQSAALPSTSFVKVCFPGVPNPPVISATNATSGLHG >SECCE2Rv1G0090110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:269368934:269372346:1 gene:SECCE2Rv1G0090110 transcript:SECCE2Rv1G0090110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYISPERNLDGTCGDPGPLFGDQDSCLLEHMDYHGEGITQPESPPLNDGLLVDAADQISYLSADSVPYMNDQIMCNTMKSASTSPASPLKQDEEHHVHIESDMQNDAAEQKVHHNDDCEVHTTSPGYDVHQNTDVVEGVLPPELHESSGNDISNFQQETTHSDAYLGDSMLAENSSRDYQFSNSGYDDDEIPNSSAPQMGNKDNRKLHETFHNEVNGTEDDQMNGGNSNPHDEHDNENFNSAIAPSYLDGMEQEDAGTENGISTPGNQWDSPPERSAGLEKGTPSPARRVSLSVERSPHAHSSEKLDSPLHAKEGDNLAHSRSPPARRRSRSPGSPENRDTNHRKAPSHELSPHAQANSPERKAQSRHGDGSPRRRSTSPRRRDGSPQRRSASPRRRDGSPRRRSASPRRRHGSPRRRSASPKRRDGSPRRRSPSPKRRHGSPRRRSPSPKRRHGSPRRRSPSPKRRASPKRRGSPRRRDSPTRRRDSSPRRRDSPRKRDSSPRRRESPTRKRDSPTRKRDRSKSRSPSRKTDSSRHKREHGRSRSRSPHSRSHHRRSPRRRHSPRHRSPPARRHSPKRCWSPPANRKTGLGKPGRNLFVAGFSYATTERELEKKFAKFGRVTRVRVVRDKRTGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILVEKSKAPAW >SECCE3Rv1G0160580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:105839321:105840103:1 gene:SECCE3Rv1G0160580 transcript:SECCE3Rv1G0160580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMHRLVLLLSVAIAASAQGPAVAPAAPTTPTTPAAPAPAAGTTNITGVLAKAGQFNTFIRLLKSTGVAAQIDNQLNNSFGSGMTVFAPTDNAFTSLASGTLNSLSDSQKNALIQYHVLSTAIPMSQFDTVSNPLRTQAGSTSPGQYPLNVTAEGQQVNITTGVVNATVDNTLYTGDQLVVYQVNKVLLPMAIAGTPAPAPAPLAPTKTKGKTPTSTVADAPEAEASTDTSLAAPARVVTGGGVAVVHVLALACVWWGL >SECCE1Rv1G0047590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:626727512:626730849:1 gene:SECCE1Rv1G0047590 transcript:SECCE1Rv1G0047590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFWGREARVSDGGGGTPVVVKMENPNWSISEMEQEAVPGSPAGLAAGKAGRGKNARQITWVLLLKAHRAAGRLTGAASAALAVAAAARRRVASGRTDGDAAPGESTALRARFYGCLRLFVVLSMLLLAVEVAAYLQGWHLQMPEMPEMPGQLAMDGLLAVDGLAAAAYAGWMRVRLQYIAPPLQFLTNSCVVLFMIQSVDRLVLCLGCLWIKLRGIKPVPIAADKDDVEAGEEDYPMVLVQMPMCNEREVYQQSIGAICALDWPRSNFLVQVLDDSDDATTSALIKEEVEKWQREGVRIVYRHRVIRDGYKAGNLKSAMNCSYVKDYEYVVIFDADFQPQADFLKRAMPHFKGKDDVGLVQARWSFVNNDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKCNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSIMSILPSPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVEKHTVQQQQQQQRVGSAPDLAGLAGKDSSLPKKDAPKKKQKHNRIYRKELALSFLLLTAAARSVLSAQGIHFYFLLFQGVSFLVMGLDLIGEQVE >SECCE4Rv1G0234810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:303858602:303861305:-1 gene:SECCE4Rv1G0234810 transcript:SECCE4Rv1G0234810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05190) UniProtKB/Swiss-Prot;Acc:O23049] MASLSPSLHLPCNSRTGFLGKSQGIRFRVIPAGRVGFVRKTVQCKESRIGKKPIEVPSNVTLTLEEQFVKAKGPLGELSLNYPTEVKVVKEETGKLRVFKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKRLQLVGVGYRATVEGKDLVMNLGFSHPVRMAVPEGLEVKVEENTRIIVSGYDKSEIGQFAATIKKWRPPEPYKGKGIRYQDEIVRRKEGKAGKKK >SECCE6Rv1G0438300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:788921931:788923001:-1 gene:SECCE6Rv1G0438300 transcript:SECCE6Rv1G0438300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSPSSVAGGTTSHTASTIIAEGVSGTHDLTIKGYSRTKGLGIGQCITSEKFDVGGHHWCIKYYPDGETKDDTYWVAVHLWRDDTDGNDVTAIFTLSLLNGEGQPVSLHSHTSGRRTFRPKHGWGFGTFIERNDLEGSTHLKDDCFSIRCDLTVTKEICTTRTSPFVVVPPSDMHRQLLYLLTSRHAGDVTFRVGRQRFTAHRYILAARSSVFMAELFGPMKEKAATCIRIHEVEAKVFKAMLHFIYTDELPHIDDGEAMVMAQHLLVAADRYNLDRLKLMCEETLCNYISKDTAATTLALAEQHGCQGLRRACFAFLASLDNLKAVMASDGFSHLRSSCPSILEKLVTNLAPC >SECCE3Rv1G0158060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85374071:85380477:1 gene:SECCE3Rv1G0158060 transcript:SECCE3Rv1G0158060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGRTEMEVGGDGVAVITICNPPVNSLSIDVLLSLKESYEEALQRKDVKAIVVTGKGGKFSGGFDISSFGDLHSGKIEQPKVGYISIDILTELLEGATKPSVAAIDGLCLGGGLEVSMACHARISTPTAQLGLPELQLGIIPGFGGTQRLPRLVGLTKSLEMMLLSKPIKGEEAHQLGLVDSLVSPNDLVNTARRWALDICELKKPWIKSLYKTDKLEPLGEAREILKFARAQARKQAANLEHPLICIDVIEEGIVSGPRAGLWKEANAFQGLLFSDTCKSLLHVFFSQRATSKVPGATDLGLMPRKITKVAILGGGLMGSGIATAMILSNYPVLLKEVNEKFLNAGIDRIKANLQSRVRKGKMTEERYEKALSLVTGALGYEKFKEVDLVIEAVIENVKLKQQIFADLEKYCPSHCILATNTSTIDLNLIGEKTKSQDRIVGAHFFSPAHVMPLLEIVRTQHTSAQVVVDLLDVGKRIKKTPIVVGNCTGFAVNRMFFPYTQSALLFVDYGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAVATGMQYLENFPERVYKSMLIPIMMEDKRAGEASRKGFYKYEDKRKATPDPEIMTYIQKSRSMAGVTPDAELMKLSDKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGVMLWGDSIGAKYIHGKLQEWAKRYGSFFEPCSYLAERAAKGIPLSAPAANQVKARL >SECCE1Rv1G0029730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:419022590:419026119:-1 gene:SECCE1Rv1G0029730 transcript:SECCE1Rv1G0029730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAGAADRSSVFRKLRAKSDNKMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLKMMVYGGNNRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQLLLKEVSKSSTEDGNNSWPSSPDAASQTSNQAAAFPDFKLAEVPKEVVNEKNEPESESIRSPKAPTHSFKKPIGGKKPGNKTGGLGARKLTTKPSEGLYEQKPEEPAPALPSVAESTTARSKSHTSRFEYVENVPSAGSSSAENQAFGHVAPPKSSNFFGEYGMDSGYHKKSTSGASKMQVEESSEARQKFSNAKSISSSQFFGDQANLEKEGQISLQKFSGSSAISSADLFGQQANNSNADLSASDLINRISFQATQDLTSLKSMAGQTGKKLTSMASNIISDLDRIL >SECCE6Rv1G0396410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:365578121:365579775:-1 gene:SECCE6Rv1G0396410 transcript:SECCE6Rv1G0396410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTFVDAAVRAMQEYVRASDLALAAAVLLACNAATTRLKSRGGPMLWPVFGIIPTLFAHLDDIYDWGAAALARSGGTFPYRGMWGGGSSGVITSVPANVEHVLKTNFSNYPKGPYYRERFVELLGDGIFNADGEVWRAQRRAATAEMHSAQFLEFSARTVEQLVHGRLMPLLQQQQSQGGVTVDLQEVLLRFTFDNICVAAFGVDAGCVADGLPDVPFARAFERATELSLARFVTPPFVWKAKRLLCVGGERRLAEAARSVREFAERTVSERRTELRKLGSLQGRCDLLSRLMSSSTGHSDEFLRDFCISFILAGRDTSSVALVWFFWLLSSHPEAEARVLDDVRAAHGDVGKMDYLHAALTESMRLFPPVPVDFKEALQDDMLPDGTAIRARQRVIYNTYAIGRDPAAWGEDCLEFRPERWMKGGDFAGGAESPFKYVVFNAGPRLCVGKRFAYMQMKTLAAAVLETFAVVVAPGQAVKPKLNTTLYMKNGLMVSFSRREQQHVHCTTVAAQE >SECCE6Rv1G0449300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:861187518:861189831:1 gene:SECCE6Rv1G0449300 transcript:SECCE6Rv1G0449300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVVVLLGLVIVGAIGASSADARRPVVPAMFVLGDSTLDVGNNNHLPGKDVPRANEPFYGIDFPGGSQATGRFSNGYNIADFVARYLGFERSPLAYLVLKSRNYLIPSALTRGVSYASAGAGILDSTNAGKNIPLSKQVSYFASTKAEMEAAWGSHKVSKFLASSFFLLGFGSNDLFHSRPKSQADVAALYATLVSNYSAAITDLYGMGARKFGIISPGPVGCVPRVRLLNATGACNDGMNRLTIGLAAAFKSGLATTLSPTRLPGLTYSLADSYAGTRANFDNPQAVGFMNADSACCGSGRLGAEGECMRNATLCSDRDVYAFFDNVHPSQRAAQLGAQALFVDSPTQITTPISFKELAHQR >SECCE1Rv1G0049140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:639081213:639085580:1 gene:SECCE1Rv1G0049140 transcript:SECCE1Rv1G0049140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQRRRAMVARWLLLLLLGIAGRSGVLQAYGQVDNLGFINLDCGLPESAAGYVDGVTKLRFTSDTGFIDVGTNYNMSSEYITPSMGRSWHNVRSFGGGAGTRSCYTLRSLVAGLKYLIRAKFLYGNYDGLDRAPVFDLHVGVNYWTTVNISNANTPVIHEVIVVVPGESVLVCLVNTGSGTPFISALDLRPLKNGLYPMANATQGLVLLTRANFGRDDGVILRYPDDPHDRFWTPQSKRAEWLEVSTAKKVQNIDDDRFDAPSAVMQTAITPINSSSPLEFSWDAEPSASNPDPGYICILHFSELQPLPVNAVRQFYVTLNSQLWLGKVFTPQYLYTNAVFNSIPNHGHHQYNVSLNATANSTLPPILNALEIFSVLPTTGIAAATQDVSAIAAIRGKYQVKKNWMGDPCVPKNFAWKGLGCSYAVSSQPSVTGLNLSSSGLSGNLSSSFAGLKGLQYLDLSRNNLTGSIPDTLSQLSSLTLLDLTDNQLSGTIPPGLVKRTQDGSLTLRYGNNPNLCSSGNYCQPPKKKRSSMVAVYVVVPIVAALVILLLSVLLICMRRRRQGRTSDNIKRLDEANIKGHNSLRFDNRRFTYSELEAITNGFERVIGKGGFGKVYHGSLEDGTQVAVKLRSESSDQGEQEFLAEAQTLAKIHHKNLVSLIGYCKDMKYMALVYEYMSEGALDEHLRGKDNTMKTLTWRQRLRIALQSAQGLEYLHKGCNPPLVHRDVKTSNILLNANLEAKIADFGLLKAFNSNDDTHVSTARVVGTLGYLDPEYHSTFQLTNKSDVFSFGVVLLELVTGQRHILNDPEPTSIVQWVRQRLARGNIEDVVDARMRGDHDVNSVWKIADTALKCTAQKPGERPTMTDVVAVLHECLELEAAHDNVNAGFYTPGSGGSMNDYGRYDTFMSTDLSQSTTSFEQEHLGRVSVVSTGPSAR >SECCE5Rv1G0364430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:801404046:801404279:1 gene:SECCE5Rv1G0364430 transcript:SECCE5Rv1G0364430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVGALLLVVLLAFTHSDAQVLPTPCCNLKCCGYDCCGPPVTAAAPISPLSTAPESGPAGPVSQRVPRKVFPGN >SECCE7Rv1G0495210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:595668551:595668799:-1 gene:SECCE7Rv1G0495210 transcript:SECCE7Rv1G0495210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVMCLCVFIVLVITSSPIPVSGDRPLMLGRRWLQDAVVIGGSPTLTAASTTGTLPHDAEPDISVDRSKRLSPGGSNPQHH >SECCE4Rv1G0216210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:9791500:9792640:1 gene:SECCE4Rv1G0216210 transcript:SECCE4Rv1G0216210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKEGSGGAHREGEQAGNSGAMTVAREYRRGNWTLPETMVLIEAKKRVHSERQPAELGLARWRWVEDYCWRAGCRRSQNQCNDRWDNLMRDYKKVRAYGEQAGAGAEASPSYWEMARAERKARYLPSNLLREIYEAMGEIVERRSGFAGGPFLGAPPPQPLQMVGTGLVGVPMQASPLAQIQPRPLALDQGGTRCSSGSPERKRLRLSLDGQPGSSTPASAAGHNDRHQEPQGDDDGESSDDDTEDQDELSGAIGQCAAILSGALESREAAEERRHREVMAVEERRSAARQARREAGEQCMAGLAAAVGQLAGSMLALAAKHEGPAAPK >SECCE7Rv1G0494270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:580841146:580842725:-1 gene:SECCE7Rv1G0494270 transcript:SECCE7Rv1G0494270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPAAFFLLLVFLALDDVEARGTPRARGRWSSMFVFGDDFVDNGNVPNIIGERTSRQWSYPYGSYRNSSWSGAPVPTGRFSNYRMQSDFIARMLGLSEAPPAYELTSDQSCDSSGMTFAFGGAGVFKVAAKKVPTLAAQVQAFKRLVDDGVIATRQLHHSVALIAISGNDYMSGSEANNGFYSSFDDLDTYMGNVATEILDNVAQLQTLGVRKVLVNNLHPIGCTPLQTSSNNHTTCDLLANYGASVHNKYLQQMIGERDNVYILDLYTAFTDIVNHAPGEGSDRSKDFKRKLTPCCENSYEGGYCGERSSSGKHLFDLCQNPDKRFYWDEIHPTHAGWEAVMEALEQPLMEFLNQDYVP >SECCE5Rv1G0366850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:816107773:816109094:-1 gene:SECCE5Rv1G0366850 transcript:SECCE5Rv1G0366850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDAHSRNKCAACYRQFNRMEHLVEHMRASHHSPHEPRCGVCGKHCRSLDALRDHLGFGASLPPKPDCATAFAAKGCRLCLTIFPSSGSLRAHRPTCHLSRAPVPSRGVMPRMPVGGVLALGCKMVGGGSDGTLDVCARVCVVDEHEDIVYESFVRPLLPVTHYRYETTGIRPEHLRDAPTVKTVQQHVEGILLNGEQPWKVRSSRGAARLLVGHGLEHDLDALGMDYPAYLKRDTAAYPPLMKTSARLMSNSLRFLTQSCLGYDIQTGRQHPYEDCVAAMRLYKRMRAMRHGQPMNGGEGDGCAAVAFPTWRQRGLERMSPEELLSMSKPDYHCWCLDD >SECCE1Rv1G0052900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:665102058:665110078:-1 gene:SECCE1Rv1G0052900 transcript:SECCE1Rv1G0052900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRWLLLLFVGLAGGGVHGQVDNLGFVSIDCGLPENAVGYVENGTELRYVSDAGFIDTGTNHNLPAEYSKPSIGKIWHTVRSFAGGSAGARSCYTVRSLVSGLKYLIRAGFIYGNYDGLNRLPVFDLHIGVNYWQTINISAAAGVMAEIITVLPGDSMQVCLVNTGSGTPFISSLDVRPLENKFYPHADESQGLVLVSRTNFGASDTTFVRYPEDRFDRIWSPWSNSTIWSVISTTEKVQNSATNGNDTFEPPLPVMQTAITPINSSSPIDFTWDAQASTTNNPKPGYVWMLHFAELQPTGFRQFDISLNGKSWLMNRSFTPDNLSTTTIYSTKPVYASNQYNISLDATVNSTLPPIINAAEIYSVIPTTSIATTVQEVSAISAIRDKYHVKKNWMGDPCAPKNFVWNGLRCSYAASSPPTVTGLNLSSSGLSGNVSSSLASLRGLQYLDFSHNNLTGSIPESLSQLSSLVFLDFTSNQLTGSIPSALLKRIQDGSLTLKYGNNPNLCSNGNSCQPPKNESSSKVVIYVLTPIVVVSVIVLLSVMFLCMRRRMQGTTSNHVKSQNEANITPLHSQNNNEHDSLRLDNRRFKYDELVAVTNGFQRVIGRGGFGKVYAGFLEDGTQVAVKLRSESSDQGVQEFLAEAHTLAKIHHKNLVSLIGYCKDREYMALVYEYMSEGALHEHLRRRVNNSRCLTWRQSLRIALQSAQGLEYLHKGCNPPLIHRDVKTSNILLNANLEAKIADFGLLKAFNSSNDTHVSTARVVGTRGYLAPEYLATFQLTNKSDVFSFGVVLLEIITGQPHILNDPEPTSIVQWVRQRLAHGNIESVVDVRMHNDHDVNSVWKAAEIALKCTAQLPEQRPTMTDVVLQLQECLKLEAARNDSNA >SECCE7Rv1G0501350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:681887877:681889049:1 gene:SECCE7Rv1G0501350 transcript:SECCE7Rv1G0501350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTLMHCLLAVSLLSSVAHAQLSTTFYSRSCPSLENTVWAVMKEAVVKDRRMGASLLRLFFHDCFVQGCDGSVLLDAGGEKAAGPNANSLRGFEVIDAIKARVEAACPGVVSCADILALAARDGTFLLHGPTWPVPLGRRDSTTASQSLANFNLPSVNSSLASLISTFARQGLSPTDMTALSGAHTVGQARCTTFRDRIYDKSNIDATFARRKQQTCPLSGGDNNLAPLDVQTPRAFDTAYYQNLMAHRGLFRSDQALYSGGSQDALVRQYSANPALFRSDFVTAMVKMGNINPLTGTAGQIRRNCRFVNT >SECCE6Rv1G0419810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:673054259:673055777:1 gene:SECCE6Rv1G0419810 transcript:SECCE6Rv1G0419810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPIPIIDLSRLSAGSAEEFAKLRSALENWDLFLAIGHGMEPSFLAEAMKATREFFNLPLEEKQKYSNIVDGEKLGMDGYGNDMVVKENQVLDWNDRLNLLVEPESLRTYRLWPTRPASFRDILSEYMVKCKGAANLVFRNMAKILNLQEEHLVNMISENSITQAIFNYYPQCPRPDHILGLKAHTDGSIITVNFTDAEGLQLQKNGTWYNVPIVPNALVMNVGDIMEILSNGLFKSLVHRVVTNAEKERLSLVLVYTLEIETELESVSELVDDKRPARYMKIKLSDYMEKYHDTYATGTLAIDGVKI >SECCE2Rv1G0118530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:783322318:783324696:1 gene:SECCE2Rv1G0118530 transcript:SECCE2Rv1G0118530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLVQPVGQKRLTNVAVVRLRKGGQRFEIACFPNKVVNWRDRVEKDLDEVLQSHNVYSNVSKGVLAKSKDLIRIFGTDDLVKICLEILEKGELQVSGKEREAQLSFQFRDIATIVMEKTISTETRGPYTMNMIERMMHEIHFAVDPNLTSKEQALRVIKKLIEIPEYKIKRAPLTVRFIAPKSNLAGLMEKLDGWNAIVLSKDESADQSSIVCEIEPSILHSCEEKLKDVQGRVEVLSVSAHAEGGSSTGQYDNVEDNVEKAQPVPAKETGAVAQLSETMQKQSLSSEVESQGQAPGKPQRRCRECDVFMEDKLYRDHCKSGWHKHNYTRHKNGLPPLSQEECLMEMEMADSQRGLKDYDF >SECCE4Rv1G0254710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:641215081:641218260:-1 gene:SECCE4Rv1G0254710 transcript:SECCE4Rv1G0254710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDRLIFANIGHAGGYMYQYPPEFPMSHDLGLSLVTHLGRLLGSSLQHRREICSSGNVKAQEAFICLRKFARAFCFWFSRVCDPKNPHGFSHIKRVTSGVQNLVGSQFGSLKEGHAVQLLLARLAQATVGRMWNDIEQQHASNLLTMAGFAAIVPPFENISPKMLAQLMAFGNVDGYINMPADQSCLDGKRLTCSSVAVPTTIFQEDAVEPKTGIKFPAFLEDDSCPATTVLVGVGFKGMKIMRVKNLNLYAFGLYMQPNSICEKLGPKYASVPTTKLKDDPDFYNDLLRENLHIRVRLVVNYKGLSIGAVRDVFEKSLGLRLRKINPTTDYHCLKTFASYFTKDIPIPAGTKIDFCQTSDGQLITEIDGRQISAVQSKDLCRAFFDMYIGDSPVSLEAKREVARNVAGLMGSCGG >SECCE1Rv1G0027060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:377323410:377327045:1 gene:SECCE1Rv1G0027060 transcript:SECCE1Rv1G0027060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRRSLPRRLLTSDPSSAAASLFRRSFLSGEGAGESADDFEKRLFESDDKSFFGKLDGVGSSFGRHGTGSGMGTFSRPEFGQRSGNGGFMDGFDSLNDGMNEKLDNAARTFHMTDEVDDDDYDFRPDVNYKRGSTYNVRDLDLTRPAAPRNPPRPQFETSTKEVLRKADFRNVRFLSNFLTEAGIIIKRSQTKISAKAQRKVAREIKTARALGLMPFTTMGKRPFMFGRSAEEDASEEEYGYDFVEKDAVPEGAVADAVPDVATA >SECCE4Rv1G0258860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679882571:679883653:1 gene:SECCE4Rv1G0258860 transcript:SECCE4Rv1G0258860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGENCGKSVSEEVKPEWEGGEVLMQGGGGGGGVGGAVMAAREAMAPVQIDVRMDVALLHCQACLLPLRPPVFKCEAAGHVVCCFCRAGHAALCSRASAHCGELDVVVGAAKVPCPYKVFGCERYVAYHDAADHRSACQCAPCSCPEPGCSFVGSRAMLLDHFAAGHQRHAVTVRYGRPWNLGFSLSRRWHVLVGEDRSVFLVSLGPLGAATAVSLVCVRPDGAGEAAPQFRCKLSVERPAGDGKDDLVLMTSAVSSSALSTGAPAAGQGMFLAVPQELLSDDTLMLSVRIDLIQPAGGAPRSATPTPRARTPRRMQ >SECCE2Rv1G0072910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:62363782:62370443:1 gene:SECCE2Rv1G0072910 transcript:SECCE2Rv1G0072910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAFGFSRFLNPRVHSSTNRVLSLWFASCPCSSASAFASEPPEIAAGPETVREGRAEIFADKSNSVFFNKAQVNNRDLSIAVLRWFILKRQEEHDARLKGRRAEIPPEQHADGLDPATTGCEDSALRGESSLKAPKVLEALAASGLRAIRYALEVDGIGEVIALDNNEVAIESCKRNIYHNGILASSKVVPHLADARIYMLTHPKEFDVVDLDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCFSKYGSYPVRGKYRHEMALRILLACIESHAIRHKRYIVPVISVHMDFYIRVFVRIFTSASTVKSSPLKFSHVYQCVGCNSFHLQNVGRINSKDKRNIPLPNFCPTVPQECSECGGKFVMGGPIWSDPIHDRDWAASILSNIRATSGLYQAYAKISAILTSVSEELPNAPLFVSLHSICATLKCTNPTMVMFHSAIRNTGYQISGSHADPLALKTDAPMSVIWDIMRCWVKLHPVKSQPENLPGSRILSQEPQLQASFSQATGGLVARKSPRFLPNPEKHWGPKMKAGRPLKILPIDKL >SECCE2Rv1G0126500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:846623846:846624381:-1 gene:SECCE2Rv1G0126500 transcript:SECCE2Rv1G0126500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYAMGGAFSVKSDTYSFGVLLLEIVSGLKINSPQLITNFSSLITYSWRLWEDGNTIELVESSFVASCRLHEVLRCIHVGLLCVQDRAVDRPLMSSVMFMLEKESAPLPAPKQPAYFALSTCTDEEGREITTNSMNAVSITTVDGR >SECCE4Rv1G0229190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:144830401:144830865:1 gene:SECCE4Rv1G0229190 transcript:SECCE4Rv1G0229190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVAAVLEPPSRSPLRRRHRLANGAGATTGDFELRHWRPAKKSSSSGMKGRWVPPEIEIPSGEEGGYTSLRDIMSSPEYAAAKQAHSPADGAGGGGDVHMIRHPLVKHAAYAYLQLTPSAREDPGRLRRRRRGPLCRLVLGCLGFVGAFFTR >SECCE2Rv1G0141270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934765759:934766793:-1 gene:SECCE2Rv1G0141270 transcript:SECCE2Rv1G0141270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLLAVLATLALLLSPATAALSSTGAAALSPDFHAETCPQLDGIVRAAVQAALTREIAIAAGLVRIYFHDCFPQGCDASILLNSTSGRETALGPNLTIQPRAMQLVESIRSTAHAACGPVVSCADITLLATRAAIVASGGPTFPVPLGNLDSLAPAPQSVVFDLPSPATDSVAALVQSFGTRGLGDVADLVALSGAHTIGRSQCGSFSDRSQRADDTFSRKLAANCSKNPDRLQNLDVITPDLFDNGYYKALGFNQGVFTSDMALVKNKTTAAIVKQFAASKEAFFAQFAKSMTKLASVPKPAGNVGEIRRLSCLRTNAQSAVIIETAGKEEEEGFAASA >SECCE1Rv1G0031810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:446722713:446723081:-1 gene:SECCE1Rv1G0031810 transcript:SECCE1Rv1G0031810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVARAALLLAMVVVASATYCPPPPAPVPVPVPRYGSCPQNALKLHVCANVLNLVKAKIGVAPTEPCCSLLDGLVNLDAAVCLCTAIKANVLGIHLNVPIDLSLILNNCGKICPADFQCIH >SECCEUnv1G0558770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334265681:334266091:1 gene:SECCEUnv1G0558770 transcript:SECCEUnv1G0558770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTIADLLTSIRNADMNKKGTVRVVSTNITENIVKILLREGFLESVRKHQERNRYFLVSTLRHQKRKTRKGIYRTRTFLKRISRPGLRIYTNYQGIPKVLGGMGIAILSTSRGIMTDREARLNRIGGEVLCYIW >SECCE6Rv1G0408460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:573060942:573062731:1 gene:SECCE6Rv1G0408460 transcript:SECCE6Rv1G0408460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP12 [Source:Projected from Arabidopsis thaliana (AT5G64350) UniProtKB/Swiss-Prot;Acc:Q8LGG0] MGFEKEILKAGTGPKPVKGQKVTVHCTGYGKDGDLSKKFWSTKDPGQQPFSFNIGLGSVIKGWDEGVMGMQLGEVARLTCTPDYAYGDSGFPAWGIQPKSVLIFEIEVLSAK >SECCE7Rv1G0514970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:837421322:837421825:-1 gene:SECCE7Rv1G0514970 transcript:SECCE7Rv1G0514970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTVPLKRLTSKDEKNGNFGREFVKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSKGNAIGEFNLPHDSAAPAAATRLEYPTVVDVELKAELKKMNKNFKQLIELKNQSNLIALGILALGIFYLMAISR >SECCE5Rv1G0324440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:447133594:447143201:-1 gene:SECCE5Rv1G0324440 transcript:SECCE5Rv1G0324440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQSGFLSIDCGLDAKFSGRRDTYTDIAYVSDDPYVDGGKNYRVAAKFDDSSRSENRRTLRSFPSGIRNCYTLPTESGGKYLVRMVFFYGEYDGKTSPSFDVHLGSNYWDTFRNRDFWWSEAVFVAWGSWAPVCLVNTGGGTPFVSTVELRPLLPSLYPQVTVDESISAFARVNLGANSSYRFPDDPYDRFWEWEVRSLWANLSTKETIQRDDSFAVPIPVLQTAVAPVSNGTVLHVGTWNTYKSTFEFKFFLHFSDIQNTQLRQFDIYLNDYEWYKKYSPPYLAADYVYSTGWYKATEGTYNITLAATNRSVLPPMVNAYEVFNRIPHDTPRTSTKDFNTMMAIKLEYGVKKNWMGDPCFPANYRWDGVNCSDVTANTTRIISLDLSDSNLTGAISDNFTLLTELRYLDLSGNTLNGPVPMSLCKRSAGSLIFRYQSDEDMCNKTTISPPPSKNRTAIISISIVVPILVVAILVLACLIWRGRRKPKISTNDPPREPELQSAPESRKSNGDQLQNTENRRFTYKELEKFTDKFQRCIGKGGFGLVYYGRLEDNAEVAVKMRSESSSHGLDEFLAEVNSLTKVHHRNLVSLVGYCWEKDHLALVYEYMPQGNLCDHLRGKNGAGETLSWATRVRIVLEAAQGLDYLHKGCSLPIIHRDVKTNNILLGHNLKAKIADFGLCKTYLSDMQTHISTNAAGTAGYMDPEYYHTGWLTESSDVYSFGVVLLEVATGEPPVLPGHGHIVQRVKQKIATGNITTVADAHLGGEYDVNSMWKLVDTAMACTADAAVRRPTMAAVVAQLKESLALEEGREDSSVRGSIASTTAAPMSAFGPSAR >SECCE4Rv1G0232270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:194788714:194789115:-1 gene:SECCE4Rv1G0232270 transcript:SECCE4Rv1G0232270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNCGSGCSCGSDCKCGKMYPDLTEQGSAAAQVAAVVVLGLAPENKAGQFEVAAGQSGEGCSCGDNCKCNPCNC >SECCE5Rv1G0309640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:157168620:157170683:1 gene:SECCE5Rv1G0309640 transcript:SECCE5Rv1G0309640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGRRRPDLLLRRRASLPSRDPPRSNLSTNSGRSPPEPANPARAASILAEKDWFARLNSEFAAALPRLGPRFVVRVLHAAVPLEPRLCVRLYVWASRFGTHFARDASVRRALEDALWRRGPLVLSSALVAEVRGCGCEVSEELLCSMIASWGRLGLAQYAHEVFVQMPRLGLRPSTAVYNALIAASVRAGAVDTAYLRFQQMPADGCQPDCFTYNTLVHGVCRGGIVDEALRLVRQMEGVGIKPNVFTYTMLVDGFCNAGRPEDAVHVFNTMKDKGVLPNEASYRTLVHGVFRCLGRHKAYEMLSEWLGREPPLHPSAWHTMLYCLSKNEMAKEVVEVVKKMKTRGLLLDNAMFGIVVSCAVKCLELSNLCELVDDFVKKGGNPGFHVYIMIIKSLLDCKSSSKANHYLERMVLDGLLSSVASYNMVIDCFVKEGAVDRAVEIIKQMREKGFLPNLVTFNILISGYSKLGDVHNAKAVLKMLMEHGFMPDIITFTSLIDGLCHAHQMDDAFDCFSEMAEWGVRPNAHTYNVLMRALCSVGHVNKAIDLLNKMKIDGITPDAYSFNAPILSFCRMKKVDKARSIFNAMLRLGVVPDGYTCNILIKALCDEKRVDDAKEILAATESSGCTVTDHHHSYWPIVSALTKMGLFSEAGQLMNKCHIRNVQLDCGSNRTTEPAMHARVVNV >SECCE1Rv1G0036370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:508802361:508804796:-1 gene:SECCE1Rv1G0036370 transcript:SECCE1Rv1G0036370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPDSTHHRVSVQDAGEPAPVLAEKPEPSLEIQEKLPHNLTEHQENLTEHDMGHSVPASPEMLPHSDPAQGSNNSLNDKTDGETSTNNTEVNNIFDNGSGSDSTMVTSARKSNGDNTDHQANIATTPNNKRAETESRPYKGLVDTAAPFESVKEAVTKFGGIVDWKAHRTHTLERRRAMELELEKVKQGIPQVKEGSETAEMARSQVVEELETTKRLVEELKHKLEKAEVELEQSKQDSELAQLRAQEMELGIDNAASVVAQTELAVAKERHQKAIEELKIVKEGIGSTHEQYTTLVTERDVAIKRAEEVASAAKDSEKRVEELTLELFASRESLELAHAAHHDAEEHRLGAVLAKEQDCLAWERELQQAKEELRQLDEQILSKTSAQSKLDGNKGILLRLSADVAAYMANKSSEEDGAVEEHGSEEDREMSRSIKQALASARMELEDVRGNIAKQNDEANLIRAVAESLSSELDKEQASLVTLQQRESMASITLSSLESELSRTKQEVEMAYTKEAETRAKMADIPKMLQRAAQEADDAKVAAHSAREELRKSKEEAEQAKAAATTAEVRLRAALKEIEASKASERLALVAAQALQESEEATSSEDSPRVTLPVGEYHLLSKRVHEAEELASERVAASLAQIELAKESESRSLERLLEVTRSMDQKKDALKIASERAAMAEEGKLGAEAQLRKWRSKHKQLRKAHEAAKHAMSPLSTPFAGHKEASYQENKEVLTEPISHMSDDSIGGFVSDKKLRKKKTFFPQMSSVFSRKVQTQT >SECCE5Rv1G0348810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:677577091:677581706:-1 gene:SECCE5Rv1G0348810 transcript:SECCE5Rv1G0348810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit alpha 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18820) UniProtKB/Swiss-Prot;Acc:Q56XV8] MPLTTASFAAPTCSSSSSWPPLPRWPCRLHRPARVCRRLAVRADVKVISSGDACRRGLAAGIHKLADAVSVTLGPKGRNVVIDQDDVPKVINDGVTIAKAIELPNSLEHAGAMLLQEIATKTNSTVGDGTTTAIILAREIISLGLLAVANGANPVALRKGIDKAVHELLGILKTKSIPVSTKEDIKAVASISAGNDEYVGNLIADALEKIGPDGIIKIESSSSIYTSVEVQEGMKIDKGYTSPHFITNPNKAIVEFENARVLLTDQRVNEIQEILPLLEKTTQLSVPLLIIAEDISHSVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFVSDLGWGLQAITSDQLGMAQKITITSESTTIIAHPSMRPEIEARIMQLKKDLEETTSSYLKERFSARIAKLSRGVAVIKVGAATEAELEDRKLRVEDAKNATFAAISEGITPGGGVTYVELSKHIPSIMDLVDDPEEKIGVNIVGKALLVPAMTIARNAGADGSAVVEKILESEWRVGYNAMTDKFEDLVDAGVVDPCRVARCVLQNSASIAGLILMTQAMMFDKIKKKKSPIPEIPGLPPLQINQNA >SECCE6Rv1G0432960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:755209753:755210831:1 gene:SECCE6Rv1G0432960 transcript:SECCE6Rv1G0432960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDKGHWDKTHGIDVYPPLYTKVMGRPKKTRKKDPEEKKDKNGGIKLTKAGSTMHCSICKAPNHNKKGHHKHVNDIQNEQAAKQVEEDFDDPGLIANIMPHTVRPFLDPTQTPDSMVFMMQEQERFVYPRVRDFGPLLESNFIANAREEIPPPRMTTSMTRGRIGRGGTAASGVRGGNAASGHNTRGGGESNVVRGGGHNARGGTSNVAGGEGHNASAGGGHNARGGGGHKARGGNITRGDGGHNVIGANVAKGARAAAPGFCNLLFGDDGASRTWTEAANFMHAEEEVLITQNAPDAEWPHDFLSQ >SECCE4Rv1G0242110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:470248937:470251612:-1 gene:SECCE4Rv1G0242110 transcript:SECCE4Rv1G0242110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPRCALLLLLAAAAAFVPAARAQGATAPAPSAGAPFVPRDDILLDCGATGKGNDTDGRQWDGDAGSKYAPPNLASASAGAQDPSVPQVPYLTARVSAAPFTYSFPLGPGRKFLRLHFYPANYSNRDAADAFFSVSVPAAKVTLLSNFSAYQTTTALNFAYIVREFSVNVTGQNLDLTFTPEKGHPNAYAFINGIEVVSSPDLFDLATLQFVTGDGNSQPYEMDPAAALQTMYRLNVGGQAISPSKDSGGARSWDDDTPYIYGAGAGVSYQNDPNVTITYPDNVPGYVAPSDVYATARSMGPDKGVNMAYNLTWILQVDAGYQYLVRLHFCEIQSPFTKPNQRVFNIYLNNQTAMQGADVIQWADPNGIGTPVYKDYVVSTVGSGIMDFWVALHPDAETKPQYYDAILNGLEVFKLQLTNGSLVGLNPVPSADPPAGSGSGDKKSIVAPIVGGVIGGLAVLALGYCCFICKRRRKAAKASGMSDGHSGWLPLSLYGHSHTSSSAKSHATGSYASSLPSNLCRHFSFAEIKAATKNFDESRILGVGGFGKVYHGEIDGGTTKVAIKRGNPLSEQGIHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQNAPLSWRQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPYLKGKIVPQCFKKFAETAEKCVADNGIERPSMGDVLWNLEFALQMQESAEESGSIGCGMSDEGTPLVMAGKKDPNDPSIDSSTTTTTTTSLSMGDQSVASIDSDGLTPSAVFSQIMNPKGR >SECCEUnv1G0535140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:52289589:52293602:-1 gene:SECCEUnv1G0535140 transcript:SECCEUnv1G0535140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGLRPLAVALLLLLLFVAPAVDARVGDECTAGAGCGPGLHCAACGDGGAGVCARATPVDPLTHGTGLPFNNYSWLTTHNSFALAGAPSATGQAIIAPTNQEDSVTAQLKNGVRGLMLDTYDFNNDVWLCHSFGGKCYNLTAFQPAINVFKEIQTFLEANPSEVITVFLEDYTVAGSLPKVFNASGLMKYWFPVAKMPKSGGNWPLLKDMISQNERLLVFASKKAKEASEGIPYEWNYVVESQYGNEGMVEGKCPNRAESPAMDSKIQSLVLMNFFTTDPNPTGVCGNNSAPLVSMLKTCHDLSGNRWPNYIAVDYYMRSDGGGAPLATDVANGHLVCGCDNIAYCKANSTFGTCVIPPPPPPSPPRAPVKGTSPGGDPSAAVARLPAFQWSFFLGLTSLALLFLS >SECCE1Rv1G0034130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:477449310:477449996:-1 gene:SECCE1Rv1G0034130 transcript:SECCE1Rv1G0034130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPYHLLSPRTIVNKIVSMKRQEPEPPPKIILQPRHRTSPAMWCAAIVCFAFSVLLILAGLVILIVFLAVKPRTPSFDVASAALNSVYLGSPPPYFNGDMTLTVNISNPNRKIGVVIQSGAVELFFRGRPVSAQALPSFAQRPGQFTVLNVHMLSSQVVLPPEVAVELLNQMKSNKILYTIRGTFKVRERLWSWHYTYRMTAICDLELTAPPSGSLIDRRCTTST >SECCE7Rv1G0476170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:198705630:198706709:1 gene:SECCE7Rv1G0476170 transcript:SECCE7Rv1G0476170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRMGALHVGEPRVSFQPQVGKNGGGHGAVVEEIHGLIRVYKDGHVERLPAIPDVPCTWGSTAAASGVLARDVPVDRATGVWARLYAPAAAAGKVPVVVYFHGGGFSVGSAAWSCYHEFVAQLPARAGCAVMSVDYRLAPENRLPAAFDDGLTALRWLRQQASRNAAASDEASWWRSRCRFDRVFLMGDSAGAAIAFHVAARVGQGQLGALAPLSVKGAILIQPFFGGEARTASEKSMPQPPGSALSLPTSDSYWRMALPAGAGRDHPWCNPLARGAPRLETLALPPVLVCISEADILRDRNLELCRALRKAGKSVEQATYGGVGHAFQVLHNCHLSQPRTQEMLAHIRAFVSARSS >SECCE6Rv1G0388670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:141253225:141253440:1 gene:SECCE6Rv1G0388670 transcript:SECCE6Rv1G0388670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSSSGEYEESAAAGYRRPQRVRPSDQDGIYYVGERDVDNKAGIYIANFHRYQSEVVPMTPAPSSAAA >SECCE5Rv1G0301140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29184288:29184966:1 gene:SECCE5Rv1G0301140 transcript:SECCE5Rv1G0301140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRKKLGSTKDGSKTPPLLPVSVTVSVTVAKKTPDVAVRRVMAWALINAVVFVASYAMGYAIDYALHHHHVSCSQFSFLLRCDQLTDAEAAQERTHWIVILCCAAFQVATAALVLWLPGRRRRWVLRAMAYLALVLTVVSHCMFFADVCLNHAGDPGRLACWILCIMAIVFYAVGDIISFLALFRGGED >SECCE4Rv1G0258720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679062548:679063597:1 gene:SECCE4Rv1G0258720 transcript:SECCE4Rv1G0258720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTRGVAAATISLAVLLLIFCFSPCTAAATTAVPSIDATRTRHLPLPRGLLRGPESVAFDAKGRGPYSGVSDGRVLKWNGDKLGWSTYTYNPDYSSEACTASLLRSETATEGHCGRPLGLRFHLKSGYLYIADAYKGLMRVAPGGGEATVLVTEVDGVPLRFTNGVDIDQVTGEVYFTDSSKNYNRSQHEMVTRTGDSTGRLLRYDPRTGKAVVLQADITYPNGLAISADRTHLVISSTGPCKLLRYWIKGSKTGTMELFADLPGYPDNVRPDKKGGYWVALHREKAELPFGVDSHLLALRIDADGKIIEEMRGPKSVRPTEVVERKGGRLFMGSVELHYVSVITRK >SECCE2Rv1G0126450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:846443493:846444948:1 gene:SECCE2Rv1G0126450 transcript:SECCE2Rv1G0126450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLIVGGIVGDIVDYFDASARLRVLYSNREITNGSELRPSEVANQPAVQITGRPGSLYTLVMVDPDVPSPSDPSQREYLHWLVTDIPEGGDVGHGTEVVAYERPQPTAGIHRVAFVVFRQTVRQAIYAPGWRSNFVTRDIAECYSLGAPVAAAYFNCQREGSCGGRRYR >SECCE5Rv1G0349520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:684476856:684480167:1 gene:SECCE5Rv1G0349520 transcript:SECCE5Rv1G0349520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAAELKFTVRRQPAVLVSPARPTPRELKRLSDIDDQDGLRFHIPVIYFFRRQVDRGDDPAPVLRNAISVALVKYYPFAGRLRELEGRKLAVDCTGEGVLFVEADADVRLDQFGAAPQPPFPGLDELMFDVPGSTAILDTPVLLFQVTRLACGGFVFGVRMQHTMADAPGLVQLLAAVAESARGEAASTVPPVWGRELFEASPYGDSPPQSTFAHREYDDVPDTNGSMVPLGSMAHRSFFFGPREVAAIRSHLPPGLRGSTTTFEALTGCLWRCRTVALAPGDDEEMRMICIVNLRGKSSIPRGYYGNAFAFPVAISTAGDLRAKPVSYAVKLVGKAKREVDMKYVKSVAELMVRRGRPNFTVVGAYLVSDVSKAGFHDIDFGWGKPVYAGPAKGGVGTIPGFASFFIAHRNTKGEDGIVVPICLPRAAMGKFEEEMGKLLRPAGNAMPMTKQPDNLFCMIKAAL >SECCE3Rv1G0151780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:41365909:41366298:-1 gene:SECCE3Rv1G0151780 transcript:SECCE3Rv1G0151780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEFASCFGGSRTDDYGGERPNRKEEHASARHGRGRRGNHGSAYNGRAADHKLARQAAYHHQPPAAVDEAGQHKTNITTPKHPAWQNKVGDTARLQEVVAAADHMNNAAMDYHHYPTSTTTTTGLGRY >SECCE7Rv1G0459560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26475160:26478375:-1 gene:SECCE7Rv1G0459560 transcript:SECCE7Rv1G0459560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g13880 [Source:Projected from Arabidopsis thaliana (AT3G13880) UniProtKB/Swiss-Prot;Acc:Q9LRV9] MLLPHRRPSHRLLRQQPPPPPSQTPPPPPPRRRGPPAEAPPPPRRRAPPLDPSAYAGLLRAASRGRSLPLARLTHSHMLRAGFHPGLFLRNNLLAAYCRGGDMRHARLLFDGMPRRDAVSWNTLIAGYSSQGGSSGARLALAAFRDARGGVRADRFTYAAVLAACGRAGDRRHGRAAHGLAMVSGLAQDDAFLTNSVIDMYAKCGMIDEVRLVFDRAEERDEASWNLLLSAYVRMGWPEVAVHVLVWMHRSGVKLDSFALGGILKACSELQGSEDVRRMLHGCVVKVGLDLDMFVGSVMVDMYAKNGGLEEAIKMFDSIPNQNAVVYGAMIAGFARLGNDPCPEVRIEAVRLFSDLLRMSVKPSRFTFKSVLEVCNLTNAVHCGRLIHAHVIFNGFQDDEFIANALINLYSQARSVSDSLRCFQMTPRQDVLTWTSMITALAHDENFEKALGLFLEFLSLGKEPDQFTLSSVMNACAALSLPATCKQIHCYTVKSGLSQFTVCGNSQIYMYRNIGDVEASKKTFEQITCLDIFSWSAMILSYAVHGHEGEALVLLEKMKDCGVVINDIAFLAVLIACSQQGLADEGFRHYESMLSDYGCSPNAKHKACLVDLLGRVGKISEAEDFIMRSGSENDPILWHALLRACRIHGDKERGIKTGEKLMELEPFAVTSYVVLYNLYMDAGKISMAMKTRGLMRERGMSKEAGISWAEFGGSIHHFTDGNNSCPHNNAVHARLEELLVSVKQKTERGGTDICELGFQSRKDGETSLARHGELLGVALGLSTLPSAAPVTVMKNQRISWESHETLKLLSARENRGIIIRDPTHFHRFDQGSCSCRDYW >SECCE7Rv1G0496240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:610305778:610306314:1 gene:SECCE7Rv1G0496240 transcript:SECCE7Rv1G0496240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKGTGRRRQERDHTRKNLQNRIRYRRDRLLQDAWEVSELFAPHLAILAFPASGKAKPLLFGNPTLDSVLRNVVVDASIGAETAEEAAARMAAMRREAGSIEARVAQEEARLRAVAEKVKVAQEEQGRAHWWEVDVDVLGEAELPEFATALDALRADVLRRLTELAEARKPPWRHW >SECCE3Rv1G0197520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:819695505:819695915:-1 gene:SECCE3Rv1G0197520 transcript:SECCE3Rv1G0197520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAEKKPVETEKKPKAEKRVPGKDGGADKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCEUnv1G0562640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:367625098:367626489:-1 gene:SECCEUnv1G0562640 transcript:SECCEUnv1G0562640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLLTGLLLAHAVAYLVWAAAVRRRQSRCYLLDYVCHKPSDDRKVTTEMAGALIERNPRLGLPEYRFLLRVIVGSGIGEETYSPRNILDRREDAPTHQDAVDEMDAFIDDTIAELFAKSSGLIKPQDVDVLVVNVSMFSPAPSIASRIVRRYGMREDVAAYNLSGMGCSAGLVSLDLARNALRTRRKATALVVSTESIAPNWYPGTDKSMMLGNMLFRCGGSAVLLSNDPALRGRAKMELRCLVRSNIAANDEAHACALQREDADGRVGISLSKALPKAAVRAFTANLRTLAPRILPVSELARFAAGLMLRKLFSSRKQGRKTAEGPKINFKSGVDHFCLHPGGTAVIEAVKRSLGLDDGDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLRRGDRVLMVTFGSGFKCNSCMWEVTGDMADKGAWADCVDNYPPANTANPYMDKFGWLNDVEGDTVML >SECCE7Rv1G0497080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:620570685:620574715:-1 gene:SECCE7Rv1G0497080 transcript:SECCE7Rv1G0497080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASISSLFLAPPGFRCQIAGRPTPAPTRPPGRLAPAPTIRSSRRFAAARCEAALVPAWRLRAPPRRRNVLRDKASPPLVVRPPYHEALHSRHQSDKDRPPLVGGTGEPQSASSDDHASPDPEEKSGISDYLDAGPSGNAVAESNLDGQKDLDWDAEASHFCVNGTGPVFSVYEDPDGNAVHVEVNEDEIFSRCASADGEGSGDLQSILSRARVMAEQFGSAKREVPKNSSLFRFVAAEKKKSGVADTDSSVVQTNGTPSRAVALGGFAAFCGVCIVLIASKLIWRSIKAQFSSKLLHVRRPGMKAGQLDKGNIKVISNAHMFPGDLLVRPQLERRELMNNLKKAKMSRERFSFRNIFSCSTVANDDNARITEIRRMVTDVHTLEEGDLGKSKWGNDNSVVFPHPVVATEEAISASYVEQSVHLNDVSELVSSDLPYISSSNGIIEESVKQPVDFKNGAPTIDISVKNQYIIGEIEQPEYRYNDEGTADAKDRTSVIHAAEKELHICFADVQNAGPNTINNPSPEFERKEQIAEIAASIQGLEPSELFSSDKQMICINDSAHQVINNLVPETADVFSPNCFTILSSELKYNGASLANSENGINCMQETEAPMTFPNDAKTANCEDFAHCVSIIGKEACRDLLMTDISTMKSPQRISKEPVDLTTDTIQCMQEPEPSNHDDKQIIYANVRNHKIDIVHTETRTSSETVPLYALQKETVQYKGAEVEILEKQVKITSSNKEARAYLKKDKAKLQKEMCNDKLPGTKLSAEGVPGTGIVVDPSNGIQKAKRVARKRLKKVQINQGVAEIVAEQDVVHNSSMVDQVNSSQNVKRTRRRNQTNAFRTQGSQTREEIPETALMASLPDDAPRSENMKPLGDGGSSAVALSSKDVLMESQPSRFSAHTTRKEELKLNCQTSERVETDRTETKTNMHDYNAMHEGSTDFNKSKMKMGVAAAKKSTKRKSLSKRGKPSNAVSNKDSKETTGD >SECCE4Rv1G0287310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853589759:853591255:-1 gene:SECCE4Rv1G0287310 transcript:SECCE4Rv1G0287310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGESGTNKEPSPASARPHVLLLCSPCMGHLIPFAELARRLVADHGLAATLLFAAATDTPSEQYAALATSVPDGVDLVALPAPAADALPPSTSVRDRVVHAALSAVPHVRDTARSLASTAPLAALVLDMVSVPARDVAAELGVPCYMFFTSPWMLLSLFLHLPKIDAGLVGEYRDATEPIRLPGCVPIHARELPRSLLADRSSDTHAGFLSVAKDAARVDGILVNTFRELEPGVGEGGTDCGKVPPVHAVGPLVWTRPVGVNREPEHARLITWLDQQLRGSVVFLSFGSGGTLTRRQTTELALALEATGRPFIWAAKRPHEDTADGAFFGTGRGGEDDDDPLGFLPRGFVERTAGVVGLVLLSWAPQTAILAHAGVGCFVTHCGWNSSLESILNGVPMVAWPLYAEQKMNAAMLEAHAGVAARVNAAGLDNGDGFVCKEEIVSVIRRVMDGEEATMMRRRVGELRDRATHALSIDGSSNLSLAKVTDVWKSSTSNGQN >SECCE4Rv1G0296610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903799324:903800250:-1 gene:SECCE4Rv1G0296610 transcript:SECCE4Rv1G0296610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSATMTVRDVLYMYSVARQAYERFMSVCGNPEQARNTVALLVWLDQGTISAIHHVPCIDDGAVAIIAEEANAILECLRYPLPVLPPIPLISTLCMQGGVYIEPGFFAFHQDLVVHGVAHFLDGAGKVVFDDRLNVLLRRSETGLVGNPPELMAPYSPLPVAVPEDCRSIFVTFSKTMPLHREEVFDYFREKWGDCVVRVLMEKTTGGNKPTYGRIIFKTEAVVNLVLNGEKLVKISIDHREIWLRKYIPRVTNVDA >SECCE6Rv1G0405690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:534190868:534193414:-1 gene:SECCE6Rv1G0405690 transcript:SECCE6Rv1G0405690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSYCATPSPAPRCSAAFLPTFASPPPALRFAVAPLLPRRLAISPPRIRIPAVASALESLVQESDDEDEEDDGSGLFKDEAWASADERDAVRSPELVVPELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWLTQEDATYVAVHCLRIRDNDAAFRVFSWMERQHWYRFNFALATRVADFLGREGKVEKCREMFEAMVKQGRVPAESTFHILTVAYLSTPRGRCLEHACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGTAKHNLRQAEFIYHNLVTTNLAVHKEVYAGLIWLHSYQDVIDRDRIIVLRKEMKQAGFDESIDVLVSVMRAFSKEGRVEETEATWHEILQRGSELPAQAYVCRMEVYARTGEPMKSLDIFREMKSQSIPPNVATYHKIIEIMANAEEIDVAEQLMDEFSESHMKHLMPAFLALMYMYLDLDMHEKLELTFSKCLARCRPNRILYTIYLESLVRAGNIEKAEEVFDEMHKKGTIGTNAKSCNIMLRGYISADDYQKAEKVYDMMCKKKYDVQEDLLEELQNGLRLGKKVAVKPKPVSMKLDQEQREILIGLLLGGTQIESHAQRGVHIVHFKFQEDSDAHSVLRVHIHERFFEWLTSASRSFDDESKIPYEFSTIPHLHFGFFADQFFLKGQPVLPKLIHRWLSPRVLAYWFMFGGFKLPSGDIVLKVSGGNSEGVERIVNSLHAQSLPSKVKRKGQFFWIGFQGSNADSFWKVIEPYVLDGFLGLTTHESGSVGSGDDQDTDTDSDDDAHKCESEE >SECCE6Rv1G0400370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:451038062:451040644:1 gene:SECCE6Rv1G0400370 transcript:SECCE6Rv1G0400370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLGRFRSKIRTGKGKGKRPHGRPVPGPGASFTSEATCSTASSSAAATSQSQSRDSGATARPGGSKSSGSASSARSIPELYEERGASSLREFGLRELHAATSDFSRLLKIGEGGFGSVYKGVVRLPGGPAGGTVVAIKRLNTSGHQGHKQWLAEVHFLGVVEHPNLVKLIGYCAARNERGPQRLLVYEFITNKTLDDHLFNRAYPVLPWGARLEIVFGAAQGLMYLHEGLEVQIIYRDFKASNVLLDEEFRPKLSDFGLAREGPSADQTHVSTAVMGTYGYAAPDYVATGHLTTKSDVWSFGVVLYEILTGRRSMEKNRPKNEQKLLEWVKQYPVGSKQFNKIIDTRLEGRYSRQGTREIAKLANTCLAKRSRDRPTMRQVADSLKQVMQLKQLDGESVASGDNSPPHDVQGKPTADDIAVASARRRMLHLAALGENGNSIARRRFMFMKAAAAPTPT >SECCE3Rv1G0167880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:210390952:210394618:-1 gene:SECCE3Rv1G0167880 transcript:SECCE3Rv1G0167880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEEAEAVLETIWDLHDKVSDAIHALSRAHFLRTVRRRAGGKPAGVVHIKGVPADGDEAADLNAVAEEARSLHAIRAALEDLEDQFECFLAVCSQQQAERDIALARLQQSHIMLTIRLKEHHGNNHKVIDEALDFVHNVYHDFWSFLSVNKPENSRSHSGANSTKKTGDGSNFLGWMVSSSLDVVRNSFNMKNIGGFLGNSAVFAVGMITMLQLHLLSSGEQSSACGKYSYRRINHDDSSRSLAGRSRMSHLDVFLAKS >SECCE7Rv1G0486180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:399742942:399751523:-1 gene:SECCE7Rv1G0486180 transcript:SECCE7Rv1G0486180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTITPVSAPEPEPSARPDNLPRPSVAISWDSQALCKHAETCELGSEAFLIDPALLPILDGLLLETYAMLRPKPVEYEQRRTMIDVFNKIAKDIFGKKDDFPVVEPFGSFTMDLFTTKSDLDLSVNFSNDMDGQFARKDKISVIRKFAKVLHKHQSRGRCYGVLPVLSAIVPVLKVTDKGTGVECDISVENKDGMSRSMIFKLVSSIDERFQILCYLMKFWAKTHDVNCPKDRTMSSMAIISLVAFHLQTRHPPILPAFSGLLKDGADFASVQRNVVLFKGFGSTNKESIAELFVSLMSKLLAVKDLWEQGLCASNFDGFWTSKTWNRGIGNLSVEDFLDRSQNFARSVGKVEMQNICECLKDTVSKLTDFFRGNIDAPTLKILIFGALNQDVPVSHPSPKHVKGKRKRELRHDPGNSEKQQKKVKHAAQRGRAANRTDASLPTPTVFMPHVHQVGSTQPCNQIVHPSQLPIPPRLSYGLPQAHFHPAPHMIGQPHGSFIYPTDPGIQLQQQARHMFVPLPVHQPAINRSFHPHGFNGAHEVLYDDNSWLPYGINPNYRRV >SECCE1Rv1G0039090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:545425174:545430438:1 gene:SECCE1Rv1G0039090 transcript:SECCE1Rv1G0039090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPQQRVYQAWKGNNRFFLGGRLIFGPDAKSLLVSVALIVVPVLVFCAFIAPHLLHRFPGYNTGYAIPAVAVGFMIYVLLLLLITSAQDPGIVPRASHPPEEDFAYGNPLAGETPGRLQFPRIKEVMVNGMLVKIKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRYFFLFVSSSTLLCIYVFAMSALHIKFLMDGDYPTVWKAFKHSPACLVLMIYCFIALWFVGGLTGFHSYLISTNQTTYENFRYRADSRPNVYDRGCLNNFLEVLCSKGKPSKHRFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGNDLMKISRRRNYEDVDVEMGNQDDSETEGTGDAKLATGSGSQIPAVGSEVRVRHSSWDRRSGNWDMSSDMIGRSASDVLGRSASLTEAVPRSQRETH >SECCE3Rv1G0167420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:201817711:201820217:-1 gene:SECCE3Rv1G0167420 transcript:SECCE3Rv1G0167420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLVVVLVLAAMAQVAYCGTTQNYRDALTKSILFLEAQRSGVLPASQRVGWRCNSGIQDGKANGVDLTGGYYDAGDNVKFGLPMAFTVTMMAWSVLEYGGQMEAAGELGNALEAIKWGTDYFVKAHPEPNVLYGEVGDGVTDHDCWQRPEDMTTSRQAYRLDPQRPGSELAGETAAAMAASSLVFRRSNPGYANQLLQHSKQLFNFADKYRGRYDASIPVARNFYESVSGYSDELLWAAAWLFQATGDRRYLDYLANNADAMGGTGWSTGMFSWDIKYAGVQVLTAKILLHGKAGAHASVLQRYRQKADFFVCASLGKQGSGNVNRTAGGLLYHQPWNNLQYVTSGSFLLAAYSDSLAAAGQAAVRCPAGAASTAGIMAFAKSQVDYVLGSNPRGTSYMVGYGFIYPLEAHHRGASIVSFKSNPTFVACKAGYATWYLRKGSNPNLLVGAIVGGPDEYDNFVDDRNNYQQTEAPTYNNAPFMGVLARLAAGHGGGGWFGRSIPDGLDNQTSVAPSLSAAPDQGEHTSPIVIEQNATASWTEKGRTYRRYAVTVTNRSLNKTVHELFLGIAELHGPVTGLDKTRYGHVLPGTAPSVPAGGSVTFEYAHAAPPATVWVTGYKLV >SECCE7Rv1G0477510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:220945378:220946220:1 gene:SECCE7Rv1G0477510 transcript:SECCE7Rv1G0477510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVSYWCYHCSRFVRVSPATVVCPDCDGGFLEQFPQPPPPRGGGGSGRRGAMNPVIVLRGGSLSGFELYYEDGSGDGLRPLPGDVSHLLMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVTVAGGGGAHCAVCQEAFEPGAAAREMPCKHVYHQDCILPWLSLRNSCPICRSELPAAAVPEAEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVYTELDGGFSNGVGPRRVTWPEGEGQVDGGEGRIRRVFRNLFGCFGRGGRPESSSQSRSG >SECCE6Rv1G0432280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:750893336:750894313:-1 gene:SECCE6Rv1G0432280 transcript:SECCE6Rv1G0432280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYNFTYVLIGDSGVGKTCLLVQFLHKEFHPVVDFNIYTECGDKMIAIDNKPAKLLIWDTPGRHAFRSLTTPLYDEAVGALLVYDITRRETFNHLANWLQDARQYAHPGMTIMLIGNKCDLSQSRAVSYEEGERFAKDHGLLFMEASAKTAQNVVEGFLQTAVAIYKKIQDPSSDLYK >SECCE3Rv1G0191860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:752058827:752059155:1 gene:SECCE3Rv1G0191860 transcript:SECCE3Rv1G0191860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLSKSKEPLPLIRCPVCDAGLVKRFVSRTAKNPGRPFYVCECYGKGGCNFWKWEEEYAAYLRARWGHITSVPAAGSAQR >SECCE6Rv1G0402880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:490689163:490690165:1 gene:SECCE6Rv1G0402880 transcript:SECCE6Rv1G0402880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGGINTSSWSLPGPALQKVANPRKPCASGSRPRHSHPRHCDKHAVSAASTGQGEQQPAPAVATPRARPDADTFSVEFLTRDGCRLGIFRYPDFAYNAHGGRGVGAGRVASGVADDTVLVDFDVSSLYIPAMSGATTRFLGLPLPPFLKIDILPEALRGSIDRTTGQVDLKFRSRFCFSVGSIYQAPPLFVDTTLTSEESSGAIRRGTGERLDGGGRCKLVGVAVLDRIDDVFMNTFLNLPTECIAYLNATISIASAT >SECCE2Rv1G0071930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:48053207:48055441:-1 gene:SECCE2Rv1G0071930 transcript:SECCE2Rv1G0071930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTNLILLPLLLLATLSATPSLCYVSPSAASVDQSATQTSTYHTYIVLVETPPSGVDEEGHHRWYETFLPSSHVGESGEPRLLHSYIEVFSGFAARLTQVELDVVAKKPGFVRAFPDRTLQLMTTRTPEFLGLRNGTWFWSDAGYGKGVIIGLLDTGIYAAHPSFDDHGVPPPPTKWKGSCKAVRCNNKLIGAKSFVEDDDSYDFYGHGTHTSSTAAGNFVTGASDHGVGTGTASGISPGAHIAMYKVCTRDCHDSVVLAGMDAAIKDGVDVLSLSLSSMYGVGFNYDPIAIGAFSAISKGIIVVCAAGNKGPTPKSITNDAPWLLTVAAGSVDRRFDAGVHLGNGKRMDGEALTPAIKATSKPYPLLYSKEHDFCQYEDPGSVAGKIIVCQASTPVSQSPDIARLMVAGAAGVVLFNDEAAGYTIALHDYKARVVQVTSADGVALTAYATSATKDAVATFTYNNTVLGVRSNPVVASFSSRGPSSNAPGLLKPDILAPGLNILAAWPGPSFKIVSGTSMATPHVSGVAALIKSLHPDWSPAAIKSAILTTSKIVNNIGGSILNERHGKASAFDRGAGHVNPVRAADPGLVYDLGVTDYAGYICWLLGDIGLRTIVRNSSLTCAKLPKVEDVQLNYPTLTVSLASTPFTVTRTVTNVGPARSTYAAKVDSPSSMTVRVSPETLVFSKVGEKKTFNVTVICQGVGESEIFVEGSLRWASKKHVVRSPIVAIRGVGGHAPAPSP >SECCE6Rv1G0439940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:800351623:800353880:-1 gene:SECCE6Rv1G0439940 transcript:SECCE6Rv1G0439940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLVDLSPAQLHKLADLIHRQEVQKLQELEFKSYAEQQKYLSDAKDARDKVYHILESAQDMITQTDAEKDATKQDIAKDVYDYCTKAIGTSLEFIRSYNTRLTYLNKLKTHSDDLIKQLKWLNPATQQKEAQRLALEAGMYKKATLENAKKFQHFAPNQFSKWLKENKIMFEDLVQENMSKLGFRGPFKNLDDIQKLQVYDNIIAEAGQGKSVVTYSFEALGKVGVAVLVFTAAVMVWDIYTAEDKLEAAVRDSVNALTAVVDLEVGELVTAAVEAGFVALDIEIASAAVTVIGGVVGFGIGALIGIAAGALLDLIFSSGTSKVKITDGLTVCRVAPMPDGLELARLVKHNYPDL >SECCE7Rv1G0477960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:230220796:230221062:-1 gene:SECCE7Rv1G0477960 transcript:SECCE7Rv1G0477960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVTMTNQCASDECTGRPCVISRIRLQCGKFRSVIPSTPKVLRAVVPGVCLLNSGHYIPQDSNVSFIYTSYLRENLYVLPAVCSLGR >SECCE6Rv1G0429050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:733426088:733429787:1 gene:SECCE6Rv1G0429050 transcript:SECCE6Rv1G0429050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVHVNGSVNGANGTEERLDELRRLLGKSDGDLLKIVSIGAGAWGSVFAALLQDAYGHFREKVQIRVWRRPGRAVDRSTAEHLFDVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEELSKYWKERISVPVIISLAKGIEASLDPIPRIITPTQMISSAAGVPTENILYLGGPNIASEIYNKEYANARICGSTKWRKPLAKFLRQPHFIVWDNSDIVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELRLDMGDSIKGKGMIQGISAVGAFYELLSQPSLSVLHPEENKQVAPAELCPILKRLYRILIKRELPVKDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >SECCE7Rv1G0477040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:213703719:213709843:1 gene:SECCE7Rv1G0477040 transcript:SECCE7Rv1G0477040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFLRKQPSFLFILIILHCGARAARSLSSDGEALLAFKKAITNSDGVFLNWHEQDADPCNWKGVKCDNHSKRVIYLILPYHKLVGPIPPEVGRLNQLQTLSLQGNSLYGSLPPELGNCTKLQQLYLQGNYISGYIPSEFGDLVELETLDLSSNTLKGSIPHSLDKLTKLTSFNVSMNFLTGAIPSDGSLVNFNETSFIGNRDLCGKQINSVCKDALQSPSDGSQQPSPGDRNKSSARVVISAVATVGALLLVALMCFWGCFLYKNFGKKDIHGFRVELCGGSSVVMFHGDLPYSTKDILKKLDTMDEENIIGAGGFGTVYKLAMDDGNVFALKRIVKTNEGRDKFFDRELEILGSVKHRNLVNLRGYCNSPSSKLLIYDYLPGGSLDEVLHEKTEQLEWEARINIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEILSGKRPTDASFIEKGLNIVGWLNFLAVESREREIVDSDCDGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCGSDFYDSE >SECCE2Rv1G0112860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:716096771:716099032:-1 gene:SECCE2Rv1G0112860 transcript:SECCE2Rv1G0112860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENAHVAANGDGLCVAQPARADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTMEGASLTIAMVAAVAAGSDTRVELDESARGRVKESSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAAATRAAMLVRVNTLLQGYSGIRFEILETVATLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRPNSMATAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILSLLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVKNAVKSCVKTVARKTLSTDNNGHLHNARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAEHDVETSVFAKLATFEQELRAVLPKEVEAARSAVESGTAAQQNRIAECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFIAMNQGKHIDALLECLKEWNGEPLPIC >SECCEUnv1G0529830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9252546:9254458:-1 gene:SECCEUnv1G0529830 transcript:SECCEUnv1G0529830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEEELSRLIEPALPSPSIFPDLSLMINADTPPEQPQEELALAYISRMLMDEDIVDKFFCQYPDHPTLLQAEQPFAEILSASSTTSADPQESSALLSSQGNNTGIMVSGFLSSKVQNPTLFLNDTITAEKPSNGTGMAMLSSMAFFNGMEEANRFLPTNNDMVDCSGRKNRLDMDGEMEVCMGRSSKQMVVRMLVHTKSMEEDTELEIDLLILSGYNMYPSEMLKETGHEAPHVNRKAPHVRRSTRQMVVADLETLLIRCAEAVANCLLELIKRHSPPTGDATQRLAYYFAEGLEARLAGKGRQLYHSITMPTPTCTMELLESYHLCMATCCCLKVSLNFSNKNIYNAVAGRKKLHIVHYGVNDGFQWPELLRWLAEREGGPPEVRITGFISPQLGSCPAKQAKEAKRMLGYCAKQFGVPFRFHAIVAKLEDVRAEDIGIDPDEVLIVNNMFHFRTLMDESLTFDTMSPRDLVLNNIKMMKPSMFIHAAVNGAYNSPFFMTRFRQALTNFTTQFDMMETTMPQNRDKRLLVERRVFARSAMNIIACEGADRVERSQNYKEWQTRNQRAGLRQLPLDPDIVKVLKDQVRKQYHKNFLISEDQRWLLLGWKGRVLYALSTWVADDAS >SECCE6Rv1G0411840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611319578:611321062:1 gene:SECCE6Rv1G0411840 transcript:SECCE6Rv1G0411840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASPSLSPGACLTLPPPAPCAAPKPRHRTPPRDVVSWTSAIARPAREGDLPAAAAALSDMLSSPAAPAPNDITLLTVISACAGACSSPLARPLALSLHALAIKLFPGHLLLCTCLARFYLASRLPHHALQLFGSMPVRSVVTYNTMVTGLMRNGLVAAAREVFDGMPDPDKVSWTALIDGCVKNGRHDEAIDCFHAMLLDGVEPDYLTLVAAISACAEVGALGLGMWVHRFVTRQRLEGNIRIANSLIDMYARCGKVEFARQVFDSMRKRTVVSWNSMIVGFAANGRCTDAVEHFEAMRRKGFKPDAVTFTGVLTACSHAGLTDEGLMYYDAMRAEHGIAPRMEHYGCVVDLLGRAGRLDEAMSVVASMPMRPNEVVLGALLAGCRMHGDVDMAEQLMQYLLEQDPGGDSNYVLLSNIYAAVGKWDGAGKVRSLMKSRGVKKRPGRSAVEIDGDVHEFVCGDRSHPQAAEVLDMLGLLSHEMAGHEAVSYE >SECCE2Rv1G0128630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:863573907:863578665:-1 gene:SECCE2Rv1G0128630 transcript:SECCE2Rv1G0128630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os03g0390200)] MDRYEVVRDIGSGNFGVAKLVRDVRTKEHFAVKFIERGHKIDEHVQREIMNHRSLKHPNIIRFKEVVLTPTHLAIVMEYASGGELFQRICNAGRFSEDEGRFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRREYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITRILSVQYSIPDYVRVSMDCIHLLSRIFVGNPQQRITIPEIKNHPWFLKRLPVEMTDEYQRSMQLADMNTPSQSLEEAMAIIQEAQKPGENALGIAGQVACLGSMDLDDIDFDIDDIDVESSGDFVCPL >SECCE5Rv1G0356270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:738441337:738443625:-1 gene:SECCE5Rv1G0356270 transcript:SECCE5Rv1G0356270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative ALA-interacting subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G46150) UniProtKB/Swiss-Prot;Acc:Q67YS6] MDEAATSASAGSAPRGGRPARSGVFYKFTQQDLPAWKPAMTPGYVIAIFLIIGIIFVPVGLICLQASNRVAEIVHRYDIDCVPNAYRSNKQAYIKDSSISKKCIQKVKVQYHMKAPIYVYYELDNFYQNHRRYIKSRSDKQLRYGLKYTDSSCSPIEKSNGLPIVPCGLIAWSLFNDTYDFTRGSMGLMVDRKNIAWRSDREHKYGKDVYPFNFQNGSLIGGGKLDPDIPLSNQEDLIVWMRAAALPQFRKLYGVIEEDIQADETITMHITNNYNTYSFGGKKSLVLTTSTWLGGKNDFLGYAYLITGSSSIFLSILFALIHVKIPRPHGDAAYLSWSRKNGNN >SECCE2Rv1G0125960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844257805:844265596:-1 gene:SECCE2Rv1G0125960 transcript:SECCE2Rv1G0125960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQANLLRLSYLNPSMRRVAVCARVARVWEFNKDRKLAHLDIVFIDEQGNKMYAEIAAAHADKFKPLLQEGNLCLVRDFFVQSAKNMFKAVDGRFMMKVTPWTKIEVQANIPADFPRYAYSLTEFGKLPSLVGKPESFIDVLGIISAISDVLTVRLPGFSTDSVKRNLQIMDLDGRTVDVALWGTHAKNFDGEELYKYGQSESLIVLLVGTLIKSYRGRETISCGEAAKIYINPEIPEKIEYCERLGLQLPSVTWMAAADDQYSIAFKKSEPEEVNIAYLLSTDPNDYLGKNMVSTVTLLRLASEVWWFLSCMACHKKAYAAENEFVCSDSKCNCIAAAPRYKLRIIGADTTGEVEFIFFANAAEQVIGKKIDALTRAASRRPNTVPAEITTLISQKFTLNHSVNEKGLHYGELSFQVNSVTAVHQKANVFEFNQLSSGSNKSILNEVSTSSQSSVPTLKDIAEEHSTGVDTENNKLHQPEISENSNPIQTEEISVHYPSATADKSPPLTMTPETHMSLTKQSPETINDTGTKAKRNSAKRTSTDGKKSTAQKKLNMGAPEENAT >SECCE2Rv1G0092830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:367370122:367374496:1 gene:SECCE2Rv1G0092830 transcript:SECCE2Rv1G0092830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMMRLAQEQMRRMSPDDLARMQQQLMSNPDLIKLASESMKNMRTEDFKRAAQQLNQTRPEEMLDMTEKIANAKPEEFAAMKAQADAQISYELSGAKMLKQQGNELHSHGKYTDAAAKYKLAKENMKSIPSAAGRTLQLQCTLNLMSCYLKLGMFEECVNEGSEVLTYDSSNVKAYYRRGQAYKELGNLQAAVADLRKAHEISPEDETIAEVLRDTDAKLATEGGGTNLPKGVVIEEIVEEDSSELLRTQRSSSTEYIVSQPHEGAGNSRQSDSSESLMNDPATIRSFQYYVSNSDADGLSKLGMQGMSPELVKTASEMIGTMKPEELQKMFQAASSLTGTNPVASNLGPNMPEMSPDMVSMASEMIGKMSPAELQNMMDFASKMGGPGSAPLRPGNGSNIRPSSRAETSSNNLQPSSPQTVVENPDVNNQSMDHSSSSSPVSTADMQETMRNSMKDPATRQMFASMMKNMSPDVMANMSEQFGMKLSKEDAAKAQQAMSSLSPEDLDRMMKWMDRAQQGVEVAKKTKNWLLGRKGLILAIVMLILAFILQRLGFIGR >SECCE1Rv1G0062540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:720277732:720279408:-1 gene:SECCE1Rv1G0062540 transcript:SECCE1Rv1G0062540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G15690) UniProtKB/Swiss-Prot;Acc:Q9ZQE5] MAAAAAAAIHRAAGPMRSAAFASSRSRSLLHLLTPARAISSSHAYHPSAAAVAAAVPSQGHQHPPQQQWGPPPPGPPPPQWSPQGHPPPPPTNNYYQQPHQAPPPPPRNDYQPQRQGPPPPPPRHSYGPPPPARPPPQQQQQLDPIPPWSNHYPQQRQGPPPPPPRHGYGPPPPAPSPQRPQGPPPPEPVAGPEKLIALCREGRAKEAVELLEKGARADAASFYELAGAFSTPKLLEELRKVHDYLLRSPFRADLQVNNRFLEMYGRCGNMTHARRTFDHMPDRDMASWHLMIEGYAGNGLGDAALQLFEEMKRCGMAPTARTFVLVLDACANSEAIEEAFLYLDAMARDHGIEPGMEHYVGIIEVLGKSGHLNEAVEYIEKLPFEPNAMIWESLLNLARMNGDIDLEDRAEELLVSLDPSKANPKKLPTPPPKRRLGINMLDGRNKLGEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKEGKCSCGDYW >SECCE7Rv1G0471840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:134071502:134072701:1 gene:SECCE7Rv1G0471840 transcript:SECCE7Rv1G0471840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSWVLLKRRIFIENDASETGGDSLIGERNRRDAILANLRILKPDARFANPPELSSLRILRPTESIPGLCGEITSAHVASADKNLVALFAGPYRPGSNLRGGYLIYDASKNSLSTIPQPPFDHNRVGVGGGAVVMCLEDGGYVLAELAKVMGPNPTEAVICTWQSSTAKWVVTKVAGFPSELCYPYHLFQVDTCFAFRGSSLCWVDLSKGMVVCDLRTVIQHGAGPEFRFVRLPGECRTYDRGQRERPLSPEEFRNMACVGGSIKFVTMDGYGERPGNAVTMTVWTLSPDLCSWKKGVVYHVRDIWASESHISRGLLQALPSFPVLSVDGDDVVYLTFTDLDVAVQGRVELKGQYLLRVDMQHNQVSHHPKSIEEMPSHPFQSVILASECSAYLLQS >SECCE7Rv1G0512930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:817214340:817217637:-1 gene:SECCE7Rv1G0512930 transcript:SECCE7Rv1G0512930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRYAAKGKKKRKLQDGTASRADDAEELQPRENDEGKGEDVTEGDENVEVAEGIPVLPRPVDGRKRPRAIFVLERACLEVGKVGKTMQILNSDDHAIYLRKQNRNPADYRPDIIYQALLEIFDSPLTKAGRLQAVYVRTEKGVLFEVKPNVRLPRTPKRFCGLMSQLLQKLSITAVGRREKLLNVINNPVTQYLPVGSRKIGLSYSADKSVKLNDYVAECSDDEALVFVVGTMAHGKINNEYTDEYIRISSHPLSAACCLARICTALEQKWNIQ >SECCE4Rv1G0294650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:892346413:892348768:1 gene:SECCE4Rv1G0294650 transcript:SECCE4Rv1G0294650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQLVALLALLLALWRLVWRPRAVARSFARQGIGGPPYTFLAGSLPEAKRLLIAGRRGVPPLDAGCHDIMPILLPQFHRWVADYGTTFLFWIGPIPAIFSIDLQLIKQVLADRTGLYQKDFMIPVLKSLFGNGVILINGDDWKRHRKVVLPAFNYEKIKSMSAVTAEVTRQMMQQWTEKIHQSNSDKKAAEIDMIHAFNDLTAKINGRVAFGTSHRDVEEVIVLMREMQKIATAAMLDAPILWYLPTRRNLYVRRLNKQLRSKIMSIMQARLAADGAKYGRGDTGGCGDDLLGLLLEAWTPNRQGGGGDTLTTDEVIDECKTFFAAGQETTATLLVWAMFLLAVHPQWQDKVREEVLREFPGVDGDDVVPNVDVLAKLKLLHMVLLETSRLYPPIVYIQRKAASDTVLRGIKVPQGTIISIPIGMLHRDKEVWGPDANEFNPIRFEQGVTKAAKDSKALLTFSLGPRVCTGRNFGIVQVQVVMAMILSKFSISLSPEYVHKPKYLLSLTPRLGMPLILRNLL >SECCE2Rv1G0124470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:832900987:832901454:1 gene:SECCE2Rv1G0124470 transcript:SECCE2Rv1G0124470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKESEGVGEFALKLTSLVNEMRALGSKMEDITVVDKLLRAVPDKFLPIVGTIEQWGDVTKMSVMEVIGRLKTYELTLKGRERDQEEEQLMFSRSREKDKQKYRKFDKSKVRCYNCQDYGHYSRECPNPPKEAKKEHGTLQLAEVGMDDDPRLL >SECCEUnv1G0550870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:225015028:225017848:-1 gene:SECCEUnv1G0550870 transcript:SECCEUnv1G0550870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCTSEIVESNEYLDNAPVSGSSVLHINVKTEEKDGRRCGHPVEDELDQLLKAIDSRTYRRALSPGQAGADSLLKRAQRTPRSGSSQIAVTGICSKPVNMKQALRRHCVSHASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLMVQSTDGSLVHDDKKNLIEISITPEKISKNLSRGTSGFSEDCDFETAVGSAVTSMHSASSTSTDIQKVRIQDVISGDPVESESSILQNGKTHKQVSAATDDSSSRIPILIKPITTSRLVKPVFRCQTSSKSKMKEEQPSLGNSSNSTKFCSSKESISHVSTSSSSASSITNPTSSAEGEINPGPEKSDMRSSEWLTLEKGECSQNSKSSISEYGCSTSLSDESHFGLCGYNNRPHMVKDLCWITIRQLALQQGPLGLHNFRLLKRLGCGDIGTVYLAELVDSDCLFALKVMDIEYLISRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRSFPEQSARFYVAEVLLALEYLHMLGVIYRDLKPENILVRDDGHIMLSDFDLSLRCSVSAVLLRSSSVAANHQPKKLTSPCAESYCINSSCLQPSCAQTSCFRPRPWVPKPRKPKSSLKRLPQLVVEPTEARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGRTPFRGPGNDETLANVVSQNLRFPDNPVVSSNAKDLIRGLLVKEPESRLGTLRGAAEIKQHPFFEGLNWALIRSAAPPETRPCDVVTLATVRKKKEGKCLDFRSTEELEFEVF >SECCE2Rv1G0091300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:312923323:312927204:1 gene:SECCE2Rv1G0091300 transcript:SECCE2Rv1G0091300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARVMARAVRRFSVIPAAWLLLLLPVLVSRVTAAAGHDGHVLLEVKSAFAEDPEGVLESWSSSDFCSWAGVTCDPSRLRVAGLNLSGAGLAGPVPGALARLHALEVIDLSSNRLTGPIPPALGRLQRLQLLMLYSNELAGGIPASLGRLAALRVLRLGDNLGLSGPIPKALGELRNLTVIGLASCNLTGAIPGGLGRLAALTALNLQENSLSGPIPADIGAMASLEALALAGNQLTGEIPPELGKLSYLQKLNLGNNSLEGAIPPELGALGELLYLNLMNNRLSGRVPRALAALSRVHTIDLSGNMLTGGLPAELGRLPQLNFFVLADNHLSGRLPGNLCSGNEAGSSSSLEHLLLSTNNFTGEIPDGLSRCRALTQLDLANNSLSGAIPPGLGDLGNLTGLLLNNNSLSGELPPEIFNLTELTSLALYHNELTGQLPDAIGNLKNLQELYLYENQFSGEIPETIGECSSLQMIDLFGNQFNGSIPASIGNLSQLIFLHLRQNELSGLIPSELGDCHQLQVLDLADNALSGEIPATFEKLQSLQQFMMYNNSLSGAVPDGMFECRNITRVNIAHNRLGGSLLPLCGSANLLSFDATNNSFEGGIPAQLGQSSSLQRVRLGSNALSGPIPPSLGGIAALTLLDVSNNGLTGVIPDVLSRCTQLSHIVLNHNRLSGSVPAWLGTLPQLGELTLSANEFTGALPVQLTKCSKLLKLSLDGNQINGTVPPAIGRLASLNVLNLAQNQLSGPIPAAVARLSNLYELNLSQNHLSGAIPPDIGKMQELQSLLDLSSNNLVGIIPASIGSLSKLEDLNLSHNALVGTVPPQLARMSSLVELDLSSNRLDGRLGDEFSRWPQDAFSGNAALCGGHLRGCGSGGRGRSTLHSASIALVSAAVTLTIVLLAIVLVLMAVRRHSGDVNCTVFSSSQGNTNRQLIIKGSARREFRWDAIMEATANLSDQFAVGSGGSGTVYRAELPTGETVAVKRFVHMNSDMLLHDKSFAREVKILGRVRHRHLVKLLGFVSQGDHGGSMLIYEYMEKGSLYDWLHGGGGEGKKRVLSWDARLKVAAGLVQGVEYLHHDCVPRVVHRDIKSSNVLLDADMEAHLGDFGLAKAIAENRNGSKECTESASLFAGSYGYMAPECAYSFKSTEKSDVYSTGIVLMELVTGLLPTDKTFGGDLDMVRWVQSRVDTPSPARDQVFDPALGPLAPRESSSMAEVLEVALRCTRPAPGERPTARQISDLLLHVMLDYYRAGEQKR >SECCE4Rv1G0264380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:720455289:720456722:1 gene:SECCE4Rv1G0264380 transcript:SECCE4Rv1G0264380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSSVKHFRLLYNRSANTHVPQIVTTMALAAGVLKIVAPQPGPIYPNHVLLAIVVLTITTTIYFALRRKTVYLVDYACFRPSFNLRCPKATLLEHAHLSSLLDDSTVNFIGTVLKRSEMSDETYVPPVLQYIEPYCGLYEARAEAESIVFSVIDDLLAKTCINRDAVGVLITTSSIFCPIPSIADMIVNRYKLRSDLRAMNLSGMACSASVAAVGLASNMLQVMPWGSHALIVSTETIGPAHYHGNTRSMQLPNILFRTGGVAKLLSTSRSKARFQLAHVTRIITAANNSAYRCAYQEEDENGILGTRLTKDLMVIAGDALKDNLTASGPLILPTTELLKFFLFDIVGKVLYWRKIGPYMPNFCVAFEHICIHVGGPAVISSIQRGLNLSDKDVEPSRMTLYRFGNQSAASVWYELAYIDAKGRMKKGDKVWMIGFGAGYECNTASWVCIQPSSCLDGPWANCINCYPVDISRKG >SECCE1Rv1G0031760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:446575244:446576132:1 gene:SECCE1Rv1G0031760 transcript:SECCE1Rv1G0031760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTAMGSRIMGGHGAAARAAASALRNRAGMGLPAGRHIVPDKPYEALAWLCGGLSFFAVLGLAAVVNDKASKMPYSVPIVNFRLELQLL >SECCE5Rv1G0353360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:716600469:716604192:1 gene:SECCE5Rv1G0353360 transcript:SECCE5Rv1G0353360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTRAAKIPSLPQQTEINWDNLDMTKLYVVGAGMFSCVTVALYPVSVIKTRMQVASGEAMRRNALATFKNILKVDGVPGLYRGFGTVITGAIPARIIFLTALEKTKATSLKLVEPLQLSESMEAALANGLGGLTASLCSQAVFVPIDVVSQKLMVQGYSGHVKYKGGIDVVQKIMKADGPRGLYRGFGLSVMTYAPSSAVWWASYGFSQRIIWSALGRLNDKEDTPSQLKIVGVQATGGMIAGAVTSCVSTPLDTIKTRLQVNVNKPKASEVVRRLIAEDGWKGFYRGLGPRFFSSSAWGTSMIVCYEYLKRVCAKVEEV >SECCEUnv1G0568120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:422751781:422752041:-1 gene:SECCEUnv1G0568120 transcript:SECCEUnv1G0568120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLLTKAREMCISLLESTLHLLLKQIEMPKQSLVCKAFYKKKAIVCKEEQLQELECSIEDLQNGAGDLFRKLVQNRVSLLNILSS >SECCE5Rv1G0315920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:298561162:298574375:-1 gene:SECCE5Rv1G0315920 transcript:SECCE5Rv1G0315920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSYSLSSSAAGAGGGGAAAATAAGLKTYFKTPEGRYKLQYEKAHSAAVLHYNHGGKTVSQLTVAYLKERSTGQGSTPSTPSSSSGMRSAAARLLGTGNGSRALSFVGGNGVSRAVSGSSRVGGTLGTSTSLGGSQAVANYDGKGAYIIYNSADTLFISDLNSQDKDPLKSIHFSNSNPLCHAFDSEAKEGHDLIIGMGSGDVYSMSLRQQLQEPGRKPVAAQHYNRGDKDGMSISSRCTSVAWVPECEGIFVVSHSDGNLYVYDKCRDGNTDCTFPAIKDPAQLMVSHAKSSKSNPIARWHVCHGSINAISFSPDGTYLATVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSSDGKYLLTGGEDDLVQVWSMDDRKTVAWGEGHNSWVSGVAFDPYWSPPNSDGTGENAVYRFGSVGQDAQLLLWDLAMDEIVVPLRHPSGGSPTFSSGSPSAHWDSACPPTGVLQPSPRMRDVPKLSPLVAHRVHADPLSGVVFSTESIVTICREGLIKIWARPGHSENNQQSSSSELALGNTVSKDRAITSFSKASGSSFKQPSSLGLT >SECCEUnv1G0527420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1221309:1224317:-1 gene:SECCEUnv1G0527420 transcript:SECCEUnv1G0527420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17850) UniProtKB/Swiss-Prot;Acc:F4I933] MAAAVHLHLHNHYLLRPPPRRACLFSAPWRSVPPARLRSSLRPPISHFAVAGTDEPEFVVVTFYKLVPVEDPRAEVAAHLQFLQGRDIHGRIYMNEQGINAQYSGPRKDAMAYADWLRRDHRFSDILVQISPALSGHAFPRLKLRYKQSLVQLEGGSCHLPLVEPSMRASPLTPSEWREKLEARKRLETEAAGDTSERKLLLLDVRNDYEWDIGHFEGAKRPNVDCFRSTSFGLSEEEMDSTDPLHGVDKENTDILMYCTGGIRCDVYSTILREKGFENLYTLKGGVSNYLKSEGSAEWVGNLFVFDDRLSLPPAKFAEAEDAGEEGGADNGELSSRRWLARCYVCGSEVEELRHRNCASIDCNRLYLCCGGCLEELRGCCCRECRGAPRLRPLLPGHQRYDKWHLYRDDASTISS >SECCE1Rv1G0003430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13827506:13831097:-1 gene:SECCE1Rv1G0003430 transcript:SECCE1Rv1G0003430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKYNDSHWKEVELINGYALLVAYVMSAIRGIGYLVVTWTTVVLLGGFVSTIVKDDFWCLALITLIEILWINASFIQRIRKVDHLFLSLKTVNSLLKRNWQLADTADVDDPEPSIIKRVFVAVRVVVSWVLVLVHTLVSYMCIVLVMCLMVFGLFVTTFLSMVGLTMDHDYYGDTDEGMANLDPAHHVLYVLCVAQGALFLYFIILGRSEKRIVKQVSKAYGFQDKGRAIRGYMNKCRSDPSPAGGRNLITYAVHLIESQSSTSCLSGTMILDRLITPQTYTGGHLNEKKKKTKHQQSEKEIFLQQRRVIKHMIGSATSAHILQKLLQTLDSRHTNDRKMREAAARIVEFFASQIRLEQFPQGIQSISSLINTFEEYRRLQPYQSSSPSRSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSNEAANISPSSNINNGQDQEQAISSSLGSEPEPNWSTKLFGGYKELVLTGLRILWCLAASEHNCMIISETKHLVYKIMSPVSSDLVHRTHHSTWSTTVVQKALMVMLRLVTTEGETGAELRRQISGDDKAITTMENIVKCEECKGRSMQMKAMQILTQLCVDKTANRCTFTKMLLSIFIKRDSPIRKAAGKTLVGLFLGSKSVANLLPKEENDEFLGDLAAVLLQAGAGDTDECRTNAAEILEHLCINYTENVEYLGTLKNAITWVMPEVVREIRFGSIEGEGTPEYAISDTDVESQRETKKKKKKNTSSSPRQNQQHKLHVALLSLCVTACDKLHLDFDAILMGEGRNQDTYEGKYVTFHFAMRLVQLNRGLITTDSLTALKLTTRMVIAAMQKLRGHRAGVERDDIESLMESLSSVSKTVMDLESSMVFATGTTTMVPATADTLDSLVKQAQLLHGEIKHQDMEIVPASELAL >SECCE5Rv1G0376750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873902711:873905712:-1 gene:SECCE5Rv1G0376750 transcript:SECCE5Rv1G0376750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKSELRMAMEDLCLLSSGDGEDQEQHKIRSSTMDLLCVSNHLLRVLDAIGPTLLVLRQDIQQNVQTLQYLHARDSLKYASLTAIVIEEVEEGTSKKANSCTRAIIWLARSVNFSIRLLERLVKNQDSSLQEMVEEAYESTLKPFHGWISSAAYKVKHRLDRLKST >SECCE4Rv1G0279900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817697594:817699729:1 gene:SECCE4Rv1G0279900 transcript:SECCE4Rv1G0279900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGMAKFICTEVETIDDYDEYCHYVAGLVGYGLSRLFHATGTEDLAPDHLSNSMGLFLQKTNIIRDYLEDINEIPRCRMFWPREIWSKYVDKLEDLKYEENSEKAVQCLNDMVTNALIHALDCLQYMSALKDNSNFRFCAIPQIMAIGTCAICYNNVKVFRGVVKMRRGKTYLVQVDKLY >SECCE7Rv1G0500320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:665853935:665855450:1 gene:SECCE7Rv1G0500320 transcript:SECCE7Rv1G0500320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELEAGGGGGRVVSLSSESPTADLGRGDLVPADRNMSRRHVSLRLVGGGEPGVAFEVVGRNPVLVRSSVGGDKVYRRGEAGELRAGDGLSLSLKAPSFWTVRGTGGEGEAEAEVDTAVLDAVARREKRTRERKERERERRAAEEAMEVTKEEVMASGIDELDEEVEDLKIDLDNIDPVQEFGFLSMGHEFDNYPKGRIRPPKDWNWFLEEIRKGSDDEDDDDVSNTGRKSRGRSGANKKKKKGEGEDDDEWTDESEDGRDSLLTGTSVKRPKKYVTRSKDPKKPRKENSKTENEDTVDEQDETDEDDEADETLGGFIVGEEDEPMEELSEEEEEDEFDDEDEDD >SECCE1Rv1G0003130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:12505321:12508452:1 gene:SECCE1Rv1G0003130 transcript:SECCE1Rv1G0003130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRPEPTDRSHSEGMPAAAQAPSGGGGGAAWRHLLLLLTALPLALALLAFALQWRGGGVDDAGSRWPARLAVPARSRLQSLHSSAASCVEVLAASAAPTFPYLRGWSFHLDAPDTHHPKVCVQTMTSAGLDQILPWLFYHKVVGVAHFLLFVEGKAAKPSVAGVLESIPGVKVVYRTKELEEQQARSRIWNETWLSGFFYKPCNYELFVKQSLNMEMAIVMARESGMDWIMHLDTDELLYPGGASEYSIRRLLADVPDDVDMVIFPNYESSVERDDIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFITYGNGKSAARIQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRAAFIIASTASEEDMLRWYNERVVWNDKQLNLKLLRKGVLTRIYAPMAIVQGLRESGVFTSVIAAAVDNAKVRLKESVDRNSTDSDHEDERRAFVAANARKILNVVEPAPAYRDDGGASAVPPLSPPGLDDARGEVLARW >SECCE5Rv1G0353690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718762657:718769787:-1 gene:SECCE5Rv1G0353690 transcript:SECCE5Rv1G0353690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPPLATAFRPLAAGSGGTRGGGEGAHAAGRVAPRRGRRGFVVRSVASDREVRGPASTEEELSAVLTSIDSSAIASNIQHHADFTPLFSPEHSSPLKAYHATAKSVFDSLIMNWNATYDYYNKVNAKQAYYLSMEFLQGRALTNAIGNLELTGQYAEALKQLGQNLEDVASQEPDPALGNGGLGRLASCFLDSMATLNYPAWGYGLRYRYGLFKQIITKDGQEEVAENWLEMGNPWEIVRNDVSYPVKFYGKVVEGTDGRKHWIGGENIKAVAHDVPIPGYKTKTTNNLRLWSTTVPSQNFDLGAFNAGDHAKANEAHLNAEKICHVLYPGDESSEGKILRLKQQYTLCSASLQDIISRFESRAGDSLNWQDFPSKVAVQMNDTHPTLCIPELMRILMDVKGLSWTESWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIERIDEELMNTIISKYGTADISLLKQKLKDMRILDNVDLPASVAKLFIKPKEKKGKLLVESLESIAEADEKSESQEEENIQSETTEKKGESDSEEAADAEKEDPEYELDPFAKYDPQLPRVVRMANLCVVGGHSVNGVAEIHSEIVKQDVFNSFYEMWPAKFQNKTNGVTPRRWIRFCNPELSTIISKWIGSDDWILNTDKLAGLKKFADDEDLQSEWRTAKRNNKMKVVSLIRDKTGYVVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAKDRIKSFVPRVCIFGGKAFATYVQAKRIVKFITDVAATVNYDPDIGDLLKVVFVPDYNVSVAETLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAHAPEIAGLRQERAEGKFVPDPRFEEVKEYVRSGVFGTSNYDELMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDEAYRDQKLWTRMSILNTAGSPKFSSDRTIHEYAKDIWDISPVIMP >SECCE4Rv1G0216630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12079331:12080339:-1 gene:SECCE4Rv1G0216630 transcript:SECCE4Rv1G0216630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRLSELLQEQQEPFLVEAAKIRRLRRGRGGGGGGGGGGACCPVAVCQRLLRLCNPGFKKRGGGIGGLRSALSKVLCGKAVRSAFRWENLGGGCFTGVGDREFRRLQRCAGYSGECDARAMEFDDEYEREGAARWKTDMEIDSSRQLSPVSVLELHSDDESPMHSRWEEDEKPSTSGSSPSAPSSDFHGPTSPCFTYNVHDDKALAMETTEEEHEETAGKCVEEQISSWERIAGDIYKIPRMVELDLSEQWRGLQPEVREIGARIETLIFEDIRRETVCDMLASRWSTLFSPTPC >SECCE4Rv1G0255410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:646235155:646235808:1 gene:SECCE4Rv1G0255410 transcript:SECCE4Rv1G0255410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILEQPLEAVAFRIHSLPEAAAAWTWLAAVLAAAAAAGVWRLRSSTPPSSTVITEESSKPLELDTSSLLAPAETERSLGSWRSSEPASSSSSSSSLPALSPRERFTAYYHDSGCVGCCDVESDDEEEEEEEEEDRDPEDDYGVYGPAEMDPFQWEVVRSLPLAATIGTETWRHNGSPRALGGGVVRLWDEGADGRFMTAAAASRRRTGRAGTVASF >SECCE4Rv1G0275070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:791120080:791120700:1 gene:SECCE4Rv1G0275070 transcript:SECCE4Rv1G0275070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMTTTINFSAILFILPFVAITAERNDSGIGVARVTNLMVEACKNASGYSRDLKTVTDEFCLSTLQSDNRSVEAKDHLELVLVAIDILKGRLTTANHNIEKMLEKAKNGTVPMRDLSICKVYYDTTMRIINICDDMVTDFRKDKSRIKSFELPRCVDRAGYPVDQCWLDLEFEMPWADALIKENREIGMVVNLDYAFLAPYDVSY >SECCE5Rv1G0299580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19102306:19104903:1 gene:SECCE5Rv1G0299580 transcript:SECCE5Rv1G0299580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSRAEDITPREVKKRRRIHVATARDDCGASLPYEMIIEVLQWLPVKSVFRFRAVCRSWAALLSSDEFRRLHMSVAKDARRRAPPAKLLYISPTATFDSTAVYSCPFSPSSSSGRPRDRGDLLFTIDGAHGNCVEVVTPSPCRGLTLLYDALGGAFYICNAATRAAARLPASTEERATKSAAGLGFDARTDEYKVVRLIDRLSHQKDRVRCEIYTPGGRFGDRWRPPAGGVPLSLHQFVYAAVANAELNKLPPVFANGCLHWLMRPASFTTTPSVAVVSFSVTEETFTCLRSPPFWVPGAAPIRYGLSGEQLVEMDDQLCLVRDTRNTILYANVLEIWKLPDYSSGDWFLSHRINLSSHLARDLRESQILRVIGCFGINSSSPRKKMVIATSKHKIFDKYQKMVHTYDPRSEALETILSITETHSTPYYGPPSSRFSFIQETLAPVHKTDEEIALSSDLAKVIREILLRLPAKAVVHSKFVCKQWFRLIESENFIQSYFQHKNMDKRPKVMLVVKGTGQLAFSFSPLNKCLQEAPSNSTLLDTKVVCSKPCHGLNLVSTETRDYLCNPCTGFHRGYSLGPSLLQSKAEEHAFTVGNKNVGLTFDPLTHQHVMVAIFYHQKDFKSRQYDLTCTLRWCNSRDSPEQSSVPPLPVNDMPPAYVEGMLYWMSEPRLGRSCEWTIVSFNLATRIFDVVPCPSWFARWNSRNRCRAFVVELEGILCAVLADPMAEKLDVWKLEHGQWGRAFTIHLEAYPDYSLKTSVVVPLAVDRDYGRILLNTGRKIGLYDPVEQAVQNLYSLDQVPVVRSCSPHDMPSTSSANSLTCSKEDSAAEMNRIDSKMIPCVPMLYEESLECYARLASKQLLW >SECCE1Rv1G0002470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9175096:9178120:1 gene:SECCE1Rv1G0002470 transcript:SECCE1Rv1G0002470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDYVVILVLICFLCITFHLIFAESIDVDVNLQTNEKNTTLLRPKSFHLPWRANIMGEGSGIISHYAMWHTEPGQFYGLRADMSIWASPNQETSQESGASLQIYCQDGGNYNLIQVGFHISPSLYHNRDIRFFTYWTKDLKSKGCYNLQCPGFVSASRANLVPGQAMAPPSIYGEQDHYVRLSINKDPNSGDWVVYRHDLQKPSFLGHFPNKLCPGTRRIQALTGFVNYLKNAHGPPMGSGHFPDYDDKKSAYFKHIQNYNPNGHSSDLLGIPMIKLVDRPDCYTANNLFLEYKKGYMFNYGGPSGCVG >SECCE7Rv1G0480310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:268242906:268244412:1 gene:SECCE7Rv1G0480310 transcript:SECCE7Rv1G0480310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRFPAAALAAAVHPFVRSSPAASKAAKPPTAPLDTPRNAGSGAAAGASSGRAEVRDVAAACGMQEDDRVPLAEVVLDCTKRWFQDTLKEARAGDAAMQVLVGQMYRSGYGVNKNEHKSRIWMEKASRYRSTVWKVSHKRPGYNASDSDSDDVKETGK >SECCE3Rv1G0189940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:732983144:732984524:-1 gene:SECCE3Rv1G0189940 transcript:SECCE3Rv1G0189940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPCRNNPWSNPTAAATTSTLHYLLQEKERAQAQAQLQIYHQQGFGYLHQHRRQQAARAGADGGSSGESTPVADALATAFGSGRIVRSAAGRKDRHSKVCTARGLRDRRVRLAAHTAIRFYDVQDRLGYDRPSKAVDWLIRNAKAAIEELPDRPEEAPPTAEPEAAEQMSEQVTSTSSYGFGNPGGTAMTNSFLQHSLGADQVSDNVKSLFPSSSTATASGHDEYRGSPPDLLSRTTSSQPQELCLTLQSNQHNMFSHVSPNHHGIISGAGVPGWPDHGQRMPSSWHASDNNAGEGRGAGGNGDNYMFAGIPARQGLDQGQLFSSNGETLQSSAGWAASARAWLDPLAGIHQPSAMSGQIGFSHLVGGGGGGFMGFLAPSAQRLQLQGEEEEGSDVMRRD >SECCE5Rv1G0301920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34210408:34211277:-1 gene:SECCE5Rv1G0301920 transcript:SECCE5Rv1G0301920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTDFMSKNRHADDQPSQPPAISLASSTHHPNHGLSSEEENLAFSLMMLASGIRDEHPASLDHVTTSSPPLPPHAADVAACVSQVPPVAEATSQTPASVVVVASRQGPTPTQVPSLLPVVTVGPNLVQEQVVPPSQPTGLVIVTQQAPGLALASTRVQPIVATNKASFSMPNIVSTPPIRPCKSPKTVATTAVNQAPQQESQQLLHECPVCRKRFNKSRGLNVHMKSHPDGRLHPCVWCMSTFPNSSALRSHVRRSNTCGGNKPRRLAPIAAAAIAWHTVDLNMPEI >SECCE6Rv1G0432990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:755289864:755290325:-1 gene:SECCE6Rv1G0432990 transcript:SECCE6Rv1G0432990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIFSFGLATGKHAMGSGEHLGSPMPDFPGTPNVKVLDDPDKPFMKPFDMPFDPVHDRKRKRGGLMEEEINVFCSMTEAVKEVATAIKECKPLDVHPDLYGVVMTQGGFSDEALMAALSHLLDNKAQDVGFVAMVDAHRVLWLRTWLGKHYY >SECCE4Rv1G0228650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:137748903:137753804:-1 gene:SECCE4Rv1G0228650 transcript:SECCE4Rv1G0228650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAMYQALPRRGYPLLVLRLQGLRSLSSHAGAPHGGGERWREQQLQQQEESKEVKVSVWWDFQKCQLPPDANPCRVAPRVTAALRAAGIRGPVEITAFGDVFVLPRSVQEVLAATGVAFSHVPASGKDGSDRSFIADLVYWIAQNPPPAHFFLISGDKHFANILHRLRMSNYNILLACPNTEPSILCSAATIMWPWEALIKGEGFTRKHFNQPPDGLSCSWYGNYRGALDDPFQKAEPKHSVNVPLQTKKPAKPPIVSKSAVNGPDYAKFIDSVPGDSQSAVFGEKSFMRMSSRQSIGERKCLIETKNGKPRSSNVPSSPSDILSLEQKKNPVSDDPFLQGESKHSMNVPLQTKKPEETPRIPISVVNCIRRALNSYPEGVNLEDLLSELKENRLFMYNGLYGFKNFSALLQAMPDYVKFIDPLPGDSQPAVVGEKSFDRFPSAESNGEVKCLIETKNEKPPSSKVPSSLSEQRKILIAHAPSSQCGLLSRDQRKAPPVDFIKPSEPPACHMEADMVIAGATPSSEVQGTTSKKGLLERIQILWTGPKTIKPQVHPSHDATFSKGSSDVTSQEGQHTRHLKRAMKNCSTTDNPDVNGPDNSSAGSTSLSNDPSNNCSETDVKGNFANTKNHCGETVEISKAEKIRGSGESNRGIFSWAARWWSSGKSDKQDNQNHDGTRKDLDKGCSFVNSASGQQVGVEMFEKPYFWDALQQYLLTRHGSKLVSEAKARAELARGLQKGCSLLKGLDEKHIHQLVHLLISEKKWIKECRSETFHFQLTLPQRGTCAPLHSCKPEGSSSPLANGSTTISSKLFPARNGNGQASCKGNEYQGNADDFAWEELGPVSSAGDPHLETDKVVRYHPPTASDDEFSDDEDHATDEQAGKDSDAEFSEDENHTASQQARRDPSKSSLVEIIASWETSKDDGSPPRNFKAECSRTNKHYRQCYRPSTKQQQHS >SECCEUnv1G0539940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78019853:78020668:1 gene:SECCEUnv1G0539940 transcript:SECCEUnv1G0539940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSFVLLAALLALVSWQAVASDPGPLQDFCVADMHSPVRLNGFVCKNPMEVNADDFFKAAALDKPRVTNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNLPAPARNKFFSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSDPQISDDVLAKAFQVEKNTIDWLQAQFWENNHN >SECCE1Rv1G0060060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:708611389:708614755:-1 gene:SECCE1Rv1G0060060 transcript:SECCE1Rv1G0060060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAAVGGGGDGDGAGGFVRADQIDLKSLDEQLDRHLARARTMDNPKPKRNQDQPQPRHDWEADPARLVVRGVIARGTFGTVHRGVYDGLDVAVKLLDWGEDGHRSEQEITAIRSAFSQEVSVWHKLDHPNVTKFIGAIMGAGDLNIQTEDGNIGMPSNVCCVIVEYLAGGALKTFLIKNRRRKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARHEAANPSDMTGETGTLGYMAPEVLNGNAYNRKCDVYSYGICLWEVYCCDMPYADLSFSEVTSAVVRQNLRPEIPRCCPSAFANVMKRCWDANPDKRPEMAEVVTMLEAIDTSKGGGMIPVDQERGIGCLSCLRPRRGP >SECCE2Rv1G0107170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:647184391:647192825:-1 gene:SECCE2Rv1G0107170 transcript:SECCE2Rv1G0107170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKSIDDKFSKLHPSLPVDTRIGIVGAGPSGLSAAYALAKLGYCNVTVFEKCQNVSGMCESIDIEGRTYDLGGQVIAANSAPAITHLAKEVGAEFEEMDSHKLALIDSQTGNIRDLEVAEDYVSMVSLTLKLQDEANKLGRVGIYALSGLASEPTPQFLRQHGINSVPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSMWEKLSQSLPFEVLCGTEVLRVRRSSDGASVTIKKDNGDKQVMEFDKIIFSGALAFKNGNTYRSSSLTDGESEVVELNDLERELFSKVQTVDYYTTVVKINGFEHMPKGFYYFGEFMEDPATIGHPVAMQKFFDGTDIFLFWSYGNSADIDGSYVAKCVTDIVSSMGGTAQNVLLQRRFKYFPHVNSEDMKDGFYERFESELQGFQNTYYVGGLLAFELTERNASYSIASVCKHFAIDVEQTVTPYVKRLFPLSRNSNPSPPRDLGELEGVEFPDLPSLDGYLQYWGTHRVTKENVIYTWINEEGKIMNRRTYQELHENASHIAHQLLTSTKPIIKPGDRVILIHLPGLEFIDAFFGCIRAGVIPVPVIPPDPMQMGGQALLKVENVSKVCSAVAILSTSSYHAAVRAGYVKNIVTLAKSQKCSAQWPDLPWIHTESWIKNYRRSPDTFNSDSALSMMNKPQPSDLCFLQFTSGSTGDAKGVMITHGGLIHNVKMMKKRYQSTSKTVLISWLPQYHDMGLIGGIFTALVSGGTSILFSPMTFIRNPLLWLQTISDYHGTHSAGPNFAFELVIRRLEAEKSRVYDLSSMIFLMIAAEPVRQKTVRRFIELTQPLGLSEGVLAPGYGLAENCVYVCCAFGECKPVFIDWQGRVCCGYIEQDDPDIDIRIVDADSLTEHQDGSEGEIWISSPSSGVGYWGNQEMSQKTFCNQLKSHPNKKFTRTGDLGRIIDSKLFITGRIKDLIIVAGRNIYSADVEKTVENSSDVLRPGCCAVVGVPEEVLTQKGISIPDSSDQVGLVVIAEVREGKIVSEEIIDNIKTRVAEEHGVTVASVKLIKPRTISKTTSGKIRRFECMKQFVDNSLSLANGNRPSKRSLFRSLTTGTGMERRRSFLKQTVDPTIHPQSESKVKNSKQITEFLTQLVSDQSGIPKDKISPTGSLPSYGFDSIAVVRAAQKLSDYLGIPVGAIDIFAASCISELASFLENLLSKSQPKLTPHPNSQGKSSREIIEFLTQIVSDQSGIPKDKISPTGSLPSYGFDSIAVVRAAQKLSDFLGTPVGAIDIFAASCISELASFLENLLSKSQPLLASESCSTEDESLVDVSADFSVLATGILQLLALIYVCFMLLLPAYLASLAYMSVLYPVSLVEVSVMTYLGSLVMAPIAWICYVLLTSLSLSILGKSLLQPNYGLTPDVSIWSVDFVKWWALNKAQGFAAKMLAVHLKGTIFLNYWFKMQGARIGSSVVIDTVDITDPSLLVVANGAVIAEGVLIQGHEVRNEVLSFRRVRIGREASIGPYAVLQKGTVVDDGDMIPPLQKTEPGKSMYQNKRTSADMKEETGKATMLLEHLVCIYAVALLGALSSATVYTLYIHFSGTEASPQHFSFACIAGAFHWLPAALAAYPVIVRETPTSALTFALSIAFAYLSYGVILSLLTSMVNTALATRAGTKQNHMTSLIQRRINISVHIRFAKMLSGTEAFCMYLRLLGAKIGRHCSIRSIDPVANPELINIGDGVHLGDFCHIVPGFYGKGFTSAEIKIQENTIIGSGSLLLPGSILQGNVILGALSVAPENSLLQRGGIYVGAQSLTMVKNTLLAEDERIEMMDPMYKKIVGNLSANLAITTMNVKSRYFHRIGVSGRGILKMYEDIPSLPKHKIFGAGKAFPVIVRHSNSLSADDDARLDARGAAVRILSDDGEVPLLDLTLKSGKAFYARTIADFATWLVCGLPAREQHVKRAPHVRDAVWGSLRDTNSYTELHYYSNICRLLRFDEGKEMYAKFKLRPVDQDIPEESGQVVPRGILPPETGAIPRDESDTRPLLFLADDFRRRVEVPDGVRYVFQLQLREVPSDDATCDVALDCTRPWDEDEFPYIDIGEISIDNNLPAEETEKLEFNPFLRCQEVDVIPASSCKQSASIDHGRSLVYEICQRLRNGEPLPASWAAFLEQSDTKINLSGCPVAAAMHTRPDTANASETKVTLARTWYKALWATLCQPLLQTLVPYFVLGLVIYLPFRGLLAVAGATGTPLYWLLPVFWAMSGLAAMVTCAAAKWVLVGVRGEGDAVHIWAPQVFLDTVWQAIRTATAEYFAELTCGSVLFAAWMRTMGSSVAVADGVYVDSMGALLNPEMVHLERGASVGHDALLFGHVYEGEAGKVKFGRVHVGEDGFVGSRAVAMPGVKVEDGGYLGALCLAMKEEIVRHKL >SECCE1Rv1G0044820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:605837904:605838570:-1 gene:SECCE1Rv1G0044820 transcript:SECCE1Rv1G0044820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVALSSFAVVGRSAARSPVAAPRRRTLVVRAQTEPGMESTKETTSASTSSSSPSTSATPTPIPAAPKPRTKKANPSVWDALAFSGPAPERINGRLAMVGFVAALSVEAARGGGLLDQAGSGAGLGWFLVTAGLFSVASLVPLLQGQSVESKSSGFWSADAELWNGRFAMLGLVALAATEFITGAPFVNI >SECCE3Rv1G0189470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:726451348:726451728:1 gene:SECCE3Rv1G0189470 transcript:SECCE3Rv1G0189470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGATEPSLSAPPHRPVGMSACLPSPSWSPPGPGGRHRASVVVRRLQVAARDAIPGVGWCGHRAWRKLLRRLAQETRCICSSPTPPSRPITFGYDAASYAKNFDDGRPHAPHALPCAAADKPARR >SECCE3Rv1G0180000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:558287883:558288755:-1 gene:SECCE3Rv1G0180000 transcript:SECCE3Rv1G0180000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERKGGCCLAPRYAAGAGQGWHMGMAMLKFRPIAPKPAAMAPAPVTPVPAGKGKRKAVAGPGRRGRKPKKPATVAAPTTQKLDYVQDKPKPLSSPSSSSSGMTSVDSSPPPPLPATLPLMPALPAEEGFGGAAPVANLAPAHVARLVLPPRALRQVVSWVTVEDVTGIWRHGEAPYAAACGGDEAPTFVSDQCGRVTWTNVAFNRAVSGREDADAAASEVRVVLAAKDGAPVPAWGSCAGFTCRVRVPYACPRRGSLVAPCDVWRLDAGGYLWRLDLQATLSLSLGGFI >SECCE3Rv1G0198560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:833444732:833447972:1 gene:SECCE3Rv1G0198560 transcript:SECCE3Rv1G0198560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLWLALGLLLVASSVADAATANYTFTVQSMKINQLCNSTDIIAVNGQLPGPTIDVFEGDEVVVDVINASPYNLTIHWHGILQKLTPWADGPSMVTQCPIQPNGSYMYRFNVTGHEGTLWWHAHSSFLRATVYGPLIIRPRNGTAYPFPAPDQEVPVILGEWWSQNVVDVEKDAVMAGQLPSHSDAFTVNGLTGELYQCANETFTAVVQPNTTVLLRVINAALNTHLFFKVAGHNFTVVAVDACYTANYTTETLVLAPGNTVDALVYTGAAPGSYYMAVEPHHTLSPAATTDTSDGGSVATGILLYNGTSATATPAMPTMPNNSDSTTANDFYFGLRGVPAAVPSPVDVNMTIQLGLGQLPCDAAQTNCSVNSFAAAMNGVSFRLPTQMSLLEAQFGNASGVYTADFPDGVPPNGTAMVEGTKVRSLPYNSTVEIVLQNPLAFPSENHPIHLHGFNFFVLAQGLGTFTPGNTTAYNLVDPVARNTIAVPNGGWAVIRFVANNPGMWFFHCHLDAHVPMGLGMVFAVENGTTADSMLPPPPADLPMC >SECCEUnv1G0539520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75949076:75950557:-1 gene:SECCEUnv1G0539520 transcript:SECCEUnv1G0539520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAAVVIVVIVVQVAVLWPFASAQLRADYYAGVCPNLEGIVRSSVKQSMVKSPVSAPATLRLFFHDCAVTGCDASVMIVGSTGDNGNADDYSLKPEGFQTILDAKAAVDNDPQCRYKVSCADIIALATRESVSQSGGPNYTAELGRYDGRKSTDKSVKLPHPGDNLDSLNAFFSTLGLSQTDMIALSGGHTLGAADCGFFKHRTGGNDQSMNPSFDAQLQGTCAKQNFASLDDMTPIGFDNFYYRNLQTGRGLLGSDQVLYTDERSRGTVDFYAANQGTFFSDFVVAMTKLGRVGVKTAVDGEIRHDCRYPN >SECCE1Rv1G0029420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:414161979:414162443:-1 gene:SECCE1Rv1G0029420 transcript:SECCE1Rv1G0029420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAAKKPAEEEPATEKAEKAPAGKKPKAEKRLPAGKTASKEGGGEKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE3Rv1G0207060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:914734794:914735561:1 gene:SECCE3Rv1G0207060 transcript:SECCE3Rv1G0207060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAACGRPKFTDLTAQNLKILCNELELRVPWHGNIIPGISSTVLRCRSGVRRRRTGDKSSSSSLSTTTWLLFGGRDGGGKMVVARELARLVFGSHADFTTLQGNPDIPARSGKLALKRQRSPENDGGIGVRLFEAILENPHRVILIDGIDRLDRDSEMCIKDAIVGGTMVGGCNGDVVGLEDAIIVLSSDVLDFASSPRMKRNCEEGDATKMEVRSRHRLSWDLNVCAISGEEEEDGLADDERILNDVDGVFLFN >SECCE7Rv1G0481240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:281930542:281931516:1 gene:SECCE7Rv1G0481240 transcript:SECCE7Rv1G0481240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHEARKFVEEVRGLEKNWMLDLGHPLLNRVADSFVKAAGIGAIQAVTRDSYFMAIEGEFGDTGAVSGATGSRKRTFPDLNGGTSNSKSAEAMVKSVSKESFQWGLAAGMHSGLTYGLAEARGTHDWKNSAVAGAVTGAAVALTSDNASHERIVQCAITGAALSAAANVLSDII >SECCE6Rv1G0418890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:665094053:665102104:1 gene:SECCE6Rv1G0418890 transcript:SECCE6Rv1G0418890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPTATEQHTRKAVGLAARDASGHLSPLTITRRSTGDDDVVIKILYCGICHSDLHTIKNDWKNAKYPMVPGHEIAGEVNEVGANVTKFKTGDRVGVGCMVNSCQSCESCNKGFENHCLGIIATYNSADLDGTITYGGYSNMVVVHERFVVRFPDTIPLDKGAPLLCAGITVYSPMKYHGLNVPGMHLGVLGLGGLGHVAVKFGKALGMKVTVISSSQGKKQEALERLGADAFIVSKKDEEMKAAISTMDGIINTVSANIPMAPLLGLLKPNGKMIMVGLPEKPMEIAPFALIATNKTLAGSLIGGMRDTQEMLDLAAKHNVTADIEVIGAEYVNTAMERLAKADVRYRFVIDIGNTLDKAAPAATTTE >SECCE2Rv1G0134410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901379525:901380040:-1 gene:SECCE2Rv1G0134410 transcript:SECCE2Rv1G0134410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRTILLAVAAMAILSTASAAIYNVGEPGGAWDLSTNYGTWASSRNFHPNDQIVFKYSPQAHDVLEVSKVDYDSCSTTSPIATLNSGNDVISLNATGTRYFLCGFPGHCTGGMKVKIDVVSSRSSSSPAPASGPSASNAPPPVPVSAATSMGAIGFGLTILLAVAGLVA >SECCE2Rv1G0105190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:615845301:615850160:1 gene:SECCE2Rv1G0105190 transcript:SECCE2Rv1G0105190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLELEKVQRVLSLMGSRGLSDSDGSGGGDRFLAHFLLFLAQSFDSLAIEKKVVLISELLREVNSDTLKEVQRLASLEGTNREDERLGRYILSSHPTKKFKADAEKLTIQDAPMIGFDAMMRANSTLEDFCRSYFIFHDLDVNSPHSVFKFLPFLSLTESYIYQLDASNEDSLQLVPDNNSSSTVLKRKIQGSSQMSLSDMLDPLVNLLQCQGLMTDQLRNELKSGIQYWSLERKLCQAISRNDKISIEDVMEAIHLKSFDYRVLNLMMYRLTGQQVNYLHMEFLSVSEFLVEICDDLYDYEDDVVNNTFNILRMFAAIYGPLDAPKMLAKCIGEAEEKYESFSKKLDPSISRCYWRRCEEATKEGGKISGHAYGTWNIPPLIRDEESFRLDRLNRGDTSAMAIR >SECCE6Rv1G0407250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555422277:555423197:-1 gene:SECCE6Rv1G0407250 transcript:SECCE6Rv1G0407250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSLSHHLLLPLAGDDDPASSSAAASTLTFPSFWPPFPALLSDSDSDAPFFPPRHMDRCVAARQETAAAFLGLDFHDEDYGEDWAAPDEAGLPLCWDCLQLEEHDAHQRWDLGLSDADEWEQVAVRGQEEAEPPAARSLEWEVLLAANSLGSLMIDEDVDVGGGGIETYFLDGGADDEDMLFGQLAAEAEHEPPAKGGRAAAKAAVEGLPTVVVAEAKGGAQCAVCKDGIEAGEGARRLPCAHLYHDACILPWLAIRNTCPLCRHELPTDDPEYEKWRARRAAGDGDRYGIVTRQAVLTLLNDD >SECCE5Rv1G0316140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:309720364:309724504:1 gene:SECCE5Rv1G0316140 transcript:SECCE5Rv1G0316140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQYCLAKVLFDGSLLERWFCDECLPKLGEVTYEGSLQKAPKHACSGSAVRRASTTSVRLTREAGPCRNHKSKSEKSNSKSRRKKGSSSKNSLLRKHRQKVSDMQPMGNKTITINCNAHNTSCASEKALHSCEVIEKALHSCEVMTTETSEGSKEENQQVDHVHSVHKLNSDCVASCLPARVKRINLQNPLNEFEPPLGDTRQLNPQKDSDCLSSGNVENENPKGSHVTPVVARENQSVPSTLLDRVDSGSFPGTSLKEIVLLEDSNTSAEHFYTVENCVEDNQGKQIHLIILDDDEEEAVDVQSEDYNRQLLECDGSLTKRRIGMEDCVQQAVQNGDLNGQNLNAVHVDILIPESSEITQPVKKRRRNIEGGENNKDGEVLIGTSNRKCALDDVSKLTSETLVATDPVLPSRMAFDSEFINQQCSMYSHEPIWSGILKINNEVYISLTAHLSTKACGFVWELSRSLQPVVKVIKLPQLEAWPQSWRTSRPTDGHIALYFFPPSASTNEESDGPVEEIIDSGDVLQAVIGVAELLILPSTMLPAQYHLCQGKRYLWGMFKRREDESDKDHLVEEQDGSACAKEGEIQEHNFMDRQYEVQCESPDHETSAVKHVVHVENQLLVEKNCEGQEATVNAMMGLHSPGNNSSSAEPNPPKARSNCFMEPRADSKLDVPEEVDHQDEQNFTKPSADSAGPAAATPRSIDSAECGVVPTQLFGFVTARTPRAQKLIQEMVSEGALLFSVAEEIATGGPAVAGSTGAQVHLAPDSASPPMQECSQPIGFVPLDDDVASEACLDLFPVRQEHIGWTPGVETSKEVDLDLSLSARSLASSGSLL >SECCE4Rv1G0294620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:891890001:891890836:-1 gene:SECCE4Rv1G0294620 transcript:SECCE4Rv1G0294620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDQHMATDELMETEGLKVLAVDDDPIYLHSLTQMLRRYGYEVTAKPSPAEAVREVEKNPDGTDFIMTVVQTRGRGMDGLGLLKRLGERYPVVLVFSGDESMETRRRGNEGGACYLLEKPLRDAQIHYIWQHVVRWRRNAAAAATANADPRHSQGIHLDDTPRKRGQGVNDPNKGKGGSEGGLQLGTTKKKKVEWTLEMHELFVNAVTQLKTTQGA >SECCE2Rv1G0138250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920998149:921000085:-1 gene:SECCE2Rv1G0138250 transcript:SECCE2Rv1G0138250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAPCAARRSFRDSLKALEADIQHANTLASECSRDYDGACLQMRMSYSPAARLFLFLLQWTDCSLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIFPSLMQLEHGISDSDDRRQRAACSERYRRRDEPAEDSKRPVSEIDAEIAEECGICMELNSRVVLPNCSHDMCIKCYRQWRTRSQSCPFCRDSLKRVNSGDLWMLTDHRDVVDMATVTRENIRRLFAYIEKLPLVTLDSIFDAYDSHRMFLVLGCG >SECCE5Rv1G0358580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756205322:756206392:1 gene:SECCE5Rv1G0358580 transcript:SECCE5Rv1G0358580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEECKISAAIVAEEKWCVLKIDRYSRAKALLKNGQGLTSPPFSVGGRDWAVEFYPNGYPEDCSDFISVYLFHQPADADVKAKYTFSVLDKDGEPVPSYSCTSGSDPATFSSQLFYYGSAEFIRKADLERSVHLRDDCLTIRCDVTVMKEKRDEEEAGVPPSDLHQHLGDLLENKDAADLAFQVGGETFSAHRCVLAARSSVFKAELLGSMEESSAASPIEIRDMEPDVFDSLLHFIYTDSLPVVLDVVMAGHLLVAADRYNIGRLKLICEEKLCGHIDSDMVATSLALAEQHGFHALKKACLQFLAFPSNLEAMMASDGYEHLKSSCPSVFKELIAEILPAELKAAKDIIMTMWK >SECCE7Rv1G0512150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:807166125:807167380:-1 gene:SECCE7Rv1G0512150 transcript:SECCE7Rv1G0512150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNGHVLPGTLFLAVGLWRVWSAVARFAADPPAFRVRAWCPLELPRAPRLLELYVVAGGTFLDMCLELGGGVLAGRGDGVAPESSLIYLEHAGMLLMFFLFGALALLSQNQKCSRYLPLTDGELCLVAATAFTSEFLLFSYHSATHTGLEGYYHHLLVILIGLCILATVLGVLLPASFPVDVAAGMLIALQGLWFYQTALTLYGPMLPDGCDRHANGHQVDCHSRAAEERAEQLANFQLFGAVFLAFVYLLGCYAVAAAMYGHPDLPAMHDEHVAALECRGGGGACADECVL >SECCE5Rv1G0314550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:271601324:271620716:1 gene:SECCE5Rv1G0314550 transcript:SECCE5Rv1G0314550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQEAAKLRHQIQMLQSTNKHLLGDSVGNLSLKELKQLESRLEKGIAKIRARKNELLSCEINYMVKREIELQSDNIDLRTKIAEEEQQLQQVTIARPSAAPELNPFTALDMKCFFPASLFEATVQAHAQAQAQAEAQAQASLQLNLGYQQLAPPGAGDVAHQF >SECCE5Rv1G0300660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27548369:27549151:1 gene:SECCE5Rv1G0300660 transcript:SECCE5Rv1G0300660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDELKLLGTWASPWVSRVKLALHLKGLSYEYLEQDLDNKSDLLLMSNPVHKKVPVLIHNGKPICESVVILEYIDEAYVSTGPSLLPTDPYEHAIARFWVDYIEQKLVIPWKVAFTANGEEEETEGIKQMLAAVHTLEGALKECSKGKPFFGGDSVGYVDIALGGLLAFLQGTEELCGTEPFDGANTPLLLAWVERFTALDAAKVALPDVSKLVEFAKTRRAQMALSIKK >SECCE2Rv1G0120030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:796091892:796094501:1 gene:SECCE2Rv1G0120030 transcript:SECCE2Rv1G0120030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPLHLLLPRPRLPLPAPLPNHGLPGPRLRLSLHHRKPKAKQLAFSRPPNGQSDAPGRGAWEWARGASAAAALALHLAACSLLILFPTPAARACAQPPPPPAAVEAKEQEEGDEEWEAALQQWKSKTYALSVPLRVVALRGSFPPAWIKDFIEAQGKRLKFSPEFRPSIDALFSELSKCVDKGQVQPKSAMAADVVSIGDSWLGYAIRKGLVEPISNAEEQDWYRGLSDRWKVHLCRNQNGEADSNGSVWGVPYRWGTVVIAYKKSKFKQHNLKPIQDWDDLWRPELAGKISMIDSPREVIGAVLKQLGSSYNTIDMETEVDGGREAVLNSFTQLQKQVQLFDSMNYLKSFGVGDVWVTVGWSSDVIPAAKRMSNVAVVVPKSGSSLWADLWAIPCATRFQTDKIGGRTRGPSPLIHQWFDFCLQSARSLPFRQEIIPGASPLFLENPAPEVLQDRNKKKPKLESNLVRGVPPPEILEKCEFLEPLSDKAVGDYQWLMSRVQRPRGGLLGNVLQKISAVLGLKSRS >SECCE4Rv1G0221670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:51532578:51533273:-1 gene:SECCE4Rv1G0221670 transcript:SECCE4Rv1G0221670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVVPVEDGGCGGGGGAKKEEAACQSCRVAWSAWLCRLGRCLLASTLHPCHAALGLSPPAPCSASSPAAVIRGTLFLPSTGDRRVRLFLHEPADSAAPAPILVLDLPAGLVGADIAAAGRIVLECQREWAGSGGALLESPKWMVYCNGSRVGFAARRETMSDGEGWAMEKLWAVTAGAGRLPGGGVEYLRGQFERIVGSADAESFHLVEPLGWLGVHGDGGLSIFFHRI >SECCE5Rv1G0298780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14059700:14067727:-1 gene:SECCE5Rv1G0298780 transcript:SECCE5Rv1G0298780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHYQATTLIASPSYPDAITWSSDNLVAVASGHIVTILNPAALDGPRGLVVLRPRDPFPIGVVNREDLFEPSLVPTSLARDTEPCARSVSWSQQGFAPNSGCLLAVCTVDGHVNLYRPPVYEFCDDWVKVADISQLLFNYYQNINFGEDDARSFPQEKANIDHAPQEKLNNKHTLDTGYAGELQEPLSRRGPGRRKRKPVRVEGYVYDDDDGDDTSKDADFSLNPCPTFAKIPMKKIDMPVHEMAVVIAQAGSQNTKEAFSCNGENKLPLITAKQYSRRNALLSSLVVAWSPVLPSHNAISHWCILAVGSKSGDVSFWKIYKPDHYTIDVCPVTKDPILVGVLQAHNSSVCAMSWKVSCSRSSKSSLLLATGCSDGSVKIWSGDIEGLNQCTHAKEVSFSSVAEVTTNSSAPVSSISLSTPAQPRHEVNLAVGRVSGSLETWILDLCSNKFENSSECHAHDRVVTGLSWGLDGHCLYSCSQDNSARCWIFEKNQLEEVPVHTNFPELKESIDLSVVSHQCFGLTLAPGEVMIAVVRSLDPNMLDQMYQARTQKAVVEFIWIGGQFLGIPLNKDIYISNKQSAKSSETNFLWWGSNILWSLKNYEKCETGLVLWDVIAALQVLMKSAPAFLETLMHKWVSDLFSDDQQRVSIDTLCQCRKDMMSNVSSRKLHLLNIICRKVMLRDPAGENGNSTSTDLWSSLLLSSERELRERLVAFTFAAVLNRMSYIRKGACAENMWLPVGVAQMRSWVSMNSGGVHNQLRSLSSTIKRLGSRIKSVCEYSAEETCAYCSAPVHFESPDIALCGSVDPAIVPTERHKLSRCAASMRLCSVLEPTWYCVCCGGMVDKLVPESYFAMKTSPLLGAANPDDESSLYSAPAVARCPFCGILLQRLMPEFLLSVSPV >SECCE1Rv1G0030040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:423127933:423136875:1 gene:SECCE1Rv1G0030040 transcript:SECCE1Rv1G0030040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVGRDHLFAALGRVYTQDEFEALCFDFGIELDDVTTEKAIIRKEKHLEEDVEGDGDDEVIYKIEVAANRYDLLCLEGLARSLRIFTGSEATPIFKIASIPHGSMLQMHVRPQTSQIRPYVVCAVLRGVTFDEVRYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLQGPFSYEALPPQEINFIPLKQEQEFRADALMDFYRSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLKTRNVFIECTATDLTKANIVLNTMVAMFSEYCENKFEVEPVEVVSYDGSTAIYPDLSYYKMEVSLSDIVGPIGISLDETQVISLLNKMQLQAELCSSNGEPCISVSVPPTRSDVLHARDLAEDVAIAYGYNNVPKSKPKSMTIGGRQPLNRFSDKIRAEVARAGYMEVLTFVLTSHEENFDMLNRTDDGNKAVIIANPRTSEFEVVRSSLMSCLLKTLKHNIDHPRPIKIFEVGDVVSLDTSRDVGASNNRRLAALYCNSNSGFEEIMGLVDRIVKIVRAPHINFGQTYYVPSSEPEFFTKRQCKIVMSDGKQVGYLGIVHAEVLRKFGIPDPCTFVEIDIEALL >SECCE6Rv1G0442100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814206002:814207180:1 gene:SECCE6Rv1G0442100 transcript:SECCE6Rv1G0442100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTGVSIVDGEQCCCEASAVVDAGGAGSGYHLLVVRGYSRTKQELSAGESITSDAFTVGGHCWYIEYYPNGQNPDCGDFISLYVTNYDDSLKEPLEAKIGFSFIDEVEKQTPMYIRAAGKACRFTDGHCSWGTDKFVRRDTLERSSDLKGDCFTIRCDVMVAREDPKAEDAGGHDTKVLLSDIDQHFSILLQTKVGADVTFKVSGETFAAHRCVLAARSMVFMAQLFGPMKETSAVIQIKDMEAKVFKALLSFIYTDSFPVMEKDSMEEDAMVEVMEDGQEREAVEDEMLLQWLQDLLVAADRYDVQRLKCICEKQLSENIGVSTVMSALALAEWHHCQGLKEACLKFIQVQSPSCLQTVMATNGWDHVVSTYPSVLKELFLKFASNQRK >SECCE3Rv1G0173730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:358929641:358936532:-1 gene:SECCE3Rv1G0173730 transcript:SECCE3Rv1G0173730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNNEAPPGCLKTNISQYNPQEHRGGIEGFPENNEKQNDSIAADKVWGASPLPNQGFSRPFYRQEFYAWPHVYSDYRILRQPQPYGFDNQFYQINRDNSFPIERRVQFPLKMLPQGYPPDAQLQEFQYFVVIDFEATCDKENNPHPQEIIEFPSVLVNSATGQLEASFQTYVRPAYHQNLTDFCKELTGIQQIQVDRGVPLSEALLMHDKWLEDKGIKHKNFAVVTWSNWDCRVMLESECRFKRIRKPPYFNRWINLKVPFQEVYGGVRCNLKDAVQLAGLTWEGRAHCGLDDARNTARLLALLMHRGFKFSITNSLVWQQPAPQAITCQPFPSPDRSPDLTLLQQHKAKEIWGPHLHAHPYAGKAAGQVCFCGAPSRPNTVRKPGPMQGRHFYGCGNWTVTRRAICTFFAWAS >SECCE1Rv1G0053260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:668130375:668131601:1 gene:SECCE1Rv1G0053260 transcript:SECCE1Rv1G0053260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSSLVVVDDVSSGASTGKKASPAPAAPATKPLQRVGSGASAVMDAPEPGAEAESGRGGRLPSSKYKGVVPQPNGRWGAQIYERHQRVWLGTFTGEAEAARAYDAAAQRFRGRDAVTNFRPLAESDPGDAAELRFLAARSKAEVVDMLRKHIYPDELAQHKRAFLASAASSATSSSSDPASSAPSAAAARREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPSAGTAVSGECKSVLLNFDDATGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDAVGFYRSASGNNQLFIDCKLRSKTSTTTATFLNAAAAPSPAPVKRTVRLFGVDLLTAPAPEKEEYGMAKTHKRSMDASVAAPTPANAVLKKRCVDFALTSPQCPRSRDQLEGVQAAGCTFAL >SECCE7Rv1G0463110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:51124279:51128913:-1 gene:SECCE7Rv1G0463110 transcript:SECCE7Rv1G0463110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPGMAPGGQGGADDEVVQSYRPLKATSEGVWQGDNPLRFSLPLLILQICLVLAVTRGLAFALRPLRQPRVIAEIIGGVLLGPSALGRSKVFLNNVFPKESLMVLDTLAAIGLLFFLFLVGLELDPASLRRTGRRALAIAVAGMSVPFALGVGSSLVLRAAIAPDAPRLPFIVFMGVALSITAFPVLARILAELKLLTTEIGRMAMSAAAVNDITAWILLALAIALSGNGSPFVSIYVLLSGAAFVGLATLLVRPLLLYMARLSPEGEPVKESFVCGAMAIVLAAGLATDTIGIHALFGAFVIGVLVPKEGALAGALTEKIEDIVSSLFLPLYFVSSGLKTNVATISGAKSWGLLLLVIMTACVGKIGGTVLTSLLMRVPVREAVALGLMMNTKGLVELIVLNIGRDRKVLNEEAFAILVLMALVTTFLTTPAVTAVYKPARRQASYTHRTMERDDADADSELRVLACFHASRGIPTLINLVEASRGTRRSKLTMYAMHLVELSERPSAITMVQRARRNGLPFSGQLGRKVAGGEEVQVAFEAFQRLSAVTVKPMTAISDLATLHEDIVNSAVQKRAAVIVLPFHKILCHDGTMEACVDRAYHHVNVRVLRKAPCTVAILVDRALGGMAQVSAPDVCYTVLLLFFGGPDDREALAYAARMAEHPGIVLTVARFSAAAAAAAINPRSAADDELADADEEAIQKHVVLSASVSYEEVVARERLEVAAAIKAFGRGKNLVVTGRSAQAIPLMEKTDCPELGPVGSYLATTDFSATASVLVVQRYDRRNDPTSAPAQSAEDEEAEDTVVRAPMPSPAYTPIRTSPGEQNKPDGGGVGT >SECCE3Rv1G0193630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:773184010:773187454:1 gene:SECCE3Rv1G0193630 transcript:SECCE3Rv1G0193630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAAGGGGGDHARSKEAAGMMALHEALRNVCLNSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRMAECLEDMDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNIANYWQSSFDALPTEWTDQFASGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRGASSTPSFPLKQPPPARPPPQLFNWPGQPQLPHAAASPLFPPGPAAFHPSARSSMPHYPGGGGNKDESHMYHLAPSQHGKPPHMDEHHQHQQQMQPGETPDGDLKWPNGLSFFTALTGRSDDSKLLYGGPGGGGGGGGGGGGADDGKPAQDTQTGHGGAENVEEYLSLESHSNKARRMENTQSAKFKRSFTLPARMSSSNSPSPSVSASTGPAPQQQQQQGMEYRAQHEGSVYSDLMETFLE >SECCE6Rv1G0403700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:508964104:508965270:-1 gene:SECCE6Rv1G0403700 transcript:SECCE6Rv1G0403700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTEKEREKQELLGRAGAGAAFQRSPTDKPPFTLGQIKMAIPPHCFQRSLIKSSSYLVHDLVIVGALLYAALVWIPALPSMLRLGAWPLYWVAQGCVMFGVWVIAHECGHHAFSDYPLLDDIVGLVLHSWLLVPYFSWKHSHRRHHSNTGSLERDEVFVPRPKEALPWYTPYVHDNPVVRVVLIIVQLTLGWYMYLSLNTWGRPYPRFACHFDPYSPIFNDRERAQIFISDVGVLAVSFAMLKLVSTFGFWWVMRVYGAPLMIVNAWLVLVTYLHHTHPALPHYDSTEWDWLRGALATMDRDYGSILNRVFHNITDTHIAHHLFSNIPHYHAMEATKAIKPILGEYYQIDRTPLAKATWREAKECLYIEREDSKGIFWYSNKF >SECCE5Rv1G0318070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:357765886:357770010:1 gene:SECCE5Rv1G0318070 transcript:SECCE5Rv1G0318070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRDAPVPAAMPDLVRDHLYFGGINDAIAALTASLPDGTDITHVLSVVSSTSISFFTDYRPGLSLPAEEVRRVVAGEDGTPSALAPGRLMRVVERTGEGLRVTRMAVPLKDTEEENLLDHLEPCLDFIDEGRKAGSVLVHCFAGVSRSATIITAYLMRTEQKSLEEALESLKEINESVCPNDGFLDQLKLFEEMGFKVDTSSPLYRRFRLKLLGQSYKVGEKIGDHVFEDDPGVARQPNPNLESSGKEKTLKTAYRCKKCRRIVAAQDNVIGHTPGEGNSSFAWHDKRKGHTYNKEQDCSSLYVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDVSTI >SECCE6Rv1G0399620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:442502836:442505210:1 gene:SECCE6Rv1G0399620 transcript:SECCE6Rv1G0399620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMAVDKQRLLPLFVLALVTPACLASGVEEPARFQIPRDGSVVELDEGNFEAAVAAIDFLFVDFHAPWCGHCKRLSPQLDEAAPVLAGLSTPIVVAKVNAEKYKKLGSKYGVDGFPTLMLFDHGVPTEYTGSRKAGQLVESLRKLVAPDVSVLMSDAAIKSFVQEAGVGFPLFIGFGVDESSIAEYGARYKKKAWFSTAKDFSEDLMAVYDFDKIPALVSLNPKYNEQSVFYGPFEGTFLEDFIRQSLLPITVPINAETVKMIKDDDRKVVLAVLQDDSDETSMQLIKVLRSAANANHDLVFGYVGVNQWEEFTEPFHDSKSSQLPKLVVWDKDEEYEVVEGLESLEEGDHGSQISRFLEAYRAGRTIKKTLGRGSPTLLGVNALYILLFLVAVLVVLMFFSGQGEEDRQPTRAHQE >SECCE4Rv1G0229010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:141596942:141597559:-1 gene:SECCE4Rv1G0229010 transcript:SECCE4Rv1G0229010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPRAVAMVAVIVLVACCGAQLAVAGGDQGQPAAGGPEASLLCISECGTCPTVCTSPPPAPPSPSSSSSTPSPPTPSSSTPLPPSPSTSILPLPTPPPPYLTLLLPPPPSSSSDGHDQSPPSTEPPPSPPSSTTPKSSGGSSSSSSPSAPSSHVSSPPSPPSSSSNSNPYYYFYLSGGSRSRGASSVYAVVIVALLLPAVTFWR >SECCE7Rv1G0511720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804892786:804893268:1 gene:SECCE7Rv1G0511720 transcript:SECCE7Rv1G0511720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSLLPTLLVLLLLQVATTTASAATTATFVRAADLAERLEGAVTQQCWEALLDIRSCTGEIILFFLNGEAYLGPGCCRAIRVIEHRCWAADLMLSVIGFTPEEGDMLKGYCDAGDEDNGPHHSVAPPPHRAVGADGVASGGTAAAAAGRKGLGSPLG >SECCE2Rv1G0074240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75077133:75079464:-1 gene:SECCE2Rv1G0074240 transcript:SECCE2Rv1G0074240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLLSAKDLKSVNLITRMEVYAVATISGDPITRQCTPPDPHGGRNPTWNATLQFAVPPTAEEASGGCLHILLRVERIFGGDRDVGEVIVPLSEILSGVGHGADYGAHSMPQFASYQIRKVHRTEVRGLLYLTYRLGPVVLPEPHLEIPVDEWPVVAYPVKQVMPPPPPPPQAAWPGYAGAAPPAKPPAGYVAVAVPPAKPAGYLDVPFSPERAPGYTAWPSPPKPPVHVAVPPSPQPSWYGAAPSPAKQEGHAAVPPSPKPSGYGTAPPPAKQEGHVAVSPSPKPSGYGTAPSPAKQEGHAAVPPSPKPSWYGTAPSPAKQEGHVAVPPSPQPSWYGTAPSPAKQEGHVAVPPSPKPSWYGTAPSPAKQEGYVAMPPSPEPSGRVVSMPPSPKPVGRVVSMPPSAPKPSGQVMSMPPPPKPVERAVSVPPSQKPVERAVSMPPSQKPTGPAVSMSPSPKPVDRVKPAGNVVSMPPPPPKPVERAVSMPPSQKQVGRVATMPPSSKPTEKHVSVPPSAKPAAQVAPPPSPKPSGYEAVPSPKPAAHVAVPPSPKPPAHYAVPPSPTPAGHVAMAPSSPKPSVHAVPPSPKTGTHVTVPPSPKPTGGYVPVPPSPMPSGGHVSMPPSPAPYSKVVTMPPAPKPAAPVAMSPPPKPANLPADHNPSMEFGWGLGAGLVSGAISGMLAGGNKGEPTASYAPQVAPLARSRQVSVSKTYGRDVYTN >SECCEUnv1G0538490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72893901:72895127:-1 gene:SECCEUnv1G0538490 transcript:SECCEUnv1G0538490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKNMPSPLTEIPAHLLAEIFLRLPAPEDLARASAACVSFRRLATDGSFLRSFRRSHAPPLLGFLEYGRFRPSLPPHPSAPAARALAVAADFYFSFMDSYCHWTVQDSRDGRVLLDRDLRQHEQPPVFKDLAVCDPLHRRYVLLPPVPHNLAASLEHPFPMVSDAQCKAFLVPLGEEEVAAGETTFRVILMANCKTSLAAFVFSSSTGQWQAAASKGWSDLALGKHDMAEMSWVHPFILRRHYAYGCFYWDWVEFGTKKLLLLDTRKMEVSIADLPPGEWNKEGLAIVEAGEGRLGMFGFHGEATSNLIYTVARNKGESPSQWKIEKTISLDSGYRYFIRDATQRYLLFTRIDASSSLTDRLTGYFSMDVKTLQIQRVYEKQNYRLHETYPYINFPPSLLSSRRI >SECCE1Rv1G0018790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:207009095:207015716:1 gene:SECCE1Rv1G0018790 transcript:SECCE1Rv1G0018790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSGGSAPLPYSMRDVDGGAYNNAKFRQRSRLKMVTQALISKSSKYQFGKFTLGKFLSLLMVSGLIYLLAHKSSKGFVSGELHDKEVGSRHASKDSPTIRTLWRKPPRLPPRLPPNEIYKNSSILHHPPSSEWTSRQKNVKEAFKHAWSGYRNYAMGHDELMPLSRRGVDGLGGLGATVVDSLDTAIIMGADDIVSEASKWIEDNLMKKISEKGQVNLFETTIRVLGGLLSAYHLSGGDQAGGGDSGIAVTPNKTNPDRLLAVSKDLADRLLLAFTSSPSAIPFSDVVLRDRSAHASPDGLSSTSEATTLQLEFSYLSRISGDPKYDSETMKVLEHMRTLPTVEGLVPIYINPYSGQFSGENIRLGSRGDSYYEYLLKVWIQQENYRNASLKYLFEMYTEAMKGVKHLLVRKTTPNGLVFVGELPNGRNGAFSPKMDHLVCFLPGTLALGATKGITKKKALESNLLTKEDIENLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGNPEGGPDGGNKSSEYISDITIKPLDRHNLLRPETVESLFVLHRITEDPKYREWGWQIFQAFEKYTKVDSGGYTSLDDVTSLPPPRRDKMETFFLGETLKYLYLLFGESNILPLDKYVFNTEAHPLPVIRSVVQVSNTV >SECCE2Rv1G0112610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:711562255:711562785:-1 gene:SECCE2Rv1G0112610 transcript:SECCE2Rv1G0112610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDRFRRCSSKTARRRLRSLLVLAADYLKYLFMNRRRLLRGVARRTHAVLSSYHVKNNKRLPPYCPPRALMEHEFSCSGSPSPAFLAAKRLHSRLKRGAAAGAAVSSCFGATVGATYGSPPATEDDDVIEEEDEADGSACYELEPDVDYMAEEFISMFYEQLRAQNFPPVLQHSP >SECCE7Rv1G0506750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:752885972:752887828:1 gene:SECCE7Rv1G0506750 transcript:SECCE7Rv1G0506750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWLIGSCGDMRPPRRRIQEPQVQEEPRPRRPTSSPPKLRKTSSEPIMLSLPKDLAEFRAMSVYGNLKLFTYDQLRRATGDFSPTQIIGEGGFGVVYKGVVGAAVVAVKQLNPEGIQGDREWLTEVSCLGQYSHPNLVELIGYCCDDDHRLLVYEFMAKGSLENHLFRRACTLSWTTRVRIALDVARGLAFLHGAERPIIYRDFKTSNILLDADFGAKLSDFGLAKEGPVGGKTHVSTRVMGTYGYAAPEYMATGHLTAMSDVYGFGVVLLEMLVGRRALEPSRAGRTGNLVDWARPILLRGKKLEKVVDARMAQQGPYSARALERVARLAYDCLSQNPKVRPDMRRVVLVLEAALAIPAEEEGDGEAGRGSGDASPTPAR >SECCE2Rv1G0136820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:914392799:914394659:1 gene:SECCE2Rv1G0136820 transcript:SECCE2Rv1G0136820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFSHPVVHPSPPAVVAQGQAEVEMVPPPAQGQVVQEEGPNGAGNAPAGVIMRDPWTRCGLVFRLLQAALAAAALAVMVSTDDFSSVTTFRYLVAAASVQCLWSLAVAILDAYAIVVKRSFRTAPAVIILALGDWVTGTLIFSAACGSAAITTLLSNDFGACSVNPCASFMNATAMAFLSWLARAPAFIGNLWTAVHRIQKS >SECCE1Rv1G0044280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:602036593:602044413:1 gene:SECCE1Rv1G0044280 transcript:SECCE1Rv1G0044280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTVVSDEEDDDFIEAEEEDEPRPSRRARDDVDEQDDDEEEEDDDEDEGQNEYEKDGFIVDDADEEEEEEEEESRASDDERRKKKKKRKKKRETEDFELDEDDYMLLQDNNITGITRPKPPENKFKRLKKAGRESEMDGRSGFSDHDGAGRSRTAEETVRSSLFGNEEPFEEDFVEEDQQVDEDEVGEDDMDDEMGDFIVDEEEIDVNGQVVKRKKVKRKPLRQAAGVSSSALQEAHDIFGDVDELLALRKQELERDAINSGELRANRLEDEFEPFILAEKYMTPKDDQIKETDIPERIQLSEELTGHPRDHEENREEESVWIHNQLTGDGSLSLFGKEQVNREINQSDIVNVLNMLHTHKFEVPFIAMYRKESCLSLLKDDDGNEQANEKDTRKMKWHKLLWAVQTLDRKWLLLQKRKVALQIYYEKRYEDEKRRIDDVTRQALNRQLYQSINDALKEAKSEKEVEDVDAKFNLHFPPGEVEEVGQFKRPKRKSLYSICHKAGLWEVANQFGRSAEQLGHHLTLTRIPEAGELDSGKDSPEDVAANFTCAMFETSQDVLRGARHMAAVEIGCEPIVKKHIRSIFMDKAVVSTKPTPEGLSVIDTYHQLSGVEWLQEKPLSKFEDAQWLLIQKAEEEKLLKVTITLPEDAKKALMSEARENYLSDCVSKSAQLWDEQRKMILDDAFLNYLLPSMEKEARSLLTAKAKCFLSMEYGKQFWDKVSVAPWKKKDADRKDAELDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISLRSQGVAEQQRKRNDQQRVLKFMTDHSPHVVCVGASNLNCRQLKDDIYEVIFKIVEDHPRDVNPQMENFSIVYGDESVPRLYENSRISSDQLPGQSAIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLHPLEQFLTPDEKYEVVEQVMVDATNQIGFDVNLAASHEWHFSTLQFVAGLGPRKASALQKELVREGSIFSRKELVKPLGRKVFMNASGFLRVRRSGAAAASAQIIDLLEDTRIHPESYALAKNLAKDVCAEDGPEANEMDDDEQEMAIEHVREKQDQLERLDIDEYSRSIGDDKRETLYDIKLELQCGFSDRRTPYAEPSPDEEFWLLSGETEDNISDGRIVQVTVRHIQDNRIICTFDSGLKAIVMGDNYSDDGFDLESLQLHEGDVLTGKIKNVNKNRFIVYLTCKQSEIKRWPYSRNNHDPYYRPQRLAPTQDDKARKQKEAKKHFKPRMIVHPHFQNLTAEEAMQFLGDKEPGEKVIRPSSRGPSFLTLTLKIFDGVFAHKEITESGKDHKDITSLLRLGKTLTIGDETFEDLDEVIDRYVDPLVGHLKSMLSYRKFRKGLKGEVDDMLRAEKSENPMRIVYCFGISHEHPGTFILSYIRSTNPHHEYVGLYPKGFRFRKKDFDSIDRLVSYFQKHIDKPPPDAGPSMRNVAAMVPMKSSAWGSGGANDGRGGDGDNDRDRPFSGRSGGRFDSRNSSGGRGRGRGRGRGNFGSDGDGGSGGGGGWTDNIGSGGGAWGTGGGSGGGDAGWGGGGDSNRGGGGAGGWGTPAAAATDGGAGGWGTPAAAAAATDGGAGGWGTPAAAAAAATDGGAGGWGAATTPGAAGDDSGWGSAKKAVPAQAQDSGGGWGSAGGGW >SECCE3Rv1G0171550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:272899274:272902850:-1 gene:SECCE3Rv1G0171550 transcript:SECCE3Rv1G0171550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSCLFRCPEEEEVVVKEHDDDEDSGGIDHGVASESSESVPLKTESAHMEGIQRNGTHNEATIFTLRELVDATKNFSKDFQLGRGGFGCVYKAYLNDGQVVAVKQLDLNGLQGNREFLVEVLMLNLLHHPNLVNLIGYCVDGDQRLLVYEYMSLGSLEDHLHDLAPNKESLDWTTRMKIAAGAAAGLEYLHDKANPPVIYRDIKPSNILLSEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTYGYCAPEYAATGQLTAKSDIYSFGVVFLELITGRRALDSNRPREEQDLVSWARPLFKDQRKFPKMADPLLCGRFPKRGLYQALAIAAMCLQEKSRNRPLIREVAAALSYLSSQTYDENDAAVRRYLDGPSAPKVSDEQVNQDDALASQYGAQTSMHDRMNDLVPEGKEHCRSGSNRGVRGRVVPNGVDRDHALADANVWAEAWRRHEKASKVRVTDEILG >SECCE3Rv1G0189230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:722560160:722561064:-1 gene:SECCE3Rv1G0189230 transcript:SECCE3Rv1G0189230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCADQNLGQRSIGFFRVPGLFVRLSSKGLNAVDPDSVWSPTSPLDFKNLPSSNTVGTNLKPTGLLGIEADLLKLRTGSPRVGLGLVDALTADEGSLHFGGKNSFLESIKPFLELGLPKVAPDDASSCQKTGSAGPGAAMNENTGFVHCDCEDEEYTCVIEHGPNPRTTHILRGQTVEVPNGVRSKRPIFTIEPIEELSWPSMPAAGAAASGSCSYCRKRLREDMDTFMYLGEMAFCSNECRKCCIDGEIDEVGVELMMLDSGGSSADLHW >SECCE4Rv1G0260660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:697623285:697624448:1 gene:SECCE4Rv1G0260660 transcript:SECCE4Rv1G0260660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCCFGGSPTSRAYRTGASGNCNVSAAGGAIVIDAEDRSSSYTLKIAGYSRTKELLETGKCARSTPFTVGGHVWALRYYPNGSNDADFVSIYLVLHSTGGKDVKAKCKFSVLDDAGVPVPSFTRSSTFQRTFTRKDSSWGFPEFINKADLEGSPHLIDDCFAIRCHVTVLKEIRSSEGITKCSKQFVVVPRSNLRRQLSGLLNSMDGADVTFQVGRDKFLAHRSVLAARSSVFKAELFGSMMENAGDPVEIGDMESDVFKSLLHFIYTDDSPSVMDREDTVMVGHLLVAADRYDVERLKLICEDKLCKHIDSNTVATSLALAEQHSCPGLKEACFEFLASPSNFEAMVTSDGYEHLKSSCPSVLKELIARFLPAELKAAKDIIMKF >SECCE4Rv1G0230830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:173825451:173827901:-1 gene:SECCE4Rv1G0230830 transcript:SECCE4Rv1G0230830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFLPTLLLSLLVLPAVGAGGAAPHGDVLALKSSLAVEDHETSFLRSPDGTFSCGFHSIYSGAFTFSIWYSDSPGETVVWSANRGRPVHSRRSAVTLRKDGNMVLTDHDGTVVWQTEGDLPNVQYAQLLDTGNLVLKNTSGTIVWQSFNSPTDTFLPTQRIPATAKLVSTSRLHVPGHYTFRFSDQSMLSLFYDDTNVSDIYWPDPDYQYYENNRNLYNSTRMGSLDDYGEFFASDFAWHRPLIASDRGYGIKRRLTLDSDGNLRIYSLSNESDSNRRWTVSWVAVSQPCMIHGLCGPYGICHYSPAPTCSCPPGYAMRNPGNWTQGCELTVDTIGCGDSEQDVTFLRLPNTDFWGSDQQRINTVSLEHCWNVCLSDCTCKGFQYQQGNGTCYPKNLLFNGRTFPTPTVRTMYIKLPASVNVSNTPVPQSNVLNTEMHRLECHHVSKTTIEPVPDVVREDGSDDPKWLYLYGFIAAFFVIEVFFFAFAWFFVLRREFRSSQLWAAEEGYKVMTSHFRMYSYRELAKATEKFKHELGWGGSGIAYKGTLDDGREVVIKRLENVTRNRAEFQDELHVIARINHMNLARIWGVCSERSHRMLVLEYFENGSLANILFSNKILLQWDQRFNIALGVAKGLAYLHHECLEWVIHCNLKPENILLDQDLEPKITDFGFAKLLSRTGPNQNVSRARGTLGYMAPEWVTGLPITAKVDVYSYGIVLLELVSGTRILDFVVDLEEDVHVVLKKFIKMLSYRLEGDELLWLTEFVDVKLDGDFNYLQAKELIRIAVSCLEEDRKNRPTMESIVESLLSVEEAAV >SECCE7Rv1G0472970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:152277986:152279431:1 gene:SECCE7Rv1G0472970 transcript:SECCE7Rv1G0472970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDLVVDLHTDQCPRTTYNFLKLCKMKYYNGCQFHNVAKDFVAQTGDPTGTGSGGDSVYKFLHGDQARFFDDEIHPELRHSKIGTVAMASAGKNRNASQFYITLRDHVEYLDDKHTVFGIVAEGEGLDTLTKINESYVDGEGRPFKDIRIKHTYVLYDPDDDPAQLAELVPPNSPIGKPIDEIAEERLEDTWVPLDERVDPARLEEMIRSKEAYTNAVILASIGDIPDAEVKPPDNVLFVCQLNPVTQDEDLYTIFSRFGTVTSAETIRDYKTGDSLCYAFVEFEAKEACERAHRDMQNCLIDDRRIQVDFSQSVSKLWRQFRQGTRNASKDGCFKRHAPDYRARDLDKGAAKKNEDRDYVLTDENTQQGGSYRRSYDLVFDEDGASVGNKQDCRNADRRKIQKLDDWRSEQQPHKHDRDRNNRVKLHTSEEGGRRHDDRISYDRSSDRSYSRRNNRDYSGKQQSKSKRRDDF >SECCE5Rv1G0365600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:808221500:808224436:1 gene:SECCE5Rv1G0365600 transcript:SECCE5Rv1G0365600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTYITKKDDYAATLDEKESPEDEKSASAERLLVRTTKLTTVTIKLYRLMVFVRMAIFVLFFKWRISTAPTVVSDGTTAVGAMWTMSIAGEFWFALMWVLDQLPKMQPVRRTVYVTALDESLLPTMDVFVTTADPEKEPPLVTVNTILSILAADYPPDKLTCYVSDDGGALLTREAVAQAAWFARLWVPFCRKHGVEPRNPEAYFCPGVKARVVPRAGYRGRSWPELARDRRRVRREYEELRLRIDALHAGDVRRQQWSHGTPEDHAGVVEVLVGHPGSTPDLGVSDLLDLGSVDVRVPAVVYMCREKRHGRVHHRKAGAMNALLRTSAVLSNAPFILNLDCDHYVNNSQALRAGVCLVLDRGGSNVAFVQFPQRFDGVDPADRYANHNRVFFDCTELGLDGLQGPIYVGTGCMFRRAALYNADPPLWRPHGDRDAGKDVATEAGKFGASTPFLCSVRAALNMNRSELWNTTSPPCSFDGAAVGEATALVSCGYEDRTAWGRDIGWIYGTVTEDVATGFCMHRRGWRSAYCATAPDAFRGTAPINLTDRLHQVLRWAAGSLEIFFSRNNALLAGPRLHPLQRLAYLNTTVYPFTSIFLLLYCLLPAIPFVSRNVTISTPPSATYIGFVAALMLTLAMVAVLEVRWSGITLGEWWRNEQFWMVSATSAYAAAVVQVALKVSAGKEIAFKLTSKQRASASSTGGGVVKDRFAELYAVRWTVLMVPTAVVLAVNVTSMAAAVQEGRWRKGPAAVLAMAFNAWVVVHLHPFALGLMGRWSKALSPLLLLVVVFIVLSLCFVLHLQML >SECCE3Rv1G0201600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:865898551:865900353:1 gene:SECCE3Rv1G0201600 transcript:SECCE3Rv1G0201600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPACSVYVGNLDEKVPERVLYEILIQAGHVVDLHIPCDKETGRPKGFAFAEYETEAIAQYAVKLFSGLVRLNGKTLKFALSGQDKPSSNGNNPVMPKLNPIPLPKQPQFVHSSDTLVSHKPAYPVVNGGIAHNGFSQGYHPYNVHPQALPTRPVHEHREFVHGTYDYNSRVYGSVLNASYGGYVVNAVGHQAVRQPIIYPSY >SECCE5Rv1G0322500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:421292699:421316131:1 gene:SECCE5Rv1G0322500 transcript:SECCE5Rv1G0322500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAQPPSPLPPAGEVIEVENSEPGSPDAGSRSSGSGRSSSEYSGWVYHLGVNSIGHEYCHLRFLVIRGKCVAMYKRDPHDNPGLEPIRKGVVSHTLVVEEVGRQKVNHKDVYVFRLYSRLDQTKKGEIACATPGEARKWMEAFEQAKQQAEYDLTRGVNWNRLQNENEFNLDGHRPRVRRGLGKLVRIGKGPEMLLRQSSDLQSHERVSTNFGGDTGDALEAHEWRFVRTLNGIRIFEDIAYSKGGKGILLKSIGVVGANPDAVFEMVLSLDKHKRYEWDMLIADLELVETIDGYCDVVYGTYEPKYLNWWKSKKDFVFSRQWFRGQDGAYNLLQSPASHKKKPPVHGYERTHINPTTWEIKRLNTSGSLTPKCIVTRMVEISPSFWDRWKKRTSSNFDRSIPFALLSQVAGLKEYFAANPTITSDLPSTVVKSKVSEPLIIQSELEDSEPNDEFYDALVRGESFEDDDSDDDDDDTTLKAGKVKLKNVSWAIAGLAMKRTKASLERSELVTNSVPISIDSSHFHGTVRQAKSEDDPNSWSSPGGEKFMIRGKTYLTDYAKIAGGDPLLKLIAVDWFKVNERFDSVALHPKSLVQSEAAKKIPFILVVNLQVPAKPNYNLVMYYAAEKPVNKESLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTRACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSIVVDLAILVEAKEEKELPEYILGTVRLNRVNPEAAVPI >SECCE3Rv1G0159630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:97713298:97716038:1 gene:SECCE3Rv1G0159630 transcript:SECCE3Rv1G0159630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFVRIYSGGELVKGPNGVEFGDLSEQGIWFKAAPTYSELIDAVYKKLGLEPTTHSLRAQGRTNVGGGAHRHFIMVPIDDDMSWSNYVKAVFNGTDWNCLEVYVQAERCPSPEPVSPEPALLDSEPPDAQRQNTPPRDPEQGASLFAPSMVTANAPTVHPQYARLRKTRSARARAGIGGRFAGEEVQSGRNQCGASGTVDTTNYLLNGLYDDVHRARALASGQHLTELNPRNREPLRFDKRYERYLRPAGLMGLANICRAGLPSIDRALVSALVDRWRPETHTFHMPCGEITITLQDVAMILGLPISGHAVIVNKTESYIELYQRYLGKTPPSDKSRPGLRVAWVRAEFNKCPEDADEETVKQHARAYILSLVGGLLFPDASGDRYTVYPFPLIADLENIGSYSWGSATLAYLYRAMCDACRRQSDQSNLTGCLLLLQFWSWERFPIGRPDMLKPKFPNVDELEDDRDRPTVGLRWVVGACTYRSAPARCYEHFTNEFDLLTDDQVVWSPYRDDRVKALHLAPICTQDAHLWLTQAPLVFFFMVEVYTPERVMRQFGLHQECPPPFRDTSVELHWCCRGKVRSDWADKHKSFVDMWEVKEQHVIMEERPYDHANYMDYLRWYRRSTRIRLCTPRLTNGHQDGTSVRSAIADDEDSLRASKLRYTPRAHLIHSVTDKLTILAKEAASQKGCSRGECNAFIERVTRTCVELVGELGGSSLCDIAAAVPGSTTTIAAEPGAEGQRDTEDETNSSMVPDQENESCPVPEKRTRFQLDHTQVDSTVHSRSQSKRKRGGAAPR >SECCEUnv1G0556210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310577171:310577720:1 gene:SECCEUnv1G0556210 transcript:SECCEUnv1G0556210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGERKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSTAAAEKEATKSPKKKAATTKSPKKKTAATKE >SECCE4Rv1G0245680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:534942907:534946282:-1 gene:SECCE4Rv1G0245680 transcript:SECCE4Rv1G0245680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPRLGLGAMATWLLLAALCLTPRARAVNEQGEALLRWKRSLTNGTGGAALATWRESDASPCRWSGVACDARGNVVSLLIKSVDLGGAVPARVLRPLAPSLETLVLSGANLTGEIPGDLGAFAALTTVDLSGNGLSGALPAELCRLDKLRSLALHTNSLQGAIPDDIGNLTALTSLTLYDNDLSGAIPASIGKLKKLQVLRAGGNPALKGPLPAEIGGCTDLTMLGLAETGMSGNLPDTIGQLKKLQTLAIYTAMLTGPIPASIGNCTDLTSLYLYQNSLSGPVPPQLGQLRKLQTVLLWQNQLVGTIPPVIGNCKELVLIDLSLNMLTGPIPRSFGGLSKLQQLQLSTNKLTGVIPPELSNCTSLTDVEVDNNELSGGIDIDFPRLRNLTLFYAWQNRLTGGVPASLAQCEGLQSLDLSYNNLTGSVPRELFALQNLTKLLLLSNELSGFIPPEIGNCTNLYRLRLNGNRLSGAIPAEIGNLKNLNFLDLGSNRLVGPLPAAMSGCDNLEFIDLHSNSLSGALPDELPRSLQFVDISDNRLTGLLGPGIGRLPELTKLSLGKNRISGGIPPELGSCEKLQLLDLGDNALSGGIPPELSMLPFLEISLNLSCNRLSGEIPSQFGTLDKLGCLDLSYNQLSGSLAPLARLENLVTLNISYNIFSGELPDTPFFQKIPLSNIAGNHLLVVGTGADETSRRAAISALKLAMTILVAVSAFLLVTATYVLARSRRRNGGAMHGNATEAWEVTLYQKLEFSVDDVVRGLSSANVIGTGSSGVVYRVELPNGEPLAVKKMWSSDEAGAFRNEISALGSIRHRNIVRLLGWGANRSTKLLFYTYLPNGSLSGFLHRGSVKGAADWGARYEIALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPGNEPYLADFGLARVLSGVVEPGSSAKLDTSRPRIAGSYGYIAPEYASMQRITEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVQWVREHMQAKRGVAELLDPRLRGKQEAQVQEMLQVFAVAMLCISHRADDRPAMKDVVALLKEVRRPPESAADEGKEQPRSAPAPCPAGQQRSPARSALPMGGSSNCSFAMSDYSS >SECCE4Rv1G0250720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:595977365:595978451:1 gene:SECCE4Rv1G0250720 transcript:SECCE4Rv1G0250720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSLLLPLALLVLAASSAAVAQLEIGFYSKTCPDAEKIVGEEMAKIIAAAPSLAGPLLRLHFHDCFVRGCDASVLLESTDGNVAEKDAKPNKSLRGFGSVERVKAKLEAACPGIVSCADVLTLMSRDAVVLAKGPFWPVALGRRDGRMSSATEASKELPPASGDVPLLAKIFASKGLDLKDLVVLSGAHTLGTAHCPSFADRLYNTTSENGTSGLVDPSLDSKYADKLRLKCKSVDDRAMLSEMDPGSFKTFDTSYYRHVSKRRGLFRSDAALIFDDTTRDYVQRIATGKFDGEFFKDFSASMIKMGDVGVLTGAEGEIRKKCYAPN >SECCE2Rv1G0090700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:286523087:286527354:1 gene:SECCE2Rv1G0090700 transcript:SECCE2Rv1G0090700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABH1b [Source:Projected from Arabidopsis thaliana (AT2G44610) UniProtKB/Swiss-Prot;Acc:O80501] MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSSNANSSQSQAQAGGCSC >SECCE5Rv1G0323840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:440462170:440463219:1 gene:SECCE5Rv1G0323840 transcript:SECCE5Rv1G0323840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAQVCCDSASSAVVVGAEAEARARARAGRRRRAGDAAARWKVSAEAPQGAEEAAATRKRRAAGGEGVAAKRHGFTSIAGRRREMEDAVSIREAFTVPTEEGKPGRDFYGVFDGHGCSHVADACRDRMHELVAEELAGAAQPESWTAAMERSFARMDDEVTASGGGDSSSCRCEASKCDHVGSTAVVAVVGEQRVLVANCGDSRAVLCRDGAPVVLSSDHKPDRPDELERIEAAGGRVIFWEGARVLGVLAMSRAIGDGYLKPFVMAVPEVTVTDRAAGDECLILASDGLWDVVTNETACEVARACLRRGRDRWCAEAAAMLTKMALTKNSSDNISVVVVDLRPRNHL >SECCE2Rv1G0084920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:182830886:182839057:1 gene:SECCE2Rv1G0084920 transcript:SECCE2Rv1G0084920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGWRRPLASLPALALALLLLAVAAAAAGSPDRTATSRPPLVLPLTLSYPNASRVASSRSRRGLAEGGHPSARMRLHDDLLTNGYYTTRLYIGTPPQEFALIVDSGSTVTYVPCASCEQCGNHQDPRFQPDLSSTYSPVKCNVNCNCDSDKSQCTYERQYAEMSSSSGVLGEDIVSFGTESELKPQRAVFGCENSETGDLFSQHADGIMGLGRGQLSIMDQLVDKGVIGDSFSMCYGGMDIGGGAMVLGAMPAPPDMIYTHSNAVRSPYYNIELKEIHVAGKALRVDPRIFDGKHGTVLDSGTTYAYLPEQAFVAFKDAVTSKVHPLKKIRGPDPNYKDICFAGAGRNVSQLSEVFPKVDMVFGNGQKLSLSPENYLFRHSKVEGAYCLGVFQNGKDPTTLLGGIVVRNTLVTYDRHNEKIGFWKTNCSELWERLQSGGAPSPAPSSDPGSQADLSPAPAPSGLPEYDVGLIAVYMSINVTYPNLKPHLHELAELLAKELEIDSSQVRLMNVTGQGNSTLIRWDIFPAGSSNSMSNATAMGIIYRLTQHHVQLPEHLGSYQLLEWNVQQPVSRRSWLQEHVVSILVGVLLVVFLSVSAFLGLYLWRKKFRGQAAYRPVGSVGPEQELQPL >SECCE3Rv1G0149110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:22480445:22480999:1 gene:SECCE3Rv1G0149110 transcript:SECCE3Rv1G0149110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGRRQQQGEKPAAAAAEDIEIKKVFSRFDTDGDGRISPSELAAVSRAIAPPATDSAQGREVASMMDELDTDRDGYVDLGEFAAFHGRGRGERELDAELRDAFDIYDINGDGRISVAELSKVLARIGEGCSTEDCEKMIASVDVDGDGCVGFDEFKKMMTGDAGAPPQPEAGVPDDNKPKKE >SECCE5Rv1G0314720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:275769693:275786182:-1 gene:SECCE5Rv1G0314720 transcript:SECCE5Rv1G0314720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKRRLQPIFFDADGNVVPPPAADGTSDDSSSDDCEVLDGGTVNLLSRSSDEYNISKLGFHKRTTRPDGDYATDKAYRCSCHDMHIFDSVGNGASSVVHRAIYVPVHRVLALKKINIFEKEKRQQILNEIRTLSAASCYPGLVEFQGVFYTPDSGEIYFALEYMDGGSLADIIRVKKFITEPVLSHMLQKVLLALRYLHEVRRLVHRDIKPANLLLNLKGDTKITDFGVTSGLHDSVTMCTTFLGSVTYMSPERIRNENYSYAADIWSLGLTALECATGRYPYDVSGGEADLMLQILEDPSPTPPQHMHSEEFCSFIDACLQKDADARPTCDQLLSHAFIKKYEGPGVDLAEYNKSVHDPSERLSQIAHMLAVHYYLIFDGGDDQWRHMKSFYGQDSTFSFSGETHVGKSDIFDTLSRIREMLKGNSRCEKIGRVMEKVYCRAHGEEGMSVRVSGSFIMGNEFLVCADGFCAEGMLSIVELSPDILSKQAGHFQEDFFMEPGTAMGCYVISRQELHIAVS >SECCE5Rv1G0336480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:584592322:584593515:-1 gene:SECCE5Rv1G0336480 transcript:SECCE5Rv1G0336480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELEFEEKALQALDIVRCQEFTGYDPKVNAYTRTRFDIFNLAFFDLDKESDFCRGPRLNMIPSPIRDSIVGSCVNVITLKVKESEVGFPIKVFGTVVARDQVDYRCVYLFRRERDDPQLITSADGKLTLMDPCRGLVPEDRIYFEMNLKIVRDEGEVEDFSKGVIVFNRARLPNDKQTMGVSLNSYLSRVEVRCAYVVHPIEATIEVNILKGPCSVSRVVAATSKNYEYSMDLYNAGEAAAKVEAGTVPLSRRVVAVPLGTKLVLIVTGRSVGDVFDMNVTATLGRSTEMMHYRLGSALVEVKLVWTAVPRRERQDMIKDVGDESLLM >SECCE1Rv1G0054160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673461002:673466228:1 gene:SECCE1Rv1G0054160 transcript:SECCE1Rv1G0054160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATEGGPREGGNRRGSLDEMEMKVARFSRGKAANLGALRDKKLKGQLAGKEKLIGVSAKAAAQAEKWLLPIEGGYLEPDGFEKTYRIQQLQIGPMLNIRDSVNQFDMVLPELGPYTLEYTSNGRYIIVGGRKGHIAMMDMLNMRLIKEFQVKETVRDVAFLQNEQLFAVAQKKYPYIYNRHGTEIHCLKEHGESLKLQFLDKHLLLASINSFGQLHYQDMSTGEMIANYSTGLVCTDVMRVNPYNAVIGLGHAGGKLTMWKPTSVKPLVTMLCHNGSLTAVAFDRGGHLMATAGGDQKIKIWDLRKFEVVHSYGGHAQSLDFSQKGLLASSNGSLVEIYRDCGGQDYKIYMKHRMVKGYQVGKVLFRPYEDICGIGHSMGFSSILIPGSGEPNFDTFVDNPMETGKQRREKEVHALLDKLQPETIMLDPNVIATVRQLKKKAKKTKKEIEDEIEGAVEAAKNIRVKKKTKGRSKPSRRAKKKEEEVLRAKRPLLDQHKEVSGHPDKKQRIGDETELPKALQRFAKNRLS >SECCEUnv1G0532160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19540248:19542608:1 gene:SECCEUnv1G0532160 transcript:SECCEUnv1G0532160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRLMGLSATAVSGRSLSACLRRSLSTAAAVSGRPFSTAAASSSHPPWAIIDYTTAVDWTSSAPGVCFVPEDPPAVSSIFAPAHLVDPTARPTAANTKVRYLAGNVVQVVFGDVGATSGDGHLLLSYREIRAEGPVTLSTLAGNPEVDRFVCNPLTGQVLRLPDFAGSRRYFVMHHMGLLTQADGALGCGPPDRFAVAEFVLNGAAIVRFLSEEGKWRTVRPVHGDPSLPRPMAMNQETVAFGGRLWWVDLTVGAVSVDPFADRPEIRFVELPSGSVLPERARADEGDFRKAEENVMFMREVAKHRRIGVSDGRLRYAEVSPHEPFLLSSYALDDDERSGWKLEHQVALRHVLADGGYPWGQNSAPQIAVLDPLVGSVIYIKAGEDVVVVDMHNGRVIGASPLEEEYNYLVPCLLPPWLGASRIPMAGFLELIEGWCYIGKEAAASLSNSHFGGSLEKFGWVYCSLLINY >SECCE6Rv1G0445800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:841465381:841467776:1 gene:SECCE6Rv1G0445800 transcript:SECCE6Rv1G0445800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGVAFMFALALLLGAFASIPQSVESIGVCYGMSANNLPAPSTVVGMFKSNGIKSMRLYAPDQAALQAVGGTGINVVVGAPNDVLSNLAASPEAAASWVRSNIQAYPKVSFRYVCVGNEVAGRATRNLVPAMMNVHGALASAGLGHIKVTTSVSQAILGVYSPPSAGSFTAEAAAFMGPVVQFLARTRAPLMANIYPYLTWAYNPSAMDMSYALFAASGTVVPDGEFGYQNLFDTTVDAFYTAMAKHGGSNVKLVVSESGWPSGGGTAATPANARFYNQHLINHVGRGTPRHPGAIETYIFSMFNENQKQSGVEQNWGLFYPNMQHVYPINF >SECCE5Rv1G0338190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:596944929:596945468:-1 gene:SECCE5Rv1G0338190 transcript:SECCE5Rv1G0338190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKAPELLKKAAAMCKSKTVRLLILASLQRRRMATAAVVSRKIDALIMADWERADRHKALALGMVEKRPVIVHESDWEANFSSRLAMSSQENSHGCFPADRTLHTLFNDDHENCRYTDDDDVVLDSCDQDDDNEPSVMDVIKINREVEGLEFNMEEEIDQAADMFIRRFRQRLNEEF >SECCE4Rv1G0233000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:219915392:219918207:-1 gene:SECCE4Rv1G0233000 transcript:SECCE4Rv1G0233000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMHVSLLSLLLLTTTVSIPRASSRAVPSQADGGATGGKAVYTKVCDASRFMAAGLDMSKYRYCNASLPYGDRVRDLIGWMTVEEKVSNLGDWADGAPRVGLPPYMWWSEALHGLSSTGPTTKFDDPKKPRLHSGRAAVFNGTVFANVINSAASFNESLWKSIGQAISTEARAMYNLGKGGLTYWSPNINVVRDPRWGRALETPGEDPFVVGRYAVNFVRGMQDVPGHEVASDPMSRPLKTSACCKHYAAYDVDDWYGHTRFKFDAHVEERDMVETFQRPFEMCVRHGDVSSVMCSYNRVNGIPACADARLLTGTIRRDWGLHGYIVSDCDAVRVMTDNATWLGYTAVESTAAVLKAGLDLDCGESWIVQDGKPVMDFLTTYGLEAVQKGKTRESDVDNALNNLYMTLMRLGYFDGMPRYESLNEKDICSDDHRSLALDGARQGMVLLKNHGGLLPLDPNKFGAVAVRGPHAEAPEKIMDGDYTGPPCRYVTPREGISKDVNISHDASLTIYFGGINMHIEREGNDREDLLLPKNQTKEILHIAAASPNPIVLVILSGGGIDISFAQGNPKIGAILWAGYPGGEGGKAIADVIFGRYNPGGRLPLTWFKNKYIHQIPMTSMELRPRPDHGYPGRTYKFYDGAEVLYRFGHGLSYTKFRYETSVGNGTAVTLAAGGHCKRLSYKAGAVDAAPSCPAIDVVSHACKETVDFNVSVVNGGDVDGSHTVLVFTVPPPEVAGAPIKQVVAFQRVFVKAGGAATVVFSLKVCEAFGIVEKTAYTVVPSGVSTVLLENGGTSSPSSVSFPVKINFST >SECCE1Rv1G0036900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:514565984:514567461:1 gene:SECCE1Rv1G0036900 transcript:SECCE1Rv1G0036900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIEVAVAGGSGKRRWVSDVEKTLNEADKTVEVAQWERHCIYRVPACIKDIKSKAYQPQVVSLGPFHHGDPNLLPMEEHKRRALRHLLRRAGRPLDDFVAAVEDIAEQLESAYMDLGGEWRAGIDGKGRERFLEMMIVDGCFLLEVMRRTAAGNSKQVDDYAGNDPIFSRHGILYMVPYIKRDVLMLENQLPLLVLQRLHAVETGKPPNDDFINRMVLRFLAPFSRPLQQDGRLGLHPLDVFRRSMLFGEYQKIRSSEDNTQDNDIIRSAVELYEAGIQFKTSKSSSLHNIRFKHGVLSMPTVPVDDSTEYMFLNMMAFERLHVGAGNDVTAYVFFMDNIIDSAKDVALLSSKGIIQNAVGSDKAVAKLFNSISRDVVLEPDSALDAVQRQVNGYFRQPWNMWRANLIHTYFRSPWAFLSLAAAVFLLVMTIMQTVYTVLPFYQRDSNSPPSAPSPM >SECCE2Rv1G0067310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18522716:18524570:1 gene:SECCE2Rv1G0067310 transcript:SECCE2Rv1G0067310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSPPVNKATPTEQRRLLRARRSSKRVTALSPEALLDATLPAAEHLAPVLHQDDARVVAAATPKPPKRATPSDETLAVHAGEKMGKNGSMDTDSIVTPIVSGTTHWFKSSNDLIAFKEGRRHSFEYGRYGNPTVKVLEDKISALERAESTLVTSSGMNAIIATLLALVPPGAGHVVTTTECYSEARAFIRDKLSKMGIKVTFIELNDMEALKAVLDMGDVTLFYTDSPSNPHLKCINIKLVADLCHRRGALVCIDSTLASPINQKPLTIGADVVVHSATKYIAGHHDVIAGCISGSEMLISRIRAWHHDLGGAISPDSAYMIIRGLKTMALRVETQNCTALRMARLLENHPKIEQVYYPGLLSSPWHDIAKSQMTGFGGVMSFEVASDLHGVMRFVDALEIPLIATSLGGCESLVQQPAVMSFWGQSDEEKAKNGIKDNLVRLSFGIEKFEDLKDDILQALEKI >SECCE5Rv1G0332020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:544050829:544052469:-1 gene:SECCE5Rv1G0332020 transcript:SECCE5Rv1G0332020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYEMAASILLCAEDSSSIFGYGEGEEETAAAGARAGGSPYCGGGGGELAVEFPLPSEECVARWVATEAEHMPREDYAERLRAGGMDLQVRMDAVDWIWKVHTYYGFGPVTACLALNYMDRFLSLYQIPEGKAWMTQLLSVACLSLAAKMEETSVPRSIDLQAADARYAFEAKTIQRMELLVLSTLKWRMQAVTPFSYLDYFLHQLSGGNAPSRHAVREATELILCISRGTSCLEFRPSEIAATVAAAVAGEEHGAHKPACCTHVDKERVLSCHEAMIQATGATVPPPKTAGLMGRAYSPAMSAPRSPTGVLDLDAGYLSCTSDGASTTTTMASSPPESSGFDSSPVSSKRRKMSR >SECCE6Rv1G0407130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:554802198:554803614:-1 gene:SECCE6Rv1G0407130 transcript:SECCE6Rv1G0407130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHKSKSGPSSSPKRSAAVAAPVAFLFVLGLVTLYDLAFADRRYLRIHGASSSSSSSQACDLTRGEWVRDAAEAPYYTNLTCPFIDDHQNCMKFGKPSLEFLRWRWRPDGCDLPRFDAARFLEAMRGNSVAFVGDSLARNHFKSLLCLLSRVAQPVEVGGEPEIDPTGRAVRRDYYYGSHNFTASLFWSPFLVMANLSNATLGMWDVHLDTPDARWAAHLADFDHVVLSGTNWFLRPSVYYEGGRAVACNGGASCGAGNVTAEMTVPRALRAAFRTALGAIAAHEGFRGKAVLRTVTPAHFEDGEWNTGGDCVRTRPYRRGDRALGTFEAEYRSAQVEALRETKAAARRNGAELALMDITEAMDLRPDGHPSRYGHRPGGSVEGSFVVDCLHWCLPGPIDLWSELLSQMLLQPHH >SECCEUnv1G0543630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:117532765:117532965:1 gene:SECCEUnv1G0543630 transcript:SECCEUnv1G0543630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVAVSALIPEALGKSEERALILGWAYYLYAFSSYPLRTWLPSVYRRHDNWYTRGASFPVLSY >SECCE6Rv1G0405200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:528563851:528565947:-1 gene:SECCE6Rv1G0405200 transcript:SECCE6Rv1G0405200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g01580 [Source:Projected from Arabidopsis thaliana (AT3G01580) UniProtKB/Swiss-Prot;Acc:Q9SS97] MRGWQPLQKLLEAAADSSTPLSAARLHAHLLRSGHLHSSHHLTSHVLASYPPALARHLFDEIPVPTPRLANALLRARVRAGQWRDVLLLLPRLRVRPDAFTLPLLLKSCAMLPSLAHGRAVHALAVRSCAAYTDAFVAAALVQMYAKCGDMVGSINTYIAFEEPDVVLRTSVVTGYEQNGMAQEALEFFASNVVSQGVMPSPVTLVSVISAAAQLRDVRNGQACHAYVLRNNLEYDLVLVNAILGLYMRIGAVQSARRLFEGMMERDVVTWSCMVTGYVQSGHTCEALSVYKKMVEAGVRPNAVTVVCVLQACSLALDVEEGKRLHDSAIKIGCELEMTVATALVDMYMKCSCHEKAMQLFLRMPKKDAVAWAAVISGLTQNGLPDESMRVFKCMLLDGPAPDAVTMVKVLIACSESGVMNQAFCLHGYLVNTGFSDKMFVAAALVDLYSKCGNLASAVRVFESATEKDVVVWSSMISGYGIHGLGQQAVALYQRMVASSVKPNSLTFVSVLSACSHSGLVQEGKSVFKSMTRAYGIMPNTEHRSAMVDLLGRAGELQEAAKLLHETGGRADAHAWCALLAACREHRDTEMSDVVAGKLLKLDSDHVGYYNLLTNIYAFDEKWDSVKETRDIIRDRGLKKVSGCSAVEINNAMHTFTAGERSHQDWEKISTLLWELSRKLRGDDCFFQLDSQLVFEDS >SECCEUnv1G0534730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:44830396:44834570:-1 gene:SECCEUnv1G0534730 transcript:SECCEUnv1G0534730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHLPQASRHRWLRSLLLALPLLSLPILYAALGPARPQQPPAPPRLGGRQQQQQPLPPPRLAYLISGGPGDGPRIRRLLRALYHPWNYYLVGVSGEEDRADLEAFVRGEEAPRRYGNVRVAAAGEWPVVSRRGPTELAATLHGAALLLREFDGWSWFINLSASDYPLMPQDDLLHIFSYLPRDLNFIDHTSNIGWKEHQRARPIIVDPALQISNKTEVVTTKEKRSMPSAFKIFVGSSWVILSRSFLEFCILGWDNLPRTLLMYFTNFLSSSEGYFHTVICNSKYYQNTTINNDLRFMAWDNPPRTHPLNLTAEYFDAMVNSGSPFAHSFTPDDPVLDMIDTELLRRAPDRFTPGGWCLGSPVGGKDPCTFFGRSFVLRPMKGSGKLEKLLLKLLEPDNFRPKQCI >SECCE4Rv1G0280480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:821826404:821830634:1 gene:SECCE4Rv1G0280480 transcript:SECCE4Rv1G0280480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFIGAWLQAVLRYIAAWLPAAAAAAAADDSDAAVASPQAAMDFPVTASLGPLHRPLHSFRATKNNLPDGVSVGQVQRLEADLEELFTELKIVSEADDPSFTARCWMKEVRELRYDAEDFFAEVPRSSADGATRRGALLWIPSKLKRRHQVAEAFSDLRARARDASRRRQSFQLGPATIRPVFGKANVSHSPKFIGLEESMDKLVKLLAFDSEDAEQQVQVVPIFGSAGVGKTTLVRTFYHKFGWKFQCRAFVRVSRNPDMRRMLSSMLSQIKAPSVHGSPDVQDLIDDIRKYLQFRRYLIVIDDLWASSTWDIISRAFPDGDCCSRIIVTTEIEDVALACSRYQFKYMYEMSPLNGDQSRKLFLGRVFGFENGCPPDFKEVTYEIIQKCGGLPFAIETIASMLACEPDVDQWKHVRDSLASVLSLWTNPSLEGLKEVLNITYNNLSPYLKTCLLYLIMYPEGNTISKEDLVKQWVAEDLIGEGQDREKTAWDYFDELVSRGMIQPVDRNYNDEVLTCTVHHMVLDLIRYKSLEENFIINVSYFQTTPGLPDKVRRLSVQFGGAKCANIPADFMMTQVRSLTFFGFFNCVPSFVEYKLLRVLILHIWADESKIFDLTRIGELFQLRYLKIDCNITVNLPDEIRQLKFLETLELHAPVNDMPSDISCLPLLRTLGYFDLSKNSLENVQSLGELNNLQDLHLTWSTNIAEPDNLKNNMQCLGSILWKLSNLKSLTLVPAASSHADVPSIGVSGDVLSSVSSPPALLQRLELSGRCCIFSSLPEWTKELGNLCILKITVRRLLRKDIDILKGLPALMALSLYVWTAPVGKVVFDNEGFLVLKYFKFTCATPCVAFLKGAMPSVRELKLAFNANKQYSDSEIVAGLEYLIELEEITAKVGGTGADESDKRYAELALSGAIANHPCTPIIRVRCVGWIFCGEEDTSAQEQEHWTLEKRHKKQEELLNPLPELISFPNTQTQTRDGKQNEGTDRSVEVLTQEQEHRTPVKQHKIQEELHTQTTDGVQSELIERSMKGLTHEQEHWTLENLDEVQEELFEPLPEVLSTPSIQAQVRDEEQDGEMERSMEVLTQEQEQCSLEQQDEMTGGVLDVQIRTSMEVVTQEEHDTLQKQHEIEKEVLDPRPAPIWQRAVLKRGLSAKYYSSNLYATMEKTHPATAPSSPSTSPPASREPSVGTAELSKQSDNFIKEIHHSFARQWRRRPWWSQASGPRI >SECCE7Rv1G0501650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:685970718:685974838:-1 gene:SECCE7Rv1G0501650 transcript:SECCE7Rv1G0501650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGGSTCCCFTQLHPAAVAAAARLRLPLARAANTSSEPAAARLRAVLEQVDDELRKGNDEAALSLVRGSQGEGGGLRCFGAARQVPQRLYKLDELKLNGIDTSSFLSPVDQTLGSIERNLQIAALLGGLSVSVAFELSQLQALLIFVGLLFVWSVDLIYYNGGARNLVLDTIAHSLSEKYHNRVIEHEAGHFLIAYLLGVLPKEYTITCLDTLMKQGSLNVQAGTAFVDFEFVEEINTGKLSAKMLNKFSCIALAGVATEYLLYGYAEGGLDDVNKLDGLFKSLGFTQNKADSQVRWAVLNIVLILRRHEKARSKLAEAMSSVKSVGSCIEVIEENINPEDI >SECCE7Rv1G0490870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:511487034:511487375:-1 gene:SECCE7Rv1G0490870 transcript:SECCE7Rv1G0490870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQAQVLRIINKKSKKEQRRKNVTRKVFSRLEMLEGAKSIGAGAATIALVRAVVGIENIFGSLIHSVVRNPSLAKQSFGYAILGFALTEAIALSAPMMAFLISFVFRSHKKS >SECCE5Rv1G0302070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:35033147:35035553:-1 gene:SECCE5Rv1G0302070 transcript:SECCE5Rv1G0302070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLVARSLSTAHLSGRLRRPLPTAVAVPGDSLSTADRAGRLRRSLYTAAAATHPPWAIIHDTSEVDRSSSAPGARFQPVDPPGVSHIFAPAHLIDPTERPKPNAGSSHDVLQYLDGNVVQLLFGNVGAASGDGHLLLSYHDLRAEGPCTRWDLAENPEVHRFVCNPLTGQMLRLPDFGGSRRIFALHNMGLLTQADGGLGCGPPDRFAVADLVLNGAAILRFLSEEGKWKTVRPVNGNTSLPRLMEMNQETIAFGGRLWWVDLTLGAASVDPFADQPEIRFVELPSGSVLPAPPRVDEADPCKVEERGLLIMEVTNRRRIGVSEGRLRYAEVTPGGPFLLSSYALDDDEGSGWKLEHQVALRQVLADGGYSAQTAPQIAVLDPVDANIIYLRVGEDVVVVDLYNGKVIGGCRLQGEYISLVPCVLPPWLGSSRIPAQGKKDGMEVTYDLVSSAYKS >SECCE4Rv1G0263410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:716549020:716552178:-1 gene:SECCE4Rv1G0263410 transcript:SECCE4Rv1G0263410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGAMGPVIRKLGELLVGEYNLEKQVRKGIQSLLNELEMMHAVLRKVGEVPSEQLEEPVRIWAGKVRDLSCDMEDAVDDFMVRVDEGSSSKPTDMRNRVKKFLKKTTKLFGKGKALHQISDAIKEAQDLAKELADLRRRYELDTRSTSNGATIDPRVLALHKDVGELVGVDRTRDELIKTLICEDGSSKEQLKTISIVGVGGLGKTTLTKAVYEKIKAQFDCVAFVPVGQNPYIKKVFKDLLYELNKATFTDIHNTSKDENLLIKEIREFLVDKRYLIVIDDIWEEEIWRYINCALYKNKLHSRVVTTTRNVSVSEACLSSSDDMIHKMKPLSDEDSRILFHRRIFQSEAKCPEDLQAVSREILKKCGGVPLAIITIASLLVSNQRIKQKEEWMHVHSSMGRGVTEGGIVKDMKRILSLSYFALPWHLKTCLLYLSIFPEDFEIERDWLIWRWLAEGFIQCDKEETRLFETGESYFNELMNRSLIQPAEINEEGTVVTFRIHDMVLDLICSLSSEENFISILDNAHWHAPNLQRKFRRLSLHNINAKVQNHQFDSTRLSKVRTFAVFSPVTCDWLPSLSSFQFLRVLDLGKCGSRESSSGISLKYVGNLIHLRYLGLRNTYVCELPMDIGKLQLLQTLDIRDTSILELPSSVVQLRNLICLCVNYEVRLPKGMGSLMSLEVLEQVGLSSSAHIVKELSHLTEVRTLSVDCENMDEDLIDILIKSLGNLHKLQNLYIVDGGRLIDRMCESWVPPPNLRCFDSWEPICPAWFSRLPKWVTSSSLPHLSNLQIDVEELQGDDIQIIGMLPALRFLGLRASRVMGRLVVRADAFPSARCCKFLWFSMSPCLFPPGAMPRVQHLEFTISVQLIASGEVDCGMGHLPSLEHVVVFLQPENSSGEENVTDEERETAKALVRRAAEAHPKRPTIEFYY >SECCE1Rv1G0027130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:378294564:378297663:-1 gene:SECCE1Rv1G0027130 transcript:SECCE1Rv1G0027130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATASTSLRPPPSPSPPRLAGSLRQWCWGIPTHSSRRRAFHAHPRKRNTLLCAAVKGPEESFKKTIEVDRLIDMLRDANPSELDLIVVENILAFSEGFWVRLAARIDLCKSDDDKKDYEELAENVMNIVDRVVHKTDEKIEQSTDVLKAIISPVMNEGEDAMWPPRNPEALKLMEKEISNREKEGQLDESFLSEVNAQLRQAKDEVDKPGLQVMLQKVLQLYASNFLRKRSYAYKGGEVVVPEKFLESIIEAPENDWNRLLLDGLTVGKGDVSLEEFYAVTKKRIERILIRTEGGSYQQRVLVEYIKEIQARAEEIVNRLQGPAV >SECCE2Rv1G0139110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:925212191:925215952:-1 gene:SECCE2Rv1G0139110 transcript:SECCE2Rv1G0139110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIWVVAATGAILLLWAVSLGRILSSPAPYCLPPSPGFLPPLHGDRRCRNVLLVLAHPDDESMFFTPTILFLESNGHKLHILCMSLGNADGFGDTRKEELYNACATLKIPANQVAVLDHQKLQDGFHEKWDHGLLAELTMEQIQMWDIDTIVTFDSYGVSGHPNHRDVHRGMCKLLHENQQENIEAWELVSLNMFRKYSGAVEIWFSPLISSSSKQLMYCVVNCSPSRTFKAMAAHRSQWVWFRRLFVTLSSYTYVNMLKKL >SECCE1Rv1G0000450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1632404:1633995:-1 gene:SECCE1Rv1G0000450 transcript:SECCE1Rv1G0000450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAAAPHVVVITFPFASHAVKLFRLARALAAAAPAATFSFLSTAGSIAQLQEKHHDALEANLRFVEVPDGLVPPSSGGTGPVPPPNHMARLGLFLAAAEAGGVSVALESARVAAGGARVTCVVGDAFVWMAAEAAAAVGAPWVPVWTGGPSALLAHLQGDALRDGIGDKAASRADELLTSHPGLGSYRVRDLPDGCVFGEMHLPIVALFRRVAEQLHVPRAATAVALNTFPGLLPDDVTAALAAELPEVLPIGPFHLLPVPGDDRATAADPHGCLAWLDGHPARAVAYASFGTVVTAVVGGQEELRELAAGLEASGAPFLWSLPKEYWPLLPPGFLDLDRGKVVPWAPQAAVLRHASVGGFVTHAGWASVLEGVAGGVPMACRPFFSDQRMNARMVAHVWGFGTVFEQPMTRGTVAAAVSSLLAGNQGTRMQEMRDMAATAFAVDGGSRNNFDKLLKIVCPPPQEHSRDDDGVDDAAEVTRCAPTTHYQNRPLCRRPGPSA >SECCE1Rv1G0026950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:376363899:376364132:1 gene:SECCE1Rv1G0026950 transcript:SECCE1Rv1G0026950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPYFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE7Rv1G0469690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:112597651:112601041:-1 gene:SECCE7Rv1G0469690 transcript:SECCE7Rv1G0469690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMVRNKPGMASVKDMPLLQDGPPPGGFAPVRYARRIPNKGPSAIALFLTTFGAFSWGMYQVGQGNKVRRALKEEKIAARSAILPMLQAEEDERFVKEWKKYLEEEARIMKNVPGWKVGESVYNSGKWMPPATGELRPEVW >SECCE5Rv1G0308510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:133260367:133262178:1 gene:SECCE5Rv1G0308510 transcript:SECCE5Rv1G0308510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLEFGGGLELLLEKSTKVHKVDVQPRDGEDKATMKGLLSWVKSNLIKERPEMFMKDDSVRPGVLVLINDCDWELCGGLDAELEDKDVVVFISTLHGG >SECCE1Rv1G0040230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:557181682:557184615:1 gene:SECCE1Rv1G0040230 transcript:SECCE1Rv1G0040230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSITLSHSLCLVYCRVNKYQPPPAIPSPPYPSSLIVSLSSTLPQRAKMAGSFVLNTGAMMPSVGLGTWQIAPDVVGDAIYAAVKAGYRHIDCAPVYRNEKQVGLALKKLFEDGVVKREDLFITSKLWSGDHAPEDVPEAIGTTLKDLQLDFLDLFLIHAPIRIKKGTMPSPENFLPPDIPATWEAMEKLYDSGKARAIGVSSFSCRKIEDLLAFARVPPAVNQVECHLIWQQDKLHKLCHSRGVHLSAFSPLGSPGSSLPGVSGAIVLSNPIVISVAEKLQKTPAQVALRWCLQMGQSVLPKSTNEARIKENFDIFEWSIPEDLMAKFSEIKQARLMKGEFAVHPLSVYKTLEDLWDGEI >SECCE1Rv1G0000660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2232118:2233552:1 gene:SECCE1Rv1G0000660 transcript:SECCE1Rv1G0000660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDALVPSILLILFVLSVVVTQAPFFRARHLISDDGGGRATARTKDATTSGCDYSDGKWVRSGATDAMTYGEDCLFLDPGFRCVRNGRNDSSFRHWRWQPRRGSCHLPKFNATDMLERSRNGRIVFVGDSIGRNQWESMLCMLAAAVPAGSRIYEQFGKPLSRHKGYLSMVFADYNLSVEYYRAPMLVMVDRLPPVSDGAIRRAIRLDALPRHAARWAGADVLILNTGHWWNLHKTIKSGNYFTVGDRFNMTTDIKEAFRRSLQTVKDWALTNPQLSKRSYIFFRSYSPSHYGNGTWDTGGSCADQWDPLAMITNESDQQEHLWINTMISSVAQSMRRRHGMNKYAVFLNITYMTGMRRDGHPSRHREPETPSDAPEDCSHWCLPGVPDTWNQMMYGHLVSIGYDMRSIKR >SECCE6Rv1G0385680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:83506054:83513645:-1 gene:SECCE6Rv1G0385680 transcript:SECCE6Rv1G0385680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNDNWINSYLDAILDAGKSAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKELEKEEACRLLKRHPETEKTRIDATADMSEDLFEGEKGEDAGDPSVAYGDSTTGISPKTSSVDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVEFAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPAEMLVSTTFKNSKQEKGENSGGYIIRIPFGPRDMYLTKERLWPFIQEFVDGALSHIVRMSKTIGEEIGCGHPVWPAVIHGHYASAGIAAALLSGALNLPMAFTGHFLGKDKLEGLLKQGRQSREEINMTYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHIIHDFDIDGEEENHGPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNTSASVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLATRTKGAFVNVAYFEQFGVTLIEAAMNGLPVIATKNGAPVEIHQVLNNGLLVDPHDQNAIADALYKLLSEKQLWSRCRENGLKNIHQFSWPEHCKNHLSRILTLGMRSPAVGSEEERSKAPISGRKHIIVISVDSVNKENLVRIIRNAIEAAHTENTPASTGFVLSTSLTISEICSLLVSVGMHPAGFDAFICNSGSSIYYPSYSGNTPSNSKVTHVIDRNHQSHIEYRWGGEGLRKYLVKWATSVVERKGRIERQMIFEDSEHSSAYCLAFKVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVTPIHASRSQAIRYLFVRWGIELPNIVVIVGESGDSDYEELLGGLHRTIILKGDFNIAANRIHTVRRYPLQDVVALDSSNIIEVQGCTTEDIKSALRQIGVPTQ >SECCEUnv1G0544930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:133408145:133408896:1 gene:SECCEUnv1G0544930 transcript:SECCEUnv1G0544930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEGAVVFSEEKEALVLKSWAIMKKDSTNLGLRFFLKIFEIAPSAKQMFPFLRNSDVPLETNPKLKTHAVSVFVMTCEAAAQLRKAGKITVRETTLKRLGGTHLKYGVADGHFEVTRFALLETIKEALPADMWGPEMRNAWGEAYDQLVAAIKQEMKPAE >SECCE1Rv1G0016500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:139026226:139028461:1 gene:SECCE1Rv1G0016500 transcript:SECCE1Rv1G0016500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLWHASVVVLVLLFIGGSDANPAARRHGQLDVNHKKKPLQTSRPYNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDILASKDGHLICFHDVILDATTDIANRTEFAGRKRTYDVQGFKLTGWFIVDFTLRELKSLRVKQRYNFRDQQYNWKYNILTFDEFILIALNADRVVGIYPEIKNPIFINQHVKWSGGKKFEDKFVGTLLKYGYKGEYMSKDWLKKPLFIQSFAPTSLIYISNMTNAPKLLLIYDATVPTQDTNQSYYEITSNGYLAFIRKYVIGIGPWKDTIIPPKNNHLGPATDLVARAHALNLQVHPYTFRNENSFLHFNFHQDPYVEYEYWVKEIGVDALFTDFTGSLHKYQEWTAPHQKKKKKCKGTPA >SECCE2Rv1G0138040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920702655:920704253:-1 gene:SECCE2Rv1G0138040 transcript:SECCE2Rv1G0138040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVFIVGAGPAGLATAACLSQFAIPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKNLFVKYLDDYVERFNIQPQYLTSVESSTYDNHEKCWSIVANDMSKCTTVKFTAKFLVVASGENSAENIPMIPGLENFLGDAIHSSSYKSGKSYSGKNVLVVGSGNSGMEIAYDLATHGANTSIVIRSPIHVMTKELIRLGMSLAQYLPLNLVDKLLLMAADLIFGDLSRHGITRPKMGPMTLKSETGRSAVIDVGTVGLIKKGIIKVERSISKIKGNIVEFQCSKNISFDAIVFATGYKSTTNIWLKNGESMLNGNGLPIRKYPNHWKGENRLYCARLARRGLAGIAADAKNIANDIKSVIYSMSS >SECCE6Rv1G0452270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:875921368:875927261:-1 gene:SECCE6Rv1G0452270 transcript:SECCE6Rv1G0452270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWILSLCFTAISTATVLAFCFLKLSGGKADAQKKQLPPGPWPLPIIGSLHHVISVLPHRTMMRLSRRHGPLMLLRLGEVSTVVVSTAEAAALVMKTHDLVFVDRPRSPTTDIASSGGKDIVFAPYGDHWRQMRKICVVQLLSSTQVNRMEGVRAEEVGSLLRDVVAAAPAGATINVSEKVMALTNDIVTRAVFGGKFARQREFLREMHKVFKLVDGFCLADLFPSSRLVRWLSNGERDMKRCHGLVHRIIAEVVGNRKAARASGAGGSIPGNEDLLDVLLRLQQEDSLEFPLTTETMGAVLFDVFAGATETTGNTLAWAISELMHNPHTLAKAQHEVREVLGKGRSVITNSDLGELHYMRLIIKEALRLHPPGPLIPRMAREDCTVMGYDIPKGTNVYINIFAISRDPRYWINPEEFMPERFENNNVNYKGTHFEFIPFGAGRRQCPGIQFSSSITEMALANLLYHFDWMLPDGANLASFDMSEKFGFAVSKKYDLKLRAIPHVWSNAMTSK >SECCE4Rv1G0291680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:877544523:877545974:-1 gene:SECCE4Rv1G0291680 transcript:SECCE4Rv1G0291680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESAQLLPDDMMADILCRLGPHDLAVSRCVCKPWCAVIDARRMLPADAHLLPLSLAGFFAVSWKGSLTAYFARPCASAVVGKFDYLDTHDARALSVEDQCNGLLLLHRPTLLPNDQRVINPVTRQWAKLPPYPYMFSPCMKGDYQREDMYLVFDPSVSPHYEVFLFHSVPYNCYGDDLVEGAFDDALDPAAKNMEWPPSSYTLRVYSSNTRRWEEKPSIRQGEAAGTIGDMESALSPGHCHAAYWQRALYVHQHDFVMRITLSNHKYQVIKLPLGLHVETDEPEHYLGKSEKGVYCALLYGKNLLGLRIWFLNETCSQMEWLLKRDIKLGNLLANFPWKYGHRSWTTQYVNDEDGKSRATAGAQFESDYSNKDDNVTATKYTVSLLGFHPYREIIFFRTSFGRAMAYHFNSSNIEGIGYLQGKGPHDYARGSFPYTPCRMGELSNNM >SECCE2Rv1G0064960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5863865:5864353:-1 gene:SECCE2Rv1G0064960 transcript:SECCE2Rv1G0064960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFHSVICKILITAVLCAALLFSSGTAQYMCMGKCADIPDCDNYCKTKGVYPKGGVCLPQHHYCCCYI >SECCE7Rv1G0522530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878432771:878433875:-1 gene:SECCE7Rv1G0522530 transcript:SECCE7Rv1G0522530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHGSMRPRRLNSWKAEEEEEDRKEKQGPGSYEAACCADPELRSFDKALQRRASLALSAAASGVQVRTMSLGSLREVTGCLVEMNQEVVRVVLASKHDVWGCPDLFALVEDYFDASLHTLDFLAALDKALRRARDSQLVLHLALQVQDPAVRHARVLDALPRFKAAADGPFTDEFFAAFQAAYLQQLAMLDRLRRQKRRLDLRLRSLLVWRRVTGVVFATIFAAILVCSVVAAAIAAPPFAAALAAAASLPVGTFVAIEDLDGIHGLVGRLEVQIGSMVGCAEPMEHDEGALRLAVEEVKRKLEAFMKSVDDLGQQADKCSRDIRQARIVVLQRINHHH >SECCE5Rv1G0359850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:765586288:765587238:1 gene:SECCE5Rv1G0359850 transcript:SECCE5Rv1G0359850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDVATDVPAPEVEVAADPVVETTAEAAAGDAKPAKETKAKAPKAKKPSAPRKPKATPAHPTYAEMVSEAITALKERTGSSQYAIAKFVEDKHKAHLPGNFRKILSVQLKKLVASGKLTKVKASYKLSAAAAKPKPAAKKKPAAKKKAPAKKTATKTKAKAPAKKAAAKPKAKAPAKTKAAAKPKAAAKPKAKAPAKTKAAAKPKAAAKPKGRPAKAAKTSAKDAPGKKAPAAAAPKKAAARKPPTKRSAPVKKAAPAKKAAPAKKAPAAKKAKK >SECCE7Rv1G0460810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:33762728:33765461:1 gene:SECCE7Rv1G0460810 transcript:SECCE7Rv1G0460810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTAADGEKPSGHLAQPLLGQPQPPQPYYAYPAAAYGYAPGPPPPPPTLVLLDPSQIFIRLRRLRPRRIPCIRRFSARTLPLLLALALLAGLAFLLYPSGPAARVADIRLDRFRVNPPPFPALDFNLALGLRVRNPGFLLPLRYRAVSAAVSYRGRLLGSGTARPGSGELAARGVTYASSEVWVDAGRVLDDVFELIGDIAAGSVPLEIVTEVVGAVRLFHFDIPVKGLMSCSVNVSPGTQSIISQDCY >SECCE1Rv1G0004030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16058826:16062426:1 gene:SECCE1Rv1G0004030 transcript:SECCE1Rv1G0004030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRKLGRPAAATAAAPAAPKAKSNAKANEDDAEGLFSARSFADLGLHPTLCAHLQDKMGFQGPTRIQAQAIPVAMSGQHLLVKAATGTGKTLAYLAPIVHQLQMREPRVERTHGTFALVIVPTRELCLQVYGIAQQLVHRFHWLVPGYVMGGESRSKEKARLRKGISILIATPGRLLDHLQHTSSFVYSNLRWIVFDEADSILELGFGKAVEDILGLLGSRTDASDENKGKTDPMQRQNLLLSATLNEKVNRLANISLKNPVMIGLDEQKKPSERSSALGKKHTNLLSDDEEEIPDKHNNMAEPAVDDFKLPAQLVQRYVKVSCGSRLAVLLTILKSQFERQVSQKVVVFMSTCDAVDFHHTVLSQLEWSRGLALDTDKKQKFLGCKVFRLHGSMDQEDRKKSYLGFSSEKSAILVCTDVAARGLDIPKVRCIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPVELDYLKDLELHGVSLTEYPFQKVLDGFPMNGEKPLKRKPISLDMHPWILSVQRTLENYVASEATTNKLARDAFCSWLRAYTAHRGELKNIFMVKKLHLGHVARSFGLKEQPSLVGRSHQVQLKKRKKEQKREGQGPTKRRKFPTKK >SECCE4Rv1G0248070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:566215002:566218427:1 gene:SECCE4Rv1G0248070 transcript:SECCE4Rv1G0248070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRQSEISIDLTNINTMGTQVPGNGLSEVRGEFGIGTVSMREHPNNGSSNRNLLPPGHESSSSNNNDFELLWGHRKYLVLLGVLAVGVTYNAGLTPPGGSWTLNKDGHDAGDPVMHAGFSERYEVFFYCNATAFAASLVLIILLLSKSVTRKRMWLHSMQLTMILDLFSLLGAYAAGSCRALKSSIYIWVLVFAVITYVGIHTLVSTRFIPRKLKQNLQRMINTVLIKRGFLVRQVSIPLENVEEARKFILTLVIFAATITYQAGLNPPGGFWAENDHGSNKVHMAFPPYKHHPATSVLRSNYLRRYNIFIISNSTSFVASLVIIILLLSPKLSGHGIRTKAVIVCVVVDLSCLIVAYAAGCCREVATSFYVVFIIVIVWISFAILAGFFVCRPVANWLQKVKTSSLCCVEKLGRALSLSFSRHRHSNEEQENSYASNHTPAEDNTHEPQDQPADNQQLPNIREDESHEEHAPAEERNQISDDEEAVNHSQHLSGNSQRSTNIEVVTSNPECPSTHVQHVANQECQSTDVEQNMPVRQSNGDTSHDIVDEGLSAPAEATGIADSAEQNNLVEDNNPSTEILASDDHPTHSENVHTDSNQVPNQNADDIRTEKHLKKTRTCMLLLAILAVSLAYQSGLNPPGGFWSRSKDHHSAADRILEDTHHRRFIAFFYLNAIAFVASIVMITLLLNKMVSDKVTKRRALPITMIVVLLSLTGAFALGNCREAKKTIFISVLVCSVLAYVLIHVLIAVHIIPPEWRRRVVEMLDHSISHFCSVPRQLGHNQTGGDTSEKELGRRSNLLLTIAILAVTVTYQAGMNPPGGVWSDDKDATGTPGNPILQDTHPKRYDVFYYSNSVSFVSSVVVTILLVNKESCEYGIKSYALRMCLVAGLLGLLIAYVAGSCRNSKQSIYLSIIAMAVLVSLLIQVLLSSMHGTLGRPLAKCLVFLHDLLFHAEGERIITPVRPESSVVEEKEVRKRHKYLMLLAILAASIAYQAGLNPPGGFWSDDEVHVPGNPVLHDINHRRYKIFFCFNSFAFMASIVVILLLLSKSIKKKAVPLGVLHLIMILDMLALMTAFSAGSCRKLRTSVYVYTLVGGVVVILLLLIIVSSAIAKYRKARDRSGINSPRRPEPVSGANTPA >SECCE5Rv1G0324940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:458948023:458950798:1 gene:SECCE5Rv1G0324940 transcript:SECCE5Rv1G0324940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTNEWPAGVLDGADTSKVPPQVLDPHGYDPVVAELVAEIKPRYHIAGTKGIFYAREPYVNDSSPHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSADINARPPNATLSPYAAPAKSVHVEDTPKRPAESTDLQYWRYDVKRQRQGQTDGSLLCFKYTSSGSCPRGSKCNFRHDEEAREHCQRNVCFDFLNKGKCERGPECRFAHSLTEEAAARDAKPRSERRRVESSCWFCLSSPDVESHLVISIGDGYYCALAKGPLVPDHVLVIPVEHFPTTIAMPVEPEAELRRYKDSLGKYFEKRGKAAVYFEWVSQQSRHANLQAVPVPLSKAANVKKIFHLAAQRLGFEFSVVNPDGDANQGRELLRSQYDGKSGLFYVELPDGTLLLHIIDSGEKFPAQFGREVLAGLLGMADRADWRNCKLSKEEEVKMVDDFKQGFREFDPAE >SECCE3Rv1G0165940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:180862231:180863313:-1 gene:SECCE3Rv1G0165940 transcript:SECCE3Rv1G0165940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASTDLSTSGQDAPLRRQRRGGRLGLRRRRCRSPTPTSDDPSASSQERTPSFSEASVSAPPSYIPSPDIPAGTYVAVAPLPVFRGDPGECPDAHLARFDRVCRANNATSTADAARIFPASLDADAALWYELTASASSPPPWHAVRAAFVDFFRPPGAANRARAELMALRQRPGEAVNRYHLRMQGILRRFPDASTDVPDAFLKSAFVHGLRAEFQDWVAPQHPEALDDAVALALSWERAEGLREARRAAKAAVAGNGGVRCGFCGAEGHEEPGCEVRKRMMELWLRSSSSGRGSTVPGKKGEEEAEEGVGSARFGRLGSAVSARSAQCQCRKHRCWKKAAPASEVAGGGEANGEAADK >SECCE5Rv1G0363670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:795677928:795681814:1 gene:SECCE5Rv1G0363670 transcript:SECCE5Rv1G0363670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K Homology domain containing protein, Nuclear RNA/DNA binding protein of the STAR (Signal Transduction and Activation of RNA) family, Flowering time contro [Source: Projected from Oryza sativa (Os03g0815700)] MSGLYGQQGFSPSRNLSPQIRSNPDVDSQYLAELLAEHQKLGPFMQVLPICCKLLSQEIMRVSNSAHNPGFSDFDRHRFRSPSPMSSPNPRSNLSGNGFSPWNGLHQERLGFPQGNSMDWQGAPPSPSSHVMKKILRLEVPVDSYPSFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDKLRGKPGYEHLSEQLHILIEAEFPANIIDARLRHAQEILEELLKPVDETQDIYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ >SECCE1Rv1G0037340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:521435972:521437042:-1 gene:SECCE1Rv1G0037340 transcript:SECCE1Rv1G0037340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAASQHQATTAVAPGGRKVVDEVSGWLRVMDDGSIDRTWTGPPEALPLMQPVQPYAVPRDGHTLHDLPGEPNLRVYLPEVDAGSVGRLPVIVQLHGGGFCISHPSWVLYHHFYARLACAVPAVVVTAELPLAPEQRLPAQIYTGVDVLRRLRSIAMSDEGSLDDRAAELLRKAADISRVFLVGDSSGGNLVHLVAARVGEDGADAWAPLRVAGGVPIHPGFVRATRSKSELQSTPDSVFFTLDMLDKFLAMALPKGATKDHSYTCPMGPNAPPLESVPLPPMLVAVAEKDLIRDTNLEYCDALRAAGKEVEVLINRGMSHSFYLNKFAVDMDPTTGERAQELIDAIKSFVARH >SECCE5Rv1G0323600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:437696515:437699797:1 gene:SECCE5Rv1G0323600 transcript:SECCE5Rv1G0323600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVQGRGATPADQTVQRTVTADTALINALDPLAKESLPVGAAAALNPLAREFEPWWRVGGASRRGLSADAPEFVVTQGAYLQDLTVVGYPARGTGVYIGNAVPTRTWRRSSRVSNYSQQGRARYSYRVQRIHDKEFVRRTICVSDIDHTVTEEMLVGLFGICGVVVDCRLSGDPTSGFRFAFIEFQHQEDAAVALHLDGIIIGLRPLKVAPARNAIAPIKHSFLPQSEDEKERSSRTIYCTNIDHMITAAELKDFFQTYFGPVSHVRLLGHNNHATQIAFIEFVEVSGAITALSSSGIYMRGLAIRVVPSKTPIRTTFHDNSRLDH >SECCE2Rv1G0093820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:386998070:387010307:1 gene:SECCE2Rv1G0093820 transcript:SECCE2Rv1G0093820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPTTLHHLLLPAPPHLAFALPHAAPPLHAWLPLSRVAPPRVAALTHGSSRRIRRRGTRAKVVAAVGDEVAPAVAAEEASSSGPTKFSVKIPVGDRHILVETGHIGRQASASVMVTDGETIVYCSVCMSDTPSDPSDFFPMSVHYQERLSAAGRTSGGFFKREGRAKDHEVLVCRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDCVAVTAAGIAMALSEVPNKQTIAGVRIGMINDQFVVNPTTEQMEDSELDLMMAGTDSAILMIEGFCDFLTEEKLLQAVETGQVAIREICKAIDVLVQTCGKKKMVEAINLPPSELYRHVEDISGDELVKALQIKEKIHRRKALSALEEKVIMILSEQGYVSKDELSGAIENLVDIVEDEDEDEVIEDGEVDEGDVHIKPVSRKPHCQLFSEVDVKLVFKEISSKYLRRRIVEGEKRSDGRSACELRPINSQCGILPRAHGSALFTRGETQALAVVTLGDYQMAQRIDTLVDTEESKSFYLQYTFPPSSVGEVGRTGAPNRREIGHGMLAERALEPVLPSEVDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTQEFGGDGTPLILSDITGSEDASGDMDLKVAGNEHGISAFQMDIKVVGITLPVMEQALLQARDGRKHILNEMLKCSPPPSKALSPHAPVIHVMKVKPNKVNLIIGSGGRTIKSILEETGVYAIDARDDGTVKITARDMESLEKSKNIITNLTMVPKVGEIFRNCEIKTIAPYGAFVEIAPGREGLCHISELSSSWLAKAEDAYKVGDRIDVKLIEINDKGQLRLSCKALLPDANQEPGSIKQQSSSVKEKVVYKDDIIKMTTRRSRRKKEVEQSAAENAIPKTLENSTVDSA >SECCE6Rv1G0411940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611543538:611545022:1 gene:SECCE6Rv1G0411940 transcript:SECCE6Rv1G0411940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASPSLSPGACLTLPPPAPCAAPKPRHRTPPRDVVSWTSAIARPAREGDLPAAAAALSDMLSSPAAPAPNDVTLLTVISACAGAPSSPLARPLALSLHALAIKLFPGHLLLCTCLARFYLASRLPHHALRLFGSMPVRSVVTYNTMITGLMRNGLVAAARELFDGMPDPDKVSWTALIDGCVKNGRHDEAIDCFHAMLLDGVEPDYVTLVAAISACAEVGALGLGMWVHRFVTRQRLEGNIRIANSLIDMYARCGKVEFARQVFDSMRKRTVVSWNSMIVGFAANGRCTDAIEHFEAMRRKGFKPDAVTFTGVLTACSHAGLTDEGLRYYDAMRVVHGIAPRMEHYGCVVDLLGRAGRLDKAMSVVASMPMRPNEVVLGALLAGCRMHGDLDMAEQLMQYLLEQDPGGDSNYVLLSNIYAAVGKWDGAGKVRSLMKSRGVKKRPGRSAVEIDGDVHEFVCGDRSHPQAGEVLDMLDLLSHEMAGREAVSYE >SECCE1Rv1G0037100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:518728828:518731773:-1 gene:SECCE1Rv1G0037100 transcript:SECCE1Rv1G0037100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILGLVELGVSAAVHLLFGFYVFSTAVAADISQAAAASGCPLLRRPPPAAGLVDVAAAAEEDERRGVVLDGSPPPIVLVHGIFGFGKGRLGGMSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGVEHSQVYGHARFGRIYDTGHYPVWDAQNPVHFVGHSAGAQVVRVLHQMLAEKAFPGHDTSEDWVLSLTSLSGALNGTTRTYYDGMLAEDGRSMKSICLLQLCRLGVIVYDWLDIPWLKNYYNFGFDHFEMSWRKVGFSGLVDLLLGNTGPFSSGDWILPDLTIQGSLKINSTLKTFPNTFYFSYATKRTRKLFGITVPSSVLGVHPMLFLRVLQMCMWRHPQNAPLPYKGYRDEDWEDNDGALNTISMTHPRIPIEHPNRFVVDDSDCNPLQPGIWYYKIIEGDHILFIVNRERAGVQFDLLYDGIFERCRKHAFRKSPPTMPNETSQ >SECCE7Rv1G0480760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:273521976:273523489:-1 gene:SECCE7Rv1G0480760 transcript:SECCE7Rv1G0480760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRFPAAALAAAVHPFVRSSPAASKAAKPPTAPLDTPRNAGSGAAAGASSGRAEVRDVAAACGMQEDDRVPLAEVVLDCTKRWFQDTLKEARAGDAAMQVLVGQMYRSGYGVNKNEHKSRIWMEKASRYRSTVWKVSHKRPGYNASDSDSDDVKETGK >SECCE2Rv1G0116360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:763378434:763381203:1 gene:SECCE2Rv1G0116360 transcript:SECCE2Rv1G0116360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLOWERING locus D-like protein [Source:Projected from Arabidopsis thaliana (AT3G10390) UniProtKB/TrEMBL;Acc:A0A1I9LNW6] MHTAAHGSASHGPRVNPRFIEQAEPLSPPPATMSDQQLPSALLPLASLPPNPNPNPTPPPVPSPTLALHIPAIPSPSKRKRTGFRRKVPSAASPAPHPPVPPSAADDIIVINREPTAEAVTALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLTRWRETFNSWLAKEPFASLIPPHCEHLLTSAYNFLISHGHVNFGVAPAIKERLPKEPTRPNTVIVVGAGLAGLAAARHLLASGFKVIVLEGRKRCGGRVYTKKMEGGGHSAAADLGGSVLTGTFGNPLGVVAKQLGLPMHKIRDKCPLYRPDGSPVDPEVDKEVEGTYNKFLDNASHLRAAMGDVAMDISLGAALETLRQSDGSISSEEEINLFNWHIANLEYANAGLSSRLSLAFWDQDDPYDMGGDHCFLPGGNGRLVHALSENVPIVYERTVHTIRYGGDGVHVVVNGGQVYEGDMALCTVPLGVLKNGGIKFVPELPQRKLDSIKKLGFGLLNKVAMLFPQVFWSTDLDTFGHLTENPSRRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETTPPTDAVSSVLQILRGIYEPQGVEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFITGVREAANINIHATARATKTKVVKSPSTNAQACATLLVDLFRQPDLEFGSFSVIFGGKASDPKSPAILKVELGGPQKKSATEGGKKEQHHSNKSLFQQLQSHFNQQQQLYVYTLLSRQQAMELREVRGGDEMRLHYLCETLGVKLIGRKGLGPGADAVIASIKADRNSSRTKSGPSKPRVRVSKPQPSATKPQPSAKS >SECCE1Rv1G0053780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:671643013:671644212:1 gene:SECCE1Rv1G0053780 transcript:SECCE1Rv1G0053780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAATNLGGRRPPWQDLPSELLGLVLQRVLSHADRVRLRAVCRQWRAGARLQPPLPPLLPWLALRDGSFLALSDGEVHRRGLARDANVAHRVSTGCKLFLVVVMSDHVTAVQRGSEVRGGKVITISIRRPQSTTVEWQWQWMPHHHVASGTYCYCPHPYRVLDMALFRHKLYVLTAAAVGLYHPLRLRLYAMDVVGDSHVNVRRVFMRPEDDVDRWHRGGPRHYLVASGDRLLMVKQTSPLLMPAWFEVLEAADISGGGRGRWRDVETLMGRALFVSEGCSETLPAGDGKHGGARQDCIYFLKERNNYDGRTSALYSGVYDMRRGTVSPLPVDTVVPHDGSLTATWFFPPDT >SECCE2Rv1G0083800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:171069766:171071193:1 gene:SECCE2Rv1G0083800 transcript:SECCE2Rv1G0083800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYLSSKCIAEKELVKYNDRPSEGRAFDVVVLLLGLVGGGTLLPYINESQHFMLSPFTGIEPYHNALRFTQAALGAVPVVHVDDVCEAHVFCMERQRDVAAGRYLCATAHTNMQDLVEHYAGKHPELKLARKEVVGEGVRVQADTNKLVELGFKFKYRAEEVLDGSVECGKKLGLLPVADP >SECCE3Rv1G0159730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:99231555:99232193:1 gene:SECCE3Rv1G0159730 transcript:SECCE3Rv1G0159730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVGKEREEAVVHPSPRQGGKLLSRLLTRDSSAAAPSFRVYYGVSSAGAVPFLWESQPGTPKNAISDAAVPPLTPPPSYYAAGSTAAAKKHAARKAAAGGNRFRPSVILGSILMATRRRGRTAPSSVSPTSSFSSGSTSSSSTSSFRRAGGSSRLHSSSSSFSDDEETAAATCFRVRHESFRALKGCRVAVTVRSALASVGGHGAAVQRV >SECCE3Rv1G0178970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:521406770:521408710:-1 gene:SECCE3Rv1G0178970 transcript:SECCE3Rv1G0178970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLYTDIGKKTRDLLYRDYCTHQKFTLTTCTPEGVVAAGTRKNESIFGELQTQLKNKNLTVDIKANSESDLLTTFTVDEFATPGLKSILSLVVPDQRSGKLELQYLHEFAGVNASVGLNPNPMVNLSSVFGSKELSVGVEVSFDMATSNFTKYNVPLSLTYPDLIASLHMNNHGDTLTASYYHLVKSHSSTVVGAELSHNFPRNESTLIFGSQHSLDPHTSVKARFNNYGMASALVQHEWRPKSLVTISGEVDTKAIEKSTKVGLSLVLKP >SECCE5Rv1G0321350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:402202236:402207153:1 gene:SECCE5Rv1G0321350 transcript:SECCE5Rv1G0321350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELTEVPGNPTPLQHSTVDVVAANIVPKVTNALIRQLNQVCPLENLRHVKRVRRRVVCGENSELSIILCLSTGPDNCSEGFPEEVQKIVDTYHLSPFIAKVASCSATSKEVWKEQCKLWPTSYHPPNDIDGVSGFKEEELPSIFRCMRTAMQLSQVGNAAIIVDPSSMQIISKATDQAHQRDTCLNGNKCAMVEADNASSFPGAIEDKPNTSLPPSSRFCNGLDREVSCINPFGWMKQRCTEQKTLPSEGGFLWHPLWHAAVVAIENAAERDRSIFPASTTEPKLNGDVENCSDDEPAKRIKIVTNDEEQSAHPACSSNLSERNRPYLCTGFDIYLVWEPCAMCAMALVHQRFKRVFYAFPNPITGALGSVCRLHGQKSLNHHYSVFRIKVPEAYLNGSTDF >SECCE2Rv1G0137980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920620288:920621326:-1 gene:SECCE2Rv1G0137980 transcript:SECCE2Rv1G0137980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVFIVGAGPAGLATAACLSQFAIPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKNLFVKYLDDYVERFNIQPQYLTSVESSTYDNHEKCWSIVANDMSKCTIVKFTAKFLVVASGENSAENISMIPGLENFLGDASHSSRYKSGKSYSGKNVLVVGSGNSGMEIAYDLATHGANTSIVIRSPIHVMTKELIRLGMSLAQYLPLNLVDKLLLMAADLIFGDLSWHGITRPKWVQ >SECCE1Rv1G0002310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8566821:8567726:1 gene:SECCE1Rv1G0002310 transcript:SECCE1Rv1G0002310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLILTILAMATTIATANMQVGPSGQVEWPQQQPLPQPQQPVYQQPQQIFPQPQQTFPLEPQQTFPQPQQTFPHQPPQQFPQAQQPQQPFHQPQQTFPQQPQLPFPQQPQQPLPQPQQPQQPFPQPQQPQQPFPQPQQQFPQPQQPQQSIPQQQQPLIQSSLQQQMNPCKNFLLQQCNPVSLVSSLVSLILPRIDCQVMQQQCCQQLAQIPQHLQCAAIHSVAHSIIMQQQQQQGIQILRPLFQIVQGQSIIQQQPAQLEVIRSLVLKTLPTMCNVYVRPDCSNIRTPFASAVAGIGGQ >SECCE5Rv1G0357210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:744356400:744356624:1 gene:SECCE5Rv1G0357210 transcript:SECCE5Rv1G0357210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLVISLPFIFFTILLGFGCYFLGKHKGREEMRAGVGAQIYGTPLPPPGVAGQSPGPYPVPVPMKKEGADAV >SECCE3Rv1G0201990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:868649153:868649652:-1 gene:SECCE3Rv1G0201990 transcript:SECCE3Rv1G0201990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSKSTVVGGIGGVDMCAMVAEHERIEWEVRPGGMLVQKRRGPDDNGCDDAVEAIMVRYPPAAAVLLSLATGLWPREQRLLYRGRERDDGDHLHMAGVQDKDKVLLLEDPAVTERKLRSTSLAQLMGVPCHSFIQV >SECCE6Rv1G0387170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:106791823:106796932:-1 gene:SECCE6Rv1G0387170 transcript:SECCE6Rv1G0387170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP97B3 [Source:Projected from Arabidopsis thaliana (AT4G15110) UniProtKB/TrEMBL;Acc:A0A178V2Z2] MAMSAATAPTLLPMSSTGTPRLASSYPSSSAAHGRSRLIPIRCQSPGVDKTTKPKRNLFDNASNLLTNLLAGGNLKNMPVAEGAVTDLFDRPLFYSLYDWFLEHGSVYKLAFGPKSFVVVSDPIVARYILRENAFCYDKGVLAEILEPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVRVFTTCSERTILKLEALIEKGDHGDKSTIVNLEEEFSNLALDIIGLGVFNFDFDSVNKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTQWIVPRQRKFRSDLKVINDCLDDLIKNAKETRQEADVEKLQQRDYSSLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSIFLLAQNPTKMRKAQAEIDYVLTDGVITAEKLKKLEYIRLIIVEALRLYPQPPLLIRRSLRPDKLPGGYNGAKEGYEIPAGTDIFLSIYNLHRSPYFWDRPNEFEPERFTAPKKDENIEGWAGFDPERSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALALLLQKFDVELRGSPDEVEMVTGATIHTKNGLWCRLRKRT >SECCE6Rv1G0434210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764231098:764231772:-1 gene:SECCE6Rv1G0434210 transcript:SECCE6Rv1G0434210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFVKNPTGRTIRFKVHSSDTLYTVKAKIQQQYHLVFNGLQLEDNRTLADYGIEHDSTIDLQEKMQIYVTETLSGRTIALEVDSLDTIGNVKSKILDMEGFPKGQQCLIFGNKQLEDDNRTLADHNIWKESTLLLVLRPCKAGESRMMHLFVRTLDGRTLDLDVRSSDTINSVKVKIYEKDGTPPIQQRIIFAGSQLEDGRTLADYKIQSESTVDLSLRLCGC >SECCE7Rv1G0508880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:775707522:775707800:-1 gene:SECCE7Rv1G0508880 transcript:SECCE7Rv1G0508880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDKARSASKEEASRRQDIERSRAEARRKVEQMVDTVQFNDPWIHHSDVTKSPEELLQARQQAWRYQARLIEMARRRESRDYAQEMQIHG >SECCE5Rv1G0356750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741878067:741878543:-1 gene:SECCE5Rv1G0356750 transcript:SECCE5Rv1G0356750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSFLAMIMACTLLASTTSHAARHLADTTPAAAPPAAAAIPGLPAVPTLPAVPPMPAVTVPAVTVPPMPTVPTVPQVALPPMPAVPAVPKVTMPPMPAIVMPKVTMAPMPAVVVPKVTMPPMPAIPSMPKMTLPPMTSIPTVNVPMPFLAPPPST >SECCE2Rv1G0121600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:809519150:809519491:-1 gene:SECCE2Rv1G0121600 transcript:SECCE2Rv1G0121600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEDFRACHDIASLAKKMVELERHVMFPAVYRLIELALLLPVATTTVERAFSSMKIIKTELRSKMCDGWLNDLMVCYIKREIFKSDDLNKIKEDFQKEGRALPLPGSSTRH >SECCE1Rv1G0026370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:369756436:369759423:-1 gene:SECCE1Rv1G0026370 transcript:SECCE1Rv1G0026370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAPFRLLLPLHPPVTPRRPPGPVLPSVPRRGTVPSLRLRLRLAAGGGDTPPPAADELDCVGTGTDVECAVDDGPGAEEGVAPALAGREWWEWVSLVSPFFFWGTAMVAMKGVIPKTGPFFVAALRLLPAGALVVAFAAARGRKQPSGWAAWGAIAVFGLIDAACFQGFLTEGLQKTSAGLGSVIIDSQPLTVAILAALFFGESIGAIGAGGLVLGVVGLLLLEVPALSVEGSNTSVWGSGEWWMFLSAQSMAVGTIMVRWVSKYSDPIMATGWHMVLGGIPLLVISVLNHDPALNGHIQELTWSDIIALGYTSIFGSAVSYGVYFYNATRGSLTTLSSLTFFTPMFASIFGFLYLGETFAPEQIGGALLTLVAIYMVNYKSIIGEK >SECCE1Rv1G0045890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:613148370:613150467:1 gene:SECCE1Rv1G0045890 transcript:SECCE1Rv1G0045890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGSAVSPGNHVNGKQVQSVDPPGLSSFNNGPRHRPLNALRRCRGVLCLVIMPVTACMMMVYLAPVTTFVVRLFSVHYSRKWTCFLFGMWLAMFPFLFEKINRTRFVFSGEKVPPKECVLLFANHRTEVDWMYLWDLALRKGRLQSIKYILKKSLMKLPIFNWAFHIIEFIPVERNWEVDEPLIRRRLTELKNPKDPLWLAVFPEGTDYTEKKCIKSQEYAAEHGLPILKNVLLPKIKGFNCCLQELRSNLDAVYDITIAYKHRLPTFMDNVYGVDPSEVHVHTKIIQVSDIPTAEDEVSSWLTERFRLKDELLSDFLTQGHFPNEGTEEDLSTLKCVANFVAVIGMTAFFIYLTLFSSVWFRVFAAGSASFLTFATVYSVHAPQIVCLPEAGAHAKKA >SECCE4Rv1G0270230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:754123089:754124477:1 gene:SECCE4Rv1G0270230 transcript:SECCE4Rv1G0270230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALEIKDVEEIVEAILIRLPPDEPENFVRASLVCKLWYRLLSDNGFLSRYRAFHNTPPMLGLLHSWFCNDPFIPITKFIPRGHCKQNNVADCRHGRVLFSNWVIWFPMTGNTRELPAPQRSDSWNTDECAAVLCAIDGCDHGACQSGPFLVVSISLDEEKVATATVYSSETSTWSAPASLDLGFIESDISISWMPSMLSGGALHFILMHDARPGVLKYDLGTSCLSEIEPPSEIQIAHFDSHALIAPEDGQLGIAMLNGFNLSMYWRAVCHDQVATWTKSEVIDLKKHLLAGDPMIPHTELVGSVERTTIIFATTNLGTYMIDLKSLSSKKLTSELRLFSHPWALFPYFSFYNLPIGQVQNMANLGESEMGSIDEESRDNESGSRDKESGESDAGSSDEEMGKMMLEAPMMKQKKPKLETSMTKHRL >SECCE1Rv1G0027860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:389985088:389989826:-1 gene:SECCE1Rv1G0027860 transcript:SECCE1Rv1G0027860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTTAGATSPLAVVPLLLLPLLLARGAGAVSLWRPPPEAGLLGSAPSRFLTQEEHWMSQTLDHFSPTDHRQFKQRYYEFLDYHRAPNGPVFLNICGEASCTGISNNYLAVMAKKFGAALVSPEHRYYGKSSPFEDLTTENLRFLSSKQALSDLAVFRQYYQETLNAKYNRSGADNSWFVFGGSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQIGESAGPECKEALQEITRLVDGQLQSGHNSVKELFGAKMLENDGDFLYLLADAAAIAFQYGNPDVLCSPLVEAKKNGTDLVEAFAHYVNDYYVGTFKASVASYDQNYLKNTTPAESSYRLWWYQVCSEVSYFQVAPKNDSVRSAKINTRYHLDLCKNVFGEGVYPDVSMTNLYYGGTRIAGSKIVFANGSQDPWRHASKQKSSEELPSYLIECSNCGHCTDISGCPQAPSNIGGDSSKCSSPEAVNKVRKQIVDHIDLWLSECQEQGRDKLTSQGSRWSIATY >SECCE2Rv1G0141170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934465069:934465308:1 gene:SECCE2Rv1G0141170 transcript:SECCE2Rv1G0141170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKPDMRRPMFVTKATQKVVIKVDEAGTEAAAITRGFTGGGLPPDMVPFVADHPFSFLIMEERSGVIVFAGHVLDPTK >SECCE4Rv1G0242440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:477447525:477455307:-1 gene:SECCE4Rv1G0242440 transcript:SECCE4Rv1G0242440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSAAGARQQQGEAVSDRLHRYRGVLMVVLSPVLLVSFVLLLMPRSPASVTGGTSGVLVATGGRRWGPQAVGGVGDGSNRYAVIFDAGSSGSRVHVYCFDESLDLVPIGNAIELFKQKKPGLSSYAKDPQEAAESLVSLLEEAEKVVPVELREQTPVRVGATAGLRALGAERSEEILQAVRDLLRDKSSFKSQPDWVSVLDGSQEGAFAWVTINYLLEKLGKPYSHTVGVVDLGGGSVQMAYAISEKDAAKAPQVSDGEDSYVKKLVLKGTTYYLYVHSYLHYGLLAARAEILKAGENSDYSNCMLDGYHGKYQYGDDTFEASGSSSGATYSKCRAVAVRALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFVASFFYDRAAEAGFVNPKTAVAKVKPSDFEEAARRVCKLNVKEAHATYPDVSEEDIPFLCMDLVYQHTLLVDGFGVDPYQDITLVKKVRYGNSFVEAAWPLGSAIEVASSS >SECCE7Rv1G0509470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:781011954:781013238:1 gene:SECCE7Rv1G0509470 transcript:SECCE7Rv1G0509470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPSVKMAMASSHTHTYAPLLLLFLLACSCRASSGHAVDPTCPPAKASAVAVETLVCSGPRCQPPAPHVPVPVFPYDVDPMQFALNLEYTEAELFLHAAFGVGLDQISPNLTLGGPPPVGAMKANLDEVTWRIAAEFGLQEVGHIRAIQNTVGGFPRPKIDLSASNFARVMDQAFGYHLDPPFDPYIDSLNFLLASYVIPYLGINGYTGTNPIIDGYATKHLLAGLLGVEAGQDAVFRALLFERKSETVPPYKGITVAEFTDRISAARNQLGKCGVKDEGLTVPPELGAEGRICTNVLSADRDSLSYARTPAELLSILYLTGDEHVPGGFFPEGANGKIAREFLRKPFAAGGNRAPTN >SECCE4Rv1G0284080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838183671:838189034:1 gene:SECCE4Rv1G0284080 transcript:SECCE4Rv1G0284080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASGGAEITLEHTPTWIVASVCSIIVLISLLFERLLHRLGKKLRKGHRKPLYEALLKVKEELMLLGFISLLLTVFQRTTQKICVRESLMHHLLPCPRNSASATKTVHHVTTAMFTGVVGRTRRLLAGGAASSDYCLNKGKVPILSIEAIHQLHIFIFVLAATHVVLTAVTVILGITKTRKWRHWEDKIQQSDDNGPQMIRHVQQFKFIKNHFRGHGKLWEIFGWLRSFFKQFYGSVTEEDYTTLRLGFVMKHSRGHPKFNFYNYMNRALEGDFKKVVGISWYLWALLMIFLLANVHGWHVYIWISAAPFIFLLVVGSKLEHIITELAIEVAQKHTAIEGDLVIAPSDELFWFHRPKLVLILIHIILFHNAFEIAFFFWLLVIYGFKSCIMGKPAYAIARLVISVTSQLLCGYITLPLYALISQMGSSFKKAMFDESISEGLTNWAKKARKRNRISATSASENPRVGDNSRVGEGIQMTNARSESAMEQGTARLI >SECCE7Rv1G0507250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:760316533:760316907:-1 gene:SECCE7Rv1G0507250 transcript:SECCE7Rv1G0507250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVEIVEQVLKTEVKQSTFLRNVGLQSSMNNSGKATAEVAAHVRDLEQKLERSELQVEVMQEELAAIKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFGAKAV >SECCE4Rv1G0216190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:9771337:9776620:1 gene:SECCE4Rv1G0216190 transcript:SECCE4Rv1G0216190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRPLNSGGIDPIAEESSSPPPPHHALPHADPAALACAISAQASAVLAVMRRGLRHPRADDAAADHPLVASLKALRRLAFAPHAASSPSLPAAALRPFLDAVRSEDAGADATSAALAALHEVMALTAPSLPGSALREVVDAVACCRFEAGAEPAAEEDVLMRMLQALLACLRAPAAPALGDQHVLTAVNTCFRVVHQAAAKGELLQRFSRHVMHQLVRHVFARLPHIGAGDADDAAVKPEMGGMDKKHPFGIGQMENGNGSYVSEAGTPDENSPDGSVLVVEPYGTPCMEEIFHFLCSLLSGVELNGYDEGQPLFALKLINSAIELGGSAIGRHPKVLSLVQDELFRNLMQLGLSISPLTLSVVCSIVLNLYHHLRNELKMQLEAFFCCIILRLAQPRFGATYHQQEVAMEALVDFCRQKNFMVEMYANLDCDITCRNVFEELANLLSKSAFPINCPLSSMHILALEGLIAVIQGMADRIGNATSGPELRSVELDEYAPFWTVKCENFSDPQHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGNHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFEEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQAPQAFANKDTALLLSYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHAICRNEIKTTPEQGMGYLEMSPSRWIDLMRKSKSTPQYIVGDSQPFLDHDMFAIMSGPTIAAIAVVFDHSEHEEVLLTCVDGFLGIAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANKYGHYIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEVSAETVQGKPVPSSISTSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKVLQPDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVARLVKANARHIKSQMGWRTVVLLLSITARHPDASGVGFEAIMFIMSEGHLSKSNYAFCIEASRQFAESRVGLTDRSIRALDLMADSATNLARWSQEEPGEEADRGLEAIREMWLKLLQALKKLSLDQREEVRNHALVSLQRCLTATEGICLQSTTWSHAFDHVIFALLDDLLEIAQNHSQKDHRNMEGSLVLAVKLVAKVYLQLLPDLFGLSSFCKLWLGVLSRMEKCIKTKVRGKRSDKLQELIPDLLRNILVAMKSRGILAKRSTIGGDSLWELTWLHVNNISTGLQSEVFPSQEYEPPSNAGSPRGGLNDAEIKN >SECCE6Rv1G0389690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:159305001:159306575:1 gene:SECCE6Rv1G0389690 transcript:SECCE6Rv1G0389690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEDSTPPAGAGASAGERPSSPSPSRRLPDFLQSVRLKYVKLGYHYLITHGMYLLLTPLIVLIAVHLSTLSPGDVADLWTHLRFNLLSVIACSTLLVFLSTVYFLTRPRSVYLVDFGCYKPGPERRCSRETFMRCSKLTGNFTEANLDFQRKILERSGLGEDTYIPPALVTVPPNPSMELARQEAQVCMFGAIDNMLAKTGVKPKDIGILVVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGLLSVDLAKDLLQVHPNSYAMVVSTENITLNWYFGNNRSMLVSNCLFRMGCAAILLSNKRSDRRRSKYELVHTVRTHKGADDKCFSCVTQEEDDSGKVGVALSKDLMAVAGDALKTNITTLGPLVLPFSEQLLFMVTLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNMDLTDWHMEPSRMTLFRFGNTSSSSLWYELAYSEAKGRIRRHDRIWQIAFGSGFKCNSAVWKALRSVNPAKEENNPWMDEIDTFPVEVPKVSKVAE >SECCE1Rv1G0054240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673769891:673772134:-1 gene:SECCE1Rv1G0054240 transcript:SECCE1Rv1G0054240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLANLLGSLLLISSFSPAPILCYVNRVAVRVQQGVTNTSAYHTYIVLVEPPPSNLGEDMYLHWYESFLPSSPVGDSGKPRLVHSYTKVFTGFAAKLTDGELDAVAKKPGFLRAFKGRTRNLMTTHTPKFLGLSNNTRFWRGVGYGKGIIIGLLDTGIYAKHPSFDDHGIPPPPARWKGSCKASRCNNKLIGAKSFVGDDDSSDQEGHGTHTSSTAAGNFVVGASYHGMGAGTASGVSPGAHIAMYKVCNTNGCDDSAIVAGLDTAIKDGVDVLSISLGPNAGIKFDEDPVAIATFSAISKGIVVVCSGGNRGPAPHSITNDAPWLLTVGAGSVDRSFDISVNLSNGKCIHGEGLTQVVAKSRSKMYPLLYSEEERYCDYVGSHAVTGKIVLCDSDTHGDLGHIMRAGAAGIVLFNSFSNGYTIRLDDYNSTVVQVSRDDGVVLRDYATSASSSVNAIFSYHNTLIGARPAPAVASFSSRGPSRYIPGVLKPDILAPGLNILAAWPPKAHSRLGPFNIISGTSMATPHISGVAALIKSSHPNWSPAAIKSAILTTSDAVNSTGGPILDQNHMKAHAYAMGAGHVNPARAADPGLVYDLGVADYAGYICWLLGDRGLATIVHNKSLTCAKLPKIKDVQLNYPTITVPLKSTAFVVNRTVTNVGPPASTYAVKLDMPEALTTRVTPNKLVFSKAGEKKTFTVSVSGKDVHERGRVEGSLSWVSAKHVVRSPIIVVLNLPESPPSLENF >SECCE7Rv1G0472160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:137795109:137798150:-1 gene:SECCE7Rv1G0472160 transcript:SECCE7Rv1G0472160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSVTPGAVALILANPTPESADDVPEIVVQVLDLKPIGSRFTFMASDGEAKVKAILSTHFASEVHSGKLQNLGLVRILNYTCNDIPHKTDKMVIITKCEVVSQALDAEIKSEVKIEEPAVLMKPKEEAAILSKPIGAAPSAIVLKPRHDVKSASQIVSEQRGNAAPAARLAMTRRVHPLISLNPYQGNWVIKVRVTSKGNLRSYRNARGEGHVFNVELTDEDGTQIQATMFNEAAKKFYPVFELGKVYYISKGSLRIANKQFKTVQNDYEMTLNETAVVEEAEGESFIPPVQYNFVKIDMLGPYVGGRELVDIIGVVQSVSPTLSIRRKIDNESIPKRDIVVADDSNKTVTISLWNDLATTVGEELLNMVDSAPIIAVKSLKVSDFQGVSVSTVGKSTLVINPELPETEKLRAWYESEGKGTALASVGAGMGASNAGGLRSMYSDRVFLSHITSDPNLGQDKPVFFSLYANISNIKPDQTMWYRACTTCNKKVTEALGSGYWCEGCQKNYEQCSLRYIMVIKVSDPTGEAWLSLFNDQAERIVGCSADELDRIRKEEGDDMFQLKLKEATWIPHLFRVSVVQNEYMGEKRQRITVRSESPVDYSAEARYQLEEIAKLTSS >SECCE5Rv1G0367020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819076830:819077723:1 gene:SECCE5Rv1G0367020 transcript:SECCE5Rv1G0367020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFELPEFNPRERAKQQISVPFLWEVKPGAPKRDWAISKSTPTVFSCPSPAKLVVSVPFQWEEKPGKPLQDMSRFHAPSDRHAGFSVSPYSLNPFMAEDDEEYTLGFDLEAFGFPDDGSKASTGAAEGADGSSRHGAWYSFSESEDYSNSSGNTSARESQLPRAPSERSWEVANDDDHELTKPPRSPLRSAFTLEELMMLSRKLGGGQGFSADVRKKSLSSSPSSVELIKKFLIVCS >SECCE3Rv1G0181300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:610239890:610246155:-1 gene:SECCE3Rv1G0181300 transcript:SECCE3Rv1G0181300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYVVIGFLLFCSVQLICSSLEILDLHELINNSIPWFLMEASSCLRYQVRGFGSSRIWLCLLLLLVLQNCRPVLSDSPYLVGMGSYDITGPAADVNMMGYANTEQIASGIHFRLKSRAFIVAEPNGKRVVFVNLDACMASQLVNIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEQSIVEAHNNLHPGKIYVNKGDLLDAGVNRSPSAYLNNPAEERSKYKYNVDKEMTLVKFVDDESGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEKNGLPKQTGVASSDDLGSLHMTSVLPRRVSTIIPEPDEITDDLMQLASSYEASGGRRLAGSNITRRIRSSQENNAKFVSAFCQSNCGDVSPNVLGTFCIDTHLPCDFNHSTCNGKNELCYGRGPGYPNEFESTRIIGNRQFLKAVDLFNSASEEIQGKIDYRHTYLDFSQLKVSVSTSTGGPQVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNPFWRLVRNLLKTPGKEQVECQAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQLVILCVPGEFTTMAGRRLRNAVKNVLISGSNGKFGTNVHVVLAGLTNTYSQYVTTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLATAMIENKEVPTNIQPPDMLEKQIGLLPGVMYDSTPPGVHFGDVSSDVAANSNFRKGSTVNATFYSACPRNDLLTEGTFALVEKLNGNDWIPVYDDDDWSLQFKWSRPSKFSPRSFATLEWTIPEDAASGVYRLRHSGASKPLIGSIKHFTGTSRAFAVR >SECCE5Rv1G0338640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:599237264:599239246:-1 gene:SECCE5Rv1G0338640 transcript:SECCE5Rv1G0338640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPMWMFAMLVAALLLVMPAASAAPTGGDTGTVIGIDLGTTYSCVGVYRNGRVEIIANDQGNRITPSWVAFADGGERLIGEAAKNQAAANPERTIYDAKRLIGRDFADAVVQRDIKLLPYSVVDRKGKPHIQVRVKDGDVRVFSPEEVSAMVLSKMKETAEAYLGEKVTHAVVTVPAYFNDAQRQATKDAGVIAGLNVLRIVNEPTAAAIAYGIDEKGPEKNVLVFDLGGGTFDVSVLSIDNGVFEVLATNGDTHLGGEDFDQRLMDYFIKLIKRKHGRDITGDLRALGKLRRECERAKRALSNQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMVPVKKAMADAGLSKADIDEIVLVGGSTRIPKVQQLLKEYFNGKEPNKGVNPDEAVAYGAAVQASIVSGHVDENTKKMILIDVAPLTLGLETVGGVMTKLIPRNTVVPTKKTQVFTTYQDKQTTVSIKVYEGERSMTKDNRLLGKFDLSGIPPAPRGAPQIEVTFEVDVNGILHVKAADKGTGKSEKITITNEDGRHSQEEIDRMVREAEEFMEEDRKVKERVDARNKLETYVYDIKNTLDGKMADAMERDEKEKVQNAVREVNEWLDDNPEAEKEDYDEKLRELEDVCNPVISALYQRSGGAPDDNNTEEDDHDEL >SECCE3Rv1G0200380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852970400:852970810:1 gene:SECCE3Rv1G0200380 transcript:SECCE3Rv1G0200380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE6Rv1G0387740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:121488213:121489566:1 gene:SECCE6Rv1G0387740 transcript:SECCE6Rv1G0387740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAHKVVLAQPAAAAAAPRLLPRAPRPVRGDGGEAYRAGQRYQSLLQDYKELLKETAAKKNRLHLEKLKKQRLSAEVKFLRRRYKSMSENPSQTAVYRLKNPAMVPTSRTTVWVDYHRPVQAVGSSSKGHQPAQQQRHHPVPRASPVIDLNEACELSSSEETEEFHGYQETVRADKVNKYLFEGNVAAGPSDSKMSAFWDARNPAAARAGKRKISWQDQLALRV >SECCE2Rv1G0071720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:47230952:47231665:-1 gene:SECCE2Rv1G0071720 transcript:SECCE2Rv1G0071720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMSCLTPPPSASFLSASSPAYYADDAIARALHFSSMPEYEYEYDYSPAVSSPSSASAPSSSSLLADIPGGSSWFAFTASPPTGSLARDSVSVPVASDAAQYGPVGAATNKTRVGLGPNAAGAGRAGKRRARPSKRSPTTYISTDAANFRLMVQHVTGVQAEAGAADGVLLAASSSALLMDGPPFDGAIGDTLRLPSDVDTAALHRHHQQQLVQQQQPCYPTLDSWSVMCESSQLI >SECCE2Rv1G0122810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:819163673:819163963:-1 gene:SECCE2Rv1G0122810 transcript:SECCE2Rv1G0122810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACSFFFDAEPLSEAGMPALDACALCTKALARDSDIFMYMGDTPFCSEECRDEQMQLDAISARQAARRQQRFSSGTEARRGHQESRKVSVAS >SECCEUnv1G0541700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98180861:98181133:1 gene:SECCEUnv1G0541700 transcript:SECCEUnv1G0541700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISILGIRGILLNRRNILIMSMPIESMLLAVNLNFLVFSVSLDDMMGQSFASLVPTVASAESAIGLAIFVITFRVRGTIAVESINCIQG >SECCE5Rv1G0332180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:545110968:545112524:1 gene:SECCE5Rv1G0332180 transcript:SECCE5Rv1G0332180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAALFSRAAAGLFGRCAMAGAGGAWNGLFGGGEGLADLDGGDWDAAAVAAFSSMLLPQAAFQELDISAAAPQEESGGRGEMRAAGVGEDDAAPVTTAATGRRKRPRTRTAKNSEEVESQRRTHIAVERNRRKQMNEYLAALRSLMPPSYAQRGDQASIVGGAINFVKELEQLVQTLETRRQTGEHAAVDGREAPPPPFANFFTFPQYSMSATSAPAPPPANNDEGAEAEASGSKPSAVADVEVTMVESHANLRMLSRRRPRQLLRLLVGLQGHRLTVLHLNMSSASHMVLYSLSLKVEDDCQLTSVDEIATAAHQIVEKIQEEQELTAAA >SECCE4Rv1G0256990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:661868699:661870720:-1 gene:SECCE4Rv1G0256990 transcript:SECCE4Rv1G0256990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g03380, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G03380) UniProtKB/Swiss-Prot;Acc:Q9ZQ74] MHAPSPRGPPPDAHSVEHLPHGGLLLHRLLPACATVPSLRALHARLLAHGLLRALRARTKLLSCYAALGDLASARRVLDETPRPDAYTYRVALGWHAAAGRHAEAVALHRDMRRRCPAAQDDVVLLSLALKASVRSADFRYGRRLHCDAVKAGGADGFVMNCLVDMYAKGGDLENARKVFDRILSRNVVSWTSMLSGCLQNGFAEEGLALFNEMREERVLPSEHTMASVLTACTILGSLHQGRWVHGSVVKHGMVFNPFVTAAMLDMYVKCGELEDARQLFDELGFVDLVLWTTMIVGYTQNGSPLDALFLFADKKFVRIVPNSVTIATVLSASAQLRNLSLGRLIHGMSVKLGVVEKDVVMNALVDMYAKCKAVSEAKGIFERMSNKDVVTWNSLIAGYVENDMGNEALMLFSQMRVQGSSPDAISVVNALSACVCLGDLLIGKCFHTYAVKRAFLSNIYVNTALLNLYNKCADLPSAQRVFSEMSDRNSVTWGAMIGGYGMQGDSAGSIILFNEMLKDNIQPNEVVFTSILSTCSHTGMVSVGKKCFESMAQYFNITPSMKHYACMVDVLARAGNLEEALEFIQKMPMQADISVWGAFLHGCKLHSRLEFGEEAINRMMVLHPDTPDFYVLMSNLYTSYGRWDKSLAIRRSMQERGLVKLPGCSSVGLENG >SECCE5Rv1G0324030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:441724184:441727506:-1 gene:SECCE5Rv1G0324030 transcript:SECCE5Rv1G0324030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTANRQLLEAKVGINNAMFAASDSATSQLGDSFVVGRCEGQKTIDGEQMPLVLGPSTEGAGEDGGGTGYEALVAAVRAKREWLEDKVLTNSAVLLRGFDVRDAAQFDAVVEALGWPDIRYVGPAPRTHVHGRVWTANEGPLEQSVYFHHEMVLIKEFPAKVILFCEVPPPEGGETPFVPSFRVTERALEEFPEMVEELDAKGLRYTFTAPSNNNTGSMRGRGWEDAFGTSDKREAEMRAKALGMDVEWLHDNGVKTILGPRTLTRVFPGRKGRRMWFNTLVGMHGNALSSATAADGDEIPVSFVQRCEEIIEEESIQFRWCKGDILILDNLATLHGRRPSLPPRRILVATCK >SECCE4Rv1G0295640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:899266439:899266936:-1 gene:SECCE4Rv1G0295640 transcript:SECCE4Rv1G0295640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLPLAGVRTSNFEPRELPSNSYLNLGAPYGKFVPFIIAGEGGFGEHKQLNWTNLFLLNKLGSDIDRDHEEVIDQIKVEKDVEKIKELIKRLPREISEHLEGHETHEQVEILTDLSRNMDQRMSYLSREIRHLRSDLGEVMQGIGEIREALARMEGQNPCFRP >SECCE5Rv1G0343560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638879852:638883411:-1 gene:SECCE5Rv1G0343560 transcript:SECCE5Rv1G0343560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGGAAPTQPAASAGLAPAAIAAPPQAPSRENPRDPAAQAEGADPGNAAARKTAWNVPPPPPAPVGGIMGGDESWPALADTAARAWPKSSSSDSLKSLSDGSAPSAPEDPIVPLVPPPQPQPVSTPISTASSPGPASTSPPPSAGATITASSPQNGSTSQPILVRHGGGNGGDNGGSGGGNVSTHSQSTGNYSSDGNSSSGGDGNWNDGGLTGGSNLNSSVHSGTIGGSGVDNSRRMFGSNNWNGNGNSRGGSGGGSYNNATGNADGGNRYNGGSGSGHWNNNARHGSSNSNGFGGRGGRNRRDHERGSNFSPRNYSRALPVQQQQQGYYQPGPFQRPPPPSPAAAHFMVPQPFVPYIQPIPYPTDLQASYPYYVTQVEQQFQNMHLIRPPMQPLAFQQDQINLQHDIQRQIEHYFSTNNLCHDTYLRGRMDDQGWVPIDLIASFPMLTRFTMLGIDTSYILDSIRGSELLEVQGNNVRRRNNWAAWLIHRGPPPSN >SECCE6Rv1G0444160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826921465:826925564:-1 gene:SECCE6Rv1G0444160 transcript:SECCE6Rv1G0444160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDETLALEAVLGLSPQIFINEVLNMVDDVRFQAFEYCLQEGAPTTVGAATATNKAEELKRGVNAICNLVTDVLNKRMSNWEMYCLRKCLTVPERFVAPEDNNSSVMVLHKDGNSDSELDAELNFLRKKLADANMESEELQREITSLERQATYKSSLNSSISEVLKLYEDKSVQENIQAILKAIPKLHEKMKVMKRKKVEVEAMVGQNVWNVDCLRDQKRLASGSTPSAEDIQEVNAAVKGLRKE >SECCE5Rv1G0302130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:35257148:35257515:1 gene:SECCE5Rv1G0302130 transcript:SECCE5Rv1G0302130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGRRRTVLLQIALFAVAAAVITNSSVCLGVGAVPGDVTAGTGSNDPNHPAFPSPPGKPYTGRPCSKIYGCNVPPAGGRP >SECCE5Rv1G0317970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:355822680:355825170:-1 gene:SECCE5Rv1G0317970 transcript:SECCE5Rv1G0317970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENASISVTILLACLVLAIGGKEVKCIRREENTGGMPLNQKVNKTILVDGRDVYECIDVNLQPAFSHPLLKDHKIQMEPSSFPLSTSTKSPSVDDVPQAQLALIECPTGTIPILRKKRKVHMRVETIDKVIIQDQHEVAGIEYFDVLYGTRAKINVYNPMVKNNSKDLSASWIQINKIQKVGVADGIGAGSWVYPSYSGDNLARFHVAWLDGLKTCPDHDCGTFVQVSSSVGLGGRLKQVSVYNGPQYVIDVIIFKDPMTKNWWVSYGPQNIHIGYWPREIFHFMKDQCNYALWGGYVQGPTASSDSPQMGSGHFASEGFGKAAFVSNIQIIDNESKYVIPNERQTGVVATSLSKYTAKAHGYGYSRYGVHTYYGGPGGSV >SECCE4Rv1G0240530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448046347:448046893:1 gene:SECCE4Rv1G0240530 transcript:SECCE4Rv1G0240530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLSTFCFEILRRVALWIAHSIYDFTFMDLVNILPFSSTLGWHSLNNNGEVQKRKGLRWIPRHPETRKSVASDEMLRGVENKHRSGDSQIGQPFKLPAESMSRQETTWRTETS >SECCE5Rv1G0334490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566083049:566083396:-1 gene:SECCE5Rv1G0334490 transcript:SECCE5Rv1G0334490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSYERLSSPAAGSGRRGGGGGLGAWAALRRGLARLCAARRGRWAPARGMLVRARGRSWRGRRRVAAGCGYDSEGYARNFDDGLWKAEEGAPWTAAGPAIGACRLARAVSSVQ >SECCE4Rv1G0243680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:505173154:505176491:1 gene:SECCE4Rv1G0243680 transcript:SECCE4Rv1G0243680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRLGTWPWPAVRRGLSRLAPPAPAAVVRVDSNNVARLGAPKTGPRPRQLLSLPPFPAGADPLPGRKVAPRHVTAVSWVKHCFADVPQEVVQAHFNKKQVYAECSDQDVSAERIRCQKHHLKKIKHSEVMEPGMRIHLPVSVAEAEIKKRYETIPTATLHPNRDEVEYLKRLVIYKDSAILVLNKPPKVPMKGNLPVHNSMDVLAAAALSYGNEEGPKLVHRLDRDTSGLLLMGRTRESFTRLHWLFTSIKLARTASQTWNTACESYLQRYWALVIGTPTESEGVISAPLTKVLLDDGKAERVILAHPSGIDGAQEAVTEYRVMGPTINGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGEPYKLRRPEGLEVQKGSVLSKVPLLHLHCREMVVPNIAKFLSNTGEWHDNGTPWSKDKPNLLRFLAPLPAHMKISWNVMSSYLV >SECCE1Rv1G0057740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694869941:694870891:-1 gene:SECCE1Rv1G0057740 transcript:SECCE1Rv1G0057740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEATATALGFPAEVRPGATRVGWIGIGVMGGAMAARLLAAGYAVTAYARTQAKAEALVAAGARLADSPASVAAASDVVFTMVGNPGDVRAVVLDAASGALAGLRPGGVLVDCTSSSPSLAREVAAAARAAGCHAVDCPVSGGDVGARDGTLALLAGGDEAVVAWLGPLFAHLGRPTYMGPPGSGQSSKIANQIAVAGAVVGLGESLAFAGAAGLDERLFLGAVSKGAAGSRVMDIFGERALSRDFTSGGAVRYIIKDLGMALEVEDGKEEEANVLPGSALYRQMFSAMAANGDGELCLQGLITVVERLNGIRK >SECCE2Rv1G0085440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:191498839:191509518:1 gene:SECCE2Rv1G0085440 transcript:SECCE2Rv1G0085440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLRRVFPSLGRALLSPTPARMLSAEASEALVEIKPGEIGMVSGIPEEHLRRKVLIYSPARTASQQGSGKVGRWKINFVSTQKWENPLMGWTSTGDPYANVGEAGLTFDSAESAKAFAEKHGWEYVVRKRHTPLLKPKTYAENFKWKGPPKTEEAA >SECCE2Rv1G0142200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:938976304:938979898:-1 gene:SECCE2Rv1G0142200 transcript:SECCE2Rv1G0142200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRKLIGSPVGTLGYSESLPQIIFVLSSLLTCSLVGATALHDDASTDFQTLICLKHHLSTSARLLASWNNDSRQFCSWSGITCSKSRVVALHLESLHLDGQIPPCIANLTLLERIHLPYNQLKGPIPAELGQLNMLKYLNLSSNNISGMIPSNLSSCSQLQVIDVGNNFINDKIPPNISQCSNIQHLNLDNNKLTGGIPEGLGRLRNLSILSLSGNTLTGNIPLSLGSSSSLHSVYLTNNSLTGPIPSRLANSSSLQFLDLTNNHLGGEIPPGLFNSTSLQLVSLGVNRLTGFIPVVSPNIDSPLQYLILSSNNLAGTIPSTLGNFSSLWWLLLGDNSFQGSIPVSIGKLPNLQVLDLSYNFMSGSVPASIYNISTLTYLGLGVNVLAGEIPYNVGHTLPNIEILSMGGNKFNGQIPTSLANTTNLQEIDLSNNSFHGIVPSLGTLSNLIYLTLGDNSLEAGDWSFLTSLTNCTQMVELSLSANILHGHLPSSIAGLSKSLEVLLLRENKISGTIPQEIKHLTNIKRLYMDKNLLSGSIPESLGNLQNLFGLGLSQNKLSGQIPLSIGNLSQLTELYLHENNLSGPIPGTLGDCKKLETLNLCCNSFEDSIPKELFTLSSLSEGLDLSHNKFSGQIPLEIGSLVNLGPLNISNNQLSGQIPSTLGECVHLESLHIERNNFYGRIPQSFMTLRGVIVMDLSQNNLSGEIPNFFESFSFMKVLNLSFNNLQGPVPPGGLFQNESVVFIQGNKELCASTPLLDLPLCSSVVSKQKLNTSKILKIVAITAVSLVLLSCFGVIIWTKREKIKQATHPSFKELKKFTYADLEKATNGFALANLVGSGKYGSVYKGRTDSEDHHAIAIKVFKLDQLGATKSFLAECEALRNTRHRNLVRVITLCSTSDPAGNEFKALVLEYMINGDLESWLYPTPHERHLKRPLCFGSRIVIAVDIAAALDYLHNHCMPPMVHCDLKPSNILLDDVMGARVGDFGLAKFLHGYNSSSGIDGSTSTSLEGPRGSVGYIAPEYGFGSKISMEGDVYSYGVIILEMLTGKRPTDEMFKDGLNLYKFVEQSFPEKICEIIDPRIIPYYGNRDEGEAVSILLDQENHQVAAGTMSCISAIIKLGLLCAAETPKDRPAMQDVYATATAIKEAFSALQA >SECCE7Rv1G0482400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:304437009:304442163:1 gene:SECCE7Rv1G0482400 transcript:SECCE7Rv1G0482400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRKEEERNERIVRGLLKLPPNRRCINCNAIGPQYVCTSFWTFICISCSGIHREFTHRVKSVSMSKFTVQEVEALQKGGNQRARELLLNDFDTQQMRVPDSSNTESLREFIKVVYVERRYAGVSLSERPPRDIQIQKSHEEEHRRASSYHSFSQSPPNDYQYEERRNRKQPAMLSRKPSSDRGHDGKMPGYSYRSHSLQERMSEDQFANESRGPRTSDCSGSSMSGTFGTAPKSPDFFDDGCLSPPVQQNQSNMLSSNGITQSQRTASTGNIDSTSLKSGKSSLADLFFDFENVHRTQQSNNSAAPSFVAFSDVVNGAKEDLFSQPNLQQQPVTGYPSVDLFANMPHTASSADKMPLEAPSMGNAEWATFDTPPKDKQPGVTGPSPVADVGNHKQILGRDLFSFEPSDRPTLFPTSKHEVSISNQSSATSLDTSCSQLWHSFDDATGIISNDHSGAEPLSNELTNVVDVSHNPFTCPISSKEFHGDDCHNVFMEELALSASFAPLLEPSPISDVPSGKPFADEVVLNPFDLPFGTDSEATNLFMDMSTLQAALPNLPISFLDGLPESWFSNNTSAYVPPGSHGGLPCLVEQAPNSPLRNITLSTVSTGNPFA >SECCE3Rv1G0187640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:703045289:703050792:1 gene:SECCE3Rv1G0187640 transcript:SECCE3Rv1G0187640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAVGTAAVVCAAAAVGVAAAAVVSRRRRRTRDAEVSRRKKVADVIEELEQTLATPTPLLRGIADAMVEEMERGLRADPHAPLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVLRVQLGGKEKRAVQQYEEVPIPPHLMVGTSTELFDFIAAELERFVETEGDDFHLPEGRHRELGFTFSFPVHQTSISSGTLVKWTKGFCINGTVGEDVVAELSSAMERQGLDMKVTALVNDTVGTLAGGIYADNDVVAAVILGTGTNAAYVEHVDAIPKWKGPPPRSGNMVINMEWGNFKSNKLPRSDYDIALDFESLNPGEQMYEKMISGMYLGEVVRRILLRLAHDASLFGDVVPPKLEKLFVLRTPDMSTMHHDTSHDLKHLGAKLKDILGVADTSLEARYITLHVCDKVAERGARLAAAGIYGILKKLGRDRAPRDGSPKQRTVVAIDGGLYEHYKKFSSRVEATLAELLGEAAASSVVVKMANDGSGIGAALLAASHSQYNVVE >SECCE3Rv1G0168080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:214489749:214492311:-1 gene:SECCE3Rv1G0168080 transcript:SECCE3Rv1G0168080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGHAFRRLARRMLSNVPESTVYGGPRPQEAAAARRVTVTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDICLVGDSAAMVVHGHDTTLPISLDVMLEHCRAVARGASRPLLVGDLSFGCYESSSTQAVDSAVRVLKEGGMDAIKLEGGAPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAIKVVETALALQEAGCFSVVLECVPAPVAAAATSALKIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFGNVGSVINKALSDYKQEVETRSFPGPSHTPYKITATDVDGFANALQKMGLGEAADAAAAAAENSENEGKPSENS >SECCE3Rv1G0183140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:645063423:645071612:1 gene:SECCE3Rv1G0183140 transcript:SECCE3Rv1G0183140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKPQRSALVDSYVVPGDVILDLADMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPNKYWVENSQKRYVPSVEDTVLGIVVDTKPDNFLVDIKGPNLAFLPVLSFEGGTRRNIPKFEIGTLIYARVVKANSIMNPELSCMDASGKAAEFGQLKSGYTFETSTGLARMLLSSPTCPLLEALGKKLSFEVAVGLNGRVWVNAPSPSNVIVVSSAIKESESYSRLQQKSMVEKLLAKLS >SECCE6Rv1G0432380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:751647554:751651146:1 gene:SECCE6Rv1G0432380 transcript:SECCE6Rv1G0432380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALSLMLILLLLANARASAVALANIDDDNDEQLLERFKGAVRNRGELRGWSRGDGACRFPGAACVKGRSQDSNIGGPAFASNAGIGRSLVALSLAGVSLDVDFRAVAGTLLQLGDVEVVSLRGVNVSGSLASGGDGGWMCGKKLARLDLSGKGMLRGSVADAHALAGACDGLRELNLSDSRDIFVRLDVLDLSNNNITGDGELSWMGGVRRLNLAWNNISGPLPVPVFVNCSRMESLDLSGNLISDEALPGALSGCTALASLNLSSNHLEGAFPPDIVFPASLSYLNLSNNNFSCELPGDAFAGLPRLSSLSLSLNHFSGSVPDSLGALAELRVLDLSSNVLTGAIPPSLCPSTGNKSKLLVLYLLESLDLSLNYINASIPSSLGSLARLRDLILWENKLEGEIPPSLAGARRLENFILEYNGLTGSIPTEFVNCKNLTWISLGSNRLSGSVPAWLGRLDNLTILKLNNNSFSGQIPPELGDCKSLVWLDLNDNQLSGSIPPELAKQSGKMTVGLIIGRPYVYLRNDELSSKCRGKGSLTEFASIRSEDLNRMASKKLCNFTRMYMGSTEYTFNLNGSMIFLDLSFNKLDSEIPKELGAMYYLMIMNLGHNQLSGAIPTQLAGAKKLAVLDLSHNQLEGPIPTSFSSLSLSEIDLSYNRLNGSIRELGSLATFPKSQYENNSGLCGFPLPLCQPSSRLHPPLVSTASSNEYHLLKILLPTITLVFIIIAVCLYYPLMRNKLKKNRIKSSDDPTDPANHQLISHLELVRATNNFSEDNMLGSGGCGKVFKGQLSSGLVVAIKVLDMRSKCAFRSFDAECRVLRMARHRNLIRIINTCSNMDFRALVLQYMPNGNLEALLHFSQGGERLFGFRERLRVMLEVSMAMEYLHHDYHETVLHCDLKPNNVLFDKDMIAHVADFGIARLLQADDSSVFSMSMHGTTGYMSPEYGSYGKASRKSDVFSYGIMLLEVFSGKRPTDAMFVGELSLRRWVHQLFQADQCVHAVDRRLLQCSDMDIGFLAPILEVGLLCSSNSPRDRITMSDVVLRLKNIETKYAKNTTSTSGSASQ >SECCE7Rv1G0493190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:564988482:564995272:-1 gene:SECCE7Rv1G0493190 transcript:SECCE7Rv1G0493190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAADSASGSKRQAELLKQEGNTFFKKDRISAAIDAYTGAIALCQNVAVYWTNRALCYKKRNEWAKVEEDCRMAIQYDSHSVKAHYMLGLALLNRQELAGGIKALEKSLELGRGAHPASYMVEEIWQELSKAKYIEWEGLSKMRSSQLHKLNATCKEALKSYNSLDNSTGDVSEEHLNELDEVFRKAAEADTPTEVPDHLCCKITLDIFRDPVITPSGITYERAVILDHLNRVGKFDPVTREALEPFQLISNLAVKEAVDVFLNEHGWAYKIR >SECCE6Rv1G0447470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850640725:850642418:1 gene:SECCE6Rv1G0447470 transcript:SECCE6Rv1G0447470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVQKTFKILCRTDEDYCLTVRWDDDGRIAVVMAPTNARDQQQHWYKDTRFSSTVDEDGSPAFALVNKATGFAIKHSSGQSEPVTLVPYEYDPEAVDESVLWTESDDVGDGFRCIRMVNNIHLNLDALDGVTELQDGTPIVLWEWLEGANQSWKILPWATHSGLHPALSSEFTMRIFCSAGEEYSIAARDGAVCLAPADPNDDLQHWVKDMRHGEDIKDEYEQPAFALVNKATGEAIQHSLEKGHPVRLAAYDPDCPDESVMWTESEDVGDDFHCIRMASNIQLNFDAVHGGEDESVVQDGTTIILFDWVEGDNQRWKMVTWCE >SECCE7Rv1G0464410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:60680707:60684046:-1 gene:SECCE7Rv1G0464410 transcript:SECCE7Rv1G0464410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGQAARATREAVPPASRVAIIGGGISGLAAAKQMAAYDPVVFEATPSVGGVWKHCVYRTTRLQTPRPDYEFSDYSWKNREDPSFPTHTEIVDYLEGYADEFDLWRYISFGSKVVDIKFLGGAEAGFTELWSGTGKAPLRGKPMWEVGIVTGDSNTVQYYKFEFVVMCTGKYGDVPRMPVFPPGKGPEVFKGTVMHSLDYCKLSEEETVELMRGKKAVVVGYKKSAIDLANECAQANQGEGGQACTMLVRTLHWVVPSYSIWGLPFSMFYSTRLSQLFYERPNQGLFRSLLCRLMSPLRAGVSKFIESYLSWKLPLGKYGLTPDHPFVEDYASCQMAILPEGFFDMADRGLVRFKRASAGWCFSENGVVLDDGTKVDADLVFLATGFEGKDKLREVLPKPFRDLLVGKSSMMPLYRGTIHPLIPNMAFVGFVESVSNLHTSELRCRWLSGMLEGRFELPAVKAMMGHVAGEADAMRRTTRFYRRHCISTYSIHDSDGMCADLGSATLRKGNWIAELFAPYNNKDYKEQ >SECCE5Rv1G0355810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:734670515:734677277:-1 gene:SECCE5Rv1G0355810 transcript:SECCE5Rv1G0355810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutamate carboxypeptidase AMP1 [Source:Projected from Arabidopsis thaliana (AT3G54720) UniProtKB/Swiss-Prot;Acc:Q9M1S8] MPHPSSSTSSPQPLHLARVLLPFGLLLLVSLLVLHGRPGGERRPPGTDPAALFLSLSPGANASIAADLRALTAGPHLAGTPGAAGVAAHVLSRLRAAGLRTLTREYAPLLSYRAHASLALLAADRSLLAHLSTDEPADPGRRVVRPYHAYAPSGAAVAEAVFVNLGREEDLVALDRLGVSLRGRVAVAVRGGGYRGGVVARAAGRGAVAVLIAGRADGGVERGSVLLGGPGDPLTPGWAAADGAERLGFDHEAVRRRFPRIPSMPVSADTAAAIVRSLGGPALPPEWRAGLGLEDHAGGVGPGPTLVNFTYQEDRKMATIKDIFAVIKGREEPDRYVILGNHRDAWTYGAVDPNSGTAALLDVARRLGIMLQSGWTPRRTIILCSWDAEEFGMIGSTEWVEENLGDLQSKAVAYLNVDCAVQGMGLFAGSTPQLDKLLIDVTRQVKDPDVEGKTVHDTWSTMNGGINIERLARTDSDFAPFLHHAGIPCVDLYYGKEFPGYHTALDSYIWMEKHGDPLFLRHLAITEIWGLLALRLANDLVLPFDYHTYASQLQEHTNVLADRMNNSQSVSVINGFINDLSSAATEVQKEAKELKLLDMRDGHGLIRRRLLNDRLLLAERGFLHAEGLQGRTWYKHLMYSPPEDYESELLFFPGIADAISRRGNQSMRQRQAAVRQELWRVAMAIQRAANVLRGEFGAHSKPINFSVSVAP >SECCE4Rv1G0225740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:99529351:99534898:-1 gene:SECCE4Rv1G0225740 transcript:SECCE4Rv1G0225740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVGLAKSVVEGALTKAQSAIEEDSKLRQSAQRDLVFITGEFQMMQSFLKLADDERTRNIVVRTWVRQIRELAYDVEDCIEFVLHLDKKSQWWRRLLPPFVSAARQPLDEAIAEIRQLKIRVEDVSSRNARYSLISDSGSKPVVVHQQPPAAAMGATAFNMLVEATDIAKRQHGDLTQLITKKDGGLQVISVWGTGGDLGTTSIIRKAYSDPESCQNFACRAWVKLTHPFNPHEFIRGLTAQFYANTCQELHEEALRGVLTRSEASQDDYLKEFVRQVNDVRYLIVLEDLSTMAEWDAIRTFLPDRKNGSWIIVSTQQFEIASLCVGHSYQVLELKQFSTDHSVYAFREGFQGDKEKAKERPTEQGVSSLNILTSKNNAASEWMKTPIIGRESEMDELRQYTTFARFHSFQVISVWGIAGVGKSTLVRSLFCDRILCTTLFEKYAWVDVSHPFNLRDFSRSLLLDFHSESLQTKGTINRGTIRFKNPIQECRDLLEQQHCLIVVDDLQSREEWELIKSALLSRSSKSVIIAITTDASIATCCADKEELVFNVKGLQADAAIDLFHQEANRKNPLSTLKNLKEEMKLKELILKCGGLPKVIVAIAGVLAKKTVTLMDTVDSTNDRFMHTLENNPEYDSLRGLFGWMYSYFRSCPDSLKPCIFYMSLFPRDDSIRRRRVVRRWVAEGYARDSEDKSAEQNGEKFFSMLLDLSIIQHPPQSVNTASGDTRMISCRVNGFIREYIVSRRMEENLVFELEGCCDVTTQRTGRHLIIRESWDRDKIVFQSMDFSRLRSLTVFGEWRQFFVSESMKLLRVLDLEDASDVEDADLEQILKQLRRLKYLSLRGCSKIHHLPSSLCDLRQLQTLDIRYTSIVKLPVNITKLQKLQYIRSGTSIPAEQPSTSCLSVSKICKRRHLVGVTVPGGIGKLTALHTLGVVNVGSSGRKAVLKELEDLTQLRKLGVSGINKKNSKKFSSAISCHVHLESLSVWLDKDSQDCLDGIFLPLKNLQSLKLYGLVNKLPGEISQLTKLAKLELEIDTFKQDDTAVLADLPKLCTLRIKLLLQDSTLSFFVGKNGLEVRSYQKVKVLEIASSSNLRVTFGAETMTKLELLKARCCDGSPVEFSGLNNLTELKVLSLKGCYDDTQKQELESQLAQHPKKPVLMLEE >SECCE5Rv1G0331560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:539490773:539491909:1 gene:SECCE5Rv1G0331560 transcript:SECCE5Rv1G0331560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKIKRLPTLAKVALLLLVLLLLLAAILLLIFLVPRRRTSPLPPAAPPGPADNCSDRAVAFDFSPFLVQYKGGCVHRMDGTARVPAGVDAATGVVSKDVVIDHGTGLGARMYLPPAAGGGGGKKEKDLPVVVFFHGGAFVIMTPFEPKYHDYLNALVAKARVVAVSVDYRLAPEHPLPAAYDDSWAALNWATRNADAGPEPWLRDRGNLSRLFLAGDSAGANIAHNMAMRAGNGSGSGLEGGAAILGVLLLDPYFWGKRPVGAETTDPATRRQYEETWSFVCGGRYGIDDPLINPLAVPAAEMRRLACARVAVTTSGLDNFEARGEAYAAALNGSGWGGEVVRYETAGERHVYFLDQPASPKSAKELAFAAAYLSRG >SECCE7Rv1G0453920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:215362:220823:-1 gene:SECCE7Rv1G0453920 transcript:SECCE7Rv1G0453920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACVNKEEGGGRDRDRERDGAGGDTPTCRDPVKSLTSQLKDMVLKLSGGTQRQGVPKRGGSPPARGRTTSLCRSGYYRPGVVQDDMAVPPATYLGHGAGTAASSASSTPAWERPPAGGGGGGEAAVREWVAQVEPGVQITFVSLAGGGGNDLKRIRFSREMYDKWQAQRWWGDNNERIMELYNVRRFSRHVLPDAPRDDDASERESFYSQSQVGSTMGSPAATPSPAPESIAWGAAFARAQPSAGPGGAARQQSFRGPLSPPPPSSSNPSERAWQQQQQPQRQSEPGLPEPARTTTSSLPDDVSVSNASELEVTEWVIQDEPGVYITVRELADGARELRRVRFSREKFAELNAKLWWEENKERIHAQYL >SECCE3Rv1G0198630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:834299656:834301123:1 gene:SECCE3Rv1G0198630 transcript:SECCE3Rv1G0198630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGGERGGDRGGERGGFGRGFGRGGRGDRGGRRGGRRGPKEEEKWVPVTKLGRLVKEGRFSKMEELYLHSLPVKEHQIVEQLCPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDSNGHVGLGVKCAKEVATAIRGAIILAKLSIVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRETTFTKAPYQEFTDFLAKPTKALMLDAPAEKIEA >SECCE2Rv1G0107820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:655153372:655153863:1 gene:SECCE2Rv1G0107820 transcript:SECCE2Rv1G0107820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEKAVRRFANGEKAFTEGAEILRLVAAVTEADLAAGKLVPTRELAAETAVAEETALRLLRRAEEEAENAEHMAAAFVGRPGAEKLEEPLRSHAASVARLRTQAAEFAAFTRRMASLPVVEELESSELRPRGGLTAGVEGSGRREPRTRRPNARYFGPEWSS >SECCE1Rv1G0012930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:98615327:98616204:1 gene:SECCE1Rv1G0012930 transcript:SECCE1Rv1G0012930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSTLHFFGLPLEKKKAVAIQANGFEGFGHHYNRASYEKLDWAESLILVTQQHEQRNIEFWPADPSTFRDALDKYSLEMSNLTSRLMVFMASDLGIEQEALMGTFEGKTQSVAFHYYPPCRHPDKVIGITPHHDGLGLTLLLQVDDTPGLQVRKNGRWYPLNPLPGAFVINVGDILQILTNGTYKSAEHRVLADVEKGRATVVMFQNDCVAGMVKPLPELGEATYRAIDHVEYVKGNFRALAEGTRFVDSLKIV >SECCE2Rv1G0109010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:671513585:671519431:-1 gene:SECCE2Rv1G0109010 transcript:SECCE2Rv1G0109010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCASCLASACAGCACNLCSSAAASVTRRSARLAYCGLFAASLILSFLLRQFAAPLLQHIPWINTFDDTPPEEWFQMNAVLRLSLGNFLFFAIFALTMIGVKDQNDRRDAWHHGGWIAKFAIWVVLVVLMFFVPNVVISVYEILSKFGSGLFLLVQVVMLLDFTNNWNDSWVEKDEQKWEIALLVVTVVCYLSTFAFSGVLFMWFNPSDHDCGLNVFFIVLTMILAFAFAIIALHPQVNGSVMPASVISVYCAYLCYTSLSSEPYDYACNGLHMHPKQVSMSALVLGMFTTVLSVVYSAVRAGSSTTFLSPPSSPRSGARNPLLGDANVEEGKGNSEGSEPRPVSYSYTFFHLIFALASMYSAMLLTGWTSATSERSELMDVGWTTVWVRICTEWSTAALYIWTLVAPLLFPDRDFS >SECCE6Rv1G0400970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:460126836:460139785:-1 gene:SECCE6Rv1G0400970 transcript:SECCE6Rv1G0400970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTSEREHNGEASSSGVGSKSKEKERPRSFDEKTRTACWRKAAVLAGRHPERWRQDAAGNVVCRRFWSCHGCLCYEYDHIVPFSKGGESTVENCQILQTRVNRSKSDKAWVEKAEMQGFSCDVKFTDKELDVIEMAVYGDVIRPGKQCRCKTVAEMLGQVKPKNQMAACDLPT >SECCE5Rv1G0324850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:457634412:457643453:1 gene:SECCE5Rv1G0324850 transcript:SECCE5Rv1G0324850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSYLVIMKQPLLDKAASSPSEATSSRSHFTDAGWFSIITFSWMGPLLNLGRRKTLDLDDVPLLDNHDSFHGILPNFKAKVVSISATRQYNDVTTIKLAKAMFLTTWKLILVTAVYALLSTVASYVGPYLIEYFIDYLNRSQRSSKEGYLLVLTFVVAQFIEGLSTRHLHFRSQQVGVRVRSALVAIVYQKALVLSNQSRQSSSSGQMINVMSLDAECVAGFSQSMHDLWLIPVQIILAMLILYSTLGLAAFSALAATVFTMLANMPIGRMEQKFQEKMMSAKDARMRAMSEILKNMRILKLQGWEMVFLSKIMELRKVEMYWLKKNVYTSAMLISVFFGAPAFVAMITFGTCILLGIPLETGKVLAALATFRQLQGPIHGLPDTISMVIQSKVSLDRICLFLGREELSCDVITKLPIGTTDVSIEVRNGRFSWNSSSQVPTLQDLNFRIQQGMKVAICGTVGSGKSSLLSCILGEIPKLSGEVQTCGRIAFVSQSPWIQSGKIEDNILFGTEMNRERYDKVLEVCSLIKDLNILPLGDQTIIGERGINLSGGQKQRIQIARALYQDADIFLFDDPFSAVDAHTGLHLFKECLLGFLASKTVLYVTHHIEFLPSADVILVLKDGKITQEGDYAEIINAGEELMELVVSHKDGLSTLDMLELSSSNSESTHHPDGNGSTLFTEDEEKDNQYEGEATVENGQLVQEEEREKGRVGFVVYWKYITMAYKGALVPLILLAQIIFQFLQIGSNLWMAWAAPISKDVDPPVSSLTMINVYVALAAVTSLCIFIRSYLLVLAGCKTASMLFNKMHQCIFRAPMSFFDSTPSGRILNRASSDQSAVDARIFDLMGYLLFPAIELLGTVILMSRVAWPVFVIFVPVIVASLWYQQYYINAARELQRLIGVCRAPVMQHFAESITGSNIIRCFNKEGQFISSIGHLMDNLSRPCLYNAAALEWLSFRLDILSLFIFGFALILLVSFPASLIDAKTAGLAVTYGLSLSMLQGWAIAVLCSLENAMISVERMLQYMTIPSEPPLTIPESRPNCWWPTNGEIELRNVHVKYAPQLPSVLKGLTCILPGGMKTGIVGRTGGGKSTLIQALFRIIDPCIGQILIDGIDISTIGLHDLRTRLSIIPQEPVMFKGTLRSNIDPLNEYSDEQTWEALDACHLGYEIRKTGHELDSTVIENGENWSMGQRQLVCLARVILKKRRILVLDEATSSVDPITDSLIQKTLRQQFTECTVITIAHRITSILDSEKVILLDNGEIVEHDTPAKLLEDNSSLFSTLVSEYTMGSNYK >SECCE3Rv1G0168860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:227289408:227290404:-1 gene:SECCE3Rv1G0168860 transcript:SECCE3Rv1G0168860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKCHCPGQKMAVGKPEYKKIIEESLKITCVSGPTVMELMWGIQICMPSLVPSEKAGLTEDDRFPMSHGLKKVLSRYDCDYVKPKMLNEPILMTAYVLYECDSFEDKKSEELDHLAALIKVMSCIDTKGWCSLKIATALKNIWCPEDAGNSCEIISEDDVSRLVNGADKYEGVLVKDACLKLSRQIVKAHDARIKKRKLLKKYVAQAKKAYAA >SECCE4Rv1G0248980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:577780176:577781474:1 gene:SECCE4Rv1G0248980 transcript:SECCE4Rv1G0248980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDADDVFRYFRLNPTELQAVTYYLPRLLSGETLHCADKLIHRVEISGCEPKDLAARYAPVPLAVSSGDRFFFTTCKSKNGSKLQSVRGAGGGTWSIQKTTEISHAGAKVGEVKNLSFKTNGKSTGWVMEEYRCLLPEATVSDGVKVFCKMHLAQHAPDAARQESAAYNRQQAQPEAVTPSTHAQKRPAPAAAADPHPPRPKKRMRGAVPVPVPASATPSFLMYDEAASFPVQDAQASCESTTTSSRSDVAQAPEICSQSDVQSEEAGSSIARSTSQENVFEALEPISNLPEWEEDGFDLEELMRMMEDDPIEVEPVTGANTGVEMGQQEPLYLDAVDQSVLEGMLQSDYPAFHDADKEKRYNAASDLDAPSLQGHDHLIKPRPCSFDPFEEVWKAEEAIENERRYNATVNLHAQPFGGHNNFFSSASVY >SECCE7Rv1G0467990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:94306317:94308991:1 gene:SECCE7Rv1G0467990 transcript:SECCE7Rv1G0467990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRAQLNAHIASMYATGAVDAYFQQLQSMDDGSAATGFVAEVINIFLNDADRILNDIAGLMNQLEVDFYKVDALVHQLIGSSSTVGAKKVKLACMQFRQFNVEKSKERCLVALALLRNEFCDVHNKLQTMMQLERQIAALRPM >SECCE1Rv1G0049430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:640680839:640684256:1 gene:SECCE1Rv1G0049430 transcript:SECCE1Rv1G0049430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRAPRAQDTGAVKRYAEMGIAAALSRPWDYPTACRELAELLRHGYAGLPKAAQALAAADVLAAFRLLPDVQTEYALAAANVLLLAVEASLPKQKKSQAVSEFKCSVILHKRRTKVQQERALPDIPNDVLVHIFSFLDTRSLVAASLVCWSWNSSANDNKLWRMNYSLFFSMSHLRSNSTLVPSGVQNSHGILAQNNVDPVFDDSNLNWKEVFHKKHAEHISWSAASNRAICRQCRSVLWLSNLTCAAPHHCPKKGKDEIKLMPLLPYAVACYILNAQDQPSSSSDSSDSDSDSENNVPRRLWNSRV >SECCE2Rv1G0069170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27369071:27369262:1 gene:SECCE2Rv1G0069170 transcript:SECCE2Rv1G0069170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIDGSILMMDNIAEGTLHIPSPQIKPEATAPANSSDSLRFTLSQTNRMTVPINMSIAKYAPM >SECCE2Rv1G0135320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:906146059:906147672:1 gene:SECCE2Rv1G0135320 transcript:SECCE2Rv1G0135320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVAYLLLLPLATCAVLLPLLLLLLPAFRPSKASRLPLPPSPPAVPVLGPLLWLWRARSRLEPAIRDLHRRHGPVLTLGFLSPRPAVFISGRRVAHRALVQRGHAFASRPPAIAPFVVLTSAQRTVSSAPYGPLWRSLRRNLTSGVLHPARVAHLFAPARRWALGLLASDLENESSRSERSVVTVVECLQFAMFSLLTYMCFGSRLPAGRVRKIEAVQRELFSSYIGFQVFAFCPALTKRLFRTRWRKVLSIRTRQEELFLPLIRARRERSVLTANDDDGSLALAYCYVDTLLAHRLPKEEKDEAGGERALTDAEMVSLCTEFLTASVDTTVTALQWIIANLVRQPEIQARLRDEIDAAITSDYQDAVTEEDLSSMRYLKAVVLEGLRRHPPAHFLLSHAAPTEASLDGLRVPAATSVNFSVADVSLDEELWSRPEEFRPERFLDGGEGAGVDLTGSREIRMMPFGAGRRICPGLGLAMLHLEFFVANLVKRFEWSAAEDGGGVNLAERPEFTVTMERPLRARVAPRRPRLVRPV >SECCE5Rv1G0331530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:539239427:539240398:-1 gene:SECCE5Rv1G0331530 transcript:SECCE5Rv1G0331530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPGSEIEYEIPGVVRVHKSGRVVRLNGTETVPPSPSGDPANGVASKDVVLDPAANISARLYLPPAAAAEPGKKLPVVVFFHGGAFMIYTAASPLYHKYAATLAAAAPAVVVSVDYRLAPEHPVPAAYEDAFAALKAVISSCRPGGAEPWLAAHGDASRVVLAGDSAGANMSHRTAVRLRKERIEGYGDKVSGIALLHSYFWGKEPVGGETTDAALRGGIDQVWHVACGGNLGLDHPYINPAALPEELRQLGCGRVLVATAEHCWFVERSRAYALGVKASGWGGKLEFYETKGDGHVYFLLKPDCDNAVKELAVVADYVRRC >SECCE4Rv1G0216160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:9105486:9107342:-1 gene:SECCE4Rv1G0216160 transcript:SECCE4Rv1G0216160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRGLLLVAGLLAALLPAAAAAFGPQPGAPCEPTLLATQVALFCAPDMPTAQCCEPVVAAVDLGGGVPCLCRVAAEPQLVMAGLNATHLLTLYTSCGGLRPGGAHLAAACEGPAPPAAVVSSPPPPPPPSTAPRRKQPAHDAPPPPPPSSDKPSSSPPPQEHDGAAPNAKAAPAQAATSPIAPAAAAAPPPQAPHSAAATASSQAAFFFVATAMLLGLYIIL >SECCE7Rv1G0485870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:385749281:385752728:-1 gene:SECCE7Rv1G0485870 transcript:SECCE7Rv1G0485870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPATMARHHLLLFLLLLALAFSFVVAAPERQGDAAAFIDVASRRYLRDQQEDQATSMSLDEVSAAVSVLLGLAPHAMLPAPSSAKLNKLLLPNPFDRPRSVFLMQLDGSHDYVDGFISGAGSTYKTKIDGAKSPAIGLTDKDELIVIHSDESSGSDVLDNELANLATWLAGSYQKADSKLNIPLKSGNSLTLLLTKEADVEFASSLISLLKTIKRGIQVHEDFSGGIVSPAELLVCHFTGIKALEDEYGSAEIVKQGAEVVQTALTKAFDQLQGAYNGKIVGLVISAKEASTSLASIIDAPSSLHISRRLAEASKTNATSSIAAIYLVRSTLAWITGIILLSSTLIGICLLMNMPLTRDTLLYSNVKID >SECCE7Rv1G0497270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:622492365:622499204:1 gene:SECCE7Rv1G0497270 transcript:SECCE7Rv1G0497270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMARGCLLRSKAESLVKYVASAGSWHGPHGVSEASARRFSSEPFLQVDSREETGFKGHGMLAPFTAGWQSTDVHPLVIDSSEGAYVYDINGKKYIDALAGLWCTALGGNEPRLVKAATEQLNKLPFYHSFWNRTTKPSLDLANDVLNMFTARKMGKVFFANSGSEANDSQVKLVWYYNNALGRPKKKKFIARSKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPDETEEEFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVILPPKTYFEKIQAVLKKYDILLIADEVITAFGRLGTMFGCDMYNIQPDLVSIAKALSSAYMPIAAILVSPEIADVVHSQSSKLGSFAHGFTYSGHPVACAVAIEALKIYKERNITEHVNKIAPRFQEGIKAFSGSPIVGEIRGLGLILGTEFVNNKSPNDPFPAEWGVGSIFGAECEKRGMLIRVAGDSIMLSPPLIMTPDEVEEIVSKYGGALKATEERIEELKSAKN >SECCE4Rv1G0254460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:638118196:638118633:1 gene:SECCE4Rv1G0254460 transcript:SECCE4Rv1G0254460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSSITPLLSAAVLVSLLAAAAATGPYCYAGMGLPTKPLEGCREYVAQQTCGVGIAGSPVSTEPGNTPRDRCCKELYDAAQHCRCEAVRYFIGWRSRPESGVLKDLPGCPKEPQRDFAKVLVTPGHCNVMTVHNAPYCLGLDI >SECCE1Rv1G0030800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:433174395:433175121:1 gene:SECCE1Rv1G0030800 transcript:SECCE1Rv1G0030800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMVSDVLLSFFCCCFYPPGGHYDTRHYRGHPAGRNSMHRHHHGAAGRTVASRSRPPLSFQTVELKVRMCCDGCERAVMQSLVNLRGVDSVEVDAGTGKVRVTGYVERGKVLREVRRRSGKKAEFWPSGGTPLRFASSGGCFGGGGGEPYRDSYSYQRRGYGDGGDRHGRTRRPARGGDAVNNMFSDDDVNACAIM >SECCE7Rv1G0464280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59860351:59861838:1 gene:SECCE7Rv1G0464280 transcript:SECCE7Rv1G0464280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATCSRNCLAELLRRLGQAQAQGQPRCPSQLSPARSMTRGRSVNERSKKKRVNDLEVVIERCKVISKVLAVVDALKMEEEHVTPLKRLEILRPQLGLAKPHKVAHFVHSSPQLFEVCRDSRGVMWAGLSPQAEVLVEEEAHLLEEHSPTAAEYVARLLMMSVERRLPVDKIAHFRRDMGLPHDFRARWVHMFPELFRLVTREDGDYLELVSWNPSWAVTEHEKNMAALAGNTDANSNASTPGELSLPFQMKFPPEFRSYYKFRGKAHHYVKTGNTEQFQKTTYLSPYAEAKDLTPGSHEFDKRAVAVMHEILSFTLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGIFYVSERGKRLSVFLTEAYDGTELIKKAPLVRWREKVLRLTGYRGKNKNIGKVHESSDSEHCLFGASSSTCDGGSNDDDDADTILHVESEDSDDFLDDGTLTDDGEVDAGDMDDGQMDDAGMVFSSEKHVEMVLGDVSDYAESVKSS >SECCE4Rv1G0228830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:140059211:140060231:-1 gene:SECCE4Rv1G0228830 transcript:SECCE4Rv1G0228830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIIMRVDLDCDRCYKKIRKVLCKLQDRENVKMISYDEKSNTVTVSGSFDAEEVADRLCSDAGKVITDVQVARGNQMNPGAKVAPKQHGKEGHGPGPQAHGNGGKPEKTKHVQFGMDDDGRIDRHGPGPQAHGHGGKPEKTKHVQFGMEDDERIDRRGHHDQGHGHGHGHGQGHGHGHGHGNGHGGKPKVVTTTAMSRNEAPRAQQPASMTTMAPMRMPVPGPSMTMMPQAMATPSIWPAAPEWGYSAPPYGYAGGAPAGGYYGGPVYDQGAYGAYVGGYGRNPYQPQCYEEEPSAGCSVM >SECCE4Rv1G0217190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14515736:14517441:1 gene:SECCE4Rv1G0217190 transcript:SECCE4Rv1G0217190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARAPPPPSPSAFNPPAPSVRAFRRRRLAAPGTAATGASKSPSLRVGQRRKQVASVANPLVKHCVKLRDSATYRRTCRRLVLVGLTPILEICRLGLAAIDCLLLLDGAEVPGELHELSGGNVVHVGATVMKKISGMQSVDSTEAIAVMHMPKHFCDLGDDEGGAGLDASFQSPKRILVLDGIQDPGNLGTLIRSACAFKWDGVFLLPACCDPFNEKALRAARGASLQLPIVSGNWCDLHDLVTRYGMKMLAGHPESSSDGSERSHTLSNELADSLMSESLCLVLGSEGNGLSEETVQACDLVSIPMEGIFESLNVSVAGGIFLFMLQPKQQTFSKHIAEF >SECCE6Rv1G0422840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692347622:692351313:1 gene:SECCE6Rv1G0422840 transcript:SECCE6Rv1G0422840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRKKSEGGSVVRRPRKPRDTTLAPVKRSARLKKKQMYALDLLATVAESLSSDEDDSSTESDQDGAAASHNSDRASVKAEQFDEAPQLNSTALENDCSRGYTVGCDGICASLGQTNIRKTEDLSTQNVADTVEGSLIEKADADNLLTQNVADAAAEPLIEKKPDVFVEGSLVSCTKPSLLDCGLGTIPEYGTIGVCHPCFPTSADVKQVHQATPAIIRSLVDGNAAALHGLVDTMDLDIKPSVLNSESSSGVHLDGHDNGHKSSPFYPIQVQHAADIDNDEKSTKCVHPSTSGSKGGYLSYKGDCRTRRLLSTRMRKAARNKMCGELPNKGSKLCGKKISATRRRAQMQRMLKTKKVVECYSAQPSDEGVLTETSGTSCSRGGQDPTCASESSQRKPCASEGCNVKFIIKSFNIPELSIEVPENATVGSLKRIVMDAVTSKIEGSLSVSVLLQGKIIQDDNKTLHQAGICHGAKPDSIGFTLECEAKQDPRPSGLAPEEMDSAGPSVVNPLSKIKFEQPFISCTLGDYPYEGAAQVRPEICQAIVPYGTSNPDALAIVPVVPRSRQRDFGQRRKRRPFSVAEVELLVEAVELLGFGRWKNVKNHAFRDNEERTYVDLKDKWKNLVHTASIPQQLRRGRAIPPQGLLDRVLAAQAYWTVHHAKRDEEEGRD >SECCE1Rv1G0043820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:596442255:596445572:-1 gene:SECCE1Rv1G0043820 transcript:SECCE1Rv1G0043820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQVEYAGKAVDNSGTIVGIKCKDGIVLGVEKLITSKMILAGSNRRLHSVHRNSGLAVAGLAADGRQVVSRAKSEAANYEKVYGEPMPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGLSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKSFELELSWVCDESNRQHEKVPNDLLEQAKAAAQAALEEMDAD >SECCE7Rv1G0465780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:73332639:73334186:1 gene:SECCE7Rv1G0465780 transcript:SECCE7Rv1G0465780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTAAYGIGGRWLPCLVLLAVLSATPWLLIIHCHRAASVSTPHPSLVSAAHASGREDGAQPGSSSVQEHQVKKLLIASEAGAEVSRSSDVGVAGEDACRGRYLYVHDLPPRLNTDILADCKHWYPWIDMCQYLVNGGLGAPLDNADGVFGDEGWYATDHFGLDVIFHARIRQYECLTNDSSRAAAVFVPFYAGFDVVRNLWSNNATAKDAAPLELVDWLTRRPEWRAMGGRDHFFMSGRTAWDHQRQTDSDSEWGNKLLRLPAVWNMTVLFVEKVPWTDFDFAVPYPTYFHPAKDADVLQWQQRMRGMKREFLFSFAGGERPGDPNSIRHHLIRECGASSFCNLVQCHKSEKNCLIPSTFMRVFQGARFCLQPPGDTYTRRSAFDAILAGCVPVFFHPDSAYRQYRWHLPDDRDSYSVFISEEDVRSGNASSVEETLRRIPQEVVERMTETVIGLIPRLVYADPRSKLETLRDAVDVTVEAVIDRVSKLRKEMDHGASTETATNVSSKVKADN >SECCE5Rv1G0376720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873783451:873786522:-1 gene:SECCE5Rv1G0376720 transcript:SECCE5Rv1G0376720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPADMPPFSPPGWRGPAGAPSPISSRPPAPAPAPGQQQHQHQHQQQMDETHGGAAVSGSGSGSVDGGEEGERSSAAAGGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEEVSRRLAEMGHTRSAKKCREKFENVDKYYRRTKDGRTGRGDGKTYRFFTELEALHGAAAHHTPPAPVPAAAAATTVAYSSVVPTAPRVPSPPPLLLTHHQPAQPVPVEAAACLTTTTTTTPMGDASFSDDSDGEDTDETADGGKRKRRGGSWGHGGKAMRFFEGLMRQVLERQEAMQSRLLEAIERRDQDRMIREEAWRRQEVARLAREQDALAQERAVAASRDAAVVSFIQRITGQIVPVHAPPSSFPAKPAATVTSVKPPPLQPTPVASAAPAPPTPRPPVQAQPNVTTPMRTQPQTPQPQTHATPTATEPQPQTPQPQHQQSKEIVVHAPEQPPVDMAGGASPSRWPKAEVHALIQLRTEMETRYQDTAPKGPLWEDISVGMRRLGYNRSSKRCKEKWENINKYFKKVKESSRKRPEDSKTCPYFHQLDALYRTKALASSSSSSGVHAPALAPARAEAAPVTVLSPVPLSSQTPPPAAQHVEHGAKNVITNGNGHGNGAAMHVKASNGAGAAPMFPSPVQAAGNVGNNGTATTKQESKPEGIPKETATVVPPAIAMNHSYGRNDRRDVYDLDSDSMDEDDFDDDDDDEEDDDSVGGGRNINMPPAQYDAHFLQRQHQQQQQQNHNHNHNIVRPNAANGSGNPPAGNATPTTATSGTPFLAMVQ >SECCE2Rv1G0072900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:62288935:62289448:-1 gene:SECCE2Rv1G0072900 transcript:SECCE2Rv1G0072900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPWTTPLKRLTSKEEKNGNFGREFIKCESKPEGQIVKKCHHFEWMDDYIQRLQGLGLLDSRGNAIREFNLPHDSAAPAAAARPEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE5Rv1G0299610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19187057:19187506:-1 gene:SECCE5Rv1G0299610 transcript:SECCE5Rv1G0299610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTVLICASVAALLVLSVLTFLCSSRRRQGHGSSSHPSVDIELGCRCACAGIDEAELAAYPTSVYSSPTRVDHVQPDAAPSTDDSGQPRDDTACAVCLAEYADGDELRRLPGCRHAFHRRCVDEWLRRRPSCPLCRTSPQSNAVKNS >SECCE7Rv1G0494370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:582307532:582308245:1 gene:SECCE7Rv1G0494370 transcript:SECCE7Rv1G0494370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGTSPPASRAAVARTLVVHVLAVAATALVLLWCIGFRGGLAFRSSDKQRIFNVHPPLMLIGLIVIAGEAILAYRTFPASVSRDARKKAHLALHAAGLAVGLVGVYAVFKFHAEAAIPNLYSLHAWVGIATITLYALQWLAGFLTFFFPGAAPETRRSAVPWHAVLGLLVFALAVGNAQLGFLEKLTFLQSPPARLVGKYGAEALLVNFTAVIVLLLGIAVVIATVNADTTRYTAM >SECCE7Rv1G0461160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:36017660:36021065:-1 gene:SECCE7Rv1G0461160 transcript:SECCE7Rv1G0461160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPLPDDLVQEILLRFPADDPTCLLRSSLVCKAWSGVISRRGFRRRLHEIHRAPPLLGFLHVETSEGAPSFIPTTASSFSLAAPNHYSWLALDCRHGRALFLSRSQDAEELLVWEPIMGTQERVPLPVAFEFGYSAAAVFCAVDGCDHRDCLGGPFCVLFVFSSNEDTDECVTSACIYSSETGAWGGLTTMHDEFIGFTDYSTVLVGSSLLYFIVYDYKDDKMILEYDFSRHFLTMFSVPDNHCECIYTLMVTEDGGLGVIQDLHRHVKFWTREVSDGADAQWLLSRVIYLQNLLPASTLFSGGYRVRVMGFAEGANAIFVSTVAEIFAIDLQSEQARKVSDHCGFIFHKLVPVVTFYTPAPRGDHQNLLVQKPSEEVGAEEVGEGEKTIHQAQQLFDKRSNAINEGEYVNTFKCISNDNNGGVPHHGEVNLGCAAALKKYRCTSNAQEMDDSLDDVPTSVSSEESLKSTTSKDDTRDSNTFGSNVVVAAPSSKKVLC >SECCE5Rv1G0362270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:785038105:785039301:-1 gene:SECCE5Rv1G0362270 transcript:SECCE5Rv1G0362270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLRRYIKPQHGMSTCVLPEELVVDILSRLPLKSFCRFKCVCKSWLAFSSHPYYRQKLPRSPAGLLYRRREHGTFFGSPGTVIHLAKLPPSDEEITHTTLSYVPCYKYPTRLQDCCNGLLLCYQKFLNREISNAIVCNPATQEWMALPNTDRGPTISDYKLVLCFDPLWSKQFYVFKFQLSHGASPNIGTSTEIKVFFSEDSTWSSCLWKTQYAFVGDSIFVNGVLYVKHLWAHKILALDAPDSCTKWLDHRIIELPGFQNRPNMCCGRDGCLSQSSGVLCYAKQELDGCAVRFWSLEGPDVWVVKHRLSMVDVFGRDMLLGTDNRGYWCFEYDIMDVDLEREVVILDDKTADKIILVSTSTGKGSLFQNIPRRFTKLYRSLFYVPYYGKVPALAC >SECCE3Rv1G0193750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:774370066:774373992:1 gene:SECCE3Rv1G0193750 transcript:SECCE3Rv1G0193750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPSRRAGFLLVLLGLCAACSAQSPAPAPPAMLHESFAGKSEFRTVNRRPLESCLNPSPYLSINVSTAGPLPDEAFVNVTVGGVFRPHASHWVAMITPSNSSVSGCPLSGVNYLETGDTAKLPLLCHYPVKAQLAKSDPGYLGCKKAACQKRDASGGCQVRTCGATLTFHVINFRTDVEFVFFAGGFQAPCLLKRSGVLRFANPAKPLNGHLSSSDSTATSMRITWVSGDGRPQQVQYAGGRAAASVATTFTQKDMCSAPLLPSPAKDFGWHDPGYIHSAVMTGLQPSQSYDYRYGSDSVGWSDTVKFRTPPAAGSDETSFVIYGDMGKAPLDPSVEHYIQPGSIAVTRAVAKEMQTGKVDSIFHIGDISYATGFLVEWDFFLHLIKPLASQVSYMTAIGNHERDYAGSRSVYVTPDSGGECGVAYESYFPMPAAGKDKPWYSIEQGSVHFIVMSTEHPWSEKSEQYNWMEKDLSSVDRSRTPWVIFIGHRPMYSSNVGIIPSVDPDFVASVEPLLLNNKVDLVFFGHVHNYERTCAVYKGKCRGMPRKDASGIDTYDNSNYTAPVHAIVGAGGFSLDGFPLIPRSWSVSRISEFGYARVHATRTSVLVQFVSSGTMEIRDQFRIVKGGR >SECCE5Rv1G0336140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:582668576:582670111:-1 gene:SECCE5Rv1G0336140 transcript:SECCE5Rv1G0336140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDAARAACVSHTFLQSWRCFRNLILSKETLVLNGNGIGKDKMTRDLISKVDSILKNHSGVGMKKLELNVHTCRKVDHCYLNSWLRIAVTAGIEDLTMLLPHISEVEYNFPCSLLFSGNEKSIGYLKLSSCVFRPTAELDCWRRLKELSLINVLIIGDELECLLSNSSMLELLWLSRCNEIVRVKIPCQLQRLSFLRVGQCIELQEIESNAPNISTFDISGSNLVKISFGSALKVNNMRIMCSYQPNIIWYTRTKLMPSVPNVETLRISSCNEMSSTPTLPSKFLHLKYLHITLIGCEAISPAYDYLSLVSFLVASPCLETFIFEVQQTNMKHDSIVGDISHQRQLPEHCHDNLKSVTIVGFCSAKSLVELTLHIIKNASSLQCLTLDTSFGSYGCSVDKPGRCNPMGRYIIKEAHRALLAIRTHVEGIIPSRVKLNVSGPCSRCHAVESD >SECCE5Rv1G0354980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:728121132:728121701:-1 gene:SECCE5Rv1G0354980 transcript:SECCE5Rv1G0354980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSVSKPAKRLTGKSSFRLGLPLLCGRSDVASPGAAATRSSSSSSSRRSSGTGSSRKSELRRIFQHFDRDNDGKISGAELSAFFASMGDDLTVPSSSSEGGYMLDFAGFVALMERGEGSQEEDLRRAFEVFNAVDQPAGRITARGLRRVLAQLGDDRSVADCEAMIRAYDVDGDGGLDFHEFQRMMS >SECCE6Rv1G0396600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:370111078:370113983:1 gene:SECCE6Rv1G0396600 transcript:SECCE6Rv1G0396600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGSTSGEDGKQEKHLVLAHKLFLLSHPDVDDLSKVDLRSDVLSAVKSDDMAPLFESLAAAGVLEPDAALLAEMRARIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFVKVGEKEKALEQLKVTEGKTVAIGQKMDLVFYTLQIGLFYMDFDLISKSIDKAKKLFEEGGDWERKNRLKVYEGLYCMATRNFKKATSLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILAVISKVPHLSEFLNSLYNCQYKSFFVAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMASAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDERNAFYQSTIKQGDFLLNRIQKLSRVIDL >SECCE7Rv1G0478400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:236789811:236796137:1 gene:SECCE7Rv1G0478400 transcript:SECCE7Rv1G0478400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGRSRSRRRGEGGGPFEWDAALSGDYSADHHGAGSSRKQASNSGILSHTLFDEEIRKSKPRQSSCVPMKKLIDEEFSKDVNARHTSPGAVGRLMGLDSLPTSSGTHSQHRSSRSHAHKTPSFISHDRYVPQRRKNDEVPEVKDVFEVMDVRGVKAHRSPRGRNGNTTSRFDAAEKANLDFIRHKFMDAKRLSTNESLQMSEELNETLDALVSNKDRLLEFLEKRNLDSASSNANCITILKPSKRNQFMDADNIYSHDSDTESFFRKQNEVKYSTRKPHTKLSSQSPREDSGSSRQKLSRSSHQEISDKRACPTRIVVLKPSFEKAQDLEGSFGLPHEIPHSDYRRHTACQCAGMRNPYTDESMCQVSPGDPETSGHIKKGSREIAREVAKQMRAARGRVLQPDTSTILSDESSQFVSSLAKVKNSERVHRSSELCDGWASPTFNTSPAYSNDTSVINEAKKQLSSRWKIAHQFQHQEPENNGFDVLGDMFVLSDEETSEIATQTMSYQKCPKGELQRNRVPVSCSNPLGISSKDGWRGVAPSNSARSKCLPSFSNHGVQKSSNRKRTGRQNEFSMLKDVLKIGPHDSEYACHSRQRKSLVGGSPFRGDEDQVPPDDEGRTMIEREIHVSSQEAPDVIDMPDSSEQTLAHTVNSGHELDGVCHLDTSSAVFEQNKEPLSPAKLNQHMHQQPSTAFDFRLHGPNFDSLLAQAEGIENHVDDVYSALFNLPTETESPVGIDHHHGADNDNQTSWIHPAGSESPVSSNNDEQPSPVSVLESSLDNEEVYSGDFEKISADLQGLRMQLQLLKTETTDDADDTDHLTASDDEVASTDEPLAEMELLPHAFVDEEERDFSYVLDMLTLLGIDGAFQDGLLDVRCFSEYPAGPDIYDILENKYSSLILWPASERMLLFELTNTVIADLIASLVHHGSKGLLRRFSSRWDQEGFVVDVWQRVFEIRQEMDGNQGYPLMMDFERQGSEDGIDLVGSEVERMLLKDLVVETIAEFLGIT >SECCE4Rv1G0216360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10507087:10510941:-1 gene:SECCE4Rv1G0216360 transcript:SECCE4Rv1G0216360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGRRSEAAAMTVLDVAAAAEGELAAISDQVVTPWEVTGAAQGGVNYDKLVDQFGCQRIHAALVDRIARLTSRPPHRFLRRGIFFAHRDLNQILDLYEQGEQFYLYTGRGPSSESLHLGHLVPFMFTKYLQDAFKVPVVIQLTDDEKFLWKDLSIEESKRLARENAKDIIACGFDIERTFIFSDFQYVGGPFYENMMQVAKRVTFNTLRGTFGFTPEDHIGKCCFPPVQAAPSFASSFPHLFGVNAQLRCLIPCAIDQDPYFRLTRDVAPKMGYPKPSLIESKFFPALQGECGKMSASDPNSAVYLTDKAHVIKEKIRNYAFSGGQSTAELQRKYGANLDVDVCIKYLNFFLEDDAEFEHIKKEYKAGNMLTGKLKDRVTDVLSKIASAHQNARAQVTEEMVDAYMSTSPRPNMFGTHSDYVKS >SECCE1Rv1G0028240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:396153354:396161396:1 gene:SECCE1Rv1G0028240 transcript:SECCE1Rv1G0028240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSVKRGAAAAAPPSFTVNPSDYRLMEEVGYGAHAVVYRALFLPRNQTVAVKCLDLDQLNNNIDEVQREAQIMSLIDHPNVIRAYCSFVVEHSLWVIMPFMTEGSCLHLMKIAYPEGFEEPVIASILKETLKALEYLHRQGQIHRDIKAGNILIDSAGVVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRRFSKAFKEMVAMCLVKDQTKRPTAEKLLKHSFFKTAKPPESTMKGILTDLPPLWERVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWHFDIEDLKAQASLIYEDEPSEMKEDDVTARVIESEKSLYSRSPSGQSSSANENTCSEETSTTNPDCRRMPNGHENSRSENDSLPSTSKEPESKYWRTNVGQKQQTSGGPVEGGINSSTTERSHNFERDGTADKHGSDTRRAANLSGPLSLPTRASANSYSAPIRSSGGYVDSLGDKSKRSVVHIKGRFSVTSENVDLAKVQEIPLSSISRKSPHGIQLRKSASVGDWIVNAKPTSNSHHLKELCNSSVSSSILIPHLENLVQQTTLQQDLIVNLLSSMQQNEKADGAQSGTSSQVRNMQSEMLVETSNTEKERSLLVKISELQSRMITLTDELISAKQKHVQLQQELNALYCREEIEDIRDEDNEET >SECCE4Rv1G0260420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:695851944:695853829:1 gene:SECCE4Rv1G0260420 transcript:SECCE4Rv1G0260420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGIGGVQESSDMSISSDDDGIKQLRESNAELAHGRAEAQPDGSLGEPQQGMSFDTENEVREYYNTYAKAKGFGVTRRSSHRDDNGQLKYLTFSCSRYGKAQSNSANMLKPSRTAGTGCKAKINITRAPDGRFHLSTVILDHNHTLSPLKPRRFRCNKKSDFRVKQRLELNDPAEIRVSKSSTIPRVTHFDIEKQFQSAYTNSKFKEFQEELTQTMYCDRKLMQKEGAIETYEITEDVLIDEDKGWRKDIGHHVYFSEEEFEVKCSCRRFEFTGILCRHVLCVLTHKKIKEIPPQYVLDRWKKKVNGKHNFIRCTYGGMEDSPAAERFDRLCNSFYPVAEMGAMSDDSCNALMEELHTLKIRFSSNSSSENGKEHVATREDAPSNGKTTGKTIPSPIAVRCAGCPPSPRKESMLDKLICQANEKKKEAEQKASSTNLNKKRPRKNRKSSVGDILEHVMEHSSQQPVCFDGPASSITSQRTFDLAITTSNINPSVTATIPSGGSSTMAMPQILGEYTLMPFQVQQGSAIVCSSAELHFDGIGGLNNMVDRS >SECCE2Rv1G0118630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:784479847:784481063:-1 gene:SECCE2Rv1G0118630 transcript:SECCE2Rv1G0118630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQINAAWTKPGSLLDLFFESFRTGEGGVARLLDHLVIVTMDPAAYAGCQLVHPHCYFLRTTGVDYRGEKFFMSKDYLEMMWGRNKFQQTILELGYNFLFTDVDVMWFRDPFKHISMGADIAISSDVFIGDPYSLGNFPNGGFLFVRSCNKTIEFYRHWQAGRYRFFGKHEQDVFNLIKHEMTDRLGVAIQFLDTTYISGFCQLSRDLNKICTLHANCCVGLGAKLHDLRNVLDVWRNYTAAPVPEKRSGKFQWKVPGICIH >SECCE5Rv1G0359660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:763189715:763193893:-1 gene:SECCE5Rv1G0359660 transcript:SECCE5Rv1G0359660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATEPQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAASPSFPSDRMWLNGKEIALSGGRFQSCLREIRKRARDVEHEKRGIKIKKEDWEKLHVHIASYNNFPTAAGLASSAAGLACLVFTLGKLMNVNEDYGELSSIARQGSGSACRSIYGGFVKWCMGKNDDGSDSMAVQLVDESHWDDLVIIIAVVSSKQKETSSTSGMRDTVETSPLLQYRAQTVVPSRILKMEDAIKNRDFESFARLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNHSEGTPQVAYTFDAGPNAVLIARNRKTATLLLQRLLYTFPPQENDLDSYMLGDRSILSDAGLQSIADVEALPAPPEMKAPNQKFKGDVSYFICSRPGAGPKVLTDESHALIDSATGLAKGV >SECCE2Rv1G0070700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:37384151:37385041:1 gene:SECCE2Rv1G0070700 transcript:SECCE2Rv1G0070700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTGGAAALDDVPGWIVVEEILIRLPPKDILRCRAVCRWWHSATSTDKFMLDHHRRQPLLPILSQVVDPPQKACLLFSFDAGADQQQLCPVIQTDCYSNLQATLDGLLIVSHGSVVPQFFICNPVIRKCALLAKPQTQEGFDNRIVGFYRHNPSGGEYRVLWVSTNAEDTNCTTFYYAIAVGSDNTRYIGQGCIPQPNSSSPSLELALHRGLIGSSQFPPVHHRGSLHWRLRTYSGWDADYIMVFNTSTETFRLMYRPARLCNCLNESLLKMDNTLALCSIVHDKHTIDISVVHD >SECCE6Rv1G0384180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:61028272:61030890:1 gene:SECCE6Rv1G0384180 transcript:SECCE6Rv1G0384180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKFFHISVVLLVCTTKATNPEAEALLRWKSTLIGANLLSSWSITKSTCSWFGVTCDAAGHVTEIQLHNAGLHGTLHAFYSAAFQNLTLLDLYNNNLVGVIPANISMLMSLDVLDLSYNDLAGAIPYQLSKLPMIVELDLGSNHLTNPDHAKFSPMSTLKLLSLANNDLNGTFPQFILNCSSPSMRSLDLSGNAFSGPLPDSLPEMVPRLRYLNLSSNGFSGSIPSSLSRIQKLKWLYLNSNNLTGGIPKELGMITGLRMLSLYNNSLGGSIPASLGELQLLQRLSIGNTDLVSILPPELGNLTSLEHMLLEGNHLFGSVPPSYGRLRNLQYFDIGNNRINGTIPQEISTNWTKMKVFDVSNNWLTGSIPPQISKWKELVFLALYNNNFIGLIPVGMGSMRNLQLLSLYKNHITGTIPSDIGNATSLKFLDISFNHLEGELPASIALLVNLVVLDLSSNKFTSIIPNLDSRKLAVLKVAGNSSFLSEPLSAFCQLKHLRLLGLSNNKLIGQLPGCLWNLKDLQSLDLSSNAFSGEVPTSTYNNTSLRLLRLSNNKFTGCFPAVMKNFKSLVSLDLGNNEISGAIPPWMGESNPLLRNLGLRSNMFYGSIPWRLSQLSHLQLLDLAENKFVGTIPESFAYFHSMRQSDMMQPVLTINIRSTTFGYFYNGSMDIVWKRQEHTFKGRDAFVTGIDLSSNSLSGEIPSELTNLRGIQFLNMSRNHLSGGIPKDIGNLKLLESLDLSWNKLSGPIPSSVSNFIFLSSLNLSNNLLSGEIPTGNQLQTLDDPSIYRNNRGLCGSLLNISCKNASDGGTKQHEELETIWMYYSVIAGTVFGLWLWFGTLFLWKLWRCAFLSCIDAMQQKVMKKMMHI >SECCE5Rv1G0328290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:501216546:501216857:-1 gene:SECCE5Rv1G0328290 transcript:SECCE5Rv1G0328290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE5Rv1G0331480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538981448:538982458:-1 gene:SECCE5Rv1G0331480 transcript:SECCE5Rv1G0331480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDEEVEFDLSPFAIMYKSGRVRRSGLTSRKSAGTDATTGVVSKDVVIDAGTGLAARLFLPKSVPESRKLPVLVYFHGGAYVAESAFSLRYAGFVNALVAAARVVAVSVEYRLAPEHPLPAAYDDAWAALCWTAASCGLTGPETEPWLAGHGDPARIFVAGNSSGGNMAHNVAMRAGKEGLRGGARIEGMVLLHPLFLGKAPVPSEGAGSTETAERNWRFVCAGKFGLDHPFSNPLVMPPEEWAVLGCRRALVTAAGLDPSRDRARMYVEALRASAWGGEEAVLYETEGEGHMYYFSKDARSNSVVAEKAAKEMTIVVSFIRRTSTSDCNIRSTL >SECCE3Rv1G0198650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:834419214:834425001:-1 gene:SECCE3Rv1G0198650 transcript:SECCE3Rv1G0198650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADKVKPAASPAAEEPSAIAGNISYHAQYTPHFSPLAFGPEQAFYATAESVRDHLLQRWNDTYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYADALKKFGYELEAIAGQERDAALGNGGLGRLASCFLDSMATLNLPSWGYGLRYRYGLFKQRIAQEGQEEIAEDWLDKFSPWEIVRHDVVYPIRFFGHVEISPDGKRKWAGGEVLNALAYDVPIPGYKTKNAISLRLWDAKATAEDFNLFQFNDGQYESAAQLHSRAQQICAVLYPGDATEEGKLLRLKQQYFLCSASLQDIIFRFKERKSDRVSGKWSEFPSKVAVQMNDTHPTLAIPELMRLLMDVEGLGWDEAWDVTNKTVAYTNHTVLPEALEKWSQAVMRKLLPRHMEIIEEIDKRFREMVISTRKDMEGKIELMRVLDNNPQKPVVRMANLCVVSAHTVNGVAELHSNILKEELFADYVSIWPKKFQNKTNGITPRRWLRFCNPELSEIVTKWLKTDQWTSNLDLLTGLRKFADDEKLHAEWAAAKLASKKRLAKHVLDVTGVTIDPNSLFDIQIKRIHEYKRQLMNILGAVYRYKKLKEMSAAEREKVTPRTVMVGGKAFATYTNAKRIVKLVNDVGAVVNNDADVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGQDNFFLFGAKADQVAGLRKDRENGLFKPDPRFEEAKQFIRSGAFGTYDYTPLLDSLEGNTGFGRGDYFLVGYDFPSYIDAQARVDEAYKDKKKWIKMSILNTAGSGKFSSDRTIDQYAKEIWGISACPVP >SECCE7Rv1G0479400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:252495255:252498003:-1 gene:SECCE7Rv1G0479400 transcript:SECCE7Rv1G0479400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKSVFGSAPYAMKQAALGAGVAARKNGTPLSMAAVVFSLFVFATFLYNEDIKSIADFPFGAGAGALRAKSPDLHLLQEAEAAAHQAVTTLAKRGEEAIVRVVLDAPAAGAIPAAKNATGTGVLVTKISAVSNANTVGANAGKEEEGQEKDRDVTLPNVTGGGGAEEAKRREDEEAAERASTAKAAATAAALRTAVSVPATCDLYRGSWVYDEVNAPVYKETQCEFLTEQVTCMRNGRRNDSYQKWRWQPTDCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGHKTLTKFVNNGSSNVFYAHDYNATVEFYWAPFLVESNSDNPKVHSVPDRVIQWHSIAKHAKNWVGVDYLVFNTYIWWLSALDMKVLKGSFDEGATEYEEVDRPVAYSEVLKTWAKWVDRNIDPNSTTVFFMGMSPNHITPEAWGNQGGIKCAMETLPITNRSATLDVGTDWRLYAGAQDVLKTFRRVPVHFVDITALSELRKDAHTSVHTLRQGKLLTPEQQANPKTYADCIHWCLPGLPDTWNQFLYARIVSSPATQQ >SECCE6Rv1G0445170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:836256659:836257288:-1 gene:SECCE6Rv1G0445170 transcript:SECCE6Rv1G0445170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPASVLHRAALATTGPGEPSSSPVSMKASEQQQKQQQTAPVMAVNSDIVVILASLLCALVLALGIALVTHCACRRRRSANSPPHPKGLKKKAIDALPTISFAAAAASSPQSSSSSSECVICLAEFTEGEGLRVLPRCGHGFHVACVDAWLRTCATCPSCRAPIVARPTVVVVVAANNRCERCGEVAAPNGGVESMSSLPPFSSFSAF >SECCE2Rv1G0070600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:36620166:36634457:-1 gene:SECCE2Rv1G0070600 transcript:SECCE2Rv1G0070600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLAQPRLLPRLPSPATATATTAQPRAARRAFSSAADSTAPGRLRAVVSERAVTGMAPAVAGQEGRPLRVGLVCGGPSAERGISLNSARSVLDHIQGEDLLVSCYYIDCGMNAFAISPAQLYSNTPSDFDFKLESLAQEFGSLAEFAEHLAANVDIVFPVIHGKFGEDGGIQELLEKANVPFVGTPSNECLRAFDKHNASLELNAQGFLTVPNFLVEKDKVARSELEEWFGSIGLNKENGKVIVKPTRAGSSIGVVVAYGANEAAEKAKGIFSEEIDDKVIIEVFLEGGCEFTAIVLDVGTANNSEPIVLLPTEVELQSSITSDIQEDTIFNYRRKYLPTQQVAYHTPPRFPAEVIDCIRQGVSLLFRHFGLRDFARIDGWFLPTPATSLTSSENGGKFGNTEYGTVLFTDINLISGMEQTSFLFQQASKVGFSHSRILRTIVQHACSRFPSLVPSNNAWTALSRKMQSAKQAEIIQNGTCKQKAFVIFGGDTSERQVSLMSGTNVWLNLQGFDDLDVTPCLLAPSNGYSSHNQDFSEISCDVWTLPYSLVLRHTTEEVHAACFEAIEPERVEITSRLRDQVMKELERALSKQDWFAGFDITDEQPVKYSLQQWIDYVKEAKAVVFIAVHGGIGEDGTMQSLLESAGVPYTGPGPIASRTCMDKVATSLAVDHLASYGIHTIPKDVRATEELLKSSLVDIWNDLKAKLQTETVCVKPARDGCSTGVARLRCPEDLEVYVNALRGKLPRLPANCLSRAHGVIEMPVPPPESLIFEPFIETDEIIISNKSRNDSTRHLVWKGENEWLEVTVGVVGKRGEMHSLNPSITVKESGDILSLEEKFQGGTGINLTPPPATIMSEDALQKCKGCIETMANTLGLEGFSRIDAFVNVRSGEVLLIEVNTVPGMTPSTVLIHQALTEEPPIYPHKFFRTLLDLAFARAD >SECCE5Rv1G0299690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19652025:19653263:-1 gene:SECCE5Rv1G0299690 transcript:SECCE5Rv1G0299690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTNANPAPKDAEEKKAASPSSSSSEHLDEDDDFFQIEGPVLNTQFSLAGPNLDGSPDPKRIPSSVFARTSTSPTDWSVTSNEALFSINVGNTSFSKDHKVLYGKSGELGNPNEPLAPLPLLPKQSLGSSTIKGAVSPKATGEGSSTVKGEGDADHINSMSHRSEGSATNFAFPIFAGDEKASGCSKDKQPDLARQSTAQLSHAPDPEPHDEKNESPKAAMESPKAAMESPKVVMEAPKPEEAPVAEPAPVPAEPPPATKMFPCCSCCPFCC >SECCE1Rv1G0037520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:525862365:525864725:1 gene:SECCE1Rv1G0037520 transcript:SECCE1Rv1G0037520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQMYRSLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEEEEELEQE >SECCE3Rv1G0187050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:693981840:693991195:1 gene:SECCE3Rv1G0187050 transcript:SECCE3Rv1G0187050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETPTSSGRSEATSCEPSWWPPDFLEKIESVALAREQEVLAEKESRFSLSNSRSSSWKASQLLWSTGTYSGFIPNGFYSIIPDKKLKESFPTIPSLTDLQSLEADGLKPEIIVVDAEKDKKIFMLKQLSGALVKGLNNPALVIKKIAGLVFDCFKGQNSDASPGRASTEDTHFFGNRGPQLLGQIRHGSCRPRAILFKVLADAVGLESKLVVGLPDDGAVGFVDSYKHMSVVVPLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIEASSNLSGRSLRNTMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRRGFAEEPRGASSSPEHPLMRAKGRSILGGDRQSFQEYTDRVTLRSDDQGVTATPNPRRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVNDGSCSYIGADESNANDCPNNDDKSGTNNGLRNRAGSTQKAMSLPTSPHDYGGETSETSNNCDFISEEKMVFAWNKVLQSSPFNKPLSPFQEWKIDFSELTIGTRVGIGFFGEVFRGIWNGTDVAIKIFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACMVPPHLSMVTEYMEMGSLYYLIHMSGQKKKLSWRRRLKIIRDICRGLMCIHRMKIVHRDLKSANCLVNKYWTVKICDFGLSRAITDSPMTDNSSAGTPEWMAPELIRNEPFSEKCDIFSLGVIMWELCTLSRPWDGIGPVKVVYAVTEGSRLEIPEGPLGKLIADCWAEPQDRPSCQEILSRLLDCEYADS >SECCE4Rv1G0243920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:508069796:508070431:1 gene:SECCE4Rv1G0243920 transcript:SECCE4Rv1G0243920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTGAMRREEAGRRAPRRRGASSKSSAPRKNMGLMGVRLRHWGRWAAEIRVPRTRDRLWIGTFRHPEQAALAYDVALFCYYGDTPPREFNFPTAPRPYIPEHRRSSLTPANIKAIAERHAHALYGLIARSNVPVPMPVPMPVPGAIEPLADEAMVAATGAGRGTNNTGVTTATVHGNNNENYINMDDIMAIDDPLFSIDFETFVDMVGL >SECCE2Rv1G0118840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785972585:785973657:-1 gene:SECCE2Rv1G0118840 transcript:SECCE2Rv1G0118840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLMAAMLLGGARVASATALTGNTAVKAIPRPCFLAPRPHAASWSPICLQTAPRSSQAYNNSPDDRREIKDKYKSAAEQAKDATGDAKESAKDQASRMAEETKDKAGYAAEKASRMTDKAKHETKETASQAADKTAEMKDRAKETAEEAAEKASRMTEKAKHETKETASQAADKAGEMKERAKETTGQAMDKAKETASAAKEKTAEVAEGAMDKAGEAKDRAAESTRSAGEKVAEMTKEGASKVAETAQALGEKAKQAAQGAWDATKEAAQGVKEKVGSESTAEEHSTWDDVEAATKERDRIAQEERKRQAREKGAGLP >SECCE3Rv1G0208640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:934130584:934131255:1 gene:SECCE3Rv1G0208640 transcript:SECCE3Rv1G0208640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARRLLTCLSLLGTLSAPAAVALATGGIGQLRSRGTEIGVSRPQPDVNFTVGVVGAVWCKNCRYAGYLPAKNVAPLAPSRVSTTSWRELHSLSGGLQHALTHAPRQALIVFSVLSVLADAAALLRCRRGGHAMSAWGTTDARGYFMIQTAEEAVPFASKDCVVYVPRSPRRGACGVAVLPRRNQGSPLRFREYVTRPDGLQAVYTAGNFVFGPRDPTKCS >SECCE1Rv1G0025780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:359559181:359565936:-1 gene:SECCE1Rv1G0025780 transcript:SECCE1Rv1G0025780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFAAAWPHLLISFLLVAPQSKAASSFGATAGRPEWQVLTRANFSSQIRLHPHILLVVTMPWYGESRSLMAEIQQLVATEEMELGCLKLMVVYRNSEKLLSDAIGATEGTKFIYYQQSIQFKYQGKLRARDILYSVRYIMSLKHEEAPFVALHTKDDVEEFIQSTDRAVLLSEFCGWFTRLASGRSNRSSGVTPSKTHAENVDVSGKTVTRQSDGRLELVIEDDELTFGGGSQLTGSPWKGGFTTANQSVSTDENSKLCTVQKFQQFESFYAKLTALSREYFLPPEKVRFGLVTEKLPLSSLDILNASNSETWFLSVHYLGCTSCSVIAKEEDDLRSLVQSYHNLDVKEVDTDASGGEVTFPSNRPSAILFIDRLSDSLKIRDESKLSLKLLREYAQKNYPPYVISGDLNSRNSRMRTKADPSALSTSKSDGHSGTARLHDLASKYMDLGEKMSVMVVRDGESISYGSASEGSTNGPLYEILTKLIHKTRSAHRSKKTRISFVAKDDDLKLLSDNPEVQVVDSVSIRESQRTHDLFASSDSVNVGIAEVSVHEDNVATEVECIDDGQTPTILEKTPAHYCGINDNDLHCSNTEMEDQQAIEAFDVSPDLREEASIDVHGSNEVHGTLQKHRDEKIVTEVLDILEPDGRKANSNKEKSGSPNQQDVFSVLSQESERIENFISEDDLFNIDEESEKSDSRYSPRATFSSSSILASDNTEYTEQVTSSISDNHFVGSFFFSDGGYRLLRTLTGGSRMPSLVIIDPVQQKHYVFPQESEFSYPSLAIFFDSFVNQSLSPYYRSASSVVSSKELPRPPFVNQHFHEANSIPLLTASSFCHLVFGFEGCDSKNETPFLNTATLGVAWKKDVLVLFSNSWCGFCQRTELVVRELHRSFKSYMSLNSQFAKAQGLQTEENDGDSGLPAIYMMDCTANDCHHLLKSADKEELYPTVLLFPAENKSAISYEGGMSVGNLNEFLESHASNSRHMLEYKGWCKSSVYSYSSHPPVFMCCPSIHLQKCRLLIYLSISS >SECCE7Rv1G0502330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:695407394:695410501:-1 gene:SECCE7Rv1G0502330 transcript:SECCE7Rv1G0502330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAASHPAWRYNGEDRISALPNDLLGYIISRLPFTDAARTAAFASNWRQIWRSTPLVLRDFDAHLPEATRVATVAGALANHPGPFRTVSLFGCRAASLDLELPEWPRLLATKHIKNLSFLNKQTRPRTTLSPLPAGILCCNSLQSLSLAFWKIPDDLPLATNAFPNLRKLGLVRNDMSDQYIHRLLAACPIVEYLGLVIKGKPERVHVCSQSLRCLLLGLSKVEEFTVVDAPLLERIIFFKPPYGGTDCVRFRIASAPKLRVIGYLQPRIHKLLIGDSIIKPDTMASPGTVVPSVKILAVKVDFGVLWEVKMLASFLRCFPNIGTLHIESVPHDPSVAAHEPTGEHHARFWQEASPVESLRLHVRSLFIHKFRGDQNEFEFLKYVALNARELRALLVVSPDENFGLALADDVNEMANKLDHPLFQPWTARGLLESPRVRNGLFSAKLPFLGVHAPIR >SECCE6Rv1G0426880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:716317876:716327231:-1 gene:SECCE6Rv1G0426880 transcript:SECCE6Rv1G0426880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) UniProtKB/Swiss-Prot;Acc:Q9C895] MDATALQYENQKLVQQLEAQKSEMHVLEAKFKELRNEQSSYDNTLISLDKMWNQLVDDLILLGVRFGGGLNNLPALDHEELSEESIESCPSEEIFLFMLLKSNNYGKKDDNSLLEFAEEALALRRSATIALMRSLQEAIAAQQARSEYLSLALNGEKSNEDVVVTLQNHNDHLKEVVGNVREAISIVNEKHKRYLDEIEAFKSSYSRELQEIKHLSGELEETMAELEESRRKLVILQLQRHGGSLMNMSGPNAVNGAVSADKSSDKNMGWGDLKDAVEEAKTLAGDRLFELHETQEDNLILSKQLEDLQGQLKDDNYIFTSKPYTILSDQLHHLNAEIERYKGLIEVLQNDKNQFLQREKEMCAKGESVDNIKQSITAYEAKIEELEHQILKSMAEKNDLEIKVEESLQDSGKKDFKDEIHVMAAALSKEMEMMENQLNRSKDAASEAFALREEAESLRTLLAKKISEQKEISDRYNTQVSEIKSLKELIETLEKENQELEFIVDMYGKECSESRTITEIKESENRARKQAEYLRTSLEEHSLELRVKAANEAETACQRRLCIAEAELEELRTDVDASERDVLELKEAIRIKEAEGDAYISEIETIGQAYEDMQTQNQHLLQQVADRDDFNIKLVSDSVKTKQASASLLSEKHLLQKQLHQVNSSLESSKQKLSRGEEQMKVYVAQAIKTSSENRHHAITIEKTLLEVSEAEKELKWLRSAVGSAEKEYEQNQKKIAELRTELERERSEKRKVEEEYEEVKNEVMELTSENEEATIQKLQDEIKDCKAILKCGVCFDRPKEVVITKCFHLFCSTCIQRNLELRHRKCPGCGTPFGQNDVREVKI >SECCE6Rv1G0443990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826196662:826210072:1 gene:SECCE6Rv1G0443990 transcript:SECCE6Rv1G0443990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGERDDDDVATTSAADDDDDDDYEEPGGGNRLLGFMFGNVDDAGDLDADYLDEDAKDHLFALADKLGASLKDIDLTKSSSASDDLSEQDYDEKAEDAIDYEDIDEQYDGPEVEAATEEDHLLSKKDYLSSNTMFALVCSKDSVFDEENYDEDEEPPNDIELPGDYFIQAEQLGISPSNDSPAIDTVSSSLPQLGESMDVEYEVCQEEIDIEEEQLESKSATSLPVLCIEHGSAILKFSEVFGVQEPVRKAKTDHHKRPVSKDVRITNISDIVEDDEEVFLRCAIQDVSSLKHIKMNEDFVESDSDELISSDAFGFKDSCLSEQPMKEAHKDFPTAQQAPVCPDVYPLEHEDWENGIIWGNSPASESQSCLKSCVISEESSDTHSEDEAKDYGYVSRHCDVQSRNNESPEPFGYTEVPASASYHSPENSYPPLTKETSPEKNDLNHAEPNNINGTVKIVTMKCLSNLSLLNKELLEGSWLDNIIWDHSEDAPKPKLIMDLKDDQMLFEILDEENGDHLRSHAFAMIVSQSVRTSTVENFGHNNQIVTFDGQFNISNDKFYSNKEISQQAKSHTKKRSSMGIKVVHSVPAQKLQTMKLNLSINEIANFHRPKAKWYPRENKLAVELQGAACSHGSMTVIVMTLGGKGVKLLVKAEETPLSVKSKASKKLEFRPSEKIKLFGSGKELQDDISLAMQNVRPNSILHVVRTEVHLWPKAQRLPGKGKALRPPGAFRKRSDLSVKDGHVFLMEYCEERPLLLANAGMGARLCTYYQKTSPDDQTATSLRSNNDGLGAVLAIEPADKSPFLGDVRSGSHQSCLETNMYRAPAFPHKVALTDYLLVRSPKGMLSLRRVDKLYAVGQQEPHMEVFSPRPKNLQNYLLNRILVYVYREFRVRERPGVLSQIRADEIPIEHPLTEVIVRKGLKHCADLEKGPNGHLFWTQRADFRIPSEDELRRLLSPESVCCHESMQAAQHRLKHLGIHKLTQPVGLASAMNKLPDKAIELAAAAHIERELQITSWNLTSNFVACMNQDRENIERLEISGIGDPSGRGLGFSYVRVNPISNLPHKKKPAIAKGTTVTGTDADLRRLSMDAARELLLKFGVPDEQIDKLTRWHRIAMVRKLSSEQAASGIMIDEVPVSKFARGQRMSFLQLQHQAKEKCQEIWDRQFQSLSAIDGDDNGSDTEANSDLDSFAGDLENLLDAEEFDNEDVGNADLRSDKGDDMEGLKMRKCSTQVQFNKENQDDQAEAALVKKLLEESGNDIKWKKQPAEMTNYGTSMYNQAASKTKPRQSALTLEESTPRGVKEEKRQGAKGVCGACGKLGHMRTNKLCPKYGQDPETSGLDVISFRYNPLDEASHVQTTKPPGMRLVAKVSSEVPETEGPDCIEKIKPVKFRCGAPEKSLERNISVAGSLVSDKLTMDANDSRSTGNVCKIKICSKTKSEDYPPDTPKPSIVIRLPAKAEKDVPRKKVIFKQPEGHVGQLASIENRSGQEPRKLRKISELASFKENSRENDGWYAGEPIQMNSSQDRLGLDGNRKSKVVGSDESWSAFKEQRVLQEQRLIQARMQEVSREEELQKSKRKNKKKKRHEFRDDDVLDHRPYRNDRRVPESDRATKRRTPADMTENAPSAKRRRGGEVELSNILEKIVDHLRNETSISLLFLKPVTKKVAPDYYDVIQRPMDLGTIRDKARKMEYKNREEFWHDVAQIATNAHIYNDTRNPHIPPLADQLLKSCDCLLEQSADVLDDAESAIQGLAQ >SECCEUnv1G0543310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:112510720:112513583:-1 gene:SECCEUnv1G0543310 transcript:SECCEUnv1G0543310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPSAAAAAGAGGDAAGQRAPVGPLQLPTPEEIKGQEMMNNCAVRSVLSGVMGGGLGVLMGLFFGALENPIMAEEMSARQQIVYQAKQMGRKSMSHAKTFAVMGLIFSAAECVVEKARAKHDITNSAVAGCVTGGALAAKGGPQATCIGCVGFGAFSVAIEKFMERYQ >SECCE5Rv1G0317160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:337576935:337603854:1 gene:SECCE5Rv1G0317160 transcript:SECCE5Rv1G0317160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic L-amino acid decarboxylase (AADC), Serotonin biosynthesi [Source: Projected from Oryza sativa (Os07g0437500)] MYQFHVAQCPCAKLLCCFCCCQLRDESGTSNGGDGGGSLRPMDAEQLRECGHRMVDFIADYYKSIETFPVLSQVQPGYLKELLPDSAPNRPDTLDALFDDIREKIIPGVTHWQSPSYFAYYPSNSSTAGFLGEMLSAAFNIVGFSWITSPAATELEVIVLDWFAKMLKLPSQFLSDAPGGGVIQGTASEAVLVVLLAARDRTLKKHGKKSLEKLVVYASDQTHSALQKACQIAGIFPENFRVVKADCSKNYALAPEAVTEAISIDLSSGLIPFFICATVGTTSSSAVDPLPELGNIAQGHDMWFHIDAAYAGSACICPEYRHHLDGVEKAHSFNMNAHKWFLTNFDCSLLWVKDRSYLVEALSTNPEFLKNKASQANSVVDFKDWQIPLGRRFRSLKLWMVLRLYGVENLQSYIRKHIQLAEHFEQLVLSDSRFEVVTPRLFSLVCFRLLPPTSEYEGGHQLNYDLMDAANSSGKIFISHTVLAGKFVLRFAVGAPLTEEQHVDAAWKLLQDEATKLSGSA >SECCE4Rv1G0286290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849096268:849097673:1 gene:SECCE4Rv1G0286290 transcript:SECCE4Rv1G0286290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHTRRRRSSAAPLEEEDLLSEILLRLPPLPSSLHRPFLVCERWRGIVSDARFLRRFRLHHRRNPPLLGCFVQGISPVHFEATLEAPNRVPQARFPFPIDAVHTYVILGCRHGLMLIFLWRRNQLLVWDPVTGDRHHLDVPPGFDNEETKINGAVLRAAGVVHHFQVVLVGNSDIQLTQAVASVYSSETGVWSNLVSTPLPTDNPDVVTRVYHDMCSVMIGNSLYWFLIGNFCGILEFNLDTQSLSVIRAPVDVDINTCSVTVMRAEGGGLGFLFLSDYCAQAWKWKTDCDGVASWVMARTVALDKLLSMNSEEGSQSPRILGFAEDNDVVLLWTFIGVFKVQFETLQFKKLLESYPFYRWFHYYPFEAVYTADAGIM >SECCE6Rv1G0442510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:817905616:817906180:-1 gene:SECCE6Rv1G0442510 transcript:SECCE6Rv1G0442510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGACEMRVSNEGVDATECGCKLTPVIRVCNEGFDAGRRFLSCPYERLNSCGYLKWMDDAWQGRSRVVIKKLADDNQKLQNALLDKEHDIQRMKKERNKFAEQRKSREKIDLFLVLVVLASVVTYALIALVSRGFV >SECCE5Rv1G0371490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:847615290:847615881:1 gene:SECCE5Rv1G0371490 transcript:SECCE5Rv1G0371490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRKFFMVVVVIALLVVATEVAPARAVDCRTASTKFNGICILDTSCANMCITEGFLSGGECEGLHRRCMCKTPC >SECCEUnv1G0540050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78240657:78242358:1 gene:SECCEUnv1G0540050 transcript:SECCEUnv1G0540050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMDSLVMARVIHDVLDPFTSTVPLRIGYNNRLFLPGADLRPSAVVSKPRVHVGGNDMRVLYTLMLVDPDAPSPSHPTLREYLHWMVVDIPGTTGASFGQELVVYERPEPRSGIHRMVFVLFKQLGRGTVFAPDMRHNFSSRSLAREYHLNIVAATYFNCQREGGSGGRRFRPECTRGMYLEPK >SECCE3Rv1G0159710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:98730670:98732614:-1 gene:SECCE3Rv1G0159710 transcript:SECCE3Rv1G0159710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSTTRLAFVLLVLAFSAALAESRDAYMRSADRYACILTQERFPLASRGAGLTSANGKLCVLCEQYSTEALVYLRQNETQTEILSALHHTCASLGPLRQQCLTLVDYYIPAFFLEVSVVKPEELCESAHLCPKGAATRSSTRGDACGLCHHVLVEVLTMLKDPNTKLEIVGLLFKTCSKAKNYEPQCKRLVLDYIPLILVKTQKFLETTDVCFATHACKTGMQSTIPLSTAL >SECCE3Rv1G0145240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:6029453:6029863:-1 gene:SECCE3Rv1G0145240 transcript:SECCE3Rv1G0145240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGASLLAFSVFSMLVMSSLGGPRPLCSDCETLCRTNCDAEVEALCGSTCDYNNSGQADCRRQIFKRCTTEGTCCSSNGTCTCDCNTVAQNSCFGVSDGYTRCGSCKLGRFNQCYPTCKNDCNNNCKKKKGCHA >SECCE5Rv1G0298000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:7600625:7601251:1 gene:SECCE5Rv1G0298000 transcript:SECCE5Rv1G0298000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNALLKLWAMVEDSKSARVTDNLESSFTIHHLTEEKNKLEANYDKLVQDVHELMDFQEDRVVDFRYLQSNLTYQQQCRSEIVADMKAEMAKKDVEYQKLNQKYEVLLNLTRAQATVIQNLKLKNMTEKQLLSEAKMNLELKNAELMKGEEKLNQDKLELKLQVSDLLKGKAKHVEEKGQLENQIVELIKAEEKLKVKIKGIQAILQN >SECCE4Rv1G0287010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:852964337:852968453:1 gene:SECCE4Rv1G0287010 transcript:SECCE4Rv1G0287010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYVQDMLTEMAKEEVHMLLGVGDEIDKMDVKLGELKNFLADADRKNITEVSVQEWVAQLKRIMYEAADILDLCQLKAMERGPSTIDVGCFNPLLFCLRNPHHAHVIGSRIKVLNKKLDSIYERIAPLKLINLSSFEDRRNKAQASHSGNPRRETGGEFNRSGLVGEKIEEDTRKLVEIMLTEKESNNNIMVVAIVGVGGIGKTTLAQKIFNDENINSNFDKTIWLSINQDFDKVELLKTIITLSGGVHGGETALAVLQPILTATLKGKKLLLVLDDVWSHRAWGDVLETLVSNVVARGSRVLVTTRDERVARGMKAELPYHHVDKLEEEDAWSLLKKQIVSSETDVSEIDMLKDIGLQIVAKCDGLPLALKVMGGLLCQKDNQHHDWKMVLDDSIWSVSGMPEELNHAVYLSYEDLSSCIKQCFLWYSLLPKSGTFLRDDIIGMWISEGFLHGPSDNLEELGIKYYKELIQRNLIEPDSKYIDQLFCNMHDVVRSFAQFVARDEALAAHSETNIVSKLSAQKFLRLSLENKALESKGLGWSSLQAQNTLRTLISVGYTMRKPGASLVNYFPCLRTLHVESAHVMLVESLHKFKHLRYLSLDQSDICRLPESIGKMKFLQHISLRGCQQFVELPHSIVKLGQLRYLNLQETSISAIPRGFCVLTNLRKVNGFPAWVDDDWCSLEELGSLSRLRYLEIQGLENVTASSLAAKAKLVEKAHLTNLCLTCGSKFGDIFPEEESQRIKNVFDELCPPPNLSFLAIEGYFGRWHPRWMMSSSDVPLKSLRILMVEDLAWCTELPDGLCQLPCLEFLQIYHAPAIKRVGHEFLLSYHGQNPHPSQAVVSFLRLHTMKLMRMVEWEEWKWEDQMQAFPSLKELILFECKLSCLPPGLASHARALNVLSLQQVEGLISVENITSLIELQVIENFDLERITNLPRLQKLTVTHCPKLKVLEGVPALQRLMLEDDDMETLPEYMGSINPRHLELYCILSLLASMVVAQSGPEWKSYFTVPWYSQTTPRSTMQICPSARRGKEVISQTARMGNEVNSACAVQS >SECCE7Rv1G0509540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:781805759:781806202:1 gene:SECCE7Rv1G0509540 transcript:SECCE7Rv1G0509540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGRSAMEATKEAAANVGASACSGLEKTRATLQGQMDKATAHSPADREAAQTRTRERVHGAEEVKRDAMLANAAAKERASAGAYHPSQGAPGIVPGGAPVGGQVEAGVAESRPVGTATGTGRPSAAHNPRVGGGSAPATGTGGQYQ >SECCE7Rv1G0511840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:805480246:805482405:-1 gene:SECCE7Rv1G0511840 transcript:SECCE7Rv1G0511840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAVRHDPVSAPGNMECADDPGSSSSSSSRSKWGKMMSNDTWRWCLGLIYIVAIAGIWIAASYIVQSVVDGGVSPFLITYICNSLFVVYIPIVEFARYFEDSIDNLWSKLKGQDGADLQQLADLESVNLLQRSRQEGNAASPLSEDNLTSNANFPIHTELGVADCSKGLDAKGRWTRARTARVSMLVCPFWFLAQLTFNLSLRYTTVTSNTILSSTSTLFTFLVALVFLGETFTWLKLISVLLCIAGTIIVSLADSGSTLNAVATNPLLGDFLSVVSAGLYAVYITLIRKKLPDEKEGQGQVSMAQFLGFLGLFNMLFFLPVALVLNFAKLEPFHTLTWEQVGLIVGKGLLDNVLSDYLWAKAILLTTTTVATAGLTIQVPIAALVDTLTGHAPQLLNYIGAAAVLVGFAGINIPSDVLQPSHHQQDQQEAPIVSIVDDSHHSPSDRNFTDAVS >SECCE7Rv1G0473820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:161685383:161687381:-1 gene:SECCE7Rv1G0473820 transcript:SECCE7Rv1G0473820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSAKRLLPWSRASAGGVSGGLRALLRTDGGGGRSLLPSRWKSSLPQLDTVERSDEEGGGDIDWDNLGFGLTPTDYMYVMRCSREEGGFSRGELARYGNIELSPSSGVLNYGQGLFEGLKAYRRADGPGYTLFRPEENARRMQHGAGRMCMPSPSVEQFVHAVKQTVLANRRWVPPQGKGALYIRPLLIGSGAILGLAPAPEYTFMIYAAPVGTYFKEGMAAINLLVEEEIHRAMPGGTGGVKTISNYAPVLKPQMDARSKGFADVLYLDSVHKRYVEEASSCNLFVVKGGAVATPATGGTILPGVTRRSIIELARDGGYQVEERLVSIDDLVSADEVFCTGTAVGVTPVSTVTYQGTRYEFRTGEDTLSRKLYTALTSIQMGLAEDKKGWTVAVD >SECCE4Rv1G0263960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718601810:718603076:-1 gene:SECCE4Rv1G0263960 transcript:SECCE4Rv1G0263960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSDSESTEGSAAQYVSSEDPPVKIPATINEPSFEGLADDLNVICEHGNLGRKCVAFEGISTGGRFISCATEGVANCGLVQWVDEDWPEHLRNAIHKLWLMYEHSQHNNRMACLEHSSTVHNLTQQKKELQETYEKLVEDVNNLLDYKDSQAEVNEKNDGDNISVSVESSITKDAEIKKLKSVVDQLKQIHVAQATVIRNLKFNHLKEKEKMSSDKRTLEICYADLKKEKDDLKKEKDQVDCCIAELMKVKEKLTMEKSTLASCIVELKTIGDGNKRKLHQIKAICDED >SECCE7Rv1G0519950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866472348:866479699:-1 gene:SECCE7Rv1G0519950 transcript:SECCE7Rv1G0519950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATGAMGSLLPKLAKLLTEEYKLQQGVREGIESLKKEMKSMQLALCKVAEVPREQLDEQVKHWAGEVRELSFDMEDVVDKFLVHLHEGSEPAANSNKLKRLTKKMASLFTKGKARHEIKDAIEEINRQVQEVAKRHGRYNIDSIVASLPSVTSVDPRLGAMYAEVTGLVGIAGTRDQELMKLLSEGDDMSNKMLKIVSVVGFGGLGKTTLVKTVYDKIKGDFNCSAFVSVGRNAEARKVFTDILLDRNMKKIRDDLGINESQFILSDERQLIELLQEGLADKRYLIVIDDIWDDKLWEVIKYAFSSSDNFGSRLITTTRIVSVSESCCSSINDSIYTMEPLSHDDSKRLFYKRIFSQESGCPPDLEEVSGAILKKCGGVPLAIITIASLLASGQHAKPKNEWHVLLESIGRGLTEDRSAKEMMRILSFSYYDLLPHLRTCLLYLSMFPEDMKIMKDQLIWMWIAESFVACGKWETSLFEVGESYFNELVNKSLIQPTYDEYGFVDGCRVHDSVLDLICSLSGEEKFVTIVSGTGDTISSEGFVRRLSLQNATRIEGQTRLLRYESIGKVRSVVTFAPAIDLMPPLSSFVVLRVIDLEYDGTKEDHLNLQELGHLLHLRYLRLSGHGITKLPEEIGKLQFLQVLILPGRTRLPSTVIKLTRLMCLRTDGGRFQLPDGVGNLKLMEVLPKIKVGSISIVQELGSMHRLRELNIQFESSEPVEAFVESLGKVQKIQRVEISAKCEHEVSMDLLGEGWTPPATASLREFIMYKGVRLCTLPAWNPYHLSQLSKLALSVGDVRQEDLEFFGRLPALRILGLRSDNQRPLVVGAEGFRCLEKFILGSKSPSQILFLPGALPKAELVVLEIGLQVAKEEAAASGGDWFDIGMGNLPSLREVEVTFYRSGVTVGEAKQAEAALEKALLAHPNSPTVGIDFDEDIPEDARDEDVYIKGQESA >SECCE5Rv1G0352350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:709100339:709101061:-1 gene:SECCE5Rv1G0352350 transcript:SECCE5Rv1G0352350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSKVQEMILRRRSRPMNGAAQHAGGNSPTASCDAGGGGKGAARAPSFAAPRLLHSSSLPAGGRAAVVIGSPARDPEAMFAYAMSPTSVLDASAAFGSPGPAVDAGGGSKRRPWCDGCAGPHGLADVLDCAHEAQRRKSVLRGAVRAQAPALVRSSSLDRRVEFGVKNKSSWLPLRAARAGAAEAEEDGPSSEDYTCVISRGPNPRTVHIFGDRVVEGDRAPESPPPAPARQGRGVLSL >SECCE1Rv1G0043400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:592152340:592158270:-1 gene:SECCE1Rv1G0043400 transcript:SECCE1Rv1G0043400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLGVFFHGATLAFERDDLGREIMGIAVPGALALMADPLASLVDTAFIGHIGPVEIAAVGVSIVVFNQVTRIAVFPLVSVTTSFVAEEDATSSDRNTDEISGENEHDVSEMDELITHEENNATSGKSSFETDSSEIDTEHKRKKIPSVSTALLLGGVLGLVETLLLVSCAKPILDFMGVKADTGMLKPALQYLVLRSLGAPAVLLSLAMQGVFRGLKDTRTPLYATVAGDAINIVLDPIFMFVFQYGVSGAAVAHVISQYFIAAILLCRLSLQVELLPPNLKHLPIGRFLKNGSLLLARVIAATCCVTLSASMAARLGSTQMAAFQICLQIWLASSLLADGLAFAGQAILASAFARKDHSKAKATASRVLQLGLILGLLLGLLLGVGLHTGSRLFTEDKGVLHHIYVATPFVALTQPINALAFVFDGVNYGASDFAYAAYSLILVAIVSIACIVTLANYIGFIGIWIALSIYMSLRMFAGLWRIGTARGPWAFLRS >SECCE3Rv1G0164650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:165149473:165150456:1 gene:SECCE3Rv1G0164650 transcript:SECCE3Rv1G0164650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTAPRVVEDFLGVVQLLSDGSVVRGDEAVLRSNEPLPDVPGVQWKDVLYHVAHGLSVRVYRPASSVAGGSKLPVLVYFHGGGYCIGSFAEPNFHTFCLRAATEIRAVVLSVQYRLAPEHRLPAAIDDGASFLSWLRSQAELGAGADPWLAESADFSRTFLSGHSAGANLAHHVTVRVASGQIAVSPVRVVGYILLSAFFAGAERTATEADPPAGVSLTTAMADQLWRMSLPVGASMDHPLANPFGPESPSLAPVELPPALVVAPLSDVLRDRVLGYGARLKDMGKAVEVVQFEGEQHGFSVRQPFSEAASELLRVIKRFVYSGN >SECCE5Rv1G0337930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:594708771:594714496:-1 gene:SECCE5Rv1G0337930 transcript:SECCE5Rv1G0337930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIETSRHASTILPNTMDFDIFENMLQESSVTPIFLPVEFLKAITRNFSKEQELGRGGYGVVYKGMLQNGKMIAVKKLFEMQLEDDQFQNEVTYLIGVKHQNIVQLVGYCAESRWEATQVGEKYVMAETRTRLLCFEYLKNNSLDKHLSAESCGLEWHIRYDIIRGVCSGLHYLHAECRIIHLDLKPQNILLDDHMMPKLADFGMSRLFGKQQSRIITKSRGGTFGYMAPEYITNGLITTKSDIFSLGIIIIELMTGHKKYPESSETPFEDFIENVIANWRHRLEKTLSHRALETCSEQVSRCIVLGLNCLNPDPSKWPSAWDILQTLNELESTNCCFDMNDVPAAGQESEIDAFAGCGDVNSNNLAAKLNRMISRSFTPSSANMSAVFRSAPKGRKISIHAFEIANTIVKASNLIKSLSKQRIRHLKEGVLRSEGVCRLISEDYSQLSILIEDDIREDLKRFLIEVARFGDLCEDRQWHNLSRYFCRGDSPLPSKNSSEEAPPSMHYLIRLAQHTRVLHEEMLALDRLEHTYYAAAIPVRKQIDAIKNQRGAVKVLKSKSLWSKSMDDIVEKLVEIIDFMHLQISEAFPKSQADAEQLSGELHIAINLTKTLGATGLALHYANVILQLKALALASPAVPQNAREALYQALPPGIKPVLRTQLRIPDKDQTMTRAAVRAEMNRVLRWLVPAAESTRRYHVKGVFGEWEMKGIEGIDVDEANWFEQESRISVSYMLAHADAKVSKVETLYYADKERTEGYILDLVLALHRLVSPAD >SECCE2Rv1G0135630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908184350:908187223:1 gene:SECCE2Rv1G0135630 transcript:SECCE2Rv1G0135630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISSFADLFALLTILICLLHRCGANYEVESVAGSRNVLSAKLKLVGGTAEFGPDVKRLNLTASLETDNRLHVRITDAYRSRWEVPQDVIPRPAPASGDVLLHSSGLSNSPLPGNRTMSSASSDLTFTIHTAPFRFTVSRRSTGHVLFDTSAALIFKNRYLEVTSALPARGASLYGLGEHTKRTFRLQQNDTFTIWNEDVGRADILDINLYSSHPFYMDVRRGGAAHGVLLLNTNGMDIKYGGSYITYKVIGGVFDFYFFGGPSPLTVVDQYTQLIGRPAPMPYWSFGFHQSRYGYKNVADLEGVVAGYTKAKIPLESIWSDIDYMDGGQDFTLDPINYPANLLRPFVDRLHKNSQKYVVIIDPAIKKEAAPPQNESVGLFLQRNGTNYVGRVWPGEAYYPDFMSPHAAKYWARKISEFRRTIPADGLWCDMNEPSNFKDWEPLNEYDDSPYRINNTGIHRNLNNKTVPVSAVHFNGVSEYDAHNLYGLLESRATHDALLKDTARRPFVLSRSTFVSSGRYTAHWTGDNDGRWEQLAQSINTILNFGLFGIPMMGADICGFTGNTTQELCTRWIQLGAFYPFARAHAERTTARRELYVWESTAQSARKALGMRYRLLPYIYTLMYEAHTTGSPIARPLFFSYPQDADTYGVDRQFLLGRGVLVSPVLEPGATTVDAYFPAGRWFSLDGRSPAITLQTGKRVTLPAPADSANVHLAGGNILPLQQPALTTSAARQSKFHLLVALAENGTASGELFLDDGESPEMGGVGGNWTLVRFSCNTKDSKGIITATLSSHVVQNSYAPSRTLVISKVAFMGLPSPPKGFTVDVNGAELKAAGTKSRTNGVFTVSGLSLLIGQQFEIKVVTSH >SECCE1Rv1G0007080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:38596309:38598892:1 gene:SECCE1Rv1G0007080 transcript:SECCE1Rv1G0007080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHVKSSTSSSSPSPPPPPPEVEEEMEFLYECAATAAVADVAAALAGTAGLQARVLSLCRRLRERCPSGELERALSEAEAYASKVQVRHNKFVSPRALREHIKNIEKTAANAIQDTSEALCPQHPESDEKRDSIQLWWAGKELAMDKKLSDYIGVNEKTKIVIRLSLTTSL >SECCE4Rv1G0247280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:556336411:556337703:-1 gene:SECCE4Rv1G0247280 transcript:SECCE4Rv1G0247280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPEAPSLVRLPEHVVLEILARVPSVADLFRCAAARKRWRDLVTEPSFLRRRWPEGACHCSSLVGFLGRERRRGGEGPPGSSFVRAPGSVLGPGRPLLGSFVPGAAGLLDDRVVPLASHRGVLLVRFGAGAETEAEAEPEPGVDRLAVCNLLSGACHVLPPLRCDWFFDYFGTSAHALLTGADCCPDDGQRQSLDPASFKVLVVGVSDDRRHYVLRTFSFGEPGWSAPSECFDPVERGIFGPFKRRSAVVSHGTAHWVLWDLVKFHVLDVNAATRHASLQELQTPPAAGDLALYESPHLSVAPNKAATLSSLCLSSQEPQVEIWTRQDGGKERDEDCGGDWRRDRVVEMTPEQNQIVDRPRCMCAGDRSGTLLITDRCRCMYILHLESGAMEEVTDHLGGLRDYKTAMAVEIDWPAFFVCRLLGGKAHV >SECCE3Rv1G0159740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:99264250:99265728:-1 gene:SECCE3Rv1G0159740 transcript:SECCE3Rv1G0159740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQAQGISGGMYRYTHRCESGVNIHDVYVERSAFRVLFSYVGAMCLLVNVCRTLLSKENVCLGSFWCVPFSAIVAKCLQYKPVKKESLVIMPAFGIQLEQHFWSGRVDRKFVPMSKILQPVLNECVTPVTCYWSLALLLRDEDETMLVFQKLHPPVKLLVPIWKALRAFTNSGSVSPSVVLRPDDVHQNVDGDGAVDS >SECCE7Rv1G0525910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893334891:893343172:1 gene:SECCE7Rv1G0525910 transcript:SECCE7Rv1G0525910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSREPADTEWTPPAGSPAEEPVSPTARAMEDIGIYIVITIGLDTPINLTTFQAGIEDMLALHVYTYDPGMQVADVSNNGEARWARTTVNVQDHMIVPRVDSATDPDKAVEDYVASLSTLPVDRSRPPWEFHFLDFPTSEAASTVAIRVHHAFGDGMSTLALLMMSTRSASTDTKSHPAAPPPHRPARTGAIYAPQRHPFSAGILALVAWVLSYLVLAWNTAADIAYFVATIMFLSDPHTLFKRADDDDGFHAKRFVHRSLSLDDVKFLKNSMNCTVNDVLVAVTSAALSRYYFRKSGDANTRKICVRSLLPVNTRPASSLQAYVNAIESDKRNEVTWGNKLGYIILPFYLATHDDPLGYIRKAKKVLDRKKRSLEVIFTYKIAEIFIKVFGAKVGTSIFRCLFARTTIVFSNMVGPTEQVELCGHPVTFISPSVYGIPEALIIHYQSYRSSIKIILSVDEDKFPDYHQLLDDFDQTLAVMIDASSRLATLTKND >SECCE2Rv1G0073330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:67125541:67127706:-1 gene:SECCE2Rv1G0073330 transcript:SECCE2Rv1G0073330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAEALLVLGFLILPLTAWSFLVPESRRWSGETGDEVLGIALGATHSCIAAVHRDGSIDIVPDDQGNRVTPAWVAFTGDGKRLVGEAARDQYAANPNRTIYGARRLLGRRFSDADLQLDVETLPYAVVDKAGMPYVRVEVGEGDERMLRPEEVTAAVFADMMDRAAAHLGRKVTRAVLTAPAHYTDAQLYATKEAGILAGLDVLRLVREPVAAAIAYGLDKHRGDARHMLVFDLGGSTLDVAVLTLDEGVFETMASRSDAHLGGDDFDRRLAGYFVDLVQRKHGRDITGDGRAMQRLLRECERAKRLLSDHDQVGVEVKALLDGVDLSETLTRALFEELNQDLFLKTLAPLKKAMEDAELEKGDIHEVILVGGSSRIPMVQQLVKDYFDGKEPLNVEGINPDEAVAYGAAVQGSHLTGHHPEDSGFLGKYIVVPPAIGIEADDGTMVNLIPRDSGYPVKGTHVITTYWDKRTTVAIKVYEGHRSDVKDNRLLCQLDLSQIPPPSIWNWGRREIKVTIEVDEAGTIHAEATHKASGKSAKTSYGYHHRRRLSQEQLDAMMREMGEFARDTKAKLEAYMHSIKETLVAAGDKELEEKKRGEVEAEVMAVSKWLDGNTDTAEEGDYEKKLSELQRVCDPVISAMQTRLGDDLDDFEDEL >SECCE3Rv1G0205480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900399889:900400854:-1 gene:SECCE3Rv1G0205480 transcript:SECCE3Rv1G0205480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARYSLLLTAALVLALSYGTHGHASTGTGLSTSFYDESCPSARDIVRRVIQNARVADARIPASLIRLHFHDCFVQGCDGSLLLDNDLPASMTEKEVPANNRSARGFDVVDGIKHELENACPGIVSCADILALASEISVELAGGPRWSVPLGRRDGTSTNVESANNLPSPFDSLQTLQEKFRNVGLDDTDLVALQGAHTFGRAQCQFTQQNCSAGQDRETLVNLDTITPNVFDNKYYANLLHGRAPLPSDQVMLSDPVAAATTAPIVQRFSDSQKDFFNNFAASMVKMGNISPLTGRAGEIRNNCRRVNRKPY >SECCE2Rv1G0132010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888012512:888012836:-1 gene:SECCE2Rv1G0132010 transcript:SECCE2Rv1G0132010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASQLLDVFYDLVERVTGYSVRPDDDKDAQKPSKLAFVEEFQTEEVVEIRSRNLPVSGGSGAQVNLDGM >SECCE7Rv1G0497530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:626610891:626612059:-1 gene:SECCE7Rv1G0497530 transcript:SECCE7Rv1G0497530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRLHLHALAILLVVSARPPSVAGGLCRDSCGDVPVRYPLGIDDGCGSPYYRSMLTCADNTTLRLRTPSGTYPVSGADYADPHLVVTDPSMWTCARPFTSIHAAPFSLDTSTRFSLSPRNDHLFFNCDEERVIVAPRPAICDRYPDRCDSACDSAGYLCRNLPGCRGALEEGNMSCCAYRPRAAESLRAMLRHCEAYTTVYWRAVGDKFPPYDQVPDYGVRVDFEIPVTTRCLQCQDRRRGANGTCGFDPATRDFVCICDGGRNSTTDCADGHVTGHGASAGVVAATVVFSISAAIGIGGLVMYIRKLRSSKVVTCGVQSNENRFF >SECCE7Rv1G0514610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:835220747:835221028:-1 gene:SECCE7Rv1G0514610 transcript:SECCE7Rv1G0514610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELIRRLSFSDRVIDGSAGVPRGCVPVLVVGDGDQECERFVVRVEALQHPSLAALLEMAAQEFGYKQEGILRVPCAVHQFRQALTTAAVSKN >SECCE2Rv1G0093350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:375903891:375912665:1 gene:SECCE2Rv1G0093350 transcript:SECCE2Rv1G0093350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVAVPVTLTYLVATDSKAIKNLMGLRPYVVYPPEGPRPPPPEELRERAREIACSRRQE >SECCE5Rv1G0304100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:54176240:54178502:-1 gene:SECCE5Rv1G0304100 transcript:SECCE5Rv1G0304100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPPRRLNLLLLLAVVVSSASLDVAAQGDDGQNYYAFNPYCSTTGNYTDESQYRQNIISLMNDLPRSAISNGGFSNGTAGEAPDEVSGLLMCFVDRSWNLCENCLRAAAFTVSKVCPFSREMKSAADACLLRYTNQSFFSAADLTQAFIVKSSEHVDAGDTAAMNDTRWRLMNRLAEKAASSPLRFANGSEPYKGSQVHGLAQCTRDLSASECTRCLLYYGVDELPRYFPNNTGGAIKGYSCYVRYQMGAPIDITLPPAPAQPPPSPRRSAEPSPPPPPPFLSTNTNTRLFIGVSAGFAGFALLMIVLGLLIWRRQRMRTYANIVDDDLAMEEADFEKGTGPKRFRYRDLAVATDNFSDEKKLGEGGFGSVYRGFLEESNLDVAIKRVTKGSTQGKKEYISEVSIISRLRHRNLVQLIGWCHAGGELLLVYELMPNRSLDTHLHGANNAVLTWQVRHNIVLGLGSSLLYLHQEWEQCVLHRDIKPSNVMLDASFNAKLGDFGLARLVDHGRGSHTMTIIAGTTGYMDPDFGVLLLEIACGRTPVVVLQDTSAVHISQKIWELYGEGAVLDAADRRLEGEFDQRQMEAVLIVGLWCVHPDRTVRPSARQAVNALRFEASLPSLPPRMPAARYGFSGSGSTSLVVTASSASTMYTAKGRSNSANTMSF >SECCE3Rv1G0195380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:796919852:796921793:1 gene:SECCE3Rv1G0195380 transcript:SECCE3Rv1G0195380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMPECSPALLLAAGLAVLAICSYLAAIVVGRGAARYPPVVGTVFHQVYHLRRLHDYFTDLFREHATFRLLAPGGRSQIYTSDTAVVEHILRTNFANYGKGASNYDKTSDLFGDGIFTADGDKWRRHRKIASYDFSTRALRDFSGGVFSRDAAKLAHIVSGNAAAKQPMDFQDLLMKATMDSIFTIAVGVDLDTLSGSDEGSRFAAALDDASEFILLRFVNAFWKVARFLNVGAEAALRHRIEVVDEFMYKRIRARAGEISDGGKVSKDDLLSRFIQATTGDTGEVDYKHLRDMILNIVMAGKDTTAGALAWFLYMMCKHPEVQEKISREAAGAGQATSSIDDFSRSLTDEALNKMHYLHAALTETLRLYPSLPLDNKECFSDDVLPNGFSVGKGDIVFYAPYAMGRMERLWGDDAIVFRPERWLDERGEFLPESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLRFFVLELRDKDASVNYRTMITLYIDHGLHLTATARVIM >SECCE2Rv1G0086120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:202958879:202967564:-1 gene:SECCE2Rv1G0086120 transcript:SECCE2Rv1G0086120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13050) UniProtKB/Swiss-Prot;Acc:Q8L539] MIKNAVSSLMVRFLHLPRAAPTLTYRPLLPLPPPRLRVAASASAPLAAMSTTAAQQAVADQKRAVRSDVRRALKALSPDQRASEDLAIQNNILNSSWFKASKRLCAYISCAQLREVDTSKIIAEVLSSDPEHDGQAKDIYVPRVEDKNRNMRMFKITTMDDLVKNSMNILEPSPLDASGNAREDVLSASFPVDLFVLPGQAFDRTGRRLGRGGGYYDTFLMRYQELAKEKGWSQPLLVALSYSVQILDEGIIPVNSTDVPIDALVTSSGIIPISPAALERV >SECCE5Rv1G0330050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:524146223:524147815:1 gene:SECCE5Rv1G0330050 transcript:SECCE5Rv1G0330050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQAPRRPPPPPLPPNLPPHIPYSRALQQRLYLLAQHARRRPGSASLRALDQLHAQLLLNGFHRKRFLLAKLISLAAAAADLPRAEALFLSSSSSSPQHPPAAPTLANLLLRAAAASRARPPQLLSLFSRLVGRHGFRPNAFSFSTLFAALSGAGAGAAPHGAALHASALAGGFALSNSHVMTSLLDMYAAAGQLVDARKVFDEMPDRTAAAAWNCMLSAYVRCREVDAALRFFGEMPGRDAVAWTTVIAGCANAGRAAEAVDLFWSMRKARVKDDSVTMVALLTACAELGDLCLGRWIHARVDQEGHLQRTVSLDNALIHMYVKCGAVEDAHRMFLEMPRRSTISWTTMISGLAVHGRAEEALELFNRMEERPDGTTLLAVLSACSHSGKVDDGRQYFESMERVYGIAPEIQHYGCMVDMLCRSRRLHEALELAETMPLQSNEAVWGALLSGCKREDNLELAAKVTDRLIELQPDRASGHLVLLSNMYAGVGQWEQARIVRERVAALHAGKPAGGSWVNQNKTSMLVA >SECCE7Rv1G0484320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343723793:343724497:-1 gene:SECCE7Rv1G0484320 transcript:SECCE7Rv1G0484320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYVCSSSAAAMAGDLEGVARVYEHVEPLLRFARAEQVEEELEASVGLLDACAAARDGLRSMRASAFDLEVALRRGDAATAEIAARAYTLLARKARADVKRQQRHRRISPCQAGESGGRSLEEARRVTVAVLERVVVALSQRVAVSAGPSRWSTCIAARAFRKTTRVACEDAENATTTTVLPSLALRKDSTASRAQRELRVLGDTIQQLEDGLELLFRRLVRCRAFLLDMCSS >SECCE2Rv1G0139310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:926418398:926419111:1 gene:SECCE2Rv1G0139310 transcript:SECCE2Rv1G0139310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTFALASLFFTGGSVDATAGSLSGQPSISAASSGSGSMAASWQWPSCAQARTASFDGRSSVEAEASSAAARRDCCRTRVITNPAYCDDDTADSSFLSASGSSSASTAAPEPAPEPSMDEAFIREIRTSSRLFFEPEATKSIVTTSKPEAEHAAFGGATALAIDSADPYGDFRRSMEEMVLSRGGGRGEDDWGWLEEMLGWYLRANGKKTHGHIVGAFVDLLVGLSAVNSKQSGQC >SECCE7Rv1G0519280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864330118:864333371:-1 gene:SECCE7Rv1G0519280 transcript:SECCE7Rv1G0519280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEDVLHMATGDGENSYAANSRIPMKAMLKNRPMLQRTVEELYASLSPASTMVVADLGCSSGPNALFIVAEVTGMISDYNQKNTDEQHGVEMQFLLNDLPKNDFNLIFQSLDQFHTATRKGEGDEAATPPYYVVGLPGSFYNRLCPSQSVHLFHSSYSLHWLSKVPEELSSGNHLNEGNIHIGKTTPHSVANLFREKFHIDFELFLTLRSKELVSGGRMFLMLLGRKSEEMLTHGEIGTVWELLSESLRSLVLKGRLEKGKLDSFNLPLYAPSMEEVKAVIDRTELFDVEHVGMVEVNWDPQDDDSDDEHMVLDPASSGRNLSMTIRSVLEPLIAGHFGEGIIDELFAVYACVVAKHLEKRNAKLPSIVVSLKKAMH >SECCEUnv1G0560460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:347736820:347737194:1 gene:SECCEUnv1G0560460 transcript:SECCEUnv1G0560460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEHLAHLMALFRAKVV >SECCE6Rv1G0439270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795725100:795728293:1 gene:SECCE6Rv1G0439270 transcript:SECCE6Rv1G0439270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIQGLWAWRTGEQSMPSIWRSLDTMDDQEGGLIFSVQFDPISFPVPALISRLLGWSNKSGRGRPQGSVMVAAAAAVALYVAALFVSGQQPRRRRLAASAAARPTSARRPRALPLPAQDGGLLRILPWNNNHEYLENVVDSASIGARDDDEPVPVARVESMPPDVAALETKHGELDKEDEVERFKELWLSLVEREQRLELQLMHLDGLRKQLEHRLSVAAVETWILKLNALSLREENERLKAQAAELEAVRAQLGRAKEKLRALKERVQIEREESQREAATLRDKVMGLEKTGENWERVLAALRDKVMELEKTGEKWERVLAAEAATLRKAKAGLEEENRELARRLHLAEQVSSSVTISLVHEDNIVDEANYLNLREANERLTRQIEQLRTDHCAHVEELVYLKWVNACLRHDVRARDHHPSSAKQDQDGDGRAVTSAMDLSKSMSYRSSEKAKELMLRYGSLGLDPALFSPLNESIDRDGENHQLRVGDHDLDEPWRSPVTPSAVAATPQNRAGNGKLKFVRNVKKLLASTRRSHGHNRKSEKKAMRCLSSSSHDAHSGDSSCESSCQRTPLSSVTTVDLDAYV >SECCE7Rv1G0501110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:679658895:679661417:-1 gene:SECCE7Rv1G0501110 transcript:SECCE7Rv1G0501110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSKILILFLLVTFPSSKLAMNKSFAFDVGLGTIWRNNPSLLHNTSPQDNFSLRIILHNATPVLPFACGFFCAGPAATCDNYIFSIFVVNIYTIGGRFFFDLPAPEVVWSANRDRPVKENARVQLTEFGDLVLYDADGTLVWSTNTAEMSVAGMNLTSTGNLVLLNQSNMQLWRSFDHPTDTLVTGQVLQVGQKLMASTSTANWDSGKFYLTVLPDGMYAFAGIDTPLAYYRSPTGGTVMTNRSAYVVLKNGSLEVFTCFRDTEAPDYQIQLPRDNYGRVFVRLEFDGHLRLYQMPNYKSRLYNMPNNKWVSSDVFDMTDPCAYPLACGEYGICSNGQCSCPDAAIGQSGLFEVIDPRELNHGCLPIGSLSCDSAQKPRLLSLPNITRFNGVYNWTTSEERCKLSCLNDCSCRASFFQQFDNSTGYCFLASDIFSMINANSPGYSSNFSSLAFVKVNGATRNFVLSQGKLTVVLALGSSTFIALVVVAVLVVLRRNRAGPLEDDDIIDQLPGLPARFSFMELKSATEDFSKVIGKGGSGSVFEGQICDKQVAVKKLDGINQGKREFLAEVQTIGSINHIYLVRLIGFCAEKSHRLLVYEYMPNGSLDRWIFQRHQEAPLDWKTRVRIITDVARGLAYLHTDCRETIVHLDIKPQNILLDEQFTAKVSDFGLAKLIDREQGSVMTRLRGTPGYLAPEWLTSVINEKVDVYSFGIVVMEIICGRSNLDYSEPEESRHLVSMLQDKAKNDRLLDLIDPRSTDMQCHLDEVSRMMNLAMWCLQVDSRRRPSMTEAVKFLDGAMDVETELDMDLVNIELMVANRAVRGNIAATVQIDSVLSGPR >SECCE7Rv1G0478420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:236803368:236805258:-1 gene:SECCE7Rv1G0478420 transcript:SECCE7Rv1G0478420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTLRKQPMTVYGDGKQTRSFQYVSDLVDGLITLMENKYIGPFNLGNPGEFTMLELAQVVKETIDPSARVEFKPNTADDPHMRKPDISKAKSLLNWEPKVSLKQGLPRMVSDFQKRILDEK >SECCE5Rv1G0298870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14219826:14220017:-1 gene:SECCE5Rv1G0298870 transcript:SECCE5Rv1G0298870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLRLFPFLLGTAIGVCAAQNYKVPNLRRLAKRYEEEYRRKPEIAGEGRKKKVRVEIDEEEE >SECCE6Rv1G0396110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:357826477:357827379:1 gene:SECCE6Rv1G0396110 transcript:SECCE6Rv1G0396110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDPAAQTHAHAHAHRPRSKRSSLASGDVSPTGMQYRGVRRRPWGRFAAEIRDPASKARRWLGTYDTAEQAACAYDVAARFMRGAKARTNFPAPVDSWPAGYWPWGQSTAQAHPPAQLNTFILHNLMSSSPHGCVLLHHAGHGHSHVNSPPPPIPTPPSPRPAASCAATVTMADTATASASSVPGAEDDDDWDWAGVLQGRDPPETGLLQDVVHGFHASRRPPDHFRTAEPDASVWLPNQERHDAFGGVSSSWSIGDNGFEDEGEFPMPPQGLLQDVVQYPPFMEVVAAPSTMGRSRRA >SECCEUnv1G0560330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:346642348:346643990:-1 gene:SECCEUnv1G0560330 transcript:SECCEUnv1G0560330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDSSATFPIHRSAPAFIELDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKETGVINEKNIEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERIASTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYKTAQRVKETLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGNGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQKALEIAEANLSKAEGTKDLVEAKLALRRARIRIEAVNWIPPSN >SECCE3Rv1G0156860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:76300110:76300613:-1 gene:SECCE3Rv1G0156860 transcript:SECCE3Rv1G0156860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGKTTVTLRSSDGEELVVQADMMAAASVLIKNMLEDDCAAGVIPLVQVTGRILARVVDYCTRHYGDGDAAAYVVSSTFSSGNPELDRFDTDFVSGIDQDTLFDLLLAANYLEVQGLLDLACKTVADQMRGKTVEGMRAHFNIVNDYTKEEEAAVRSEVAWAFE >SECCE5Rv1G0297390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:1601251:1606351:1 gene:SECCE5Rv1G0297390 transcript:SECCE5Rv1G0297390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRVIGDPGLPRCRPLPNNPPSIGNIPYVYDHGQLPPPPPPPPPGYVEKEHVPSSTSVAAQQGVSSSNLMPGVATRGEWPIGASYSSPSLHQPPWCPQQTPPWLCPSQPAWQHSQYPQRPQGRRLIPSLGPPFLCRKKYEWGIDFYIRIDHARYYHAYPHVGGPFQNLQEAEHAIDRYLHDRRDPKMFSMDNMSRVDIAVRNSLYFPDGTRRRRLRSEPVDEIRDSQRQLVNALMDKYNEDNNLLGDVAYEVNAVAWYQPFCEGDLRMWYHHINFTAKAKGTDHCELFFAEVIFMQGESLELPVSCLCRLNSTDNGNCFGCINNGSVDMMHPSDVGYAGGHAMNTYQPFSGPRVMPGSFEEEGYEAEEARLRSTLQCLDDPEMMEGPPRPSIFRDSLVKVYIGPPGYSDPDRVPPGFLGSGHSAPPAPAAQYFGVGSGAMQWFAPPGLSGLSSS >SECCE3Rv1G0212950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:957061177:957064014:-1 gene:SECCE3Rv1G0212950 transcript:SECCE3Rv1G0212950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAALVSVATGVLKPVIGKLTVLLGNEYKRFKTVRKEIKSLTNELAAMEAFLLKMSEDEDPDVQDKVWMNEVRELSYDMEDAIDDFMQSIGDKDEKPDGFIEKIKSSLGKLGKMKARRRIGKEIQDLKKQIKEVGERNARYKNRETFSKNANATVDPRALAIFEHASKLVGIDEPKAELIKLLTEENVHVPVQQQQLKIVSIVGSGGMGKTTLANQVYQELKGKYECWAFVSVSRNPDMTNILRTILSEVAKKDYATTEAGNIQQLINKITDFLADKRYFVVVDDIWDVDTWDIIKCAFPATSSTSRIITTTRINNVAHSCCSSFNGCVYNIRALDMVHSRQLFYRRLFRSDEDCPSYLQEISEQILEKCHGLPLAIIAISGLLANTEKTEVLWNQVKDSIGRALERNHSVEGMMKILSLSYFDLPPYLKTCLLYLSMYLEDSTIEKEDLIRRWIAEGFIHREGRYTAYELGERCFNELLNRGLIQPRVTDDCGSVVSCRVHDTILDFIISKSIEENFITLLGVSILAIGNQNKVVRRLCLQGVKKGNSAVLIEDLMFSHVRSLTMVRGLMEIPSLEEFRHLRVLDLMDCSELEDHHLENIVRLFQLRYLNLLGTRISKLPEQIGHLGCLEILDLRHTLVRVLPASIVNLRKLMHLLVGYDVKFPDGIAKMQALETLERVEVSIQPFDFLCGLGQLKNLRNLQLDLNLGVDYNFEEHKKAIVSSLCKLGTQNLRSLHIWFDKGSLLHGESLCLPTLEGLYFYLSAFPQVPTWMGSLRNLQQLRIEVEVLKQDDLCTLGALPSLLVLCLDLMERAKSNEKLRISGEVGFRFLKIFIYDPCFNPVDLMFGTGSMPKLEKLELYEFCMVEANFLGFGIENLPCLTSVKCTDVKGNDGIVEAVKTAMERAVSTHPNNPSLLFG >SECCE2Rv1G0119060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:788192523:788194225:-1 gene:SECCE2Rv1G0119060 transcript:SECCE2Rv1G0119060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETTEAHGGEVAIMSVTTVAPALPLQEHRLPLSNLDLLLPPIDVGVFFCYLQPAPTAAALKEALAKVLVAYYPLAGEVVANGDGEPELLCNCRGVDFTEASAGDTELRELRLGIVDEGVDKLVPSKKAGVISVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARGGSVPPAPTFRRSLVSPRDPSLRTYATNALIDRLFSPLSSAPPPPPATVAATAVNRIYRIAAADVAALQSTAGPGRTKLEAFTAHLWQLCSKAASTHQHLCCMGMVVDGRARMSPDGAMMAYFGNVLTITYGVNSSDDLRRRMALADVADDVHRWVREAATDEHFRGLIDWVEALRPKPAAARAYLGGTGGAKATACIVSSGMGFPVREINFGTGLPAFASYHFPWPAGAAYVMPMPSARGDGEWVVYVHAAPELVKVMEEEPTVFKVLENSYVFA >SECCE1Rv1G0000230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:951850:953618:1 gene:SECCE1Rv1G0000230 transcript:SECCE1Rv1G0000230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTSASPAAADDLHAFLRRGLRSRGAVLRAHAFLLRRGLLLGHPVPSGLLLSAAAASTATSATHLVRLLLRHLPPPLPLFSLSAALRAVAPRVPFSALLSLFAHLLRAHPASGFPDAFAFPPLLSAAASARSPRSHLPAALALHAQLLRRGLLFAPPPHAANALLHFYGAAGSLPAARHLFDEMPFRDIASHNTMMTAYSASLGGGVDAARHLFDGMLLRNVVSWNVMINGYVKAKRPEQALEVVRWMAGVGVRGTATTMVGAATACARLGRLGSGREVHCAYLRRFEEDNLLFWTSLVDMYGKCRRVAAARKVFDRLNVRNVVCWNAMIIGHCVYGEPGDGLQLFHDMIGRGKNGADDQWVLRPDEVTFIGVLCACTRLGLLDAGKVYFNQMTTTYSLRPTFAHYWCMANLYGSVGLLEEAEGLLKSVPEELKARALGGLLGLCRFRGEWKLGERIALRLIELEPSNCAHYALLCSVYASAGRWEDAHRVKAIIKESDERFSPGHRLVDLNEIASEFKIRERQPENQEIYVILDDLVSKLQITSREDAQTEPGIK >SECCEUnv1G0540230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78695538:78697816:1 gene:SECCEUnv1G0540230 transcript:SECCEUnv1G0540230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTLALPLPAALRGGRPSDARRRGRLATLALALLLAALALAAYLSAPGAARPLAAADGRCGGVQGLELWGPAVNWGSDHRQPSPAACCASCRAHRRCDSWVFCGDRRRCGNRFGECWLKKQKDAMAPAVMARGEDVMWTSGLVFGKSSQGIVGLETSAGTLRIQLLPDCAPHSVDYFIELLGLHNCAGCRFYRAEGRGHLWDAKGDHVKNAAFGPPYALLQGTLEVDGVAFKEMPKEGCSAVRRGSVAWIGSGPEFLISLADHAEWRDAYTVFGSVVPEDMAIAEEMAMLPTSTDVWSGVTVKLLKDPVYIKVKRRSNATAY >SECCE7Rv1G0524110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884492389:884493861:-1 gene:SECCE7Rv1G0524110 transcript:SECCE7Rv1G0524110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVSAVASELVSRFISFLMTKYHSSSQAQSEKAVERLQHLLMRACTIIEEADARYITNSGMTMQLKMLSEAMYRGHSLFDALRYRALQDGAGFDEVSNDHSSSSSLYLANPPKRSRTTAEKGNKAMGLDSHGALESLEIAVANMSEFVVLLAGCERMSRRPYDVYLYTDNFMFSRHAEKQKLLSFLLEDNDPSGDHALAILPLIGGAAVGKKTLVAHVCGDERVRSRFSSILHLNGDKLLGILDDGRAMCGIILVVIEFASDVGDDDWKTIHPFLIRMGRGSKIIIVSKIKRMSRFGTRKPILLSGLSHDELRYLFKALAFGSVEPAEHPRLVQIADEFATVIHSSQVSLVATNMFTDVLRSNLDVQFWRCILDKAARMVKRNRSIYGVNPTMRIQQGHPVDITDIALHPLSMKPYSVNVPIKTELPSVTFGQLITDPSVKPEGDFTLIAWESRIAPHKSFPNYVTCHAQDTHQSSALPRRKRQGVPI >SECCE3Rv1G0182100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:622324876:622326155:1 gene:SECCE3Rv1G0182100 transcript:SECCE3Rv1G0182100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSLPLPSQIHGLAPARNGRARTRSRATLLSCKHNQSTIVQEASRASRRGTLAYISSAFFAMFLAAEHAEARTSRQENKRKVMEKLEKIREEALSSKEKKENTSKESVANLLIPPTLVDAYI >SECCE3Rv1G0196250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:807110178:807110798:1 gene:SECCE3Rv1G0196250 transcript:SECCE3Rv1G0196250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAAARPSPQQRSRISAGCKALVAQGAAVPGEVARYHEHAAGAGQCCSAVVQAIAAPVEAVWSVVRRFDRPQAYKRFIKSCRLVDGDGGAVGSVREVRVVSGLPGTSSRERLEILDDERRVLSFRIVGGEHRLANYRSVTTVNEVASTAAGAPRVTLVVESYVVDVPPGNTSDETRLFVDTIVRCNLQSLARTAEQLALAVPHVN >SECCE7Rv1G0505590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:738308406:738309104:-1 gene:SECCE7Rv1G0505590 transcript:SECCE7Rv1G0505590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGDSRMEADQHNHDEGSAEAGHRRHRWKGPRYDDRQRSSPWVWIAVILCTLLAIGVLVVSATMLVVYFIYKPQMPYMEVTNAQLQRLVYNPADGVISDMHVTVDVLARNTNSKVDTSFSGFNIDVKFNGTTLLQLRAKTFTVARESSVPLQYTGGSIAVKLDPAGMQAMDEALKSELVPITLSGKARTRWKKGVFLKVGFWTRLNCPLDFYYRTGVVAPIDHESCRSRSP >SECCE3Rv1G0183060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:643321902:643328602:-1 gene:SECCE3Rv1G0183060 transcript:SECCE3Rv1G0183060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:Projected from Arabidopsis thaliana (AT5G52920) UniProtKB/TrEMBL;Acc:A0A178U9G2] MAQVVAAAGAAGAARPLGGASGPDSLRPVARLPFGPRDARERWSGSVAYRGRREHPVASVISADAELLPVSPDDDAVVKEEENFQHLKAIQQLATAANGVWSKPNVRRKTKIVCTIGPSTNTREMIWNLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQTKDNVIALMVDTKGPEVRSGDLPQPIFLETGQEFTFTIKRGVGTETCVSVNYDDFVNDVEAGDMLLVDGGMMSFLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENQVDYYAVSFVKDAQVVHELKDYLRSSNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATITGGETPSNLGQVFKNHMSEMFAYHSTMMSNTLGTSIVVFTRTGFMSILLSHYRPSGTIFAFTDQEIVRQRLALYQGVCPVHMEFSDCAEKTFGDALSYLLKHGMVKEGEEVALVQSGRQPIWRSQSTHNIQVRKV >SECCEUnv1G0558610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:333921727:333924317:-1 gene:SECCEUnv1G0558610 transcript:SECCEUnv1G0558610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHIGSVDGPSPAAVNGAVGCPASAPGCPIMSSHPVPSAGEASLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLVAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGIPDFSQELRCFQTVTCHQAVVTNLDDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFTRDPVPFFLTPRMSNKMGLEAAVEATVEFLNKAVKPVLVAGPKLRVAKAGKAFVDLVDASGYAYAIMPSAKGFVPETHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAIIVQPERVIVGNGPAFGCVMMKEYLSALAKRVQKNTTAYENYKRIFVPEGHPLKGEANEPLRVNVLFKHIQDMLTGDNAVLAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGATDKRVIACIGDGSFQVTAQDVSTMLRCEQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVEAIHNGEGKCWTAKVKCEEELTAAIETAVGEKKDSLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >SECCE6Rv1G0377690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3005599:3006970:-1 gene:SECCE6Rv1G0377690 transcript:SECCE6Rv1G0377690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKGRRVAGRGEDMSAHYAFGPHEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDADNTSDCERLYKAFLQEINTYELPLLKSKAVVDANLREKESFNELQVEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKLISSQPPRSETEKLIANLEKEIANLEAESAACTRTLELRKKQFALLLHVVEELQISIEDEQRNIADELRAATEEPKLSIEEGSGGASDAMAVD >SECCE3Rv1G0145060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5673287:5677142:-1 gene:SECCE3Rv1G0145060 transcript:SECCE3Rv1G0145060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIPLLTVTSDGAEVGGGAARPKKKKNMTSLYLTFFETATDGKNRACRLCDKTYCLTTATSNLGKHLNNRHPGYDQLADHHLHLQGDPAQSAAISGMFARNKKPQAPARAHPQPQPQSQAQFQLQSVQVQAKARGVRSQPSAKPAVDVDYVNWLLLRWLIGSSFPPSTLEDSTFVDSCRYLNPSVRLWSKEKAQEITLQVFKSMKEDVKASLQRVGSRLSVSLEFWTSYEQIMYLSVKCHWIDESWVSQKVLLDVCRVRCHCTGAEILRVLLAVLQEFNIDSKILACTHNNSQHAIHACEELRRELESRKLPFCYIPCAAKALEVIIEDGLQNVRPVLSKAREFILETNSNKEMMVDFKHWTEVYQEGPCKFPLDHSANWNGNYNVLDIVKKAPNAMDNTIKKFQDIFGSRDWVLSDEEKSVVNSLHSYLEPFYKTTTNLCTCKLPTVGLVFFFMDHVFELINVCHDSSHQKLFEKIARDMSKTAREFTSQAYNIYTFTAAILDPRIKGELIPDALNSASNLEDARDHFVRDYSSIFQAAGNGYSTQQDNTEGDGAFSFAEEIIRKRRRVSMSTAADELTQYLAEPPAPISTDALEWWRGHSSRYPRLSLMARDFLAIQGTSLDPEELFTSKGDSVHKQQYCLPLSSMQATMCIKSWMQSGYQFNFQSTIIDFERLIESATAPDAVNGPESS >SECCE5Rv1G0321650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:407984740:407985949:-1 gene:SECCE5Rv1G0321650 transcript:SECCE5Rv1G0321650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAEPELRGMQQRCDSCRSAPCAFYCRADSAALCAACDADVHSANTLASRHRRVPMGAVAPASPAGGAFVVRPGAVNSSWPIREGRRSYYDDREGVEEEEATSWLLLDPLRGPEGDAPAFGDALVADFLDLGRAGEKDASSKEYRGHGVESNEGSHELVVPGEPVAQLHERQGFTAEMAYDAQNSNHGYGFGATFQRSLSMSSSPDNSSTVQDVTSSYMRRSESSVDLFSAPAHMSPQFMGMAMDREARVHRYREKRKMRRFEKTIRYASRKAYAETRPRIKGRFAKRADADLEVDQYFSAAALSDSSCGVVPTF >SECCE5Rv1G0362500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:786928532:786929092:-1 gene:SECCE5Rv1G0362500 transcript:SECCE5Rv1G0362500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSLLAVLLVLVASHPRNAADAHGHRHGHRFGKEKLTNVRFYLHDTLTGRDPTAVPVAHAAAVTPKPGDPTPFSSVYVVDDVLTEGPQRASRVVGSAQGLYASTGRHGLGLVLGIDFALNDYNGSSFVIFSRNPVADGDGRELAVVGGRGAFRMARGFALLRTHYLNTNNGDAIIEYNVTLLHY >SECCE6Rv1G0391680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:232071360:232073737:1 gene:SECCE6Rv1G0391680 transcript:SECCE6Rv1G0391680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSEELERRSHYLSSLIRRTKLNAAPAPPPPTSQPEPETKLELKRETSPIRRIKLKLNAAPSLPPSTTHPETEKLEREPGPKSQNLVEAKAVVEVREVKEGDGKGKDKGKEERKVSVRVRAADMPVVLQRRAIRLAYDAVSATPRVDGKRLALALKKEFDMSYGPAWHCIVGTSFGSYVTHTLGGFLYFSVDKVHILLFRTAVEPLGYLR >SECCE6Rv1G0442260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814647930:814648565:-1 gene:SECCE6Rv1G0442260 transcript:SECCE6Rv1G0442260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSSLSTKLGDFGLARLIDHGAGSMQTTKVVLGTVGYIDPEFVYTRRPSTESDVYSFGVVLLEITSGRRPVMETPERSFTLLSWVCGMYGRNTILDAADERLRGGDADDQWMERVLVAGLWCAHPDQGERPSIAQAMHVLQSDEVRLPELPLHMYRTVPGPASLGPYGSFSIDSSGTGCVRSSLVSTGNITHSSESSSTALLRHSKDLAN >SECCE3Rv1G0151800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:41428076:41428495:-1 gene:SECCE3Rv1G0151800 transcript:SECCE3Rv1G0151800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFEFGSCFGGGRRDDYGGERSNNNEAGRRRQGRGGNNNRNNHYAGADQKAAYHNRPPTAVDEAGHKAYHDGAGGHPGGYAAYTQHKADVGTPNLPGVPAWHNKVGDDAYTGSLQVQEPAAMDHHHYPTTTITTALGRY >SECCE5Rv1G0298900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14293424:14296279:-1 gene:SECCE5Rv1G0298900 transcript:SECCE5Rv1G0298900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQLSSSCSSNMYRTTNLLFTLIILSITSFSQVVDGLALQPQYAHGGGCIPAERAALLAFQKGITSDGADILSSWDGYDCCRWRGISCSNRTGHVIKIHLRNTSPGPDTYKYNGCDDANSLFGKISPSLLSLKNLEHMDLSMNCLLGPNSQIPQFLGSMGNLRYLNLSGIPFTGRVPSQLGNLSKLQHLDLGEGYSRMYSADITWLTKLPLLKYLSMSTINLSGIADWPRPLNMIPSLRVINLAGCSLDTASQSLPYLNLTKLEKLDLSSNNLGHSIASSWFWKVTSLKYLSLRATRLFAKFPDALGNMTSLKVLDLAFNNLNKIGNLQNLLKNLCHLEILDLSKNVMSGDIVVLMEGLPQCAQEKLLELHFSENNFIGTLPNFIAEFSSLSMLDLSRNNLVGLIPPGLWNLARLTILDLSWNQLNGNVSTEIGSLKNLVSLRLSNNRLGGVITEAHFANLKSLKKIDLSSNNVKIALDSDWLSPFRLQSGDFASCQIGPLFPAWLQQLRGIDSLNISNTGLLDKFPDWFWYTFSWATDLDISNNQISGTLPAHLDGMALEKLHLGSNQLTGSMPSLPANITWLDISNNNFSGVIPSNFEASRLQILIVYSNRIDGYIPESICKLQQLVYLDLSNNFLEGEIPQCFDIQKLQFLLLSNNSLSGKFPTFLQNNTAMVFLDLAWNKLSGRLPTWIGDLGKLRFVLLSHNAFCDNIPVEVTRLSDLQYLDLSANNFSGAIPWHLSNLTFMKRIQKEFMGMYDTYNATAHIGEMGAGHLGEILPVVTKGHQLLYGGAIVYVVSIDLSDNSLTGEIPAGITSLTVLMNLNLSSNKLSGQIPNMIGAMRSLESLDLSENELSGEIPWSLSSLTYLSALNLSYNNLSGRIPSGPQLDTLIFEGAIYIGNSGLCGPPLSRSCSGSNSSIVHGDVGSSKQELVPLAFYFGLALGLVVGL >SECCE7Rv1G0487830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:430817854:430832078:-1 gene:SECCE7Rv1G0487830 transcript:SECCE7Rv1G0487830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVPVGHLGYDQVLSILRLLPAEAVLSFAATCRAFRDWASSDVLWEALCRRDWGARAAAAALADWRCGVPWRRLYAEVARLSALQARRLQGKGTSPRPRASHSLNLVAGWLVLFGGGCEGGRHLDDIWATYVGNRAGTRSSNVLTWQQLASGTPSGRFGHSCILVGDALVLFGGINDRGLRLNDTWIGQIICEEPCRMRISWRLLEIGPLAPSPRGAHAACCIDDKFIVIHGGIGVHGSRLGDTWLLDLSDGLRSGSWRRMEDTGPLPSARSGHTLTWIGDRRMVLFGGRGSEYEVLSDVWLFDIGDHLLQWKEQKYDLSIILGELPSPRAGHSATFLFGGKILVYGGEDKERRRMDDFWILDIPALLQFETGNRKMAKRMWKKLSIDGQSPNCRSFHGACVDTSGYRVYVFGGMVDVLMHPAESLGLRFDGQLYQVELVLHL >SECCE3Rv1G0202720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:876365356:876370290:1 gene:SECCE3Rv1G0202720 transcript:SECCE3Rv1G0202720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSAGRFAARWMVDALAGDEALDFSLVKALVGVLPESLAGAPEETRERVAFRCLQEVVSLAAPGEGEGEVEAAAAAGDGTLRVDAARSCQELLIDLLGQVGSSGSLEKDMLPPFSKDIQTFICIKTPALPEASFELLREVNTDIACMAPPPPVEKGGKNNGIDQSLCSINPDHVNAERHRCPTDSSDLQRESVTDFVNETFTRNVQKDPMVPTPDFHEPSTRCYDRPQEDTIGVGVSVRSAQTSPSSDNRNMSITAEPASATCSAASLRGNTEPMSKQVAAETTMSQEKSPTTILQQESCGDKYKNSFHDNDGERSHGHGTNIHLSKNPSHEGLTMQATVAPDSDRSTDALLANTSETRSLPEFVTVDGTGVIAELHGRKTRRSSPQHDSSEKASHVLDEGSARIEPVEKDSGHNERNLRTAGVVPSVSCNGAVQGDKSETNLLQENATGPSKLFKEKKDKVLLEVSFADKANPVLHDDGNIMENNTSDGRKTALDSRCNAMETSNVHRSNDSPSGFAAACLLSLMGNMPSCSQDKDANGSTQDFTEQDLCIKCGKDGQLLKCSSCSLVSHESCFGSSVTFDVSGQFYCPVCFYTKASEAYQKAKKTYLEARKNLSAFLGTKQFPKEHHEQSTGKQQTATNSKDHSNEHNTSKRQGQSEADDLSHKGGEPGDQRKKQRTNDTSDVHPEERQLNGCDASKRQGNYQSDTYVLSHKDLEPGQQRKKQKTNATSDACPEGVIAEKASLGLNCDIAPNKDCELQNKRKQVEQSVEGAEVHEDGNGNSFYDAQHSSQNRCSPVANQSVEAEKHDSLTNSHDPKSSDEIEATSSNNSGMRSSPPWRNMRHHKARFQEKETVVSYNSKKALRCQDQQMPSPSRKRYQHKRCNPLAPAGRRPKLCWTEKEEQALRDAMLKFTPEDDAPIPWTQILEYGKDIFHRTRLPSDLRVKWRNMKKKSGS >SECCE3Rv1G0200010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:850321610:850322413:1 gene:SECCE3Rv1G0200010 transcript:SECCE3Rv1G0200010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIARQLLVHRITSSCSSGTLPKHTSSSHGLSAYSSRCFNKAEKLPSFRARVSVKPPRAVPGKGGIVPADNDGVSLGTVKLPANIDVARFEGLLFQWGNSLCQGAMLPLPVPIKVDKVEGGIRLGFIGIDDGATSLLAYIDCLVSPATDGSGFVFRAIRNGAMKDMEPPGEPRIMRSLLMALQKSIQIAQV >SECCE2Rv1G0134970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:904437214:904439787:1 gene:SECCE2Rv1G0134970 transcript:SECCE2Rv1G0134970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRPPSSPRLKDLPAQHGGAARLIHGAARAHELPRSRRPRDPPSPPPHEQEGHLAEPGSSAAQTLHAPSLNESLSASVSEEESGVTVTSSAFSQAEAVAPGSPILSRLPRDWGLQFYIRVDLSGSFHTYPHLDGPFKSLQEADNAIDRHLHDRRVAEMCPEQGSVSRLDKVVQQCLYWPDGRRKKRSKSHVVEQSHNRMCLLAQALVDKYNENHNLLGDLAHEFKDVVQYQSICENRVWYYHLNIATRAKGADGFSHGIDHVFFVEVKRVRQGQHEELVLSCFCMLKLNDNGCCYGCTNNGSPDMKHPSSTDKYAAGHLNAYLPFGCRREFSDSDDEEEAEAKLRDMYEGLDEPDFLEKLFTFPTYVTLRKDRKGT >SECCE3Rv1G0146860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12851893:12852390:1 gene:SECCE3Rv1G0146860 transcript:SECCE3Rv1G0146860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALCLPAMVRPAAASSGPGRQLYGSPPPHGPVLRPRRPQAVPCHGLALQPRTRRCGAFGQDHYGGALVDEDMVVLRRRIREARMAETNYEAPPGWADWEKRYYPAYVSDVSALAGALQLLAMGTRPGVAAAVAAMLLAGVPVSALAFLHFLGQAAESVLHHVS >SECCE6Rv1G0421450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683834772:683836642:1 gene:SECCE6Rv1G0421450 transcript:SECCE6Rv1G0421450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >SECCE4Rv1G0214590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:920373:921004:-1 gene:SECCE4Rv1G0214590 transcript:SECCE4Rv1G0214590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVQSPSPVVKLGAWGSDAGAAHDIDVTAAVPHRLETIAVRWGKVIDSVAFTYTDEGGQLHTAGPWGGTGGEKEETITLAPSEYVTEVAWSVGPFQFKEIEDCITSLKFVTNLGATYGPFGRGDGAHHNLPVLDGGSLVGMFCRAGEYLHAIGFYIRPLAVSESTASPAKPQGESLSALATEG >SECCE6Rv1G0377760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3264534:3266044:-1 gene:SECCE6Rv1G0377760 transcript:SECCE6Rv1G0377760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1-2 [Source:Projected from Arabidopsis thaliana (AT2G45200) UniProtKB/Swiss-Prot;Acc:O22151] MMHGVGPSDDAAAAAALELQESGWEELRREARKLEGDLDVKLSSYARLAARSSSASASPAADRSSWKSTELEIQALLDKLQDVNDAMSRCAAPAAPATASVSQKLARHRDILHEFTQEFRRTRGNLSSMREHADLLSSVRGDITESKATGGMSPRVHLLRERASIHGSVNQIDEVIGQAQSTRAALSNQRALFGDVQGKVKQLGEKFPMVRGLLGAIKRKKSKDTIILSAVIAACTIFLIIYWLSK >SECCE6Rv1G0420950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680906726:680907461:-1 gene:SECCE6Rv1G0420950 transcript:SECCE6Rv1G0420950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLQLSALVLLSLAASSLAGDADMLQDVCVADLASPIKLNGFPCKADITADDFFFAGLKRAGNTNNAAGSNVTAANVQLFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGVLEVGFITTANKLFTKTVTVGDVFVFPRGLVHFQQNRGRGPASVIAGFNSQLQGTQVIATTLFAAAPPVPSDVLAKAFRIDNGQVDSIKAKFM >SECCE4Rv1G0265610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726773820:726775817:1 gene:SECCE4Rv1G0265610 transcript:SECCE4Rv1G0265610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHAPPARPPPPSPCPGPPPPTRPAWNTNRNLVVTHPLLSLLESCASFPRFLQLHALLTVSGLAAGRFPASRLLAFCALSTPPRLDHAAAILARAPAPGPNAYMLATMMRAFLRARLPRRALALFRRVVRGALPADARTLVFALKAASAAASTEHGPSSAGGEGVHCVALKWGFVGRSVLVGNALAHFYAGHGSLGRAQRVFDEMPERDVVSWTTMVDGYARRGMADDAWRVFCRMVVAGGQQPNEVTLVAALSAIGQMGLLALGRRVHRYVAEGGVGGSVSLANALIDMFGKFGCVLSAREVFDGMAVKDVYSWTTMVNVYAKCGHLESAAQLFEDMPRRNTVSWSCMIAAYSQANQPEEAVRLFKKMIEESVEPINATLVSVLPACAQLGCLDLGRWIYNNYIVSGKAVLTVNLGNAFIDMYAKCGDLDAASRLFAEMGERNVVSWNSIVMAHAVHGQSEQVLHLFEQLKGTGIVPDEITFLGLLSACSHSGLVSEGRLYFKEMKVGYQIEPKAEHYACMIDLLGKNGLLEEALEVAEGMPMEAGEAGWGALLNACRVHGNVEIGECAADKLVKLDPSDSGIYVLMCQIYASKSKWDQVKMLRMLMRDRGVKKNPGCSSIEVDGKCHEFLVADVLHVHSEDIYAALKNIYIHLKLEDYVPLT >SECCE3Rv1G0161000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:110667701:110668840:-1 gene:SECCE3Rv1G0161000 transcript:SECCE3Rv1G0161000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQDVLMEPQSPGSPASPGKPLAPELPEPYAEMRSLGVAPDEDAAVKPDRRTSSSSSSRSSRASSTGCVFQVDASEMRTPLVVAAADGDDDHADAGPAAQAKLPDDWASFSERSTGGGTVSAAPEAQTMAKQAVEGFNPDRIPASIFQPKPGSQADWSIASNESLFSIHGASLSISDDLYAPSRSHFDYFYDEAMAAGGGGYQDGKLPPLAEMPGSAMSDASEGSAAAKRAIGRHGSGSIGSSSNFSFAFPILAEPSTGTKESMGGYQQLQKEHEQSPPTPSNRKSQFEEMTTEEERRPPKTGWCWCGECCGCCWFACSWPTCCCQWRWRCCSCSCSCPTCCQCSWCL >SECCE2Rv1G0134450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901706230:901707711:1 gene:SECCE2Rv1G0134450 transcript:SECCE2Rv1G0134450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRPRGLYRDRIRTIAVTGAQIRSTLSSRSQSSQSGSSCSGDSGASVSYDNSGSPSHSPPAVATDFGAHELAAVARQMVSDGYTQSIVQAFNAASPAPAFGFGGPDRALEDWFFELDVDWVLQIHNEHGLSQQFQLQEKSPSWLRPASWLQDLVERWIRALTVSAFSIQAMVITAHQMLAVARFVKASIAKMLFFADVIAPVLKVENLLAVLDMFTCVSNASYMFTPVVISPEAQSIFSEIAGALDREGDRLYEVLSSMMEEVRTPMEEDDTWSIEILRGGGGVHRNTRLMVDYITSMREACASTQNCAPSNNTVNLRDLIDDTIEYLEDLLLRKSELCSDPSLRYMFLLNNFYFVAQVSEPSVSLYLEQWSELNLTPECKKFMDSYLDVSWGHVLSCIPKSRFPGPIHCWINTSSLVKFESAFHKTYQAHKFWKVPDPKLRDALRRAVTKRVISGYRDYLEEHPELEKHVGRQSSKPEILEEMLGELFEG >SECCE2Rv1G0066120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:11926104:11937620:-1 gene:SECCE2Rv1G0066120 transcript:SECCE2Rv1G0066120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLSDTRCPFCHLLSGASSKWLSRDKYIKGSSMDLNASPLPEDDDQAYEEPVELDFGQDDEDHVESAVEIMRREREERRRKLKRDQPDDGPRPRPQQVRNDHVTQNKIGGYKRVKETPQGWLDCPASGQPIDKIIPSKVPLDETFNESIPPGKRYSSKQVVNKQRKAGRDIGLVIDLTNTSRYYSPAEWTKQGTKHVKIACRGRDAVPENEAVNTFVYEVLAFHERQKPSRNPKYVLVHCTHGHNRTGFMIVHYLMRTQLSSVTEALNIFAQRRPPGIYKTDYIQALYTFYHEIPESITCPPTPEWKRPSDLDLNGEAKQDDDDDNGEPAPSPDPVDDKAITNDDVLGDAVPFDQQDILRGICFKLLDFVPNGRANAQFPGSHPVSLNSENLQLLRQRYYYATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPIRNVNDGFHNFTLIDGEMVVDAIPGGGLKRRYLAYDLMAINFSSKVKLPFSDRWKLLEDEIIRPRIYERKQFETGLKGNPSYRYDLELFSVRRKDFWLLSTVKKLLKEFIPALSHESDGLIFQGWDDPYVNRTHEGLLKWKYPEMNSVDFLFETGSENRQLIFLYERGKKKLMDGTRVVFADDVEPSSISGKIVECSWNKQEDCWFCMRIRADKSTPNDINTYRKVMRSITDNITEDKLLGEMNEISSLPMYADRKAHADRKAHAEKMAHQHRRRG >SECCEUnv1G0529730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8921844:8922278:1 gene:SECCEUnv1G0529730 transcript:SECCEUnv1G0529730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKAMAPVALGLLAAVLLVGTAGTSLLAGCAGPQRMELQEHEVWVRYLLVRVAPVAAGIFFWAGMADDDLTATAWELRVMFVVGLNLSFASSLLALAALTTELSCDCVGHWLAMMVALVAAHLVAVWAARGRLRALKLRRAI >SECCE7Rv1G0501860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:689791826:689795979:-1 gene:SECCE7Rv1G0501860 transcript:SECCE7Rv1G0501860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGRTLADVEVPAVTRKMTAAMLWTEKKKPLRSVGQGWHFTGLSGRRRLRLYDDEVDFEADFRQFEADFEDSDLRIMCGGVAEKGDNNEVIEIKSFAAIKRPLSHDGLSTMIATGFDGPSERPANRKRKNQFKGIRQRPSGKWAAEIRDPSKGVRVWLGTFNSAEEAARAYDVEARRIHGKKAKVNFLEEPTVQEPKAPKPSASHEPTITTTVNNPNAFMYPSADLGSNQPLVQLDNVPFVPAMSCVSPVEAPVMNMYSDQGSNTFGCFDMGWEYNIKTQDISSIAPIYTIAEATESAVVESNIYNPMVIAEGAEFALVQSNAYNSVVPPVMENDAVDFEASMRSFMDDGVGEPIDNLLNFDLPHDVIDNMDIWNFDDMPIDGEFF >SECCE7Rv1G0470360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:119473801:119479295:1 gene:SECCE7Rv1G0470360 transcript:SECCE7Rv1G0470360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRRSDVDFADVFGGPPRRSSGSDRGRRSSLDSPSGSGSGPASRERSAAEERPVFGDRTSSGLASRERRSGGESGPGERPVFGDRTSSERRQHGQEFYRDIFPGGEPVSPRRGGASGDRDVFGAPTSPGGSTSHLLSRSSFSMKFTRGVDSSMPTSPSRHISNRNDDDTSYGHSVPASPNSSTSSSLAQGVSQQDSKKNPFSWHRYPFLSRFRSSGDKKDTSQHVNSMDSECEGTPISSASFISSDKFHFSFYKWAGKGALLMLPASVQEKDGNIIGVRSFPQVVVQGIDLIDEEESMSTTTPASKSQTDYEDYKSGKDGLFERKHSINSTTKEESSVFDEYLQGDKSKEAGTKKSINNAKNNVSSGSPRTQSSRPPSGEKSRGSRVLKDFIKIFSPEVSPKRKAAVEAQDQSSGKNGSKGGVEDKFSISDLETGEDIKTDKTNNQNAFSPAAAQMRDVQGRMEKPVLTVDDEMLTRTGKLSGKEDVKPPSSNKSFHVETEEKVRDTTSREESHMENLEECVVEHLDEDQILQDDNEKEQIKISQAKIREWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVNIIEGAAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFEILQEAWKEFNSVTFG >SECCE1Rv1G0053950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:672234251:672234469:1 gene:SECCE1Rv1G0053950 transcript:SECCE1Rv1G0053950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLEGSLQVDSRKMRQSPGGSWRQAPAPMRQLFWRVRRAVLWPKRRTMSFGYDLKSYSQNFDDGLVLAHNL >SECCE5Rv1G0352690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711259586:711260289:-1 gene:SECCE5Rv1G0352690 transcript:SECCE5Rv1G0352690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQVRRLARAVQRGVASVPGALGILLRVPETLPRAVLARMGALEAAAEMDAEGIPVADPGGLPDADGFLFGFPARYGAMAAQMQAFFDSTAPLCRGQRLAGKPAGFFVSTGTQCGGQETTAWTAITQLAHHGMLFVPIGYTFGEGMFEMDELRGGSPYGAGVFAGDGSRPPSELELALAEHHGKYMATLVKRMVHGADA >SECCE4Rv1G0246290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:542583837:542586447:1 gene:SECCE4Rv1G0246290 transcript:SECCE4Rv1G0246290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHYTITILLSATCLLHAMGSPALPDPSSLEPSLLFPSAGASQAQPAAASTIPAFPEQSEAAATSSVCQLTPSPPLLPAVLASCNAGSGGGVLPPRLRCCPALAAWLYAAYAPTALGSDGSRWSKLPAAAADVTELPLLPDDVEECAGAAERALRSAGATLPQPQPQVGSNGTTCDVPFCYCGIRLRRPVCALPAGRAARRLERDCARPGLAGCSRCLRALNLLNATGEKNATSAKAGHGAKPRERDCQLMGLTWLLQRNATRHRAAATAVLQALMATEEAGATSAPATCSLPVDDLPLAVASSEINGAAGASPFGGLLLRLLLGVSAFILSRRF >SECCE2Rv1G0126630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:847831272:847833826:1 gene:SECCE2Rv1G0126630 transcript:SECCE2Rv1G0126630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPTTAATHHRVLLPASARRAALARPSALRLPARGRTQGGRICVSAPAAPAASTASPPATAAVAVEGKPTVLVAEKLGAAGLALLREFANVDCSYGLSPEELRAKISLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLCAMARNVAQADASLKAGKWARTKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGMHVIAHDPYASADRARAIGVDLVSMEEAMTTADFISLHMPLTPSTNKMLNDEAFAKMKKGVRIINVARGGVIDEDALVRALDAGIVAQAALDVFTKEPPAADSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVTGALKGELAASAVNAPMVPAEVLSELAPYVMLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGVIEPISDVFVNLVNADFTAKQRGIRVSEEKIVMDGSPETPLEYIQVQIANVESKFPSAVSDSGLVTVEGRVKDGIPHLTKVGAFEVDVSMEGSLILCRQVDQPGMIGSVGSVLGEENVNVSFMSVGRIAPRKTAIMAIGVDEEPSKTTLTKIGEIPAIEEFVFLKL >SECCE2Rv1G0137640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918740603:918742562:-1 gene:SECCE2Rv1G0137640 transcript:SECCE2Rv1G0137640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWCCFVSQLLILITLIYLVMTKRKVRSGTCSSATVPFPIPPGPWSWPLVGSLPQMVLNKPAFRWIHRVMKDMGTDIACFRLGGVHVIPITCPKIAREVLKKQDKNFSSRPLTFASDTISCGYKDAVLAPFGDQWMKMHKVLASEIICPSRHKWLHNKRADEADNLTRYIYNLTAGGSSSTSGIANVDVRHVARHYCGNVIRRLVFGQRYFGAPQPDGGPGPMEVEHMDASLTLLGITFSFCVSDYLPCLLGLDLDGHEKIIKEANTKVDRLHNMVIEERWRQWKSDERQDGVQDFLDVLITLVDGDGKPLLSIDEVKAQCKTMILAAIDNPSNAVEWALAEMVNNPELLAKAVEEMDQVVGRERLVQESDIVHLNYLKACIREAFRLHPVAPFNLPHVAIADTIVAGYHVPKGSHVILSRLALGQNPTVWDEPLDFKPERHIEDNINVVLTESELRFISFSTGRRGCIAASLGTTMTVMLFGRLLQGFTWTKPAGVSAINLRESKHDLFIEKPLVLYAEPRLAVHLYPLMHH >SECCE3Rv1G0150920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:34953145:34954670:1 gene:SECCE3Rv1G0150920 transcript:SECCE3Rv1G0150920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIFTDSSYEGSRKMTTGTILKIVGAGLGGAVLMACLGCFVWYKRKMRKQAVASNEFMRSGSSMTSYSKDLELDGSPHIFTFEELEVATDGFSASRELGDGGFGTVYKGKLKDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVILYGCTSRMSRDLLLVYEFIANGTVADHLHGPRAAERGLTWPLRLNIAIETAEALAYLHAVEIIHRDVKTTNILLDNSFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMSRSHSEINLANMALNRIQNHEVVQLVDPELGYDTDPKTKGAIDRVAEVAFQCLQMERDLRPSIKEVVEILTCVRDEDCQAKSMTKKASQKEDACLLKDGIQFSPDTVIHRFHSQSTNHSVASNASGLSNNKC >SECCE5Rv1G0338000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:595674050:595674568:1 gene:SECCE5Rv1G0338000 transcript:SECCE5Rv1G0338000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQALPLLVVVLLLAPSNASVLEDTCRRFDGADIYDICIKFFKANKDSATTDMRGLAVIATRIASATAVDTRKRITTLKAEEKDHMIQHVLAYCDNMYSRGVGLFDKAARGISSGKLGEAVTSLSSALDIPKYCDDEFLKVGVKSPFDAENGEFEMQCAITLGVTKMMTL >SECCE4Rv1G0284120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838416242:838417252:1 gene:SECCE4Rv1G0284120 transcript:SECCE4Rv1G0284120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLHRLSRWEYGAIASLNRGFNSVVCNGDIYRLRRKNGVAEHWLYLSCGKNPPEWEAYDPSTGRWIQVLRMPPAGSSYSYWESLCVGTELLVFGDRGRVALRYSILTNSWTGLADADAMNTPRYWFGSASVGEKAYIAGGADSSSYSVLSSAEMYDSETHTWTPLPSMNKARWGCSAAFMDGKFYAIGGLSSSREVLTCGEEYDLNQRSWRVIDNMSQGLNLEVWGAPPLIAVVNNELYGADYSENNELKQYDKLDRKWITLGKLPVQPKTNLGWDMGFRACGDRLIVIGPPINSTDEKVVEIHSWTPDGQSPEWSLFATRPYGGSDIVCAVMSC >SECCE2Rv1G0112040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:706319631:706320026:-1 gene:SECCE2Rv1G0112040 transcript:SECCE2Rv1G0112040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASCFLVVLLLAVATGSRLSLAAREGGTLGELIAKAGSFLTSAGRAGADGWHSAAAAEVDASAKKAHGHPAGAKKRLKKSSVNCIPADMCRRKKVLCGKRCYKTSHAAAGLSHVPSSRCVVRCKKCVPTC >SECCE1Rv1G0061200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:714547478:714547807:-1 gene:SECCE1Rv1G0061200 transcript:SECCE1Rv1G0061200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTRNAIVAVLLLVVMVAAVSTPAVTAEELCNVKCSKNCKGEKEVCSNKCYEYCKYQVSAIEYVKMATKTLKEAAAASPEEAVKLKHQAETYLASAKSLSDKAGTPP >SECCE4Rv1G0283330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:835148552:835150107:-1 gene:SECCE4Rv1G0283330 transcript:SECCE4Rv1G0283330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNANNSSKRRRHRTVHHGVSPGAGTAASSSPWASMNEDMVRLIAWRVLAGDLLDYVRLRAVCRHWRSSTDSPRGHGVIDPRFHPRRWMMFPEGHGLHPSHTRLHGYIRFLNLDTGKFLRANLPAFKDHCVLDSVDGLLVMHRDEDTAVRLLHPFTGDVADLPRLATLAPHIDLALPGAFLPAQRCYYLRGLCACVSVSAAGVVTVLLALHRMGRVAFATSRDQQWQMSTWAFSYNTPLSFQGKLYMARMSFDPKENSDIFQLYPPPPPQGHDHVGSAGSSSLALPPPKLIATIPAEKLTRPIHLVECDSQILVTGYTDPSCSHMIIHRLADLITSENPIPVTSIGDKALFLNNVRSLSASSSNGALPTVVGNTIVQASLNNGSLTEYNLSTDAWSRPMDGCILRGPVFGPCCLIYHIYTCCFREYWNKGQLCNRKKPCNWRVKGKWRTGV >SECCE1Rv1G0004110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16488243:16491255:1 gene:SECCE1Rv1G0004110 transcript:SECCE1Rv1G0004110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSDTSSSSSPSGTNSKYASRNGAALSSSSSYASAASVPRSEGEILESANVKAFSFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLTPTKPGTGMVIAVKKLNQESYQGHREWLAEVNYLGQLSHPNLVKLVGYCVEDEQRLLVYEFMPRGSLENHLFRRSTHFQPLSWNLRMKIAHGAAKGLAFLHSDKAKVIYRDFKTSNILLDANYDAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRAVDKNRPNGEHNLVEWARPYLTSKRRIFRVLDPRLGGQYSLAKAQKAASLALQCLSVDSRHRPSMEQVVVALEQLHDAKEGGNSPRAQLQRKPSSNRSLHGSRPSSTKGNNKKPASPRPA >SECCEUnv1G0555740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:303470299:303471877:-1 gene:SECCEUnv1G0555740 transcript:SECCEUnv1G0555740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSNLEAFLQAATPLLPWCSATMERFQGAPSSVWQQPDGKNKDAVEYFALSDLWEHYAESSAYGLAVPVRDAGDRGAVVTTQHFVPYLSAVQLYTATKPTSHTLGATSRSTGSETDSWSDDSVGGDRFASSGSSSWDAASDEDDSSSTYDGNGSTGVSAKQSGYLNFQYREWDSPYERVPLAHKVAELAQDYPCLTSLSSAELSPSSWMSVAWYPIYHIPAHVNLKGTSACFLTYHSLSSVFQDNIHSGPEHDDGEIAALSPFGLATYRMQGDLWRRPGSSDPRRLSELHWAASSWLKQVGAHHPDFTFFTSSHRR >SECCE2Rv1G0106870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:640112533:640114669:1 gene:SECCE2Rv1G0106870 transcript:SECCE2Rv1G0106870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLVGNKCDLSHRRAVSFEEGEQFAKENGLIFMEASAKTAQNVEEGFVKTAGAIYKKIQDGVFDVSNESYGIKVGYAVPGQAGGAGASSSQGGSCCG >SECCE3Rv1G0159670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:98548652:98549156:-1 gene:SECCE3Rv1G0159670 transcript:SECCE3Rv1G0159670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSATPAAAARLEYPTVVDVELTAELKKMNKNFKQPIELKKQSNLIALAILALGIFYLMAISR >SECCE6Rv1G0391910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:239299639:239302278:1 gene:SECCE6Rv1G0391910 transcript:SECCE6Rv1G0391910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPESISPLLARYAASQSLLLGAHIHAHLLKSGLLGAFRNHLLSFYSRCRLPGSARRVFDETPDPCHVSWSSLVTAYSNNALPRDALAAFRDMRARGVRCNEFALPIVLKCAPDAGLGVQVHAVAISTGLSGDIFVANALVAMYGGFGFVDEPRRVFDEAARDRNAVSWNGLMSAFVKNDRCGEAVELFGEMVWGGVRPNEFGFSCVVNACTGSRELEAGRKVHAMVVRTGYDNDVFTANALVDMYSKLGDIHMAAVVFGKVPKKDVVSWNAFISGCVLHGHDQDALELLLQMKSSGLVPNVFSLSAILKACAGAGAGAFTLGRQIHGFMIKACADSDDYIGVGLVDMYAKYGLLDDARKVFDWIARKELVLWNALISGCSHGGCHGEALSLFCRMRKEGFDINRTTLAVVLKSTASLEAISDTTQVHAVAEKIGFLSDSHVVNGLIDSYWKCNCLHYANRIFKEHSSDNIIAFTSMITALLQCDHGGDAMKLFMEMLRKGLEPDPFVLSSLLNACASLSAYEQGKQVHAHLMKRKFMTDVFAGNALVYTYAKCGSIEDADLAFSGLPEKGVVSWSAMIGGLAQHGHGKRALDVFRRMVDERIAPNHITLTSVLCACNHAGLVDEAKRYFNSMKEMFGIDRTEEHYSCMIDLLGRAGKLDDAMELVNSMPFQASAAVWGALLAASRVHRDPELGKLAAEKLFILEPEKSGTHVLLANTYASAGMWDEVAKVRKLMKESKVKKEPAMSWVELKDRVHTFIVGDKSHPRARDIYAKLEELGDLMSKAGYVPNLEVDLHDVDKGEKELLLSHHSERLAVAFALISTPAGAPIRVKKNLRICRDCHVAFKFISKIVSREIIIRDINRFHHFSDGACSCGDYW >SECCE3Rv1G0155290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:64813069:64813515:-1 gene:SECCE3Rv1G0155290 transcript:SECCE3Rv1G0155290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKHQLVLWAAVMLAILAAASASVGGQCVPGLAMPHNPLGACRTYVVSQICHVGPRLFTWDMKRRCCDELLAIPAYCRCEALRILIDGVVTQQGVFEGGYLKDMPNCPRVTQRSYAATLVAPQECNLPTIHGSPYCPTLQAGYGVVV >SECCEUnv1G0552410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:249568613:249568804:-1 gene:SECCEUnv1G0552410 transcript:SECCEUnv1G0552410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKKRTSMSKKRIRKNIWKKKTYFSIVQSYSLVKSRSFSSCNEHPKPKGFSGQQTNNKILE >SECCE4Rv1G0265280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725323644:725324054:1 gene:SECCE4Rv1G0265280 transcript:SECCE4Rv1G0265280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE6Rv1G0448580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857255988:857257400:1 gene:SECCE6Rv1G0448580 transcript:SECCE6Rv1G0448580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLARSLPPLALLSRLRRPASAHRRYSLVLGGTSRPYASADAGKLNSNLCNSCGAEQEQRRRHLYVVLDDWKDGFSLHKISLEDLVGGDGVGGEPRRLPDPILRFGSRSIGSSPGFHALGSNLVVTTGDDDLTLMYDTETAALHTTTKESPQQTGCYHAMAAGNNLHTFDSDGYMLNLDEFCVPHWEVGPVPPFTPHKDSYVSACALHPDGRTIFVSVRRRSGDYRTSFVEEEPEQSKLQLGTFTLDTKSEYDWGAWKWRGEWYLPFEGQGHYDGDLGAWVGTTHAARDGHGYRNDEHEHLCSYDVPDLRKEEEEEEDEGTDEEEGDEEEEEDKEDEEVEKKKLVDEVCPPPVPRWSLAGEKLTFLEPKLKSTGRAVLLHTGGRGTFCLVERALRKGISSSRSKGDGDGDDCLLRVTMCRIRYGRNGELVATPLWPSRSYITSRYAPYWPGRSYLTPSDVKDFDVRAFWI >SECCE1Rv1G0056910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689784951:689787246:-1 gene:SECCE1Rv1G0056910 transcript:SECCE1Rv1G0056910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKILGVDRAASDDDLKKAYRKLAMKWHPDKNPTSKKEAESKFKQISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGAGAGAGAGGPGGATFFSTGADGPTAFRFNPRNAEDIFAEFFGSSSPFGGMGGMGGMGGGGHGMPSGGMRFSPSMFGGGDHTFTQSFGGGQGYPGMFGGGGGAPVKAAPIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNEQPNTIAADLVFIIDEKPHSMYTRDGNDLVVTQKIPLAEALTGYTVHLTTLDGRSLTVPINSVIHPGYEEVVRGEGMPIPKDPSRKGNLRVKFDIKFPARLTADQKSGVKRLLGQ >SECCE3Rv1G0214330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:965509342:965510100:-1 gene:SECCE3Rv1G0214330 transcript:SECCE3Rv1G0214330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAAEITMADLPIGEPPMSKDGGDSSSLYDKTLSTASNLARLLPTGTTTAFQTLAPSFTNHGECLSVNRYFTWALILFLGVLCALLSFTDSVTDRHGHTRYGLVHPWGFTPFNSDDPDGGIPTGESRRRRMRWRDWLHSVFRFIVFISLAFCDSGVQKCLVPHESRQWREFLVNMPLASSFLASFVFMIFPSTRHGIGTGPGAPAAAALDNFIDTNGVKSDELKKSKSAPAAADTRVAPSTRYQQLDHLV >SECCE4Rv1G0262520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:708145028:708146908:-1 gene:SECCE4Rv1G0262520 transcript:SECCE4Rv1G0262520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFEDGHHVRLRSRERGTYLHADDDGLGVSLSQRRASMNAAWAVHIYKGDGGAQYVLLHSAAYGRYLAATDAPAPRGHSGRRVEQCDYEPWEEEAIRWQAVGIDSGDDILLRHVGGRRLRANGKYPSVDDSDSADTMMHWVVEHIPAREDTPRLAAPTGLRLPRSLSFVLPWRVIQYEQVGAEESSSSFAWNSLVFRGRSAYHLRKKLARKLGVAMDVSNLVMCVRAGMHGRPTPLVVDLPRSKLTLDIIVFMAGTPAHADLRYPNVDAE >SECCE7Rv1G0521790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874858128:874858520:-1 gene:SECCE7Rv1G0521790 transcript:SECCE7Rv1G0521790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNTNAASEVSNAITDLNDHLATALGTGGDEGKTTVITLAGGNNGAAMDAEDLVVVEAGGEQGEEGEDEEVQVATYTNSNYQAVNNSVLLAGSCAVRDAGVHVVIVEHVDDIRDCDGDEIFEDREVAK >SECCE7Rv1G0519140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863553253:863554935:-1 gene:SECCE7Rv1G0519140 transcript:SECCE7Rv1G0519140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTLHIMAILLVYFVLKNKRVLLSEQQRGQRGRLPPGPARLPIVGNMHQVILNKPAVFRWIHGVLKEMNTDIMCLHLGATHVIVVACPQIASEVLRKNDEVFASRPTTFASGLFSFGYKGSIFSPHGEQWKKMRRVLTAEILASSMERKLHHLRKEEYNHLVRYINNTPSCIDMACPNKIVNVRHVTQHFVGNMIRRLVFGKRYFSDLQSSSTSGPGHDEVAHVAALFTALNHLYSFCVSDYFPALVGLDLDGHEKVSKDAMQTINRLHDPIIEERIRERSSSPEKGCEEKEARDFLDVLVHLKDAEGQPLLSLQDIRAQTAEMMFAAVDNPSNAVEWALAEMMNVPEIMQKATEELDAVVGKDRLVQESDIPRLNYLKSCIREAFRIHPYHALNVPHVAMADTTIAGYTIPKGSHILLSRLGLGRNPKIWTESLKFQPERHLNTVNVLLTDPSLRFISFSSGRRGCPGISLGTSITVMLFARMLQGFTWTKLPGVKSISLQEGNAGLALAEPLVLQATPRLAAHLYV >SECCE3Rv1G0183570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:651358187:651359305:-1 gene:SECCE3Rv1G0183570 transcript:SECCE3Rv1G0183570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSGRRRLLVLCTSFVILSLLTLPADGSSNSTEDLNQSRNKTGHPLELTPRTAFQLKLHALFHWSSFGLLMPVGILLVRMASKSKSGRCNRVLFYCHVISQIAAVLLATGGAALSLMNFENSFSNSHQRVGLALYGFMWLQPIIGFFRPERGVKGRSLWFFFHWLLGIAVCATGIANVYSGLRTYHERTAKSVSLWTGLLTVEISFLAFFYLLIDRWSYMIKQGHLPVEQLRPNDNHRTYPTTLRKELGMVQE >SECCE7Rv1G0524530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886329019:886333140:1 gene:SECCE7Rv1G0524530 transcript:SECCE7Rv1G0524530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDQFDNSPLLDGHSSSQENTTGYTGDGSVCISGHPASRKNTGNWKASSLIIVCSFCCYLAYSSIGKNLVSYLTKVLHETNLDAARHVATWQGTSYLAPLVGAFVADSYLGKYRTALIACTIFIIGMMMLLLSAALQLISAGPHAWTVWVHLVSSQYTIFLVGLYMVGLGYGAQRPCVTSFGADQFDDTDKVEKTRKSSFFNWHYFAINAGSLIAGTVIVWVQEHEGWLWGFTISTLFVTLGICTFFLGSIVYRFQKPGGSPLARICQVVVAATRNFDKVLPCDSSALYEFMGQGSATEGRRKLEHTAGLEFFDKAAIVTLPDCESPGQHNKWKICTVTQVEELKILIRIFPIWSAMVLFAAVQEQMSSTFIEQGMAMDKHIGSFEIPAASFQCVDTITVIVLVPIYERLIVPVIRKFTGRANGITSPQRIGIGLCFSMFSMVSAALVEGNRLRIAQAEGLVHRKVAVPMSIMWQGPQYFLLGVAEVFSNIGLTEVFYDESPDGMRSLCMAFSLVNMSAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMMAGLSLLNILVFVFWAMRYKCKKAS >SECCE2Rv1G0069080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:26927384:26929405:1 gene:SECCE2Rv1G0069080 transcript:SECCE2Rv1G0069080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKIHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYSCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKSRYEEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTAQVIIMNHPGQISNGYAPVLDCHTCHIAVKFAEIQTKIDRRSGKELEAAPKFLKNGDAGFVKMIPTKPMVVETFAQYPPLGRFAVRDMRQTVAVGVIKSVEKKEPTGAKVTKAAIKKK >SECCE2Rv1G0131390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884285781:884286851:-1 gene:SECCE2Rv1G0131390 transcript:SECCE2Rv1G0131390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARQFVNVVMRRSGSGSSYTVSRIKPGEQLFYRSTAEARAAAAAAQPKEENTTPPSSPVVAPKMPPPRLKLEAYRVDGPRLDFVPFYGGGGDGKILSMDSAGNTVLCNAVRGSIQPMPCLNEPKGDSPVSLSITRAETSHQALYVMDRFPAARNAFIFEALIYGKNSWEWHRLPRPPYVNNRAYNCTAIQSYMLLGDGSTICISSAGPSSIGTYCFDTVSCAWEKAGRWTLPFHGRAEHVHELCNLWFGMAGNSATNLCALDLSNLYRAPKLLHNWQVLDTPQGWVQKRGSLLYLGAGRFCVFKIFDTGEQDAQGNPRNTAAVLTGVEVVHRGSSELQMIKHKSLISYAGIQCVL >SECCE5Rv1G0338710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:602437848:602443888:1 gene:SECCE5Rv1G0338710 transcript:SECCE5Rv1G0338710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEKNEKHLLSLDLQSLQSLCKEYNLPANKTHPQLARLLAIYLDNEKNNSGPEKENLTGPTSTQASPATSPAILPNTKEASKCEQDNHKRAPYSDRDDDDVRPPLKHKKVSRKQADRKLKSDSGTRISLPPISSNNGKGDCFNSCSGQGIAHNVKQTADGIATCSTAPKLNGNHVSVAPVNDTISFVASHPGPNGVASKPPSHMKAGTNGIDKGSGLSNENSANVKSPFQLLLMDEDGIDLFVDLNSTPGDWVGSFKGGVNLSPSTHNSETDMFTNSISSLRNKNDQNTMSPSDNIIIDIQDKGPESIAACTNSSLGSTDGENYRSKSNPRDTTAVNSRSSASTLPGTPVEISVSQEGPPVVHSSCLTSDVQNNVSLDMVAGALGSNVLPQESADVSMLPGRSHAPLTNDSIQPTNESTFSPGKTKACVKNGCTQNVLDAHTDKAVSSSPGHVVISDTDKNSRPPSVGKQEMLDATSGVQRTRNSDTNGLLMENVPMAAVAVEEDNGHGVSLSVRQLANQAVIALPAANAQSDASSADRGVAGNLDLTDPTRSSVASDNAVTPLATNHGAKTGNSHDSADKERPCDPEELQGVATRNILCRLRSAAAKQTKPSTVPRRSSRLVPK >SECCE6Rv1G0434500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:766148617:766151987:1 gene:SECCE6Rv1G0434500 transcript:SECCE6Rv1G0434500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKKRLRRSRSPREGSSRRRRGEDGASLSGSLVESDGSSGTTSGAPLPESLDDPSDTRSSRKYESRYHEMLSSRLAVLRLPAYADFQGPDLPSDETVEALVRPFFYDDRLRAALVQYQVHKLLNRPEDDVHGRDGDSGEIDSSSSLMDDIPDEEFQADVARLRSHIVHEVDTEDRLDEEESNRLSHKYARYRLKACMLLKGVRIDDAAFDRDYPPGLALDNHRLYLRDQALGWWFDLGVSTPASLSDYQRLVPCNEGGVEYKSWSEYREFYSTPETDRDYLLYWEAIVKDLKWIEEHAHKSYLECHELHEKACRQAVRIATRFENIPLRLAYYGFQEYTTSKYLAFVKDLDSVFFEIWKLVNGPDKISFREAMEHVYEENLFPLRRCDLEHQLSHPGSVRLEEKFQRCTEGISKEVPEWIAHVLISQEFSFKCDLPRRYVHYAKKKLKIAEIIGLIPKSKIPA >SECCE6Rv1G0390180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:178150828:178152916:1 gene:SECCE6Rv1G0390180 transcript:SECCE6Rv1G0390180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALHAYGDGGCLVSAPAELSGMFCRGGGAVVQQRKRSLVAASSVAAAAAECMRASKKQRQPPQPSLDVLPDECLFEVLRRLPGGRERADSACVSRRWLALLASIRVSELGHAGAVAPSLPDLNEQFVMEEDTDDSPADPSVERVLEGNEATDVRLAAMAVVAGSRRGLEKLAIRGSHPTRGVTDQGLLAVARGSPNLCSLALWDVPLVTDAGLAEIAAGCPSLERLDITSCPLITDKGLTAIAQGCPNLVSLTIEACSGVGNEGLMAIGRCCLKLQAVSIKNCVHVGDQGISSLVCSASASLAKIRLQGLNITDASLAVIGYYGKAVTDLTLARLAAVGERGFWVMANAAGLQKLRCMRVTSCLGVTDLAITCIARFCPGLKQLCLRKCGHVSDAGLKAFTESSKVFENLQLEECNRVTLVGVLACLINCSQKFRALSLVKCTGVRDVGSAPAQLPVCKSLRFLTIKDCPGFTDASLAVVGMICPQLEQVDLSGLGEVTDNGLLPLIKSSEGSLVKVDLSGCKNITDVTVSSLLKAHGKSVKQVSLEGCSKITDASLFCISENCTELAELDLSNCMVSDAGVASLASAKHFKLRVLSLFGCSKVTQVSVQFLGSMGKLEGLNLQYCNMIGNHNIASLEKQLWWCDILA >SECCE6Rv1G0412420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614536652:614538974:-1 gene:SECCE6Rv1G0412420 transcript:SECCE6Rv1G0412420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDSKPGSEEEWRAVLSPEQFRILRLKGTELPGTGEYNKFYGDGAYNCAGCGTPLYRSTTKFDSGCGWPAFFEGLPGAINRTPDPDGRRVEITCASCGGHLGHVFKGEGFKTPTDERHCVNSVSIKFTPASS >SECCE3Rv1G0212170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954713401:954713709:1 gene:SECCE3Rv1G0212170 transcript:SECCE3Rv1G0212170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVTTMASQGMVVIFGASCCCMSHTMTGLFAQLGVSSTVHEVDKDPQREDLERALVGMVGHSPAVPALFIRGALVGGTSQVMELHLGGHLVPLLRQAGAL >SECCE2Rv1G0133690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898077471:898080979:1 gene:SECCE2Rv1G0133690 transcript:SECCE2Rv1G0133690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPFFILVLSVCFCKSDDRLTPAKPLLPGDKLVSNNGIFALGFFSPGNSVSNSYVGIWYHDIPERTYVWVANRDNPIRSGLSGELVLTNTSDLVLSDSRGRILWRTMNNVTAGGDGAVALLLEAGNFVVQLQNFTQIWQSYDHPTDTILPSFKLWANYKTHKAVLIIAWKGPQDPSTGKFFLSRDPSTGLQILTWHGTSKYWRSGLWNGAEASDKNGFMWSQIVDDGETIYSTYNTGNSSSRRSHWKLDYMGDLMLRIWSGQSWVVLFKRPDDGCRHYGSCGPFGYCDMPTRECRCLDGFHPADGFSANFSRGCVRKEVLACRGYHFSALPGMKVPDKFVYVRSRSFEECTAECERNCSCTAYAYANLSSIVATGGPSRCLVWTGELVDSEKTGVLGGNLYLRLAGSPGHNRKSGVGVVLKIALPVISFLLIISCIYLVCICKPRGKQVNKENLRRPTLQHLSTLQEVWDQNLELRSIRFEDIAAATNSFHDTNVLGKGGFGKVYKGTLEDGKEVAVKRLSKGSEQGIEHFINEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDQFLFDTARKSVLDWTRRFNIIKGVARGLMYLHEDSRTTIIHRDLKPSNILLDVEMNPKISDFGMARIFGGKERQESTRRVVGTYGYMSPEYAMEGIFSVKSDSYSFGILLLEIVSGLKISSPHHLVMDYSNLISYAWNLWADGKVRDFVDAAVTESYSLDEVSKCIHVGLLCVQDSSSARPHMSSVVSMLDSEAMPRAAPEQPVYFARINYETSEAMEDTENSANGVSLTALEGR >SECCE5Rv1G0336460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:584122103:584124826:1 gene:SECCE5Rv1G0336460 transcript:SECCE5Rv1G0336460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEKSAILVALLCTCFVVTLACDGNGVRFGYSGKTGPEHWGSLSPNFTVCSKGVYQSPIDIVRDGAVHDPGMEPLERDYTSTNASMVDNVFNIALRYNDTVGTVKVDGKKYKLKQLHWHSPSEHTINGQRFAVELHMVHYTDDGDITVVSILYRYGKQDPFLRQIKDKLAELSAEGCKAQKGDPLPVGVVNMRELMQGHRYFRYVGSLTAPPCTENVIWNILGEIKEMTKEQAATLMAPLKGSYKHNYRPLQALNGRAVQLYDRSRNVQNII >SECCEUnv1G0528320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3732540:3735733:1 gene:SECCEUnv1G0528320 transcript:SECCEUnv1G0528320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAFTDKTAVFRRLKAKPENKMCFDCNAKNPTWASVTYGIFLCLDCSAFHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHAFFKQHGWSEGSGKVDSKYTSRAAELYRQILQKEVAKSSTVNVLPSSPVAASQPPNPTDDFPDFKLADAPEETTNGKHEPVVANPPKEPAQAPKAPTHPTYVSSVKKPLGAKKLGAKTGGLGVKKLTTKSNESLYDQKPEEPKPALPTMTTTTSAPKSGTSSHSRFQYVENEPSTESKTGGGNKTGHVSAPKTSDFFQEYGMDNGFQRKASTAASKTQIEETDEARKKFSNAKAISSSQYFGNTDREQKEAQLSLQKFSGSSSISSADLFGRDTNDSDLDASAADLINRISFQASQDLSSLKNMAGETGKKLTSFASNFITDLDRIL >SECCE2Rv1G0098040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:474411350:474413580:1 gene:SECCE2Rv1G0098040 transcript:SECCE2Rv1G0098040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKESSPDPDATAAPPTPMSAPASFKFNVHAPEFVPMSPTATPMSAPAGGYYSPFLQMQPAPDWSFLHDHEPVFFMPDFAHAKFAAAASNSAQAKGTGGGSADVAQKIVKQVEYQFSDINLVANEFLLKIMNKDSEGYVPLSVISSWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKVRRRQPFTEKHKEELQSRMIIAENLPEDSSRNSLEKVFSVVGSVKNIKICHPQEPSSARASKSDTLVSNKMHALVEYETSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRTDFDHYAGSDDESPHSQVSSDSPTSEAIHSPEAHPEDHQSGAKKGWARGRGKLHIVAPHSPQSAPAGAVGHFDPSSPRQASQKCPLSPRQVSQKCPFSPRQPPQGPRMPDGTRGFTMGRGKPAASSVAARTVAAPPPAPVLV >SECCE7Rv1G0516680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:846962960:846964756:1 gene:SECCE7Rv1G0516680 transcript:SECCE7Rv1G0516680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKDISGAIGLMAAALVLLGTWPVVLAVLERRGRLPQHTYLDYSITNFLAAVLIALTFGQIGGDTPETPNFLTQLAQPQDYWPSIMFALAGGVVVTLGTVATQYGWAYVGLSVTEVMASSLKVVIGTTLNYFLDGRINRAEVLFPGVGCFLIAACLGSLVHSSNAADNQEKLSNSRNYSTGNTAKEDLTQHLLEEEEPKDCEEAKPAVPNKAVEKAEAGTADFLIDLEDKRSIKVLGSNTLVGLAIVTFAGVCYSLFAPAFNLATNDQWHTLRDGVPHLVVYTAYFYFCLSSLVVGVALNVWCLYRPVAGVPRSTLRAYVADGEGRGLALLAGLVSGLGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTYTLLGSMLFMFVVAMAVLMASSAHRKPL >SECCE2Rv1G0125550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:841741171:841742628:1 gene:SECCE2Rv1G0125550 transcript:SECCE2Rv1G0125550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLANAGAASSTERLAPHVVLLASPGAGHLIPLAELARRLVDHHGFAATLVTFTDLSSPEALSGVPACVATAALPSVPLDDLPAGTSMETVLFELIRRSLPSLRAILRSVGAPLAALVPDFFCSTALPLAAELGVPGYLFQPSNLTALAVMRAAVELHDGVAPGEYHDLPDPLELPGGVSLRRADLPPSFRRCGEPVYAHLVKEGRRYRLADGFLVNTFYEMEPATVEEFKLAAERGAFPPVFTVGPFVRPSTSSDEVTGASACLDWLDLQPTGSVVYVSFGSGGSLTVEQTAELAAGLEASGHRFLWVVRMPNLDGNDHRDLDEDNPNPLAWLPAGFLERTAGKGLAVAAWAPQVRVLSHPATAVFVSHCGWNSTLESVSAGVSMVAWPLYAEQRVNAVVLVGSVGVALPLRPRETDDVVTRDEIAGAVKELMESAEKGRAIRRQAGDLQQAAARAWSPEGSSRRALEEVAATWKKATLGKVK >SECCE3Rv1G0172070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:284003365:284003610:1 gene:SECCE3Rv1G0172070 transcript:SECCE3Rv1G0172070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLLLSELLGGAGSTSMLEANCYMGGHSLQEFRPTAEPKLKLRGTTSAEAKDEEPSSSSLDLEDLSAVSRISVDVMWP >SECCE1Rv1G0038310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:537410029:537410583:-1 gene:SECCE1Rv1G0038310 transcript:SECCE1Rv1G0038310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLPFLAPLVTLLFLAAATTTAADGGGYAGNGNGGMTHLHFYFHEIYTAGPNGTVAAVASPPAGASGSAFGFGSVGVFDDMLREGADPASRLIGRAQGLAAGASLSEVAITTLLNFVFTDGPYNGSTLAMFGRAVLGSVMERPIVGGTGAFRMARGYTLSRMVKSADPENLLVLEYDAYVFH >SECCE2Rv1G0072630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:59188774:59196886:-1 gene:SECCE2Rv1G0072630 transcript:SECCE2Rv1G0072630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALKRYFGYTGFRPYQREIVDTIMQGRDCLVVMATGSGKSLCYQIPPLVAKKTAVVVSPLLSLMQDQVMSLKQHGVRSEYLGSTQMNSSVSSEAEKGMYDVLYMTPEKAIALPSRFWSNLQASGICLFAIDEAHCISEWGHDFRPEYKQLHSLREHLLGVPFVALTATATERVRGDIANSLNLSNPHVAIGSFDRPNLFYGVKSYNRSMSFISELVKDVSKNCTVGGSTIIYCTTIRDTEQVHEAMITAGIKANIYHGKMGSKAREESHRSFVRDEVLVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFAKADFYCSEATNATQRNAIMDSFMAAQKYCLLATCRRKSLLQYFGEERYTDCGNCDNCTGTKNERDLSKESFLLLSCVKSCGGRWGLNMPVDVLRGSRVKKIVEKNYDKLPMHAMGKDYPPNWWKALGSLLMAHGYLKETVSDGFRLVSVSPKGVKFLSDGGTPLVLQLTAEMIGQEEQGSSQNKEGGLNPSSTAESEKISEEELKLYQMLLNVRMKLAQDLGTAPYAICGDQTIRHFAKIRPSTGARLANIDGVNQYFITRYSGTFVQNITQLSKELNLPLDDSSGVEAMMSVPKPVNNSLPRNLGDAKFTSWELWQKQEYSFKKIAHFRRAVPIKEQTVISYILDAARDGCEMNWNRFFEETGLTPEIASQIRLAIAKVGSRERLKPIKEELPENVTYEMIKIYFAAEDLGALEKTFGNGPTDGAAAQTAELAKPCSHGSEALEKGDQGDPVIISGACDSSPPTKRSQTDGTPLSADEPVKKLQKIDEQGTEPTTVNVATEDAILELAASRNGVSLEDAVKHFSGSKRESVVEMLESLRCSFAVYMKNGCYLVL >SECCE5Rv1G0324790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:456842139:456846367:1 gene:SECCE5Rv1G0324790 transcript:SECCE5Rv1G0324790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFPPPALSPKPPFPSTLRSTRPRRATYISSVSSPRPPAPAPSPSTSSSYKAPVLDGGTETRKKKKNRNLKPSFEEQALRRWSARAPSQRASFPWQRQQPQPAHREDEGAEDHEPSSATLRSIVEYFDYDSSEDGGGGVGEGKDKGNDGVAHGEAAHDRDEESRSQPTYLLGSRPVSAPWMHGQEGPTVVDRLIPGPVVRDEEEAVSNGVFDDELDSEDEDDEEWADNSEVLEEELMAVSLEEELYEDEDSTARSSFPLDSILAVGSTGGGFDRSVRRSSVSSIVNTLRNSMEESATIGSSEGEDFVQKLGSVLLPWEREEGNAFDGDKRGRHSNTKLAETTIPEPELRRLRDAALRMKERMRVGPGGVTHAIVESIHSKWKVDEVVKMRFEGPPSLNMKRTHEILEDRTGGTVIWRSGRSIVLYRGMNYNLRCVQSYAKIAEVDSSENAGDAIGVVPSSEERGLQKPTVEHDLQKPVVERNLQKSSAEDVKRSTSVMNFSQEATETFDIDSFLDQLGPRYKDWSGRSPVPVDADLLPGLVPGYKPPFRQLPYRTKVSLKDKEMTALRRLARQTAPHFALGRNREHQGLATAIVKVWEKSSIVKIAIKRGVPNTCNDRMAEEIKKLTGGVLVSRNKEYIIFYRGNDFVTPKVRKVLVEQQQHAITQQEQEELARLKASASITPIPKALKNPLVAGTLAETREATSRWGDSLNDELRKKENNRLILAKHTSLLKNMKRKLILAKTKVAKAEMALAKVQEYLSPAELPTDLETVTDEERFLFRRIGLKMKAFLMLGRREVFDGTVQNMHFHWKHRELVKIVVKGKTFEQVKHIAISLEAESGGVLIALDKTTKGYSIIFYRGKNYKRPQVLKPRNLLTRRRAMARSIELQRREALNHHISVLRHKIWKLKSQLVQMRAAGRKQDAELLQTVEDDLSSDDDNIQDEGDEAYLQTYGSDDEDDANNDSNEYL >SECCE7Rv1G0476380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:202476058:202484845:-1 gene:SECCE7Rv1G0476380 transcript:SECCE7Rv1G0476380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKQALIDSITLDNSHLVRRASANVVSIIAKYAVPAGEWQELLPFLFQCSQSPQEEHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDETSSRVRIAALKAVGSFIEYVNEGGDIVKMFRDFVPSILNVSRQCLANGDDDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQDMEINIRQQAIQIISWLVKFKASFLKKNKLIVPILQVMCPLLTETANEDEDSDLAADRSAAEVIDTMAINLPRHVFGPVLEFASVNFRHVNPKYREAAVTSLGVVSEGCSEHFKDKLEECLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGEDILPYLEPLICRLVISLQSSPRNLQETCMSAIGSVAAAAEQAFIPYAEKVLEMMKGFMVLTKDEDLCARARATEVVGIVAMAVGRTRMEAILPPFIEAAISGFGLDYSELREYTHGFFSNVAEILDDSFSQYLPHVVPLAFSSCNLDDGSAVDIDDADGVDNGFSGVSSDDDDDDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIKHSGYFHEDVRLQAIISLKHILNALRAIPAHPDILEKQKEVLDTIMNIYIKTMTEDDDKEVVAQACMSVADIMKDCGFAAVELYMPRLAEATLALLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMRSYFDPIFAKLFDPLMKFAKSPHPPQDKTMVVATLAEVAQEMGAPISAYVDKIMPLALKELASSEATNRRNAAFCVGELCKNSGAAALKYYPDILQGLHRLFANSEQDLAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMAVYSCLCNLLLSSHPQILTLVPDVIHVFAQVVVSPDESDEVKTTIGKAVSHLISVYGQQMQPILSALPPAHANALAAFASRR >SECCE7Rv1G0460270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:30765452:30767434:1 gene:SECCE7Rv1G0460270 transcript:SECCE7Rv1G0460270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTDAMQWWDEWKLRVLVLCSLSVHFFLFFSGCVRVWPKLQRLRVLVWMAHIGGDALAIYALATLFNRQKQRIADEGSDALELIWVPFLLIHLGGPEMSAYSLEDNELWKRHALTLVSQVTVALYIFCKWWSGQKKLLQVAVLLFVAGILKFSEKPWALKKASFNTMASKSLSTRREEGSVPPCWRWCTSDCMDFVSAKMKKQDQRNISIEDYVKEAKVLLQQRTEVESERDQRKELLKKFPHAMDYTSMMLVDLSTRYSLRLNYLEYFLKFDDKNGHQVLKLWITNTISVLYTKRGITQTCLGFCSGLLIPFLALASLVLFAKSDKDGYNENDIGVSYILFSSTVVILFGPFWRLPCSIWGAHSLATWQDMVSQHNLMSFCARKKEPTTLMKVAGFKCAREYINKHWYTSREHAALRIEGLVRQYIEDGWKEFIGDPTTYKRFNNLRGEQALSRHPQLEEKLGWSLDLPFDEIVLVWHIATDLCFHLPDTSSHVPSRDLIQCARQISNYMIHLLFMCPEMLMPGTRQDLFTIACDNIEHIPTDQNDPASIAHGILQMQQDEENKGEMVPKAQKLAKELMDHLDEEQRWTVIQGVWVEMLCYSASRCRGYLHAKSLGEGGEFLTNVWFLWSLMGMEILGDKIHVPSQTLKAEGITRPPV >SECCE4Rv1G0228250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:132855276:132855833:1 gene:SECCE4Rv1G0228250 transcript:SECCE4Rv1G0228250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGGYYDPRPSTAPSVAYGGSGGWAGSLDGPNAPPYRQPPPQQQQMTPHRREEVLMEAGRLAAEYLVAIGELPPHALRSRPPAPLPFQERPLARQHFRFLPRDLARPFAPRPVQARPIAKRPRPPAPGRFQGRPPFHPAARGPAPPAAPVGSVTQGALNDATAEGGIGNGNNSQLVTQPPGTME >SECCE6Rv1G0447620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:851183374:851186587:1 gene:SECCE6Rv1G0447620 transcript:SECCE6Rv1G0447620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGGKVLTVLAAAAFGFVVGISFPVVITPKLQYGGMMPWSSSGAANSSLLHMSILGRLWAPSSPNPTISVFAEVAKARNTEEGTERRLPPGIMVSETDLHLRRLWGSPIQDSVTRKYLLALAVGYDERANVNATIQKFSDNFDIVLFHYDGRTTEWDDEFEWSKEVAHVSARKQTKWWFAKRFLHPSIVAPYDYIFLWDEDLGVENFTAEAYLDIVRKHGLEISQPGLDAANGTTNYDVTVKRNDSEIHKTDAAGERCWDVHKPPCSGFVEVMAPVFSRDAWRCVWHMIQNDFVHAWGLDSNFWRCVHDPEEQIGVVDVQYLVHHAVPTLQGQGEKEKEGGLSEVRARQFEEMHAFRSRVSDAEDELAKSTSSIQN >SECCE5Rv1G0342700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633474920:633476185:1 gene:SECCE5Rv1G0342700 transcript:SECCE5Rv1G0342700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPRPAASSSGELPADALYEVLLRIPAKELCRLRVVCPSWGALTSDPLFVAAHMSRHRTAPPLLAVSYQPQDDGKHNGVVISDLSGNVVKRVPVTGYEIVIVDESGDAVRRISSKDQRDSICIVSTQLDLVCFHWNMHHRAYWVLNPVTGATLDLPMGRSEELEHELEAVGTKWDSQIDWCAFGKVSSTREYKVLRVTSIHHRQVCEVITFDDTNHGSWRRKQDPPSRICTGRQIRCVVVDGVVYFLMDFQSSYLETGVITIEPGSIASFNLKTEEWGVLPGPEPVQRFVQENKKYSYSQLELQLSLAELNGCLVVVHNIHKVSMDLWFLTDLEKGIWVKKYSLPSHVARLFWYPLLVLDDGTIFFSGMDGLQGLLTGGKEGKGFLQSYDPRNDTYADALELRDARSIGIYTGSVLSL >SECCE3Rv1G0166400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:186124777:186125972:-1 gene:SECCE3Rv1G0166400 transcript:SECCE3Rv1G0166400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMATFSNEELLQAHTELWDLTFSYLKSMALECAIKLGLPNAIHRRGGAATLPDLLDAVSAPESKKPYLPRLLRFLAAFGILTVNVPAAGECADGEKASVYGLTPVSRLLVEDAGANGSCGSLSPFVLSQTTKYHVRAAMDLPEWFMSDDGAAAAEMPFRMAHGTDLWGVMDRDPKMNRVFNAGMGSDTQLAMDFVVTNYGDVFDGVTSLVDVGGGTGSAARAIARAFPHVKCSVLDLPNVVNSIPSDGVVEYISGDMMSSIPTTDAVFLKYVLHDWNDEDCVKILTQCKKAIPKSGGKVIIIDIVVGSPLKAMLEAQVSFDLLMMVITGGKERDEHEWRKIFMDAGFSHYKTRPVLGFMAITELYA >SECCE7Rv1G0521030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:872663149:872663490:-1 gene:SECCE7Rv1G0521030 transcript:SECCE7Rv1G0521030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKPVEDVIRVGTVSWRVCSSVLLAVTVAASFGTYQIAIAGWETGFEDEACKVAKEKIEEESIHLEASLPASVKENKALARQEKERVANREAEIAAREAELAAWEVDLAAQE >SECCE4Rv1G0255280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:645036735:645042601:-1 gene:SECCE4Rv1G0255280 transcript:SECCE4Rv1G0255280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin response factor 1 [Source: Projected from Oryza sativa (Os06g0196700)] MKDQGSAGVSPGPPEGEKKAINSELWHACSGPLVAMPPVGSLVVYFPQGHSEQVAASMHKEVDIIPNYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYDRDAMLASELGLKQNKQPVEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELMAKDLHDIPWKFRHIFRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRATRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPVRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDESEVDSAFKRAMPWLGDDFALKDVQSQLFPGLNLVQWMAMQQNPQMLPAGAPAVQAPYLNSSAMAMQDGMGTGNDDLMRRFNMQGQNIGLPNSQVGSKIDHPAMAQHQQQSHQLQQQQQVQQSQQSSAIQQQQAQLLQQNAIHLQQQQEHLQRQQSLPQQQQEHLQRQQSQPQQQLKTAASMPSMEQHKLREQQPQGGQAVSQAQMLSQIFQPSSSQLQQLGLPKSPTQRPGFPGLQTACSLQQPALGQAPQVQQAAEYQQALLQSQQQQLQQLSQPEMQLQLLQKIQQQNMLSQLNPQHQSQLMQQLSQKNQEYLQQQILQHQLSGSDAMVQFKQSHQIPSNNITGSLTPQQLVRSHSALAESEDPSSSTAPSANRLSPMNSLSRTPQGSRNLTDMTTSPHIDNLLQEIQSKPDNRNKNDMQSSKETIPVPNRYPVSDQLDASSATSFCLDESPREGFSFPPVCLDSNAQVDPRDNFLIAENVDSLMPDALLSRGMGSGKDICNLPSGQRDHREVDNELSSAAFSSQSFGVPDMSFKPGCSGDIAVNDGGMPSQGLWNNQTQRMRTFTKVQKRGSVGRSIDITRYTNYDELRHDLACMFGIQGQLEDPYRMDWKLVYVDHENDILLVGDDPWEEFVSCVKSIKILSSVEVQQMSLDGDLGGIPSQTQACSASDDANAWRGS >SECCE4Rv1G0224110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:77296973:77298457:1 gene:SECCE4Rv1G0224110 transcript:SECCE4Rv1G0224110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLNSPTALSSLTNQRKPSPQHHPAMAAADIRLSVAHQTRFALRLASAISSPSNADGAAGNAAFSPLSLHVALSLIAAGAGGATRDQLAGTLGAEGTGEEESLHALAQQVVQLVLADASAEGGPRVAFANGVFADTSTPLKPSFKELAVGKYKAETHSVDFQTKAAEVACQVNTWVEKITSGLIKEILPDGSVDSTTRLVLGNALYFKGAWTEEFDASKTKAGEFHLFDGSSVQAPFMSSTNEQYLSSYNNLKVLRLPYRQGGDMRQFSMYILLPEANDGLWNLARKVSSEPEFLEKHAPTQKVPVRHFKLPKFKISFGFEASSLLKGLGLHLPFSAEADLSEMVDSAEEQNLCVSSVFHKSFVEVNEEGTEAAAATAAVVMLMSLPLDPPMEMDFVADHPFLFVIREDLTGVVLFVGHVVNPLLAA >SECCE3Rv1G0183160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:645131680:645132369:-1 gene:SECCE3Rv1G0183160 transcript:SECCE3Rv1G0183160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRDHGPAENLPESPDHIADGDEDGGGFTFPVLPFAADALIAPVYPIFGRPPSPPPAASVEEPETATVRVPLGRLLLEERDFRARQRDEESFGDGELEGVAPESYCLWAPGQSTPASPRRCRKSGSTGSVLRWRRISERLVGRSHSDGKEKFVFLNATSGPPKQGGVEEGNSSKSDGGGADAHGWSYYSKGGGGSGGAGRRRSYLPYKQELVGLFANVSGLRRSYPPF >SECCE6Rv1G0388050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:128050229:128053013:1 gene:SECCE6Rv1G0388050 transcript:SECCE6Rv1G0388050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNVGLVHYVLDHIYGTLLHRTKLGTPFFSKGWGGTKLDLLERMVKQLFPEAPYQNWPPTAVQPKWKTVWETKNSCLREGVFRTTCDERLIDALPPESHNARVAFLTPKGVSPEKMACVVHLAGTGDHSFERRLRLGGPLLKDNIATMVLESPYYGQRRPSMQHGAKLQCVSDLLLLGKATIDEARSLLYWLQAEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCEGLYRHATAWEALREDAAALAKDATSLTEDAASGITIEQVKDRLRSVLSLTDVTRFPLPKNPEAVIFVGATDDGYIPRHSVMELQKAWPGSEVRWVTGGHVSSFLLHNDSFRKAIVDALDRL >SECCE1Rv1G0026590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:372250495:372252467:1 gene:SECCE1Rv1G0026590 transcript:SECCE1Rv1G0026590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDSCLARIGAGVAIGGAVGGAVGAVYGTYAAIRLRVPGLLKIRHIGQATVGSAAVFGLFLGAGSLIHCGKNY >SECCEUnv1G0531470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17485493:17488029:1 gene:SECCEUnv1G0531470 transcript:SECCEUnv1G0531470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTETEPGAAAERTAFRRAEKQYKLYKPPSRKGRSRGKQAGGGGFGGGGGGDLSAVVDFHALLAAGEGELPAGIQRCHRAGFHLPVFCFLHRPGFYFIPGALSTEEQCYWIRESLKTFPQPPNRTNLTATYGPISDLLIAAENQKILVKVQSSNGQEIDEQSNVGENAHLGKFKFVDGSEIQKGERPKSTTAATLVRKLRWSTLGLQFDWSKRNYDVSLPHNKIPDDLATLAKKMAVPAMPSGEEFAAEAAIVNYYGPSDMLGGHVDDMEADWTKPIVSISLGCKCIFLLGGKTRDETPMPMFLRSGDIVLMAGEARERFHGVPRIFTEGDQQDISGLVSELSDDDDRFILDYIHNSRININIRQVY >SECCE1Rv1G0028150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:394987091:394996782:1 gene:SECCE1Rv1G0028150 transcript:SECCE1Rv1G0028150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAAKAAPATPFSSQPHGGGVLLRKPSSPPPPAAALRLGPLFWPWQKVKVGPLSVSPMGFGTWAWGNQLLWGYQESMDSELQECFNLALKNGINLFDTADSYGTGKLNGQSERLLGKFIRECQGPIKSPDDVIIATKFAAYPWRLTSGQFVNACKSSLERLQIDRLGIGQLHWSTANYAPLQERALWDGLVEMYDKGLVRAVGVSNYGPKQLLKIHSYLASRGVPLSSAQVQFSLLSMGDEQMELKTVCDSLGVRLIAYSPLGLGMLTGKYDASNLPNGPRSVLFRQILPGLESLLSCLKSIADRKGKTMSQVAINWCICKGAIPIPGVKTVRHVEDNLGALGWRLSPGEISDLEAAAMECPKKMVQNIFQTT >SECCE1Rv1G0034500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:483697213:483700006:1 gene:SECCE1Rv1G0034500 transcript:SECCE1Rv1G0034500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPIAAIVGIAAGGAALLVFVAVVIALWCRARARRNRTSETGSSDPSTLVEWGKGGRSSSAPERQGARQFSLEELAQATNNFSEANLVGAGSFGLVYKGLLFDGSVVAIKRRMGAPRLEFADEVRRLSEICHRNIVTLIGYCQEGGLQMLVFEYSPNGNVCSHLYDSGKGSMTRLEFKQRLAIAIGAAKGLNHLHSIMPPLIHKNFKTSNVLVDENFIAKVADAGLFRLLRGHEDVGSSHGFSSSVYQDPEAHSVAQFSESSDVYSFGVFLLELITGREAASLQPPESRESLAHWLEAHFSSNELIDPRLGGGFTTEGMKEFVGLAFQCLNPSSRRRPKMRLVAAELDRILETEMSLTTIMGDGTAIITLGSQLFTS >SECCE6Rv1G0434940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:768981018:768982349:-1 gene:SECCE6Rv1G0434940 transcript:SECCE6Rv1G0434940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLSTKKATKMGVDEVEVVESCMVAPSQETPRRGMWLSPLDLMLVNRGHTPAVYFYPYRSGTGDFFDVARLKAAMAKALVAFYPLAGRLGMDGNGRAEIDCIGQGALFVVARSDLTIDDFCSFLPSPELRTLFVPRVEDHSPSVLCAVQVTFLKCGGVALGTALHHVAVDAISAFHFLQTWSAFSRGGGGAALELPFHDRTLLRARSPPLVHPDAFSVFCPKLNLSVEPSETVVTQAFVVSKDQITALKRACVGGDGGRVSTFCALSAHVWRCVCLARRLPPDATTRLTFPASVRRSMRPPLPPGYCGNGIIWLGAAGKVRDVSSEDLVFVAGQISSAVRRMDDELVRSAIDYFELTEIDSKPAPGRMPETELRVISWLGMPVYDVDFGWGKPLAMLRAVSERAGFVYLMDSGKGDGSVRVLMCTEAAILNDFQRLLFARF >SECCE2Rv1G0097950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:472655142:472655837:-1 gene:SECCE2Rv1G0097950 transcript:SECCE2Rv1G0097950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVKAVLVAVVAVAALAQLTVAVDHQVGGSGATWATSGAYDSWSGKQKFSPGDSLVFSYSPAHDVVEVSKADYDACTASKVVARYTGGKTTVKLTTAGKRYFICSITGHCDAGMKLQVNVAAATAAPTKPRGQRSVAPVAAPAPAPEGSATDEQLPTVSSPTGTPTPSSPSGSGAASIGASAAVALAMGMAVALAM >SECCE2Rv1G0132200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888631439:888633494:1 gene:SECCE2Rv1G0132200 transcript:SECCE2Rv1G0132200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVRIIDASYVRVPETAAPPPGPIRFTAMEALWVVFPVLQHVLLYEGDGIPPFDAILESLRSSLAATLESFAPLAGKLVHLEDTGDVGISCSASDGVRFVVAECDADIRRLAGDEEHDLRVLEALVPEVDMGQLPTAVLAVQATRFEGGVALGLTVHHGAADGRALWTFVEAWATACRGETPAATPCFDRSLVKMPAGDELASSVLRKFAPNLPSAALASSVFDDRKRLTRRTFTLDEGDIQRLKQRIVHLNESGGTPLPRPPSGFATVVALAWTCFARCKSFSLDDDLWLFFFADIRERLDPPVGAGYIGSCLTKCLARLPARELHRERALAAAASAVQDEINKMKEDPIAGWNFFSYDVMPIMDRLMNISGSSGFSAYKIADFGWGKPRRTEPIRMNTDGQVALMGSRDGRGVQVSVALLQAAQMDEFKSNLLALLE >SECCEUnv1G0541680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98151737:98152552:-1 gene:SECCEUnv1G0541680 transcript:SECCEUnv1G0541680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIHFSFELLIFWNFAPETFLGEVRIRSVRILIGLGLTWFTRYWFLEESISPLAKPFITLPLDSYFVCTQSTEAPPTYVATSSIACSYFVFPLISHQIWCFSIPSCYGEQRQKYNRILHLSGSRFSLFLLLTPPRVVPNVWHFPYFVGATSTNSLMIKLQPKIYDYIMLTVRILFIPSVCSQVPVIVICLPEPRGLSVETFTSNRRFLMVFPLFTAALSTPPDIWCQTVAPFLIYSIIVFAIFVALIVQVREEGWTSRMRESGSIEKKEE >SECCE5Rv1G0368020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826174033:826178611:1 gene:SECCE5Rv1G0368020 transcript:SECCE5Rv1G0368020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSPFKGVVADVKGRAPWYKDDWLAGLRAGFGILAPTMYIFFASALPVIAFGEQLSNETNGILSTVETLASTAICGILHAILGGQPMMIVGVAEPTIIMYTYLYNFAKKQPALGERLYLAWAGWVCIWTAIVLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQEAIKGIVSEFSMPKDAEISDLSSPIYQFQWIYVNGLLGAIFSIGLLYSALKTRRARSWLYGIGWIRSFIADYGVPLMVIVWTAFSYALPSGVPSGVPRRLFSPLPWESSSLGHWTVAKDLFSVPPAYIFAAIVPALMVAGLYFFDHSVASQLAQQNEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPANGVLPQSPMHTRSLAVLKGQLMRKKMLRTAKEGMSNRASSLEIYGKMHEVFIEMDDKQDSDSVDKDLKSLKDAVLREGDEDGKLAGEFDPRKHIEAHLPVRVNEQRLSNLLQSLLVGGCVAAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIQLLFVGASRRYKVLEGPHASFVESVSPRTIYVFTIFQIVYFLICFGTTWIPIAGILFPLPFFLMILIRQYLLPKFFEPNDLRELDAAEYEELEGVQHEHTLLEEDESISGSCDSRIDAEILDELTTHRGELKHRVVSHREERHLQVHSNAVQPSV >SECCE3Rv1G0210370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943564703:943567609:-1 gene:SECCE3Rv1G0210370 transcript:SECCE3Rv1G0210370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQSATKRQGAAAEEDPVRHHAPSSAHEDGEKKAPPRRSKRVASLDVFRGLTVAWPVIGHAPWHGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGRAVRRVVIRTLKLLFWGILLQGGYSHAPDELTYGVDMKHIRWCGILQRIALAYLVVAVIEIATKDARVQDQSSSGFFSVFRLYLSQWIVACCILLIYLSLVYGIYVPDWEFTVRNVDSPNYGKVLTVTCGTRGNLSPPCNAVGYIDRKILGINHMYQKPAWRRHRDCTDDSPHEGPFKRDAPAWCASPFEPEGLLSSFSAVLSTIIGVHYGHVLVHMKSHMERLKQWVTMGAALLLLGIILHFSHAIPLNKQLYTLSYICVTAGAAGVVFSALYFLVDVVGLRYVFAPLRWVGMNAMLVYVMAAAGIFEGFLNGWYYEGPNNTLVYWVRKHVFVRVWHSERVGILLYVLVAQILLWALLAGLLHRAGVYWKL >SECCE6Rv1G0416180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:647372015:647372281:1 gene:SECCE6Rv1G0416180 transcript:SECCE6Rv1G0416180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEAPTPVQAPVCSIVNEGPAAPAACSIVNEAPAPAADSKPKKKICCACPDTKRLRDECIVEYGESACTKWIEAHKQCLRAEGFKV >SECCE7Rv1G0470830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:124922960:124926494:1 gene:SECCE7Rv1G0470830 transcript:SECCE7Rv1G0470830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAVALLLAQLVLCGCVSPAVSADAVVPRRVLHQPLFPIEWTPPPSSPPPPAPDFTSDPSPPVATPDAPPGDFFPPAPPVSSDGGGGGGGGGGTVSSPPNTVTADVSTPPSPAAAGHGPKKATIVAAGAAAAAGVALLGFACAFLITGRARRRCDSQKLLGPDGGPALRRTAPSATDFLYVGTVEPTTPAHQRGPTVADLAGSPYRKLRNERARRAVCRDEATDHPSPELRPLPPLRRATTMGSSDEDAYYTPRQRSGGSGAGGGAGSGVYGETWSEASASSPPTTTTASRRSLPSMTSDFFPPVAAIAALPPPPRSRRTRTPPRTRFSTGSTPDVKQAISPSPRPVQPSNPPPRPPPPPPPPPPPPTAPPKSNTAPRPPPPPPPPPMASTNSLPSKTAEPTSRRRLLKPLPPEGPRIAMPMPITAAAAAENNGSTSMRAEDDAAGGLPKLKPLHWDKVRATSDRAMVWDQLKSSSFQLDEDMIEALFMNNSMPAAPPRDAGRKPAVPPFRQEERVLDPKKAQNIAILLRALNVTREEVSDALLDGNADCLGSELLETLVKMAPTKEEEVKLRDYNGDLSKLGTAERFLKTVLDIPFAFKRVDAMLYRANFETEINYLRKSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGADGKTTLLHFVVQEMIRSEDAKPEKENTTATSSKDKGLKVVCGLSSELGNVKKAASMDFDVLHGYVSKLETGLEKIKAVLQLEKQCTQGQRFFTAMQGFLKEAEKEIEIVRGEEKRALGRVKDITDYFHGDAAKEEAHPLRIFMVVRDFLSMLDHVCREVGRMQQDRTVVGSARSFRVSTTAPLPVLSLYGQRRQNNSDDEDDSMSS >SECCE5Rv1G0337500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:591792477:591792869:-1 gene:SECCE5Rv1G0337500 transcript:SECCE5Rv1G0337500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMADKRPAARKAGLITKTLDRCWTTTARSSKPAEGCFSVYVGTGRQRFVVRTECVNHPLFRSLLEEAEEVFGYADAGPLELPCDAEAFAGVLQQIEEEKQMVAGRRYGLARGNSYRLLGTSRSVIIGRS >SECCE5Rv1G0365270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:805859288:805861120:-1 gene:SECCE5Rv1G0365270 transcript:SECCE5Rv1G0365270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPPLRSPPSGGPYATAPPSPSPSRAAEQHCLRLLERATTPASLLQPLAFLLKRGLHSNPLVLTRLFAAAASAAPALLEPLVAALLRPGLPVDAFLVNTLIRAHVASPLPSARRRAAAFFPLMLRRGVAPNKFTFPFLLKSCAATPGSPGAGLQAHAAALKFGFTDDHYVSNTLIHMYSCFGTGFLGDARNVFDRTPRDSAVTWSAMIGGYVRGGLSSDAVMLFREMQASGVRPDEVTVIGVLSAAADLGALELTRWVERFVDREGIGRSVTLCNALIDTLAKCGDVDGAVAVFEGMNERTVVSWTSVIDALAMEGRGKEAVAVFEEMKAVGVQPDDVAFIGVLTACSHAGMVDEGQGYFDSMKIEHGIEPKIEHYGCMVDMFGRAGMVEKGLEFVRAMPMKPNPIIWRTLVAACRAHGQLELGESISRDLLNEYPAHEANYVMLSNVFALTQRWKEKSEIRREMSKRGITKVPGCSLVELDGEVHEFIAGDESHPQYKEIYRMVEEMSRELRRIGHIAATSEVLLDLDEEDKEGALQWHSEKLAIAFVLLRTPPGTQVRVVKNLRVCSDCHAAIKCISQVYNREIIVRDRSRFHRFKDGSCSCKDFW >SECCE2Rv1G0069590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:30301032:30303071:-1 gene:SECCE2Rv1G0069590 transcript:SECCE2Rv1G0069590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPLGFWNDWASQIGVLLSLFFQVILHIFANIRRHKDGSNWLRFPLWVAYQLSDSTATYAAGQLLFSGATKDHHLIAFWVPFLLLHLGGPDNITAYALEDSKLWGRHLLSLLVQVLGAGYVLYKHIVGSGTLLMVAAILISVVGAAKYGERTWALRSAKFSNLRGSLKVRAHDIHHQQFYTEYQDWYNDDELVLQHAHSLFHICKRGIVDCVIAVDDSDSQNEADSPDSKIIQGLLKDHEHMWRVMEMELSLMYDILYTKASAVHSWVGYFIRVISPPAIATSLVLFQLSSKDDYSLVDVAITYTLLGGALFLETKSLLVALGSSWVFAFLCATQCDWLRHSALCAGRWHRLRHTLFSLRRSWPGKMIMTGSSRRWSGTMGQRNMLRSCARQVDPMSQCLDNLSKMLSLGEWWDRRQLWTIDVSEKVKKYAWSNVTAVDMNTMGLRRTGWGKAALDEEHYPGLLVELDVFHGVDFHESVISWHIATDLILAATDRRGDHVSDDDVELVSVLSNYMMFLLVDYPGMLPGLPQNWLYEQTCNQLEKIRSKHVDGSPRKSVCTVLKNLFRPHLHRGWKPSELEKEIAIDILTELEDPNFNNPRLSYARTIARTLLRRKENKVQMLQRLWLDFLVYAANRCNREAHARKLGSGGELLTVMWLYQEHLHQVKQDRKKRQSPV >SECCE7Rv1G0465550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:71159783:71162173:1 gene:SECCE7Rv1G0465550 transcript:SECCE7Rv1G0465550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDD1 [Source:Projected from Arabidopsis thaliana (AT1G04110) UniProtKB/TrEMBL;Acc:A0A178WPN6] MGFLHLFASHVVLFFLFLSSLAFLHGAAADQEEAMQTYIVQLHPRGSAGGGDAASSDNDWHLAFLIKSVPSSVERDGKRQRKPSSRLLYSYHTVFDGFAARLTAGEAAALRALQGVASVREDRRVELHTTYSYRFLGLNVCPTGAWARARYGRGVVVGVLDTGVWPESPSFDDRGMPPVPDRWRGVCETGERFNATNCNRKLVGARFYSKGHRANYPTDPSGDAARTREYASPRDAHGHGTHTASTAAGSAVAGASVLGAGTGEARGVAPGAHVAAYKVCWFNGCFSSDILAGMDDAVRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATVRGVSVVSAAGNNGPEPSSVANEAPWMLTVGAATLDRRFPAYVRLGNGRVLYGESMYPGKVDLKNGGKALELVYAAGGSREAMYCMKGSLSAADVAGKMVVCDRGITGRADKGQAVKEAGGAAMVLANSEINRQEDSVDVHVLPATLIGYQEAVELKNYISSTPRPVARIAFGGTRIGRARAPAVALFSARGPSVTSPSVLKPDVIAPGVNIIAAWPGSVGPSGLDGDVRRSNFTVLSGTSMACPHVSGIAALVRSAHPSWSPAMVRSAIMTTADVTDRQGKPITDGDGGDRADAFAMGAGHVNPALAVDPGLVYDIEPADYVTHLCTLGYTQREVFKITHSAVNCSELLQENPGFTLNYPSIAVAFKDGGGETSAVLRRTVTNVGAPNSTYTARVAAPPAVKVTVAPTTLAFAEFGEKKSFQVWVDATAAARKDSGEGYLVWKQRGAGQGRRRTVRSPIAVTWPVE >SECCE4Rv1G0293130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884666653:884668847:1 gene:SECCE4Rv1G0293130 transcript:SECCE4Rv1G0293130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLHSLHEHASPQVLVLIVCPLVLLLLILRFATSTTTTRRADKLLDRLPSPPNKLPLIGHLHLIGSLPYISLRDLAEKHGPDVMLLRLGAVPSLVVSSARAAMAVLRTHDHAFASRVQSAMTDTLFYESTNMGFSPYGDHFRQLRKITATHLLSPKKVGSYRLAREKEVQHAMARILEAAASSTAVDLSKLLSWFTTDIVCHAVSGKSFRGGGRNKLFRELVETSSMLIGGFNLEDYFPKLARLDVVRRMVCARAERIKKKWDDLFDEIINDHAKNTMSDHEINQDRETDFIDVLLSIQQEYNLTRENIKALLVDMFIGGTDTSFIVLDCAMAELIQNPEVMTKLQAEVRSVAVGKEMVTEEDLSGMIYLKGVIKETLRLHSPVPLLIPHLSTVDCDIEGYTIPSGTRVLINGWAISRDPAYWESAEEFMPERFMENVGSTIIHDFKGSNFHYLPFSTGRRVCPGMNFGMATVEIMLANLMFHFNWDLPAGTVKINMTKSFGVTVGRKENLILVPALVQKQV >SECCE7Rv1G0502360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:695657856:695663007:-1 gene:SECCE7Rv1G0502360 transcript:SECCE7Rv1G0502360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPELADSDADDSDAAASPSPSQSRSPSPARSPSGSPGSRRPAALMDVDDDDYADAAQNAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQALEKPIVAPPRIVKFEVGTRAGATSTYASTDFILGLASNPLLVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHIRFTDTRVDEQERRVSIKAVPMSLVLESGNGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLDTINDLISSCSTTVGGTQLVDPAAGNVCFASGSAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDLYYDHNSRTFKKKPPAAGANRSFIEFILEPLYKIYSQVVGEQQRILELTLADLGVTLSNAAYKLNVRPLLRLACRSIFGTATGFTDMLVKNIPNVKDGAARKIEHIYTGQQDSSIVDAMKKCDSHGPLMVNVTKLYPKPDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVPISKAPAGSWVLIEGVDASIMKTATICPMNMDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMLAEPLEKGLAEDIENGLVSLDSRQKEVTDFFRQRYQWDVLAARSIWAFGPDKQGPNILLDDSLSVEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYIEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPVYVVKAFLPVIESFGFETDLRYHTQGQAFCVSVFDHWAIVPGDPLDKGIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQTADIHLMM >SECCE6Rv1G0432600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:752922055:752923186:-1 gene:SECCE6Rv1G0432600 transcript:SECCE6Rv1G0432600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral root primordium (LRP) protein-related [Source:Projected from Arabidopsis thaliana (AT5G12330) TAIR;Acc:AT5G12330] MAAGDVGMVVVAPAASFHHTHHHHHHHDAAAAAAASDAIFPLLSAGPCVLDPEAAKAAAASGGIQFWQSAQHHQQQHSPSAAGGGGGGNPNPSLGPFYLKKPVPMLDGGGDGSSGSGTTTCQDCGNQAKKDCTHSRCRTCCKSRGFDCSTHVKSTWVPAARRRERQHLGGPASAPATAAGSKKPRLLSSQQATTSHTSTSNATTPRSYDTTSSHQDASFRGNLPRQVRAPAVFRCVRVTSVDDGEDEYAYQATVTINGHVFKGFLYDQGVDDGRASNDIDSSTGGAVPNMSELHLGGGSNAARGGGGPSSMAPSDMYGGGGAGGAGGGGGGQQHILGGGSSYGNTMN >SECCE4Rv1G0228480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:136293238:136294560:1 gene:SECCE4Rv1G0228480 transcript:SECCE4Rv1G0228480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPAASAGAIASPSGSSPATAAASAADPATPSWWESVSQARSRILALASILPPEVSPGVSALADSDRPARALLRSAAAYDALSGALRAGGGADDPACHWLYDTLLSQDPDLRLAALAFLPLLSSLYLLRLPPALPSSLSGFEAVLLAVYSSEAKSRQGKPVLVHVPDLSVPSLYHTPQSSPSSRSPRRPHPPPIPPPPANVVVGVLSPPLEPQAAVKSTKRAGIIGVAFEAYYAKIAQMPPASKVDACNAVAAWAGQYCRCRFELDEELEVEEGDSLGSMSPLSTDAENGNGKALEEELAKMRVNGDSSGRNCGKEEEVREARVQLPWELLQPVMRVLGHCLLAPLNPMEVRDAAAEAVRVVYARACHDLVPQAILAARSLIELDKSARKAAKAAAVAASGIMVASGTAGSTASSSRPSSKPNTPSKQRKPDMLLVSK >SECCE3Rv1G0187300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:697342171:697346027:1 gene:SECCE3Rv1G0187300 transcript:SECCE3Rv1G0187300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRP5A [Source:Projected from Arabidopsis thaliana (AT1G53140) UniProtKB/TrEMBL;Acc:A0A178WBH9] MAMSPAAGRTPNPKAAPSPSPSARRAVADSASAAAAAAASDTKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDPTALDPRCRFQEEDSEEYGHPMVQAAAIADLIKQRTESHLRTIQAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPERTPEEILSMVKTLASPPHRLILFLQQSSVEWCSSLWLDAIREIDPTFRRTMIVISKFDNRLKEFTEKWEVDSYLSASGYLGDNIHPFFVALPKDRGTISNDEFRRQICQVDIDVLRHLRDGVKGGFNEEKFGPYIGFSCLRKYLESELQKRYKEAAPATLALLEQRCSDVSMDLSRLDSKLQATSDVSQLRRSAMLHAASICTHLRALLDGAADPAPEVWGKTTEEEQMHSGINSWPGISVPVKPPNSSLKLYGGAAFERVMHEFRCATYSMECPQVSREKVANILLAHAGRGGSSGMTEAAAEIARAAARSWLAPLTETACDRLAFVLQSLFDLAMERSRTDDSRYQNVENMDGYVGFLAALRCSYYKFVKDLSKQCKQIVRHHLDSVTSPYSHICYESDFLGGVGTVANTLHRFNQFSGVASFDLSDSGSLEEGQENLPPRDQQHMTPPAKANEREVLKESQLTVPETPSPDLPADMHGGKKKDNGNMNDGGARKRHARMAAYANRGHHNNVTVGGDDLVSRSGSSYSSICSISAQYFAKMREVLIERNVPSALNSGFLTPCRERLFLALGFELFAVNDEKFMDMFVSPGAIDCIQNERQSLLKRQKILLSCLSEFKNISRTL >SECCE1Rv1G0051240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:651861465:651861875:-1 gene:SECCE1Rv1G0051240 transcript:SECCE1Rv1G0051240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE6Rv1G0390220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:179742529:179743532:-1 gene:SECCE6Rv1G0390220 transcript:SECCE6Rv1G0390220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSSFKKYADLKEQVALDEMEAGGIGGGEGANLDKFFEDVEGVKEDIRGLEAIHRRLQSVNEESKTAHDARAVKSLRARMDGDVEQVLRRAKVVKAKLEALDRANAASRKLPGFGAGSSTDRTRSSVVSGLGNKLKVLMDDFQGLRTRMAAEYKETVARRYYTVTGETADESTIEALISSGESETFLQKAIQRDQGRGQVMATVSEIQERHDAVKDIERSLLELHQVFLDMAALVEAQGHQLNNIETHVARASSFVVRGTVELESARVYQKSSRKWACIAVVAGAVLVLVIVLPILVNLKLLSGR >SECCE7Rv1G0505530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:737182722:737185756:1 gene:SECCE7Rv1G0505530 transcript:SECCE7Rv1G0505530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVFDGGGAGGANSWRSQIREEIRESHISALSEALMQALSPDEPETLTDLLNVAVRLESMIFLVAVDEVDYKYKLYMRLDHLENILSDDHFEDISSDNHFEDISSDDHFEDISLDDHFEDISLDDHIEDISLDDHFEDISSDYQMFEPSTATLLRGIFSDPQSQNIQVQSNLQRVISGGNTKATDEEKPCCDDNDRISVLHESILHHIMSFMPARDVVRTSMLFRRSPPLWTSAPCLDINIDQFDMDRVKFNKFAKSLFERRGNANTLETLRLHSFAIDAAKYWIGDAIRLHKVESIDFTENTRWEAFYLDPKAISFRSKYLRSMKLTNVIIVTSLFDQLSRECTSLENLQLADSTLCCPEISSSSLKTLEIINCLVLNHLLIHTGNLVSLCFKDTRCGCSPRYMVRTTTAVILCDLSNAKSIELPTPVRHVAFDRRCPMFISLTSLILGEWCLSNKFAPLACFLKHSPMLENLDLKLKFDCEEQKQPLRTAEGISFQAKHLKKVTIHCDEKEDRLPVLKAILLANARSVKHVDVKVYS >SECCE4Rv1G0223190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:67089556:67094923:1 gene:SECCE4Rv1G0223190 transcript:SECCE4Rv1G0223190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HINT3 [Source:Projected from Arabidopsis thaliana (AT5G48545) UniProtKB/TrEMBL;Acc:A0A178UAY8] MSPPRRLAVLCSHLRPDGAEPPAENERPAGKQTVISTSPCAAAGGAAAGRVEDREAESCVFCRIIRDEAPAFKLYEDDVCVCILDSHPLALGHSLVIPKRHFSSMEATPPHIIAAMCSKVPFLSNGIMKATDCDAFNLVVNNGEAAGQVIFHTHFHIIPRRSGDQLWPTESFRRSSIEPNETSSLISCIKKQLDSSED >SECCE7Rv1G0467030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82993537:82995806:-1 gene:SECCE7Rv1G0467030 transcript:SECCE7Rv1G0467030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGSQDATSKIFSMASEPPTHRRRDPAAPAPAWVLLAPLAYLADVRNATTAEAFSSAGHTVQVTVCATDPLDVSYLCVHCPGVPAAHCGRPSIVCSEEDFLVLSVLFLPALGGHEYFVYKAGPVPSLRLLPGPYPRVLTSSDLGLLPRDDGDHFVLAVLCYSPVPWDFALHVFSSRTWAWSRKVPQVEVSSNVRARMSSIQASKVIQFGEGTLGWVDLWRGILFCNVLDKMPVARFITLPEVMPGNTDTAKSSAWPLRNVAYRNGMIKFVEIEKHGSLDLDGGLYDDLDTLYASHCLVNPKEMGWRVMTWYKMTSWDHWSKGFMAYDKEILVDYPSHSKLFPDLGLSDANGKELTMKDLLASHPVLSLTCDSDDIVYLLCTSKFCSIKTWMIAVDLKRKILVDLAPFSTKGYFTSAHPSGLSKYLNISPAMEGSSGGH >SECCE6Rv1G0393330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:280738325:280765452:1 gene:SECCE6Rv1G0393330 transcript:SECCE6Rv1G0393330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQTTTLRAGPSAHRLATPPASASSSNLSAGRCFLLRPPHSRCRRPRSLRASASLEQEVKERADSASPSAGTSSQATRRDVRNIAIVAHVDHGKTTLVDSMLRQSKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGILLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKVDRPIARPEFVVNSTFELFIELNATDEQCDFQTVYAIGLKGKAGISADNLADDLGPLFEAILRCIPEPRIEKDGALQMLVTSTEYDEHKGRIAIGRLHAGELKRGMEVKVCTPDDACRIGKIGELFVYENFGRVPVESVSAGDICAVCGINDIMIGETIADKVSGTALPTIKIEEPTVRMSFSINTSPFVGKEGKFVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGYEFMIGPPKVINKTVNGKLLEPYEIAAIEVPEEYMGSVVELLGKRRGQMLDMEASGPEGTSLLKYKVPTRGLIGLRNAILTASRGRAILNTIFDSYGPWAGDISSRDQGSLVAFEGGSTTSYACVNAQERGILFVKPGQDIYKGQIVGIHQRPGDLALNVCKKKAATNVRSNKETTVVLDEPLSYSLDDCIEYIQEDELVEVTPESIRMSKNPKISKKKNN >SECCE4Rv1G0261770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:704202431:704203934:1 gene:SECCE4Rv1G0261770 transcript:SECCE4Rv1G0261770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSETMLESAAYRRMKAENPSEFVPRSVFFARDGGSGIDRRNSLWVKAALVYESVTGRHVDDGAKPLATSLLLSLARECRSRIHPYDERPPAPQAKAAVVTDVLSKVSDAVATPIAGAGGGEDDGSEEKQSKTTTAELHDEEKESDDKQVIDAVFLVVGFLARLVKAAAGVSRDAVDEGFKSTHMQDIVTDMIKLENQLPLKLILDVVEHVQDAARHVAADARFEGLREGLEGYKLGFSPATFFDDVVRPFCWYYSPFFSKQLPAVDRGLFDGNHEIALLDFLHASVVPTPPDAEKGAAAGGRTSRMPTARELSRSGVRIAPGEDGRATVEFDEASARLQLPALVYDFKLATVARNLLAREYEEQNKPVTRYFQMMNELVDEAADAKILRRAGAVRGGGASGAEVHELVKRIDGYATYPSVYMAMDVQIAKVRRFHDMRMQNFLVRYRPGVIWASSVAAVSLVAIVAARRRG >SECCE5Rv1G0308870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:140557043:140557543:-1 gene:SECCE5Rv1G0308870 transcript:SECCE5Rv1G0308870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASCVIAQECTLAVSTERMWKAAFSGEDTTILPKACPGFIDAVDIEGDGGPGSVSTMTLSPAAAELAGSGVTRSRMVARDNAARVIKMEVLEGGKVSGQLKSQVVELKLEAAGEDACVVKLRVEYEKLDGGGALSAEDQATLAAGYLDLFKMVETYLVAHPAEYA >SECCE2Rv1G0113270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:720376218:720379773:1 gene:SECCE2Rv1G0113270 transcript:SECCE2Rv1G0113270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGMVMLAALAILAPSARGLDRAEFPPGFLFGAATSSYQIEGAYLEDGKGLSNWDVFTHTHSREINDGRNGDVADDHYHRYMEDVEIMHNLGVNSYRFSISWARVLPRGRLGGVNTAAIAFYNRLIAALLEKGIEPFVTLHHFDLPHELETRYGSWLGAGIREEFDYYADVCFKAFGDRVKFWTTLNEPNLFTKFAYMLGVYPPKHCSPPFGNCNSGNSHREPYLAAHNMIMSHAAAVDNYKRNYQATQGGSIGIVIAMKWYEPLTNSTEDILAARRALSFEVDWFLDPIFFGDYPKEMREMLSSNLPTFNSEEKRLLQNKVDFIGVNHYTAIYAKDCISSPCDLKSYEGNALVQAVGERDGVAIGGPTAFHGYYDVPEGIELIVKYVNQRYENTPVYITENGYSQFSDNSMEDLIDDVGRVNYLQGYLTCISSAVRRGANVHGYFVWSLMDNFEWAFGFTVRFGLYHVNFETQERTPKMSGKWYRDFLTGSRPLDQAQTLKADS >SECCE6Rv1G0430100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739705278:739706207:1 gene:SECCE6Rv1G0430100 transcript:SECCE6Rv1G0430100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGVCYGVVANNLPPANEVVQLYRSKGLTGMRIYFADAKALSALRDSGISLILDVGGNDVLASLAANASNAANWVRDNVRPYYPAVNIKYIAAGNEVLGGDTQNIVPAIRNLNSALSSAGLGAIKVSTSIRFDAVTNTFPPSNGVFAQAYMTDVARLLASTGAPLLANVYPYFAYKDNPRDIQLNYATFRPGTTVRDQNNGLTYTCLFDAMVDALVAALERAGAPGVRVVVSESGWPSASGFAATADNARAYNQGLIDHVGGGTPKRPGLLETYIFAMFNENFKTGELTEKHFGLFNPDKSPAYPIRF >SECCE5Rv1G0371070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845439754:845442000:1 gene:SECCE5Rv1G0371070 transcript:SECCE5Rv1G0371070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAPDRKRRPPMEQSEAGDGAPHQRQKKSSAAKKAKKGGATGGSGGGGAWPTIRPKKDLQVNRLKGTQLLTVPDFLTSAEAKAFVDVAESMGFTHQGSLGPLKGEAYRDNDRISVTDPLLAQTLWESGINRVFVDINISGKVATGLNPNIRLYRYVEGQRFGRHIDESVNLGDGSRTQYTLLMYLSGKGSAKDSQALVGGETVFYDHRGGIVAEVAPVQGMALLHLHGARCMLHEARVVKKNVKYVLRSDVVFA >SECCE5Rv1G0373020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:855827263:855829197:-1 gene:SECCE5Rv1G0373020 transcript:SECCE5Rv1G0373020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQQLTTFQLLPPAHAHGDRRQYQHQHQEQLGCGGGGGGLWDPASVLDRRASPAAHPTLSSPSPLPAGVAALAKNVSAAPPQPQAQAQAWPPPPDDDAKDDWVHHLPPLDMAGWGDPHAAAMHGQDQPHPAPSSQDSTFLRWIIGGGDDDDDDSGAAAMDGADPPDLDLDRISMLPRHHPPAHLMGGTGHGLPFTLAGEDTKQPFRPGAALHQQHHLPHAFHGGAFPSFDPAAPAKRQQQQHPMAGAAPPKLPPFAGHGAAAALKPKAEAPADDGAAAAAVDQLTEAARLVEAGDAYGAREILARLNHRLPAAPSAGTPLLRSAFYFKEALSVALDTTGAHASSASVASTPVDVLLKLGAYKAFSELSPVLQFAHFTCVQAVLDELGGAGCIHVLDFDIGVGEQWASLMQELAQRRPGAALKVTALVLPSTHHPLELQLIHENLANFAAELGVPFQFVVFNLDSVDPTELLAIAGGDAIAVHLPVGSVHAAAVPSVLHLVRRLGAKLVISVDRSGDRGELPFAAHLLQAFQSCAFLLESLDAVGTEPDVASKIERFLIQPKVESCVMRRHQAAAAGEKLLPWRTMFTAAGFVPVHISNFAEAQADSLLKKVPVRGFRVEKRAAGSLVLHWQRAELVSVSAWRC >SECCE1Rv1G0013400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:104444927:104446135:-1 gene:SECCE1Rv1G0013400 transcript:SECCE1Rv1G0013400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETVVETLPELPLDVLMDIFSLLEFPDLIRASSVCSFWRSAYSSLHSQLEQYKRPQTPCLLYAAEADGENVACLYSLAEKRAYKLTLPDPPIRSRHLIGSSHGWLVTADDKSELHLLNPITGQQIALPSVITIEHVEPIFDNVGAIKEYGLWDPDSETTTHAPDKLRDCLYLKAFVFPDLPTGSYIVVLLHDPLGQLSFARVGDCKWTLLPGVDYEQCIHMDGLFYAFTRTGGVYAFDLTSPTTMSNIIADEMENYISATDGYMYVVQAPWGDVLQVCRDFESTAEGFIKTKKMMLYKADMAANELVEMHGLHDHVLFLGRSQSQCLSTKEYPQLKTNCVYFTDDSTYISKYKNDDRDIGILNLENDSTEEIVPQLWCNWPNPIWITPNLTRMNMVSTNR >SECCE5Rv1G0328330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:501663539:501664468:1 gene:SECCE5Rv1G0328330 transcript:SECCE5Rv1G0328330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMKAPTSTSTSYLILAPVAILLLIFLLSSLNRTGAGSGGSDGLGVLCARRAGAGHSVSARAEAEPELSLLVGILTMPKRRERRDIVRLAYALQPPAAGARVDVRFVFCNVTDPVEAALVALEIMHHGDIIVLDCAENMNDGKTYAYFSSVPRLFAAAPYDYVMKTDDDTYLRVAALVEELRPRPRDDVYLGYGYNMSGDPKLFMHGMGYVLSWDLASWVSTAEEILARNDTLGPEDLMLGKWLNLAGKGRNRYDLKPRMYDLSWDMDNLRPDSVAVHMLKDNRRWATTLRYFNVTAGIKPSELYHLP >SECCE4Rv1G0276300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797509468:797509818:1 gene:SECCE4Rv1G0276300 transcript:SECCE4Rv1G0276300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIKNSGQAMCLLALVVMSTTSLPSLVEGRVMDTKGTMVGKQLCFVMKRCTLDLCEKQCLAHGYHKGQSDCISFTKNQCCCNRI >SECCE3Rv1G0183690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:653582371:653582784:1 gene:SECCE3Rv1G0183690 transcript:SECCE3Rv1G0183690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSSTRPWARPSPAAEAAVAVKTETVDAPASREDAAGVARAVSESPVVVVGRRACCLTHVVKRLLQGLGVNPAVHEVADEAALAGVVPDGGEAALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAGALWL >SECCE1Rv1G0029030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:409069997:409070704:1 gene:SECCE1Rv1G0029030 transcript:SECCE1Rv1G0029030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGDELKLLGMWASPFVVRVQLALRLKGVSYEYVEEDLANKTDLFLRSNPVHKTVPVLIHNGKPVCESQVILQYIDEAFVGVGPSLLPADPYERAVARFWAAYVEDKLLAPWRKVFRVTTDEERAEWTRQTVAAVATLEGGLRECSKGKGFFGGDCVGYVDVLLGSMVPWVCATEKLSGDKLFDAGKAPLLAAWMERISELDAAKAVFQDVDRLAEYAGAIQARLSAAAASTQ >SECCE7Rv1G0522640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878827870:878835341:1 gene:SECCE7Rv1G0522640 transcript:SECCE7Rv1G0522640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVQHGLHELLLLLLQARSPSVHAALVLSALLVCPLLAILVVRHFGTATAREQMLSKLPSPNRRLPIIGHLHLVGSLPHVSLRDLAAKHSRDGLMLLRLGAVPTLVVSSPRAAQAVLRTHDQVFASRPYSPVTEILFYGPSDVAFCPFGEHWRQVKKIATTHLLSNRKVRSYRHAREHEVMLIMAKIGDAASRCTSIDLSDLLSAYASDIVCHVVSGKLFRKHGHDKLFRELIDANALLIGGFNLEDYFPMLVKLDIIKRMVCAKARKVNKMWDDLLNNLIDEHASKPMLEHDNEENDFIDVLLSIQHEYNLTRDHIKAHMAIMFEAGTDTSFIVLEYAMIRLVQNPHLMQKLQEELRSSIPKGKEMVTEEDINNLAYLEAVIKETLRLHMPAPLLVPHLSMVDCNIKGYKIPSGTRTIINSWALARDPSSWDNAENFMPERFMDGGSAALMDYKGNDFAYLPFGTGRRMCPGFNFGIATIEIMLANLVYRFNWKLPEESMKGGISMTELFGVTIRRKEKLLLVPVVPEN >SECCE3Rv1G0150360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31572728:31574254:1 gene:SECCE3Rv1G0150360 transcript:SECCE3Rv1G0150360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADNGDLAALLPEDVLTDVLRRLGAPRWLAMSRCVCKAWRAIIDGESLLHTELPFSGFFITFRELCLPEFFARPLSPPGRPAISGKLDFLPTPIKVHSDGWSLDGDYYIQGHCNGLLLLDGYVVNPATRCWNALPSCPHNHTRDGGIVRGILLHDCYLAFDPTVSSHYQVFEIPYLRWGKGETDPIEETSEWPPSSYILHVFSSRRGCWEERPFVRQGDAAGTVAKARVFFEGQQNSVYWRGSLYVHCQSDFVMRISLSEDKYNVIKPPMGVGRINYIGLSEKGVYHASFVENHIRICILNESCDQLEWILKHEYDLKPIQMFDRQVHGPWILEDINYGIFRSHLPNINKEEVIQEKFEWNSDDDDFPENEDMVEVHRRRPYFEIEILGFHPYKEILFLCRSETFKLNAMAFAYHLNSFKVESLGSIYPSCHKYFDSGLANEAREIESFPYTPCCWIEEIPERVN >SECCE5Rv1G0354500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:724038446:724039676:1 gene:SECCE5Rv1G0354500 transcript:SECCE5Rv1G0354500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSCCMVLLVLAVAAQLGASDLSPGYYGGSCPNLESIVRGVVTQKMDDTIRTIGSTIRLFFHDCFVEGCDASVLIRSTPGNPTEMDADDNKSLAFEGYETVRVAKEAVEAACPDLVSCADILTIATRDAIALSGGPFYPVELGRLDGLSSTASSVAGKLPQPTSTLNQMLAMFRANGLNMSDIVALSAAHTVGLAHCGKFRERVYGSPADATLNPKYAAFLRTKCPADGSSDPMVLMDQATPALFDNQYYRNLQDGGGLLASDQLLYNNNRTRPLVNAWANSTAAFSRGFVDAIVKLGRVGVKSGSDGNIRKQCDVFN >SECCE5Rv1G0364580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802214862:802215707:1 gene:SECCE5Rv1G0364580 transcript:SECCE5Rv1G0364580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMAKNVDKPLFTATFNVQSSSADYVTFITGIRNKLGNPRHFSHNRPVLPPIEPKVPPSRWFHIVLKTSPASTGLTLATRADNLYWEGFKSSDGTWWELTPGLIPGATYLGFGGTYRDLLGDTDKLTNVALGRQQMADAVTALYGRTKADKSSGPKQQQAREAVTTLLLMVHEATRFQSVSGFVAGLLHPKAVEKKSGKISNELKAQVNGWQDLSEALLKTDAKPPAGKPPAKFTPIEKMGVRTAEQAAATLGILLFVQVPGGMTAAQALELFHASGGK >SECCE7Rv1G0502350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:695531210:695540567:-1 gene:SECCE7Rv1G0502350 transcript:SECCE7Rv1G0502350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGGAVFQSPATEEETMARKRSRRVSFADTTAVHVFDRDEDFETPPDERPASPSPSPGRPLVGDSAEGDETEGEDESPFGWLGDVGVSSASPGSAAGSMSSFEGDDNFFGVVSASFIQSGRPSDSGMSEDNCHDLTLDSHTFSLHFNNVIPPDDSSLHSAGSLRTLGPESATPLKALKGSESVKSSGGRDALTDMSLCAENPKRYDYTNLSPTLNNLLQEVQEPTSPKDEINFITSKHALTLPASEKEHRQEKSYTGNGVSSDELGSVGSLEEHITSSSDPTEEDNAMIVDIHDKSQISLQENCSDDHIAVHPDVNITPKTASLLSPPPPYGSFMSNIDLQPPVLDQSLSKDEPHGANQFASAVPTFSMRHAEQLHQQSEILNSETILHTPKTLVQPLQITQGSVSSLRSKRQQIFSPIVHSTGNAVSHEASSLGSEFMKHGKRISDLDHVLKFKLHESPVAHNRRLPLVERNELVQEPHNTFSKAEDHGCTVSASYSVTPQQPEKTGQAFLGAPPRQELSEATEVQDALCDVPALGSLANHECNSHMDVDGTGRKRSSEENVCAEHSLPEKRAKGPRSPITSRKQLPCVSLSSRMAEENQSEAHDSAQSLSDDWNKVVFSVSDSMKQMHICPESISKLSLQQLDMLGDMLGKIHVARTYKRLPAAVRMQDPRLAEAMSLHSKLSYEKAKLQINRVKLDKLQNKAQLCQVGTQECRYLKSKISQLRRPTVGAAQMKGGPLHAETLINTCDRLEGNARITEKKLVLSMIQQKVENLKISLEHFCNTKGDISEVLRAAEEQLKMRNQCRIINQQASLWDLKDIVKRENKRDVILNYRNLLLQRIILNISDMSTIFVSNSLTGTKIEQAFPNVKATVAFSFVFKPEENLRFSDLRSLQKKTMETSLLLGNLIDVLEEIEDARGELLNLTSADFSVESQTGQLIFSLRFISYKSAKRVAFAIDMTDLSRAVYPSEPSELPIKVCQAQTTLSQPSLDKLMASIRDDLQPGRVMILRLCRMVSQQVNTLPG >SECCE5Rv1G0362940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:789812136:789815446:1 gene:SECCE5Rv1G0362940 transcript:SECCE5Rv1G0362940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSATSGDDRPPAAGGATPAQAHAEWAASMHAYYAAAASAAGHPYAAWPLPPHAQQHGLVAAGPGAAYGAPVPFPMYHHPAAAYYAHAHASMAAGVPYMAGESASAAGKGKRAGKTQRAPSGEINSSSGSGDAGSQGSSEKGDTGANQKGSSSSAKRRKSGAANTEGEPSQAATVHNAITEPKLEDKDRSASKLLVLAPGRATLTSAAPNLNIGMDPLSASPSSLVQGEVNAAVSSQSNASLSQMDERELKRERRKQSNRESARRSRLRKQQECEELAQKVNELTAANGTLRSELDQLKNDCKTMEVENKQLMGKILSHDDKMQQSEGPSVVTTLSIQVEAPEPHHGGDGKAS >SECCE5Rv1G0328540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:508664978:508673164:-1 gene:SECCE5Rv1G0328540 transcript:SECCE5Rv1G0328540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHAHARFLLSCFAASILWTSQAQPATPTEVKVGLIIDAGSPVGMIATTTIPMALEDFYAAHPNSSVRVRILPHDSGGDVVAATSAALQLMTVQGARAILGPQSSVESAFVADLATQAEFPVVSFSATSPSVSPGTARFFARAALSDASQADAIAALATHFGWRRVVPIYQDDDYGAAFVPFLVDALTAERTEVPYRCALPAAATHDPIAAALLRMESEQTRVFVLHTRPDLAKKVFAAAMETGMMAEGYVWVITDGLTGLLGSVDPPQGVIGLTPYVPQTTRLRDVKKRWSHRYMRDHRDAEPAQAVMGCYALWAYDAAWAVASAAGRLSSGDLSSPPGLEGGKGGPTEIAGLGKSRSGPSFLRAISSTKFDGLGGKFELVNGELAVPAFQVVNIMDNGKERGIGFWTALHGLSRQVGSNESSGELRPVIWPGDSTVRPAGWVQPTSARKLRVAVPGNVSDSYKPIVRLEVDPVTNQTTASGFVIEVFEAAVRLLPYALPFEYVKAASMPYDELVEAVGNGTFDAAVADITMTANRSNHVDFTLPYAATAIAMLVRVHDERSNKRTWVFLKPLRYDLWLVSAAFFLFTGFVVWAIEHRGNREFRGPASYQVGTLLYFGFSTLVFAHRETLKSNLSRFVVLVWVFVVLILQSSYTASLTSMLTVPQLEPTVADYGELQRGAEMVGVMNNSFVLRAMTAAGFPQGRLVRYPNSQTIHECLLNGSIGAVVNETPYLRIFLKTYRDNFTITGPLNKTGGFGFAFPKGSPYVTDLSQAILRLTESDEMNMIERKWFGDPNDDGATQDGGPFTSNSLSFGSFWGLFLITGATSLLCCVVHLATFVAASRRELPPHLSWKHWLSSLFRLFDDKDPSSHTFRVKDDGGAVSVRNDAVASPPIAHSELGSPLSAPYTSEWSGTASPAAGEIELAAGRQERDEAAPNPDGSGENGRGP >SECCE5Rv1G0376400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872282360:872286193:-1 gene:SECCE5Rv1G0376400 transcript:SECCE5Rv1G0376400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLTPTQRYAAGALLALALRQAQIHQSVLLGSPPTSAADDEERASSASGGSDSSSSTGGSGEDAAKALWTHDSRGLLRPVFRFLEIDPAAWPGLEETAASPEAKHHIGAFLRMIFEEDGESSSDSQDQEHALAKGVDVMVMSLSSDAEPIKEGGEGPSTSAGMAESSEKGFVAEDLLGIDKLSLDDLPATNHRKMTLLFTLLSACVADKPVSQEEDDRKSSHFRKGYDARHRVALRLLATWLDVKWIKMEAIEVMVACSAMAAAKEQEQSGENASPKSKWAKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTIMPVIGASGFAAMATAAGSVAGSVAVAASFGAAGAGLTGTKMARRIGSVKEFEFKPIGENHNQGRLAVGILVSGFAFDEEDYIRPWEGWKDNLERYILQWESKHIIAVSTAIQDWLTSRLALELMKQGAMRTVLSGFLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLVKGSQGNRPVTLVGFSLGARVIFKCLQELALSSGNEGLVERVVLLGAPVSVKGERWEPARKMVAGRFVNVYSKDDWILGVTFRASLLTQGLAGIQAVDIPGVENVDVTELVDGHSSYLSATQLILKHLELNTYYPVFVPLPTAVSKQQV >SECCE4Rv1G0290980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875096886:875098412:-1 gene:SECCE4Rv1G0290980 transcript:SECCE4Rv1G0290980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVGSPGTTATPTNFSLPVDSEHKAKSIKIFSFGNPHMRAFHLGWMSFFTCVVSTFAAAPLIPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGAICDLLGPRYGCAFLVMLSAPAVFCMSVIDGPGGYITIRFLIGVSLATFVSCQYWVSTMFNSKIIGTVGGLTAGWGDMGGGATQLIMPLVFDGILACGATPFTAWRLAYFVPGMMLVVMGLLVLTLGQDLPDGNLRSLQKNGDMNKDKFSKVLRGAVTNYRTWIFVFIYGYCMGVELTTNNVIAEYYYDSFHLDLRAAGTIAASFGLANIFARPMGGYLSDLGARYFGMRARLWNIWILQTAGGLFCICLGRASSLPTSVTCMVLYSICVEAACGAVYGVIPFVSRRSLGLISGMSGAGGNVGGGLTQFLFFTSSQYTTGKGLQYMGIMIMVCTLPVALVHFPQWGSMLLPPSADATEEEYYGAEWTEDEKSKGLHIAGQKFAENSISERGKRNAILAVPSSPPNNTPQHV >SECCE2Rv1G0089180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:254014912:254017826:1 gene:SECCE2Rv1G0089180 transcript:SECCE2Rv1G0089180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVMRMRRGLALCYRLAAALPLLLLLLAFLNAPLAGAQPLPWQLCDAAAGNYTEGSAYQANIRALASGLPRNASASPALFAKGAAGAAPDAVYALALCRGDTNASSCAACVAAAFRNAQQLCAFNRHATMFDDPCILRYSDQDFLANVTDNRGKFVAFNGNNVSAGAAAAAFDAASGRLVNATAEYAAGDPSRRFGTGEEGYDATYPKIYSLAQCTPDMAAADCRSCLGDIIEKFTPQYFVGKPGGRVFGVRCNFRFETYSFFSGRPLLQLPDVLPPAPAPAPATAEEGTRNRTGLILAITLPIVAALLLISTCVCFWRRRKPAERKPSVPYSATNPDDIQSIDSLLLDLSTLRAATDNFAESNKLGEGGFGAVYKGVLSEGEEIAVKRLSQSSTQGIEELKTELVLVAKLQHKNLVRLLGVCLEGQEKLLVYEYMPNRSLDTVLFDAEKSRDLDWGKRLKIVNGVARGLQYLHEDSQLRIVHRDLKASNVLLDSDCNPKISDFGLAKLFGWDQSQAVTSHIAGTYGYMAPEYAMRGQYSVKSDAFSFGVLLLEMVTGRKNSSFATSEPSLDLLSLVWEHWAAGTVEKLVDPSLGGRSPGGQMLKLVNIGLLCVQDSPADRPTMSAVNVMLSSSTVSLQAPSRPTFCVDDMEGFSDMYPGGSQSQSTGDSKPKAAMSPSPNEVSLTELEPR >SECCE3Rv1G0210120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:942510706:942511257:1 gene:SECCE3Rv1G0210120 transcript:SECCE3Rv1G0210120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPIFICPRCRAGVDRRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNERQEEHEEWLHMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLQKKVRKLEDQAQIAIPICNYFWAVVGMVLALVIMLKMYGKT >SECCE2Rv1G0104440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:606389647:606394289:-1 gene:SECCE2Rv1G0104440 transcript:SECCE2Rv1G0104440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRHMERSGSKRALDPGGSGGGGGDDDERDPKRPRVPALASVIVEALKLDSLQKLCSSLEPILRRVVSEEVERALAKLGPAAGPARIEGRTSPKRIEGPNGSNLQLQFRSRLALPLFTGGKVEGEQGASIHVVLLDANTGHVVTKGPGSSAKLDVLVLEGDFNKEKDEDWTEEEFESHIVKEREGKRPLLNGDIHVTLKEGVGTIGELIFTDNSSWIRSRKFRLGMRVSSGFCEGIRIKEAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHGKLNSNGIYTVEHFLQLLVKDQQKLRSILGTGMSNKMWESLVEHAKTCVLSGKHYIYYSKDTASVGAIFNNIYEFTGLIADDQFISTENLTENQRVFADTLVKQAYDDWINVVEYDGKGLLKFKQKKKSVTTRSDAANVSTSYTYDSAQSQKQLARGHANIERSLMSSTSKAEETRIASNGNQVAIYAANPQDISPSITMQYGMSSLIPEGQFNGSSIQTQASRSSNMLAFGPPPQQQQSFEFSALGLSMQSPAPNPFDDWPGRLQENRGGVDDFLMEEIRVRSHDILENEEEMQHMLRILSCGAAANMNNVDGFPPYIPSPAPAFNFEDDRAPSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >SECCE5Rv1G0318990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:369752075:369755276:1 gene:SECCE5Rv1G0318990 transcript:SECCE5Rv1G0318990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASDSAGFPFSAKLSAANSPRFCNPMSRRIFSDVAGDITVSVDGQSFLLHKFPLVSRCGRIRRMVADAKDPDLSKLELVNVPGGATAFELAAKFCYGSNFEITTANVAHLRCIAEYLEMTEDYQEENLIVRTEMYLNDVVVKNLEKSLQVLCACEGLDPMVEEIGFVDRCVDAIAMNASKEQLVSGLAHLECDAGSGKLRMHCQDWWVEDLSALRIDYYQRVIAAMRRSGVRPESIGTSIVHYAQTALKGIERRHVWDSGPLVGDNQRVVVETLINLMATEKITAVTLSFLFGMLRMAIEVDAGLDYRIEVEKRIGLHLEMASLDDLLIPSMQASESMFDVDTVHRILVNFLQRIEEDDSGDLSPCGYESDGLKSPSHGSVLKVGRLMDGYLAEIAPDPYLKLQKFMTLIELLPDYARIVDDGLYRAIDIYLKAHPSLMESECKKLCKLIDCQKLSQDASSHAAQNDRLPMQMVVRVLYFEQLRLKSSFSGGHSGGGDYCSFSQRITMPISGSGVPSSCVSPRAAGAADSYASLRRENRELKLEVSRMRVRLTELEREQGMMKQGMRDGRPGEHGRAFFASISRGIGRMAMFGPAGERRKKSSQCSEGKSRRRKKPSVTYA >SECCE4Rv1G0249000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:578035773:578037071:1 gene:SECCE4Rv1G0249000 transcript:SECCE4Rv1G0249000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDADDVFRYFRLNPTELQAVTYYLPRLLSGETLHCADKLIHRVEISGCEPKDLAARYAPVPLAVSSGDRFFFTTCKSKNGSKVQSVRGAGAGTWSIQKTTEISHAGAKVGEVKNLSFKTNGKSTGWVMEEYRCLLPEATVSDGVKVFCKMHLAQHAPDAARQESAAYNRQQAQPEAVTPSTHAQKRPAPAAAADPHPPRPKKRMRGAVPVPVPASATPSFLMYDEAANFPVQDAQASCESTTTSSRSDVAQAPEICSQSDVQSEEAGSSIARSTSQENVFVPSEPISNLPEWEEDGFDLEELMRMMEDDPIEVEPVTGANTGVEMGQQEPLYLDAVDQSVLEGMLQSDYPAFHDADKEKRYNAASDLDAPSLQGHDHLIKPRPCSFDPFEEAWKAEEAIENERRYNGTVNLHAQPFGGHNNFFSPASVY >SECCEUnv1G0571840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:516955645:516956716:-1 gene:SECCEUnv1G0571840 transcript:SECCEUnv1G0571840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRMLNSNATLMVIMGLLIMSLAINSATAAQCGCCISARAKACCFTCIAAGGSNTICKNTCCFPCVLADSVN >SECCE4Rv1G0273800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:779927200:779929900:-1 gene:SECCE4Rv1G0273800 transcript:SECCE4Rv1G0273800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRPVIETGYENLLLVRLLVEIQIPSVRKSSVADGLSIQEILENWSKLLPILMDEWQEDRESLVDLFGRVRDDWLENDLSGWIGANRFYPGTADALKLSSSELYIVTTKQSRFTGALLKELAGVDFPSERIYGLGTGPKVKVLQQLQEMPQHQGLTLHFVEDRLATLKNVIKEPALDKWNLYLVKWGYNTQKEREEAGAIPRIQLIDLPDFSKQLK >SECCE4Rv1G0220970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:43378234:43381701:-1 gene:SECCE4Rv1G0220970 transcript:SECCE4Rv1G0220970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSALLTSAGINIALCILYLSLYSILRKQPHNFRVYFGRRLAEEKFRQQVDYFSFERLLPTAGWLVKAYWCTEDEIRRVAGLDSVVFLRLFIFSIRIFSITTLICVFGVLPVNYHGQEMAHTRVPAESLNVFTIANLKEGSSMLWVHCTALYVITISACILLFQEYRYISRKRLAHITGSTPNPGHFAVLVRSIPKSHNELLDDTIRNFFVNYHGSSYLSHQMIYRKGKLQNFVDSAERAYRKFVRVKLSVFDQNVRSSLNRCGLCGVQASSFQLYRNKFVDAKKSDLTDPEVVEAQKDCPGAIVFFKTRYAAIVASQVLQSSNPMLWVTNLAPEPRDVYWSNLWIPYRQIWLRKIATLAASVFFMFVFIVPVAFVQSMMQLEQLKQMFPNLRGALKTSFCVRVVTGYLPSVVLLLSLYTVPPLMMRFSAIEGSISRSGRKTSACTKILIFNIWNVFFVNVLSGSVLNQLNVLTRPKDMPSMLAELVPKQATFFMTYVLTSGWFSLCSEILQVYNLVYNFFRKFICCYQDEPEYVYSFPYHTEVPKVLMFNVLGFTFSIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPIMHNTMVFSLVLTQIIALGVFTIKKAPIAMGFTILLLIGTILFNEYCRQRFSRIFNSFSAQDFIELDRDDEQSGRMREIHEHLLDAYCQSPPGADEVPIEMIMEDPAQEASNSSQELCDTVQEVAESIIQEHIEERHESSSGRR >SECCE2Rv1G0101970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:572018995:572019947:-1 gene:SECCE2Rv1G0101970 transcript:SECCE2Rv1G0101970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDVVPAAARKLDGKVALITGGASGIGECTARLFVQHGASVVLADIQDDLGARVCAELGPGASSYVRCDVTSEEDVAAAVDHAVARFGKLDIMFNNAGIGGAACHSIRDSTKEDFERVLAVNLVGPFLGTKHAARVMVPARQGCIIGTSSLASAVGGVASHAYTCAKRALVGLTENAATELGQHGIRVNCVSPAAAATPLATGYVGLDGEAFEMAMESFANLKGVGLRVKDIAAAVLFLASDDARYVSGHNLLIDGGISVSNLTFGIFKE >SECCE4Rv1G0264920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:723883017:723884720:1 gene:SECCE4Rv1G0264920 transcript:SECCE4Rv1G0264920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIANGEMVCVTGAGGFIGSWVVKELLLRGYHVRGTARDPADRKNAHLLALDGAEERLTLCRTDVLDYGGLRAAFHGCHGVFHVASPVSNNPDLVPVAVEGTRNVISAAADAGVQRVVFTSSYGAVHMDPNRSPDAVLDETCWSDYDFCKNTGNLYCCAKMMAEITASEEATKRGLELAVVVPSMTMGPMLQQVLNFSSSHVTRYLTGAKSTYPNAVAAYTDVRDVARAHVLVYEHPDACGRYLCIGAVLHRSHFLQLLGDLFLQYPLTAKCEDDGKPMVKPYKFSNKRLRNLGLEFTPLKQSLYETVTCLQKRGHLPVHVVPAAAKHA >SECCE5Rv1G0320940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:394766735:394770428:-1 gene:SECCE5Rv1G0320940 transcript:SECCE5Rv1G0320940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPTNDQYTYTLFLLTGRVCSRSKHSNSTAMELLPFLLLLLTGAAVASGEPAYPGYGATDGEPACGVKEEAAAVPVPERREEFDGGRILDISHYYREDMPAFESAEGTPGFLRLARSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYYDAGFDVDTLDLAVLNGPALLVDVPRDSNITADVMESLHIPKGVRRVLFRTLNTDRKLMWKKEFDSSYVGFMEDGAQWLIDNTDIQLVGVDYLSVGAYDECIPAHLVFLDKREVILVEALNLEHVATGIYTLHCLPLRLRGAEGSPARCILIK >SECCE1Rv1G0026540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:372007338:372031177:1 gene:SECCE1Rv1G0026540 transcript:SECCE1Rv1G0026540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGRFTVGSHVWVEDADVAWIDGLVEEVHGDELIINCTSGKKVTANVSSVYPKDVEVKRCGVEDMTRLAYLHEPGVLRNLKSRYGMNEIYTYTGNILIAVNPFQRLPHLYNDHMMEMYKGAEFGELSPHPFAMADRAYRLMMNYGISQAILVSGESGAGKTESTKMLMQYLAFMGGKVESEGRSVQQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDQNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEDRERYKLGDASLFHYLNQSNCIKLDGMDDSSEYIATRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFSEGSEADSSVPKDDKSLFHLRTAAELFMCDEKSLEESLCKRVMVTRGESIVRNLDSRAAALSRDALARMVYSRLFDWLVNKINTTIGQDPTSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSNHEMFAEKLYQKFKDNPHFSRPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQDLLHASTSPFVSSLFPPSEESTKSNKSTKFTSIGSSFKQQLQGLLETLSTTEPHYMRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFEEFVDRFSVLRPEVLGLCYDEVTATNMLLEKVNLTGYQIGKTKVFLRAGQMAELDARRTEVLSYSASKIQRKVRSFLARRNFIQLRMSSVQLQAMCRGQIARHYYEDLRRKASSLKIQTYYRMHFARKNYKALYSASTTIQSGLRGMAARNELHFRQQTKAAVIIQSCCRSDLASSRYMGLKKAAITTQCAWRGRVARRELRKLKMAAKESGALQAAKNKLEKQVEELTWRLQLEKRMRTDMEEAKTQENKKLQQQLQELQFQSKETKDILRREQENAKTALEKATLVPEIQVDTTHVDKLTAENENLKTLVVSLETKIDETEQKFEEMKKAREELLKKATDAESKINGLTNTMLSFQEKLTNMEAENQLLRQQALLHSRLKTVSENTSPKSNSTNGSPHYEEQMTPHGTPRVPKDYGNLTHPRASFSERQHESVDALINCVTENIGFSEGKPVAAITIYKCLVYWKIFETEKTSVFDRVIQIFGSAMQNHDSNEDLAYWLSNSSTLLIILQKSLKAVGSTGTTPHKRPQSQSSFLGRMVFRSSTITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKELSALLLHAIQVPRIMKASMVRRSFGSSSLRGRSFSNQGSYWLAIVDNLNELLNILRENCVPAIFIRKIFTQIFSFINAQLFNSLLVRHECCSFSNGEYVKQGLAQLEVWCGEVKPEYAGSALHELRHIRQAVGFLVIFKKFRISYDEIVNDLCPVLSVQQLYKICTQYWDDKYNTESVSEEVLDEMRTLMTEESGHDASEGAFLLDDEISMPISLEEIADSMDVKEFQNVAPPSELVAMSAFQFLKS >SECCE5Rv1G0309900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:161938907:161944036:1 gene:SECCE5Rv1G0309900 transcript:SECCE5Rv1G0309900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGMATAAGTAVLVYLVLSGRLCGDADGAAGSRAAMEDKMITSAVSDAAEARERRQVEARGRRRARRARRGRQWPERAPDGWGEAVAGAARTVRFAYGQTLGKWSLGELAFGINYYMRQQGNLQHEYAGSDSVRLGGPGAREELISLLRYMKLCMYFSKKPYKVFLEFGGFDQSDVLIKKSKARFLKPAFTVVHDRDSKCFLLFIRGAISVKERLTAATGADIPFHHVVAKDGCVSNLVLGYAHCGMATAARWIANQAIPCLSKAVEQFPDHRITIVGHSMGASIAALLTYILRENDKLLSSTCIAFGPAACMTWDLAESGKDFITTIVNRNDVVPSLGRVSTAKLRKEVMASSWVHELREQIQQTRFLGFVNRSVSFVRSHVPFVSDPKSKVVDVDMLQPPTCEAETRSSEDVNCVAKKRSTLVCWSCSSAQKRTTKHTRDMTKQTDVDVKTEKNDTEATDAELVSISFGELNLDTSGNEDTNKDDIKSRLKGSSEGQDRESLTNKQMEPSWSSPSEDPLQLYPPGRILHMVALPAAEEPNTSEQGSREEVVTLYETPRHLYSKIRLGRSMVGEHYMPKYIRTMELLIEKLAKEDIGSDQLDLL >SECCE4Rv1G0280420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:820733426:820733779:-1 gene:SECCE4Rv1G0280420 transcript:SECCE4Rv1G0280420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKEKVKDSVSAVKAKTKIMQAKASEKAEAATARSHAERELAHERGKAKVATAKMELHQDKVLHREEAMEHRLHKHGGGHSRHHHKHGVGIVAAPAPAPGAGAYYSTAARGHYLM >SECCE6Rv1G0382120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:38922251:38923153:1 gene:SECCE6Rv1G0382120 transcript:SECCE6Rv1G0382120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMPRDASASGALVPSDSAAMAAAATAATTAAGKLPFPLLTRTNYAAWAMRMKYLLRANDAWAAVDREDSSKEVDAGKEEMAMTIISQSIDDTTLLRVAEKETAADVWSALHSMHVGVERVREARIQSLRSEFDGLKMGDVESVDDFAARFTTLVGRIRELGDPMEEKYVVKKLLRAVSNKFIHVASSIALFGDTNTMAMEEAIGSLKAHEELIKGREKTCEEEQLLMARGHDSSRGRGRGGRGRGHGRGGGCRDKSEVQCYNCDDLGHFAWECPVKKKENEEKALLAGYGSDGPALL >SECCE2Rv1G0105390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:619868319:619872319:1 gene:SECCE2Rv1G0105390 transcript:SECCE2Rv1G0105390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAVADGPSGDYGGGITFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLEEFFPGVLRRMAAARRDQYCVYDSHVLTAFTSSLYLAGLVASLAAGRVTRAVGRQAVMLAGGAFFFAGAAVNAAAVNIAMLIVGRMLLGFGIGFTNQAAPVYLAETAPAKWRGAFTTGFQLFLGIGNLAANLTNYGAARIPRWGWRLSLGLAAVPASVILVGALLIPDTPSSLIVRGRVEQARAALRRVRGPKADVDAELENVARAVEVARSNEQGAFRRILGREYRPHLVMAVAVPLFQQLTGVIVIAFFSPVLFQTAGFGSNAALMGAVILGAVNLGSALVSVATVDRYGRRPLFLAGGLVMIMCQVAVAWIMGSQIGRDGESTMARKYSVAVLALTCVFSASFGWSWGPLTWVIPGEIFPVEVRSAGQGISVAVNLGATFVLTQTFLSMLCSFKYATFIYYAAWVAVMTAFVVAFLPETKGVPLEAMGAVWARHWYWGRFVKMQQPAKNTDALIN >SECCE4Rv1G0287180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853290608:853293971:1 gene:SECCE4Rv1G0287180 transcript:SECCE4Rv1G0287180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICGGAGKADVAADYRPPSPGTSNYKTSGSVTTSNSTTGKLSSGGSSTFMASAGSGGTSGGFDEAAAGFLEGQILEAPNLRTFTFLELKTATKNFRPDSVLGEGGFGRVYKGWVDEKTMNPTKSGTGMVVAVKKLNSESLQGYEEWQSEINFLGRLSHPNLVKLLGYCWEDKELLLVYEFMAKGSLENHLFRKGCAPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDASYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMLSGKRALDPNRPNGQQSLVDWAKPYLADRRKLARLMDPQFEGQYNSKQSHQAAQLTLNCLAGEPRSRPSMKEVLETLEQIEALKNRTREARGGSGTSSRDRAHGRSAAVHQRSSPRSNGDGRRGSRPANGHATKAR >SECCE5Rv1G0364260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:800132450:800137087:1 gene:SECCE5Rv1G0364260 transcript:SECCE5Rv1G0364260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFVHLLLLAGTLLAAAPLSPSAAAEPEAFDVRRHLSTVTRYDVSRASNIVDAVPAISDECRVIHLNLVARHGTRAPTKKRIKELDRLSVRLGALVEEVKQGSDSDSLKKLPSWMKGWESRWKGRVKGGELVSEGEEELFNFANRVKERFQDLFDEEYHPDLYSIRATQVPRASASAVAFGLGLLSGKGKLGAGNNRAFSVLSESRASDICLRFFDSCKTYKDYRKRKEPDVDKQKEPILEHVTSALVNRYHLKFTTQDVSSLWFLCKQEASLLNITNQACQLFNEDEVHLLEWTDDLEGFVLKGYGESINYKMGLPLLKDVVQSMEEAIIAKEENFPDGTYEKARLRFAHAETLVPFTCLLGLFLEGSDFEKIQREEPLDIPPMPPQRRNWKGALVAPFASNNMLALYQCPSKTDGKKASQDQKSSYFVQVLHNEAPVSMPGCGNKDLCPFEEFKEKIVKPHLKHDYNMLCKKPVAEAEEEPSSFSSKLNFFLDLLSRKGYRIKGQDVKTEL >SECCE5Rv1G0359190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:760301837:760303178:1 gene:SECCE5Rv1G0359190 transcript:SECCE5Rv1G0359190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQLKAGHHHGAMDGKPPPPPPLAPAPTPPAPPRVSRLRRLLVRVSASERLAVAGDGKEREKEERPAAGGEAEVGSVGLDRMVLSFMEDSAAVERPPRGRCNCFNGSNYEESDDEEGFFLPSGQASAPPPSAAGDTLEALKGLVQSASVAERNLLADASRIAERCGRTCKGKAECRRAVADGLRALGYDAAVCKSRWEKTPSYPAGEHEYIDAVVGDGARLIVEVHLRSEFEVARSTKAYRAALQALPPLFVGTSDRLGKIVAVVAEAARQSMKKKGLHFPPWRKPEYMRAKWLSPHVRTGDKSAAPSPAASATATAVSAASFSGEFELLFGMNQSGVVSSTPSEKITVVVSPWRPTEDAASKKQQPRAKVVTGLAAVL >SECCE6Rv1G0448610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857278926:857279726:-1 gene:SECCE6Rv1G0448610 transcript:SECCE6Rv1G0448610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVVVVAVVATVAMAIGTARGSVSSIISRAQFDRMLLHRNDGACQAKGFYTYDAFVAAANAFPGFGATGSTDARKRDVAAFLAQTSHETTGGWATAPDGAFAWGYCFKQERGAAADYCTPSVQWPCAPGKRYYGRGPIQLSHNYNYGPAGRAIGVDLLRNPDLVATDPTVSFKTALWFWMTAQAPKPSGHAVITGQWSPSGADRAAGRVPGFGVITNIINGGLECGHGQDSRVADRIGFYKRYCDILGVGYGGNLDCYNQRPFA >SECCE5Rv1G0367930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:825169695:825170057:1 gene:SECCE5Rv1G0367930 transcript:SECCE5Rv1G0367930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTPSNAKKAMEDREEKPKVPSTDPDDNLDDLAAGQRQPQLQREHQAPNISEMKPVTREAYGGGMYAAEEGRREPGKPRASATQSADGPEQPVAKPRHPPPPSTGDRDLDITGMSYIQ >SECCE5Rv1G0344480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:645510949:645511722:-1 gene:SECCE5Rv1G0344480 transcript:SECCE5Rv1G0344480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTGARRPRCALCGAGADVHCHADAAFLCAPCHAQVHCTSSLASRHRLTRAPPVPSHVRAEDLARAMDLDPWVARLRAAAAGRTRVPPMPNHVRAEAEVPARAMGLDPWAARLRAAGAGRTRVPPTSEVRSRCWAALQLLARRVGLDAGAARLRADAAFRTLRLEFATAPRMPLRVAMAAALWREVAADGGVHDPGCALQRLAAWAHVPASFIVAVAAALGRARQVRTAEVDFEEDSADYAIVSPRRTPQELCLFV >SECCE4Rv1G0247100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:552872320:552877832:1 gene:SECCE4Rv1G0247100 transcript:SECCE4Rv1G0247100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGRSLLYGLLVLALLCGGALAAPPTRYLSVSLDQVVGSKAQAQCYDPSSFSGEASGNKFAIHPSCGGADPAGRKRDILSHDRARLSTIRQRSYPWAMPPMAMPPMLPTVAFPPMFFPPNPAPSEGMPPAPAVAFPPIYFPPAPAPAEGPSVTIPDVPGTSGFNISEFVVVVGFGTPSQPSALLFDTGSDLSWVQCQPCTGHCYQQNDPLFDPTKSSTYDVVRCGTPECSAAGGMCNGTTCLYMIGYGDGSSTSGALSQETLTFSSSRTFSSFPFGCGTSNLGDFGSVDGLLGLGRGQLSLASQTASSYDGTFSYCLPSHNMTRPGFLSIGAAPDTGKVQYTAMIKKPQYPSFYFVELASINIGGYILPVPPSVFTDKGTLLDSGTTLTYIPAQAYALLRDRFKFTMKGNKPAPPFQELDTCYDFSGQSAIFIPGVSFNFSDGAVFELDFFGIMMFPLADQPAIGCLAFAATDPTDQFSIIGNTQQRSAEVIYDVAAEKIGFVPFSC >SECCE5Rv1G0319810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:379109034:379114464:1 gene:SECCE5Rv1G0319810 transcript:SECCE5Rv1G0319810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKREIVAGEVEDLQKKTRAGEGETTREEGDAAMAGRGNEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGNVELWDLSGNFFLSENDVGQNRAQACVQKLQELNNAVLVSALTGDLTKEHLSKFQAVVFTDISLDKAIEFDDYCHSHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVIFSEVHGMTELNDGKPRKVKNARPYSFFLEEDTSSFGAYDRGGIVTQVKPPKVIKFKPLKEAMSEPGEFLMSDFSKFERPPLLHLAFQALDKFRTELSRFPVAGSTDDVQRVIEYAISINDTLGDRKLEEIDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVDPLEPGDLKPKNSRYDAQISVFGSKLQNKLEEAKIFMVGSGALGCEFLKNLALMGISCSQNGNLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMVINPKLHVEALQNRASPETENVFNDAFWENLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPTTYISAARTAGDAQARDQLERVIECLDRDKCETFQDSITWARLKFEDYFSNRVKQLTFTFPEDSMTSSGAPFWSAPKRFPRPVEFSSSDPTQLSFILAAAILRAETFGIPIPEWAKTPNKLAAEAVDRVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEEVSRTLPSGFHMNPIQFEKDDDTNFHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALAGGHKVEDYRNTFANLAIPLFSIAEPVPPKTIKHQELSWTVWDRWTVTGNITLRELLEWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDRKVVDVAREVAKMEVPSYRRHLDVVVACEDDDDNDVDIPLVSVYFR >SECCE3Rv1G0148730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20208786:20209607:-1 gene:SECCE3Rv1G0148730 transcript:SECCE3Rv1G0148730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEFDPIIQEHVRRITNEETDAHYLDHKIQNELLHLLASCIRSEIIKKIKRSKYFSVILDCTPDASHQEQMSLIIRYVDSSSSQVCIEESFLGFLDVNDTTGQGLFEVLQNELKLLDLDINDVRGQGYDNGSNMKGTHQGVQRKLLNVNPRAFYSACGCHCLNLTLCDMAKTCGRAKDFFGIIQRIYTIFANSTKKWHILKENISGLTIKSVSATRWESRVESVKAIRFQCSDIREALLQKIYQD >SECCE2Rv1G0101940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:571948063:571948541:1 gene:SECCE2Rv1G0101940 transcript:SECCE2Rv1G0101940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIITKSNSSTPMSRSSAPLVGLDEQPPLPLMVCPFCNNGMVQWWVSRSTNNPGKHFYKCEHEWTRKCNFWKWEENYINVIRAKWPRLFTAASRQDRNFHRIIISLLFINLLAMFFLCCKVA >SECCE7Rv1G0492940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:559941179:559942061:-1 gene:SECCE7Rv1G0492940 transcript:SECCE7Rv1G0492940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGIPITSEKIATVVKAAGIKVEAYWPALFAKLLEKRSVDDLILSVGSGGGGAPAAAAAAPAAGGAAAAEEKKEEKKEEAKEESDDDMGFSLFD >SECCEUnv1G0564710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:386377170:386377616:1 gene:SECCEUnv1G0564710 transcript:SECCEUnv1G0564710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAFKFIIKNGGLTTESNYPYTAADDKCKSGTNGAATIKSYEDVPANNEGALMQAVASQPVSVAVDGGDMTFQFYKGGVMTGSCGTDLDHGIAAIGYGKTSDGTKYWLLKNSWGTTWGENGYLRMEKDIPDKKGMCGLAMEPSYPTA >SECCE2Rv1G0126490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:846582115:846585332:-1 gene:SECCE2Rv1G0126490 transcript:SECCE2Rv1G0126490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAGTPCIQVLILLFLSPFCRSDDRLSPAKPLSSGDTIVSKGGDFALGFFSPDGSNASLYLGIWYHNMPARTVVWTANRNDPIAAMSSPTLAITNSSDLVLSDSQGRTPWAVKNSITGMGVVAVLLDTGNFVLQSPNGTSIWQSFDDPTDTLLPGRIVLSKKGDVIWRLIASKGLNDLSAGVFSMSLDPNSDLQFFIWNGTRPYRRLSIFNGEMAVGSIYQNTVLYEAIVRTGDWFYYDFRVSGDSQYAHLTLDYMGVLTLSLNNRSSWTTITALAASCNIYASCGPFGYCDYTAAVPTCSCLDGFEPSGPNFSSGCRRIEELKCSKQSHFVTLPRMMVPDKFLRVQNRSFGECMTECSNNCSCTAYAYANLSTNDAMADQSTCLVWTGELIDTGKYSGYGENLHLRLAYSPVQKNSNLVKIVLLTLACVLILACIALVSICKYKGTRQEKEIHKGLMLGYLSSSSEMGGEHVEFPFVSFEDIAGATDNFSEFNQIGSGGFGKVYKGTLQGDKEVAIKRLSKGSAQGTEEFKNEIILIAKLQHRNLVRLLGCCIYGDERLLIYEYLPNKSLDTFLFDDTRQYVLDWSTRFKIIKGVARGLLYLHQDSRLTIIHRDLKPSNILLDSEMTPKISDFGMARIFGGNKQEANTTRVVGTYGYMSPEYVMGGAFSVKSDTYSFGVLLLEIVSGLKITSPQLVMNFVGLTAYAWRLWEDGKATELVHDSIIESCSLDEVLRCIHVGLLCVQDCPNDRPLMSSVTFMLENESALLPAPKQPAYFPLRSLEPGKIRGNSMNIVSITTLEGR >SECCE4Rv1G0270160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:753677507:753680641:1 gene:SECCE4Rv1G0270160 transcript:SECCE4Rv1G0270160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSKPHSSGCAVAGAEEENLSVPRCPAPPRRVALVTGITGQDGSYLTELLLSKGYEVHGLIRRSSNFNTQRLDHIYHDPHETPSAARPPMRLHYADLSDSSSLRRALDHVLPDEVYNLAAQSHVAVSFEVPDYTADEGCANTLRLLEAVRLSTKPMRYYQAGSSEMFGSTPPPQSETTPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLLNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVQAMWLMLQQDKPGDYVVATEECHTVEEFLQAAFGYAGLNWKDHVVIDNNYFRPSEVDCLQGDSSKSRKLLGWKPKLGFQQLVEMMVDNDIQLAKKEKVLVDAGYRDT >SECCE5Rv1G0344410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:645254649:645262437:1 gene:SECCE5Rv1G0344410 transcript:SECCE5Rv1G0344410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEVAESCGSHAAAAGGGGGGGGGGGGGGGGGKAGSSSPPGGAGAQSRKQQQQHHHKLEVYTEVLARLHAAEERAPGFDDELWSHFNRLPARYAMDVNVERAEDVLTHKRLLELAKDPAQRPAFAVRAVQVSPILDGNQTDADSHTAGEEVASRLLNRQQSMHPPPAFGSSTNLEALALEASKSQGQDQDSTSDNVRSLYRPMHEITFSTIDKPKLLSELTCLLGEIGLNIQEAHAFSTNDGYSLDVFVVVGWHAEETEDLIEELKKEISKIEETQAWSTSHSWSSPVENMQIVENSTADHVAIPTDGASEWEIDIKLLNFGNKVASGSYGDLFRGTYCSQDVAIKVLKPERVNVDMQREFAQEVYIMRKVRHKNVVQFIGACTKPPRLCIVTEFMSGGSVYDYLHKHKGIFKLPALVGVAMDVSKGMSYLHQNNIIHRDLKTANLLMDENGMVKVADFGVARVKVQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGILLWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKNAHGKLTELLQKCWQQDPAERPDFSEILEALKKIAEEVGDEHDGKHKDKSLGGFFSSLRGRGH >SECCE2Rv1G0066240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:13276987:13278279:1 gene:SECCE2Rv1G0066240 transcript:SECCE2Rv1G0066240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVAVRKSSTVLVRPSPEPVTATVNVNLSPLDKNVTSVPAAVFMVFDRRIHEPAATIEKALSQALAHYLPISGRLAAGAEKGEFHIRCTGEGVPFVAAAADCALKDAELFGRSAAGALLEELAIYYPAEHCGLGDPLLMMQVTEFSCGGFVVGVTWNHVVADAAGIGQFLQAVGELARGLASPSVAPVRWDDALTAVPPPTDGFVELMMTLQPADMAALDVTVPWGLIDRIKASSNARGSSNRPPCTTFEAVAALLWQCRTRAVGLSSSPEEPVALYFAVNARRHVGARRGYYGNCVTGRVVVAAAGAVAGGDLADLVGMIQRAKSQIPEQFDDGGKKGAGADRRGVAGLAVGGYNVLIVTSWRNLGLDRADFGGGPPARVTTYAPGRVRAPNCVPCPGNGALGSSVMAACVREEHAAAFLGELSSMSN >SECCE7Rv1G0526240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894341178:894349505:1 gene:SECCE7Rv1G0526240 transcript:SECCE7Rv1G0526240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLLLLRLSIFLMPLSAAATAQLCGSGGNYTANSTYQSNLAVVAATLPNKASSSSLLFATATAGQGSDVVYALALCRGDTVNATACRDCVAASFQEAQRVCPYHLGATVYYDDSEQQQQTCILGLSSDDLLVPSITENDTLFQSFQNDSFFGDPGTVTPDGGSPPRSWTPLALLRGRSTLWHSARRIVCPLATAWRASSGSSPWSTPPRRCAWEDRSSCCAATSGSIEVNMFYQGQPMRRVTPSSMAPMPPVSTTTTPAATTRKRHGIKPWVISISVAAPVALVAFCFIVCYPRLRRRYRKGQVRLREKRHTHELQGGDEHVWKMEAEFSEFSIFEFHQILEATNNFSEENKLGKGGFGPVYKGHFPDGIEIAVKRLDSHSGQGLMEFKNEVELIAKLQHMNLVRLLGCCSQAEEKILVYEYLPNKSLDFFIFDNNRKALIDSNKRLEIIEGIAEGLLYLHMHCRLCVIHRDLKPSNILLDNKMNPKISDFGLAKIFSSNAEGIITRRVNHIIAHITLFYHFSGYMAPEYASQGIFSIKSDVFSLGVLTLEILSGKRNSGSHQCGDFIYLLGYAWQLWKEGRWIELVETSLLPNFHSTVMMRCINIALLCVQENAVDRPTMLDVVAMLSSKTMNLPEPKHPAYFNLMVGNMQSSTTTVPCSVNDVTISATTPR >SECCEUnv1G0547020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:166526119:166527717:-1 gene:SECCEUnv1G0547020 transcript:SECCEUnv1G0547020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIGTGMLDRGKRAVEWPPPAAAAKKPCCCHRDPSVPEISSLLSSLSVAKRHSPAAAAPLSKRPRYDLDARMRGITHHQTPIRSRSVAALAFPSSATHGSASAAGLEISGETTTDDMEEEEEQGPDWAGFLPELLGLICGRLPLADVPRFGAVCRHWRSCAFPVYPADAAPVLLCATLTAAGAVRCYSPHLHRTFVLAAPPLPEGGRVFSAAAGGWVLLDTPSRTVVFANLLDGSVHETPKREGAGQGFMLSAPRPRPRRHHGDDNGRNNNNNNGGNDFCLDVFAVRAVLGAVRVESWGGAGGGWKSVEEQSGFRMSSCCSPVMHKGMLYCLGEEGALGVYDPGEATWSALPMPAGFGPELAYKNCHLVDSGPELLAVLTGSSGAPPIHVLRLDEAKMAWKRVKSLGGRSLFTGTTSSVSVARPAVAESMADKVYLPRLYGRPQVIRAELAASDGRLFFVAKAAEQEEQGSATASGDGGPWCYDMESDSGGQFLGSKTLLQHFWAHLGPAASPSPEDDDDDDDDDDGMVID >SECCE6Rv1G0387410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:112454852:112455421:-1 gene:SECCE6Rv1G0387410 transcript:SECCE6Rv1G0387410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIDVDEFIFSTYWIGLEKPSKSLLEPVISVDDSVGQIYLPCYDFAPSGQTAHPPEGVCQGYTCRLKNPQRHKSLVRLNAVEPSLMNVVHHFKLKPGFKSIWTAFTRINHYKYQAWSEFKIKFKRRVSAYVADWKDPINLDSKDRAPGLGVDDVEPEGWAQKYCEVKDNILQLLTARWFGVGFGNPPH >SECCE7Rv1G0514320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:832051144:832051407:-1 gene:SECCE7Rv1G0514320 transcript:SECCE7Rv1G0514320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKGAAAAAVPEKEAPASSYFKKTVDEGARLVELAKGQYRQFSEVQAKEQWACIKNKVNSMLGEPIFGGSKGDSSSSTPPSVESQ >SECCE5Rv1G0358750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756995638:756997987:1 gene:SECCE5Rv1G0358750 transcript:SECCE5Rv1G0358750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRHHSIQLSAGPGDDGLMSSELYVAVCRGKKEEAMALLLHAQSHAADQVSGIHQVSVERNNVLHLAADQGHDELIRELYASFGDKSLLSSPNSALDTPLHCAARAGHEMAVSLLVQLTMDTGDQSILCCKNEAGDTALHLAARLGHGGAVEAMVSAAPGLASEVNNVGLSPLYLAVMSKSAPAVRAITTRCSDASAAGPSSQNALHAAVFQGPEMVSLLLDWKPSGPSLASKADGTGSTPLHFASSDGDRSIVGAILRVAPHVVRMRDSSGLSALHVAAGMGHTHVAKALMEACPDAAELRDDRGGTFLHAAARGGHSRVVSLAMKKPTLRSLLNTHDGDGNTPLHLAVAACAPSVVEALMWRGELSADVMNNEGHTPLDLAARSTSFFSMLALVVTLATFGAQSRPQRRDHVEQWRGRDIAQGIEKMSDSLAVVGVLIATVTFTAANNVPGSYEQADGTAPDKRVFKGMAVLQEKILFQIFLILDSFALVTSVLAVVLLVFGKASRSAGSWKSFAAALHCVWVSLVSMFIAFYAALAAVTSTEALYRIIYHVIYIGFALLYGTVVTLIVPVSACTLWKALWCTVLKGRHNVLGRRLKQQYPVARAYAPHLILFMATNYVAIAGFVAVSVLSEQAAREARHPGAPAPAPAPVLLS >SECCE3Rv1G0181830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:619149848:619156142:1 gene:SECCE3Rv1G0181830 transcript:SECCE3Rv1G0181830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAANWCYATVAPRARSSTIVASLGTPAPSNSSSFRPKLIRNTPVQAAPVAPALMDAAVERLKTGFEKFKTEVYDKKPDFFEPLKAGQAPKYMVFACADSRVCPSVTLGLEPGEAFTIRNIANMVPAYCKNKYAGVGSAIEYAVCALKVEVIVVIGHSRCGGIKALLSLKDGADDSFHFVEDWVRIGFPAKKKVQTECASMPFDDQCTVLEKEAVNVSLQNLLTYPFVKEGVTNGTLKLVGGHYDFVSGKFETWEQ >SECCE2Rv1G0105090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:614384728:614393452:1 gene:SECCE2Rv1G0105090 transcript:SECCE2Rv1G0105090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILMSCVTSKTLVPCTPEVSQQQRHTCISVSAHRRQIRISLTMKSWGTICTVPPMRIRGATRIAAIGSNPRELPEEKAPLPNEEAAISSDPGELPGKSSTSNKSSLVTMVVGGVFGAVSFYGQMRAMEENVEKTAEVAIETIEKAADVVDKIADEVIAFPGNESLKKAAFRIKAIAEEIEKDAEEAEALIHKVEEIEKEVDAAVDSFMGKGMKKGSQR >SECCE2Rv1G0100550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:543337530:543338591:1 gene:SECCE2Rv1G0100550 transcript:SECCE2Rv1G0100550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLTLGRNNIILKNILEPVDSVCVEQEVSSALWQSDRGGAGERKAGMGSQVMLVAPSKTGQLVSGGVTAANAVDGRNTTHTGSDRQETAGSSDLCSDHMAFVDGAKERKVRSAHTYAPSSLQRGEVAMGRVASPSPVTVGDGANRGEHVPAAERKYARVGVAEAASVGKDIRVLTLAIHSPSRSLPVDAIAGHDNTVSPAMKAGASGKVSAIDQETDLHSPRVPFGEHIPSSTLQGNPIGSSLSSTSSSSSSGTNGEHHLYDIGVAAALAMDTKELRSEIATYLEQEANREQRPRRRARQRNAPAPPVKFSLRLTAEEAMEDVAAVTLAKDVKLEEAEGMPARRNPKRRRH >SECCE6Rv1G0411710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:609683777:609686835:1 gene:SECCE6Rv1G0411710 transcript:SECCE6Rv1G0411710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEYNRQVSAHPWPTNAQPKAAFDLFSSSSSGNGGRRRSGADSDSDDEDGIPPDWRSLYSPRLDVEPSVKDPRDEATSDAWVKRHPALVRLTGKHPFNSEAPLPRLMSHGFITPVPLHYVRNHGAVPKADWSTWTVEVTGLVKRPVKLTMEELVTGFEAVEFPVTLVCAGNRRKEQNMVRQSSGFNWGPGAISTTVWRGVRLRDVLRRCGIMGAGVASNVCFEGAEDLPGGGGCKYGTSLRRSVAMDPARDVILAYMQNGEPLAPDHGFPVRVVVPGFIGGRMVKWLKRIVVACNESESYYHYRDNRVLPSHVDAELANAEAWWYKPECMINELNINSVITTPGHDEVLPINALTTQKPYTMKGXXXXXXXXXXXXXXXXXKKKNSIVTQLTSPVSYNCGGRKVTRVEVTLDGGETWQVCELDHPERPTKYGKYWCWCFWSVDVEVLDLLGAKEIAVRAWDEALNTQPERLIWNLMGMMNNCWFRVKINVCRPHKGEIGLVFDHPTQPGNQSGGWMARQKHIETSETTQGTLKRSTSTPFMSAASAQITMSEVRRHASKDSAWIVVHGHVYDCTGFLKDHPGGADSILINAGSDCTEEFDAIHSDKARGLLEMYRIGELIVTGTDYSPQSSNADLAAIVEAPAVVDPVVPPFSTIALANPREKVRCRLVDKKSVSDNVRLFRFALPSPDQKLGLPVGKHVYVCASTGGKLCMRAYTPTSSVEEVGHVELLIKIYFKDEDPKFPAGGLMSQYLDSLPLGAPVDIKGPVGHIEYAGRGAFKVGGERRFARRLAMVAGGTGITPVYQVIQAVLRDQPDDTTEMHLVYANRTEDDMLLREEIDRWAAAHPARLKVWYVVSKVARPEDTWEYGVGRVDEQVLRDHLPLGGDGETLALVCGPPAMLECTVRPGLEKMGYDLDKDCLVF >SECCE6Rv1G0441130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:809316461:809317795:-1 gene:SECCE6Rv1G0441130 transcript:SECCE6Rv1G0441130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAHALLLPLVLAALLHPILVVVVAVDNATIGRSGGFSLHLVPSPGWNSTIHVDGDGFLHLNEPIELDTNVLRPHVHPFQGRYTVATSVGTGHGRHTYTLAMEMTSSLTWMQCMPIQHPFQQVPPPFKPATSPSFHPLAPTNHICSPPGHSVCKFHATRTRPGGSQASGVLGAETLSFAASGHGAMLAVIPNVIIGCAHTTTSFKSHGVVAGIFGLGKTYPSLIWFLRRGGLHRFSYCLFVPGSANQHGFLRFGNDIPVDTRHMRSTKMLYPEDSSYYVNLVGVSVAGTRLHGNLAEVFRRRQLDDGKWHSGCVIDAGTPWGVMVKAAYDILEHTLAEHGRRIGVTRVPRTNFGLCFRATRAILSQLPTVTLHFEHEEDLVLSPNKLFIAREQDICLTVSPSTHITIIGAMQQLGTRFIYDLAAGRVYFAPENCNADTGGQD >SECCE4Rv1G0267130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734585077:734588604:-1 gene:SECCE4Rv1G0267130 transcript:SECCE4Rv1G0267130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHLRAHAFAANPLRGLAGARSSAAISPSAAAEALRALLDGADASAHHHLSRVLPFRRGRPLARSPEPPAPSSSPGPPPPSRLAWLPPSRVPGVPSDAFVFLGSHGGEGAAAYWAVDVSEVEGARFGGAGEESAFVDLRTLMVAADWRDTDAMGELAVAGHARALLEWHNTAKFCGACGAKAVPTEAGTRKQCSSDSCKKRIYPRVDPVVIMLVIDEENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGVEVGQVIYHSSQPWPVGPNTMPCQLMVGFFAYAKSLDIHVDKKELEDARWHSREDVKKALTFAEYEKAQRSSALKVNQICKGAERGQSTSSDPSAGSEEPAPMFVPGPYAIAHHLISSWAFEGAPKVPSSFSNL >SECCE7Rv1G0518970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:862922159:862922638:1 gene:SECCE7Rv1G0518970 transcript:SECCE7Rv1G0518970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVPGESDAEGLSSPLCGWVNGPIVLSAGPAGIAVAEMLREQGVPFLLLGRNDNCIASLWQRRVYDRLRLHLPRQPCQRGQLADRPEICPAKLDIEQELRGGRSAAPCAPRPWPAPRSSSRPPRAGRPWSGKLWGRGDRDPRQRAPVRDVRRSSRPA >SECCE5Rv1G0325330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:464299058:464301556:1 gene:SECCE5Rv1G0325330 transcript:SECCE5Rv1G0325330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIPSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHVIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRLGYHCDLLTRGMGGAEMESRKAEEWASQLQCWSLQLINCFAFKPEFLHDICKPEFLAKLPGMWGGLVNENSPAGVGLLRTICQSKLGRGHVANISGVIEALCNIARSSDDWQYMAIDCLLWLVQDPSTYHKVIDKIAPTMIDLADISTLGDYKRLGDTIVTVLQECMQQNGNSRNSISSHTKEEIDELLSFKQKLKSEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNIEGAAAKYSEALALCPMKSKKERVVLHSNRAQCYLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSSDPDLSLRQNKVPDYAERLVKKQMRAAWLFRESSLKHGGIHCEGDASDAFGQEADDSEWETVSESDGEGDERREADDETEWKSGGHREDAYEKS >SECCE2Rv1G0082830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:157189366:157189737:1 gene:SECCE2Rv1G0082830 transcript:SECCE2Rv1G0082830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVALNVEVGELDTGPETQGHRSVEWLIFAVGFTTACMAVAAALYKAPTGIFDGHKLAYYASVVSAGAAGLAEAVAAMAWISDALAGSEHARGGQARRCVLYASFVPLAFMAGIGGVRILLR >SECCE2Rv1G0097070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:452504036:452506561:-1 gene:SECCE2Rv1G0097070 transcript:SECCE2Rv1G0097070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MPHLAAPASPPAAPNLMSDAREAASYSPPLESGCRKTVVVVMGATGAGKSRLAVDLAGHFAGVEVVSADSMQVYRGLDVLTNKVSLHEQNGVPHHLLSIVDPSAEFTCRDFRDHALPIIEDILDRGGLPVVVGGTNFYIQALVSPFLLEDMSEDMQGCTLSDQLDDIGLATDDVRSGYEHLKEIDPIAAQRIHPNNHRKIKRYLELYATTGALPSDLFQGEAAEDKWGRPTSSRFDCCFLWVDAELHVLDNYVNERVDCMINDGLLDEVCNIYNPGAVYTQGLRQAIGVREFDEFFRLYFTKKEPNEIKACMLDLHDDKLKSLLDEAVCQLKANTRRLVRRQRRRLNRLNKDFGWNLHHIDATEAFQCTTGASWHVKVIKPCVDTVRRFLSNDTSLASKDCSSDGGGTRLASRELWTQYVCEACDNRVLRGAHEWEQHKQGRGHRKRMQRLKRKSKIKSTSSEAEDGIPFRSIFSLMQETGEGDR >SECCEUnv1G0561810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:358957053:358958328:-1 gene:SECCEUnv1G0561810 transcript:SECCEUnv1G0561810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEALSMSGATAIPAEYVRPQEERQGLGDAYDQAAASWSAAGSPRIPVVDVAAFDAADPSSPSSLAVVHAVRAAAEEWGVMHVAGHGIPEDLIDQLRGVGTDFFRMPIEDKEAYANDPAAGRLEGYGSRLAGSAGEDGKREWEDYLFHMLHPDARADHALWPAHPPDTRLLAILSLGLGVPADTFERRLRLTSGQGEAREEDDLLLKLKINYYPRCPQPELAVGVEAHTDVSALSFILTNGVPGLQVVDSSGAWVTARDEPGTLVVHVGDALEILSNGRYTSVLHRGLVNRQAVRVSWVVFAEPPPDSVLLRPLPELVQGDGAQTPRFEPRTFRQHLERKVLKKTNDQQEEEEVKKPPVAAQEEEAMKTPVTAQEEEKEKATKPPVAGEEEHKVLKEEAKMAPVAANLVEVN >SECCE4Rv1G0291480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876709549:876711553:1 gene:SECCE4Rv1G0291480 transcript:SECCE4Rv1G0291480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGSSKRMWVVDVEKKLKEADKSAEVSRWERHCIYRVPPCMTNIKSKAYQPQVVSLGPFHHSDRDLRPMEEHKHRALRQPLDDFVAAVEEVVEELEVAYMDLDSEWRAGSRDRFLAMMIFDGCFLLEVMRCTAADGKQVGDYAHNDPIFSPHGILYMVPYIRRDVLMLENQLPLLLLQKLVQVESGKPPNDDFINRMVLKFLAQSSGTLPAGIGLGLHPLDVFRRSMLTGKHHKIRSPQDIQDDNAIIRSAVELYEAGIQFKPSKTLSLHDIRFRRGTLSMPTVSVDDSTEYMFLNMMAFERLHAGAGNDVTGYVFFMDNIIDSAKDVALLSSKAIIQNAIGSDQAVAKLFNTISRDVVLEPNSALDAVQRQVNGYFRQPWNMWRANLIHTYFRSPWAFLSLAAAVFLLGMTVMQTVYTVLQFYGGDSNSPPPSAPSPM >SECCE2Rv1G0100250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:537770501:537773838:1 gene:SECCE2Rv1G0100250 transcript:SECCE2Rv1G0100250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADQISTGRKRSIHDRLDADLPSDRGGADAAGRARHALSKRQRHTDDKWKHDLYRDDESASRSVDPRDLRFKLQRKSSQQGFAGQKGSGVRDLREMLSGTMHAQPSNVDPQKRKPVSEVVKVTRRENVDERPVRQSKKVSKPSTSKKTSQPKAESPLDSFLKSLGLEKYSITFQAEEVDMAALRHMTESDLKALGIPMGPRKKITLALESRA >SECCE4Rv1G0234490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:286414479:286420581:1 gene:SECCE4Rv1G0234490 transcript:SECCE4Rv1G0234490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP23 [Source:Projected from Arabidopsis thaliana (AT1G26940) UniProtKB/Swiss-Prot;Acc:Q8LDR3] MEEKRKMAGLFRHIAAALLFLVTATSTAGASTYYASDPNLGSARVVFQLNHGDIEFGFFPHVAPKTVEHIFKLVQLGCYNTNHFFRVDKGFVAQVADVMGGRKAPMNEGQERQAEKSIVGEFSTVKHVRGILSMGRYSDPDSASSSFSILLGDAPHLDGQYAVFGRVTKGDDTLRKLERLPTHKEGIFVMPIERIEILSTYYYDIDVESCEAEKSILKRRLSESASEVERWRRKCFA >SECCE6Rv1G0407700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:562310257:562311694:-1 gene:SECCE6Rv1G0407700 transcript:SECCE6Rv1G0407700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADLKWMMLDRFAFRRDDAESFLDEDAAPFTIEGDISPDDMFSIAFRIAKPPVTSRMYLKWKCGPKAGPGCIPVAAHRGVLLLRFTPEPGKLGFVEHFFICRSRYDPLDSSPFALERIPFCTTICHDEEGTEIAMRRLFGVETVGVLSRNEDFAIAQLVIRRPLVKADKMEADLCVLRSKSNTDHTWEIEEGLPILYEADEICDLKAWSTDRVVPFNEYLCWVNYGIGGVLFCEVFKERPKISYLRLPIHNRRLRQRRVLDRKCSVCLTKGYFGAHELTFVDVDREDGYLVGPLSPETGFTIAYHVLRKTGCSGMKWDLLFFLTSAELWDLNKSLPHEVLTFPHVSMDEPNVVHFLLSQPVSHQLDKVSVVSIDMVRRKVLSVVPYIEENDIQGKDSQMVLRASSYLQSFLPSELPKFVDPAWLRTRTSCCYFR >SECCE5Rv1G0302390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:38357285:38359511:-1 gene:SECCE5Rv1G0302390 transcript:SECCE5Rv1G0302390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEATDVGMRRPAGCCGGGVFPEESFASWGAYGRALLETGPRLRDRLTARSAVDVEVHAVRGRSGADMRRELNWWDLAWFGVGAVIGAGIFVLTGQEAKEAAGPAVVLSYAVSGVSAMLSVFCYTEFAIEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYCIGGAAVARSWTSYFATLLNHHPNDFRIHATSLSEDYSRLDPIAVVVITLICLFAVLSTKGSSRFNYILSIVHFAVIIFIIGAGLSKAKLSNFTADFAPYGARGIFAASAVLFFAYIGFDAVSTMAEETKNPAKDIPVGLVGAMALTTVVYCVLAVTLCLMQPYRDIDPDAPFSVAFSAVGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMAPPCLAVVSPRWGTPVRATVTMLTATAIIAFFTDLGILSNLLSISTLFIFMLVAVALLVHRYYASGETTAANRNKLVSCAAVILAASVGTAVYWGLAVDGGWAAYVVTVPAWFAATLYLQLGVPKARVPKMWGVPLVPWLPSASIFINIFLLGSIDAKSFMRFAIWTVALLVYYFFVGLHASYDTAKVLAAEAAAARVEEGGHKAVEIAGASN >SECCE4Rv1G0275670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:794839714:794840406:1 gene:SECCE4Rv1G0275670 transcript:SECCE4Rv1G0275670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKSICKKVTLKYIANNSTRRARFGRRLVGLMKKAAELATLCDVRTCVVVYGEREAEPKVFPSYTEAVDILNEYKSMPELGHCKKTMDQEAFLTQCIAKLRDQVDKARRECQDSEIRYLLYSIMHGNHPGLVGLSVEELVRIGWKVDELLKSLGERMAKNHVQAPPSSPYASTGSIVMRTPALYLASPQQQEGWFDMMSSGGDVDTQVSGNASHDGSGFSNGDMMMQM >SECCE5Rv1G0305390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:71996046:72001512:-1 gene:SECCE5Rv1G0305390 transcript:SECCE5Rv1G0305390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASMVLPQQPAGYLETPVTESFIHKLQLSVSKGLPHAAPAPASRTDEHELVKSVFQVLQGFDTPLLYWDKNVPAYCEKPGTYVSHLSRASLGSVLKPFLFAATCLKRVELFVGKVRSCGHRTPTLSAFASAVDSWLVRLREAALKEEEKSFVSVDRTVTLLGLTDSMSSLCSGAEHLYQVVLGAVPDGFWGSGANMTSSEVAVHVVNHIFKKLNEVCLVEDGEGEPYHMLLVIFAGSLLPYLQCLDSWLYDGILDDPYEEMFFYANNAVTIDQPAFWEMSYMLRVRGSRSENSSTQTDSESIRTKESSKQEPSNAGACLKASNQGYVDILCPVFLKDIARAIVSAGKSFQLVQHAQSTHHIRTHDGTNGFDIDQRSNHSSRQNWPDILSSEIQNGHRRCEDALTNSTGQFGHDAREMGVLTLSEIFLICLSGLLENGDHVYEYLRKLRAGSAPDVQAFLECKSDTQGMEEACAENCSEKTWLKLLRDAISGRKYDGMEKTLTKDAVTRDPIFVHGYLEDVSPNAVETPFSPCCYENPAITACGDVLRSNPNSWSDLNISTSFDLPPLNDDSMRRAIFGDCQSAGTSTCADTQSTPSFPRLDGTDFKFGFQFDDLEYIRQEDDRRTLEELYAFPTLLPCASENVPLSEILPLQKDSTLASRVLKFIQSMSLKDPLHPVGIIQECISKCIKKQVDHIGKQILCKLMGEWRLMDELLVLRAIYLLGSGDMLQQFLITIFDKLDKGNSWDDDFELNTLLQESIRYSADKMLLTAPDSLVVSLAKHDTRYDEDSAPTSRKGRAHGFGIDALDALNFTYKVSWPLDLIANTEALKKYNKVMGFLLKVKRAKFVLDETRKWMWKGRGSTAPNFKQHLIVGQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNVEQTLGTGGTAASVRARCEMELDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDTGSFTAIPGSRPRQQP >SECCE4Rv1G0290110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:870635849:870636627:1 gene:SECCE4Rv1G0290110 transcript:SECCE4Rv1G0290110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLDRWLHMDVHASQQLHGLTLMQRLNIAVDIADALDYLHNNCEPPIIHCDLKPSNILLNEDLVAHIGDFGLAKVLSEPAAEQLINSKSSIGIKGTIGYVAPEYGEGGQVSSCGDVYSFGTVILELFTGIAPTHDMLRDGLTLHKHAKNAFTGMLMQIVDPVLLSVEEANVTTLQDGSNTMEHGSNAILSVMKVALSCSNHAPTERMCMRDAAAAIRRITDSYVKNKAN >SECCE5Rv1G0358680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756676495:756677843:-1 gene:SECCE5Rv1G0358680 transcript:SECCE5Rv1G0358680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPAIACTLLLLLHLNVSPVESSRPQGLGKKGRHQPGQGSHHDHNGHSGDDCHQRDHSDDYNGYSFYVFGDSFADNGNLVKMNPKSELNRQWRYPYGLSGRFSNSLVQSDLIAYMLGQKKSPPAHRLTRKVGRAGLNFAAGGSGVFDVPGTQTLARQIHTFHKLVKDGDIDQERLASKSVALVAVSGNDYAGLPDDTKSFAEVEDFAKKVTAEIVANVRRLQGIGVHKLLVNNLHPFGCSPSHTRPYNHTHCNERLNLAATLHNHHLADQLAGMDDVLVVDLNAAFSNVVRHYNDDDGGGDDNIIPILFREKLAPCCESTDPKGYCGQVDEETLEPLYKLCDNPNYFFFWDDMNPTMVGWQAVMGPLDHPIRSFLGLIK >SECCE7Rv1G0509550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:781814766:781815516:-1 gene:SECCE7Rv1G0509550 transcript:SECCE7Rv1G0509550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATFLLVLTVIALGSGHGAAFDPNPLQDFCVADTTSKVRVNGVPCKDPTAAVADDFFFAGVDKAGGGAASKRYGFSALTVQIPGLNTLGESHARVDVAPGGVFPPHYHPRAAETALVLEGSVYFGFVSSYPDNRLFAKVLRKGDVFAVPQGLVHFLYNNGSVPAAIYATLSSQNAGLVLLGDALFAGAIPDDLLAKSLLTDRHTVGSIRANFRRS >SECCE5Rv1G0307300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:109093467:109096020:1 gene:SECCE5Rv1G0307300 transcript:SECCE5Rv1G0307300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLTHAFLVVSLLILLYFFSSPRKDLNGHGHLKSIPSPPALPVIGHLHLLKKPLHRSLSALAARYGGGRDGVGLLSLRFGARPVLLVSSAAIAEECFTVHDVALADRPGLASRRLLTDECPSIALSNYGPLWRDLRRIVTVHALSAHRLSLTSAVRATEIRAMVKRLYERAGAGAGATPVSVRATAYEFVVNVIMAMVSGRRMAEEDVRCFMALTEAGLAAAGSANRQDFLPVLRWLDFGRTRRSLAGIAKERRDFGQRLIDEYRRLNPRGEETLSAPRTLLGDVLRQQERSPESYPDVVIRTLCMVRADTSSSAIEWAMALLLCNPDVLAKAKAEIDATVGTSRLIQESDLEVLPYLRCIITETLRLYPLAPNLVPHEASRDCTVTSGQCVIARGTMVLVDVYSIQRDPASWDDPNMFMPERFLGGNVCGQGGKRMMMPFGMGRRKCPGEGLAWRTVGVALAGMLQCFEWGHVGEKVVDMSEGSGLTMHMSVPLVAVCRPRQDMDAMLKSL >SECCEUnv1G0548280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:182779129:182785148:1 gene:SECCEUnv1G0548280 transcript:SECCEUnv1G0548280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEAGNLEAVLKEVVDLENIPLEEVFDNLRCSREGLTAEQAEQRLQIFGPNKLEEKEESKLLKFLGFMWNPLSWVMEAAAVMAIALANGGNKPPDWQDFIGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKILRDGRWTEEDAAILVPGDVISIKLGDIIPADARLLEGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIGVGMFIEIIVMYPIQHRGYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDADGKMHRVSKGAPEQILHLAHNTSEIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFAGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQKNSDESISALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVILGGYLAIMTVIFFWAAYKTNFFPRLFHVESLEKTAQDDIQKLAAAIYLQVSTISQALIFVTRSRSWSFAERPGFLLVLAFFVAQLIATLIAVYADWPFTAIKGIGWGWAGVVWLYNIITYLPLDIIKFLIRYTLSGKAWDLVIDQRIAFTRKKDFGKEERELKWAHAQRTLHGLQAPDAKMFSEKGGYNELNHMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >SECCE7Rv1G0483280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:320226383:320227543:1 gene:SECCE7Rv1G0483280 transcript:SECCE7Rv1G0483280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAIIDDLLPEIFIRVPTPEDLARVAAACHSFHQVANDRAFTSSFRKLHAPPLLGIVDGTGFHPALSPHPSAPAGRAVANAPAKDFSFSFLPSPNRWVMKDARDGRVLLDRAPEDDKNAEFTEVVVCDPLHRRYLLLPPVPQDLEKANPICMAYGPFLAPPSDEEAAEAPETSFRVMWTARCEANMVAFIFSSSSGQWRAVGSRSWSDLFAVDIELSWGPCLSGRQYAYGFFYWETSFRGMWLVLDSRTMDFSLVDIPCGAGGAGLDTAIVEAGEGRLGMFAIEFVNGVTYLHYTIREADNHWKRKQTVSLRYGYHYLVLGATDAYVVLLKHAVRRSVEATDCECLSLDIKTLNLERLCSLKEWCVDAQIYTNFPPSLLSSPTI >SECCE1Rv1G0005730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:27944849:27945307:-1 gene:SECCE1Rv1G0005730 transcript:SECCE1Rv1G0005730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSPTLALKAAIIAAMLAMVILPSVGRSPLLDQELVTTLAPASGPARAMRCSECGPHCTETCRVSVPPECHMYCDVPSCEDCRSAVIGKCRAGCTTGGCNCDGEATRSCYGSCSGSSSCADCMRLTSEQCTSDCNGKCATTCTNKIKDGK >SECCE6Rv1G0449520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863110685:863113573:1 gene:SECCE6Rv1G0449520 transcript:SECCE6Rv1G0449520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGKSGGGGGVGDAAWRRGSARPRLLAVSAVAWALLLLAFHLWSCASPAAYSLSALCGKDSKVLRASDPTGPASKPLHRCSIPVADDPDAVVIPERTPNAIVKKLSYLTVDKRDKDSPPLFGGRQSWKQREESFKLNATMKVHCGFMKNSGADMDEIDVEYIQKCKFVVASGIFDGYDIPHQPSNISLRSQKLFCFLMVVDEVSLDFIEQNVTVKVDSAGGKWVGIWRLVTLHRPPFDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELMVDPLLILERYLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKIYYHEGMEPWEAKKRTPSDIPEGAVLIREHTTITDLFSCLWFNEVNLFTPRDQLSFGYVVHRLGDALRFFMFPNCEYNSLFILHRHTREHSSKVEWAKTLDEIVKKGLKESRGGLGLWTPYPADLSSVELPAVKRTSQAG >SECCE3Rv1G0149710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:28010019:28010426:-1 gene:SECCE3Rv1G0149710 transcript:SECCE3Rv1G0149710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSPTAKRKRSSSATAAPDPVGVDDVSDAEVEEFYAILRRMRDASRRLVSGGVAAATARATPAPRAPAWCPSFSWEDFAPPAPTPPPPSQQQQQQREQLLPADERVAENASPPRRPVPRGLDLNAEPEPEVQA >SECCE5Rv1G0367160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819568340:819569695:-1 gene:SECCE5Rv1G0367160 transcript:SECCE5Rv1G0367160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLSTQRLAPVPSANASANHQRALLPPSPLLRPRTNTRSSASARSAPRAVSPATPTTAATNDPDAGAQPDKWAEFAARVSGEWDGFGADFTVAGEPVELPANVVPDAYREWGVQVFDWQTQCPTLADPAAPGALHYRLVRLLPTVGCEADAATVHTSHQRHVSSATAFAYGGGAYVATWPKGPAAVLEVEHCICHPDNAEVRVRLVQTVALAKDEARLRGVKVFSEQWYGPYRNGDQLGGCALRESAFAAGERLAASEVVGQWESAARFSGALDPVTGKFAGLEPDREPRRTARDGAGVVTLLPKQLWSSLKVSGDGDVVCEVGWLLGHGSAVTSTCVLSRDGDVKEIAAAQETRVSEAT >SECCE6Rv1G0424360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:700057908:700061466:-1 gene:SECCE6Rv1G0424360 transcript:SECCE6Rv1G0424360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSAATGALKPVLEKLGALVGNKYRRFKAVRGDIKSLTHELTTMDAFLLKMSEEEDLDVQDKVWMNEVRELSYDMEDSIDDFMQSVGSQDSKPDGFLEKMKNSLGKMKARHRIGKEILDLKKQITEVAERNERYKAREAFSKKKNATIDPRALAIFQHASELVGIDEPKAEVIKLLTEGASTQNKLKLVSIVGSGGMGKTTLANQVFQDLKGKFDCWAFLSVSRNPDMMNILRTILSEVSGQRYTDTEAGSIQQLIIKITDFLVSKSYFIVLDDIWDVDTWHVLKLALPVASSGSVIITTTRINEVAKSCRSTPFIGDIYCIRPLDMVHSKQLFYTRLFNSEEKCPSYLKKVSEHILIKCAGLPLAIIAISGLLANTERTEGPWRQVEDSIGRALERNPSVEGMMKILSLSYFELPAHLKSCLLCLSIFPEDSIIKKKVLINRWIAERIIHTEAGYSTTYDLGERCFNELINRSLMQPGKTDSFDRVKSCRLHDTILDFIISKSIEENFVTLVGVLPNLTVGTERKVRRLSLQAAKQKELILPRGLGLSHVRSLDVFGISVKIPSMDKFRHLRFLDFEGCKQLENHHLENIGMLFQLRYLSLLRAEKVSKLPEQIGHLWCLEILDIRATSVCELPTSIVNLKRLLHLLVNDNVTFPCGISKLQALEKLKLVSVYSQSVNFLQEFGQLQSLKVLSLVFADYSYVGQVYPEDEPKKAVIVASLKNLGNLLSLSVWYGPELVEESLCPMPLSLRTFKALGSRIPHVPEWVGSLVNLQDLSLELVGAEQKDFCILGGLPLLQYLVLAPDESDESEIPEEWPEIIVSGEVGFPSLRVFASTMMTISLTFAPGAMPMVENLEISCYVTGGSLSTIKCGAFDLGIENLPSLNTIKCEIEGEDKTIIDAAMAAIREGANKHPNHPSVSFGYIDMYSR >SECCE5Rv1G0342560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:632946962:632951945:1 gene:SECCE5Rv1G0342560 transcript:SECCE5Rv1G0342560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSKLQSPLLPPPAGSEGEEGHGAASKRLESILSDESVPWARRMCAATAVEMRMLVRLAAPAVLVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGANKFDMLGIYMQRSTVLLMVTGIPLAVLYTFSRPILILLGESPEIASAAAIFVYGLIPQIFAYAANFPIQKFMQAQSIMAPSAYISTATLAVHLVLSYLVVYKFGLGLLGASLMLSVSWWIIVIGQFVYIVTSSRCRLTWTGFSLQAFSGLPEFFKLSLASAIMLCLETWYFQILVLIAGLLKDPEMALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVLSFILATIISIVILFFRDYISYIYTGGDDVAAAVSKLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGVPLGCLLGFYFDLGAAGIWSGMIGGTFMQTVILVWVTVRTDWNKEVGEARKRLNKWEGKAPLLAGQE >SECCE1Rv1G0007990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:43387909:43388650:-1 gene:SECCE1Rv1G0007990 transcript:SECCE1Rv1G0007990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRRTGWIAAGLLARLLMVAVLLMSVRFVLANYIHWDYTEGTYKLQSYTYVVASAVVGTAGSVLQIPVAMYLLCKSKRAIPSAMILDISMHADIVISVVLASGVGAGFGATNDVLRYVRAGRWEGRQQEKQDLINYFNRAIVPVVFLLVGMVLSICATVVSARLRARATNDPEGGA >SECCEUnv1G0532290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19735886:19736273:1 gene:SECCEUnv1G0532290 transcript:SECCEUnv1G0532290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAPIINMASLGSRAAGRRHPTVLQQIALFVVVAAVIMNSSVCVGAAGHDAAAVGTDPNRPAFPSPPGKPYTGGRGCRTIYGCRDVPPAGGQP >SECCE5Rv1G0327060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:489034090:489036474:-1 gene:SECCE5Rv1G0327060 transcript:SECCE5Rv1G0327060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLFLALLLSFSFQLCSCAPPWQIMTTGSYMTPEDHQRIFLISPDTSFSCGFYPVGTNAFTFSIWYTTVKTVVWTANPHSTVNGYYSPVNLYGSRISLKHDGNLVLTDNNGSMVWESKTSSGKHTIVSLLNTGNLVISDSGNDTVWQSFHSPTDTLLPWQNLTKDTRLVAGYHHLYFDNDNVLRLLYDGPEITSIYWPSPDYNALKNGRNRYNSTRVAFLDEEGNFVSSDGFKIEASDSGPGIKRRITIDYDGNFRMYSLDVSTGNWVVTGQAVIQMCYVHGLCGRNGLCDYSGGLKCRCPPEHVMVDPTDWNKGCKPTFTIGSNQPHEDFTFVKQPRADFYGFDLGSNQSISFKACRDSCLNSSSCISFTYKGGDGWCYTKDILYNGQVYPYFPGDNYMKVPKSSNGSASSISKQESLTCRPNGSVIMLGSANMYGMKKDSIKWIYLYVFIAILGALELFVIVTGWSLFFRKSSMPKSMEDGYKMIRNQFRRFTYKELNEATGKFKEETGRGGAGIVYRGVLEDKKIVAVKKLTNVQQGEEEFWAEVTLIGRINHINLVRMMGFCSEGENRLLVYEYVENESLDKYLFGERSTESLLGWNQRYKIALGTARGLAYLHHECLEWIVHCDVKPENILLSRDFNAKIADFGLAKLAKRDSANFNFTQMRGTMGYMAPEWALNMPINAKVDVYSYGVVLLEIVTGTRVSSGIIANERHMEFPDFIQETKQVLATENINDLVDARLKGHFDLEQANAMVRIAVSCLGDRSKRPTMDEVLKSLMSYDDEDEHPAYSY >SECCE2Rv1G0116990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:769039909:769043992:-1 gene:SECCE2Rv1G0116990 transcript:SECCE2Rv1G0116990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQAAGVTDYERLREENIRRNETILASLRSKADELSAAIKSSSKRGRGRLSNQPRKKPAAKTSPGELRRSLRLSQLPPSHAPDAEPTPAPPPVPRSTSFSSSLASSIIESASLAPPAKARADGFDAGKELVLTPANVRKVVPDRILGVRVLPLVDRTVVAAGNKLGNIGFWVVDGMVEDEDDDGVDGLFEYLPHRGPVPAIVAHPAAPQKIYSCSYEGEICLMDLEKESFNMIQLCDYPVYSLCQAPDSPSCLYFGDGNGELKLLDERMGKVSSTWDSHDNTINSIDFHPEKTHMLATSSTDRTARIWDLRRLKRKKEESLKVLKHNRSVQSAYFSPSGSMLATTSLDDTVRVFCGDDFDRSHIIKHNNQTGRWISTFKAIWGWNDTDLFIGNMRRAIDIISVGGDDSSLSASNSACLESEYMTAIPCRFSAHPYKVGHLACASSGGKVFFWTRA >SECCE6Rv1G0452730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879200871:879201383:-1 gene:SECCE6Rv1G0452730 transcript:SECCE6Rv1G0452730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRMLTSTTTLMVIMCLLILSLSVHSTTANCGCCISFAAKTCCSTCILAGGSDFACKNTCCFPCILADSVVAKMNEMGMVSKMEGLD >SECCE3Rv1G0178400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:503493317:503504659:-1 gene:SECCE3Rv1G0178400 transcript:SECCE3Rv1G0178400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGKPDPAAVPQKAPQPQPQPPVRGAIMRRVFPFLLATNIFIGVYVFAKTYKRDQDKKNAETAAAAAAAAALSAPPAAVAKPAEPAPPPKRVLPPLSEDEQRQVYKWMLEEKRKSKPCDAAEKNKINEEKALLKEIIRAKSLPRLW >SECCE1Rv1G0060540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710470400:710472816:1 gene:SECCE1Rv1G0060540 transcript:SECCE1Rv1G0060540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) UniProtKB/Swiss-Prot;Acc:Q9ZPW2] MESDGEEEAAATPTPGTAAAAPAAGRLKGCPELLVDGDMREMAKTAAWSVNSCKPGNGVASLRDDSLDTYWQSDGAQPHLVNIQFQKKVQLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVDLLKPVGWVHISLSGTDPREAFIHTFMLQIAVLANHLNGRDTHVRQIKIYGPRPNPVPHQPFHFTSRECIMYSTIR >SECCE6Rv1G0398870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:426878665:426879114:-1 gene:SECCE6Rv1G0398870 transcript:SECCE6Rv1G0398870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICASMDAPRGGALGKRKEREYPSSSEQRQPLFPPPPPGRQELLRNKPHQLSRFANKPPMPTPPPQGGSSKLLAGYLAHEFLKFGTLLGERPPAPGRKETATPRPEPAPAPDPARRYAEASMLLMAGGTRIPGVFNPTQLGCWLRIKE >SECCE6Rv1G0447990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:853213270:853216637:1 gene:SECCE6Rv1G0447990 transcript:SECCE6Rv1G0447990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASAMDGLIPWGWGAKPAAAANPAGMSASKRTTSSSTTATTGKLSTVSTSTFMASTVSGGSTDDGYVEDGHILESPNLRIFTFAELRGACKNFKPETVLGEGGFGKVYKGWIDVNPAKGSTAMVVAVKKLNPESVQGMEQWQSEVNFLGRISHPNLVKLLGYCMEDNELLLVYEFMAKGSLENHLFRRGAIYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSHFNPKLSDFGLAKHGPDDGESHVTTRVMGTYGYAAPEYVSTGHLYVKSDVYGFGVVLLEMLCGLRALDPSRPSEKLNLVNWAKPLLSDRRRLTQLMDSRLEGQYHARGAFRAAQLTLKCLAGEPKSRPSMKEVVEALEQIEAMKSKSKSREARRDSPSMPRGRGNSPRSDGARTNSRGR >SECCE7Rv1G0455240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5954184:5955779:-1 gene:SECCE7Rv1G0455240 transcript:SECCE7Rv1G0455240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMARESWLAKVRSAISSKPSSSGPPPVGKKGNVGILAFEVASLVSRLLHVWRAVGDAAVARLRHEVIHLDGVRKVVSDDDAFLLGLARAELVDALRGASDAVAALAERCADPCLREFRDALLEFADSGRDRHRWAAPTWKEMDARARKLEKQVATTAALRRAMEELAEAEHGLRKFLRADVAASGGGGGCHRRSMSASKISVASEQQQLIFSKKQDVKNLKQTSLWGCTFDAVVSSLARAAFTILARIKLVFGAGAGQDQRHGPLYRSLTLSSAVHPSADAQSPPPPSRKSMSMEAVPFDVAALVAQSAKGSSRRRGFFEYSTATLVPPAGTLGAGALAPRYAGLVISIERMARSPQRMVGPDERDELYGMLTASVRAQLRARLRGAVAEADAGLAGEWRAALGGILEWLAPMAHATVRWQAERSFEQRKSTSTSSTTDIARLPPRHGGGGGGNTFLLQTLQFADRDKVEAAVAELLVGLNYVWRFEKEMSCRALFAVHREFVERGDLDAADSCRGGGHHAGNGTVSSCA >SECCE6Rv1G0423290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695232204:695233734:-1 gene:SECCE6Rv1G0423290 transcript:SECCE6Rv1G0423290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETQSTKAATNAEDRLSELPNDLLLNILERVCTLDAVRTCILSKKMQKLPTMLSQIVIDLSPRDLVQMDGVVADVTHKILSTRSPQITVRKLKLKFFLVPSRCLSIGKSVGLAMATQKLDAAEFEIMPLKDNGCCTDAHRLLFGQQFNDFVRDCPDAFAGLTRLDLRFLRFGESDIPNILSTCKQLQSLSFFECDGGFGSVLHVEHARLVELVITFGEFKTVVLDCLPKLQRMTYNHWPCDENPLVLGFVPQLWKLSLANASLSGKILNLSKLLANAPTVSDLNVDFLSEKIWVQPECPKVLAPVLAKLRFLNLDHHPEECDISWTMFVLEAAPHIEELCITVWDHKCRMESQKSFSEISDVKWEPSDPHFKHKNLARLIIYGFQSDGNFTGYVRRVIQAAVNIREVSLHDRKVCEFCAEKFPHMEVRPSSYPRTSEEVDLLRKKMTAASASASPDILFRS >SECCE3Rv1G0183470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:650417385:650424543:1 gene:SECCE3Rv1G0183470 transcript:SECCE3Rv1G0183470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEARLRLPLAGPTPATAFLSGSNPKPSHISFSLKPTSTSLSAANAPPIVVVGSANADIYVEVDRLPLAGETVAASAGHSLAGGKGANQAACGGRLALGPTYLVARVGDDANGRLLEGALADAGGVRLDRVARAPGAPSGHAVVMLMPDGQNSIIIVGGANMEGWAPEVDQEDLDLIRQAGVLLLQREIPDWVNIQVAQAAKSAGVPVILDAGGMDAPVPRELLELVDIFSPNETELARLTGMPTETFEQISQAAGACYKMGVKEVLVKLGSQGSALFFEGEEPIRQAIIPATEVVDTTGAGDTFTSAFAVALVEGKPKKECMRFAAAAASLCVRVKGAIPSMPDRKTVMSLLESTQVE >SECCE3Rv1G0189240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:722911202:722913055:1 gene:SECCE3Rv1G0189240 transcript:SECCE3Rv1G0189240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGSASLPPFLTKTYEMVDEPATDAVVAWTPSGTSFVVFSQADFCRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPEQWEFANEEFIRDQRHRLKNIHRRKPIFSHSSHTQGAGPLADSERRDYEEEIERLKCDNASLKLQLERKKTDVESKMKALEDKLFAIEGHQKNLISYVREIVNAPGFLSSFVEQSDHHGKKRRLPKPISFHEDASTQGNQIMLCDLVNSPAHEVFRASFDKMESSLNSLESFFKEASEAFGNDISYDGDVPGHSSAVVLTELHSSGESDPHAQSPPSMMRTCSAGVGDSHSSRDIAESASCPESPPLPEAHSRADSRAKVSEIDVNLEPAVTENGPSRDQQPTQDPPADANDGFWQQFLTEQPGSALAHQEAQSERRDREANQITAGDRGSFWLDKSSVEQMTKKLGHLTSAEKT >SECCE6Rv1G0389430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:151156989:151158327:1 gene:SECCE6Rv1G0389430 transcript:SECCE6Rv1G0389430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNDAGVVVVFDFDKTIIDCDSDNWVVDALGATQRFDELLLHLPWNSAIDAMMGELHSQGKTIDEIAGSIRTAPLSHHVVAAIKTAQALGCELRILSDANAFFIDTVLAHHGLAGYFSEISTNPVSVDAGGRLRITPHHDFRHGSCSSHGCALATCPPNMCKGKVMEGMLQELSAASAAGMRRPSRVVYLGDGRGDYCPTLKLAERDYMMPRKGYPVWDLITGDRRAVRADVRGWADFKDLETVLLDIIHECAAADMIQQDGGDQVVVGMVVPECRALPAPKMAMSVLPKAIHAPN >SECCE3Rv1G0161750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:121575578:121576612:1 gene:SECCE3Rv1G0161750 transcript:SECCE3Rv1G0161750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKVLLISAVLVGLVSLGSCRSLGELHEQKTHYGASPTPTHGSGGGYNPTPTPTPTYGTTPTPTYGTTPTPSYGTTPTPTYGTTPTPSYGTTPSTPSTPSHDVPASPKKHEFIGSCDYWKNHPDAIVKAIGSLGNIGKTFGAACSMIGGKKLGNMHDALSNTRTDGIGALIREGAAAYLNSIVNKKFPFTTQQVKDCIVVAVTSDGAASAQAGVFKKANESHY >SECCE2Rv1G0118490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:782847573:782848016:-1 gene:SECCE2Rv1G0118490 transcript:SECCE2Rv1G0118490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEEFSLKSCTATNSRAGGSGVSMAPNGFSEAFLGEQIGAKWKARRRRAGRKGSAMKTKEDGMADQTAVVEKPVAADATAEQQDEEDFDKLYEKAKAEYAKLFEKVSAYDPPIVKWVKYPSYMSYPGMEADMKADGLQFDEEVKR >SECCE2Rv1G0118410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:782400711:782402811:1 gene:SECCE2Rv1G0118410 transcript:SECCE2Rv1G0118410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter, Preferential distribution of Zn to developing tissue [Source: Projected from Oryza sativa (Os04g0613000)] MWATKHTLQALLPWLLLFVHQAVAASGGCECTTATDGADKQGAMKLKLVAIASILTAGAAGVLVPVLGRSLAALRPDGDIFFAVKAFAAGVILATGMVHILPAAFDGLTSPCIHKGGGDRNGFPFAGLVAMSAAMATMVIDSLAAGYYRRSHFSKARPLENIDIPEHAGDEEGRADHPHVHAHGHSHGEAIVVSSPEEAAIADTIRHRVVSQVLELGILVHSVIIGVSLGASVRPSTIKPLVGALSFHQFFEGIGLGGCIVQANFKVRATIIMATFFSLTAPMGIVLGIAISSSYNVHSSTAFIIEGVFNSASAGILIYMSLVDLLATDFNNPKLQTNTKLQLMTYLALFLGAGMMSMLAIWA >SECCE7Rv1G0495980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:604824650:604825248:1 gene:SECCE7Rv1G0495980 transcript:SECCE7Rv1G0495980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSLTFLSQQGSIVTDINNSTYCTYDSDISTGYGVGAFLFLLSGQSLLMGVTKCMCFGQPLAPGGSRAWSIIYFVSSWITFIIAESCLIAGATKNAYHTKYRHMIYAGSWTCDSLRKGVFISGAVFVVLTMTLNVYFYMYYTKSVSQAAKKTSKATANVGMAGYA >SECCE3Rv1G0195220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:790741314:790745821:-1 gene:SECCE3Rv1G0195220 transcript:SECCE3Rv1G0195220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPRPSGVRRVTAKKRPRPDASSNSARKLQRREIAAFPERTFAASTTRERFRNIQLQEEFDTYDPKENSSLLPYLRKRSEIIEIVGARDIIFALSQLGVCAAFSRVTNQRICFLNGSPDEVIRSLFYNKNNDSLITVSVYGSENFSALRCRTTRIEYIRRGKPDAGFPLFETESLRWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYTISDKDVQEIKISPGIMLLIYSRKRGYIPLEILSIEDGKRLKSFRHLLHRNKKIDFIEQFNEKLLVKQDGENLQILDVTNSKITEVSSNEFVTPSAFIFLYEMQLFLTFRNRSVSVWNFRGELVTSFEDHLLWHPDCNTNSIYITSNQDLIISYCKAEPDDPSSEDNACSINISEILTGKCMAKIKAGNLCKQKSSKFLCTPLEALGNITSLYYDEEREEIITGNHQGLIHVWSN >SECCE3Rv1G0157440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:81718362:81718580:1 gene:SECCE3Rv1G0157440 transcript:SECCE3Rv1G0157440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDWGPVLIATAFFVVMLPGLICEIPGGGGRGRPEFHSMKTNGIAMFVHTVIFFAFCAIFMVAVGVHVYAG >SECCE7Rv1G0469510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:109757512:109757799:-1 gene:SECCE7Rv1G0469510 transcript:SECCE7Rv1G0469510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDFTKHFVVVPTKFKLRNNTDCSWKVTLKLMNSRVTLDQGWATYAAVHLIKIGYMATFKLLTPDTLKVIIFDHDGIEVVNKCGKHDEAFAAKD >SECCE7Rv1G0502600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:698150054:698151275:-1 gene:SECCE7Rv1G0502600 transcript:SECCE7Rv1G0502600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTARAAAPIVRTVVFVVLLLLPLCAVRHARAQETDSEEDFSYRSGRDNSPDRWGLLRTDWAACYWGRMQSPIRVPGAGTVTGPRNGRLARTYRSSPATLVNRGHDIMVRFDGNAGSLVLDGASYRLRQMHWHSPSEHALDGRRYDLELHMLHQSDKASNKYAVVAQLFQIGEHRDETLHMLEPYIERITDRRKGHEEEIDYEVDPRRPVRGSDTFYRYTGSFTTPPCTEGIAWVVATKVRHVSRHQVELLRDAVHDHSRRNARPLQEANGRGTALYYSWPRSGDGN >SECCE6Rv1G0428400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:726515390:726517814:-1 gene:SECCE6Rv1G0428400 transcript:SECCE6Rv1G0428400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAELQAELLRAQLEEHHIEGDEPIVEDDDDDDEDDDEDEDEKDDADVEGGDASGRSRQSRSEKKSRKAMEKLGMKTITGVSRVTVKKNKSVMFVLSKPDVFKSSSSDTYVMFGEAKIEDLSTQHQTQAAEQFKAPAGLSSIISKGKPTVAAVHDDEEVDETGVDKKDVQLVMAQASVPRSRAVKALKAAGGDIVSAIMELTN >SECCE3Rv1G0185750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:677913233:677916665:-1 gene:SECCE3Rv1G0185750 transcript:SECCE3Rv1G0185750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPWPGDTEDRTAHHATTMALVLVLTTVAFSLLLPGTAALDQPANATDDDLSALLAFRASVRDPRGVLRRSWTARTPFCGWLGVSCDARGRRVAALSLPGVPLGGAIPPELGNLSFISHLNLSRTGLAGAIPAELGRLARLRHLDLDENRLSGTIPSALGNLTELRYLHIGYNGLSGAIPTQLHALRNLRYINLNSNDLSGTIPLGLFNNTPNLSVIWFGRNRLAGSIPEAIGHLRKLEILVMELNLLTGTVPAAIFNMSMLRIFGLGNNNLFGSLPGNKSFNLPMLQKLGLSSNHFTGPIQPALARSNKLELLSISINNFTGPVPAWLATMPRLSTILLSANNLVGKIPVELSNRTDLVMLDLSVNQLEGEIPPQIGYLRNLDYLSFSTNLLTGTIPESIGNISSIKTLDLTLNAFTGSVPTTFGNIRSLTGLYVDGNKLSGKLNFIHALSNCKNLSTLGISSNSFAGSIPDYLGNLTSQLQYFIASSNSLTGSIPDTIANLSSLTTIDLDGNQLSGTIPVSITTLNNLQELNLANNTLTGAIPEEISRLTRLVRLYLDKNQLSGSIPSSMGNLSELQYMTSSLNSLSSAIPFSLWRLSKLVSLNLSYNMLTGPLSRDVSQVKQIAQMDLSSNLMTGGLPDSLGRLQMLTYLNLANNSFEEQIPSSFGELVSIETMDLSYNSLWGTIPKSLANLTFLTSLNLSFNKLDGPIPDRGIFSNITLQSLRGNDALCGLSRLGISPCQSHHKSQESLIKIILPVAGGVAILATCLCILIRTKIKKCKKTSVPPESNIINYRLISFHELVRATENFSEDNLIGSGNFGKVFKGQLDDESIVAVKVLNMQHEGASVSFDAECRALRMARHRNLVKILSTCSNFEFKALVLQYMPNGSLDSWLHSSNSPQGLGFLKRLEIMLDVAMAMEYLHHQHTEVVLHCDLKPSNVLLDADMTAHIADFGIAKLLLGDDNSIALTNMPGTFGYMAPEYGSTGKASRMSDVFSYGIMMLEVFTGKRPTGPLFSEELSLRQWVTEAFPSRLIDVVDNEVLSQGIKSDCHAGDESTLREQSIILNTCLASVIELSLQCSSTIPDERTAMDKVVVKLNKVKVDYCLQMR >SECCE1Rv1G0036120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:505366721:505368370:-1 gene:SECCE1Rv1G0036120 transcript:SECCE1Rv1G0036120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLTRHIPRLRLIRPLSTHLRDHSFLLRFAALAKELSDQPAPSPLPSRPQSPHPYDYNRLMSAHATLDAAGGAGAGADRALHLLDEMRSVLQRRPDAACFTTVAAALSAASRPDAALAVLEAMAVDGVVPDAAACTVLVGVYACHLRRFDAAYEVVGWMTENGVSPDVVTYSTLICGLCSAGRVAEALGVLDLMLEEGCHPNAHTYTPIMHAYCTAGMIHEAKKLLDSMIAAGCAPSTATYNVLIKALCKAGAFEEVEVLLEESSSNGWMPDTITYSTYMDGLCRSDRVDKSFALVDRMLSMGLRPNEFTLNILLDGVCRSSTAWAAKCLLECSADIGWDASVVNYNTVMRRLCDERRWLAVVKLFTDMSKKGIAPNSWTFNIVVHSLCKLGKLHNALCLMGSEGFVASVITYNTLIRHLSLLGKSNKVYLLLHDMIEEGIAPNETTYILVIDCLCREEKFLAALSCFYRSLEDGFSPSVVTSIVRGLIVGGKFGELHTLIGWILGQGFAIDVYLYRVVIIAFCKKGYCQGTEMYKVCHILERMLSLR >SECCE5Rv1G0319760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:378388017:378390632:-1 gene:SECCE5Rv1G0319760 transcript:SECCE5Rv1G0319760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQGGTAAHQRHGGGTITDALSLFASRLSHHRFGDEELRVLEAALSAGGDVAALLSTRSAARKLLRESVAEACAAAAVEGDGARLSVADFFARAFALSGDVESCLAMRYEALVLRDAKYSDDLDLHVFHEEWLTFAQDSLDNGFYTIASKAFANALVHIHPSHLDSTDSTLKNNKVNDIRGLQTLAKSLSAQRSVQTQSDEYMKRKTSGVSEKCNLHSEKPKLPGNLMFRLGIKTRNTQKLLLSRKRNFEEV >SECCE7Rv1G0502680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:699597708:699599818:-1 gene:SECCE7Rv1G0502680 transcript:SECCE7Rv1G0502680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGNYLCCFSLLLLLLAGLASGHQVLFQGFNWESWKQSGGWYNMMMGKVDDIAAAGVTHVWLPPPSHSVSTQGYMPGRLYDIDASKYGNAAELKSLIGALHGKGVQAIADIVINHRCADYKDSRGIYCIFEGGTSDGRLDWGPHMICRDDTTYSDGTANLDTGADFAAAPDIDHLNDRVQRELKEWLLWLKSDLGFDAWRFDFAKGYSPEMAKVYIDGTSPSLAVAEVWDNMATGGDGKPSYDQDAHRQNLVNWVDKVGGAASAGMVFDFTTKGILNAAVEGELWRLIDSQGKAPGVMGWWPAKAVTFVDNHDTGSTQAMWPFPSDKVMQGYAYILTHPGIPCIFYDHFFTWGFKDEIAALVAIRKRNGITATSALKILMHQGDAYVAEIDGKVVVKIGSRYDVGAVIPAGFVTSAHGNDYAVWEKPGAAATLRRS >SECCE3Rv1G0192730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762108765:762113360:-1 gene:SECCE3Rv1G0192730 transcript:SECCE3Rv1G0192730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSFEKNNMLKEFYIPSYIFMPESSVEQVSHIPSCPVIVFINTRSGGQLGHNLLVTYRKLLNHAQVFDLLDETPDKVLHKIYSNVERLKHDGDTLASEIHRRLRLIVAGGDGTAGWLLGVVSDLKLVHPPPVATVPLGTGNNLPYSFGWGKRNPGTDHESVISFLKLVKEAREINIDSWHTVMRMKCPKRSPCDPIAPDLPHSLHAFHRVPKTDPEDMEYSYTYRGGFWNYFSMGMDAQVSYAFHSQRKLHPEKFKNQLSNQKQYLKLACTQGWFCASLSHPMSRNIAHLAKVKIMKKSGKWETLEIPQSIRSIVCLNLPSFSGGLNPWGTPSKRKQRKRDLVLPPLVDDGLLEIVGFKDAWHGLVLLSPKGHGTRLAQAHRVRFKFHRGATDHAYMRIDGEPWKQPLPQEDDGKVVVEISHAGQVKMLATKDCIAKGIHDSPGMATACTDSSSSDDSDDDFTEERRNFGAALSFRYMNDVKKTVEA >SECCE3Rv1G0166090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:182858177:182859934:1 gene:SECCE3Rv1G0166090 transcript:SECCE3Rv1G0166090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTSSCLIFLLALLLPLDAVAVTGRHRFPSLQLAPVSSSEPPTTFFEVDRPIQPPRGSVGPCSALLLSDSFGYTYGLPPATAAYAPPECLAAARARGGSLALAVLEWSADCRGRQFDRIFGVWLSGAELLRSCTAEPRPNGILWSVSRDVTRYAALLSEPGEVAVYLGNIVDSTYTGVYHANLTLHLYFHPAMPPPPHADLILPISRSLPLNDGQWFAIQNSVDVQLKKLAIPSNTYRAVLEVFVSFHSSDEFWYTNPPNEYIEANNLSSVPGNGAFREVIVKVDDHVVGAVWPFTVIYTGGVNPLLWRPITGIGSFNLPTYDIDITPFLGKLLDGKEHNFGFAVTNALDVWYIDANLHLWLDHKSKKTVGSLISYDAASSANVDSEFSGLDGRFVTSASRHVSATGWVESSHGKVMTTFYQRFSYKNSNVYSKNGSEQVVNQTTNAKSGVFATNGTVLLTEEVHQVFPLYLFSGTSDEVDDEYSLVSAVKFGISEERVSGGEQGLPYGSLRNAQSARGSMRVKGNLVTSGSGENHQVYKYVGTDGCYFRDVSSKNYTVVFDHSDDLCLKGSRVKGSRLPSS >SECCE4Rv1G0238150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:369116985:369117206:-1 gene:SECCE4Rv1G0238150 transcript:SECCE4Rv1G0238150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVDRRRRCPLLFCYCTDANTKLSRRPPLLLASRMRAHAAVRRLPLSSAASWMRVRVSGTTVRSTSVAPRT >SECCE5Rv1G0361260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:778436619:778437713:1 gene:SECCE5Rv1G0361260 transcript:SECCE5Rv1G0361260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECKTVSTCTAVAARGTHVFDIIGYSEHRGMGNSEAEGYIRSGIFAVGGHDWAIRFYPDGNGEGCPDYISVFLQLLSKSTKVRASCDLRLLDQCTGLPSSVHKTGPRSFSYDDYTAFAPQTSAFKRRSEVEGSAYLRDDRLTIECIVTVFQKPHVTEANPFPKIPQIDMPPSDMANHVARLLEEKEGFDVSFIVGGETIEAHRLVLAMRSPVLKAELYGPMREARAGQRITIKDMQPAVFRALLHFIYTDSLPGSEDREGDKDTEMIRLLLVAADRYAMERLKMICQSILCDDLNVDTVATTLALADQHNCHKLKDACLEFVKISDDNTMDAVVATQGFKDLNVTCPSLIVEALEKRRIFRKA >SECCE7Rv1G0526920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:899418480:899421206:-1 gene:SECCE7Rv1G0526920 transcript:SECCE7Rv1G0526920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFDRQDEEDDFKGVDESSRTVIQDIGAEIYQVVQQHKNLVVFHNGSDNTIDLNDFGIPLSVWGTRVLWTLRGRLRLSPGISDKVDNSHLFLYRERTPLGWHYLLQKEAREIVGYTDKLVEVAEECCLYMLSLNSQGGDIVDYNWATHASIYWVCDGIIQGGQGDEAWEVAADLHQRICIEDYSSNALPTFGTELKTPLKRWILSKDNSVVHPGSTSFFLAAVESMSYPPFRSLPNDMFHQSDKLRVLKLCRCTFSFSSPPFCCCRNLKFLGLDDCKDQPVEQDEKKGRPAMEFFQRLWVLDICHTDWELDLSAEITEQMVSNIREVHIRNGRIWCHSFAWRQLQNLHKLRVIEPTSPWQTGKMDEFTDMVKMEFLDLSGNSTIQVLPCLSGATGVKMLVLDGCIGLKHVGPQGLPMSLESFSLDVGTRVDQNEEAKISHISFAGCGKLMKFRLCGSLPNLEELDLSGTLVKTLDLKDQVVHAPRLQKIILLGCMQLLSILWPENGMPKDTVLRIDSSVCHVQGEPHQSYATIMDIRFLQSLVLESNVEFCWKSTRSHLKLCVPCSNKVEGQRNKEKTAIGSIGQIMGCPRPKSLLPNAYITYMDVIADNITIDDGYKYNSAPQFQPLGSHVEIGDGISFTRMESTRAMTATIFVMNKAKSLHVHDNSSITTVIPEHMMSIESKVLTWQNLKSCQVVRCPKMHTLFNIVWGHCKFEELVEFWAADLLSAHCIWSKQRVSDFQDNLSFAKLQSIHLFSCPRLTFVLQWSRLYILSSLETLHITFCGDLRQVFPVEPEILTSIATSYHKGVLEFPNLKHIYLHHLFKLEQICEAKMFAPKLESIRVRGCWGLRRLPAVGRGNRPVVDCEKDWWEKLEWDGLQAGHDPTLFEPRHSAYYKKPLPRGSVLW >SECCE5Rv1G0300970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28565549:28567099:-1 gene:SECCE5Rv1G0300970 transcript:SECCE5Rv1G0300970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLHHRLPLLLLLLLLVLSTASATHSPETATASARAHPHHHRPPFGTATAHFHPVPAASPSMHQNHLNADSQSLLATGDVDPVLADAQATGAADARPAPLVPPQAEAASPPPTRVLPVPDLAEATPQPQEEGSASAPTTPAAATTTTTSSPPPPPAVSDAEAAEHGLQQLARVLTSLGYNEMASEAPLLARAPPLARWPGAITVFAAPDAFLQASCPMCSRRHLLEQHIAMGYYPYSDLAAAATMKIPSASVGFCIKVATERGLFGVHYARIYADGVEVSHPELYNDGRYVVHGLHGFLRPLTHSCLDGPHHHHLTGRSAAASAATAASVVRIMIRDAMARLHDGGYGFMALAMRVKFAELEKFANLTIFALDDPAIFVGGGHDYVSAVRFHIVPNHRLTSADLRRLRPGTVLPTLAGEGQSLVVTHYASDSASSKSNDDVRINYIPIKEPDVVVNSRIAVHGVYVPFPRLHLADLAVASATDQTNGTCGVGGPIGDCASSAITSPKRQVAPGE >SECCE1Rv1G0051200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:651809704:651811186:1 gene:SECCE1Rv1G0051200 transcript:SECCE1Rv1G0051200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSCFLCLGAKKEVPPPPRADPHSSSSSSVAATAARTLAFDELAAATRNFRDDFRIVLKASLYKGYLRSLNQVVAIKLQHAVDPVRSSSEQVNREFLARVTTLSVLRHPNVVNLVGFCADGHHRILVHEYMPLGSLQNHLHDRSPGQALLDWNTRMNIAASVAKGLEYLHDKGVVYHIFMSSSDVLLGEGHHPKLSQYGLADLGRLVVDDDEELYIDFTRIAAIAPEARFKWKATMESNVYNFGGVLLELITGRRPVDPTQAIAEDCNLVIWATRLMDKGQFRRMADPALQGRYPSMDLREALKVASLCIHQQPAMRPPIGAVVAALSRLAAYEDHPPESTHHATAH >SECCE2Rv1G0112970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:717596988:717605539:-1 gene:SECCE2Rv1G0112970 transcript:SECCE2Rv1G0112970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRGSSVSTHEKPNVDAAVDSDKDSSGHKRSAYLLLGLFILFLHGSWSVYRMQFANLPLPLNAEQAGKRGFSEASALKHVKYLTSLGPHPVGSDALDLAVQYVYAEAEKIKKTAHWDVDVQLELFHTDIGANRLAGGLFKGKTLLYSDLKHVILRIVPKYLPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVRFAIDLEAMGISGKSTLFQGTHQWALESFAAVAKYPSAQIATQDVFRSGAIKSATDFQIYQEVAGLPGLDFAYTDTTSVYHTKNDKMELLKPGSLQHNGENMLAFLLHAASSPKFMKDAHQAKQESTEQKNAIFFDILGKYMVVYPQRLATMFHNSIIFQSLLIWGTSLLMGGHPGLVSFRISCLSIILTLIFSMFLPVVVAFALPHICPFPVSFVANPWLVVGLFGSPALLGAFIGQHIGFILLKRHIQQVYSRTKPGLTGNTMDYIVGLEAERWIFKSGFVQWLIVLILGTYLKVGASYIALIWLVSPAFAYGLMEATLTPARSPKQLKVFTLVLALAVPVMSSAGLFIRMVDVMVGSIVRADRNPGGLPDWLGNVVVAVAIAIVVSLTFVYLLSYVHISGAKRTLLSVLCALFGLSLVLVSSGIVPAFTEDIARSVNVVHVVDTTRMNDGNTEPLSYVSLFSNMPGKLTQELMGLRGEEFSCGRNMTIDFVTFTVKYGCRSYKGSNAGWSKSEVPVLHVESDSAADDDRRTVVSVDTKSSTRWSLAINMQEIDDFTVEVDSDKLVQLGGKSEVDGWHTIQFAGGKNAPTKFQLTLFWSSNATRASPKGANAEDPPLLVKLRTDVNRVTPTVETVLEKLPRWCAAFGKSTSPYTLAFLTAIPVNI >SECCE3Rv1G0208830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:935029784:935030494:1 gene:SECCE3Rv1G0208830 transcript:SECCE3Rv1G0208830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGDDDVKVLGTAASMFAIRVRMALHVKGVSYEYLEQDLFHKGELLLTSNPVRKAIPVLIHGGRPVCESLAIVEYVDEVWSGAASLLPADPYDRAVARFWAAYIDDKAVPTWIGIMRAATEEDREESLAAALAAVAPLEDAFAQCSAGKPFFAGDSIGYLDLALGCNLFWIEALRHMFGVTVIDASKTPRLAAWAERFVETEAAKKAAPRMESMLEEAGKLRAMWAAAAAPAAK >SECCE6Rv1G0444720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:830381484:830383073:-1 gene:SECCE6Rv1G0444720 transcript:SECCE6Rv1G0444720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSGSRRRSTRLQGPGGGPDRISALPDDLLLLVLSRLRCAAAAARTGVLARRWRGIAARLREIVFRGVALHRLEAALGRLSPAVSLLEIRVPKERRAGAARGNALLRVGTARVNSLLRAAARLEPQELVFDLPSDLVDGSLVVDLPSLRRATSIELRIFLLILRVPDGAEFPALEALSLSCGIAHAHLDALLRHCPRLRTLRLGGFLFDQGELRVNSASLQELAVDRESALTHRVDIVAPALKQLSMSFMATEVSISVSAPMVEKVSWHCCYRAGSITIGLWELEQVKLETSESQGELPSLQIHASIFRSNFPGGEVSFMQEIVKHMVVAFSALELHLKTNGHVFGAIVFLLLGMNRIRPAVRRLKVVLWRTTVRERCLPNCSCQPMDWRSQTVSFTHLEEVEITGFEGVAHEFDFLKLMLGCSPALKKMTLELSHDVWSRKDGCTIINDIFKAYPSVKCYIYLCSGKCMFSMLC >SECCE4Rv1G0266630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:732663810:732664685:-1 gene:SECCE4Rv1G0266630 transcript:SECCE4Rv1G0266630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMPRDSSASGALVPSDSAAMVAAATAATTAAGKLPFPLLTRTNYAAWAMRMKYLLRANGAWAAVDREDSSKEVDAGKEEMAMMIISQSIDDTTLLRVAEKETAADVWATLRSMHVGVERVREARIQSLRSEFDGLKMGDAESVDDFAARFTTLVGRIRELGDPMEEKYVVKKLLRAVSNKFIHVASSIALFGDTNKMAMEEAIGSLKAHETAREEEQLLMARGHDSSRGRGRGGRGRGRGGGRRDKSEVQCYNYDDFGHFAWECPGKKKENEEKALLAGYGSDGLALL >SECCE1Rv1G0029340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:412463321:412465014:-1 gene:SECCE1Rv1G0029340 transcript:SECCE1Rv1G0029340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGAGDKQPANGMHGGAAHGASTGKPKSYFLYGVLLYAVLPVLFLYMVVAAISPIYNPRCSPEGAGAMVHFVVANPNASSSNTSMTSPGRTSMVSADEAPTGLRHIVFGIGASSALWESRKEYIKLWWRPGRMRGFVWMDKPVEEFYSKSSRTGLPAIMVSSDTSKFPYTHGAGSRSALRISRIVSESYRLGLPGVRWFVMGDDDTVFLPENLVHVLSRYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISRALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPTTPSRAGALRKLYDGPVRLDSAAVAQQSVCYDGEHQWTVSVSWGFAVMVVRGVLSPREMETPVRSFLNWYRRADYTAYSFNTRPVARQPCQKPNVYYMRDSRMDRRRNVTVTEYERHRVKPPHCRWRIADPAALLDHIVVLKKPDPDLWKRSPRRNCCKVMSSPKKGENRSMTINVGVCREGEFAKI >SECCE2Rv1G0107200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:647275445:647276431:-1 gene:SECCE2Rv1G0107200 transcript:SECCE2Rv1G0107200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHVALLLLLSLQLTASFSQQPGDDPDLPTFLKIKEQFGDQAALVSLHPGTDQCNWAYAYCNQHRRVVAIFLQNVNITSTIPPAIGDLDQLHTISIHSIPGLHGPIPDTFGKLSHLSIFNIMRTSVSGSLPASLSRTNLTSVSFFGNKLTGRIPRSLQDLPYLTYFDASNNRLVGQIPPRLVSDGAPDRPLGLTLTNNRLTGPIPRTYGRERYFMNFRVANNMLTGDAAFLFGRRKTVYDIDLSGNRLRFDLTGVVMPENLLFLNMSRNRIYGGVPASLTQLKKLVTLDLSYNQLCGEIPTGGNMGRFKPEAYEHNKCVCGTPLPAC >SECCE7Rv1G0479340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:251484225:251484817:-1 gene:SECCE7Rv1G0479340 transcript:SECCE7Rv1G0479340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDDPKNEQSSSWSIFNWNNKAEPEVEQPRMRSTQEILTKYKFNGDAAAAAAHAKDKLMERQEKLARITQESAEFESEAENFATLGQQIRKSLEAKRWWWPS >SECCE3Rv1G0204110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:889675816:889677009:1 gene:SECCE3Rv1G0204110 transcript:SECCE3Rv1G0204110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTREAADIIGWFTIVLFAVFAALGLYCIGQSIYFWFRIRKGTLLSLGYFNGPWVTRIVLILITIWWGVGEIVRLSFLKRKLFSSLVWQKIACDAYILSNLGFAEPSIFFGFAFLLHGSLQKRDLGTLTQRWNLKTIGYMLVFCVPVFFVQALLVFVGPTFVKDENSAHGRRVFAKYFIQTSMPVGDTNVCTYPLFGTIFLGLIDAVLMSYVSYVGSRVLSLVINKALRKRVSLLIMSVLFFLPIRVLLLGFSVMPNPGDKAFEVIVFFSFVMMLSGTSVGILLLVYCPVHDSLKLKEAGNTEIAEMVPYDDYYYEGSSLVANQSFREIERNSDTSTKRGSISFRTMIREDQLPQDGIDEIGFSSRSGVHIGSSSPSGSSPSAAMPMLPLKEVPRY >SECCE1Rv1G0031950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:448740889:448741608:1 gene:SECCE1Rv1G0031950 transcript:SECCE1Rv1G0031950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVSASYGLFLALNLLLFAVTSACPSCGDSGNNGGHGSSGGGRGGGGGSGSGGGHGGGGGGGHGGGGGGYGGGSGGGGGSSGGGGGGSSGGGGIIGGGPGGGIIGGGPGGGIIGGGPGGGIIGGGSGGGGSGGGGGGYGGGGGGGTSGWYGKCPTDALKLHVCANVLDLIKAKVGVPPLNDRCCPLLNGLVDLDAAICLCTAIKADVLGIHINLPIHLSLILNFCGKGVPTGFMCPT >SECCE2Rv1G0108160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:658904044:658907825:1 gene:SECCE2Rv1G0108160 transcript:SECCE2Rv1G0108160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSSSRFWASLWTFIKFLPYFCGLFILGLIKGVLLCPWACLIMSIGISALILGLWPMHLIWTYYCIIRTRMVGPVVKLLLLVAATVILILWLIVGIPGSILAGLLYGFLAPIMATFDAVGEGKENTFVHCFVDGTWSTITGSCTVVRDLKDMLFHSYFSIMDDLRFQTPPGGKPYEIRLLDIPGALLSAACGLILDIIMFTLIAIYKCPVMLFKGWKRLIQDLIGREGPFLETACVPFAGLAILLWPFAVLGAVLASILSSIPLGLFGAVVAYQESSVVMGLSYAVSSVSIFDEYTNDVLDMAPGSCFPRLKYRKQINEDSSHGGHLSKPGSFDKENQEGKKPLNRVTSFKNSMDEFNPFKMLDHLFAECKRQGEVLVNEGVITMKDIQETKSGKVGSGVLNVGLPAYVILNALLRSAKSNSNGLILSDGAEITSDNRPKSTLFDWFFDPLMIIKEQIKAENFTEEEEEYLKVRVLMVGEPSNLKGTLPHVPSLNERKKAEIDAFARRLQGITKSISRYPTSKRRFDVLVKSLLSELERTMGGSVPANGSQALRLRGGIARMLSQKSIGKTANIMDEDPEAQVTRKARTP >SECCE6Rv1G0441460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810956071:810957830:-1 gene:SECCE6Rv1G0441460 transcript:SECCE6Rv1G0441460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTQAPGRSTGPVNVARRELSSRAWSLSSPASPQPRYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPDARIADYFDVVAGTSTGGLVAAMLTAPNAHGRPLFAAKDINKFYLEHCPNIFPAVCKGPLGWLKSMMGPKYSGHHLHSVVKKLLGDTRVNETLKNIVIPTFDIKLLQPTIFSTYDAMKDVSKNALLSDVCISTSAAPTYLPGHHFETKDKDGKTRAFNLIDGGVVANNPTLLAMTHVSKQILMRNQDFLPIKHADYGKFMILSLGTGTAKIEQKFDAAECGKWGLLGWLYKRGATPIIDSFSEASTDLVDIQASVLFQVLDCNKHYLRIQHDELTGEMASVDVSTSKNLNGLIGVGKALLKRQVCKVNVETGKNEPDLKRGTNEEELARFACMLSEERKARKVAYKLG >SECCE1Rv1G0028160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:394997206:395000189:-1 gene:SECCE1Rv1G0028160 transcript:SECCE1Rv1G0028160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLAAAEPLTLLKRPPPQKDGFGDDNGSTEEKAPKARRRESDPAAALAAARHEFGEHGGVNMSIEASATFTVMEPDTMRRLFTGELGPERGDLYIYSRHFNPTVLALGRQMAALEGTEAAYCTASGMSAISSVLMQLLGVGGHVVASRCLYGGTHALLSRFLPRTSGVQATFVDTDDEAAVRAAIRPGETRLVYVETMSNPTLAVADIPMLARVAHEAGAKLVVDNTFTPVLISPARLGADVVVHSVSKFISGGADIIAGAICGPASLVNSMMDLQDGALMLLGPTMNAKVASELAARLPHLPLRMQEHSRRAAEFAARMRRQGLRVTYPGLPDHPHHARLRAMGNPGYGAGGMLCLDMGTEERANRLMYHLQNTTQFGLMAVSLGYYDTLMSCSGNSTSSEMDPEDRARAGISPGLIRMSVGYNGTLEQRWAQFERALALMQQQDTPAAAAKYGKAI >SECCE2Rv1G0124320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:831909072:831911566:-1 gene:SECCE2Rv1G0124320 transcript:SECCE2Rv1G0124320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKELIPFPLLPWLLLFLTCFSPFFVHSMELSTFGCSGSNISTPYPFGVYGQSPSPAQGFEITCGNGSSGPRLPIGNNSISILNISLLDGYVTILASAASRSPQCGGNFASFSLEGTHFTFSDTRNKFTAVGCNMVAMLVNDASGYSGGCASFCSTNNSIVDGACSGVACCQAPVPKGLKKLSLDFANINITASLSKYTSACAEGFIVEQNYAFATADLKVLNNSNSSPPQYRPVVLEWSIDGGSCEKANRSASYACKENSYCYNSSNGIGYRCNCTEGFQGNPYLQGPSGCQDIDECSTRRPCTHTCINTKGSFNCVCPSGMNGDGQKEGSGCSGIGTLQISIVVGLALLLLLLVLGFWTHCLVKRRKLAKKRQRFFMQNGGVLLKQQMLSRRAPLRIFTSGELDKATNKFSDSNIVGRGGFGTVYKGVLSDQMVVAVKRSQRVDQSQVEQFVNELVILSQVTHKNVVQLLGCCLEAEVPLLVYEFITNGALFHHLHNTSIPMSWEDRLRIAVETASALAYLHLAAKTPIVHRDVKSSNILLDASYTAKVSDFGASRPLPPNQTHVTTLVQGTLGYMDPEYFQTSQLTEKSDVYSFGVVLMELLTREKPISNGQMDEVRSLAMHFSTLFHQNQLLKIVDPQVTEEAGMRHVKTVAQLALRCLRLRGEERPRMIEVAVELEALRRLMKQHSVLKSEEEEPLLPLLRDMSCREGMNFDTQSSSSHDGVANDESMEIILLPSSDLSC >SECCE3Rv1G0211060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946936230:946937111:1 gene:SECCE3Rv1G0211060 transcript:SECCE3Rv1G0211060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGEERPRGHRFVLVHGVCHGAWSWYRVATALRSAGHRVDALDMAACGARPGRAVEVGSFQEYSRPLLDALAALPPGEKAVLVGHSYGGQSLXXXXXXXXXXXXXXXXXALAMQAHPDRVAVAVFASAAMPAAGKPLKFVSEQFAQERGPGFFMDSVIETTAGDDPERACKTFLLGPEYMAQRLYQLSPPEDLTLATMLVRPSRQFVDDAVRGLPGADHMPMFSKPRELSDLLVEIANKYYI >SECCE1Rv1G0039840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:553947360:553947774:-1 gene:SECCE1Rv1G0039840 transcript:SECCE1Rv1G0039840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWGIAAAVSSAAAVAVASTAELLACDCAPTAPTAAAVRCDGFLFRQRESSSSSDRDGRFAPRFDGLRFIETLFTAHR >SECCE6Rv1G0428050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:723583037:723583525:-1 gene:SECCE6Rv1G0428050 transcript:SECCE6Rv1G0428050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAYQKLKKLPPPPPPASDQEDAHYAVAAAQDHYYRHAAAAIVASRRTWRSRRSSSGGGPRRRGRPLRISSLARALRRRAAAVGGRVRASVSRVVTRLRDREGGPCVADLFAGNYMFLQVTPSMATTAAAGVGRGAFLPYYLAVKGKAAGAGAVDGLIRA >SECCE4Rv1G0290900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874504873:874506863:-1 gene:SECCE4Rv1G0290900 transcript:SECCE4Rv1G0290900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALTLLEAMRAPRAEGPATVLAIGTATPTNCVYQADYPEYYFRVTKSDHLTDIKQKFKKICDKSMIRKRHMLLNEEMIAKNPTLCEFNMPSLDTRQDILHSEIPKLGMAAAQKAVKEWGQPLSRITHLVFCTRQAVDMPGADYQLAMMLGLHLSVRRVMLYQQGCFAGGTVLRVAKDLAENNHGARVLVVCSEITAATFRGPSAAGSHLDNLVAQALFGDGAAAVVIGADPDEPAERPLFKLVSASQTILPDSEGLIGARLREVGLMVHIHKDVPKIISKNIKSALVDAFSPLGIKDWNSIFWVAHPGGPAILDMVEAEVHLQNNKMRASRHILSEYGNISSATVLFILDEMRKRSAEDGHATTGEGMEWGVLFGFGPGLTLETVVLHSAPITSPREPY >SECCE7Rv1G0503830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:716219446:716219814:1 gene:SECCE7Rv1G0503830 transcript:SECCE7Rv1G0503830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIREGGELVGVAGAPAAAGHGAHPGAWRTPTPYLFLGFTFMLGLIAVALLVLVCTRRKPSGSSRRGSAGEEASARGMAPLDREPKVVVIMAGDDMPSFLASARPFAFPDAVEPPRQADAV >SECCE3Rv1G0214090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963949515:963952647:1 gene:SECCE3Rv1G0214090 transcript:SECCE3Rv1G0214090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAAAQATHAPADEEEARRRRGTDCIYYLASPLTCNKGSECEYRHSDAARVNPRDCWYWFNGNCANAKCAFRHPPLDDLLGASTTPRAPQQPAPQVPVPAQAIPPNGTAKPVVPCYYYQKGMCAKGNLCTFSHGPQFAGNPALQPHLQLKNSNSWTKPTNSPQQSTTPAVHGELKVGAQNGRPAQKQNPTSRAYHSSGIYQNQNNNPYVLSGAAKSYQPQRSVEAESAENVMETGEFVREPSAGSSVLAGSAEEDAERSFKEGHNSSYRRASGEQNSGVRRQAHGGYELERSSHRSSSDRLVSERRLTQRESMPVTAESSDLRHRLLKQRRLNDSRSTQVPDRRDRRYPEDERDSHHRRRGEERAAHDNLSRSRLHGRIKLPGETPLDRLGPHTHLEKERGHRDRLSPPKQTDLRAKLHDRLKARSNEDVPGNLKSSVVKASTVEDAGVVNFSGPKSLAELRAKKVAYRSGENTVKNADRVARPARMTSEIVAKGGSPDPVPFDGPKPLSVILKRKREAASADSGSIHEEQVAGEEEQSLNNSRIPENDRVEANIEDNEEEEEEFHPEDDVMYDDDGLSPADDNAAEAAGDAGKEELEEGQQEDLETAAEEEYDYEAADDANAEGENDYQEYEDDDDLEDDDDFARKVGVLIS >SECCE5Rv1G0353510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:717943009:717948857:-1 gene:SECCE5Rv1G0353510 transcript:SECCE5Rv1G0353510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFQSGNCAKTLAKVDGSGATDLSVDPSTFGFPQDANQEYFNRACQYSYPVSTQGFQDKGFLPSVPDFVLNNASAALREYQQFDHFFRPLQPLAPDGNQVQNSGINTAHRSRPSNASSCLDHVEEITSHDTDGYDDRAISFGSSCSTGIACYPYSSPMQSDDCIADTQDGTWAALMQMQQALEAANEECSDLTFNNTELSGGNTMQHQVVWDNGCLASPSFTSNFLPFTGEAETTVTNTSAMYNLHNSFDLPYDNDQDISSFELKVAEQKEATTSHVCEHRDEMHSAEQGRNPGHDESFDLTITQDRQFSSCVNGADGSVDSEMNLYDCEEQMEIDSLLNSFGASSDTFPQTYEILQKGESIVDLQKKAKLAESISATFITNTVPYITQLGATESTVSDASSWTQQYQSTSQSCGLSYSSASQWETMPGTVFPLGGCQNDVSESSSMTSLGINGDFLLSFGHTSMQQQQSISSDTNLELIDNFANPSQETGVDGQRSHLYRDEALATQGVWAAHADAMVHSSTDTGHSDIQLPMTQTTTVSLPAPSLSKGPTSLFIGTELKKIDQHHTGMQMPLTQTTVQLPAPCLSKDPNSSFIGAEVQKVDQNHSDMQLLLTQTSHIPLPAMSLSEDPKSSFIGGTELKEVDKHDSDMQLPRTQASHVPLPAVSLSEEPNSSFIGGTELKEVGKHDSDMPLPMTQASHVPVPTMSLSEDTKSSFVAGTELKEIDKHDSDMPLLMAQASHVPLPTMSLPDDKKSSSIGGTELKEVDRHDPDMQPPMTPASHVPLPAVSLPKDTKSLFTGGTDLKEVDKHDSDMQLPRTQTVSVQLPAPSLSKDSESSFVGRTELKEVDKRDSDMQRPGTQASHVSLSAVSLSEDPNSSFIGGTELKEVGKHDSDIQLPMTQTVRSQLPAMSLSEDPKSSFVAETELKEVGKHDSYMPLPMAQASHVPLPTMSLPEDMKLSFIGGTELKEVDKHDSDMQPPMTPASHVPLPAVSLSEDPKSSLTRGTDLKEVGKHDSDMQLPMTQTVRAQLPAPSLSRDSWSSSVGGTELKEVDKHDSDMLLPMTEASHVPLPVVRLSEEPKSSLIAGTELKEVDKHDSDMLLPMAQASPVPLPAMSLPEDTESSFIGGTKLKEVDKHDSDMQPPITQAIHVPLPAVSLSEEPNSSFVGGTELKEIGKHDSDIQLPMTQTVRSQLPAMSLSEDPKSSFIAGTELKEVDKHDSYMPLPMAQASHVPLPTMSLPEDTKSSFVGGRELKEVDKHDSDMQSPMTQASHVPLPAVSLSEDLKSSFIGGTELKEVGKHDSDMQLPMAQTVRVQLPAPSLSKDPDSTFVGRTELKKVGQLDYSHIGYPQQSILLSASKSSHPSGLPVIKFDDEVGSQSKKRKRSTEDVLASHAQAMFEGGRLQCRRTPELAWADATLTEKVDGEKATTENSAFVSRAQRRLTLTTSLIQCLLPALSARLLAAKVADCGEAIVYHICKLVLSDMSDPVQSFVSDTNNFMQSENMPPNEASTSGKEDTKLLSEVLETFDARLAGLQSSLSRIEKLPSFQDYASALHQIEQWSMTHQFIKLNEYKRLHAGRGLGSKRPLCVGAIRKHAGGSSAPVSELKRIRCRALK >SECCEUnv1G0554500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:286995575:286997623:-1 gene:SECCEUnv1G0554500 transcript:SECCEUnv1G0554500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQYKHQQLQIGLVSPQQIKAWANKNLPNGEVVGEVTRPSTFHYKTDKPEKDGLFCERIFGPIKSGICACGNSRASGAENEDERFCQKCGVEFVDSRIRRYQMGYIKLACPVTHVWYLKGLPSYIANLLDKPLKKLECLVYGDFSFARPSTKKPTFLRLRGLFEEEIASCNHSISPFFSTPGFATFRNREIATGAGAIREQLADLDLRIIIENSLVEWKELEDEGYSGDEWEDRKRRIRKVFLIRRMQLAKHFIQTNVEPEWMVLCLLPVLPPELRPIVYRSGDKVVTSDINELYKRVIRRNNNLAYLLKRSELAPADLVMCQEKLVQEAVDTLLDSGSRGQPTRDGHNKVYKSLSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHQCGLPLEIAIKLFQLFVIRDLITKRATSNVRIAKRKIWEKEPIVWEILQEVMRGHPVLLNRAPTLHRLGIQAFQPTLVEGRTISLHPLVCKGFNADFDGDQMAVHLPLSLEAQAEARLLMFSHMNLLSPAIGDPICVPTQDMLIGLYVLTIGKRRGICANRYNSFRNYPNLKVNYNNNNSKYRKDKEPHFSSSYDALGAYRQKLISLDSPLWLRWNLDQRVIGSREVPIEIQYESLGTYHEIYAHYLIMGNRKKEIRSIYIRTTLGHISFYREIEEAVQGFSQAYSYTT >SECCE6Rv1G0437740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786186865:786189745:1 gene:SECCE6Rv1G0437740 transcript:SECCE6Rv1G0437740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPIVGRSVHSRKPNESMRLVVVTIIGVVFGFFIGISFPTVSITKLHFPSSIVSYIEDKNSGLTAQAILNHAWISARNARGNASESSSNTTMKIYVPTNPRGAEMLAPGIIASESDFNAHRLWGDPAEDLPFKPKYLVTFTVGIAQKDNINRAVQKFSDDFAILLFHYDGHVTEWDEFEWSKRAIHVSVLKQAKWWYAKRFLHPDIVAPYEYIFIWDEDLGVDHFNGEEYIKLVKKYQLEISQPGLEPDKGLTWQMTKRRGDREVHKDTEERPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGQAENGKAPWEGVRERCRKEWGIFQTRIAEADKAYYEMMGVTPPNVTFVH >SECCE1Rv1G0034040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:475048720:475049280:-1 gene:SECCE1Rv1G0034040 transcript:SECCE1Rv1G0034040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQQSGSASPASTAAVETSKHWAPHGPMLTACLVSINVLMILLIFFYFWRFFSGKRGPSSPGGADETGSSTDSSPATSPRASRRLRDPDQPDIPSSLPVSVFDSSSEAAGMAAADCAVCIVEFRDGDLARLLPRCGHRFHAACVDAWLHLHSTCPLCRASVVAPAPDAAEPKNDPKDDGAECPV >SECCE2Rv1G0099120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:508086275:508101435:-1 gene:SECCE2Rv1G0099120 transcript:SECCE2Rv1G0099120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRVVKTEAADERLTPPATAAGGGGPRAPVQFIELSSSDSDSDGGVGGSAKRSRSAAGDSASGKRARVSAGPVVGVDVPPGFLDPLPAPPQPSRGTTKQFWKAGDYDGNPAGGVDPPPSASGLEHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVRNGATFVNIDMLENSKDKTRMLLVEDNGGGMDPDKMRQCMSLGYSAKSQVANTIGQYGNGFKTSTMRLGADVLVFSRSNGKEGKRPTQSIGMLSYTFLRSTGKEDIVVPMIDYEKNGLNWTRKLRSTFADWNTSLQTIITWSPYGTEAELLEQFSSIKEQGTRVIIYNLWEDDQGDLELDFDADVNDIQLRGGNRDEKNIEMAKRFPNSKHFLTYRHSLRSYASILYLRLSDAFQIFLRGKEIEHHNIVTDMMLKKEVTYRPVATNGLPKDSNMVADVTIGFVKDAKFHIDVQGFNVYHRNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLIQMQKDYWSGNAHRIGYVGARSFRSPETGRENSPEGSPGAQPSPGHSGKDYPKQRNAGKSYSASSKKSGKTSTPFSCQQAEKSARTKRSARSIMHGLPDTSDDSDSEFVNTPSLSSKSHILNAHRKYFQNENTSLATPASNGTTEKERSITKSQLAEPNARSNVDGHSIDDHEPLIKQLRDENASLKERLSIVEQATSQELVMERDKVKSLTERLEDLQRQLDTANKEQEALIDIFSEERSRRDEEEENLRQKLKEASNTIQELLLEKSNAGRKGQKV >SECCEUnv1G0570400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:470621926:470622222:-1 gene:SECCEUnv1G0570400 transcript:SECCEUnv1G0570400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTDRESPLRGLSPSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE1Rv1G0048620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636655099:636658502:1 gene:SECCE1Rv1G0048620 transcript:SECCE1Rv1G0048620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAHD acyltransferase DCR [Source:Projected from Arabidopsis thaliana (AT5G23940) UniProtKB/Swiss-Prot;Acc:Q9FF86] MAVDNGDAAVAVAVTGTRTVAPAKTKVTLATFDLPYITFYYNQKLLLYRLPQGAGDFQDVTARMADALGDALAYFYPLAGRIRQEKGDGGALYVDGEEGVEVVEAAAEGVSVDELAGEDCGEEAEKLMQQLIPYTGVMNLEGLHRPLLAVQFTKLKDGLAVGCAFNHAVLDGTATWHFMSSWAELCRGAAAPSALPIHNRAMARSVRVGLTLPASAEAHEKTDPNGPKKPLVARVFSFPEPVVARIKAAANAALPPGAKPFSAFQSLGAHIWRSVSRARELGPADITVFAVFADCRARLDPPVPATYFGNLIQAVFTGVPAGMLLGGPPELAAGMLQKAIGEHDAAAVTRRLDEYEAAPKLFHYSDAGPNCVAVGSSPRFRVYDVDFGFGRPERVRSGGNNKFDGMVYLYPGRGGDSGGIDVELALQPEPMQRLEKDEEFLQVAAA >SECCE2Rv1G0108900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:670313851:670315779:1 gene:SECCE2Rv1G0108900 transcript:SECCE2Rv1G0108900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCQATTYKPLGGLTLDRPLGLGRTCKILPQHSVWQHFSRSCKLQEKVYPRLVVAACHKRLGPVYASSGKGNLDPFSMESLNKAMDGAKKQQSIQGFLMEQMAKITGQGSGGNGGNNNRYGGSGGGSDGPDDESFTDSLYEVVQVVLATVAFVLTYIHIIRGEELYRLARDYTRYLVTGKRTSRLKRAMLNWRDFSDSITKNFSTQDDVYQSPVASEAMWWQQPQKLVHHLGDLFRGNLRPHAQES >SECCE6Rv1G0412770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:617078792:617080272:-1 gene:SECCE6Rv1G0412770 transcript:SECCE6Rv1G0412770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHSSLPLFLVLLGLSASLASGQVLFQGFNWESWKHNGGWYNFLMGKVDDIAAAGVTHVWLPPASQSVAEQGYMPGRLYDLDASKYGNKAQLKSLIGALHGKGVKAIADIVINHRTAEHKDGRGIYCIFEGGTPDARLDWGPHMICRDDRPYADGTGNPDTGADFGAAPDIDHLNPRVQKELVEWLNWLRTDVGFDGWRFDFAKGYSADVAKIYIDRSEPGFAVAEIWTSLAYGGDGKPNLNQDPHRQELVNWVNKVGGSGPATTFDFTTKGILNVAVEGELWRLRGTDGKAPGMIGWWPAKAVTFVDNHDTGSTQHMWPFPSDRVMQGYAYILTHPGTPCIFYDHFFDWGLKEEIDRLVSIRTRQGIHSESKLQIMEADADLYLAEIDGKVIVKLGPRFDVGHLIPGGFKVAAHGNDYAVWEKI >SECCE6Rv1G0447010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846845834:846846553:-1 gene:SECCE6Rv1G0447010 transcript:SECCE6Rv1G0447010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSEHSAVDIVPPTIAVVKPPADGKTCVSQKVLSVSANLVQLLPTGSVMAYQILAPSFSNQGKCYPSNWWITLGLVIVLAASCVFFAFTDSIVYKGKVYYGIATIDGMNIFNISSDEQKTEFKGMKSELKKRQIRKQDFSHAFLTVVVFLTMAFSDVGLQNCFFPKAGTDAQQLLKNLPLGMAVFSSLVFTIFPSKRNFIGCNDPNGDGYDDIAKVVAQSPSTVTITTAPCPGCGH >SECCE3Rv1G0193370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:769540363:769540698:-1 gene:SECCE3Rv1G0193370 transcript:SECCE3Rv1G0193370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRHAGESWADDGEWEGASSTSEDGDNHHHHHHHDASEEHLSEVTIRITKRQLHELMERKTAGGRGNSTRQLLADIMDSGEVHHHDVHREEHWRPALQSIPEALES >SECCE7Rv1G0491330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:523369174:523370727:1 gene:SECCE7Rv1G0491330 transcript:SECCE7Rv1G0491330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLFYSLTTMLCLLSSLLLRARARSPSHSATLTPPLPPGPVPLPVLGPLLHLARRDFDLEPVLRRLARAYGPVYSFAPLGLARPMIFVAARGPAHRALVQRGAAFASRPRATAPAAAVLTSGGRNVSSAPYGPTWRALRRTLASGVLNPTRLRAFAPARRWVLDVLVSRIRSNGRGGGVVAVMEPFQYAMFCLLVYMCFGGDRLGDARVRDIEALQRDLLGNFLSFQVFSFLPPLTKLVFRRRWSKLVSLRRRQEELFVPLIRARREAGAGGDCYVDSLVRLAIPEDGGRGLTDGEIVSLCSEFLSAGTDTTATALQWILANLVKNPGMQDRLRDEVSSAVDGELREEDLQGMPYLKAVVLEGLRRHPPGHYVLPHAAAEETTLDGYRVPAGTPVNFAVGDIGLDEEIWTAPSEFRPERFLPGGEGEDVDLTGNKEIKMMPFGAGRRVCPAMALALLHLEYFVANLVREFEWREEAGEEVDLTEKLEFTVVMRRPLKARAVPLRQGRPFAATRSG >SECCE3Rv1G0196930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:813311352:813313611:-1 gene:SECCE3Rv1G0196930 transcript:SECCE3Rv1G0196930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGEMSPPGCRRTPPPSASLPDDDDMLREILLRLPPRPSSLPRASLVCKRWRSLVADPQFQRRFRDHHGKPPLLGFFLDSRPFVPILDPPDRIPEARFSISPLESYRIIDCRHGLVLFLAHRITRCLVLWDPVAREQRQLIFPPELDNAQMFFFNGAVRRPGRRQGWHFQVALIARDTLCTRVSVWLYSSETGVWGNINSLQLQSIQSMPQPSTLIGNSFCWLFTMDHGCVILEFDLDRQSLAVTELPPHIDMEFDFHKLWIMPSQGGGLGFIHLAQFHAQLWKREPDSDGLAVWVPDRAIEFGELGSTCKGDFLTLVGFDEESNAILVLTASGVFMVYLQSMEFKKLSDPMSFCHHYPFACFYPAGTGIVDGHDGNEGLNNM >SECCE7Rv1G0509920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:785056752:785060127:-1 gene:SECCE7Rv1G0509920 transcript:SECCE7Rv1G0509920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLLPQSQLRRSAAATSAARSSGGGGGVGVEGAGGPDGVGAGAGGGRTASSSTFWFLLHALCCLISLFLGFRFSRLLFFLLFSSSALYAATSNNKSAVLRAITTTTTTTTTTTTTTNTFTLSFAAAGNPPPSNPNNLTAAALEEAGGTQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMERVQEEQRRWYGVREPRQVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGVTNATAAMLARSSLTFVHVPFPEKMPLEWADRHATENRMRLHALRVIRERKMDGVVVFADDSNVHSMELFDEVQKVQWMAAVSVGILAHTGTAEQPRLTEEDKKNMPLPVQGPACNSSGHLAGWHTFNTLPFSGKTATVVGEAAPVLPKGLEWAGFVMNSRMLWKEAEGKPDWVKDLDAVGENGEEIENPLTLLNDASYVEPLGNCGKKVLLWWLRVEARADSKFPQGWVIEPPLEVVVPAKRTPWPETTMDVSSEMLDAKQEQEDRQLPRTNNRSARPRSTTTKRKGDVQN >SECCE4Rv1G0258920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:680810492:680812210:1 gene:SECCE4Rv1G0258920 transcript:SECCE4Rv1G0258920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPSSAVVILSDSDSGSDDDAAALLVVAAERFTSSLTTEAEVEALCKKHGVPSSLAESPAGDRRACSPPPPRAVCVYAHALEAGVRFPLHAFFCETLSHFGLAPGQLTPDGWRVLVGFAALCHDAGVPSSVALFRHFFSLRSRSGWYCFGCKEGAGSLVTGLGSSKSDGEWKREFFFLTAPEEWPCPVRWGAPTAKISAAGPELSSQDKKSVADLVYKHGAAVDLRTYLREADLAAAFSSNLAGASPSPHATGAKGIDPLEESAAETPAKVDTEPDGDALPLSGNKRKQGDTANVEDEVCRSALATPPPASPPGLSARALSGGSAAVSDPCARRVFFDPKPQHSPVPDAHDGDSADWTVARKVLECVVTPSRDDKFAASKPSDVVASTYVAMLQAANYVSFSSDYALELDEKLVARERDKVALWEQLDKEKTARQAAEAELEKAKADLAAVKRATVESAKAMAVQQFLGSEEYKRRLAEHAAAGYKSGAEEMKGVVLRHYPRLDAAKLVLPLD >SECCE5Rv1G0309380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:151762916:151771315:1 gene:SECCE5Rv1G0309380 transcript:SECCE5Rv1G0309380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARMTTADVAAEVKCLRRLIGMRLSNVYDITPKTYLFKLMNSSGITESGESEKVLLLMESGVRLHTTQYVRDKSTTPSGFTLKLRKHVRGKRLEDVRMLGYDRMILFQFGLGSNAHFIILELYAQGNIILTDSEYTVMTLLRSHRDDNKGLAIMSRHRYPVEACRTFERTDFTKLKDTLKLSNTVDDKESSQVTPSSADAQQPSESANDGVPATDKLEEPANRTGKKSAAKFKQSGSDAKASNGTQSNKATLKTLLGEALPYGPALAEHIILDAGVLPSTKVGKDPESSLDDHTIQSLVESVARFEDWLVDIISGQRIPEGYILMQNKMTAKKNVTPSEGSSTNQKVYDEYCPILLTQCKSREYDEFETFDDALDEFYSKIESQRVNQQHKAKEDSAVHRLNKIKLDQENRVHTLRKEADHCITMAELIEYNLEDVDAAIKAVRVSLANGMSWEALARMIKEEKKAGNPVAGLIDKLSFEKNCITLLLSNNLDDMDEEEKTAPVEKVEVDLSLSAHANARRWYEMKKKQETKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIVSGRDAQQNELVVKRYMSKGDLYVHAELHGASSTIIKNHKPDSPIPPLTLNQAGCFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESCLASHLNERRIRGEDEALPETEAEPRLNERRIRGEDEALPETEAEPQKQQSNPEPDDKLATDNEMSKGTHDNESSRAHTGVHQNDDTNHSNLPSNVDTADKSQQVAETKTVENSGTDASVSSRLEDLLDKSIGLGPAKGSGKSSLLVSSLSSLGEDTDDLDVKKSMVREKPYVSKAERRKLKKGENAFESTSDPQKAVKKPDNPQQEKSKDNTKAANPKTSRGQKGKLKKIKEKYAEQDEEEREIRMALLASSGKASQKDNPSQNGDATAKQPKPSTGEDDSLKVCYKCKKSGHLSRDCPESTSAVDPTDATVGRSRDGIDRSATPVDGSVAMDEDDINELGDEEKEKLIDLDYLTGIPVASDILLYAVPVCAPYNALQTYKYRVKITPGTAKKGKAAKTAMSLFMHIADATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKAAKSN >SECCE5Rv1G0318910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:369235625:369243829:-1 gene:SECCE5Rv1G0318910 transcript:SECCE5Rv1G0318910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMILSPRQWLLLLPPVFLSTLFFSYLYTILWLRPERLRHKLRSQGVKGPKPSFLFGNIPEMRRIQKLVTPDQQEGAGPTDRFSSNYVATLFPYFLHWTRVYGSIYLYATGSIQTLHVIDPDMVKELASCKSLDLGKPSYLRKEHGALLGTGILTANGDLWVHERKVIAPEFFMDKVKGMVNLMMDAALSMLNSWEEKVESEGGRAEIVVDEFLRNFSADVISRASFGSSFVEGKEIFYKIRQLQKAIAKRSMLIGVPGSRYLPTKSNREIWNLGSCIRSLILNIADKHGHDSATTPNKFFLHSIIEGSKAASFSSCTPEDFIVDNCKNIYFAGHETTSSTAAWCLMLLAAHPEWQARTRVEVLDVCHGEPLDFDKLRKLKTLTMVIQETLRLYPPASFVTREALKDLNLGGIDIPEGTNIRVSIMLAHRDPSAWGADCDKFDPGRFAGGIARACKPHHMYMPFGVGPRTCAGQNLAMVEVKVVLSLLLSRFEFALSPSYDHRPVFRLTVEPGSGVPLILRKL >SECCE6Rv1G0414640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:635483782:635486460:-1 gene:SECCE6Rv1G0414640 transcript:SECCE6Rv1G0414640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTLHPCTYRPNAFLLATMGMHGGTSWRRRTQEAVAMVLALATLAAVAAAGEQHQHETTSKVAGGNAADVSWTEASGVNVTAICSSTPYPGACMTALSSSASRAAKDPFAASVQFAMARAASARVLAQNLSSARRSRAALPPSGMDDCAELLDISHGQLGDALTAGSAHDATTWLSAALTNQDTCADSLDAVPASAGREGVRRRVGALAEFIGTALALHTKLKAGNATLPPPAAPAQSTPPNRTFPPWVSDHDRKLLESAAGGVTPDAVVALDGSGTHRTIGDAIAAVTAAAMAPVGSSKAGVRARAGRKVIYVKAGRYEESVRISKRQRNVMLMGDGKGKTVIVGHRSAADGYSTYASATVGAMGSGFIAKGLTIINDAGPGKGQAVALRVGGDHSVVYQCDIEAYQDTLHTHSNRQFYTEDDISGTVDFIFGNSAVVIQNCDIRPRKRQFGQMDVITAQGRTDPNQNTGISIHKCRIAGASGLGETKVYLGRPWKAYSRTVVMKSSLDHSITPAGWLEWSGKFALGTLYYGEYENTGPGAGTSGRVKWTGVHTSLSTVDATRFTVRGFILGDSWLGDTGVSYNSGL >SECCE6Rv1G0402090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:479829847:479835519:-1 gene:SECCE6Rv1G0402090 transcript:SECCE6Rv1G0402090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVSCAFKFVVEAVALFKSYFGGTFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPSKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKLANRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRC >SECCE3Rv1G0212030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954207828:954209845:1 gene:SECCE3Rv1G0212030 transcript:SECCE3Rv1G0212030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWKKREELDGHRYWNHTEEQDKRFFKVMIGDFHERLIIPDRFAQHFRGQTGRTIKLASRHGYTFDVQITKNLEKLVLDSGWKAFARAHNLRTGDFLVFKYDGHSQLKVLIFGPSGCEKIQACNLKENAAPGKEWWGNTPDIVNTCHNLPMKFPHSGRQIRPGKDSSRQGNDVVNVSSSSSASDSAGGISSSEDDHSLSGCILANGTLWNLNEVQKKRIKEKIRAINSKIPIYGCVIRKSSIYGASRSLDISRKYAEVYLPFKEQMLTLQHHGKKWEVRCRVTKNKTKRLMRGWKHFARGNSLRIGDACLFELLRNKKKYVMNVHIIRKSLYKR >SECCE5Rv1G0352900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:712437661:712439061:1 gene:SECCE5Rv1G0352900 transcript:SECCE5Rv1G0352900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAPEWLEKGDNAWQLAAAALVGLQSVPGLVILYGSIVKKKWAVNSALMALYAFAATMVCWCLWGFRMSFGDRLLPVVGRPDFAGLDAAGFLSAQGFAGAYPAATLLFFQFVFAAITLILVAGALLGRMDFRAWMIFVPLWLTFSYTVGAFSVWSPNGFLFKAGVMDFAGGYVIHLSSGIAGFTAAFWVGPRTAKDREAFPPNNILLTLAGAGLLWMGWTGFNGGAPYSANIDASVAVVNTHLCTATSLLVWLILDCFVFGRPSAIGAVQGMITGLVCITPAAGLVQGWAAMLMGLVSGSVPWFTMMVLHKRCRILRHVDDTLAILHTHGVAGSLGGLMTGLLAEPRLCRLFFGDDPRYVGFVYAVRGGRVSAGLRQMGVQLAGIGFIVGLNIVVTSIVCLLVKVAVPLRLSEEQLAAGDDAIHGEDAYAVWGEGETYEQSVHGSRRYQMTANPMSSKVDEII >SECCE2Rv1G0126090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844689425:844690045:1 gene:SECCE2Rv1G0126090 transcript:SECCE2Rv1G0126090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEGSRRAADEIAAKEAVAAGEERPLKRCQHAATEKRPLKPCTAKRKRKAEADDDDDELRAAKLKAFYEKSGPSKKMTRLPRAEVASILSSRTHPDRAPSCYKALKLQNPDLIPSPEEEMDEVRVAEYAEARDFFEVAEEFSVFQAWVRSEYAKYGYVEVDDDYLAHREQIRACSDRAREAAFEAIDFSDGDEDLKIFYRKRRH >SECCE7Rv1G0466900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82397418:82400533:1 gene:SECCE7Rv1G0466900 transcript:SECCE7Rv1G0466900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLNHPAAAAAAASGQGRSFSPAAAAPSSVRLSRRRGPAPAASASALAVEADAAADRVSALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFGEIDGLQSNGVSCDGRILVSDRAHLLFDLHQTVDGLREAELANSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFEDAAARFEGFKYSKGMLKEEVERYKRFAERLEPFIADTVHVLNESIRQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVIGDLIGVVKAYTTRVGSGPFPTELLGEEGDVLRKAGMEFGTTTGRPRRCGWLDIVALKYCCDINGFSSLNLTKLDVLSGLPEIKLGVSYNHQIDGQKLQSFPGDLDTLEQVQVNYEVLPGWDSDISSVRSYSELPEAARRYVERIEELVGVPVHYIGVGPGRDALIYK >SECCE2Rv1G0104460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:606916051:606917331:1 gene:SECCE2Rv1G0104460 transcript:SECCE2Rv1G0104460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMESSYLPATTESIAMAQEAKDASESISILYRVIQDPSSSADALRTKELAITNLTNYLTEESRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVSKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTSLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALDDPRAIFSLKYMLLCKIMVNQADDVAGIISSKASLKYVGPDVDAMKAVADAYSKRSLKYFETALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSKVEIGHVAQMIELAVVDVEKKLSQMILDKKFAGTLDQGAGCLIIFEDQKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >SECCE7Rv1G0525000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:888037189:888038655:1 gene:SECCE7Rv1G0525000 transcript:SECCE7Rv1G0525000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTETKEFNIATSALPPHFILVPLVAQGHTIPMVDLARLLAGRGARVSVVTTPWNAARLQGVADSARRAKLPLDIVELPFAPADDGLHPGCGDDIDTLVPMFEALHRLAGPLEAYLRALGRRPSCIIYDRANAWAAGVARSVGVPLLFFHGPSCFYSLCELNVATHGQGLVDEREMCAMPGLPVRVEMTRATCSSAFLKTPAWEAFQKNSMEAMHMADGAVANTFLDLEGQFVSCYEAALGMPVWALGPLCLSSRDETALACRGDKDKPTAVDQSAVTEWLDAMRTGSVVYASFGSLVRVLPKQLYEVGHGLEDSGRPFLWVVKESEVASPEVLEWLQALEARTAGRGLVVRGWAPQLTVLSHRAVGAFLTHCGWNSLLESIAHGVPVVTWPHLADQFLNERLAVDVLGVGVPVGVAVPDGEAVIVARGDIARAVSEVMGSGDVGSERRRKAKEYGERARTAMGIGGSSYQNLTRLIQTFTRSPVE >SECCE5Rv1G0320070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:381066570:381068722:-1 gene:SECCE5Rv1G0320070 transcript:SECCE5Rv1G0320070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNLLDWPEPIVPVQTLSNSGMSSLPQQYIKPPSERPSGVTNDPNLSIPVIDLASFSDAPEHHQEMLKAIASACKNWGFFQLVNHDVDTEAVRRMRSAWREFFDLPMEEKKVHANLPVTYEGYGSRLGVEKGAILDWSDYYFLNLFPSDIRNLDKWPKIPTDLREATEKYACQLISLCQVLLKAMSSSLGLEEDYLHNAFGGSDGISATMRVNYYPRCPQPELTLGLSSHSDPGGITLLLTDDNVEGTQVRKGDAWVTVQPVPGSFLVNVGDQIQILSNGRYRSVEHRALANSDKERFTIAFFCNPRGDLPVAPASELVGPESPALYHKAITFNDYRKYMRAKGPSGKTQVQSISSTMQPEPAA >SECCE7Rv1G0474780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:177063630:177064952:-1 gene:SECCE7Rv1G0474780 transcript:SECCE7Rv1G0474780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARRARRAATKTAAPVAVELSIPAHFRCPISLDLMRDPVTAPTGITYDRESIEAWLDTGRAAVCPVTHAPLRRDDLVPNHAIRRVIQDWCVANRSRGVERIPTPKIPVTPVQASELLFELAESARAGDRGAAARCAAAVARVRALARESERNRRCFASIGTGRVLAAALESLAAGGGEPAGGVLEDVLAALVRMAPLDEETARILGSPRSLDSLVAIAENGSLAGRLNAVLAIKEVVSSCDRACTDLGGKADDIADALVKIIKAPICPQATKAAMVAAYHLARSDERVAARVARTGLVPVLVESLVDADKSVAEKALALLDAVLASEVGRESAGMHALTVPILVKKMFRVSDMATELAVSAMWRLGKNAGGDKGAATKCLVEALRVGAFQKLLLLLQVGCRDATKEKTTELLRMLNKHKGAGECVDAMDFRGLNKLS >SECCE5Rv1G0335820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:579758158:579766712:1 gene:SECCE5Rv1G0335820 transcript:SECCE5Rv1G0335820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVLMVAEKPSIALSIASALSGGRMSTRRGSTDVHEFDGVFQGSQAFFRVTSVIGHVFSVDFPPAYQNWEGTDPMDLFDAPVLRSESNPKAHIHRHLAQEARGCTYLVLWLDCDREGENICFEVINCTGIPENEVGRRIFRAKFSSVTEKDISNAMDNLVLPNKDEALAVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQINTFKPEKFWSLRTYIIKDGDEIQLEWDRKKLFDFDVTVMFQKMVASDGALKITDISVKEECKARPPGLNTVNLLKVASSALGIGPQTAMHMAERLYIQGYISYPRTESTAYPASFDFRSVLSTLAHNPLWSNNVRTLMDAGFVKPRQGHDVGDHPPITPMRLAPEEALETDAWRLYQYICQHFIGTVSHDCRYTRTAVEFTSGGEIFRCVGHRVTSKGFTSIMPWLAVGENNLPTFKKGDTINIHKVDIYEGSTTAPDYLSESELISLMEKNGIGTDASIPVHINNISERNYVQVNSGRRLVPTALGTTLIRGYQCIDADLCLPDIRSFIEQQITLIAKGKADHLQVIQHVLQQFMRKYSYFVKKIENMDTLFEAQFSPLADSGRLLSKCGKCGRYMKYISTQPMRMYCVTCEEVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPCCYNSPPFEGIDKLFGALKLDDTGKVGKGAGMPCFLCPHPTCKQSMITQGVCACPECSGTLILDPVSAPKWRLLCNTCNCVVLLPHAAHRITTTDKKCPTCESTIIEVDFNKKATPLEDGATLHEGCILCDELLHSLVEMKHGKSFFMRRGRGRGRGRGRGRGGRGRGRRGNSRHDDPKMSFRDF >SECCE1Rv1G0043060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:588498590:588499813:-1 gene:SECCE1Rv1G0043060 transcript:SECCE1Rv1G0043060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAAPPPASRISSWHADDESELGEEELGVFTAERYFNGALAAEDALWCDRSSSSFSSVFKTWHHDGSAPTPTAATSSSEASWNSRSALLPNRPAIAPPSPVESKPDSRTESDETGRKARSSSSHLRRWLLGMAGRACAWGDGEESVSTEDRSRHELESGSVHGGQKRSPEADAALPRMTSKQAAVEDGTTARVMSGKRADDGDAPLTLLVAEATHRRAANSGELSMRMLDPAANASYNDRLRRESLDMFHQAGQGSAITIVAGSTAQGGAATVSGGGGARGSPDTPSRRRDSGNLESVCPPSEASVVWSVVTAEGAASGNFSSAASGCYYYCNDGDGDTKTIRHMAAGRKINRRRRSNGGGLLMGCMSKRAVDAVGSASDVRLPAGGRAGAGGEVSVPDVTRRR >SECCE5Rv1G0334070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:563642550:563644598:1 gene:SECCE5Rv1G0334070 transcript:SECCE5Rv1G0334070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPIPAAGEATPPSEPPPSRVSVRSSSSSSRRRCALSSRFREPASPRRHAWVSLQGRLVGAEEAASAGAAAPGLPSDEATAWELFSPMHRVLLVATVAAASSRSHAARRIEQLQRSIHFRDEVLQSMQQKLDDLLGEMHSLQQQYVKCDSFISTQSEKIELASSKKLMDEEGTRCCVCSQPVTAATPYKTKDFCGTDDAKSDVVDRSSVSLVDHEERRMSDLSDIWSVVSSVDNHVYGDNQLSSLAAEQELYNIQKECEEKDAIIKELTAAAHTSGTADAKRIAELQDILKRKNMVISKLKKDMSALKQMVVELTRAKRASSVNLDTACSELPVMSSNILYDMSSTSPSSSDSESPVTAREYLNVQPTDGTPGDCESTGSSRVSVRKTSLPPANSSVRSTVPLKEKCLNPKVETSLVGRQKQLISSNGDFKKTRRQSHQDSRNKATKRWM >SECCE3Rv1G0164870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:167399862:167401012:1 gene:SECCE3Rv1G0164870 transcript:SECCE3Rv1G0164870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLKPWALLLVLLLFIGLSHGAPATMFNENFVPVWGVDSYHLANHGTQVSLTMDRNSGAGFSSKMMYGSGLFHMRIKIPAGYTAGVVTAFYLTTQPEYGDHDEVDFEFLGNVDGKPVALQTNIFLNGQGYREQKLYLWFDPSAAVHDYKILWNQHQLVMLVDETPIRVLKNLVGRTSGYQFPTRPMKIRASIWDGSSWATDNGKIRVDWNHAPFTSAFQRFNVDACPTIRGAQCASPNLWWNMFRDLTPVQEAAYKNVKSKYMTYNYCDDKGRSNLHLPGECTYN >SECCEUnv1G0554250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:283919824:283921855:1 gene:SECCEUnv1G0554250 transcript:SECCEUnv1G0554250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHSPPILERTWFKIFCRADEGFSLTIRDDAVVLTGEDDGDEHQHWYKDTRHSVSVKDEEGQPAFTLVNKATGLAIKHSLGQSHPVELVPYNQEYRNKSLMWTESEEVGKGYRCIRMVSNIGLNFDAFHGDKDHGGVRDGTTLVLWEWCKGKNQSWKIHPLGTEADAPPPAYGIQEPPVQETRSEYYSAETYPPPAAGQWHHGHQPGPGYEQPYPQQPSAGYGYDYGVGVGVGVERYGNLAPPPPSVETTVRILCRANEEYNLTVREGTACLAPANPADDFQHWVKDMRRSTSIMDEEGHPAFALVNKVTGEAIKHSLGQSHPVKLVPYNPEYLDESVLWTESKDMGDAFRCIRMVNNIYLNFDAFHGDKDHGGVHDGTTIVLWEWCEGDNQRWKILPWCE >SECCE5Rv1G0318460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:360989641:360993337:-1 gene:SECCE5Rv1G0318460 transcript:SECCE5Rv1G0318460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIKVRVSKLRKAKSVEDSRHKSASREPKEGQGSNPKRANGGTPVEPQQREVCAFCDDGGDLICCDGGCLRSFHPTKEHGERSMCTTLGLNEAKWQKHKALGEKGLYFCKNCTHKQHQCFACGLLGSSNLTAGPEVFQCKHQKCGHFYHPGCVAKMLYPDNKAKATCFEQCVAGGLEFSCPVHKCKRCKEAENKHDKEMQFAVCRRCPTAYHRKCLPRDISLIEDEEEGTPQRAWDGILPDQILIYCRKHEIQRELGTPKRDHIVFPDVRNPCAAERHRGAPQEHGILDEDELVDRLASCNPSQSPRPVEIVGDRVKPIDSFAPKHLFPRPQPGSCGWLDD >SECCE2Rv1G0131770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887168106:887175509:-1 gene:SECCE2Rv1G0131770 transcript:SECCE2Rv1G0131770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSRIAILDRVLTARACVMEDPPSDSGQSANGTEPELDPMQLDDNEESMSHTMDDSNGQSSMDVDREQLSMDADMNGKPSSDGDGKGKYSSESHAEVPIDMSLGSLEKFCKEASRSFFDEFGLISHQINSYNEFVSHGLQELFDSLGEVTVEPSYDSSKKGPGGWRYAVIRFGKVKLEKPVFWSGRDDIDEESLKLKPRHARLQNMTYSSKMEVEVHIQIYSMEKSDKSKTEKDPFGHKRVLMDETHVVNIGRLPVMVNSNLCWLHELRESDCLFDSGGYFLIRGMEKIFIAQEQRCLTRIWIADRPVWTVSYLSEIKRRRIYVKLIDSTKNNDFSGSKIISISFLYANLPIWLMFFALGVSSDKEAFDMIDMGDCDASVINAISATISESDELCEGFRKSDKARQCVDDMVKSSKFPPGESFDDYVAKYLFPGIKGNRNKALFLGYMVKCLLMAFTGKRRCDNKDDFRNKRLELPGQLLGRELRAHLRHAERLMVKAMQRDLNSDRDLEFPMRYLDASVITNGINRAFATGSWCHPYRRNERCSGIVATLRRTNPLQMMSDLRKSRQQVAYAGKAGDARYPNPSYWGKMCFMSTPDGENCGLVKNLAITAIVSSRVAQPLIDRFVSCGMNKLDEIPAKKIPQMDKIFLNGDWVGSCADPASFVMRLRCMRRGGLIDPQVEIKRDKHQSPGEVRVFSDAGRLLRPLLVVENLNKITKRKGSPYSFQALMQQEIIEFIGVEEEEDIQCAWGIRHLFQSSGEEVSGYTHCELDLSFLLGLSCSLIPFANHNFARRVLYQAEKHSQQAIGYSTTNPLTRVDTLSHQLYYPQRPLFKTVSADCIGRSDYTFGRKDDFARPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTELMRSYKAEVETKGPNKRLKMKEKVNFGKMESKRGRVDNLDDDGLPFVGSSLQNGDIIIGKVSESGEDHSIKLKHTEKGMVQRVLLSANDEGKHFAVVSLRQVRSPCVGDKFSSMHGQKGVVGFLESQENFPFTCQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGKIKYATPFTTATVEVISEQLHKLGFSRGGAESVLNGQTGKRMQQLIFAGPNFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFTLSDFSEMRICQTCERAANVIMRPVSGGRKIRGPYCGFCRSSENIVKIAVPYGAKLLYQELFSMGICLKFQTQVC >SECCE6Rv1G0405900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:537628125:537630266:1 gene:SECCE6Rv1G0405900 transcript:SECCE6Rv1G0405900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMERGERAPLLPESHGPKVQEDDSLQAPLLKHKKRAGSKAPAVILLFECLESTAFNGISTNLVVYLETVLHGTNLASASNVATWFGTSYLTPVFGAIIADSFWGNYNTILVSLVVYLLGMMLVTFSAFLPTTTAALCAAGASCAGTAGTWALSSQTVAFVGLYLVAIGCGGVRSSLLPFGAEQFDDDSAADRDGKASFFSWFYLCVSFGPIISGVFLVWIQQNVSWGLGFGIATACIAVAFAAFVLATPMYKRRMPAGTPLKSLGQVVVAACKKISVKVPAEAGHLYEVSDKIDSPQPKIAHTSDFKFLDKAAIVTQSDMEERPEAATSWKLCTVTQVEELKILLRLLPVWITSIVVSSAFSQMNTTFVQQGSAMDMTILSVPVPAASLASFEVICVLTWVLLYNKVIVPALRSFSSSGDGEPSQLQRMGAGRLLMALTMAVAALVEMRRLDSAARGEEISIAWQLPQYFFLAGGEVFCYIAQLEFFFGEAPDTMKSMCTSLALLTIALGSYMSSFIYAIVEAFTATRDSPGWISDDLNKGHLDYFFWAMAAMCTLNFVVYSGIVKNYRLKTVIS >SECCE2Rv1G0099470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:521501316:521502356:-1 gene:SECCE2Rv1G0099470 transcript:SECCE2Rv1G0099470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ribonuclease P/MRP protein subunit POP5 [Source:Projected from Arabidopsis thaliana (AT1G04635) UniProtKB/Swiss-Prot;Acc:Q6AWV1] MVHFKNRYMVMEVFIDASRGEADPVILTQFNITKVIRDSIQLNFGECGLAASLGSLQVKYVNPVTKLCVIRVSREDHQKVWAAITMVRSIGTIPVSFNLLDVSGSIRACKKAALKCEEAKFEQHKLAAGDRMTQEIIESVQSCFAKLKGLDS >SECCE5Rv1G0358480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755681764:755682234:-1 gene:SECCE5Rv1G0358480 transcript:SECCE5Rv1G0358480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHASAATAQKRKCPDGETAGMCAGGCGFFGAAATGNMCSKCYKDHVLAASTAPPDKKAKMTVSVASADAAIEVEPSVASAKQPAVRASRCAACRCAACRKKVGLLGFLCRCEGTFCSVHRYSDKHDCGFDYKTAGQEQIAKRNPVVVADKITRI >SECCE1Rv1G0048260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:633272405:633276413:-1 gene:SECCE1Rv1G0048260 transcript:SECCE1Rv1G0048260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFSKSSSPVQPRGDNKSDLLEASPLPAGTVQLSTAPHMASPPRHLLPLLLLVALLAPSSSAAPGVIRLPRAGACAAPADPAVYDRPVIGIVTHPGDGAAGRIDNGTSTSYIGASYVKFVEAGGARVIPLIYNEPDERLLEKLSLVNGVLFTGGSVKSGPYFETIKKVFQYVLDKNDAGVPFPLFAQCLGFELVSMIVSKDNNILESFHASDQASTLQFPNYSSLQGSVFERFHPDLIKKLSTSCLVMQNHKYGISPKRLRENDALSNFFKILTISPDENGEIYVSTVEAQNYPITCTQWHPEKAIFEWRKPMIPHSEDAVQVTQNFANYFISQARKSPNRPPADKVLDNLIYNYIPTFSGKTSKSFELVYLFS >SECCE3Rv1G0212390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:955446530:955448368:-1 gene:SECCE3Rv1G0212390 transcript:SECCE3Rv1G0212390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGTLFLAVGAWHVWAAVARFAMDPAGFRLRAWNPVGAGGGGGALRHLELYVIAGGAFLDMCVEVLYSTHLHVFAPGGGVNPAHLNDLEHGGMLLMFFLFGALALLSEKTRYLPLTEGALCLLAATAFTAELLLFYFHSTTHQGLEGYYHYLLVLLVGLCVASTVLGALLPASFPADLASGLLITLQGLWFYQTALTLYGPMLPQGCRRDAGGDIDCRGHAAGERAEQLADFQLFAYVFLAFAYALGCYAVAAAKYGHPDLSTGEFVGSSALATGR >SECCE7Rv1G0506410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:748317954:748318930:-1 gene:SECCE7Rv1G0506410 transcript:SECCE7Rv1G0506410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMVTEAWTLAGCGGAASKPLPALEVPALLHHPIAKKPTSSRGVAVASSAGHDGRRDAAVVGRRRGIASCLLAALALAGSSSSGGAARAAILEADDDLELLERVKEDKKKRLQKQGIISSSAAETGYLQDLVYKLSKVGQAIDKDDLAAAGDVLGPAPDAPWVQNVNAAFSKFSSSTEEKSAVDSFNSSLSSLFTSVSKSDINSSKSAFVSSATALEKWVELAGLTGKLKGF >SECCE4Rv1G0225940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:101935614:101936837:1 gene:SECCE4Rv1G0225940 transcript:SECCE4Rv1G0225940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFVNLVTRNWEDGIYALRRLNPDVHLFYQSRNDAKEVAEINTMDSAADDSIKMKSAADANDKTKRCSSPRMRTLRRLPRPVARFDPSPAGLWVDDGLEWFELLGPRRSESRVVNANVAGDTGLYDADEGIIFNLPSLHEPKGTDPVCLSVTHPDAEEDALYVMDRYPGRALPRRSTGGPGVRSCFEVLEYGPSSRYLDDNMKGWGWRTLPPPPFIHEHGYEPTRITSYAVVGDGTTLCISSDRHGLGTYCFDTVRDDDTRRLGWDYRDEWRHVGRWALPFYDKAEYVPEFKLWFGFSPPRPNHLCAVDLSTMDLGQPPVVHHVWEDLDRPEEEDWVPTHFRILNLGSGKFCIAKIISAEATGMKFTVLTGIEMVRGKDDESLRMFKHKSTRYMFTTPDVIHWVI >SECCE6Rv1G0412010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611933591:611933920:-1 gene:SECCE6Rv1G0412010 transcript:SECCE6Rv1G0412010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPMVIASAGLGMLAGVAMANRATGEGLPAASRWDARPRCSTCRGTGREECLCSRWSDGDVGCGTCSGSGRKRCRSCGGSGTGRPLPARLIVQQHKPPTAPGRRGDYN >SECCE3Rv1G0198540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:833104191:833106923:-1 gene:SECCE3Rv1G0198540 transcript:SECCE3Rv1G0198540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGEMSAVAAAAEPDLERGLVAAAADGGGGKRLGGESSGEEEEGEGSQRFSDAEDRSWHSRQNSAALEDRASTSASVRSGAGAGAGDGDGDKEGEAAAVRGRKSCVSECSLDDVDLEAGPAAEITKASPDKDEKNCRICHLGLESAAAESGAGIVLGCSCKDDLSCAHKQCAETWFKIRGNKICEICGSTACNVVGFGDAEFMEQWNESGTSAGPQAPANETRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >SECCE1Rv1G0007360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:40212498:40214722:1 gene:SECCE1Rv1G0007360 transcript:SECCE1Rv1G0007360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTRKEQFDAYVDWILAVRQEGTIKDGEAAETRNTALAPRNKKKSARKAAALEWARKSLEAERAKDRSFKPKTMTEEDVAAAAVHRAKGEEAHRNHDRKGELDAVIKWIATGDPEAIRVSHQWVEENMEAMKLEPLDPTEYWEAVDAKSQEASPIGPSPIQPMCYAGDKPPRGGTMRTLQVFSVRVGAIKGGLDWPLDVYGIVAARDSLDRGRNIIFNRTRDNCQTIDKKNPCLRLTGPTRAVVVVDPACFEVNLRVKGRTESEDRELSCLVFNYHDSGSSESYAIKRVSTSKLCTVALMLGDIVNSVEATISVRVVGGEWPEGFQGLISANTASIDSKKIELLAFGDKLPLAADGMIQLSRHVVSVEANGELRVCVMASSLEDQTVERDSEAFRAKKASRSMRMLEVNRCKFEVTVAWSLIPNLPHFHKLAKDV >SECCE4Rv1G0283560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:835912018:835912476:-1 gene:SECCE4Rv1G0283560 transcript:SECCE4Rv1G0283560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVDHLLVLLIAFTAINGSSAASRRLLDTAAAPEATPAQTSTPEAPTAYPAVPSIPAIPKLTMPPIPFIPTPKVAMAPTAPDTVPSLPIPAFPTTMPTIPTLPVTMPPMPSVPITIPSIPITMPTTIPTIPGFQMPPIPFMSPPPQTTSP >SECCEUnv1G0539690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77134890:77135490:-1 gene:SECCEUnv1G0539690 transcript:SECCEUnv1G0539690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASASRRSWPCYGAVPMTRCPACPRTAPLSRLVTTTDKNGNLGREFVKCESKPEQGKKLKQCAHFEWLDKYIEQIQLEGASGELDLPLEVEKFGSGPSGSGAPGSGNSIGATMGDAGGMAELKKLNKQMKKLIKLKKQGNLMAGIFYLCVIALAFVYVMITSR >SECCE2Rv1G0079240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:116566094:116568596:-1 gene:SECCE2Rv1G0079240 transcript:SECCE2Rv1G0079240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTTPKSGDALFASVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSNCADFKETADTIAKLGFKMFLGVTATVTNWDAEGTTCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >SECCE6Rv1G0387490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:113498935:113500628:1 gene:SECCE6Rv1G0387490 transcript:SECCE6Rv1G0387490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHKSNPFLRKISVAVATPILLLLALAVVSLYDLNLAESYQYIRRASSSPSTTSPPATTSSSPTVPATVSSSTSPASPANSSASSSTLAASPATAVEACDLTRGQWVPDDEPPYYTNLTCPFIDDLQNCMKFGKPGLDLMRWRWKPDGCDLPRFDAGRFLEAMRGKSMAFVGDSLARNHVKSLLCILSQVAQPVELVTTTETDVTGRAVRRDFHYGSHGFNVSLFWSPFLVKANLSNAALGLGLWDVHLDTADARWAAHIADFDYIVLSGTNWFFRPSVYYEGGQAVGRNGGASGAHNASEAPVSGAVRAAFLTALGAITAREGFRGKAVVRTVTPAHFENGEWNTGGDCVRTRPLRRGERARDAVVAEFRAAQVNALRETEAASQRNRNGAELRLLDITEAMELRPDGHPSRYGHPPGGSVEGSFVVDCLHWCLPGPIDLWSELLFHMLPAHPLRADIE >SECCE4Rv1G0230350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:163117545:163118024:1 gene:SECCE4Rv1G0230350 transcript:SECCE4Rv1G0230350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSYPVQFFHRGGGGGGGGGDDGQWCSLGAAYAAVRVLRPQGHSLVMYSGPDNQPRQRIVFAYPILPSDAFERLDGSTLSWADQGSGDEFALCFRDEAACAAVCGAISPVTTALAALDDIADRLEGLRVAAAEGGASAAGGDIAGRLAQLSLARGP >SECCE7Rv1G0474000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:165664558:165667082:-1 gene:SECCE7Rv1G0474000 transcript:SECCE7Rv1G0474000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGSFGRRRQPTAAEVVGRLKDDGDFDALRRAIVRKVKDNEVLRNNIITEVKQSMVLSEDGSEKLKLKELSDAIFQDVGSKIMGQISDEVWSVIQSGETDIRGSVEAVFNRIMNPEQQKDTGPSPKKLKRSGKGEQVSPAKASASVTVQVEDDDPEEPPGFGLSDLQRSNIVVKQDQPCDDGQNHTQVKPNGGELVGADSLGDADDEDPDVPPGFGAPPGFG >SECCE7Rv1G0458390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:21506784:21508212:1 gene:SECCE7Rv1G0458390 transcript:SECCE7Rv1G0458390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAPDKDVRTVFDTKDLAKFYIYESPKIFPQKDSIFSKIGTTLGMVTRPKYNGKYLLSLLRQHLGGTKLDGALTSVVIPAFDIAHPQPTIFSSFQLKNQPAKNVLLSDIAIGTSATPTFFLAHYFETEDGKGDTTAFELIDNGVAANNPTLCAMSNVAEDIIVAGNGDLRGKSYMVISIGCGMSSNPKGKYSAKDTAKWGILNWILKGGTIPILDMFNAASRDMVDIHMSVLSAALGSSYQYLHIQYGQLSESAGSIDDYSKANLDKLVEIGNEILGKKVSQVDLETGRNMEVHDEGTNAEQLAKFAKQLSHERRHRNELATA >SECCE3Rv1G0161390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:116857999:116860200:-1 gene:SECCE3Rv1G0161390 transcript:SECCE3Rv1G0161390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPSPRPAPEPVHVHPNHEASSSSRLSPQSHTSSLAEQSATPTPREPNPALQMAPVAGSSFFQEARLPEQRAVEGVAFPAVLVPSGGPPGPGEGSLDEFLAAVRSERASQVEPLLREAGAVLLRGFPARTAADFDAAVEAFGYDELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPTFPAKLFFFCEVEPKSGGETPIVLSHYVYKRMKENFPEFVEKLEKHGLIYTRVLGEGDDPSSPIGRGWQSTFLTKDKSVAEERAVELGMKLEWTDDGVKTVMGPIPAVKWDESRGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADVIEECGKILEEECVAVPWQQGDILLIDNWAVLHSRRSFEPPRRVLASLCK >SECCE5Rv1G0305820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:77307593:77309204:-1 gene:SECCE5Rv1G0305820 transcript:SECCE5Rv1G0305820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAMAVSAPFCHGALPFPAAARGSSARSRGGRLGLAEGCCSRPIAAAVTDAAPVTGAARVGSRRCELFDLHRQIVPYVDSWGWQKSIVERRKALVGIDTDTDEDHSDTLIALQHLPVYTLGNGNDEKYLNFNIEDSPIEIHHIDRAGQVTYHGPGQLVLYPIINLRYQKKDLVWYQRSLEELIIRALQSAFSIKASRIEGLTGVWVGEQKVAAIGIMCARWIVYHGLALNVTTDLTPFEHIVPCGIKGRGVGSIKQILQKASDGRELNDAELMDIAYESLIKEFTEYFQLSLELSPDLHL >SECCE7Rv1G0516210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844084392:844084703:-1 gene:SECCE7Rv1G0516210 transcript:SECCE7Rv1G0516210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE6Rv1G0433980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763218767:763219252:-1 gene:SECCE6Rv1G0433980 transcript:SECCE6Rv1G0433980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMILLNSSDGEGFQVEEAVAMESQTIRHMIEDDCPDNGIPLPNVNSKTLAKVIEYCKKHVQASPNPADSAAPAEDLKSFDAEFVKVDQAILYDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNITNDFTPEEDAEIRKENQWAFE >SECCE7Rv1G0458850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23019045:23020337:-1 gene:SECCE7Rv1G0458850 transcript:SECCE7Rv1G0458850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWLLLLFWVFLLPAASATPCHPDDLHALQGFAGELTGGGFHLRTAWSGASCCSWEGVGCDSVSGRVTVLRLPWRGLAGHVPGASLAGLVWLQELFLGSNSFMGVLPDALFGLTRLRKLSLASNQLTGHLSSRLGELTHLTLLDLSANQFSGPLPDVFGDLKSLEHLAAHSNGFSGFLPPSLSSLSSLHELNLRNNSMSGPIDRVSFSGMPLLASVDLSSNYLTGWLPTSLAGCGELKSLNLANNIFVGTIPSWIGEFDHLWYLNLANNSFVEEVPESLLRLNGLTAVGRSSGMIFINMPLYVNHKRRSLNEQPNTITGTNNTVISGRNNTMSGNDNTVMSGDSNAVSGSFNTLICGNNNILSGDHHVVSGSNHIVTNSYNKVTGCTNNVSGSNHTVSGSNNTVSGSDNTVSGSNHVVSGSNKVVTGG >SECCE6Rv1G0422170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:688448404:688449865:-1 gene:SECCE6Rv1G0422170 transcript:SECCE6Rv1G0422170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAEKVFVPTDDELLQAQSDLWRHSLCYLTTMSLRCAVDLGVPTAIHRLGGAASPSELVAALSLPASKLPFLARLLRQLATAGVFTSTDAGTYRLNPLSYLLVDGVRIDGDASQTALVRATASRYYMEAAMGLADWFRKDFDGAVPSPFEDVHGAALFEESMALLDPEMDQLIHDALAAHDHLGIGPVLRQCRELFDGLESLTDCGGGDGTTARSIVEAYPHITCTVLDLPKVMDKVLPAQEGAVKYVSGDLFHVVPPAQAVLLKLVLHFWSDEDCIKILAQCKKAVPPRDAGGKVIVIDIVLGSVSGPMLETQHLMDMVMLVVTRGRQRDEKDWSEIFIKAGFSGYKIVKKLGARAVIEVYP >SECCE7Rv1G0454500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2830689:2838710:1 gene:SECCE7Rv1G0454500 transcript:SECCE7Rv1G0454500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGGVIAGAMAKQVVGKLGEYAASEITLQWGYREDMLDMEDKMKDLEAVLLDADDKSRRGGIHGRVYERWLTKFKRVTYDVEDVLDELDANELINRRHSKVSIWFSRNNQLLQRITMPHKMQNVMKKIDEIEKEGKMRLNDVPRVARAQGSRNNGTFAANWNGDGMKTGMVGTGVEQEKIINPVPQEAKAEGSRNNNDTSAANSNGDDIKTGIVGRDTEREKIISLLLPTEEANQDISIIPVVGLGGIGKTTLVESVRADKRVSVFDASFWVHVSKEFDLHKIGSAILKRMMNSNIDLANCDLQFLYDNLSKELNTRRYLIVLDDLWEEDADKLERLKEMLQHGRKGSRIIVTTRNLSVVQKLSTSNLGVTVSKVINLGVLKPDDCWELMKQRAFGPDDDHSGLEDIGKQIAQKCGGLPLVANALGQVMSELRTMRAWEEIRDSKVDLGLRDQKDTLERLMLSYYYMKIEFKMCFTYLAAFPKGFVMDSNRLIRQWNALGYIYPGYDGQRCINYLLGMSFLQIPGSSSVSPSPLHSKAPQEVIMHDLVHDLVSIIADDEFIDLDATKSTSWNRARYCRHAQLTNFKNNPKIFKHIPGKLRSLHFRDLGGLQLPKKAFSRSKYIRVLDLSGHSAECQSAPSRVVLPSSINHLKLIRYLDATGLRITSLPKNFHALQNMETLILSNSLLETLPDSFCHLCKLCYLDLSGSSSLSKLPASLGELSQLFLLNLSRCCTLKELPESICKLECLHHLDMSDCCALQKLPEKFGSLPKLSFLNLSSCSKLTKLPDSVSFPCLEHLNLSSCHELETLPIDFGHIGKLEFVNLSGCYKVSMLPGSFCQLNHLKYLDLSDCQNLGELPECFDHLLKLEYLNLTSCSKLRQLPDSLCKLSKLRCLYLSYCLRLIDLPSSFGDLKLQILHMNGLIYMMDYPYSIGDMTSLTQFVIDSAVPYLSEKVETIRKHLNPVGLVVHDVHEINSKGYSSIVDLAGLTCSELILAGLQNVRHPEDADKVKLRDKSDIRVLKLIWENEGGKSVLDRLVPPRTLENFWLIGYRSKDFPFLSELILNGLEACDFLPPFGALPNLRSLALQNIPNIREIGKEFYGEGGPCMKLRVLRLNSMDNLVGWWTVGSSEGNEEFLIPNLHHLEVVDCPKLKFLPYPPRSMNWVLSNSDTVLPEQGFGKLSSSIRPSEMILDSASFSQDKWDRLKHFPTLEIFRLTSVSGLRALPEVIRCFTSLTKLYLSSLKDLKTLPVWLGHFDSLQVFSVQDSCNLTSLPESMKNLTALKILRLIECKGLEILPEWLGRLTSLEELTIEDCPNLTSLPESMKNLTALEMLWLVECKGLRTLPEWLGQLTCLKVLIIQDYPNLTCLPESLNNLTALRKLSLTKCNGLEILPGCIGQLTSLEKIEIIDCLNLTCLPQSLKNLTSLRNLHLEKCNGLETLPGCLGQLASLEDISIIDCPSLTFLPESLKNLTSLRNLRLEKCNGLETLPGCLGQLASLEDISIFDCPNLRSLPESMKNLNALKNCG >SECCE4Rv1G0272120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:766358288:766358560:-1 gene:SECCE4Rv1G0272120 transcript:SECCE4Rv1G0272120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFFILSASPADITPGAGNGDSWARFSWRGRRQEEDDRAGAAKQGKQSGLPVDAEQQPGKGKSHSPLLRFASEFDGIKCFETIVCH >SECCE5Rv1G0308470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:131543805:131548194:1 gene:SECCE5Rv1G0308470 transcript:SECCE5Rv1G0308470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical domain containing protein [Source: Projected from Oryza sativa (Os12g0570000)] MAGDQEEAERESPVPALAPAAERVAAAVETVAAPGEFRNAYRRQLLALSRRIRLLGPFAEELRERRRPAGEEEERALATLADALEKALELLKLGREGSRISLVFDRDRVMEKFQEVVAQLEQALHDFPYNELDISDEVREQVELVHAQLKRAKERVDMPDDEFYNDLLSLYNKTYDPSAELAILERLSEKLHLMTITDLTQESLALHEMVASGGGQDPGEHIEKLSMLLKKIKDFVQTNNPEMGPPMASKIMDTSGDQKSVIVPDEFRCPISLELMKDPVIVATGQTYERSCIEKWIASGHHTCPTTQQRMANTTLTPNYVLRSLISQWCETNGIEAPKRSSQPSKPMPACSSSERANIDALLSKLCSPDPEEQRSAAAELRLLAKRNAHNRLCIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIMSSGAVPSVVHVLKNGSMEARENAAATLFSLSVVDEYKVTIGGTGAIPALVVLLSEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALMDEAMAILSILSSHQEGKAAIGAAEPVPALVELLGSGSPRNRENAAAVMLHLCSGEQQLVHLARAHECGIMVPLRELALNGTERGKRKAVQLLERMSRFVVQQQEEQESHSRLQAAAAQVLPQASEQVQESEIPDQLDSPASQYPTLL >SECCE7Rv1G0498150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:635962132:635962857:1 gene:SECCE7Rv1G0498150 transcript:SECCE7Rv1G0498150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDTKAEAGVRVLGGRMSPFTMRARMALELRGVTYELLEESFEPRKSDRLLAANPVYKKIPVLLLPDGRAVCESAVIVQYVDEAWPAAAGAAPLLAEDPYQRAMHRFWTAFVDDKFWPALDGASLAPTPEARAEATAEARAALLHLEEAFAALSNGGTFFSGAAPGLLDIALGCFLPALRACERLSGAVLLDEAATPLLKKWSERFAGVHAVKALLPETDEVVGFTRFLQAKFGVVGTN >SECCE5Rv1G0343850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:641585125:641587626:-1 gene:SECCE5Rv1G0343850 transcript:SECCE5Rv1G0343850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEVPIKENEIRITTQGRMRNYITYATTLFQDKGCDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTATESTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSVGYQSPLPADKVKPFVEYENDEDAHSPPGRGRGRGRGRGRGMGRGRGARGNGYMEYADGGWENEHAPAYAGNGYADHGPAYEGNGYVRGRGRGFRGRGRRGGYGGQPDYQHDGGYYDEAPAPPRGGGRGFRGRGRRGGYGGGQPDYQQDGGYYEEAPFPAPAGGRGRGRGRGRGGPARGRGRGGNANGNMVYAAASGA >SECCE3Rv1G0147580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15354873:15358878:-1 gene:SECCE3Rv1G0147580 transcript:SECCE3Rv1G0147580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPSVKRTKTEDDLGAGSEPDAAAAAAAAAAAAAEEGERPGGEVTVKIQSKALRCRICSEPLKPPIFKCVAGHVLCSQCPEKLREVGHVLRLGTFCALCCKSTSYSRCVELEQFIDAMKVPCSNQTYGCNEFVGYQQKEKHESSCPHAPCYCPEDDCVFKAPACCLLDHFVTAHGWSPTNLGYNKPLKISLARDCRFTLLVGEDMSLFLLTNTLTSIGSALAVVCVRPHESEPSYSCNISAAAHGVAGGKTDGRLVFQKDPHVSSSSLAGGVKFGKFFLLVPPEFAESSSGELTVHIRIDRVAS >SECCE6Rv1G0429460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736071771:736073437:1 gene:SECCE6Rv1G0429460 transcript:SECCE6Rv1G0429460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGVASRLAVALVLAALAAFPAVHSIGVCNGVIGNNLPAPSDVVKLYQTKRIDSMRIYAPESNVLKALSGTGISLLMDVGNGALPGLANDPSAATAWVKANVQPFPGVSFRYIAVGNVVTDSAGQKTILPAMKNIQAALAAAGLSGSIKVSTSVRFDVVKNTSPPSNGVFADTSFMAPILDFLASTGAPLLANVYPYFAYKGDQQNIKLDFATFVPGSTTVNDNGLTYKNLFDAMVDSIYAALEKAGKPGVKVVISESGWPSDGGVGATAQNARAYNQGLINHVRGGTPKKPSLLETYIFAMFNENQKTGDPVENNFGLFNPDKSPAYAITF >SECCEUnv1G0534380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:40893280:40904692:1 gene:SECCEUnv1G0534380 transcript:SECCEUnv1G0534380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRGFFECLLRLLNFFLTVAGLAMVGYGIYLLVEWMRISGGGGGPSPSPAPPVELLTFGRPMLTVVALGEGGSFFDKLPKAWFIYLFIGVGAVIFIVSLFGCIGAGTRNTCCLCCYSFLVILLILAEAGGAAFIFFDHSWKDVIPVDKTQNFDAMYDFLKENWKIARWVALGVVVFEVLLFLLALAVRAMNKPAEYDSDDEIIGTSRSTSIRQPLIHSQNAAATGVPVPTLDQRASRNDAWSQRMREKYGLDTSQFTYNPSDATRYQQNGAPPAEERSRCTLM >SECCE1Rv1G0037040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:516578848:516586863:-1 gene:SECCE1Rv1G0037040 transcript:SECCE1Rv1G0037040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGMSRLHEGYNEPEGQNSDGSSSVEVNNDFSKLHNDIFHMTRLRAGPSESIRKSMDRVSVTRLLRGREVNSSGNGKFSPVDRAFVLGHYLPVDGPETVDTMDSRAYVSQFSADGSLFVAGFQGSHIRIYDVDKGWEIHKDIHARSLRWTISDAALSPDQRFLVYSSLAPIIHIVNVGTASRESYANVTDIHDGLDFSEHEDVRYSFGLFSVKFSTDGRELVAGSNDESIYVYDLQANKVTLRLPAHTSDVNTVAFADESGNLLYSGSDDNLCKVWDRRCLSTGEAAGVLTGHLHGITHIDSRGDGRCFISNGKDQAIKMWDIRKMASNADGSENRVPAWDYRYSRYPQQYKQQKHPHDQSVATYRGHSVLRTLIRCYFSPTHSTGQKYIYTGSYDASVCIYDVVSGSQVAKLQGYHHLAVRDCSWHPSDPVLVSSSWDGQVARWSRTRSKQDTL >SECCE2Rv1G0115850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:757872324:757874579:-1 gene:SECCE2Rv1G0115850 transcript:SECCE2Rv1G0115850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFAGLPALAAVAVSLLLLLLVYSVHRWRNPRCNGRLPPGSMGLPLVGETFQFFSPDASFDVPRFIRHRLTRYGPIFKTSVVGHPVVVSADEELNRMVFQQEGELFQSWYPDSFVEILGRDNVGEQKGTMFKYLKNMVLRYLGPESLRESVLRDVQRAVCSSLCTWSTLPAVELKEAVSTMVFELSASKLLGLEPSRSKVLRKSFFDFVRGLISFPLYLPGTAYYACMQGRMSAMEVLQQVLEERTRSTQVLGGGEARCHGDFLDYVVQEITKEKPVLTEGMALDLMFVLLFASFHTTSLAITLAVKLLTDHPRVLEELTVEHETILNEREAGGESHGDGVTWKEYKSMTFTSQVINETVRLANIAPGIFRKTLKDVQFRGYTIPAGWGVMVCPLAVHLNPDIYPDPLTFNPSRFKDKVDINRGSRHFMAFGGGLRSCVGADFSKLQIAIFLHFLVTKYRWIQLGGGKIIRSPGLEFPDGYLIQIRRRD >SECCE3Rv1G0162420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:130516367:130518993:1 gene:SECCE3Rv1G0162420 transcript:SECCE3Rv1G0162420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQSQRTPGDQVFWPKVVLKKWLNLRSKDAKFNADEDDGDDDGEQEESCGCDGAGAEREAGGVDIAEESLDAAPYKLRRRNSETMRAQYINTKELRICIGTYNAAGIVPPEGLDIAEWLGTTGGEQADMYVLGFQEVVPLNTGNVFGAEDVRPALAWEALIRDTLTRTQPSCSRPKYRYRSHPATPTRDGSDELFPGGTDTETDDDEPFSFPVHPEEYVATPRMLRAVEDPEDEQPRAQQRALLKTMSKTDRIGLAWPEQPLDLMATASLSSASFKSPRSFGAHRSFMKSRVAPDDCPTMVPDLDLDLDGAAGRGGKKKGGVRSPFVRIVSKQMVGIFLTIWVRRGLRRCVQNIKVSTVGVGAMGYIGNKGSVSASMSIYQTMFCFVCTHLSAGERPGNLLKRNTDVQEIHRRTRFAGPGGLELPRDIYDHERIFWLGDLNYRIDVPYDGTHSLIAAMDWPQLAEKDQLKRELRKGRAFSGWSEGVLEFAPTYKYEIGTGKYIGDDQKGGRRTPAWCDRVLSFGKGVRLLGYGRSELTLSDHKPVTATYAAEVEVFCGRKLQRALTLTDAEVESGDVVVPDLEF >SECCE5Rv1G0306860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:101156637:101158287:1 gene:SECCE5Rv1G0306860 transcript:SECCE5Rv1G0306860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGTCRRSTTRKRKSAEGEGYPAPAPAAAADPGAAEQDGGGGGGDLHHIKNLSDLPDDMLRKIISVLPIKQRGRTQILAKRWLPLWRSLALNIDCAEIAHSNDGKLGDVLQRIISSHRGDCHRFCIRPRLATDMENDAAVDACLLSPALNKLKELKFYRRPWHNWQPVPPPTSIFRFSPTLCVAKFGHCTLTDDIVLGLHFPQLKKLGLDYVFLSEFSLSSMIASSPSLEGLRIIRCSGARRLRINSFTLRSIKVGNFSPDPSMEELIIESAPHLERLLHLDQNQDLHVSVLSAPKLETLGCCTTSTRLVFGSTDIHQGPCIPIGSLATSVCTIKSLHLSMRTLCLAMVIEWMRCFPCLEKLYIQCEKSGTKNLWRRKHRDLLRSFDIRLKEIVLDYYRAKKTDIDFVTFFVLNARVLESMTVLVKSDDEDFLAKQRQKLLIESKASDGAQINFVLKPQGGRKCWDIL >SECCE5Rv1G0340210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:613164101:613165087:-1 gene:SECCE5Rv1G0340210 transcript:SECCE5Rv1G0340210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQLQGNGEQATDARYHAAASASNAPLAIAVVPAAAAHGPIVPKPEQAPGEFLGGLAVALPPRNRDRHTKVEGRGRRIRMAAPCAARVAQLTRELGHKTDGDTIRWLLQQSEPAIVAATGTGTVPAIATTVDGVLRIPTESASAAGADGAGPTPKRRKLQPTRAAGGAGPLVAAPPAAAYYPAAADPLLQGNGGGGAISVSSGLAPVSAAAAPAGFPFFALQVPASGDGKQMVPQAAMWMVQQPPAAGGAPNQQAHYWAFQPNPAEFNNFPGVQQPCYSPMQFVAAGGEPQEQQQQPGDQSAGADDEIQGLEDDYDGEALTDSSSEE >SECCE6Rv1G0412080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:612475107:612475460:-1 gene:SECCE6Rv1G0412080 transcript:SECCE6Rv1G0412080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDQAEKKGKVKKGWLAVRVGQPEPQGDGFRRFFIPIAYLYHPLFQRLLEAARDTYGYSSAGPLWLPCSVDEFLRLRALVDRETAPSHSSSHRVHAGGHQQHSYSFAPCTRAKVTS >SECCE4Rv1G0259460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:687171472:687175030:-1 gene:SECCE4Rv1G0259460 transcript:SECCE4Rv1G0259460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRDAGEAVVAVEEVTTRPKIRKRCTLSSSSGASGTLRRLRLRRGVVSLHRRGSGSGVASPLPTSWKMSESSWSRACRADGMHSSVSARKLVNALWQMNEGGLLEEQEKEARIARDAAAHRGSAAAHRRCASSVEISKRSRTRSKVASEADHGRHWLSDKLSNAGTVGVHASAQDSSSTCSADRMAHLQDMYNSLTACKELVRVLGNIWGPGDLSPSTGSLLSALRSELDLARAHARQLAKEQSRRGSETVELMKKRLEAEARAWKNKQREKVAATVRVVCDELDGERRSRRRAERVNAKLGNALAEAERELERERRSRERLEKVCDELVRGGEVEEEVRRDAEEAQAEVDREREMLRLADELREERVQMKLLEARLQFEEKNAVVEQLRGELEAFLESKKQGLPELESPAAADEERQATHDDDDRHGFEAEGANGIARVDVSKRTDVDDDGGGSDDGSDGSDMHSIELNMDGRNKDCGGWSYSTASKEMMITTAKKAVSVDSRGTGCAADPWAGDRRSLGESEGGQRWDDDEGCSDVDEEDSERYQAIKNLREQMLAGHGLGSIFLSGAYEGNYTA >SECCE2Rv1G0070830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:37907527:37908981:1 gene:SECCE2Rv1G0070830 transcript:SECCE2Rv1G0070830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRKRSNLAGRHPLPQRRREDEWRDWPNLPTVLVEDIAGRLLSHDVSEYIRLRAACKEWRCCTADPREGCNHLDPRFRPRRWIMLSNRTDGDGRRFLNLSTGASALVDLPELSEHHLETSTEGLLLLRDKASHAVRLLNPLTRALTDLPPVTEDLGGAYAVWTGPFQSAARIIYAGVSDETSPSSVVLLMADRHLGRAIAYANPGDQRWAVVDDEMWRPGIPMLRRFSSASTMEGRFYFATVEGYIIQVRLCPEPRLVPVVVDQPNTNYNMFCYLVPPDDDDHRCGGRMLMVRYYCNLYHLSDEEQRIMKRRRKVMDVIRVEHLVREHRWNLIQVFEVDVAGKRLVPVENIGRHRAVFVGDVACFSLSARRFPCVAGNAVHMGALGARCPPVGVRYLANKTADLSFVFTTDVPGLQDHISVKQHRQRIPELNLLPLARPCTLQEYLVCCAGVLGGLKD >SECCE5Rv1G0344500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:645519444:645521909:1 gene:SECCE5Rv1G0344500 transcript:SECCE5Rv1G0344500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPCDRFLHRAPAPPPPLNPQSNLAASVPGASRRDKGHALQRNAVSAPPARTAEACPNAVVVPPTVAGTTGQSAAPQRGKGRGRLAGYGGSIPAMLYALERVRDVGEALWPWRDTLSSRERTIILKEQKDWRRAVEIFDWFRGQRRHEVNVIHYNVVLCAVGRARRWDLVAGLWHQMHSGGVAPDNATYGTLIDVYCKGGRETAALLWLGDMCKRGLVPDEVTMSTVLHAHKKAGEYEKAELFFQRWSSESDTRSDGHLCYSLYTYNTLIDTYGKAGQLEKVSDMFNQMLREGVAPSIITFNTLIHVWGKHHRMEQVASLVRMMEEFQCLPDTRTYNTLISLYRESNEIDVAEHYFCKMKAEKLVPDVVSCRTLLYGYCTRGMVSKAEALVKEMDESGLVIDEYTQSALTRMYVNAGMLEQSWCWFERFCNQMDSECFSANIDAFGKKGYINLAEKAFMCCLERKMLSVSVCNVMIKAYGLAEKLDEACEIAAGMERYSVLPDYLTYSSLIQLLSTAKLPEKALYYLRKMQAAKMPIDCVPYSVVISSFTKNGNLHMVECLFREMVTLGVHADAYVYSILIDTYAEVGNVQQAEAFFGLVTKAGLCESASIYNSLIKLYTKAEYLAEAQKTYKLLKSLDTDTNLYASNCMIGLYSDHCMVNEARELFENLKITGNANEFSYAMMVCLYKKVARYDEAHRISKEMQALGLLTQALSYNSVIQMYVSGGKMEEAVKIFQKMLASSTPPNDVTFKALKPILVKEGVSNIEIEKLESLRRCNTQDCLNQWYRALALVVRSDGTTSRHTMSHSCTRIHSFYIDSF >SECCE7Rv1G0456570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11027060:11028135:1 gene:SECCE7Rv1G0456570 transcript:SECCE7Rv1G0456570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGTDERQPGHGGDGDAAEWKKVAELREVVEAQDPSAKEEDDFALRRFLRARDHNVGKASAMLIRYLAWKRVTKPHGFISDDQVRGEIAKGRDRHLGFDRLGRPMSYLYGGRHFPVRRDHEDLKLYVAYVLDKICTRLPAGQEKFAAVIDLKGWGYANCDIRGYLAGLDIMQSYYPERLGRVFLIHVPYIFMAAWKMVYPFIDDKTKKKFVFVAAKDLDATLRDAIDESQLPEEYGGKLKLQADNSSPSSKSI >SECCE5Rv1G0345140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649492363:649492827:1 gene:SECCE5Rv1G0345140 transcript:SECCE5Rv1G0345140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKCQRMLAAGTGARHRQHASDMVDDDCCSTASSVVADEGHCVVYSIDGARFEVPLAYLGTTVFAELLRMSEEEFGFASGSDAGRITLPCDTMVMEYVLCLVRREASEEVEMVFLSSIAEHCHNYSASCVAPSMGLGHQFALCT >SECCE3Rv1G0204900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:897627353:897628773:1 gene:SECCE3Rv1G0204900 transcript:SECCE3Rv1G0204900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLLSLLFCISAVAVLRRRAWDSAVHGGRQPIIEIRDPTMARQALMDHADAFCNRPHSDNLTSVPYGPRWRALRCTLNAAVLHPSRLGHMDPLRLEAMDALVADLRIRCGGEIVVRDILNAAVFPLVARTCFGSGVDESHVRAMRDLLQAFVLAVDGTKDFAGSKMAKLLHWRQWRRFLAFRGRQAELFLPLINARRNANHHLRLSGGLPAYLDLLLDVRVPIDDDNTVGGKAQRPLTDDELVSLVSEFLGAGRGTVVSSMEWTLAHLVLQPEGALSEARFRQMKYMRAIVLESLRLHPPIPFSMRDVPDHAVASVVGCPAAMPAEGMRAHFNLGEIGRDKNVWTDPDAFRPERFLAGGEGEGVGLVPGPKEIKMMPFGAGRRACPGGGLATMHIKSFIAALIREFEWTPPVGGGVDLIELDGFFKVMKTPLRARVTQRQRDSV >SECCE4Rv1G0243610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:504281223:504283702:-1 gene:SECCE4Rv1G0243610 transcript:SECCE4Rv1G0243610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVMIMLSKDLNKIMLQQKKTPQFPFEEPSHAKAVSRQNVSSRSSWPNNGTTSPRENVEKSKPSFCRTLQEKPSRAMPNSGIDSLQKNTTKFRQSSFMSNSGNTSRPNNGMGSPAKNVESSSRLNNIEAPWSKNKMPRSSSSRPNDEMAPQSMKSRPFSSGSNYGSVPLTRNIKKPSSTRDQYSLLLKDDSNSTFAISKKSYPFSMLCNSRNEDHRMEMEAPNSNLALKGKKVMEDIQPNLEINCVSLPGKNLSSHQTAEASCMVDSIDRCIQLDSRKCKTSLSEDGRTDVYKSKSKRMKPLLEEKFEARGVARLTKSMGISTELNNKHSSLRKDEIIVDEKFNKIKRTDNCSQTNKRRRYIVSDDEADDCGDQNLTGAKSGAAGLTTQKDCHLEVSNLFGLESSKPYQYCSLPSDEPIWSGTIKIGSGKFISLAAHLSIKYCEKVWKLSRSLEPVVEVTKLSRLEAWPKSFEASRPTDDDIALYLLPTAMRQDADLEQLVKEVLENDMFLRAIVGEAEMLIFPSILLPEQHQTFQGKPYLWVVFKRIMDKVHVVEEEQHGKGRCAQEKVKQQASDVSVGEGLNMDARLEASEEAEMQRMEQEQNPTMASPATSPTASPNTPSPTTKPPTAAATMSANHGHVHSDFAAPAPIGALFGFVVQQTPRLEQLIQEMQREGAVMVAMQGQMIGPGLGLGRQ >SECCE7Rv1G0526490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:895713737:895726445:1 gene:SECCE7Rv1G0526490 transcript:SECCE7Rv1G0526490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLALPNHDTGNNGFSNPRSTEQTCGQKSRSQLSPDDELSAKESLALYCKPVELYNIIRQRAIKRPPSLQRCLRYKIEAKRRKRIQISVSISGSTNTRLPAHGIFPLHVLLARSSKDAPGEGHSPMYRFSRACVLTCFRESGDSDHTGATFTIPDMEGLSTSQVRNLDIILISRGQGGQNLSENCSENHAEYTSPQKLGGQCFWGKIPIDSLASSLDCVTLSLGRTVELTSEISMSPGFIEPSLLEHDSCLTFCSLKAIATGSYKLKASIDVQEAGARNMRLSPYNIYSYDDIPQPLLPKFIRLRTGNVLFNYKYYKNLQKSEVTEDFTCSFCLVPCGSFKGLECHLTSSHDLFRFEFWVSKEYQAVNVSLKTDTRREELLTVAGNDPGSRVFFYRSSRSKRCKISETTTENMKDVYPHITELGSPGMTMPMDQDILEPRSPRNTVPPPAQITESGSSKDAQDGSVVDYVSKENGINVPERTALQSDRAGKLPVDLDDPSLALLKKREFFHSQKAQRMEMDVLYSDHDSEDELDHDIADFEDRTLLNGFSDVAKEEKRIMHMWNSFKRRQRILADGHVPWACEAFTHQHGQELVQNPRLRWCWRVLMIKLWNHGLLNGRTMNTCNKHLEVLESQRADPKQS >SECCE4Rv1G0255140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:644036043:644036549:1 gene:SECCE4Rv1G0255140 transcript:SECCE4Rv1G0255140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKTKMLICAAAAQWFINMIALVVQSRKRKRREAITYAPIDERDRMKREFFDNKVWKNDTTCVNILRLRRAPFFRFCQLFRERNLLKDTIHMSIEQQVAMFLHTVGHNVQNRVIGGNFGRSGEVVSRYFKKVLHAIGELRDELVRKPSLETQKKIEGNHRWDPYFKV >SECCE4Rv1G0257950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:673958039:673959469:1 gene:SECCE4Rv1G0257950 transcript:SECCE4Rv1G0257950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLATLPSSGDQVRGAPHLVFVPSAGMGHIQPFCRFITALANMGVDISVVTALPTVTEAEADHFAGLFAAFPAIRRIDFNLLPLDDATVAETDPFFLRWEALRRSSHLLGPLIAGAAPRASAIVTDVTLASHVIPVAKNELQIPCHILFISCTTMLSFLAYFPAYLDGVNADHLVGDVDVPGIGRIPVDYPPQLLRDPDSLFTKQFIANGREIAKADGLLVNTFDALEPEVLTALRGGKVVPGFPPVYAVGLLNLMSTTTARSDKAVHGASPIIAWLGKQPSRSVVYVAFGSRIAAALEQIREIGAGLEASGCRFLWVVKTTVVDRQDTAELGEVLGNGFLGRVQGRGLVTKEWVDQEAVLKHPAVGLFISHSGWNSVTESATHGVPILAWPMLGDQRLIATVVRSGGFGLWMEHWTWEKEDSIVGGAEIAEKVKEVMGDEAISARVAKVRQEAIKAVAQGGSTYRSIHEFLSTLS >SECCE1Rv1G0026830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:375153011:375154684:-1 gene:SECCE1Rv1G0026830 transcript:SECCE1Rv1G0026830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATSFLPSALSARKEGAAKDSAFFGVRLVDGLKLDTTSLGLRTKRVNTSSVAIRAQAAAVSAPTATPASPSGKKTVRTGNAIITGASSGLGLATAKALAESGKWHVIMACRDYLKTARAARAAGMPKGSYTIVHLDLASLDSVRQFVKNVRQLDMPIDVVVCNAAVYQPTAKEPSFTADGFEMSVGVNHLGHFLLARELLEDLKASDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAAGLNGVGSAAMIDGAEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGVTFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSEPSLTKSGVYWSWNKNSASFENQLSEEASDTEKARKVWELSEKLVGLA >SECCE7Rv1G0464200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59407825:59410878:-1 gene:SECCE7Rv1G0464200 transcript:SECCE7Rv1G0464200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGVTSIGVRGKDSVCVVTQKKVPDKLLDDTSITHLFSITKYTGLLATGLTADARSLVSQARNEAAEFRKKWGYEMPVDVLAKWIADKAQIYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDSPQFSYDETVQIAISALQSVLQEDFKATEIEVGVVRKEDRVFRSLTTEEIDQHLTAISERD >SECCEUnv1G0559260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:336843349:336844752:-1 gene:SECCEUnv1G0559260 transcript:SECCEUnv1G0559260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDSAPVRVVSRRMVRPSSSGVATCSTEPAAETVHLAPWDLQMLTVDYIQKGILLPKSPAGDGSERLVGRLASSFARALGRFYPFAGRLTAEEQLEGGGVTVSLRCTSDGAEFVHAVAPGVTVADVAASLYIPRVVWSFFPLDGLVGADAVAGSRPVLAAQVTELADGVFVAMSLSHAVADGTAFWHLFNTWSEMSRSGGADAEMLTPPPVLERWFPDACPVPVPLPFAKLEHMIRRFDCPPVEECFFHFSAESIKNLKARANAEVASAGVGSATSTATLSSLQSLLAHVWRSVSRARRLSPVEETTYTVLVGCRGRVKRXXXXPQTYAGNAVVRATARSTAGEILDRGLGWTARLLNSAIASLDEAALVGSLTSWHQDPRFAYQAGFWNPAMVVTGNSPRFDAYGNDFGWGAPLAVRSGGANKVDGRVTVYDGFGGGGSMGLEVCLAPEALARLAADDEFIYED >SECCE1Rv1G0012630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:95166986:95167264:-1 gene:SECCE1Rv1G0012630 transcript:SECCE1Rv1G0012630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGGGRSKTVATGTSTGNELPVDGVVRVRKVERIQPHNLVSRPSVLHGDHATSPAMSGPAESLAVSVVRIGDIAIVDDKDKPDGLLSVSIA >SECCE7Rv1G0477830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:229100977:229105158:1 gene:SECCE7Rv1G0477830 transcript:SECCE7Rv1G0477830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKGDGPAIGIDLGTTYSCVAVWRPSHNRVEVIPNDQGNLTTPSCVAFTDTMRLIGDAAMNQAATNPVNTVFDAKRLIGRRFSDACVQGDIKLFPFKVISGPGDRPLIVVKYKGEVKQFAAEEISSMMLVKMWEIAEAYLGTVVKNVVITVPVYFTDSQRQATIDAGAIAGLNVMRIINEPSAAAIAYGLDKGSRKGEGKTVLIFDLGGGTLDVSIITIHMGIFTVKATSGDTHLGGQDLNSRMVEHFVQDFLKRHKSDIRNNPKALMRLRAACERAKRMLSSMVQAKFEIDSLHDSIDFYGTITRARFEELNMDLFRKCTEHVEKCLGDAKMDKSQIHDVVLVGGSSRIPKVQQLLQDFFNGKMLCKSINPDEAVAYGAAVQAAALSGECDQKVKDLLLLDVTPLSLGIEVIGGLMSVLIPRTTTIPSKKERIYTTEHDNQTSVLIQVYEGEGAMTKDNNLLGKFILHGIPPAPRLVPKINVTFEIEANCILKVSAEDMTTGSKNSITITTDKGGLSKEEIDRMVRDAEKYKSDDMKEMKKIIKKEDGEGWVSKEEFERMVQKKRMMQSEDKKQVKKIKKEGGGR >SECCE3Rv1G0172480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:295727155:295728639:1 gene:SECCE3Rv1G0172480 transcript:SECCE3Rv1G0172480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEPSAKRHHGKTSDKSNNLVDVHVPGEKREYTRTLTGVELHGKETLEIVCTSEPHKADEVMSGLRMKGGGLYRSFIEVDVEYTSDDEPPHMAVVLQLCVEELCLVYHIAAATKWPKRLKDFLQEEKLYTFVGFSIGGDKRMLNKSVLEINPNNFIDMQRKWKDPKTSKYYDSLVDVAGGVIHPFYGGMKKKMDKREHKMWGTSPLPYNLITYAGIDAYATYKSWKTIDNIVTGWDISKEQEDDPYYHCNFAG >SECCE1Rv1G0052070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:658962741:658963724:-1 gene:SECCE1Rv1G0052070 transcript:SECCE1Rv1G0052070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPKLRKGLWSPEEDEKLYNHIIRYGVGCWSSVPRLAGLHRCGKSCRLRWLNYLRPDLKRGTFSQEEEDHIVALHQILGNRWSQIAAHLPGRTDNEIKNFWNSCIKKKLRQQGLDPATHKPMAAADTAKAALPDAEEEDHKPLATAADGSLALKHSAEFDPFPVCADYGGGFAGDFGAPNAAALYVQFGGCKDGADDDAGFGAADYSCVLDVSENLGYGESSSNSSNWNYGGEVGSVLDGEVPHWAKAEMERQHDDPLEHKFALPCQEQSLLANFEFNLEQYF >SECCE4Rv1G0242260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:472904706:472907639:-1 gene:SECCE4Rv1G0242260 transcript:SECCE4Rv1G0242260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMSLMEAMVSGGTGESILTSMKFAVLPIAKVFTLCFMGFLMATRYIGILDANGRKLLNGLVFSLLLPCLIFTQLGRAITVQKLLDWWYIPLNIVLGTVSGSLVGLLVAFIVQPPRPYFKFTVVHIAIGNNGNMPLVLIAALCRDPSNPFGDPEKCKQDGNTYVSFGQWVGAIILYSYVFQMLAPPPGETFDGSRVEKPPPPEPEMAAAPEQVPLLTSRASEQAPKGSKALEILHIFVEKFKLKRVFQPPVIASALGISIGATPSLKHFVLTNDAPFFFFTDACIILGGAMIPCILLALGGNLVDGPGAGSKKLGLRTTAAIIFGRLVLVPPAGMCVVTLADRLGFIPRGDTMFKFVLLLQHSMPTSVLSGAVANLRGCGEESAAVLFWMYVCAVFTVAGWMVLYIRMLF >SECCE1Rv1G0008730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:49034610:49038477:-1 gene:SECCE1Rv1G0008730 transcript:SECCE1Rv1G0008730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNVEEKPAAVARSDSGTSESSVLNAEASCGGGAAPAEEASSSTRQPAPAPRAVLEFSILRSSASAEGENDVGADDDEEEATPSPPPPPPPRHYHQHLLQPQQLVTQELFPAAAAAGGPPPMPVPQHWAELGFFRPAAPPPDMRILQLQQLQAHAPPPPPPAAQPPVAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEDDMKQMKGLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDNEVEAARAYDKAAIKCNGREAVTNFEPSTYDAELLNEVAAEGADVDLNLSISQPTSQSPKRDKSSLGLQLHHGSYEGSELKRPKVDAPPEMVAIPHRYPILTEHPPIWHGQSYPLFLNNEDAARDHSRRPEVATGSVPTWAWRVSHPPPTQPMPLFSSSSSAAASSGFSKTAAAAAPGAPSASFRFDPMAPSSSSSNQHHHHPR >SECCE1Rv1G0043430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:592538805:592539503:1 gene:SECCE1Rv1G0043430 transcript:SECCE1Rv1G0043430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCRSLIEFLRAFEHRRRAAPSSPSSAHPRPRRAASSSPSRGRLFTSLCDNPPPTAALDALALLAVLGALAFLAAPYARLLAREAADLVRQYPEEPYSYVAFAAGAGAAVAAVAGLLAWEAAGHHARKCGRPRCRGLRKAVEFDIQLETEECVRGSGRLMPPGGHAKTLLAAAGSAARLVELGEEHRELEAELRKMAPPNGRSVLIFRSPCGCAKGRMEVWGAKKVRRIKK >SECCE4Rv1G0227510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:123349085:123352597:1 gene:SECCE4Rv1G0227510 transcript:SECCE4Rv1G0227510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPPPFTPQNPSPAPNPGGPASTLPASFSNLQISRAPPPSASAGAPLGDGPVPSSIRGPQAPPPGARPFPGSPPPPLQPGSLFARPAAPVQQSPPFGGPPAASLQQSPPFGGPPSAQPLQPQQRSPFNGPPSVLPPQVQRAPFGGPPGMPQARPFGGPPAAVSQPAPFGGSSVATAQSAPYSAAPPPSFGAPPGAVPPPAYSGGPIPPFGAAPAPLQQGPYGGPPQFGGQRPGLQPPPFGAQTAPPSQPPPFMGVPGANAPAFGPPGWQGQARPGAVRMPGGMPPNALGHGMPATPTMPYSPHAGAQVSTPSNIDPNQIPRPIAETSVIIFETRQGGQAAVPPAASSEFIVKDTGNCSPRLMRCTLNQIPCTGDLLTTSAMPLALMVQPFALPHPSEEAIQLVDFGEMGPVRCSRCKAYINPFMRFVDQGKIFICNLCGFSNDTPREYLCNLGPDGRRRDADDRPELCRGTVEFVATKEFLVREPMPAVYFFLVDVSMNAVQTGVTAASCSAISQVLSDLPEGPRTMVGIATFDSTIHFYSLKNARQQPLMFIVPDIQDVYTPLQMDLILPVSECRDSLEQLLESIPSMFENNRVADSAFGAAMKAGFLAMKPTGGKLLVFQSVLPSVGTGSLSARETEARSNNISTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFLATQSYTDIASISVVPSTTGGRVYYYFPFSAVSDPAKLFNDLRWNITKPQGFEAVMRVRCSQGLQVQDYSGNFCKRVPTDIDLPAIDSDKTIMVTFKHDDKFQENTECGFQCALLYTTVYGQRRIRVINISLPCTSTLNNLFRYADQEAQFSYIVKQAANGIPSSSLSQVRDQVIGTCINILQSYRKHCASVSSSGQLILPEALKLLPLYTLALIKSIGLRNDGRVDDRSYWVSVVSSVSVLLAIPLVFPRMIALHDLTSRDDEDSLIPNPLTLNSENIQDDGIYLLENGEDGFIYVGNAVNPATLEQIFGFSSLAGAPNLLALEQFDNELSRKVNEVVNEIRRQRCSYLRLRLCRKGDPSGDFFRSLLVEDKAPGGLSYVEFLVHVHRQIQSKMT >SECCE7Rv1G0495400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:597742721:597744632:-1 gene:SECCE7Rv1G0495400 transcript:SECCE7Rv1G0495400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKISALPDELLIHVLSHLRSREAVHTCVLARRWRDLWQWVPCINVSFKEFVDMADEDDDEDDFEFKRFVNRLLMLRRPVDLEVFRLEYWLPTDGDYPEDDSADANLWIAHVLQYKAQAVKVVTQNEYLQLAPAVFTSAYLKRLHICNAYLMQGFFKHLQMGCPVLEYLLLSNTNIHDLDIFSNTLKALTLADDVMFSFNNGDQASISAPNLTSLSIEWGPSAARLPVLKNMTSLETASVVLLWGSIRYCDADGIKQFLGGLSHVRSLNFCYGVKKLEVKNNHGWCPTFNNLTNLTLDSWCLHADFYALIVFLRNSPNLKKLTLKLNEPRYHNGVVSAIIGELEDRSFTCKQLEIVEIMCSEGDELLLGVNQFLLEESGIRPDQIRVSHQN >SECCE1Rv1G0015300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:122620104:122623689:-1 gene:SECCE1Rv1G0015300 transcript:SECCE1Rv1G0015300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNERAEVRRSRYKASVDAEDGRRRREDQMVEIRKNQRDANLQKKRRDGFPAGAASAAGGAPQMGHSSALQQKLEGLPAMVQAVLSDDNAVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFIEFLTREDYPQLQFEAAWALTNIASGTSDNTKVVVEFGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLGSGGLFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQSVIESGVFPRLVELLLHPSASVLIPALRTVGNIVTGDDLQTQCVIDHQALPCLLNLLTTNHKKSIKKEACWTISNITAGNRDQIQAVIQANIIAPLVHLLQGAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNNYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDEVMPSGDNAQNGFNFGSQQNSVPSGGFNFG >SECCE7Rv1G0468490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:98969819:98970028:1 gene:SECCE7Rv1G0468490 transcript:SECCE7Rv1G0468490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVVATVLFVLLTPGLLFQLPAQGRIVAFGSMHTGGLAILVHAVIYFALITIFLIAIGVHIYAG >SECCE4Rv1G0227000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:116818752:116820076:-1 gene:SECCE4Rv1G0227000 transcript:SECCE4Rv1G0227000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRKRMVRGAAVFVTLAAIAVIVRAMIRKRRARITYGPMHERDRIRYDYLDQKIWQSDVLCKNMLRFERAAFFRLCGIMRDRKLLEDSPHLSVEQQLAMFLHTIGHNLRNRVISANFCRSYGTTSFYFRKVLHAIGELRNDYITPPSLETPTKIAGNHRFDPYFKDCIGAIDGTHVRAGVTKDVEHSFRGRKAFTTQNVMAAVDFDLRFTYVLAGWEGSAHDATVLADALTRERGLQVPPGKFYLVDAGYGAKPGLLPPFRGVRYHLNEWGNNPVQNDRELFNLRHSSLRVTVERAFGSLKRRFKILDDAKPFFTFPVQVDIVIACCVLHNYALSQGIDEFIIPEVTWTTQPIRTSRQQASDVRAVVDRRIQMAAQMWEDRQLMYANL >SECCE3Rv1G0203280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:882569231:882578108:1 gene:SECCE3Rv1G0203280 transcript:SECCE3Rv1G0203280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVRASLLGALGLAELLVSAVVHLTYGFYLFTTALRRDLSWLAGMPKNAVVSDGQAEAVLDGAVPPIVLVHGVFGFGKGRMAGMSYFAGAEEKDDRVLVPDLGSLTSIHDRARELFYYLKGGRVDYGQEHSRTYGHSRFGRAYDRGHYPMWDEEHPAHFVGHSAGAQVIRLLQQMLHDKAFDGYENTSENWVLSVTSLSGVLNGTTAAYLGGIRPEDGQSLRSVCLGQIYRLGTTIYHWLDVPWLRRYYDFGFDHFGMSWRTVGVSGLPSLLAGTSGPFATGDWILPDLTIQSIARINADVRTFPDTFYFSYATKRTTKLCGVTVPSGVMRIHPLVFFHVMQMCWWRHSAAEPPCKGYRDEDWEDNDGALNTISMTHPRIPVEHPSILVEDDSDCHQPQPGIWYYKIVEADHMTFVINRPRGGVQFDLIYDSIFRNCRKNVFRTAPTDATKSKLDLG >SECCE2Rv1G0116320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:763106064:763109208:-1 gene:SECCE2Rv1G0116320 transcript:SECCE2Rv1G0116320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQGKASAQSAGSNKSSQSGSFDFEQDQNRDTDRREVVVKIDADPSTSHAAGGTGGSGSGSTSPSARGRMGETFSFKNRQPQSPASPALGVGAECSDDPAGRLIGNFLRKQAAAGGELLLDPDLDIEDNHENHETWRPPRPPTSVTNSREFRVSFQDTNKRLGQSSSSASSSDATSDYNRNQATNAGLDTAEVLRCTSTSTGSNLLSRSRTRSRLMDPPPPSNGPVGADGERNDRKSFVMKGPPKSGQFRSGLIGKSGLIGKSSGPVGKSGGAFDDEDDDPFIDEGVAADFKRESTGCLIILEWIGLVIIVATLVCSLTIPRLEGKEFSGLPLWKWEVLVFVFICGRLVSGWVLRMTVFFVERNFMLRKKVLYFVYGVRRAVRNVLWLGVALICWHLLFDFDNDDRQATQTMVLHYVTKVLLCLLVATVIRLVKTLLVKVLASSFHVSTYFDRIQDALFNQYVIETLSGPPLVDESRMLVDVHRLQSAGAAMPNELQAATMPREPAAAVPKSGRLSKQLQKQKSERHNLDDGISIDQLHKLNQKNISAWSMKRLMRIVRYGALTTMDDQIKHATGLGDEMATQIHSEHEAKAAAKKIFHNVAKPGSKHIYLSDLMRFMRQEEALKAMDLFEGAKENNRVSKRALKNWVVNAFRERKALALTLNDTKTAVNKLHQMANVVVALIVLVLWLLILGIATTRIFVLLSSQLFLAVFMFGNTLKTIFEAIVFLFVMHPFDVGDRCEVDGMQVVVEEMNILTTIFLRYDNLKIYYPNSQLAILPIMNYYRSPDMGDAVDFSVNVATPAEKLALMKERLMHYLDNKKEHWYPGSMVVLRDIDDTNRLKISIWCRHTINFQDMGMRFERRELILQEMMKILRDLDIEYRMLQLDINVRNAPPIHSNRMPTTWNTNF >SECCE6Rv1G0423090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:693790458:693793076:-1 gene:SECCE6Rv1G0423090 transcript:SECCE6Rv1G0423090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g27610 [Source:Projected from Arabidopsis thaliana (AT2G27610) UniProtKB/Swiss-Prot;Acc:Q9ZUW3] MALRTALPALPRGFACRQRLATRASSARVEEDGGARPNTRSARGAFDGSLGRDAAAGARSGSSRDALVDCARRGMGREALGHFSAARRHGERIDGGMLSCALKACGAMPGCCRAVGEQLHCLCLKCGLDRADVGVGTALVDVYTNCGGVEDGRLVFEGMPQRNVGTWTSLLTGYAQGGAHSDAMALFFRMRAEGLWPNPFTFTSVLSAVASQGALDLGRRVHAQSVKFGCRSTVFVCNSLMNMYAKCGLVEEAKAVFCGMETRDMVSWNTLMAGLLLNGCEVEALQLFHDSRASVAKLSQSTYSTVIKLCVNLKQLALARQLHSCVLKHGFSSDGNVMTAIMDAYSKCGELDGAFNIFLLMPGSQSVVSWTAMIGGCIQNGDIPLAASLFSRMREDNVKPNEFTYSTMLTTSLPVLPPQIHAQIIKTNYQHAPSVGTALLASYSKLGSTEEALSIFETIDQKDVVAWSAMLSCYSQAGDCDGATNVFMKMSMQGMKPNEFTISSVIDACASPTAGVDQGRQFHAVSIKYRYQDAICVGSALVSMYARKGSIDSARSVFERQTERDLVSWNSMISGYAQHGYSKEALDTFRQMEAAGVEMDGVTFLAVIIGCTHAGLVQEGQRYFDSMVRDHNISPTMEHYACMVDLYSRAGKLDETMNLIGGMPFPAGAMVWRTLLGACRVHKNVELGKLAAEKLLSLEPLDSATYVLLSNIYASAGKWKERDEVRKLMDSKKVKKEAGSSWIQIKNKVHSFIASDKSHPLSDQIYAKLKAMTARLKQEGYCPNTSFVLHDMEEEQKEAMLVTHSERLALALGLIATPPGMPLQIVKNLRVCGDCHVVMKMVSAVEDREIIMRDCSRFHHFKSGACSCGDFW >SECCE4Rv1G0270560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755798714:755801621:1 gene:SECCE4Rv1G0270560 transcript:SECCE4Rv1G0270560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTTIQSVKARQIFDSRGNPTVEVDIGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVNNVNAIIGPALIGKDPTEQTDIDNFMVQQLDGTSNDWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGAASFKEAMKMGVEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYSEKDQTYDLNFKEDNNDGSHKISGDSLKDLYKSFVSEYPIVSIEDPFDQDDWATYAKMTGEVGQQVQIVGDDLLVTNPTRVAKAISEKTCNALLLKVNQIGSVTESIEAVSMSKRAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGDAAVYAGANFRAPVEPY >SECCE5Rv1G0371670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:849040240:849043727:1 gene:SECCE5Rv1G0371670 transcript:SECCE5Rv1G0371670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPKWVVAPLALLLLLQLAGASHEVRRSLEAEAATPSVPASILSPLLRTGYHFQPPMNWINDPNGPLYYKGWYHLFYQYNPKGAVWGNIIWAHSVSRDLINWIALEPAIKPSIPTDQFGVWSGSATILPNGTVAMLYTGIDRPGTNYQIQNIAFPKDPSDPLLREWVKPGYNPIAVPEAGMNATQFRDPTTAWQAGDGLWRMLVGGLKPGTLRGMAILYRSRDFKHWVRAKHPLHSALTGMWECPDFFPVRAPGHQDGLDTSEFGPHAHKYVLKNSLDLTRYDYYTVGTYNNKTERYVPDNPTGDVYQRLQYDYGNYYASKTFYDPAKNRRVLLGWANESDSVAHDNAKGWAGIHAIPRKIWLDPSGKQLLQWPVEELDQLRGKAVSVGDKVVKPGQHLEVTGLQSYQSDVEVSFEVPSLDKAEPFDPAYANDAQKLCGMKNADVKGGVGPFGLWVLASDNLAEKTAVFFRVFKDGHGKPLVLMCSDPTKSSLTPGLYKPTFAGFVDTDISSGKISLRSLIDRSVVESFGDGGKTCILSRVYPSMAIGKDAHLYVFNNGATDIKVSKLTAWEMKKPMMNGA >SECCEUnv1G0564250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:381788126:381791227:1 gene:SECCEUnv1G0564250 transcript:SECCEUnv1G0564250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGALSPVLRKLGELLAGEYNLQKRVKKGVHSLLTELEMMHAVLRKVGEVPSDQLEEPVRIWAGKVRDLSCDMEDAADDFLVRVGQGSSSKPTDMRHRVKKFLKKITKLFGKGKALHQICDAIKEAQDLAKDLSELRKRYELDMCSTSNCATIDPRVLALQKDVGEIVGLDRTRDDLIETLICDDESSKEQLKIISIVGVGGLGKTTLTKVVFEKIKAQFDCAAFVPVGQNPNIRKFFKDLLYGLDKDKFKDIHNTTRDEKLLIEEISEFLVDKRYLVVIDDIWEEEIWRFINCALCKNKLHSRVITTTRNVNVSEACLSSSDDMIHNMKHLSDEDSQILFHRRIFQSKEKCPENLQAVSREILKKCGGIPLAIITIASILVSNQRIKQEGEWMHVHNSMGRGVTQGGIVKDMKRILSLSYYDLPAHLKPCLLYLSIFAEDIEIERDWLIWMWLAEGFIQCDKEETRMFEIGESYFNELMNRSLIQPAEINHEGMVVTCRTHDMVLDLICSLSSEVNFISILDNVEWHAPNLQRKFRRLSLQNIKATVQNHQFDSTSLSKVRTFAVFSPVTCDWLPSFSCFQFLRVLNLENCGRPQRSSPRISLKYVGNLIHLRYLGLKHANVYELPVDISKLQLLQTLNIKATRINELPRSFVRLRNLICLCVDGNLRLPKGMGNLTSLEVLDIVNLASSPHIVKEFSHLTELRTLKIDCLRMDKDLISSDILIESLGNLQKLQNLRIDYGGSLINHIGQNWAPPPHIRSFDSCHAFTDSLFWRLPKWVNSTSLPHLSTLAIEVKELQGDDIQTIAMLPALRFLRLRASHVMGTLVVSADAFPSARCCMFQWFLTSPCLFPPGAMPRVQHLEFTVSASSIVSGEVDCGMVHLPSLDHIEVVLQHHNSSDEEMETAEAWLRRAAEAHPKRPTIEIY >SECCEUnv1G0530900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:14693488:14695828:1 gene:SECCEUnv1G0530900 transcript:SECCEUnv1G0530900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit F [Source:Projected from Arabidopsis thaliana (AT2G39990) UniProtKB/Swiss-Prot;Acc:O04202] MAAPETSACGAGPALLFPSSSSSSSSARVEAVVVFTICDSFVRRPDQAERVIGTLLGSVLPDGTVHVRNAYVVPHSESADQVALDIEYHHNMYASHQKVNPKEVLVGWFSTGFGVSGGSTLIHEFYSREVQSPIHLTVDTGFTMGEASIKAYVSSNLSLGDRHLAAQFQEIPLDLKMLDAEKVGFEMLKSTMVEKLPNDLEGMESSMQKLYALIDEIYKYVDDVVEARVAPDNKIGRFIADTVSSMPKLSPASFDRIFNDKIQDNLALVYLSSITRTQIAVAEKLNTAAQVL >SECCE5Rv1G0337750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:594018337:594024483:-1 gene:SECCE5Rv1G0337750 transcript:SECCE5Rv1G0337750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSDDDDSDPDFDEGLQEDLDLVRRSCIIAGADPDAAVAQASSYLAVPAAATTTAAAAAATDGLSDEGEGEDEDEDLALVRSIRENLHLNKASPSSPRPICAWPPSDAEDEEEDDLETLRAIQRRFSHYHSGTSTGPLENMKNEASKGGDDEFIAHQPGEEDVQKKNMNTNTHTGFPKAALLLVDALKKNRACQKFIRRKMINIEAKIEVNKDLRDRVKCLMDYQFSCRRSFGKDLCQKVDPRVRLISSKKQSAQSAKNKYKIPALLLGPGENPHVEKYKAVLKQFPVSLQKNPWSDIEKDRLAKGIKQQYQEALIKDSMKNGSSSAVDMAYALTNTVGNFEATPEILRSVLPLVNWDYIAAMYLPGRSGAECESRWLNHDDPLINHNAWTACEEKRLILTVQEKGMHNWINIAVALGTQRTPFQCLARYQRSLNPHILKRTWTKEEDLQLLAAVQTFGCNWQLVSANLVGRIGNQCSNRYRKTLIPERKRVGRWSEDEDKRLMVSVKLFRSGSWNKIAQFVPGRTQSQCSERWRNVLDPDIEHGEWRPEEDSKLLASVHEVGPCWSKIAGAMIPHRTDNMCLRRWKRLCQAELPLIIAANQVKKSIFQTNFVDRETERPAICPSDFPSLVYSKVDKGDENTVSDQVKKPRKRSRKSGLDNVPPNDPSKPSTDAAAVNTTKRKSRKKLPGTGAENQTGEDITVSNGVNNSSKGHSKTRKRKVTTDGDVVVQTRMRGSISVDNELTLDILGGPISFDNEAPTNQKRDPTPVGKEGTAKKRTRGSVSVGNEGAVRKKMRGSISVDDGRVVKNRMRGSVSIDNQGNTTKRKRVSRKSAKGNSKTDGEVNTCEIDLPSVPSEAAAERGIDTGNTSKIKRKSTPRPKLINMAEGTVDEYSRLADCISFGRGNGTSKNNSVMLLNNAVQSGGPSGENLPVASSARLDPTPVENGSTPNTQVVD >SECCE1Rv1G0007750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42376738:42378422:-1 gene:SECCE1Rv1G0007750 transcript:SECCE1Rv1G0007750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMMDDDGSLSPTRPFDKCLDETVSAEAILTALNGVASSSKNNSKDDEWASSDDDADAMELDGDPEDDKSSVSFKELRRNHYDEFRKVKELMRTGSLVEGEARANERAANNVEDKTAGGKATGDKSESSTSPQV >SECCE1Rv1G0029060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:409091228:409091999:1 gene:SECCE1Rv1G0029060 transcript:SECCE1Rv1G0029060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGELKLLGMSVSPFVIRYVEQDLFNKSELLLESNPVEKKVPVLIHNGKPIRDSPAIVQYIDEVWAAMGPSILPADPYERAVARFWTAYVDDKLFPAYVGTAKATTEEERMHQINEMFAVMGQLEEAFAQCSNGKAFFAGDSIGYLDIAVGCNLLLLEVLRNMYGVEFVGTGRTPLLAAWAKRFGETDAAREVVPDVHVAVEYAKKRQAYWAAATATK >SECCE1Rv1G0058530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701067131:701067397:1 gene:SECCE1Rv1G0058530 transcript:SECCE1Rv1G0058530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSVSRSTSPSSDSEWSKKENKMFEDALAYYGEGAPNLWDKVASAMGGTKSAEEVRRHFQILIQDVNNIEHGRIPFPKYKTQGFWT >SECCE2Rv1G0074040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73179490:73191552:-1 gene:SECCE2Rv1G0074040 transcript:SECCE2Rv1G0074040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSSAGKRRASSEEASPPTPPPAAASGDGDAPGASASAPAAEAASPPPTRSRSAKRAKGKTTRLVTAPVFEAAAKAAGRAIETSQLSAPAAERSAGAVAASSTVSNSGARKKTPRRLPPSDETTAEEMTQWKTRRGAASSRTHAWARLISQSSQYPTVPIYASYFTVGHGGKHDLKLTDALSGSLVCRLRHVRRGAALEVSISKVVYVNGKALDKAAKVTLTGGDEVVFSSLGRHAYIFQPLPEEKSSTSILSSPSFVQQGQYPVTKGTPDHLSSKRAKLSVPFIFGSGCSSLIPHDTEIVSSLCKTMEEQNQFSSEENVPFAQHKLLKEDLKKAVVSASDISESFDSFPYYLSENTKSSLLTPAHVNLCCKEAMEWTKKISFISQRVLLSGPAGSEIYQETLIKALTKHFDARLLVVDSSLLSSGQSSKSKESEPYKKGDRVRYIGSLQSTRFILEGQRAPDYGSQGEVLLPFEENRSSKIGVSFDKPIPGGIDLGGNCEVDHGFFCSVDSLCLDGPGWEDRAKHPFDVIFEFVSEESAHGPLILFLKDVEKVCGNTYSYHGLKSKLEIFPAGVFVIGSQIQADSRKDKLNGSPFLSKFPYGQAAILDLAFQDSFGRVNDKTKEAAKTAKHVTKLFPSKVTIQPPQDDLELSKWKQLLDRDIEILKVKANISKVQSFLTRNGLECADVETTVCVKDRTLTNECVDKIVGYALSHQVMNSTVPTPGKDVLLALSGESLQHGVDLSESMQNDHKKKSTKKSLKDVATENEFEKRLLSDVIPPDEIGVSFDDIGALENVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIGSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDATNRKKIISVILAKEELAEDVDLEAVASLTEGYSGSDLKNLCITAAHRPIREILEKEKKERSLAEAENKPLPPKYSSSDVRPLNMSDLKQAHEQVCASISSDSTNMNELVQWNELYGEGGSRKKTPLSYFM >SECCE3Rv1G0171930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:279229392:279232064:-1 gene:SECCE3Rv1G0171930 transcript:SECCE3Rv1G0171930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLWKSSNGGTTEKTLTLEEQQEKINEVRKQLEEPSSLAIQGFLSDASILRFLRARNWNVQKSSKMLKSAVKWRAAYKPEMISWEEIAHEAETGKIYRADYKDKLGRTVLVLRPGLENTTSGKEQIKYLVYSLEKAIMNLTDDQEKMVWMIDFQGWTMGSTPLKVTRETVSVLQDCYPERLGLAILYNPPRLFESFYKIVKPFLDHETSKKVKFVYSNDKESQKIMAEVFDMDKLDSAFGGRNLATFEYSSYAEQMKEDDKKMQSNDAGSDASSEASFYSGTDSPKHEDGEHGATKKACVT >SECCE5Rv1G0337740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:594014915:594017046:1 gene:SECCE5Rv1G0337740 transcript:SECCE5Rv1G0337740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIQKKRHGGGGGGGGARLQGGIPFEKSKGQHILRNPALVDSIIAKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELSRRFQGHPLSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDTLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRICFNRKNKTLGSLFKQKRVLELLEKNYKTMQSLQLAQDSEAGEEKMSPDDVALLASMVEDLSVETSDEKEDDDMEMDDADAAADGRASFKEKIMGILQQGDFAEKRSSKLSQVDFLYLLSLFNKAGIHFS >SECCE4Rv1G0218120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19895089:19896041:1 gene:SECCE4Rv1G0218120 transcript:SECCE4Rv1G0218120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPDENAHAEGMMGEHAEKEYADFEARVKRTIYIDHLSPVVTRQVIRAALSQCANVVSVEFVENYTIPYDIPAAALVELDDESQARSAVDLMRDFPFIIGGMPRPVRASLARPEMFPDRPSPPGSRMEFLWLKQGDPEYDGMSKLKSLAKRQEAENMALIKNILEEEKELAAQQQIMLDANYYKYDMLESIVQNGTIKNLAGHYRVNLFDG >SECCEUnv1G0527990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3068785:3072480:-1 gene:SECCEUnv1G0527990 transcript:SECCEUnv1G0527990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 4 [Source:Projected from Arabidopsis thaliana (AT2G26410) UniProtKB/TrEMBL;Acc:F4IUJ7] MGKKPKWLGAVKKAFSPESKDQKLQRRLAAAGTSTSAPYPRDLTPSASYLEARASSSAPPPARPLYPDDFPEASLPPPAPVPVTETQTQEEHDIEQTEHVAAAPPTPTDAPAPAAAAPPQAQAQAQAQAAIVPATSSSPILTRELAATKIQTAFRGHLARRALRALKGLVRLKSLVQGHSVKRQATSTLRCMQTLSRVQSKIRTRRIKMAEENQALQRQLLLNQELETLRMGDQWNTSLQSREQIEASMVSKQEAAARRERALAYAFSHQWKSTSRSANPMFVDPSNPHWGWSWLERWMASRPFDGRNGPADKDGSSVVDRTSVNSTSLMSMNLGEGETITKDDNHVDSLKPNDEKPPLLSTPKPSGPAPRQSPSTPSPVPARKKSAPPPKSGHADGDDADARSVVGTVRSERPRRHSIGASSVRDDAGSSPSVPSYMAATKSASARAKLRVQSPTLTEGAAHAETLEKGWSSVGSAKKRLSFPAGTPPSVPSAAAARRHSGPPKVRQAAVEGGAEERDSALV >SECCE7Rv1G0477450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:219635381:219635899:-1 gene:SECCE7Rv1G0477450 transcript:SECCE7Rv1G0477450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLSPGTGEVLGCNKRPPSRLQKKAPASLQLEQAGPGAAQPSPAAWGDGRTPIPLLSPLVASPAPAWEAGQGGSRRDAAQAETRSGGDADGILSPIRRGADETATTPALAPSGGWRHPAMTTPTPTPASNGGGGWRHPAMPSPVPEPASLAPLFKSQCAVELHNPQAQAQ >SECCEUnv1G0565570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:395041635:395042063:1 gene:SECCEUnv1G0565570 transcript:SECCEUnv1G0565570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAIFVALSLLLSTVATHGCGPYCQPPVVVPTPPVAVPPPHHGGGGAHRHGGQCSIDALKLRVCANVFGGPLGLKIGVPARDECCPLLQGLVDLDAAICLCTAVRANVLGIHLNVPVDISLLLNHCGKTCPSEFTCPAH >SECCE4Rv1G0251480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:603559836:603561556:1 gene:SECCE4Rv1G0251480 transcript:SECCE4Rv1G0251480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLPPSPPSGSPRLLKSGSGSEWSVVVQRNVKSSLLLLLAISTLAAFSILYSSRSLTVARTAGEALTQSPLLAALDLSSRMPEDDDDQSYEPTFPADDSVKPEQSTPAAAPSTGESAMQLDEKCDISRGKWVREPNGPVYTNLTCPMLPDFKNCQKFGKDDRHLYWRWQPDGCELPRFVPERFLDVVRGKRLAFIGDSLARNQIDSLLCLLSQAETPVDVYSDAFDKYRTWHFPTHNFTLMVMWTEFYAHAVPVVGANGKPTSSFDIHLDRLGAEWTSRLPGLDYAVISGGNWFFRVNYLWEGGRRIGCLNCAGNDANLTDFGVAYAVRRVVRAAVEGIAHCPGCKSSLVTFLRTYSPDHFEHGSWFNGGYCNRTAPLEEREVSMESIGWELRRVQREEVRRVKATKSGGTRRFGVLDVTKAMMMRADGHPDNHFDSRWMRNGSDCLHWCLPGPVDMWNGVLLQRLAEVTPPPVAR >SECCE1Rv1G0049010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:638351728:638353927:-1 gene:SECCE1Rv1G0049010 transcript:SECCE1Rv1G0049010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQFKRAPRHEDAALLAKETTFSLDEVKALYELFKKISYSIFKDGLIHKEEFQLALFKNSNRKNLFADRIFDLFDLKRNGVIEFDEFVRSLQIFHPDTPMADKIAFAFRLYDLRGTGSIEREELKEMVLAILNESDLLLSDNAVEQMVDQTFKEADLNGDGRIDPDEWKAFASANPALLNYMTLPYLKDMTMSSFPSFVLYSVTDDEEL >SECCE3Rv1G0190280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:736668721:736672710:-1 gene:SECCE3Rv1G0190280 transcript:SECCE3Rv1G0190280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWITTASFNPSSLTGPYVAGGAAASARSSAYPDWVLLRKTAHFSAFRNATTAESRTAEGRAVEASFWLVDPPGVSYFSVHCPGLQEDDFSGEPQVVCAEAAFVLFCVRFSFPSERRISTHHFVYTARGAAGPPSLQLLPEAPGVEAVKSHQLGLLPRGGERYAVAFLDRNWTARGDAWQWQFDAYVFSSEACSWSKKEALLGLSEFDAALLRPYATSKQITVGASTLGWVDISRGITLLCDLFDECPVMKYIPFPAPGVCIAPYYRLTRHSGQCFHDVACYDGLIKFVEIGFDEPDCMIKGKGWGATTWTRKISCGSWRKHIRVDVANISIDPRYSALLPELWNHETHKLELKKLVFLLPTLSVRNDDLLYIMAKVGGEHDKAWVIAVDMKSAVVEGLAPVSTGRSCPSTMFSPCAFPSYLNNMTQGNKSEEPLVGCDGGILEDEEIQAEHKTRGPEMGNPVDKYFKRMSASQCALQVLLTQHWFRELDEWLDSQGSACDACCKSLPYWCPASALSFDIKAVVNYASYNGEGEGASMAVNLCLGALDDFYKLLKESPLSDPSTTEAMKRQIRVALAALDSILEFVPLNCMPGSIQKVLVDVCLEQKEKTLVCEHCEKPGHTEDESDEWQQWQSDSGDESDEWQQGSSNSEDESDEWQRVGSDSDDSLDTREEKQAQVLDRRSGHSYWLYFVCCVLVAVAARALSRLTLVS >SECCE3Rv1G0182270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:624832297:624833787:-1 gene:SECCE3Rv1G0182270 transcript:SECCE3Rv1G0182270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGTFPFQWPMDPAPALPSGLDGSLLPSLLPPPPTPVPDDGAAYYAAADMQASSMPELAAPFPSRDAVAAELAMRRAEEEVAGIRLVHLLMSCAGAVEAGDHALAAAHLADANASLAAVSTASGIGRVAVHFTDALSRRLFRSPAAPPATDAEHAFLYHHFYEACPYLKFAHFTANQAILEAFHGCDTVHVIDFSLMQGLQWPALIQALALRPGGPPFLRITGIGPPSLPGRDELRDVGLRLADLARSVRVRFSFRGVAANSLDEVHPWMLQIAPGEAVAVNSVLQLHRLLADSADQAPIDAVLDCVASLQPKIFTVVEQEAEHNKPGFLDRFTEALFYYSAVFDSLDAASANGTGNAMAEAYLQREICDIVCNEGAARMERHEPLSQWRDRLGRAGLSAVPLGASALRQARMLLGLFSGEGHSVEEAEGCLTLGWHGRPLFSASAWRAATDGEDNHTSNNSNFSGSSGGGSDSNNSSSSNGKCSGVVGASNMFL >SECCE2Rv1G0128000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857161964:857173756:1 gene:SECCE2Rv1G0128000 transcript:SECCE2Rv1G0128000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVHRNLSRNGPGSAKLLPEEEDDLWHAYNLIAVGDSLQAVTVRKVLRDSASGGRDAERVKLKLEIVVESVDYDKEGNVLRVRGKNITENDHVKIGQFHTLELELKRPFVLRKEYWDWLALDTIQQACDPTASADLAVILMQEGLAHLFLIGRSITATRSRIETSIPRKHGPAIAGYESALKKFFEHVLQALLKHIDFEVVQCVVIASPGFTKDQFRDYMHLEAARRDLRVIIENKSRIILAHAPSGYKHSLKEVLDTPGVMSLIKDTKAAQEVQALKEFFAMLTNDSARACYGPKHVEVAHERLAIQTLLMTDTLFRNTDIASRRKYVNLVESVKKYGGTVHIFSSMHVSGDQLAQLTGIAAILRFPLPDLEDIEM >SECCE2Rv1G0137160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:916649872:916653854:-1 gene:SECCE2Rv1G0137160 transcript:SECCE2Rv1G0137160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEASSSGGGGEEGSGAGGWTREQEKAFENALATVDEEEGEAMWDKIADAVEGKTPEEVRRHYELLVEDVDGIEAGRVPLLVYAGDGDEGGSGGGAGGSGGGGGGKKSGGGGGGHGEKGSSKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNGEASAAQGPITGTNGQAAVPGKSPKQSPHQPGNLPPGMDAFGTTIGQPVGGPLVSAVGTPVTLPVAAPPHMGYAMHAPVPGTMVPHAPMYPMPPPPSR >SECCE5Rv1G0371060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845367604:845369968:-1 gene:SECCE5Rv1G0371060 transcript:SECCE5Rv1G0371060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVVDLRSDTVTKPSEAMRAAMAAAEVDDDVLGADPTARRFEAEMARIMGKEAALFVPSGTMANLISVLAHCDARGSEVILGDDSHIHVYEHGGISTLGGVHPRTVPNNPDGTMDVDRIVAAIRSPDGALYYPTTRLICLENTHGNSGGKCLTVEYTDKIGEVAKAHGLKLHIDGARIFNASVALGVPVDRLVRAADSVSVCLSKGIGAPVGSVIVGSEAFINKAKILRKTLGGGMRQVGVLCAAAEVGVRDTVGKLADDHRKAKVLADGLKKFKQFTVDLTSVETNMVFFDMADPRLTPAKLCQALKQRNVLAMPTSSKSVRFVVHYQISDSDIQYALTCIEEAVEEMLACDAKSERCSTNGTS >SECCE6Rv1G0383230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:51362329:51372479:1 gene:SECCE6Rv1G0383230 transcript:SECCE6Rv1G0383230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein OR23 [Source:Projected from Arabidopsis thaliana (AT4G03030) UniProtKB/Swiss-Prot;Acc:Q0V7S6] MASPSSPSRRLAGSLILRPGPEGSLIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAATLLPLRRSLRLPTRHLVCLFPTDPSLASPILLDPAAPTAWWPLPPIPCSPQLYGLANFAAIAVGSHLYVLGGSHFDARSYPLGHPLPSAAAYRLDLALSRHRWERLPDMHIPRGSFACAPAPGGGVVVAGGGSRHPTLPSSGSRTSSTEWYDAATRTWNMATAMPRERAGCVGFVAHGAGGVGEDEFWVMGGYDRYTTVGGVVPNDLYCRDAMALGLWSGKWREIGDMWVEGERRRLGPVAAISAEDGKVTDVFMLDGDDIFRYDFASNGWSKEATLRRKIPETELCGFVSLNGELHVLKSAKLPAETLHPRRQLKKRLALEFQVYNPLARKWRVFTTYPPVSVPIDFRTAALCTVEL >SECCE5Rv1G0364030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:798220712:798225337:-1 gene:SECCE5Rv1G0364030 transcript:SECCE5Rv1G0364030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAPPARDWSLLPLDALSLIFVRLAAVDILMGAGLVCRSWLEAANLPDVWRSVDMDKHEFVFRKGDDVLREMAKVAVDRSDGQLREFVGRLFVTDELIKYIMERSPSLTTLRLISCFGVFSKQLISVIEESPLLELRSLELENIDLTMRELTAILESCPILEKINTKRSPNTMILYGDFSRPEGTLEGSGGS >SECCE5Rv1G0303060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:44471124:44472101:1 gene:SECCE5Rv1G0303060 transcript:SECCE5Rv1G0303060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNKPHAACSLLLALLIGLTRPSPCASSLYNPPPPDMAYHHGGVLDGTVPVSVLYYGAFSPHHKAVLADFLHSLSPRSRPHAFGAPAAASSSSVAQWWETVDRYVQRTGRERTRVMLTNQVSDEGCSLGKRLSRLQVEQLAARLGVAPRGIAVVLTAADVAVDGFCGSSCGLHGSLAPGGAVHVWVGNAAVQCPGRCAWPFHAADPAAAATAGPGRHRGGETPLRAPNGDAGVDGMVINLAALLAGAVTNPYGHGYFQGDAGAPVEVGGGCPGVYGRGAYPGYPGAVKLDAATGGGYNVVGRNGRRYLVPALVDPANYSCLIMA >SECCE7Rv1G0463560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:54671249:54672321:1 gene:SECCE7Rv1G0463560 transcript:SECCE7Rv1G0463560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGGHGGGNHPLRWTSPMSGFMLRRFVELIASRVKTEKGFKEVHLNQVAKNCSEHFGLSITETQVYNHLRKWRARWVKISKLRDITGSLWDDNNYVIMLEEEHYMGHIKDHPKDVEYLNVPLENCVQMLAIFGSGIATGRFAMTSNEALGVPSMVGTSSSFVNLEACGSEFVVDGNEPGLSATAAAHGETAAAPHGKEPCKDASSSTGKRKRSSLMSEEEVLVMSNMSEAFREVSIAIKSTGEVHPELYDAVMELPGFTEDDLLIVLDYLNENANRARSHSFVQMPETRRTRWVMHHLSKVNGGVPLPKEGLSKDGMPVTCDEVPKGGV >SECCE3Rv1G0152310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:46107046:46110756:-1 gene:SECCE3Rv1G0152310 transcript:SECCE3Rv1G0152310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DAMAGED DNA-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) UniProtKB/Swiss-Prot;Acc:Q6NQ88] MAAPARARFVHNRRRADEDDSGDEGHQRQDASSSSSSDDDGGDEEEEEMEVEGSEEEEEEEEEAVADEPAARESPAAAAAGRGGRKGPITISLKKVCKVCKKTGHEAGFKGAVYIDCPMKPCFLCKMPGHTTLTCPHRVAMEHGVIPAPRRNTNTSLDYVFQSQVKGKISMVKPRFLVPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGIWDYVKLHEKITYDSVHSCILNSMKFDTANDGVLYTASSDGTISSTDLDTGIGSPLLNLNPDGWSGPSTWRMIYGMDLNTEKGLLLVADSFGFLYLLDRRSKERIGQPILIHKKGSKVTGLHCNPAQPEVLLSSGNDHFARIWDTRKLDPKSALASLAHGRVVNSGYFSPRSGNKIMTTCQDNRIRVWDYIFGNLESPSREIVHSHDFNRHLTPFKAEWDPKDYSETVAVIGRYISENYNGVALHPIDFIDTSTGKLLAEVMDPDITTISPVNKLHPQDDILATGSSRSIFIWKPKNDVDPTEERTSQKVKEYVYGSGSRKKPNGKHDNSSDDDSDGGGGKSKKAKKTRFTHTAKGKGKSKA >SECCE5Rv1G0336450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:584095285:584098996:-1 gene:SECCE5Rv1G0336450 transcript:SECCE5Rv1G0336450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKLGATAMAQRQEGSAEEGEEHRLRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDLKLLLSANLAEVINAVFPVITCRKPANTILVPNRQGKRKPGANHSHYKRLLGVARLLSQMAEPVMKGAVQISFLLARSFFVELCTAVLALLARVRVLTQQMLLDVVSVYNKVTDLTDKKQAVKISIDKVQAFREYYPSSNDPSTILECVWVKDKFVLHEKTKASSQKTQDEDLKCTPDSSIKYETLGLVSEEMENLDGANTTAKQQHASLADQADKATHCGDAGDSHSGRQLPSDQNAPGSLLGTPGAASAPCRDVKPDSRKRVAFIAVGKTKVTVTPPETSSSVVVKKQRVDTIPQNTADPAL >SECCE7Rv1G0480570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:271511988:271512497:1 gene:SECCE7Rv1G0480570 transcript:SECCE7Rv1G0480570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSSLLPALLVLLAVAAPASVAPTTFVRAAEFAERLEGVGQQQCWETLLDVKSCTGEIILFFLNGEAYLGPGCCRAIRIIEQRCWAADLMLSVIGFTPEEGDMLKGYCDAGDDDNGGGDGHHHGVGGSSPAPPPRRALDGVASVGTAVPMAGRKELGVPLDRKLS >SECCE2Rv1G0122990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:820649643:820649945:-1 gene:SECCE2Rv1G0122990 transcript:SECCE2Rv1G0122990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACAFFFDAEPVGEPGVHALDACALCTKPLARDSDIFMYRGDTPFCSDECRHEQMRLDAVCARQAARAAARRLKQFSSGTNSGRAHRESWEVSVAS >SECCE5Rv1G0375190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867188842:867190486:-1 gene:SECCE5Rv1G0375190 transcript:SECCE5Rv1G0375190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDKLKKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRVGVNTIPGIEEVNIFKDDVVIQFQNPKVQASIAANTWVVSGTPQTKKLQDLLPTIINQLGPDNLDNLRRLAEQFQKQMPGGEAGGASIGAAQDDDDDVPELVPGETFEEAAEEKKEPEAKKEPEPEEKKKESS >SECCE5Rv1G0297270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:979938:982286:1 gene:SECCE5Rv1G0297270 transcript:SECCE5Rv1G0297270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAALVLVMAGLLGAATANVGDRCSTSADCGAGQWCFDCEPQLAGSHCVRSAATNPFQLVNNSLPFNKYAYLTTHNAFAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPALDTFKEIEAFLSANPSEIVTLILEDYVNAPNGLTNVFNASGLQKYWFPVSKMPQNGQDWPLVSDMVTSNQRLLVFTSARSKQVTEGIAYQWNFMVENNYGDDGMDAGKCSNRAESPPLNDKTKSLVLMNYFPSVPVKLTACLQHSKGLIDMVSTCYSAAGNRWANFIAVDYYKRSEGGGAFQATDLLNGMLLCGCQDVKACQRGSSVVCSA >SECCE1Rv1G0035150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:496391617:496409269:1 gene:SECCE1Rv1G0035150 transcript:SECCE1Rv1G0035150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPCTHRISSPLLASHSHSRFHFHSHQRSPRAPRLAPLRCFRGTPPPAAAAGAVSTMAAPVGEMVWVPVLEEGVFRFDASEEARSAAGPSLSFVEPRRREEPREGGDRPAVVPACEVAGNVQKVVIKLPSGTSFYGTGEASGPLERTGKRVFTWNTDAWGFGPGTTSLYQSHPWVLAVLPDGKAFGVLADTTRRCEIDLRQECTIKFSAPSAYPIITFGPYNSPAEVTMSLSHAIGTVAMPPKWSLGYHQCRWSYDSSEKVLKVVRTFREKGIPCDVVWMDIDYMDGFRCFTFDSNRFPDPKSMADDLHSIGCKSIWMLDPGIKKEKGYFVYESGSESDVWIKKADDSPFIGEVWPGDCVFPDFTCERTRTWWASLVRDFVSNGVDGIWNDMNEPAVFKTTTKTMPESNIHRGDADIGGVQNHSYYHNVYGMLMARSTYEGMAMSSTDKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHMHMSLPMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSETGSIDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHKKGAPVAAPLFFADSQDPELRKIETSFLLGPLLICASTSPDKGAHECAHKLPKGVWSRFDFGDSHPDLPVMYLQGGAILPVGLPIKHVGEASLEDDLSLIVSLDENGKAEGVLFEDAGDGYGFTKGNYLLTYYVAQVHSSVVSVKVLKTEGSWNRPKRNLNISILLGGGAMISSHGVDGEELHITMPSGSEVSGLVSTSELELKKRLEMISPIPDIDEPSGQEGAELSKIPIDLKSGDWLLKVVPWIGGRIISMTHLPTDSQWLHSRIEINGYEEYSGTEYRSAGCTEEYKVVRRYLEQSGEEESICLEGDIGGGLVLQRHISILQDNPKIVQINSSIQARSVGAGSGGFSRLVCLRVHPTFTLLHPTQVVVAFTAINGSKQEFSPESGEVTLQGDLRPNGEWMLVDKCAGVSLVNSFDPSQVSKCLVHWGTGDLNMELWSEERPVSKDTPLTICHQYELRQTC >SECCE5Rv1G0352560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:710669066:710669596:-1 gene:SECCE5Rv1G0352560 transcript:SECCE5Rv1G0352560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTALSCLAALLLLAAAAAPASAAEKETRLRVYWHDVVSGGPNARVVQVAQAPSSNASATGFGTVLVIDDPLTEGPNLTSRLLGRAQGMYISAGKDSLSLLMAMNFVFVDGAYNGSSIAIIGPNQADRAVREMPVVGGTGVFRFARGYCQLRTHWFDAKTGDATVEYKIHLRHD >SECCE5Rv1G0324130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:442903475:442904833:-1 gene:SECCE5Rv1G0324130 transcript:SECCE5Rv1G0324130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSDCEIVPTRDSRIFHFRVRFSSPGDPASGRGVHATTDVAGCRCVAVYLPRHSGRQIRVAITVCNNPDLKGDAKVSTRMVFLDKTGSPAPSVGTRSTMGSSIPGFIGRAYILKARRDKVKANCVVDNYFVVSCSVDINWTPTTSSLEQELPSLGHDLAIMWDKQDLTDVSFHVGGESFSAHRLVLATRSPVFRAELYGLMAESKMASITIHDMKASIFRSMLHYIYHGSLPDAGNADISSTMAQYQHLLVAADRKSEKNLESLHNKICEDKLCCNGITTATVVSMLELAEVHVYPKLKARCFDFLADGDNFKMVATSSEYLHLMQNFPTLLVEARNRFKIAHGKPTIVKPGSHKKTTPSVHFYKAF >SECCE5Rv1G0307420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:111490857:111499028:-1 gene:SECCE5Rv1G0307420 transcript:SECCE5Rv1G0307420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSHADRPFRPPDRGPLPPHRSQQHPQSAAILLLRAGPDFSSPPATEVDALVAGLRPDSLSVFSSGRHAARLLFRSLPAAAAAARDLWSLRLEGRHFLTPYLPDAVLAAKASPLISSLFASHASRLLDSDLVSRLAARSSQLAASVQTVKHRLRVRRSNLRDFDQLNLQKKSLEADKDMLDAKIAEYKAAMTSIRRAMLSGTDEEEEVDEEEEEGVDLFGIVEGDDVDFARVHTMMLRECRRLNEGLPIYAYRRKILNHIFANQVMILIGETGSGKSTQLVQYLADSGLAANGSIVCTQPRKIAAITLAHRVNEESNGCYADNFVSSCSTFSGSRDFSSKIIFGTDSYLLHHCMNDTSLDGVSYIIVDEAHERSLNTDLLLALIKKKLLDRVDLRLIIMSATADANRLAEYFYGCQTFHVKGRNFPVEIKYIPDVSVDASFNTVPNSLNDACATASYVNDVVRVVSVIHKNEEEGAILAFLTSQLEVEWACENFSDASAVVLPMHGKLSHVEQSRVFNSYPGMRKVIFCTNMAETSLTIKEVKYVVDPGLAKESRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGKCYRLYSESHFRMMEVHQEPEIRKVHLGTAVLRILALGVRDAQNFEFVDAPNPEAINMALKNLEQLGAVKCKCNLFELTDTGRYLVRLGIEPRLGKIMLDCFDSGLRKEGVILAAVMANSSSIFCRVGTNEEKHKADLQKVRLCHQDGDLFTLLAVYKKWEDGHGNRNMWCWQNSINAKTMRRCQETISELENCLKHELNIIVPSYWCWNPEVPSMHDKLLKRIILSSLTGNLAMFLGHEKFGYQVISTDQAVNLHPSCSLLNYGSKPEWVVFTEILSIPNQYLVCVTAVDHDALYAVHPMSFIHQLEKHKLQIKVISGLGHNLLRRFCGKYGQNQHRIISHLKEECRDDRITVEINFKNNEVVLFATKQDMEKVFCIVNGALECEAKMLRNECLERRLFPGRPGSSPLALFGSGAEIKHLELGKRYLTVEVLHQNAHDINDKELIFFVGSLISGVANFHKSAGNCRIASDETKWGKFTFLKPEDAEDAVLKLNGIEFHGSSLKVVPVCSSDNRGLPFPAVRARLSWPCNPSRGRAFGTRLLRLREAPIVGPSVSACEEALIREISSFMPNKSFPGQNFRVEVFPPEENNSMMRATITFDGSLHREAARALDHLEGSSLPCCQPWQMIRCKHVFHSTLSCPLHVYNVISQEVNSLLESFRSQKGVSYNFEKTDNGIFRLKLTANATKTIADLRRPLEILMKGNTINHPDLTISAVQLLLSHDGVAHLKSIEKETGTYILYDRQSLNIKVFGHQDQMATAETKLVHTLTELLEKKPLEISLRGHNLPPDLMKKTIENFGVDLEGFKKEMPAVNVELYKQRHLLKVWGSKEDKRRVEGMISELITSGKQNALVELPSGSVGGSKDKQRVDDNELAQDACPICLCETEDPFELESCGHMFCRACLVDQCESAAKSHDGFPVCCLKTGCKKPFLLVDLKHLVSNEKLEDLFRASLRAFVASRAGMYRFCPTPDCQSIYQVAAPDAETKPFVCGACYVEICTKCHIEYHPLMSCEAYKQYKEDPDATLLEWRRGKENVKNCPSCGYTIEKSEGCNHVECRCGSHICWACLANFRSSEECYGHLRSAHQSFVDIV >SECCE5Rv1G0323940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:441066953:441068020:-1 gene:SECCE5Rv1G0323940 transcript:SECCE5Rv1G0323940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQPRPPSMPPPQPQPFRFWLPYKSNVGSWRQQPRPPMPLPPRPQPPGPPPPTPAEPAARAPPARAVEEDIPIQADSSDESDTIAVEALDSLRLRGARPAMAGLDLTLSRSPPPPTGPEQGTSGGGDRGNDGAKISISGFPRARLFDGARAPYRREIEDGLKSLAGRETPAPSPPDIGNQGYRVFTLAGHNVGASMVLGNGSSGAPPPRTAAESEGSARPPSVAANVNSNVQGVNNSAMEESTCNSGDPGVRVDIKNAQEDRVALGQGKDEREEKPEGPARTPPPQAASGGEAARAPPKTRRCLRALMIEERKPKPIACRFQCVADHAPKPPATASDPGGNKSAGEEGAGESTR >SECCE4Rv1G0246770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:548724711:548725647:1 gene:SECCE4Rv1G0246770 transcript:SECCE4Rv1G0246770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGMDAEQQQHTMAEQVKEYQARSRHAWAAASFFSSTSSTSTAGSSWVEVLFVIWELALYYALLVFACIAIYFKFIGIALSFTCISALLYLCMRLTKEERKHKKSKQRMLLPLSM >SECCE3Rv1G0200100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:851061809:851062519:1 gene:SECCE3Rv1G0200100 transcript:SECCE3Rv1G0200100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGKLGLSSLFHTKAKEDASPSPPRGDPAAAPAWAWPSCKHPRTRSFHDAPPPPGARTLASIFLDSAESSFTNSSARRDCSDSPSTASSTASAEGGGDAATAEDAVVVGPLRASDRLLFDPGASGATSSILGEKLQAGAREAFVGGVAVAFESADPYGDFRASMQEMVAAHGAGGWGWGWLEEMLGWYLRANGKDTHGAIVAAFVDVIVSVADPGRGSSCSSTRSSSCASADGDQ >SECCE5Rv1G0347890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:671210302:671214551:-1 gene:SECCE5Rv1G0347890 transcript:SECCE5Rv1G0347890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAKWIKSLVRIRKQEKGRSSENPEKAQNAESSEASSSARQLHKRKHSLDPALEEPAVPSETSTDGTNTQLGSNSVSSESASRDVHVSQTEELPREGDLAATVIQSAFRAFLARRALRALKGIVLLQALVRGHIMRKQTAETLQCMHELVRAEARVRARQAGVALENQVARKKVPEQDDCENHVREIEEGWCGGIGSVAEMQAKVLKRQEAAAKRERAMAYALTHQRQAGLRQQKPTNLQGSELDDDHWGSNWVERWVAARPWENRLLDNNAKESMPVCDDNQDEEIKSQVTPKGKAPTSSTPPNGLSKKKGASHRKSYSDVNFTSFGRSSSVLPSTSLGTSKQKPKLEDEAFEEVSSQPTDIASLAVLNQKERRGQLNTSAKKRLSLPNNVGGGAAKGTSTINRNPMNRSSSAKSDPKPRANAPNQARKQVELQA >SECCE7Rv1G0456040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9339825:9341319:1 gene:SECCE7Rv1G0456040 transcript:SECCE7Rv1G0456040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVSTPRGSKSARGTYSGVDRLSSLPSELLHRVMSFLPMPEAVRTSLLSPSWRNLWASTPYIRIDHNDFMDDNSKMEKFGDRLLLLRDSTATLDEARIIDYTVASTTCTVWIRHVIMHKVRHLHVSGLGHLDSSAMPPSHHLETIRLQFAILGNGLLRPLNYDCRVLQLLQLEDCILVDLKEISSRSLKVLRIINCLIAGSLLICASNLTHLSILDMHSHSEAILVRDLSSLVTAFVSVRTVEGHDLLDGLSHATTLELHAPLPEGGLSICPMFSNLTSLVLGNWCMAADFDALLRILQRSPKLKELTFKLERVQCIRCMHSESTLPPSRASLSLGSHPCIERIEICCWKEDPSVGVLVEALRPIVGDVEVSIKRLY >SECCE6Rv1G0453690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:884302269:884313795:-1 gene:SECCE6Rv1G0453690 transcript:SECCE6Rv1G0453690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLKTDKLVMVDLEWFTRFLGFCSHAYHTSIKEAGSTDLNFINVRERLLCLEYINNGSLDKHITDELRGFEWKTRYEIIMGICKGLCYLHEETEIVHMDLKPANILLDDHMVPKLTDFGLSRSNENSHTMGQRFGTRGYLAPEYENAGRTSVKSDIYSLGAIIIELVTGCMGVPDKINVLRRWRHRWSKPPTLLQYQQVTRCIDIAARCRQQEPGHRPSISEIISILGEPKSTNGHTGQVSPCFDEDDMLGIKPIELHLPSELQEEISCFKVQLTNDTYNYIAFNIQLPSGQQYSAQPYKGIVQPESKCGVKIIVQARDVHEHAHADKFIVQSMKVSEGHRYEDITERMFEEASEVVDEVNLMVVYEPTKPQENCKSREDTNMPAEEVPEAKKREIVESASGKGKLVSSENAEASLVRGTRGHCTKQTEQCKFYPPQSFSLHYPTNTTYLGRGSRAVDVARGAMGSLLDKLGKLVKEDYNLERSIKRDIESFSEVLAMIHKELPNLGKLDGVEIWVDEVRELSYNIEDMIDSFVVCVEPDSSRSGFRELPREGLKFLENDMTSQRQIGDVITDIKIQVQAVADRKKKYNFNVNNVAANATAKADIDLRISAIYEDKEQLIGIEAPRDELIRLFKEDGNVSKLKTVSIVGLGGLGKTTLAKTVYDKLKAQYHPKAFVPVGQNTDVKTILKNIIIDCQSQEYNAERLEYLDARQLINELQKLLEDKRYFIVIDDIWDSSSWDLIASAFPKNNHGSRVITTRRIERVALDCCKVERKYVYRMKSLGEEDSRRLFFRRIFGPEKDCPDTPRKEEISKYILKKCGGMPLAINSIASLLAGEEESTWEYIWKSLGSVTEGDDLENMKQILDLSYIHLPDHLKTCLLYVCMYPEDREIDKTDLLRKWVAEGFVHVSRNGGLDAEDVVEKYFKELISMCMIQPVKIDGYSNEVLSCRVHDIILDLIKSKSSKENFIHVIDGSKNETGEIRRVSVQCNDEEEDTRILETINKGSLSHVRSALLCRSSLVSYFLEFKYVRVLHLEHKCYSWSDQLDLTGISRLFLLRYLKIACFSYYKTCELKLPNQIGVLQQLETIDIYGGKLRNYPSDIVSLPWLSHLSSMGSVLPDGIDGLKSLRTLEGVDVFKSSVENIKGLSKLTNLRKLVICLEASPSKEAFIMRMNALCSSICMLSANLRTFTFKGVYKPDVPGWIIKAPFPAGSHIRELNLMGCKFERCPEWIGQLHGLYKFLICVREVADGVSIVAGLPSLAYFKLVTLTSKNTEQKEETVVIPGTDSGAFKALKHLIFRCPKASLTFEAGAMPKLEKLDILFRYNMAPCFLPVGIQHLPAGTLKQIWSRVDYYNDRLFLTGDRLLDCNPGSSRKRTIRGVYKSRKLRTMLKRAFKQHHPGADILIYYNEDG >SECCE7Rv1G0516000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:842777795:842779273:1 gene:SECCE7Rv1G0516000 transcript:SECCE7Rv1G0516000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRTWHCLIALLLLSSAAYGQLSPSFYATSCPLLELTVRATMIAALIAERRMGASLLRLHFHDCFVQGCDGSILLDDVGSFIGEKTAFPNVNSVRGYEVIDRIKANVELLCPGVVSCADIVALAARDGTFLLGGPSWAVPLGRRDSTTASLGEANADLPGPTLTLDQLIRAFDKKQLTPRDLTALSGAHTIGFSQCQFFRDHIYNGTNIDPAFAALRRQTCPAAAPAGDANLAPFEAQTQLVFDDAYYRNLVAQRGLLHSDQELFNGGSQDALVRQYGSNPALFAADFVFVAAMIKMGNIAPLTGSSGQIRRNCRVVNT >SECCE7Rv1G0501950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:691511426:691516827:-1 gene:SECCE7Rv1G0501950 transcript:SECCE7Rv1G0501950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MAGAARKKLVGRYEVGRTIGQGSFAKVKFAVDADTGAPVAMKVLDKATILNHRMLQQIKKEISIMKIVRHPNIVRLNEVLAGQTKIYIIMELITGGELFDKIARQGKLRENEARKYFQQLIDAINYCHSKGVYHRDLKPENLLLDSRGNLKVSDFGLSSLSQNGFLHTTCGTPNYVAPEVLSDGGYDGSAADVWSCGVILYVLMAGCLPFEENDLPTLYDKITAAHFSCPDWFSQGAKSLIQRILDPNPKTRMTIKEMKADTWFSKDYVGVRHDEDENVSLDDVQAAFDNIEDKYVSEQVTRNDGGPLMMNAFEMITLSQGLNLSSLFDRQQEYVKRQTRFVSRKPAKTIAATIEVVADSMGLKVHSQNYKLRLEGVSSNKMSPFAVVLEIFEVAPSLFMVDVRKVAGDSLEYHRFYKNLCSKLESIIWRPIEVSAKSALLRTTTC >SECCE3Rv1G0172050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:283135715:283136293:1 gene:SECCE3Rv1G0172050 transcript:SECCE3Rv1G0172050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGALASVLFAAFSLPCLLLLVVVAEAGLRLAALALRGEAFAWPSRSAFLGYRIARAGNFSSAATVVGGGVFQQEELLPPEYLDLLAVAVYRRGGDKWAAVDCVFCLSRIDDGEEVRELRCRHVFHRECLDSWLLRPRATCPLCRDRLLPCEPPRACARALDDDEIYVDELEDPPSSSSFYSHDAALWHM >SECCE7Rv1G0526620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:896161411:896162969:-1 gene:SECCE7Rv1G0526620 transcript:SECCE7Rv1G0526620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGVTLETLPNKVPLDFLKKITSNFSKELQLGEGAFGEVYKGILDSGELIAVKKLAENSPVPRETIFDNEVQNIMVLEHENVVKLVAFCREAQNRLVQSNGRHIIAEITETLLCYEYLPKGGLDKSLVGEFSSIEWDIRFKIIKGICQGIHFLHTLPSPVLHLGLKPQNILLDGNMTPKIADFGFSRIFGQEQTRMNTRSVVGSVGYMAPEYLYNGEISARSDIYSLGLVILEISTREKNSSSTDQKHARKYIDEVKEKWKLETIMSEYELEEDELNQVEACIDIALKCVEIDQKNRPTIQYIVNKLSNL >SECCE2Rv1G0127300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:852077218:852078378:1 gene:SECCE2Rv1G0127300 transcript:SECCE2Rv1G0127300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTLRLTVPSLRAFVRSLPSVVALVLDTFCVDALDAADELGVPAYIYFTSSAAYLAAFLHLPHYLTTTNGSDFKDMGKDLLHFPGVPPIPASDMPQIVQDRASRSCTVRMGQYGRKPEARGLLINTYERLEARAVRALRDGACVSGRPTPPVYCIGPLIVKGEAAAPQAQGACLSWLDAQPERSVVFLCFGSLGAMSAAQLKEIARGLENSGHRFLWVVRSPPEDPAKYFMERPEPDLDTLLPKGFLKRTLMRGMVVKMWVPQVEVLRHAATGAFVTHCGWNSVLEAASAGVPMLCWPQYAEQRANKVFVVDEMKLGLVMEGYDEELVKAEEVEKKVRLVMESGEGGKLRGRLALAKEKAAEALADGGPSRRAFAEFLKDLKLQE >SECCE5Rv1G0334550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566886198:566887136:1 gene:SECCE5Rv1G0334550 transcript:SECCE5Rv1G0334550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSVSPTLLLCNMYQRPDMITPGVDAQGNPIDPVKIQGDFEDFYEDIFDELSKHGEVENLHVCDNLADHLIGNVYVQFREEDQAAKALQALQGRFYSGRPIIAEFSPVTDFREATCRQFEEHNCNRGGYCNFMHVKEIGRDLRKRLYGHLHRSRRSHTRSPSPYRHHARDRDRSSRSRDRGDYYGGSLDRGDYGDYYHHSRRSSERNRNYDSDGSRRRRQHRSRTRSPVREGSEERRAKIEQWNREREAPARQGSEERRAKIEQWNRERETAQA >SECCEUnv1G0554090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:282371590:282373425:1 gene:SECCEUnv1G0554090 transcript:SECCEUnv1G0554090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSIVAEMAKEEVAMLIGVSDGITDLSIKLGDLKNFLADADRRNITDESVRGWVGELKRAMYLATDIVDLCQLKAMEQGQTKDRGCLNPLLFCMRNPIHAHDIGTRIKKLNQNLDDICKRGKSLKFIKLEAYDDQNTTRSLATNRKTDSLIERSGAVGEKIEEDMRALVDVLTREAASNKSDCFMVVAIVGVGGIGKTILSKKVFNDDSIKGKFTKKIWLSITKDFNDVELLNKAIIAVGGDLPAAGGARDRDLLVGALSNAIRDKKFFLVLDDMWGVDAWDKLLMAPFSYGGPSSKVLVTTRHDTVALSMKAVHYHHVEKLSAKDAWLLLKKQVVTTEKDEHEIEILKDIGLQIIEKCDGLPLAVKVMGGLLCQREKNQRAWQKVLNDDLWSVSQMSEEL >SECCE2Rv1G0096370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:438629150:438630940:1 gene:SECCE2Rv1G0096370 transcript:SECCE2Rv1G0096370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21065 [Source:Projected from Arabidopsis thaliana (AT4G21065) UniProtKB/Swiss-Prot;Acc:A8MQA3] MHDAIAQAPSTHPVLRHCVALLRLHLTSPSVAAAKQIHARALRAAGVPLSHPLLAKHLLFHLASLRAGAPPLLYAVAVLSRLLPDPDPFSLNTVLRIAASSARPRLALALHRRRLAPPDTHTYPPLLQACTRLLALREGESLHAEAAKNGLVALVFVKNSLVHHYGACGLFESAHRVFDEIPVLERNLVSWNSVMNGFAANGRPNEVLTIFRETLEVDLMPDGFTMVSVLNACAEIGALALGRRVHVFASKVGLVGNRHVGNALIDLYAKCGGVEDARKVFEEMGVGRTVVSWTSLIVGLAGNGFGKDALELFGLMEREKLIPTDITMVGVLYACSHCGLVDDGFRYFNEMKDKYGIAPKIEHLGCMVDLLGRAGRVEEAHDYISTMPLEPNAVVWRTLLGACAMHKKLELGEAAWSRLVELDPGHSGDYVLLSNLYAAVGRWADVHILRKTMVMHGVRKNAGHSLVEIRNSVYEFVMGDRSHPESDQIYLMLAEIAERLRRQGYVPRTSNVLADIEEEEKEAALNYHSERLAIAFALLKCLPGTPIRIVKNLRVCGDCHLVIKLISKVYDREIIVRDRSRFHHFKGGECSCKDYW >SECCE5Rv1G0302720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:41577354:41581880:1 gene:SECCE5Rv1G0302720 transcript:SECCE5Rv1G0302720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLWNQGWTWVLSQKHVVAWAHAAAGCGRDRLAFLVDRHWPAVSRACVSSSGLLLEALRQWRGCTARGLLALASLGPAAVFVILWSCFVCMTSSACALYALLGLGAVAAVIHYMGYTPGLLIVGLFGIMIMWMYGYFWITGMLLVAGGCMCSLKHARFVIPVLAMYAVYCVAVRVGWLGVFFTLNLSFLTNDLLNKLLQGYEGSTEERPFEEMKDSDPATDAFFRGCEYPPAPESEPETVSSAKPFCAAPTQDVLHVQKEPSPSKIVKSNSTSLDEMKRIMDGSTYYDVLGIPRSKSINLIELKKEYRKLAVLVHPDKNMGNPLACESFKKLQSAFEVLSDLTKKNGYDEQLRKEESRQMTQRSRVVSQPSGVEFLSEESRRIQCTKCGNFHLWICTKRSKAKARWCQDCSQYHVAKDGDGWVENRYSASLKIEIPRAFVCAESKIFDVSEWATCQGMECKPNTHGPTFMVNMVGADRMPQRSQSSRYPFSLDAEMIPEDEFELWLQQALATGVFSDSPKRRKSWSPFKLPQKGIRSWRRSS >SECCE1Rv1G0028850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:407923528:407924595:1 gene:SECCE1Rv1G0028850 transcript:SECCE1Rv1G0028850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAALLVVVAALALAATVQASFYFTVEDLESDEALWELYGRWAAHHGAVREPGRFAAFKANARMLHGKQRHAGEPMALNVFGDLTFDEFTAKTACLKPTHRRDLEEEVPYIDLELDPIFATRAAALPSSVDWRSEYAVTPVKDQGGCGCCWAFATAGAVEGLDAIKSQAMAVSLSAQFLLDCTYPYVDKTSDCAGGSRYAALDLIKKHGGIPTDTLWPYKGYRSRCDTSQFYSSATVSEYFRLQKFNATILKMAVSLQPISVSIAVNDDIRFWDPKVRGNVYYGPFNTDKVTHGVLIVGYDVDDLGAPYWIVKNSWGATWGAEGYININAAASDGFVGKSGVAGILTNAIFMK >SECCE4Rv1G0273880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:780097739:780100175:-1 gene:SECCE4Rv1G0273880 transcript:SECCE4Rv1G0273880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAMELSSLPLVLLLCLLAGSSTTALPVLPGMDRVRQQVDRANRRGPSIGLVMSYIAEDTALQASGYFRPWPVQPFIDLYGRRFHIGSIRGVNVIYALTGQRRLNAAVTVQTLLDIFTVSGIVHYGTAGSSNDSMSFGDVSVPKLVAYTGAWTWKKFRSLQESSTELNFGQFNVPNGGENLLGSLKYRNEELYSVGKPMEEVFWLPVDSAWFKIAQGLKVNLERCNDTFCLPKTPQVVHGLKGASADMFLDNAEYRKFLFREFRVSTIDEESAAVVMTTTSPGVPVIVFRGVSDLAGGEPTWSSTSLMNLASINALKVAVEFIATIGRQMSTPSVQSSKN >SECCE7Rv1G0460800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:33756481:33759952:1 gene:SECCE7Rv1G0460800 transcript:SECCE7Rv1G0460800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAAVAYTAAALLCAAAATVITLGHIYRHLLHYAEPIFQRFIVRIIFMVPVYAIMSFISLILPDNAIYFTSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLNGRNLKPSWFLMTCCFPPIPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYEDGNFSVNQSYLYITIIYTISYSMALYALALFYAACRDLLRPYNPVPKFIIIKSVVFLTYWQGVLVFLAAKSRFIKNAEKAADLQNYVLCVEMLIAAIGHLFAFPYKEYAGANARPSGGFRESLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGESAPTKFPSGSAVPSVRDVELAGITVMPSNSPVTSSASSNQVDQDESMTTPIRNKVDPPGGMYDLTDLLDVDLSSYPAKVPAISDVRKQ >SECCE2Rv1G0084980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:183377717:183378109:-1 gene:SECCE2Rv1G0084980 transcript:SECCE2Rv1G0084980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTTAVPLVAMQLLFTASAVSMTPEDCDCACLRTCFFRSNCFPMICVLCQINLGRLLAREAVLAPTLADRRYYAVGAVTCFVELALKLFMILVLCPSA >SECCE4Rv1G0251430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:602023078:602025117:1 gene:SECCE4Rv1G0251430 transcript:SECCE4Rv1G0251430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAISSGLPAPRSLLMATLMLLIVQAQSVTRHYNFNVQMANVTRLCGTKSIVTVNGEYPGPALQAREGDRVVVRVTNHVAHNVTLHWHGIRQLRSGWADGPAYVTQCPIQTGQSYVYDFTVTGQRGTLWWHAHISWLRATVYGAIVILPKHGVPYPFPAPHKEVPVVFGEWWKADTEAVVRQALRTGGAPNISDAFTINGLPGPLYSCSAKDTFKLKVEPGKTYMLRLVNAALNDELFFSVANHTLTVVEVDAVYVKPFTVKTLVISPGQTTNVLLLTKPFYPKANFYMSAAPYSTIRPGTFDNSTVAGILEYQKPGSPSVPSFDKDLPLFKPTLPRFNDTGFVTNFTSKLRSLATPRYPAAVPRSVDKRFFFTVGLGTLPCPANTTCQGPTNKTQFAAAMNNVSLVLPSKALLQSHFTGVSRGVYGSNFPVTPLLKFNYTGAPPNNTNVAKGTKLLVLPFNASVELVMQDTSILGFESHPLHLHGFNFFVVGQGFGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTTWGLRMAWLVLDGSLPNQKLLPPPADLPKC >SECCE2Rv1G0084160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172887453:172889795:-1 gene:SECCE2Rv1G0084160 transcript:SECCE2Rv1G0084160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGEVRTPREMDQKLEVPSEAEILRMEIASLVSLLREKQSDTLPFDPEIVRKITSLRSEIACQKLARERKAMGPEVPHGGEDEGERLNCLFSYILHRTDALCDEMASMASILAKISIPVISYYKVIDLLNAAQRLSKISLNILHYTEHADKKMADQNVEDQRTKEDKGKSSTHCFENQGTKQHVDASVTDLTTGLCKLNMETPQMAAYCPDGQVTDEEDEHFVAANPISGLCDQATVHSYENQGTMEMIDPMTDEGQSSTETHASRMTDEGNSSNEGKSSNQSKSSTQAVVMVLDSDEEHEVRGMTKEMRKYLKMLECEEEEDEGKMTPEDLAMADQFDKELLEMKANFLKVQEQDDKDTPNWISVSHQDEAEEAGEMEMEDRLFARRRKGWESAWGDRIDFEDMTLLSPMHFTHCTLGLIPYTASTVSALQIYSIKIVETKGKLKWPLYVYGVVAARDAVDHNRNILFSRQREDCQELTLEDPFLHLTGPSRAIVSVDNVDFEIQLKVKGTTWSGDKALISRFQTYPGGYCEGLDTALFSNSFCTVELSFERLTETVQATILSVCVVEGWPSPFEYGGRIMCSSPPQEVKDPLSRHVVLVDSHDFDGEMPMGSAGYVDLSRHVVSVELGHNLQFVIQAYSHSGAIARQSRLTFRTKYCNISRGICEIGDSKVEITVAWSQLIKSKMEIL >SECCE7Rv1G0465280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:68648465:68648992:1 gene:SECCE7Rv1G0465280 transcript:SECCE7Rv1G0465280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTDWEDRCRRHPEHRLSKGVCPSCLRDRLAHLSANSSATTTLTRASNSASTSPYSSTDSPPLHHAALSADATSVHVVGAGAGSSFVNVSAFSQPLMPTATKKAAGRREEAKGKEGEAKQKKKKSSSKKKIGRFLSRLVGTEKRRKTGEGDGGGGELFHSSTMKEKSSTKWVFF >SECCE5Rv1G0328570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:508966879:508969897:1 gene:SECCE5Rv1G0328570 transcript:SECCE5Rv1G0328570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTPRAILFLLLLLLVDLGVAQNNTTGKADEFHVGVILNLGSLVGKVARTSISLAVEDFYAVHQNYSTKLVLHVRDSMASDIQAASAAIELLDNYKLQAIIGPQKSSEAILISKIGNITQVPTVSFTATSPSLTSDTMPYFVCATLNDSAQVNSIASLVNTMPYFVRATLNDSAQVNSIASLVKAYGWREVVLVYDNTDYGRGILPYLIGALQESDVHVPYHSVIPSSATSEIMMQELYKLMTMQTRVFIVHMSSTMTSLLFTKAKEAGMMGKGFAWITTNGVANIIDSLNPSVIEVMNGVLGVRYHVPKSKELDSFSIRWNRMYQQDNPDESPFNKLSIVGLWAYDTIWALAQAAEKVGISSAPNKQPWSIKNSTCLKSMVISTNGPKLLAAIVQNKFRGISGDFDLTEKQLKVSVFQIINVVGRGWREIGFWSVKSGLSRQLNQNGLKTTGSASMLDLNPVIWPGESTEIPRGWEIPISGKKLRVGVHTSNCPEFIKTFRDPVTNVTSASGLSVDIFEEAIKRLPFALTYEYLAFDTADTATTGSYNDFIYQVYLQKYDIAVGDITVRYNRSLYVDFTVPYTESGVGMIVPVKENMIKNMWIFLKPLSTGMWFGSIIFFIYTGVVAWLLEYLNAINMYMVPFHSNKWGLQCSSPFLKRVE >SECCE6Rv1G0452170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:875589963:875593834:-1 gene:SECCE6Rv1G0452170 transcript:SECCE6Rv1G0452170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQDQHAVCYYSHLFMATLLLLPFLLIAMFKPRKHDGENPPPGPWRLPVIGSMHHLIGALPHHAMRDLARRYDAPLMLLRLGELDVVVASTAVASREIMKTHDAAFATRPRTATLRAITTDDLAISLAPHGEQWRRLKKLCVTEVLNARQVRSLRRCREANAAALVSSIVSSASSSSEPVNVSSLITTHVTNLAVHALVGEQNEDLRGEFLECLDEGIKLASGFSLADLFPSSRLARAFSSSIRRMEALNHEIRQLIGRTIEEHRRRRSAGGGDQEEDIMDVLLRIQNDGSPQMPLNARTIGSVIMDMFSGGSETSVTTVQWVMAELMRSPAALRRVQAEVRSAFAGQNRIKEEALQELHYLHLVIKESLRLHPVLPLLLPRECQEPCRVLGYDVPKGTIVLVNAWAIGRDSASWGADAEEFRPERFEEAGDAAADFRGTNFELVPFGAGRRMCPGITFGIAVVQVMLASLLFHFDWELPGGGGADGLDMAEELGMTARRKSDLWLHATVRVPAPNT >SECCE1Rv1G0014600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:115552512:115553498:1 gene:SECCE1Rv1G0014600 transcript:SECCE1Rv1G0014600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVFQFKVDYGQGKQVPVGVPFYSDIVSAGGHLWRIKFFPSGEYEADKEYVSIFLEHMSKSTSVDAMFEVFMMGRDSKPSMSLSHRGSLFRTFEIMGDKDSSDCWGWGRFLQRTILEKDYLTGRHVTFSCAIMIINDSCVPVPPSDIGTHLGRLLDHADGTDVSFIVDSETFHAHRAVLAARSPVFRAELFGSMSEATMSSITLHDITPATFKVMLRFIYTDELPMEDEHEDSSTEMFQNLLAAADRYALDRLKIICAQKLWEKVSVDTVATILACADTCNSQELKNKCIDFFVVEENFKKAMFTDGYALMVLKFPQIIAELKQRI >SECCE3Rv1G0199480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:846311223:846313304:-1 gene:SECCE3Rv1G0199480 transcript:SECCE3Rv1G0199480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTCGAMEGEHVGASPFFEWLKPPPASPSSSRSSSTFSSSSSSMASGHQEGRSSVACLPLLRMLEEGKGAGGDHDHPAPAVKEEIMGSGAAGVLGPGVDLNIGLPVGGSCDDEIMEEEGQEEDDDHDCDEEEEEEEEMDELKPAHGGCKVEAEEKQEHGELVVSVEGSNGVSMPEFGVLGAENGVPMGCGYWIPTPAQILVGPVQFVCHVCNKSFNRYNNMQMHMWGHGREYRKGPESLKGTQTLALLKLPCYCCAAGCRNGVAHPRARPLKDFRTLQTHYKRKHGARPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGDHLPVALDGATAPAPAEDRRIIRFQR >SECCE3Rv1G0172500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:296967861:296968694:1 gene:SECCE3Rv1G0172500 transcript:SECCE3Rv1G0172500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPPRRLTATAEPVFLGATSIPSPRPEPATDIPVFRHPPPPPPPQKHPHGKHRSRPARLIRSVRAAFRSFPILPAPSCRGMPSLPHLPSLNSASLVRNHFHGSMRTTGTLYGRRRSRITIAFHDSPGSPPGLLLEMCVATAKFIQDVSAAGMVRVTLECDKQQQYQHQHAGEASPAQRRLLDEPTWSAEVNGESVGYASRREATQEDERVMRLLHATSMGAGVLPDDVAEHANGELTYMRVHFDRVVGSKDSETYYMHNPEGTATGPELTIFFVRN >SECCE4Rv1G0287440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:854868413:854870599:-1 gene:SECCE4Rv1G0287440 transcript:SECCE4Rv1G0287440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPDQVMRMKVYILPAVVTVLVVLLICLSSRRSRFSRDPHGRYFLSGVSAVYFSLISYVFSFLTTYMASFSVSPIVLILVVLFQFLKSNADMAALAMAAVASPTAGDDIDSQKIRPSMESLVSSLWVAGLVIYYTVLTYAGSERPVSHVAWYVDLSMFLLWALGVARMVFMFVAFQRANASFAVGWNAQLIEGYMAQLQEQQDNLLPVPRLILTGEKKQDVEESPQGYRIKEGKSNCLVTLDKVWSSPRLSPEVKDLCLSFSLFKCLRRRFAGHRLAELGSNWPFNFVCDGLLGREDDHTRIFKVISSELSFASDFYYSPLPVASLGALPAALHLFFSALICLIFLLFLLFIIFNLVQMCNENYSEGIFLFSNILIVTLLILSVEIAEIVTNVRSNWTKISMVAHYINGSTWSRIICPCLLRCKYPERCKDEILQNLLLKPSLPWAHPLKKIFLPRKNRDKPVGIKVSPYVKDAIIRSLKKSGGKLSNGVVTVQSRCPHFTWACYDGVTTSTDTIIVWYIVTFLVEVRCSSPASAPTKNMVVATSLARYCAYLVADAPDLLPDNTAWTKHRYQKVKKCIEEVCSRSGAVSSRSSHPIESFGDDNSNSDSNSKDDEMVEIGRSKLGNKLTEQEVVKIGSKLGKQLVEEAKRSRDSQGQSQEQCTGGEEVVWEILAEFWSDMILYLSPSDNIKGHIDALRCGGELITLLWALLLHAGIASRADPDILHP >SECCE3Rv1G0160940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:110320330:110324127:1 gene:SECCE3Rv1G0160940 transcript:SECCE3Rv1G0160940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAFSPLSGTLLRRRIPLHHHRRFLSVAAAASEAPAPAPTPSQPPPPPPRPRGKGYFPKKNEILELTCEGLAFKGKGVCKVDGSSFVLLCDGALPGERLVARVRRLRRGAFAEAAKLKTLSPHHDAVEAPCPLAADCGGCKSQSLAYSAQIHHKYVQVRDLLVNFGKFDPRKLESSDSDAILKPIVPCDEIFRYRNKMEFSFGTKRWMKRGWKEEKEEVSKGEELETDGYSLGLHAPGFFDKVLHVETCFLHSEPADKVLAVVQGSWTDPALGLTPFDVYKHTGFLKHLMIRTGRNVNTGAPEVMVNFVTSIYKPELLVPLIDRITEIPEVVSVVNNVNTSVGNTSVGEQEYTLYGKPTITEMLRGLTFQISANSFFQTNTKQADVLYKLIEDSAGLKGDGSEIVLDLFCGTGTIGLTLARSAKHVYGYEVVPEAIADAQKNAQLNGISNATFVQGDLNKINETFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPATCARDLDYLCHGVEEKGLSGCYELKRVIPVDMFPHTPHIECVCLLELC >SECCE3Rv1G0184580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:664526767:664528155:1 gene:SECCE3Rv1G0184580 transcript:SECCE3Rv1G0184580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQGVLLSSALVGAGANGTPTWPELLGSAHWDGLLDPLDLTLRRLVLLCGDLCQVTYDSFNSDAHSKYCGTCRFSRATLFSRTQFPAAADISVAANLYATAATWLPPGLMVHSLSREAWSKESNWIGYVAVSTDAAVAATGQRVIYVALRGTIRNLEWVDVLKPDLVAPDAILPEGDPARGHARVMKGWYVIYTSTDERSPFSKYSARDQLLAAVRELVAKYKGESLSIVCTGHSLGASLATLCAFDMVANGVSKVGDDHFPVTAVVFGSPQVGNPEFKKRFDELPNLRALHVRNKPDLIPLYPSNLLGYANVGDELFVNSKKSPHVRPDTTNVGDYHNLQGILHTVAGWNGEKGEFKLQVNRSVALVNKSSAFLKDDNLVPESWWVERNKGMVLGPTGEWELEQPAEENLPVPPVVTGKVIDDDVAATTSSKEPKIPEDQGKKKTRGTKFLPACFRAVN >SECCE7Rv1G0493640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:572368673:572370194:-1 gene:SECCE7Rv1G0493640 transcript:SECCE7Rv1G0493640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSVAPSLKQEQGDASKLQEGVLNNLELRLGISSDNGLSSGGGGGGGGATPWLGVGVHPWSLSARQDKAALELEQSQQRPNECPAHREDRPQLVGWPPVRTFRKNLSATSTRLAYSEDLSKVEPCYEEEEEEEDHGNINTGVSVQERPAMFVKVNLEGYAVGRKIDLKAHCGYGSLSVALQSMFHGFLSDGHGRIATREDEEQLEYHKGKGTMKNYILLYEDNEGDRMLVGDVPWELFVASVKRLYIARDPRADKSNKK >SECCE5Rv1G0323380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:434906149:434908479:1 gene:SECCE5Rv1G0323380 transcript:SECCE5Rv1G0323380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYVGRRSDPIHVQAAAMEPAELAKIIFSRVQEVEPDNVSKIVGCILLREPDEDELVHLAYATDAALRNTIYEAKGTLAAIYARFSASPVHHYHQPAGIGYQQVCSHPAGLRHFSPAVQYWPPDSPPPPEKEYSFVDAAAAAEPHYGLRGGRHSALGDGALGGGGGGYYAAAGFPPATGRRSNGVSSRRPCHYFFKGICKNGQNCHYSHHQVYTDGFAVDHHIHGGATPGSLESLEIEITELLHSRRGQPVSIASLPTLYGEKYGKGLQADGYLTESQRHGKAGFSLTKLLSRLNKIRVIERPHGQHSVVLAEDAAKYSDCRSDRGGEIPASSHQIYLTFPSDSNFTEDDVANYFGQYGPVRDVRIPCQDQRMFGFVSFQNPETVTALLMRRNPHFICGSRVLAKAYREKTKCINERTNNKSTTHCYPPRWIETDPEFYPDQYDSPRLERRQLARDRQQLLELERRHLAGLRVVEPQCAAYFDCSIGDVAPFNSQSQSQSASSKEVGRSMDTLATADQLDDIVSTSQSQAPPIQANNNYDDQESNQIELLPESPFASSAPAGNGI >SECCE7Rv1G0469990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:115320916:115323877:1 gene:SECCE7Rv1G0469990 transcript:SECCE7Rv1G0469990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAAAAVGGEDDGRGRKGSWYAVGERAVLVPYLREHVPRYHEWMQDPALLEATASEPLSLAQEFEVHRSWTLDPLKHTFIVLDKDLIEGDFVVGNPHTEAMIGDVNIYMNDPDDLELAEIEIMIAEQKSRGKGLGQEVTLMMMSFAVEKYGIHTFRAKISDSNTASLKLFRKLGFKDASHSAVFKEVTLEAPAVELPLSSPLTIGSW >SECCE5Rv1G0332550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:549698933:549700867:-1 gene:SECCE5Rv1G0332550 transcript:SECCE5Rv1G0332550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRRCPLPALLRLFSTTCRRRSRLPSQPHPPNTDTLPPLPVAKKVPFTVSAHGRSWSDPYHWMRDTSDPDLAALLAAENTYADAFVSSAGGGGLRARLAAEMRARLPPSAVSPPQPWGPWSYYQYVPNGMEYPVLSRKLRPSGGLAGRFLSYLSDWEKEEVLLDWNEIAEKLGYVHIGSCRISPDHRFLAYTLDTSGGELFSLEVKDLQSKHVIFSPPDKGIVSLAWAHDSENLFYTVCDETLRPNQVFCKKMQSDEAGLLVFMEDDVNCCVDITSTKDFKYITVNSNTRTSSEEGLCDGIW >SECCE3Rv1G0178050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:488839311:488842733:1 gene:SECCE3Rv1G0178050 transcript:SECCE3Rv1G0178050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKILARAGSSLLGRLLASPPPALLWTGLPLPSLLHRIQPHVPPPAASVDAHDAEVVARLTSLPGEISFPCGLPSLRFLIDDGIDPVANEPLELLPKRTYQPSTIKRKRTHGFRARKSTTGGRKVIARRIGKGRHKISW >SECCE1Rv1G0047550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:626284286:626295701:-1 gene:SECCE1Rv1G0047550 transcript:SECCE1Rv1G0047550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAWRMLRRKDVHTRLVNLAFRSDHGGTKHFATGTLGRLSQFVHGNGLQGAANCMVLKQSTIRNFHAGVYMLAWHQKKEDVVGLKAPKREKRVRKETRSQPPVEAPYVAPKPKLTKSAPDKIVEIFDGMTLRDLSKRSGATITALQSILTDLGERVESEFDSITIDLAELVGMELGVNIRRMHTGEGTVEPRPAVVTVMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMQSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKVANVPIVVAINKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISALSKLGLDKLEEALFLQAEIMDLKARTDGPAQAFVVEARVDRGRGPLATTIVKSGTLVSGQYIVVGAEWGRIRSLRDTTGKVTESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDENMTEEAEIGEETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPVSEHDIDLAQACRACIVGFNVRDPPSAITLGATQANIKILLHKVIYHLLEEMGRLIVEKAPGTAETQISGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGRFSRTGTMRLLRSGDVVFEGPCSSLKREKQDADTLDKGTDCGLVIEDCDEYQVGDTIQCLEQVIRKPKFISTQSGAVRIEC >SECCE2Rv1G0081720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:143984012:143986066:-1 gene:SECCE2Rv1G0081720 transcript:SECCE2Rv1G0081720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPPKLRAAAATSWPELLAPFDLSRLRSTLSTRPLTPHRLGRLLALPLSPATSLLLLQWYAASHPVLSSLPLRPLLAAADADPERALSLLESLPYSCHPPLRETLLIPLLRSLPPGRALHLLDQLPSRFAVSPSFRSYNTVLAALARANCHADVLALYRRMVHRDRIPPTTFTFGVAARALCRLGRADEALAMLRSMARHGCVPDVVLYQTVIHALCEQGEVAEATTLLDEMFLMGCSADVNTFNDIVHGLCMLGRLREAARLVDRMMIRGCVPNTMTYGFLIQCLCRARQVDEARAMLGRVPELNVVLFNTVIGGCLLDGKLTEATELYEIMGSKGCPPDAHTYSILIHGLCKLGRLGSAMGLLREMEDKGCAPNVVTYTILLHAFCRNGMWDDMRATLKVMLANGLSMNLQGYNGMIYAVCKEGRMDDAMTLMQEMKSEGCKPDICTYNTIIYHLCNNGQIEEAVYLFENLVDEGVVANRITYNTLIHALLCKGSLQDAIRLANEMVLHGCSLDVVSYNGLIKALCRDGNVDRSMVLLAEMIEKGIKPNNISYNLLISELCKTRRVRDALELSKEMLNQGLTPDIVTYNTLINGLCKMGWMHAALNLLDKLHSENVHADTITYNILISWHCKARLLDDANMLLNRAVTVGITPNERTWGIMVQNFVRQPLNFLSDEVEGH >SECCEUnv1G0560850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:350105114:350105662:-1 gene:SECCEUnv1G0560850 transcript:SECCEUnv1G0560850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFPMVTGFMSYGQQTIRATRYIGQSFITTLSHTNRLPITIHYPYEKSITPERFRGRIHFEFDKCIACEVCVRVCPIDLPVVDWRFEKDIKRKQLLNYSIDFGVCIFCGNCVEYCPTSCLSMTEEYELSTYDRHELNYNQIALSRLPISIMGDYTIQTIRNSSESKINEEKSSNSRTITDY >SECCE1Rv1G0062610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:720456003:720456605:1 gene:SECCE1Rv1G0062610 transcript:SECCE1Rv1G0062610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASASRRSWPRYGTVPMTRCPACPRTAPLKRLVRTTDKNDNLGWEFVKCESKPEQGKKLKQCTHFEWLDEYIERIQLEGALGELDLPLEAEKFGLGPYGSGGPGSSNSIGATVGDAGVTAELKKLNKQMKTLIELQKQGNLMGLMAGLFLCLCNCSRICLCDDN >SECCE3Rv1G0212560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:955943734:955944339:-1 gene:SECCE3Rv1G0212560 transcript:SECCE3Rv1G0212560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKEVDTKKMELMKEVRAHQVAIGELNNLPPSRAAYHKTCNIFFRKDIKSAVVSQQKQLDMTKAKLQKLDQAS >SECCE6Rv1G0428930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:731551979:731553289:-1 gene:SECCE6Rv1G0428930 transcript:SECCE6Rv1G0428930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLASLLALATIASSNNEGDILYAQRQAWNDPNGVLQSWDPTLDNPCYWFHVSCNNENSVIRVDLGNAGISGPLIPELGQLKSLRYLELYENKMSGPIPATLGNLRRLISLDLYSNHLTGTIPASLGNIASLHFLRIHRNKLAGGIPASLGRLTKLVRLELQENMLTGMVPREVLSLVLVGDLTKLDVAENNLAGTVRSSKQRVATVIQDTQVPF >SECCE7Rv1G0523000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879856930:879857247:-1 gene:SECCE7Rv1G0523000 transcript:SECCE7Rv1G0523000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRHTVLLLLVFVIMFSYNVLEGGAYQNQVAFSRKELKAEQKVSATGMDPSLGGQVSGATDAGGASTNAEISNAKTTAATESHRDVSWDQFRGIIIHTHQVKKP >SECCEUnv1G0551480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:234860119:234863300:-1 gene:SECCEUnv1G0551480 transcript:SECCEUnv1G0551480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGAMGPVICKLAELLLGEYNLEKRVKKGVQSLLTELEMMHAVLRKVGEVPSEQLEEPVRIWAGKVRDLSCDMEDAVDDFLVRVDGGSSSEPTNMRNRVKKFLKKTTKLFGKGKALHQICDAIKEAQDLANELADLRKKYELDMFNTINGATTDPRVLALHKHVGGLVGVDRTTDELIKTLICEDGSSEEQLKIISIVGVGGMGKTTLTKAVYEKIKAQFDCAAFVPVGQNPDIRKVFKDVLYGLNKVKFGDIHNTTKDENLLIEDISEFLVDKRYLIVIDDIWEEEIWRYINCALYRNKLHSRVITTTRNVSVSEACLSSSDGMIHRMKSLSDEDSQILFHRRVFQSKEKCPEDLQLVSRDILKKCGGVPLAIITIASLLVSSQRVKQKHEWLHVYNSMGRGVTQSGIVKDMKRILSLSYYDLPPHLRTCLLYLSIFPEDYEIERDWLIWRWLAEGFIQRDKKESSLFEIGESYLNELMNRSLIQPARMDSEGTVVTFHIHDMVLDLICSLSSEENFISILDNAEWHAPNLQRKFRRLSLHNIKAKVQNHQINNTNLSKVRTFAVFSPVTCDWLPSLSNFQFLRVLDLGNCASRKSSYGISLKYVGNLIHLRYLGLRNADVHELPMDIGKLQLLQTLDIERTSIQELPSSVVQLRNLICLCVNLGVRLPKGMCNLTSLEVLQEVILTSSPHIVKELSHLTEIRTLKLYCDYIEGPLAELYCDSKGADLINMDILTESLGKLQKLQKLCIDFGCRLMDCMHESWVPPPHLHTFKSWSSQQGSFMRLPKWFNSTSLPHLSILEIFVEELQGDDLQIIGMLPALRSLQLRARRVMGTLVVRAGAFPFARWCVFYGFPTSPCLFPPGAMPRVQHLEFWVSARSVASGEVDCRMGHLPSLEHVKVDLKPENHSHEEMETAEVLLRHAVEAHPKRPTIGFYY >SECCE3Rv1G0170820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:258004242:258007759:1 gene:SECCE3Rv1G0170820 transcript:SECCE3Rv1G0170820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCDKEFQDTAAARRRHLQGAQHHRARALWYDSVRRQESHGGPSPLLQPDGAIPGQGVCNHFVRTGTCKFGDACRYFHPKPHAVNPAFAPSGPVPGAMGQQSNFLGTEPNFVGHQTPEGNSFSGNILRGHTSWGNLPPSLQPPPEGGHPLLPFVDWG >SECCE6Rv1G0442160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814251691:814253780:-1 gene:SECCE6Rv1G0442160 transcript:SECCE6Rv1G0442160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAITSPHHLLSVSVYIIIWLCYSVALATALSFSFNFSGPGSSDLCDTELSCERDACMGSGAIELTRDDFAANVFSVGRASYTHPVQLWDDATGEVASFSSNFTFQIKPQNRSQDNFGLCNSSLTSDASADGISFFLAHYPSRLLPNSGGENLALFNFSNGKNATGDAQLVAVEFDTYLNPWDHSDNHMGIDVNSLDSRAYTNVTKRLVSNDAIMTAQISYDNRTGVLVAILDIDGDGPRYSVSTWVDMKRELPQQVSVGFAASTGLCAELHQVTYWSFSSTLDDAMVPTNMVPRRRRLVVVLLPLVAVAFLVLVSVVTIAVALWRRKLKKLAEFERGVGPRRYRYHELAAATKDFAVQRKLGQGGFGSVYRGDSLSDQDSAVAIKKLSPESFGQGRKEFESEVKIISRVRYRNLVHLLGWSDSRKGPLLVYELLPGGSLDRHIHNTSRPLTWPERYKIILGLGSALRYLHTECDQCVLHGDIKLSNILLDSSRSTKLADFGLARLVEHGADPWTTRVVMGTAGYIDPVFVCTRQPSTEADVYSFGIVLLEVVSGRRPVMATDQTDDSTIPLLRWIWDLYESGTIVEAVDERLLGDDDDYKPQIHRALVVGLWCTHPRPGMRPSVLQLMNVLQSEDVTLPTLSRSLPDVSP >SECCE3Rv1G0214340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:965516749:965520330:1 gene:SECCE3Rv1G0214340 transcript:SECCE3Rv1G0214340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAGRNESGKKLVTGGGAAAVACLLLPLLVLAVLKSDFMPQQVVHIAEGGAIWQPRQQLVDAAKSKAAHDPVVEAPPSPASGDETPDKVVDANRDLKKGKGFLAMNGGMDASLIKSDADVAAPRSKLSCNFSSHRTDMCAMQGDVRLHGKAATVYVVSASDDNRPDNGTVVIRPYPRKWETTTMQLVREVTIRWRAPPGPGAPRCTVTYDIPAVVFSTGGYGVNIFHAITDIIMPLYNTAREYDGRVRLMATNYDRKWIAKYRHVLSMLSIYPIINFDADNEVRCFPSAHVGTESHKELGIDSALSDKGYTMMGFRGLLRSAYSLKREWVTPIKGGRPRLVMLLRRNSRALTNEAEVVAAATEVGFEVVAAGPEVVRDLAKFAETVNSCDVLMGVHGAGLTNMVFLPRNGTVVQIVPWGEMKWPAWTSYGEPVAPMGLRYVEYEVTAEETTLKYVYPRNHTVFTDPVSLHKQGFNMLWETFLNGQNVTLDIHRFRGVLQQIYRSVTVT >SECCE6Rv1G0416300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:648081631:648083436:-1 gene:SECCE6Rv1G0416300 transcript:SECCE6Rv1G0416300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLNSEDSRRLFMNRVFGSENMCPSNYEEVSDEILKKCGGLPLAIITIASLLACRQEKSRNDWENIKNSLGAQFAINPTLKGMRSILNLSYMNLPLHLRTCFLYLGMYPEDYEIKRDDLVRKWIAEGFVSNLHGTNLEDVGISHFNELVNRSLIQPARGKWGDACYKLHDMMLDLILSKSAEDNFNSVAYTSEDMRRLSNRTYKIRRLSLISAIDRTSETLSWTVSDGTSQLRSLVWFGGCKTIARLCQLKYIRVLYFECSVSGVSHLDLTAISQLFQLRYLKVSNHCYAKLPTEIRGLVHLDTLDVPHGSIPPDIEHLPRLSNLTMGMYGKIGLPERIGIMESLRTLDGFKLESSSLEALEGLGKLTNLKSLKLYDFNNDDCNLLKNAKLDAFASSFCKLRNLKYLRLIGHHDDNGDILGSVSDPPALIEEMYLASWKMMRVPKWMGDLHCLRSLQFSVRGTRTDGITILGGLLSLVYLDLRVVACPKQDAVIVSKGLFPVLEFFRFISEEDVTAYLGFEAGAMPKLRELFLDLDAPQWGGATPDGMEHLLDLQQIRFTARRSEKESHEQVKLKIQSAFRNALELHPSPPSLDINCYPF >SECCE4Rv1G0247360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:558190298:558195246:1 gene:SECCE4Rv1G0247360 transcript:SECCE4Rv1G0247360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPVGFLAKLWSLVSFLPFFVLLLLLGSAKALLIGPVVVAIVFLGDSAVIVGLWPAHFVWTYCCVLATKRIGPVLKILAVVFLPLPLVLLPVLGIVGSLLVGIGYGVFTPLMATFEAVGEGVADKLSHCFLDGTASTIRGACMVVRDVTDFCFHSYFSFMDELSEKMGDDEEPIDIKLSYLPRSFLVALVAVPLDVLMITGVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGMAIILWPLAVIAGVIASFLSSFFFGLHAGLIAYQEASFRMGLSYMISAVALYDEYTNDLLYLREGSCLPRPKYRKAGSEKCETGRDKDEHNVTAASAEKQHQGQRKHRRVLHRSKTFMETIQRLRPIQIWDWLFRSCEINGRILLSEGMISSEDIEEFITKGKGKKLIIKLPAWCILHCLIRSAKQDTHGLLISDDVEVTNFNWPKDKVFDWMLGPLLVLKEQMKKLELTEDEELCLQKLIMTNANEKPSDWNDCGFPSSDGVKRAQLQAIIRRLQGIVANMSRIPSFRRRFMSLARALYLEAIDAGTIDGSRKVERKVKADIASEKLHSGDVGDMKASSNGTSVDVDMV >SECCE3Rv1G0186150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:681000255:681003415:1 gene:SECCE3Rv1G0186150 transcript:SECCE3Rv1G0186150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETGDHLGSAVMGIDPGTAWSCVGVYQQGRVEIVTNEHGGRTTPSYVAFTDTERLVGDAAKNQAARNPANTIFGTKRLMGRRFSDASVQADTKLWPFKVIAGRGDKPMIAASYKRKPKLVAAEEIWSMLLAKMKGDAEAYLGAPVTDAVVTVPVSFDILQRRATKDAFAVAGLNVIGVVHEPVAAAIAYGLHESTDTLHHLHHLHGYANPTCIPLGRLRQSLWWQAKNVLVFDLGGGTTSVALLAVAAGKITVRATAGEPHVGGEDFDSRMVEHLVDQFKTEYNKDVSGSARALVRLRAACEHAKRTLSSATWAAVEIDCLLDGIDFRTAITRDQFEDLNLDLFCKCLDPVKKCLSDANIQRSDVHDVVLVGGSTRIPRVRRMLQDLFDGKELYPDINPEEAVAHGAAILGAMASRVPAGDSLDLFLLDATPHSLGVEESGDGMMTVIVPKNTTIPIRREQIISLQSHHKRSVVVSVFQGENATARENRLLGELKLSGVHHGDGAHNGAKRQISVCFDIDADGVLTVYATDKTTKRRHQMKFMDNCQLSREEIARMAEEAAEYMSEDAEKRERAEAKNLLEEYLYQIRRAIEAERKKVDDALSKVELMIQQVLDDQVSSARKFLDDLEGLKKSAKHTIVGEQSHA >SECCE7Rv1G0455720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8136454:8144852:1 gene:SECCE7Rv1G0455720 transcript:SECCE7Rv1G0455720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRACLLALVVSLTFLFIEGGVTAAPTTAGSLVQRRLEVRSLLRRLNRSPVASIQSSDGDVIDCVHISKQPAFDHPLLKNHTIQMRPSYNPRGVHHDFNITPHAITQIWHQNGTCPENTIPIRRTKEEDVLRASSIRRFGKKMPRSVPHLNPTNDTDTPNVLRGHQHAVASAQYDKCYGTKSSFNLWKPWIARGNDFSLTQFWITGGSYNGNSLNTIEAGWQVYPTLYSDSNTRLFIYWTRDAYQTTGCYNLMCSGFIQTSNQITIGGSISPMSTYGGTQYDIDILVWKDRAGGNWWLQVGGYYVGYWPSSIFSYLADSASTIMWGGEVFSPDAGQTSTHMGSGHFPNEGFGKASHIKNIQVVDSSNCLNPPSDVGLITEQNNCYNVQSGTYGDWGTYIYYGGPGNNHNCP >SECCE2Rv1G0111770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:703899334:703906983:-1 gene:SECCE2Rv1G0111770 transcript:SECCE2Rv1G0111770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLNKPLNPNKLLKEQFVSNLTGSSLLEIAALSTIVPAVVVLRKWSSRDNIRRDSVKKNDDALAGHKDGVYYFSALVIDCLTVVSPILLIFTILAEWAYICAVSLVVVISIYILFKRSQSHLKAQQHLPSLRADISSYRVSVVLVTCVSILAVDFKIFPRRYAKAETYGSGIMDLGVGSFVVANALVSRQARNITSMRWKAALKSISPLVFLGFARLISTSGVDYQVHVGEYGVHWNFFFTLAAVSILTSIIRIHPKYCGIVGMLVLAGYQVWLNFGLNEYLTSDERSADIIGQNKEGVYSIFGYWGMYLIGVSLGYFLFHDLSSKGKIRSTQVVKVWVLAASFWILAIILDSYVERVSRRMCNFAYVMLVLGQNFQVISFLTLAGSISHDKNLVLEEAFNQNMLGAFLVANILTGLVNLSVDTLSASCLTAFLILLVYTFTLCMVAGLAQFSGVRIKFW >SECCE4Rv1G0241130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448308533:448309448:-1 gene:SECCE4Rv1G0241130 transcript:SECCE4Rv1G0241130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTTIFMKAFHLLLFTGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSILCVPLSVEYIECTEMATTEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYQLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISNTVGLGFKLSPAPFHQWTPDAYEGVCLL >SECCE4Rv1G0255420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:646237655:646242314:-1 gene:SECCE4Rv1G0255420 transcript:SECCE4Rv1G0255420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRISQLGARLLRETRAAGNLSSNSSNYYRGQVSRHLAPAKSILFSTATTSSHHDEGGEDKEKISVTFVNKDGTEKTISVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVKYYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAQPELDGVRLALPAATRNFAVDGFVPKPH >SECCE6Rv1G0427470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:719661130:719662110:-1 gene:SECCE6Rv1G0427470 transcript:SECCE6Rv1G0427470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTVGAARDLKNVNWRNGDLKPYAVLWIDAGARCSTRVDLDNNENPTWDDKVLVPLPPATRLQDAVLYLDVVHANAAEGVKPLVGSARLPLRDVIDDAGVGGKASRNLKLKRPSGRPQGKLDVRVAVKEPARYYDSNPGGVYPAPAGYGSSQPTGGSTRDAYGGGYGAGVGAYGAAAGAGSYGAYAAAAPPSGYPGYGSTAPPPQASAYGSVQPSYGAAPPTQGAGGYGSSAPAYGAAQPAAQGAGYGTAAPEYGTTGVALDQSGGKKKKGLGMAGGLAAGAAAGVLGGLALAGGASYVEHKIEDRVTERVEKDMYDDY >SECCEUnv1G0538600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:73337600:73339024:1 gene:SECCEUnv1G0538600 transcript:SECCEUnv1G0538600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGLIANWNSIHDYLAAGLSASGHNFELRGPPGTSVRYLITCSPANVRHVFTTNFANYVKGEELAAVLGLLGGTIVTADGESWRRQRDTIHRVMVKPRLLASITRCCHDKVAEGLVPLLSYMADARATFDMEDLLGRLVLDITVIAVFGWDPCRLAASMPPMHVAAALDTLMEVAMRRHILPVSCWKTMKWLNVGQERKLAEAEAVLYGFVEKSIQRKMAGDGTSTEDDILSHYVDDPNPDPEFLNRGREPTDFLIRTFINFMVAMRDPMGSALSWLIYNLATHPHAMLAIREELAPIAATRRKSIGGVVVFEPDETKDLVYQRAAMFESLRLYPIAPMERKEVVADDVLPSGHKVRAGSTILISTYSMGRLEQVWGEDCREYRPERWLSSNAHGSGHTLRHVPSHEFVAFNTGPRACLGKNISVALVTSIVATVAWNFDVEVLDSHTVKPKLSVILQMKNGLMAKVKKRSVG >SECCE3Rv1G0209420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:938688127:938691418:1 gene:SECCE3Rv1G0209420 transcript:SECCE3Rv1G0209420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGEDDAGSVSRRRRMGGSDATSTMVAGGGTAVKQHQALRLFEDLSLPSVQVVVMSANMGCSHCRQRVTKVVTKMNGLLDYMVDFGKKEVTVRGTVIHTKKKKKRKKQQQYMIAGLEKVPPANVGARTLSWFLGCYGS >SECCE1Rv1G0029760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:420048645:420064120:-1 gene:SECCE1Rv1G0029760 transcript:SECCE1Rv1G0029760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ homolog subfamily C GRV2 [Source:Projected from Arabidopsis thaliana (AT2G26890) UniProtKB/Swiss-Prot;Acc:F4IVL6] MDFASRHTAAAPPANAGADASSSAVTEEPEYLARYFVVKHSWRGRYRRILCIAASGVVTLDPTTLAVTNSYDFAADFDRAAPDPNAAVEFSLSVRSDGKGKFKAMRFSSPLRAGILTELHRLRPVHPVVEFPVLHLRRRTQEWAPFKIKVTSLGIELLEVHSGNLRWCLDFRDMDSPAILLLGDNYGRRSAEGGGFVLCPLYGRKSKAFMAASGSTNTLIISSLTKTAKSAIGLSLSVDNSQSMTAADFIARRANEAVGAAETRHGEWSVIRLRPAAHGTACIESLSLGVGPRGGLGEQGDSVSRQLVLTNTSLVERRPENYEATIVRPLSAVSALVRFAEEPQMFAFEFNDGCPIHVYASTSRDNLIATVVDVLQTQRQCAIPVLPRLTMPGHRIDPPCGVAHLQIPHNATVDMEAANMYVKHLAVVAKEAVASSDTIPGAKIRLWRRIREFNACIPYTGVPINIEVPEVVLMALISLLPATPQNLPADAPPLPPPSPKAAATIMGFVACLRRLLTSRSVSSHVMAFPVAVGRIMGLLRNGSEGVAAEAAGLVAMLIGGGPGDTSMLMDTRGESHATYMHAKSVLFAQPIYVPVLVSRLKPLSVSPLLSLSVVEILEAMLCDPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETISVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFFPAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQNQYDEVPLSRRQKRILQQRRALGSKNMETPEQGMPPNSVDDGDFFRHTSVGPYGGADVNQRHVGQYSTAHTPSPATSIDPSHAVPHGAVPQSVSENHQLGTPQLDSHTYSVDPTANGDLIESSHSDFSVPAQIVVENTPVGSGRLLCNWFGFWRAFGLDHNRADLIWNERTRQELREALQTEVHNLDVEKERTDDIDPGSSVSEDDGSIDTLPRISWNYAEFFVSYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADVGLTVDGAVPDELGSSDDWCDMGRLDGFGGGGGSSVRELCSRAMAIVYEQHYKVIGPFDGTAHITVLLDRTDDRALRHRLLLLLKAFMNDLSNVEACVLVGGCVLAVDLLTVAHEASERTAIPLQSNLIASTAFMEPSKEWMYIDNNGTKVGPLEKDAIRRLWSKKSIDWTTKCWASSMSDWKRLRDIRELRWALSVRTPVLTSTQIADAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQAMLTGEPSIVEVSASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAGAMVSDSDTPEIIWTHKMRAEHLIRQVLQHLGDFSQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLAMWREELTRRPMDLSEEEACKILEITLDDLVIGEKGSSKKSSELNLASLAKNIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQLWRLILLLKAQCILYKRYGQVLEPFKYAGYPMLLNAVTVDKDDSNFLSSDRAPLLIAASELIWLTCASSSLNGEELIRDSGIPLLATLLSRCMCIVQPTTPAHEPAAKIVTNIMHTFSALSLFESGRVEILKFSGLVEDIVHCTELEFVPSAVDAALQTAANVSVSSELQNALLAAGFLWFVLPLLLQYDSTAEENETNESHGVGARVQIAKNLHAVHAAQALSKLCGLGGDGISSPSNLPAFNTLRALLTPKLADMLRNRPPKDLLSNLNSNLESPEIIWNSSTRGELLKFVDQQRTCQGPDGSYDLTESQSFNYEALSKELNVGDVYLRVYNNQPDYEISDQEGFCIALLKFIAGLVQKWNSMNSEENMMHEHDSVIDTSTENGGASDSTNEGKEDNSFEKGSKYETGGDCEVITNLRSGLTSLQNLLTSNPGLAAVLASKERLTPLFECLALPVPPESNIPQICLSVLSLLTKHAPCLEAMVAERTSLILLFQILHCNPSCRDGALAVLYSLASTPELAWAAAKHGGVVYILELMLPLQEEIPMQQRAAAASLLGKLAGQPMHGPRVAITLARFLPDGLVSAIRDGPGEAVVSSLEQTTETPELVWTPAMAASLSAQLSTMAADLYQEQMKGRLVDWDVPEQAPGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAMAYEGRRETMASGQDASRAQAEPIEHDNSDGVPETTVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVALLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVDVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCAKVREILNSSDVWSAYKDQKHDLFLPSNAQTSAAGVAGLIESSSSRLTYALTAAPSPQPALVRLPSSSPPPPTGPVNPASGRRHS >SECCE5Rv1G0335480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:575244461:575252256:-1 gene:SECCE5Rv1G0335480 transcript:SECCE5Rv1G0335480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVRCGGGGGGGGAGAYLTAQRTTAARSGYGRSGVLAAGTGAREVSLRVSLSPNSHPHRVGASCPWPVRCSPCPSPDMEVPRVSKKRTRNEEWEALKTEFGRMFSPQLRNLRELFSLRRVYDIEDYQLGILFGAFLGCVGSYQLWKTAPSIFVDAMLAFIFYKLSVVSSELHRSHKSNSLITRLKFGTILIMVWKDIKKNYVLLDVIRMPVLLLYICAFLFDVAGVKKYGRQGLVYLVNLLKTRGGIQEIYRIMWLPGYVSPYDDSAN >SECCE4Rv1G0218810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:24511097:24513996:-1 gene:SECCE4Rv1G0218810 transcript:SECCE4Rv1G0218810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLNCKQVRRGKFLIKADDAVYDELVKLADQGKDSEGKALPVDEDLPGMGQFYCLHCDRYFADEAVKEDHYRSKRHKKRVKQMSGPAPHTQIDADLAGGMGMPDNGLKLMST >SECCE2Rv1G0066940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:16435578:16437111:1 gene:SECCE2Rv1G0066940 transcript:SECCE2Rv1G0066940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPGDVLAGILLRLPPSSRRLTRLVCRQWRDVVDTRTAEMQSRAKPLVVTDGGSAHVVDDLSTGSHRVLWSEPRNVIIGTCNGLICLCDNQKVGGTITVINPVTSGKLCLPPLPSTTGARRRRYIVGWHEAYGFGYHPMTGRHKIVHVAAYGGSKGTSHQVKVFTLGESSWRDVATGSGPGTPRCKYSAGIVGVNGTIYWAARRGKKLVAFDLDHERLSFIKSLPDTNLRNGSWNLTEVRGRLGVVVHSCECEVWVLEVAATMKEHKWSRWYIVRRDAPSWSWQLTRPHFAHSQYLLTDELSDGCGGARNNAVYKHKPNSDSGMVDINETSPGTRVLTIKDALFNHCQTFAYVETTEPLSVYKCCS >SECCE2Rv1G0090640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:284128106:284135068:-1 gene:SECCE2Rv1G0090640 transcript:SECCE2Rv1G0090640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSGLAVHDDCKIKFSDLKARRSFRFIVFKIDEKSMEIKVERLGETSYGYEEFTNSLPADECRYAVYDLDFVTDENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRREMDGIQCEIQATDPSEMSLDIIKSRAH >SECCE4Rv1G0258700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:678904952:678906454:-1 gene:SECCE4Rv1G0258700 transcript:SECCE4Rv1G0258700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMPVRMKAVVYALSPFQQQVMPGLWKDITTKIHHKVSENWISATLLITPVVGTYQYAMYYKEQEKLSHRY >SECCE2Rv1G0083000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:159702034:159719920:-1 gene:SECCE2Rv1G0083000 transcript:SECCE2Rv1G0083000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEEGAAGGGGGGGGGVAVAGDRSSFVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYQNTKTLLHFYDPNTVIVPPNKTAADGMVGVSELVDKNYQASKKVTMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESEKGVIITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGSRLLRANLLQPLKDIQTINARLDCLDELVSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKVTDEVLKPANGRKSQLLISDIIVLKTALDAIPFLSKVLKGANSFLLQNIYQTICENPKYGSMRKRIGEVIDEDVIHSRAPFVACTQQCFAIKPGIDGLLDVARRSFCDTSEAIHNLATKYREEFTLPNLKIQYNNRLGFYFIIPQRDIIEKLPNKFIQVVRHGKNVHCSSFELASLNVRNKSAAAECFLRTELCLEGLICEIREDIRILTQLAEVLCLLDMIVNSFAYTISSKPVDRYTRPEFTGDGPMAINAGRHPILESLHTDFVPNNIFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQVGCYVPAQFASLRVVDRIFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSRSLVVVDELGRATSSSDGLAIAWSCCEHLLSLKGYTVFATHMEGLSELATMYPNVKVLHFEVDLRNGRLDFKFRLKDGVGRVPHYGLLLARVAGLPASVIDTATSITSRITEQEMVRMDANCVQYQPLRMAYQAAQRLICLKYSNQGDDYIREALQDLKESYAAGNLI >SECCE6Rv1G0423770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697439936:697440148:1 gene:SECCE6Rv1G0423770 transcript:SECCE6Rv1G0423770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADHGELPITTRDGTTTVTTRFIKDVDKRATITKGWSDFFRQARINKGQAYAFAIKCTSKGLRLIVYLI >SECCE7Rv1G0518240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:858221314:858225334:1 gene:SECCE7Rv1G0518240 transcript:SECCE7Rv1G0518240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71-homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13590) UniProtKB/Swiss-Prot;Acc:Q9T0H9] MASGACGHSGLAAPRRHALLLPAPAAPPCVSRRAARRRNTARLACAGASGLEFTHRPPPQHHWTTAVGAPVVPSCLVRCRLLSWLKPIRYDVRVQTSNIDLGAGSYEGDETGGRREQLDTSGTKSSNEPVKPVSGSRYMQAIAAVLLLCALASAFIVFFKGQPSAVVAALAKSGFTAAFMLIFVSEIGDKTFFIAALLAMQYQKALVLLGSMAALSLMTIVSVVIGRIFQSVPAQFQTTLPIGEYAAVALLAFFGFKSIKDAWALPDKVNGDLQESSESGELAEAEELVKEKASLKITSPLAILWKSFSLVFFAEWGDRSMLATIALGAAQSPLGVASGAIAGHLVATLLAIIGGAFLANYLSEKLVGLLGGVLFLLFAAATLFGVF >SECCE4Rv1G0286820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850935318:850948232:-1 gene:SECCE4Rv1G0286820 transcript:SECCE4Rv1G0286820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAEAAMAVLRVSRPIFRGAHDGLAFAANAAFLADGYSLCAVGPSALNAPLPADEEEVGIDGWNSMDNCYAFLYSKQEEEGKKYILVKCVVIADFLAIDAMDLEAQHKEPCNVQINVKDFFFEGQTKNYKDLYKNFTDFINILNLSLLAELNAKDAAAAQNPNVESSSSINSSGNLMLENLTTRTIEPAGSEYVVWENPSTRAIELVGSENVMWENPIIRTMEHACLIYPPVVLFGHDDTFPAPGSGFYPHSGETGGSMHAGPNDPWFFPSTPSTPLGDPGSVPPGGRYDPIGPPDVPGFEPSRFVRRSRHSGGSTHPDLEFFQPGPGFF >SECCE3Rv1G0212260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954838439:954838753:1 gene:SECCE3Rv1G0212260 transcript:SECCE3Rv1G0212260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVRKLASQRAVVIFGVSNCCMCHAVKTLFTELGVSWVVHELDKDPRGKDVERALAGMVGRSPPVPVVFIGGRLVGPTDQVMTLHLSGQLVPLLRQAGALWL >SECCE7Rv1G0477030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:213563855:213568476:1 gene:SECCE7Rv1G0477030 transcript:SECCE7Rv1G0477030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G31440) UniProtKB/Swiss-Prot;Acc:Q9C865] MEALKKQASKLREHVAKQQQAVRKTFSARHSQDTSLVDEAELECHLNLQKLFNTTRAAKHFQRTIVRGLEGFVAVSAKQMEIVKRLAEDCCKYGNNNQNVGFVLGRASAEFGKSHNQMEIEREKLLRALGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVVRRQLKSKESSGNTDSVKLQHAESKLSELRTTLAALGKEATAAMEAVEAQQQQITFDRLLAMVDAERTYHQNVADILNKLHDEMINAKHHEESDNKDDEPSSDPSSVPNVSSPKVSPTHVHSNSISEDPALTETIEPTRNGQEVHYVGEVIHPFDGQADGELSISVGDFVVVRQVSPNGWSEGECKGKAGWFPSAYVEQRDKAPASKVIEPGRLTA >SECCE6Rv1G0414290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:631105742:631109629:-1 gene:SECCE6Rv1G0414290 transcript:SECCE6Rv1G0414290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHKPEALLLACLGAVFAVRLAALAALPQPRSDCQRKCGDIDVRFPFGIGPECAMPGFDLTCDDDAGGRRAPFYGNTEIVGLSLELGQARIMNVISSACYNAASGDMEYNDWHLNLTNTPYRLSDTDNKFTAVGCRTLAYISDERNTGRYMSGCVAMCRRGELGALRNGSCSGIGCCQTAIPGALQFYHVWFDRSFNTKEMHNVSRCSYAVLMESSNFSFTTSYVTSPEFSDTFQGRAPVVLDWAIGNETCEVARVKRDSYACVSDNSECFNSANGPGYICNCTKGFHGNPYLKDPGHGCIDIDECADLEHYPCSVNGTCKNLHGLFVCLCPDGYTGNAKNGTCERRPSVPPNQTLGPGAKLAIGASVGILVGLVGFLGVEVIRHKRSIKRQALNRQSDEYFKQHGGEILAEMTRDGHGRSIPFAVYTREEVEAATDSFNKANIVGEGGQGTVYKAVLRGTAVAVKRCKEVDDSRTKDFVQELVILCRVDHPNVVKLLGCCLQYEAPILVYEFVPNRTLQDLLHPRNQRCRVTLGTRLKIAAQSAGALAHLHSAERPILHGDVKPANILLGDGWVAKVSDFGCSTIDEITQVVPKGTPGYLDPEYLLDYQLTVKNDVYSFGIVLLELLTGKKPLSKERKTLTVMFQESMADGTLHELLDRGISDEDNMAVILQVAELANQCLLVPGASRPAMRLVAEKLRRLADGVQEPSQLPLVLEDLSPMGVGVGSSTSPLYITKSQTTGYYSLEKKTALSIEYAR >SECCE7Rv1G0525620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:891756536:891757660:-1 gene:SECCE7Rv1G0525620 transcript:SECCE7Rv1G0525620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMPNPEKKLFRMPSADAEIAALHKEWDDARCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFRKMKVNRMDSSSQPSSSLPGDTSNQNVSQRSHLGPNRGNPRLLIDVPEFRENLSHEHVIHSSAAISGQQEGTNYNQGPVTLEALMGQVTGQVESAEASNSNELMCPLCRGAVKGWEIIKDARQYLDEKPRSCSREACAFSGTYGALRRHARRVHPTTRPADVDPSRRRAWHRLENQREYGDIMSAIRSAMPGSVVLGDYVIEGGPSADEREDGGPSDRSGSLLTTFFLFHMMNSGPLRSGDEPRGSSRALRRQRRRYLWGENLLGLQYDDDDDEDEDSLDEEVQRPRTRRRFVRSRSEERR >SECCE4Rv1G0283790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836454993:836456213:1 gene:SECCE4Rv1G0283790 transcript:SECCE4Rv1G0283790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFAGVSVFDGDKPCSCVTSGVGAGAGANSAYHLLVVKGYSGTKKELPNGESWCTDLFRVGGHEYSIEYFPNGANPNCADFISLDITRLYDEDVEEGVEAKFSFSLVDDVEKQTPTYIRATRKTRDFRRCDPCWGCDKFMRRDALERSASLKYDCFTIRCDIVVCKDNTPDATSTGTEVLLPDIHQHFSHLLQNKVGADVTFEVGGETFAAHRCVLAARSQVFMAQLFGTATSNVIQITDMEAKVFRALLCFIYTDSCPEMEKDSMEEDEMPRVVEQGQAEEVVDKKMSEVAEQTQEEAVEDEMHMQWLHDLFVAAGRYNLQRLKFICEKQLCEHVGVSSVASTLALAEQHRCHGLKKACLKFIRVLSPSRLQTLMATDGWGHIATTHPSVLYELIAMLASNQRK >SECCEUnv1G0548300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:182790688:182793246:-1 gene:SECCEUnv1G0548300 transcript:SECCEUnv1G0548300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKQLSTLTQCARSFYLSGSRCGSADGASCTCPDDDTCGSKRQTASAIERRSSVKVQPPAAQHVAGSATGYPTQAVNVIPSTSSPDKEPASSNRSNHPGNRQVQGNNYVQPSKQAARGISQSGIAGAGVYNELVNLKSSSNNGSTKQVPQAGAHYSSKPVSGGQSSNNKANNQHSHAGANTPCAPSMQNDFGKGGSRSGYAKPKQNFSGPAAAVSGSPSQVRNQRHPNQGHSNYHSNNSPSSDGRWSGVQTRNFSAPTVYSGPSDKSQGPLVGTTKGHGGGPQSNLRSMKSLRAVEQYYHTLQQMKWGPMTEHVLDNLRCKIDAFQANQVLKLLHDHNIALGFFHWLKRQPGFKHDGHTYTTMIGILGQAKQFGMMRKLLDEMNLVNCKPTVVTYNRIIHAYGRANFLREAVKVFEEMEGAGYEPDRVTYCTLIDIHAKSGYLEVAMDLYGRMQEVGLSPDTFTYSAMVNCLGKGGQLAAAYKLFCEMIENGCTPNLVTYNIIIALQAKARNYDNVVKLYRDMQIAGFRPDKITYSIVMEVLGHCGHLDEAEAVFLEMRRDWAPDEPVYGLLVDLWGKAGNVDKALGWYRAMLQDGLQPNVPTCNSLLSAFLKLNRFQDAYSVLQNMLAQGLVPSLQTYTLLLSCCTDAHAQMGLCCQLMAITGHPAHMFLLYLPDAEPGGENVRDHARYFLDMMHSEDRESKRGIMDAVIDFLHKSGLKEEAGFIWEVAAQKNVYPDSVREKSSSYWLINLHLMSEGTAVTALSRTLAWFHRQMLLMGSCPERIDIVTGWGRRSRVTGSSLVRQSIEKLLHLFQFPLFAARGNTGCFVGCGEPLSQWLHNPYVERMHLL >SECCE7Rv1G0470290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:118585678:118587348:-1 gene:SECCE7Rv1G0470290 transcript:SECCE7Rv1G0470290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGVGNVLEAARPVAAMVVVEFVFSAMQIFIKLALDYGMDVRVLVAYRPMFGAAFLCPVAFLIERKKRPPLTVKVVTGLFLCGLFGVTMNQNLLVLAIKLTNSTTIVTALSNLTPQATFIVAILTRMETLKLRKPSGQAKLAGTLVGLGGAMLLTFYKGPELRFLHRLAHTGLSHASGDRQLRPQPAAGSRILGSFLAIAGCFSYAIWLTIQAKVVQVYPCHYSIAALVCLFGALQSTLLALCIHRDADHWRLGLNIRLYSSAFAGVVASGSAFPLMSWCLQKKGPLYVAMFGPLIVVFVAAMSSVVLNEALHIGIVLGTVLIVAGLYMVLWGKAKEEDEQEAGAPKLVAQDDELGKEYVPHANREA >SECCE1Rv1G0014320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:112434086:112443694:1 gene:SECCE1Rv1G0014320 transcript:SECCE1Rv1G0014320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAEYGDEEDEELSSSADAEGEEEQQEEEGSDDGEEEEDDEEEQEPEGEQAAGDGDDAEEEEVDEEEIVAATTGAGADDDDDDDGGDGAGGAEEAESTEDDAAADEGGEEDADESEDATGKTEVGKRERAKLREMQKLKKQKIQEILDSQNASIDADMNKKGKGRLKYLLQQTEIFAHFAKGSQSAEKKNRGKGRHASKVTEEEEDEEYLKEEDALAAGGTRLLVQPSCINGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPVLRAVKFLGNPEERNHIRENLLAPGKFDVCVTSFEMAIKEKTALRRFSWRYIIIDEAHRIKNENSLLSKTMRLFSTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENAGKMVLLDKLLPKLKARDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGDDRDASIEAFNKPGSEKFIFLLSTRAGGLGINLATADIVVLYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIARGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKEEDKPDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPNLHDFQFFNTQRLNELYEKEVKYLVQTNQKKDTIGDGDGEDEEVEPLTEEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRDDIKSIASEMEGKTEEEVQRYAEVFKERYTELNDYDRIIKNIEKGESKISRKDEIMKAIAKKMDRYKNPWLELKIQYGQNKGKLYNEECDRFLLCMVHKLGYGNWEELKSAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQECDERDRQARKDKKNMTPTKRPSSSSPAMDSPMQTPSKRGRRDGSAPSGKRRRR >SECCE3Rv1G0152260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:45788550:45789684:-1 gene:SECCE3Rv1G0152260 transcript:SECCE3Rv1G0152260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLIEAAIIDLGSNTNTLLPEKVVIADLGCSTGPNAIALVLITIKAIHSYCLQIQQPSPELCVLLNDLPENDFNTVVRSLVTLRQDKEPLVVIGVTPGSFYERLFTSSSLHLVCSSSSLHWLSKAPEDLARNHIPAYDIDEQARHGRLPMVLEAYAQQFRKDFTHFLELRAKELAQGGRMVVSIIGRHFGGIASFHIWEIITQVLSIMTLEGVIDKEKFNSFYMPIYGPSNEELREIIHNEGSFSIREMLVHDFTSGIDNVLITPTWTANQLRAVFEQIVVDHFGDLMDEFVNTAQRCWSVEGRLHDELARLAMLTVSVSKV >SECCE6Rv1G0407860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:565819852:565821822:-1 gene:SECCE6Rv1G0407860 transcript:SECCE6Rv1G0407860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKMPPAQWISASLLVLLLSLHPIADAFYLPGTFMHTYEAGETIAAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEVLMGDQIDNSPYHFHVNVNESVYLCTTDPLTKEQAELLKNRARNLYQVNMILDNLPVMRFTEQNGMTIQWTGYPVGYNPMGSSEDYIINHLKFRVLVHPYQAQGDVVVTSEDGVAMVESDRKSGFQIVGFEVVPCSVKRDPAAMAKLKMYEKVDSVNCPLELEKSQVIREKERITFTYEVEYVKSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRLWRTIKQSTEGWKSVAWLTSCFFPGIVFIILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAASIEFPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFFVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMAFAIMLSTGAIGFLLSFYFVHYLFSSVKID >SECCE1Rv1G0063270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:723960054:723963856:1 gene:SECCE1Rv1G0063270 transcript:SECCE1Rv1G0063270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit C [Source:Projected from Arabidopsis thaliana (AT1G12840) UniProtKB/Swiss-Prot;Acc:Q9SDS7] MATRYWIAALPVADDNVAAGKTALWARLQEAISRHSFDTPLYRFTVPDLRPGTLDSLLALSDDLVKSNIFIEGVSHKIRRQIEDLERAGGVEPGTLTVDGVPVDSYLTRFVWDEGKYPVNAPLKETVASIQSQVAKIEDDMKVRVAEYGNVKSQLGAINRKQTGSLAVRDLSNLIKPEDMVTSEHLVTLLSIVPKYSQKDWLSSYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVHAREKGFQIRDFEYSPEAQESRKQELEKLLQDQEVMRTSLLQWCYASYSEVFSSWMHFSAVRVFAESILRYGLPARFLSVVLAPSTKSEKKVRNILEGLCGNANSSYWRSEDDVGMAAGLGGEAESHPYVSFTINFV >SECCE2Rv1G0075290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:83349005:83349262:-1 gene:SECCE2Rv1G0075290 transcript:SECCE2Rv1G0075290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPEMKGCDDFLEGEDQEEEKEMELAKVNLEEVGVFQDQVLDNKNVQKHVEEDDDEDLMEGMEATGPGAAGTLTGPNVAPRQEQ >SECCE3Rv1G0181040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:604111936:604116240:-1 gene:SECCE3Rv1G0181040 transcript:SECCE3Rv1G0181040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) UniProtKB/Swiss-Prot;Acc:Q9FGL9] MASGGNPQFRYTQPPSKVIHVRNLPWECTDEELTELGSLFGKVVNTKCNVGANRNQAFIEFADQNQAIAMISYYASSAEPAQVRGKNVYLQYSNRQEIVNSKNTGDAAGNVLLVTMEGVLPDAVSIDVLHLVFSAFGYVHKIATFEKASGYQALIQFSDAETASSAKAALDGRCIPSYLLPELDGSCTLRINYSAHSVLNVKFQSHRSRDYTNPYLPLAPSAIDGSGVAQDGKKEEAESNVLLASVENMQYIVTIDALHEVFSAYGFVQKIAIFEKNSGFHALIQYPDIQTAVKAREALEGHSIYEGGYCKLHLAFSRHTDLNVRINNERGRDYTGGNSAPANHEPSILGPQPMLPVGSTAPPYSSAPSAAADVVAAPGTASILATPGAPSLPSSQPHPQTASGGPQQYASQGVLQGYGAPGFPQGPNQAQLAQHSGQGNQQMPNHQAMSFPGHGRQQLPPGPQMMQGPGYRGPPFPQGHMQSMPQFPAYGNQQFPPGAGPQMMGFPGQGGQYPPFGRPLHPYNR >SECCE4Rv1G0231410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:183505578:183511840:1 gene:SECCE4Rv1G0231410 transcript:SECCE4Rv1G0231410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATTYAESIASCRTPAPIRSPSLAATAAAVLDAAPLPVRRQLDFPSGDGNTDDDDDFFFLVAEEMERNLDQVTRRAALNLTPPTAALARPTFREKLCICGRGPCAVERKEGGWAYVCSAPPKCRYSSYCMESDVNPSSQPAFLSHHEPHNHMTNGTTQVNVSPQGAGATTPVNVTPQRDGARTPVTFSPQGAGATTPVNVTPQRDGARTTVTFSPQGARSSGELPTCKCTAGKCKIRNEKGVEYYVCHIPKGQGACSHHEPIKAAAEESPLTGYTNPRESEHLGYNPVANEANVHAMIGGHNETRQFDPDQPPEYDEWPFEIVEGDVVPTAHLWPAHPAPIAAVAQGSPVMLRQQFAMVQVGTPTESPMPPKCTISPNTPRSGSCYRCHEEGHWTMNCPKNGTCYHCGMVGHFVKDCPGVRTKK >SECCE3Rv1G0203220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:881731274:881734353:-1 gene:SECCE3Rv1G0203220 transcript:SECCE3Rv1G0203220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSGRILGDENPIGEKRRGILRSRMSLDRDNPNEEKRSGIERGGAQAIASSTAPRRVALGADGFPLDGVLRGELLHNLKHGDGSIYTSNSYWAKVYRLYDTSETCLESMMMTVPYDSCMPNWRVCKRHAYRTMMQIFSLKLAYTSYVSGPVQLYGYVAVRDLLNPMRNYVFNRTRNDPLVVEHDGFIQMSGPKRGIRMQAPVLIEFNLKIKTGEEEGDDQQVIDGVAIFGDRVSSHARVNTQRMDGDGGSVDITFAVLEHASEATVQVGISQIEKGSCLSLCLAGSYTSPSYVSHGKIQLFDGVITAEASELSRTVVAVARDTKLVVKLKLSQKGGLDSHRCAIFPVEKHGSRSFIFNFGVATVEVMATWSTMDIPESLLGPNCFAHEFRASEGVEYVDE >SECCE2Rv1G0086250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:205542218:205544299:1 gene:SECCE2Rv1G0086250 transcript:SECCE2Rv1G0086250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLSLCFIAVSTLLALWFRKIKPTTKQLPSGPWTLPIIGSLHHLISVLPHRRMMDMSRRHGPLMHVMLGEIPTVVVSSAEVAALVMKTNDLAFASRPHTVTTNIFGCGGKDIAFAPYGDRWRQMRKVCFVELLNSKQVKRMEGIRAEEVGNLIRCLTAAALTGATINVSQKVAALSNDIVTRAVFGGKFEQQGEYIAEMDKAFRLLGGFNLVDLFPSSRLVRLLSNGERQTKRSCAIMQRIITDILNERKATRAAGDDGATSKDNEDLLDVLLRLQDEDLLAFPLTTEIIAAVLLDIFAGATETTGSALAWAMSELVRSPEIMAKAQQEVREILGEDRAVISNCDLAKLQYMQMVLKEALRLHPPAPLVPRVAREDCTIMGHDIPRGTNAFINVFAISRDPKTWKNPEEFKPDRFENNNMNYNGTYFEFIPFGAGRRQCPGIQFSSSIMEMALTNFLYHFNWTLPDGARPVSMDMSEQFGLSVRRRYDLKLKATIPHI >SECCE7Rv1G0526860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:899270244:899272967:-1 gene:SECCE7Rv1G0526860 transcript:SECCE7Rv1G0526860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFDRQDEEDDFRGVDESSRAEIRLVGREILQVLIGQICLVVFHNGSNDMVNFSASGIPQGEYFDIKVLWTFRGRLRLIPRITEKVDDSHLFLYDTYSLQGWNFLLEIEAAEIAGYTEKLGEAATECCLYLLSLNSQGGNIMDYDWATHASNYWVCDGIIQGGQGDEAWEVASALRQHIHIEDYSANALLSFGHELKTPSKRWLMPKESSVVHPESTSFFLAAVASGSDPPLRPLPNDMFQQSDKLRVLKLCHCMFSFSAPPFCCCYNLRFLGLDGCKDQRVEEDEKQDMYFFKSLWVLDICHTDWELDLSADIIEMMAANIREVHIKKGRIWSHCFAWRQLHNLHKLRVIEPTSPWQTGKMDEFTHMVKLEFLDFAGDSTIQVLPSMSGAISLKILVLDGCVGLEHVGPEGLPPSLESFSLDAPRARGDHEKEAKISRISLAGCARLVNFRLCGSLPNLEDLDLSDTLVKTLDLKDQVVQAPRLQKIILLGCMQLLSILWPEHGMPKDTILRIDSSVCHVQGEPHQAYATVMDIRFLQSLVLESNVEFCWKSTRSHLKLCVPCSNKFEGQRNKEKTAIGSIGQIMGCPRPKSLLPNAYITYMDVVADNITIDDGYKYNSAPQFQPLGSHVEIGDGISFTRMESTRAMKATIFVMNKAKSLHVHDNSSITTVIPEHMMSIESKVLTWQNLKSCQVVKCPKMHTVFNIVWGYYKFKELVNFWAADLLSTHCIWSKQRVRDFEDDLSFAKLKSIHLFSCPRLTFVLQWSNLFILSGLETLHITFCGDVRQVFPVEPEILTRIATSYHKGVLEFPNLKHIYLHQLFKLEQICEARMFAPKLESIRVRGCWGLRRLPAVSRGNRPVVDCEKDWWEKLEWDGLEAGHDPSLFETHHSAYYKKTLPRGSVLW >SECCE2Rv1G0079400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:118662595:118663699:1 gene:SECCE2Rv1G0079400 transcript:SECCE2Rv1G0079400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASPSWSSCCTSTSTRPLPSPPATSSKSRELWRASSGRRSASGGKRQQQLSIRAVAAPSSAVDYSDTAAGAGDIPSLKIKLLSAVAGLNRGLAASQEDLDRADAAARQLEAAAPAPVDLAKDLDKLQGRWRLVYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSQDFDNIVELELGAPWPLPPVEATATLAHKFEITGIASIKINFDKTTVKTKGNLSQLPLLEVPRIPDSLRPPASNTGSGEFDVTYLDDDTRVTRGDRGELRVFVIA >SECCE4Rv1G0279170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:812239692:812241401:-1 gene:SECCE4Rv1G0279170 transcript:SECCE4Rv1G0279170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDTNPMSFSAFTDDKAAFEPLNPEDVRAYLHKAIDFISDYYTNVESMPVLPNVKPGYLQDQLSASPPTHSAPFDVTMKELRTSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQLLRLPTTFMNRTSTGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSVGVSHMTRLVVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYGLDPAKLLEVMQADADAGLVPTYVCATVGTTSTNAVDPVGAVADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVEHVDSISMSPHKWLLTCLDCTCLYVRDAHRLSDSLETNPEYLKNDATESGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEDFVRDDNRFEVVVPRNFALVCFRIKPSGSMTEEDADEANRQLMEKLNKTGKAYLAHTVVGDKFVLRFAVGSSLQEERHVRSAWDLIKKTTEGISVAG >SECCE3Rv1G0146990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:13022747:13025692:1 gene:SECCE3Rv1G0146990 transcript:SECCE3Rv1G0146990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVVTKSRPLLVRPSTEQSTSTPADHIKVSSFDKPLAFSSFSSFHVFDRAIPEPAETIKRAMSRALDHFLLIAGRVVVGLGDGDGDLCIACTGEGVEFVAATANCALVDVKLFDPPFAGLLRDLAVEYPEASCRVSDPLLLMQVTEFSCGSFVVGVTWNHVVADGTGIALLLRAVGELARGLDQPSVPPVTCADQLLPDLPPLADAIERTMVGQLKPKDYAYLDITVPMSTIDSIKTELGDELGAPCTVFEAVTAVLWQCRSRVIMPDEDDPDTPAPLVFAADARRAVGAAEGYYSNCVTTQVVAPPPTIREVAEGDIKDVVRDVVRLIRSAKERIPATFAGEEGEEGVPLPPCVVETLFGHSALFVTSWRNLGLEATDFGGGSPARVMCHVGPESLPMCVACLPCRDKGGANVLSVKEEHGAAFLTELANFT >SECCE5Rv1G0340230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:613248337:613249476:1 gene:SECCE5Rv1G0340230 transcript:SECCE5Rv1G0340230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPAMDFSPSTDVLAAVLRRLPPSARRRARLVCRLWRETVDERTTEMHSRPKALLWNTRTAVAYVVDDISPSSTGSCTEVWSGGSPPMYSQWDGDLQLVGTCNGLLCVCDNGGRTGGEVALVNPVTRETLPLPPLPCGGQLAGDRRFCRWDKAYSFAYHPTSGRYKVVHVPCIFERARDFDAVQVLTVEKEATWREVPTPGGARCNLKAGVISVDGTTYWVTKGGAARVVSLSLDDDERVAGFAGFALPSRALSAGADNYHLAEVRGRLGVVVHDSFGSTTGVWVREKKGTWTRRCSLWSQDPTRPHFVYGEHVTTFQGTSLRGHRRKGTGPLSSPCDVTVGDKAQGTLLAKVKGGARHYRTFAYVETSEPLGDYGGK >SECCE7Rv1G0507160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:758893949:758899821:-1 gene:SECCE7Rv1G0507160 transcript:SECCE7Rv1G0507160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATSLGLALHRRDHDLSSHRRPFPSSSLISTSAFRSRIRRAKCSRRVVPPSAAAAGDLEGNEGLAEGQTLKHSLSNEMLPSSSRKATSSMVDHVSLSSLDHHPNSQSSCFATSKPNHDNTHKYNHCPKIPRRSLALLPASSLLLSASSSFAIDNANAPSSSTIDTTITDRIFMDFSICPSFFSNDRTLGAELATCPDSEPLGRVVFGLYGRLLPITTANFKTTCTASAYRGTLVHKVLQGQFFAAGRQGSGRDKGVVQPPSKLVRNVETVDPKAYQLRHARPGTLSLCLEQNDDDDSIKLSPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMDVITSIATIPTYKPGERIQFFNDFAQLIGDERAQSARAMWDRPQKTVYISGCGELKVTKPSLSPPSLP >SECCE4Rv1G0241050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448282429:448283471:1 gene:SECCE4Rv1G0241050 transcript:SECCE4Rv1G0241050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRLKRCGRKQPVYRIVAIDVRSRREGRDLQKVGFYDPIKNQTCLNVPAILYFLEKGAQPTRTVYDILRKAEFFKEKERTSS >SECCE3Rv1G0188560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:715360783:715362656:-1 gene:SECCE3Rv1G0188560 transcript:SECCE3Rv1G0188560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRLACLVAAAAVLALATVARCDPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDNFIPPYAATGGDQLLNGVNFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVQTLVNILGDRDTASERLSQCIFTVGMGSNDYLNNYFQPAFYSTGSRYTPEQFADSLIADYRRYLQAMYSYGARKVALIGVGQVGCAPNELARYSPDGATCVGRIDGAIQIFNRRLVGLVDQMNTLPGAHFTYINAYNIFNDILANAAAYGFTESTAGCCGVGRNNGEVTCLPYQAPCANRDQHIFWDAFHPSEAANIIVGRRSYRAQSPNDAYPMDISTLASL >SECCE2Rv1G0069520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:29327726:29328229:-1 gene:SECCE2Rv1G0069520 transcript:SECCE2Rv1G0069520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCTDCPRNVPLKRLTSKEEKNGNFGREFVKCESKLEGQIVKKCYHFEWMDDYIQRLQGLGLLNSRGNAIGEFNLPHDSAAPAAAVRLGYPTVVDVELKAELKKMNKNFKQLIELKKQSNLITLGILALGIFYLMAVSR >SECCE3Rv1G0201200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:861115427:861123586:-1 gene:SECCE3Rv1G0201200 transcript:SECCE3Rv1G0201200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGELQCVGRLEIAAPPPARYLRVGSLPVPTDDSAYHPALLPSASPTGTGAPRYQMLPLETDLNTLPVIPNLPEKVFPTDAKSTEGLRCGSGLFNQNLSRKCEALAVSGLAEYGDEIDVIAPTDILKQIFKIPYSKAQVSIAVSRIGDTLILNSGPDVDEGEKIFRRQNNQPKGSDPSILKNFAMHSVRAEACDCPPSHQPSQDKQTASMLHGPFGHREGSFDSSSSANFSTSPYLDQNISKSRKPSHGTCESLYWGARENKQKVPGSDPIRKTTRVGETPSCEVQESEKSRRVGNNGFRKVCFWQFHNFHILLGSDLLIFSNEKYIAVSLHLWDVSRQVTPLNWLEAWLDNVMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQNNCKQDPGAYWLYKGAEEDVVQLYDLSVLPEKHTAGDHISTCSPVSSLMNKGRRESLFSLGTLLYRVAHRMSLSKVPSNRAKCAKFFRKCLDFLSKQDHLVVRAYAHEQFARLILKCYEELELTTESFLLESEVTLTDLDDESPQLSLQNLPSKQDDVLTEISKDEPAALDSMLEYSQSESSRGHVDTGTASSTTKDVSDDSLLMCQAGNSQISKPIADAISSKLAAIHHVSQAIKSLRWNRQLQNSQDDCVDNADTIWERPVDFSLCRCGDVDCIEVCDIREWLPKLKMDHKLWKLALLLGESYLALGEAYKNDGQLHRTLKVVELACMVYGSMPKHLDGDEFISSMSNSSLCLEDGDLNSSLVLDEAEYFKNAKCFGYDISARQLPPNYLFWANVWMLVGDVYAEYHRLGSHQAPVLQEQKPEGELRMSNEVAMEVKRLKRKLGKDKQNCGTCSLINCSCQSDRANSGSSASSSSPEASTLHGRKKNKKTSGRNIRSQSTEIKEKPNAQEAIESSEETQNSTNDTRLEERTVTNAELDHDHTMDYQSSNADAIPDKPNGDVSSASGGIFKYLGGPKPGDAEYNLCSAIHCYGAAKGAMFAFPVRSAEFSTILKKRGWAFNELGRIRLESKNLSSAEIAFADAISAFQEVSDHTNVILINCNLAHGRRALAEKLASRIEEFQMYDLPEGSYMQSVKSAKSEYFQAINYYTAAKRQLKYAIADNEVDKSLYNEVYTQYAHTHLRLGMLLARESFLTGSYEGGLVDESSNRTVLEISASDAFREALSTYESLGELRKQEAAFGHFQLGCYQRDLCLKFLDLVNKEVKQKNEDKFRKKAKWYGSLAEKNWQKALEFYGPMTHPTMFLNILMAQSALSTSISDSFHSSAMLEAALMHLLEGRHVVEANEEYSNDVNLDIKPKFWSQLQSLLKSMLKNLDASRPAASAVGQANGSGRGDAAKLKEMYRLSLKSSSLGQLHAMHKLWV >SECCE7Rv1G0461400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:37640201:37642957:1 gene:SECCE7Rv1G0461400 transcript:SECCE7Rv1G0461400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAQRLLAASTKIVGVGRNYVAHAKELGNPVPKEPVLFLKPTSSFLHAGVAAAAIEVPEPLESLHHEVELAVVISRRARDVPEASAMDYVGGYALALDMTARDLQSVAKSAGLPWTLAKAQDTFTPISAVIPKSAVPNPDDLELWLKVDDELKQKGPTSDMIFKVPFLISYISSIMTLMEGDVILTGTPEGVGPVRIGQKIKAGITGLIEAEFDVQRRSRTFSP >SECCE1Rv1G0030600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:429192324:429194221:-1 gene:SECCE1Rv1G0030600 transcript:SECCE1Rv1G0030600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPAVDVEKAGAPAGGAADGSGGGGAVGAILGRWRRQDLLDKSGSALRAAAWAFSLLAFLVMVANEHGDWRQFDHYEEYRYIVAVGLLAFIYTTLQLVRHGVRLSGGQDLQSKVGLLVDFAGDQVTAYLLMSALSAAIPITNRMREGSDNVFTDSSAASISMAFFAFVCLALSALISGFKLSKQTYI >SECCE2Rv1G0110190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:687566884:687571626:1 gene:SECCE2Rv1G0110190 transcript:SECCE2Rv1G0110190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDGCSLPLPDGSLFLGFDCSTQSLKATVLDASLAIVAHDAVHFDSELPHYGTEGGVRRDAAEPGRIVSPPLMWAEALDLLLRRLKPRVDYGRVAAVSGSAQQHGSVYWGHGAGAALASLDPARGLAPQLAGAFAAPESPVWMDSSSTAQCREVEAALGGALALARMTGCRAHERCTGPQIRKMFQTRPGLYDGTERISLVSSFMASLLIGGYACIDQTDGAGMNLMDIETRQLRQDTLEATAPDLEVKIGKLAPAHAIAGTLAPYFVQRFQFSSNCLVVQWSGDNPNSLAGLTLSNPGDLAISLGTSDTVFGVTDVPEPSLDGNILPNPVDPNTYMVMLCYKNGSLTREDIRDRYAEKSWDVFNSLLEQTDPLNGGKLGFYYKEHEILPPLPVGFRRYVADILTSGPLAETEEGQTDEFDPPSEVRALIEGQFMSMRGHAERCGLPVPPKRIIATGGASSNQAILKTMASVFGCPVYTVQRPDSASLGAALRAAHGWLCKQQGEFVPISGMYSGGLDRTSLSMKLAVPFGDGEGDDELRNRYALLVKKRLEIEEKLVERFGRVK >SECCE2Rv1G0132570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:892969392:892970063:1 gene:SECCE2Rv1G0132570 transcript:SECCE2Rv1G0132570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIHKGGVRWFLLLAGVLLTAAAVGAEQEDGAMVTVVTEMEEEVGGRVQDQGNDMCDIECQHALNPAWKRRCVNNCHKQELHQSYCNMKCQQVDHYHDPSRIELCVRQCMSYNLHFHVDSNNGVDHQSHAWEAEVGWHADDRSNDMCDIKCQHWQDPAGRRRCVNECHSQEHHHPKRAFCEMKCQHHYHDPSRMELCVHQCMSYGLNLHVGGNNRVDEHPTG >SECCE3Rv1G0182340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:626803454:626806811:1 gene:SECCE3Rv1G0182340 transcript:SECCE3Rv1G0182340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGKGWPETASRGVRTAWFMVVMVASLLVASAPVVVAAGDVAVTLWLEARLGCPRCRGLRDHLRGYAFRSSLVDIPLLSVLRSLVITCVYLVCDTSGLSHGPYLGTTTFCSLASLLILLMKACVYSPAQDIGPELSPSLADHKLNMKKLWGMPALFLSSLIFALGHFVVAYRTSCRARRKLLIHRIDPESILAYKNAFPGCYKVPRSPTPHSGKLYSRSESETKRKTLVHDDRDIPISFLADSDSMFIACQGITIHYKMSDPSSCISPAPESFPETNHDAVSSSISPRRQRHESPPTASSSTRRLLHRSFSHQYHQTSLYAPLLVESLTSPTVSDRIPLLSLDDGSLQVCLKPMGFDLEAGEHGKFAVVLVHGFGGGVFAWRHVSNLLARQVGCTVLAFDRPGWGLTSRPRRKDWEDRNMPNPYELESQVDLLISFCSDMGLGSVILVGHDDGGLLALRTAEKLRASGDSRKVEVKGVVLIGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQVINRRAWFDATKLTTDVLNLYKAPLYVEGWDEALHEVGRLSFSTVLSSKRATELLRSVEDLPVLVVAGSEDALVSLKSAQTMASNLVNSRLVTISGCGHLPHEECASALLSALSPFISKLVSSDDSLQRL >SECCE5Rv1G0329520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:517709424:517710721:1 gene:SECCE5Rv1G0329520 transcript:SECCE5Rv1G0329520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARPSPVCLDLMVGLPMVREPSPARCTGMRAQADIARSACGRAASITNGEANKIMEAKFTEVSEENRRLTEMIGYLYANQNFARQSPEGEGEQPASTAASPVGKKRSRESMDTSDSGDASSDKKMGTAEAEHVDVQSPLSNGTCRRIKVKRVCTRIDPSDTSLVVKDGYQWRKYGQKVTRDNPSPRAYFRCAFAPSCPVKKKVQRSAEDSSVVEATYEGEHNHPRPTRTGELPSCATQGGGSVPCSISINSSGPTITLDLTKNGGGVQVVEAGEAQPDLKKVCREVASPEFRAALVEQMARELTGDRKFTDALAAAILRKLPDY >SECCE4Rv1G0224560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:81841189:81845495:1 gene:SECCE4Rv1G0224560 transcript:SECCE4Rv1G0224560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ClpC [Source: Projected from Oryza sativa (Os11g0267400)] MESTLLRPPALGLGLGSPAGLGGGTASWRSRSATRPPSVVPALPRPARWRPVKMSALAGHRRDFHAPPATLTPPSVFGFGGFGPSPSPCGSSSRGRRRLVARAMFESFTEKAIRVIMLAQEESRRLGHHTVGSEQILLGLVGEGTGIAAKVLRSAGLNLKDARAEVEKVLGRGPGLIPVEIPFTASAKKVIESSTEESRQLGHNYIGTEHLLLGLIREDDGAAAIVLKNFQADLGDIRNEVIKMITDMSEDQAVGAGVGGGSSGAKMPTLEEYGTNLTKLAQEGKLDPVVGRNKQIERVLQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIATGDVPETVEGKTVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSGDIILFLDEVHTLVGAGAAEGAIDAANILKPALARGELQCLGATTIDEYRKHIEKDPALERRFQPVKVPEPTVDETIGILKGLRERYEIHHKLRYSDEALIAAAQLSYQYISDRFLPDKAIDLVDEAGSLVRLRHAKLPDEAKDLDKKLKEITRQKNDAIRSQEFETAGELRREELELKTQIMSLVDKSKEMSKAEVESGASAGPMVMEADIQRIVASWTSVPVEKVSVDESDRLLKMEETLHGRVIGQDEAVRAIGRAIRRARVGLRNPNRPVASFIFAGPTGVGKSELAKALATSYYGSEEAMVRLDMSEFMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLLDEIEKAHPDVFNLMLQIMEDGRLTDSRGRTVDFKNTLIIMTSNVGSSVIEKGGKQLGFDHDDSEARSSYGRIKSLVDEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIAVIMLAEVAGRLKAKGIELMVTENFKELVVEEGYDPSYGARPLRRAIMRLLEDKLADKMLAEDVKEGDYVIIDADLMGNVAVLSRHSDLPEDQPLAFPA >SECCE7Rv1G0474930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:179779403:179781619:-1 gene:SECCE7Rv1G0474930 transcript:SECCE7Rv1G0474930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYKMATEGMNIKEECKRWFTEMKWKKVHRFVVYKIDERTRAVLVDKVGGPGEGYDELVAALPGDDCRYAVFDFDFVSVDNCQKSKIFFIAWSPAASRIRAKILYATSKQGLRRVLEGVHYEVQATEPSEMGFDVIRERAQ >SECCE5Rv1G0346620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:662822202:662822513:1 gene:SECCE5Rv1G0346620 transcript:SECCE5Rv1G0346620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE4Rv1G0293840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887210432:887211592:1 gene:SECCE4Rv1G0293840 transcript:SECCE4Rv1G0293840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLRRCILSRLLCSRPASPISSLPRLLSTTAPEPPTPASPAFDVEDYLVDACGLTRAQALKASAKLSHLKSPANPDAVLAFLAGLGLSGADVAAVVAKDPKFLCAGVETTLAPVVAGLTGLGLSNAETARLLSLVPDRFRGRSIVSKLDYYLRLCGSTENLLRLLKHGSGILGSDLERVVKPNVSLLAECGLGACDIAKLFVRIPRMLCAKPERVLEMVACAESIGVSRGSGMFWQALHTVAYVSVDNIAARVDYLKKTFRWSDIEVGIAVSKGPFLLRRSKDILKHRSEFLITEVGLQPAYIAHRPAMLTYSLEGRLRPRYYVVRFLKENGLLEHGRSYYTTLIRTEKVFMEKFIRPHKEAAPHLAEDYAAACKGQVPARFRFT >SECCE1Rv1G0013220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:101653733:101659291:-1 gene:SECCE1Rv1G0013220 transcript:SECCE1Rv1G0013220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGETQVVDDGGTPPFGSPVSSGDTTQSGGEEDDSGLRLGSPVSSGDTTQRGGEDVGGGSGVLYGETQPLDEDEETEAADDEFEGEGEEGRMSDDTQVVEDSEDDAGGVTGQTRAVEEGEEEDGGSTEDEAGDGDRTQLVEECEVENGGSGETQLVEECQEEERVNDSSDDEAAVRRGMTQLVEDSDKEMGDDGDDELSQGTQVQSDDEGLPNNERYVKDYAEDSVDSDASTEEEGDTVKSLERGKRHASTNGTFLRTEIVDNSTSCNVSFGECPGRGIDDGSFGYVQSHEKDGSKSKGRCSTAKKLFADPTSEQNEIKSRCFAGLSYLGSQEPGELSQANALDFVEGLISINGGISSQEQTPKKLEKAKPPVSIKMGTLLLAEKVDRLRSSSGKAEVFAWVDSREDDGGGDFFRKNKDVLLHQSAGRGKSKTPRPKKCSTKIAPPVNKITEGCKKRTKSKLCGKIETLPSSDSRLLRSEVKSKRASGKRSKKNLLKDLDDHLSTAKPVEGQQVKASVDLHDVGQDTQMAVEAIEALAQSSPAENLSAEVEPPVKRDLRVRSEVEKSNPKSGPPRKRTSSVREGVTTRSKRIKVTEMNHKPQKEGHGGIEKRQNLEDHATKTKHKQAKAVPQKNKVAKIVDGNKYDSTPIAHRTRHTGRNNLYESPELCSNKNFKKATVGEVRNNHSEHGLERPMLSERTTEYGSDSVLKENTKNTCANNAQGLQQSTDASIQHTSANVAQNLEPLRDEPTTHVFRREPSSHPKQRRTPTAVVQAKAPAVTEAATGHDVQPEVTRPSKKRRIFISSSELLTYARRERSDCRSTSLLSSIITQSSAASPALDSSLGVNSKTSGFSSSDRRQKKPPGVKDASKSPKCKSPVPNSALKTPSKVVNKLSPTFSPLNPSKASNRSLLKPSVAKELLELDPENALPSRYRKDSRRKDMTSCSIIFSHHLDEDVIKRQKKILARLGAHEALSVADATHFVADGFYRTKNMLEAVTRGKLVVTSMWLESCGAAGCFVNDKKYILRDAKKEKEMCFSMPISLASACKSPLLLGKRVFVTQNVKPSREVVTSLVSASSGQPLERMGRSIMKEKEAPDDLLVISCEEDYQTCAPLVEKGVDVFDAELLLNGIVTQKLDYERHRLFLDRVKQTRSTRWLKDGAHGRFVPVSKS >SECCEUnv1G0536420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63875537:63877110:1 gene:SECCEUnv1G0536420 transcript:SECCEUnv1G0536420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNESAASEACDRRREVQAFDDTRAGVKGLVDAGVTALPPIFRHSPESLEGITISNHDAAAIPTVDLSAARREDMVALVRRAAGTVGFFQVVNHGVPAELMAGMLEAVRRFNEGPVEAKQAIYSRDQARKVRFSSNFDLFSSAAATWRDTLFFHLAPYPAPSEELPEAVRDVVTEYGKAVTKVALSVLELLSESLGLSSDHLSEMGCAENLVAACQYYPPCPEPYLTWGTKRHRDPGFLTVLVQDGMGGLQVLVDGKTWVDVPPVPGAFIINIGDLLQLVSNDQFRSVEHRVLANKSKDTARVSVASFFNTDMERSTTLYGPITDGCNPPIYRSVTAREFINTFNRIGFDGRSLDHYRLDQDTPTPAAV >SECCE5Rv1G0367660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:823075267:823079748:1 gene:SECCE5Rv1G0367660 transcript:SECCE5Rv1G0367660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGVDPRSGYCAATGAFRSKRAAVPLPADRDLDVVTFLASRRHSGVVALVDASTGRRTTFADLWRAVAGAATALAAPPFGLRKGHVALILSPNSVHFPVAALAAMSLGAVVTTANPLNTAAEIAKQVADARPVLAFTTRDLLPKLPRAADGLRVVLLDSSSSSPSPAGAADPRIVATIDEISATTPDPARRRDRVTQDDQATLLYSSGTTGPSKGVVATHRNLISMVQIVMNRFRLEDSDTTETFLCTVPMFHVYGLVAFATGLLGCGATIVVLSKFELPEMLRCISAYGVTYLPLVPPILVAMVAHPKPLPLGNLRKVLSGGAPLSKELIEGFREKYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPETGEVLPVNRTGELWIRGPYVMKGYFNNTEATQSTVTPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEVSDVAVIPFPDRDVGQFPMAYVVRKKGSNLSAQDVMEFVAKQVAPYKKVRKVAFVTDIPKNASGKILRKDLIKLATSKL >SECCE5Rv1G0298140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10017192:10019884:-1 gene:SECCE5Rv1G0298140 transcript:SECCE5Rv1G0298140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEAADQLITSMGKYGLCLGLMDPVTNIILNTIAHLPRDFRANPPPPQDAKRRRRSKRMVGASQPRDAWPSSIGPTSRTDAWPRDATPSLLALCRFMVRYFGCIGEEQAIRYLHWAGADLALAVLLVEHDLYAAELELPDPASKRTQAALMCAATYASHPAPDALVRLHTTPLPKQSLLATAAFLKPGGPKLTVDNVNTLVHLLSYQGSAPLDLQVKLLPEGREVAVYCRNLKPDEGKLEICNNTSSVDGFQVVSIKVERHGDLFASLRSDPQDKRSMISTLLAKAAKTSRSRGLVKSCSGDACEYTESLRMRLHGAIHAFYLKVFTMLPPSTGLIRDILWAGHCYGPMDPISNIIVNSIWHNIVYPLPLSEIKEYHIIDNLSMLRVEVRSLEGLITLVRGKSESGCSTQQVMEHLSRKCCDMSNETHTLQQFVAAATTARHPQHAALGSFLASLTPDMLNDLQRLLTTDTNGVISHESLGQIKHFLRQKEMVLDPEPPKVAGLCEEAKGTLLRMKSYYNRMELYFCSRLEQLLQKYASEHPLEPKYVLSVICGVVAGSESLDRECYHVNFVAASKSGIARNQFFFAELNYSYPGEQEKPNFCCPLPLIYTGRCYYGKGSARKIVYPDSADFIKSNHDITRYGTTYTDGMLDVDLMFDFRSDAQFADDMRKYCERQKN >SECCE4Rv1G0230930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:174209075:174211939:-1 gene:SECCE4Rv1G0230930 transcript:SECCE4Rv1G0230930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPPPGSGYPYGAAGGYGAPPPSGQKPPKEGKTDPYHGAPPPQQPYGGGGGGGYGAPPSYGQKPPKEGKTSYSSGSDPYHGAPPPQQPYGGGGGGGYGQQPYGAQPPSSGAPYGGPPAAQPYAGGGGAGGYGSPFAALVPSTFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGFSSQSFSLRTVHLLMYLFTNTNVRKIGPKEFTSVFYSLQNWRGIFERFDRDRSGRIDAPELRDALLDLGYSVSPTVLDLLVSKFDKTGGKNKAVEYDNFIECCLTVKGLTEKFKEKDTAYSGSATFGYEAFMLTVLPFLIA >SECCE1Rv1G0002660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9935232:9936692:-1 gene:SECCE1Rv1G0002660 transcript:SECCE1Rv1G0002660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALVTEIVKRITRTSDLNSLSLVSKQLYKIEGNQRGAIRVGSGLCIATKALTSLCARFPNLRKVEIDYSGWIPGHGKHLDNKGLSVFSSHCSSLIDLTLSFCSCIDDSGLGCLANCKKLVSLRLNSTPQMTSIGIFSVAVGCTSLSALHLIDCEEIDNVEWLEYLGRDGSLEELVVKSCKGINHHDLLKFGPGWMKLQKFEFEGKRGRYDSLIAIGDEVYDSSYDAHGMDIYDFCCESLMDLRLVHIETWPEVGLRLVLGKCKALEKLSLEYVHALNDNDMIVLSRSCSNLKSITLWLKLQRYSSDVSYCESRTSFTDNSLYALALNCCELQMVDLRFTGCSRDWPSEIGFTQEGFLVLIQSCPIRVLVLNNANFFDDKGMKALSSSSHLETLELILCHAVNDAGMRFIAHTPCLSNLTLRACHKVTDVGVAELGRAHKLESLVIEHCGRVSLQAAQGVAKSVHYSSKCSDALKKKLGFSV >SECCE5Rv1G0301600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:32165394:32166875:1 gene:SECCE5Rv1G0301600 transcript:SECCE5Rv1G0301600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKWAGLGSAVASIIFLWSMVQNHIPVTLRLYLTTWATKLVACFSPYLQITVSENSAERFQQSEFFYAIEAYLSEACARRARRLKAELGSDSRNLQVSVDDHEEVTDEFSGVTLWWYASKKQSKGNVISFYPGEDERRFYKVVFHRSHRDLVVDSYLPFVLIKGRAVIVKNRQRRIFTNCGGRWRRYLGNSVWNYVKFEHPATFDTLAMDTDQKEALIDDLIAFKEGKEYYKKVGKAWKRGYLLYGPPGTGKSTMIATMANFLDYDVYDLELTSVKNNTELRKLFIEMTSKSIIVIEDIDCSIDLTGKRRKGKKASSNKDSDNEYEADPTEPQKDEESKVTLSGLLNFIDGLWSASGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCRFEGFKVLAKNYLDITEHKLCGEIRQLLEETDMSPADVAENLMPMSKKKKKDPNMCLAGLIAALKQAKKDAITAAAAAKAKEEEEAEAKKAKEKEEPKEDK >SECCE1Rv1G0037330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:521331737:521332813:-1 gene:SECCE1Rv1G0037330 transcript:SECCE1Rv1G0037330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAASQQHQATTTVAPPSGRKVVDEVSGWLRVMDDGSIDRTWTGPPEALPLMQPVQPYTVPRDGHTLHDLPGEPNLRVYLPEVDAGSVGRLPVIVQLHGGGFCISHPSWVLYHHFYARLACAVPAVVVTAELPLAPEHRLPAQIYTGVDVLRRLRSIAMSDEGSLDDPAAQLLRKAADISRVFLVGDSSGGNLVHLVAARVGEDGADAWAPLRVAGGVPIHPGFVRATRSKSELQSTSDSVFFTLDMLDKFLAMALPKGATKDHSYTCPMGPNAPPLESVPLPPMLVAVAEKDLIRDTNLEYCDALRAAGKEVEVLINRGMSHSFYLNKFAVDMDPTTGERAQELIDAIKSFVARH >SECCE2Rv1G0118180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:779980845:779982284:1 gene:SECCE2Rv1G0118180 transcript:SECCE2Rv1G0118180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLSAAAVDAVRATKNDAPAPQRSIAADATAAHWSGLPQDLLLAIMAALDIPSLVRCGAVCTSWRDAYSTFRLPALKQAPCLLYACDEYGPNYAALYCPSTNATFRVPFPGPPHEKRSFVFSCHGWVFATDEVGNPYLLNPVTGVQAALPPVHTITVRGENFYDDEGKHVLDLECEDENGDPKTGILWARESEYARVAISPAAEVAACTVLIVHLTGRTLLFARPGDKRWTSLPNFENHVNDVLYNDKDGLFYVLHNKSSISSLDLNGPSPSVTKIMRNVTRGSVANMYLVVTPSGQLLQVWRMLDNIDVPLKNRLSYKDIMRFALKGCIDLANENDSDKLTQTAVDDQQPIDVEEEELPDNEVSTTEVLVFKVDTDRQKLIELRDIGDCMIFLGFNAAVCLSTKDATLESNCIYLTDDADSPSYHPMLRKDLGIWNIKNRSMQNLGDAWPIMHAWLDVPAPIWITPSLATGVEKQY >SECCEUnv1G0535790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:60590408:60592650:1 gene:SECCEUnv1G0535790 transcript:SECCEUnv1G0535790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTEVKQSVSVSGKKMFRTSLNNRHANEWPPTDVSSDLAVEVGTSSFALHKFPLVSRSGKIRRLVAEAKDAKLARLTLHATPGGAPAFELAAKFCYGVHVDITVANVAMLRCAARYLQMTDDFSDKNLELRAEAFLRDAVLPSIASSVAVLRTCEALLPAAEDVNLVARLIAAIANNVCKEQLASGLLSRLDQSAQLKPAAGVVELDSPGDWWGKSVAGLGLDLFQRLLSAVKSKGLRQETVTRILINYAQNSLYGLMACADKCGGVTDTDTDTDAVKKQRAVVETIVGLLPAQSKKSPVPMAFLSGLLKTAMALSASNTCKTDLEKRIGMQLDQAILEDILIATGSGEASTAATVQHHTLYDTDVVARIFSVFLNLDEDNEEDGGGFDYDSPRSPKQSCIVKASKLLDSYLAEIALDSNLVPAKFISLAEILPDHARLVTDGIYRAVDIFLKVHPNIKEAERYRMCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQIRLRSAIQSGGGGGHDGALFFGGAASASTVQGSGNNMRSGSGVGSGAMSPRDNYASVRRENRELKLEVSRMRMRLTDLEKDHVSMKRELVRVNPANRLLRSFARSFGRLNTLFRMRPAAEPGLQQLGAKATADAKVLFQRRRRHSIS >SECCE3Rv1G0166510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:187513279:187516771:-1 gene:SECCE3Rv1G0166510 transcript:SECCE3Rv1G0166510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMAFSCCALLLVTLLPLSANASSKLYIVYMGEKKHDDPSVVTASHHDLLTSVLGSKDESLKSIVYSYKHGFSGFAAMLTETQARTLAKFPEVISVKPNTYHKAHTTRSWDFLGLGHNKSPQQADLLRKANYGEDIIIGVIDSGIWPESQSFSDNGYGPVPARWKGICQTGTAFNATSCNRKIIGARWYSDGIDAMHLKGEYMSPRDFNGHGTHVASTIAGGEVQGVSYGGLATGMARGGAPRARLAIYKVLWGPETAGSDAEILAAIDDAIHDGVDVMSLSLGGAAGDEFPGTLHAVLRGISVVFAAGNDGPAPQTVTNAVPWVTTVAASTMDRAFPTLISLGNKEKLVGQSLYYNSTLNSDDFKELVHAGSCTAERLASSNVTGKIVLCYAPWLAPSVLPRVELPLAINRTVGAGAKGLIFAQYTTNLLPTCKGGMPCVVVDHETAQRIESYLAITENPTVKVSPAMTVVGDGVLSPRVASFSSRGPSPLFPGILKPDITAPGVGILAAVRGSYVLFDGTSMACPHVSAVTALLKSVHPDWSPAMIKSAIVTTASVTDHFGVPIEAEAVPRKLADPFDFGGGHMDPDRAANPGLVYDLDAREYNKFLNCTLGSFHGCESYQLNLNLPSIAIPDLKDHVTVQRTVTNVGAVGTTYHAVLEAPAGVVMSVEPSVVTFAKESSPSMTFRVSFTARRRVQGGFTFGSLTWSDGNTYSVRIPIAIRTVIQDFVADTS >SECCE6Rv1G0423820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697562497:697564177:-1 gene:SECCE6Rv1G0423820 transcript:SECCE6Rv1G0423820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGKRADRLSALPDDILVNILDRLNVREAARTSVLSRRWTQLCAKLSRLIISAQHFVPEGVFWMDISDDELVRINAATLQAIESILTRRNPGEHTIRLLSTMFYLRDDVPISIGRALGHAMSAHLVENVKFSVMTGKFDINDLDDDDLVTAGRKFMLFFDACPTAFGGLNTLVLDSLRFDESDITNVLITCNRLKHLRLYNCDSGDGSTLQLEHAHLSELTIAHCSLAQVQLNWLPQLTKMVFDGWVDYQDPLFIGHVPLLEVVSLTNLAVSYSRMVELSEFLSGTSIRDLKLGFNSEKIWVQPECLTQRLASVFRQLRFVNLAHLPQGYDLTWTMFILEAAPLLKELYMTVWDHECLTETDEEKRKEGSYSENKGVEWDSVIAGFQHQSLTTLVIIGFESEDCFVSYIRRVMVAAVNLADVFLYGRLVCGNCEEKPYRYPWTKRQRVSLKRRLIAGIESFAIFHFVGMRASHIAKMKYPQCSLLA >SECCE5Rv1G0318220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:358639996:358642510:-1 gene:SECCE5Rv1G0318220 transcript:SECCE5Rv1G0318220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPQPPRDLAHAAPPAQDLTGLGLGLAAARSLRHRPPRQGSMPSGSVEHQTISGSLIHSMIQFCGAPEYFMFNDPQPVISPYVAAEEPSPNAPVTTLSRATNTETDNPEDWEFISDESLNYISRMLMEEDIDEKVSVYQEESATLRATAKPFYDILGHKFPPSPDRTLTTWSLDSPSESSSSGHAQSLSSVGTSCSVGGAVHSNFGHSEQLEAYRGFCGRSSQPLVVPSSGVSDAAESLEDPLITNGRIPEYLFESLPTWDFRRGVEEAQKFLPGGDKLVIDLEAADVSKPQEAVKDIPFNVSRTEVLKAKKNRQSEDLDLIEGRNIKQSAFCSDEPDDWVEMFDDLFRQTEKKATVLREKMRSEASKNSQVTQTKGTTGVKTRGRKPTKNDVVDLRTILIHCAQAVAADDRRTANELLKQIKQHSKVNGDGSQRLAFCFAQGLEARLAGTGSQQYHRLVAKRTTASDMLKAYHLYFAACPFKRLSHFLSNQTILSMTKNAKKVHIIDFGIYFGLQWPCLIRRLSKREGGPPILRITGIDVPEPGFRPTERIEETGQRLAEYAKKFDVPFEYHGIASKWETIRAEDLKVGKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLEATVPRDDDQRRLIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQDIVIKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAITTWKHKNS >SECCE3Rv1G0183000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:641442449:641444429:1 gene:SECCE3Rv1G0183000 transcript:SECCE3Rv1G0183000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIAIGGEELLVTLAPVAVYWLYSGMYEVLGSSKALAKYRLHSRRDEETKNMASKKDVVMGVLLQQAIQAAISVAVLKLTGSEQDGRIDAKSGGRGQPSTAAASFLDTAARFAVAMVVLDAWQYFMHRVMHSSRYMYRRFHSWHHRVVAPYAFAAQYNHPVDGVLTETLSGAAAFLASGMGPRAAAAFFVFATVKGIDDHCGVLVPWNPLHAVFRDNNTAYHDVHHQLGGGRRNFSQPFFVIWDRLLGTYAGYNVEERHGGGLQVKIIKDHA >SECCE6Rv1G0424640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:701802979:701805155:-1 gene:SECCE6Rv1G0424640 transcript:SECCE6Rv1G0424640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSAFPGFHSHSYDRDYARPLFRVASFSSERGGDEHEHSSPRGRAPSSFKVTAAPSRLSQAMSKLSMKKLQQAVDERSVEDEEMELMKEKYTKLLLGEDMSGGGKGVCTAVAISNAITNLYATVFGTCHRLQSLPPEKRSMWNREMDCLLSICEYIVEFAPTVQARPDGSTHDVMATSPRSDILMNLPALEKLETMLLGILDSFDKAEFWYADQRKQSFSETKKPSSSFKRNEDKWWLPEPCVPESGLSDSLHRDLQHKRDQASQIHKMAMEINNAILSEMQIPSSYIETLPKTGKVGTGDAIYRYMSSGDQFSPDHLLDFLNLSSEHEALEIADRVEAAMYVWRRKASMTHVVTKWENVTELNADGDKNLILASRARSLLLCLKQRFPGLSQTTLDTSKIHYNKDIGQAILESYSRVLESLAYSIVSWIDDVLLADENAKQGNSTRIQKQVFSQVSPQR >SECCE3Rv1G0153150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:52460296:52460820:1 gene:SECCE3Rv1G0153150 transcript:SECCE3Rv1G0153150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVECPGKRKAASFSGEECSPKAKRMVRGGEEEIPAAKKLWRLPREEVEWIVDWSPYVPPAVYRDLKRDNPSLVPSPEEEKDEHTVLLYRSARECYKHVDRFAGFQARVRSEYASRGFVEVDYDCVGPEAVAQRRINQARHEAFRAIVAHLSSQVVVDDDTYEQLKRKMMDGF >SECCE1Rv1G0029300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:412050373:412051122:-1 gene:SECCE1Rv1G0029300 transcript:SECCE1Rv1G0029300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRGLDSDKSASRLYVGNLDFRISESDVIKMFSPYGKIMAEDFLLHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKMNGKLVCGRPVVVHLASEKCFLDSGNSQRAPKDKKLAGGSGSKSAQTDRAAKISAIKNKLKSLENDGCGTKRPRLNPDDLKSTGEQSDKKL >SECCE6Rv1G0429640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737095739:737096188:-1 gene:SECCE6Rv1G0429640 transcript:SECCE6Rv1G0429640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHTALLLVAILFILLTATRATLTKAPVPAPAAKPTAYEMLGRYGFPPGILPQGVHDYELRPDGSFEVHFTDECKLRIDGYNIHYSTRVAGNIKNDTISGLEGIKVKVFIAWISIEDVGRNGNELRLHTRVISKSFSVDDFSSSPKCN >SECCEUnv1G0539810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77407756:77408963:-1 gene:SECCEUnv1G0539810 transcript:SECCEUnv1G0539810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPARSRNVARVLVCLEEVGAEYEVVDMDLKALEHKSPEHLARNPFGQTPAFQDGDQFAISRMDFSVLKYLLNFSNLVFVVFSESCAISRYVLHKYKTDKVDLLREDNLKEAAMVGVWTEVDAHTYNPAISPVVLINPLVLGIPTNQKVVDESLEKMKKVLEVYEAHLSKHKYLAGDFISFADINHFSHTCSFMATPHAVLFDSYPHVKAWWERLMARPSIKKLSASLAPPKA >SECCE6Rv1G0413900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:627112538:627115618:-1 gene:SECCE6Rv1G0413900 transcript:SECCE6Rv1G0413900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAPSDSSSSAGGRRRAAPEAAELRVRRRTLETVLEQCQRALELMRDAEGEDGDPEEDEEGERDPEEERGERRAGGDGEGPPPSPTPEPSEADHETDELCNLLKSRVESPEFLEKLDNIQKSVYQNGAVDETISWDIVSAADIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKETKELTPNQLQEALSKTFSTKKRKGKLQKAWAGTQVIYNVASWSATAIGIYQNPAILKAATTAFWTSCRVVSKFL >SECCE2Rv1G0063940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:679254:681414:-1 gene:SECCE2Rv1G0063940 transcript:SECCE2Rv1G0063940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLFFSFKSVMHSKSAFLLIVVAVSTTAMVHGHPAASTPAARFWEQALPGTPMPEVLADMVQKGIDQSPLVEHYSAQPSIGMCTLINTICDARTVAETGIFFHEAELHPGSTMTLSFPAEAETAFLPHDVAGKVPLENLSDVLSTFHISPGSAEAAQVEDTVRKCQQPPIAGEMKACTMSLESTVKAAMEMLGTTIQQGAGGGDVWAATSTLPRGGLLPRREYIVEEVTKLDGTAYVACHKVPFPYAVFHCHIAPTGYTAYKVTLHGRGDDEGPVVSLLAFCHFDTSRWNPAHPAFQILKAHPGARTSVCHFMSYGNLAFVKKARTA >SECCE4Rv1G0296450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903066735:903070852:-1 gene:SECCE4Rv1G0296450 transcript:SECCE4Rv1G0296450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPTPSPRSLLLLLLLATPFLLLPHAAASVPTSNPDIDLEYLIKNAGLDDATPSTPDDGAPDFPDLDADYEEDEEDLFGDDDGPEDSSQGPSAVDEAHVLLLTAANFTSVLAARRHVMVEFYAPWCGHCRALAPHYAAAAAALAEQGGVDVALAKVDATEDHDLAQAHDVQGYPTLLFFIDGVPRDYSGERTKDAIVAWIGKKLGPAVQNLTTVDEAEKIVTGDDVAVLAFLDHLSGAHSDELAAASRLEDTVSFYQTTSPDVAKLFHIDPEAKRPSVVLLKKEEEKLTVFDGEFRASAIAEFVSANKIPLITALTQETAPAIFDNPIKKQILLFAVAKESSKFLPIFKEVAKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDAKKFFFSGEISLDTIKEFAQDFLEDKLTPSYKSDPVPESNDEDVKVVVGKSLDQIVLDESKDVLLEIYAPWCGHCQSLEPIYNKLGKYLRGIDSLVIAKMDGTNNEHPRAKPDGFPTILFYPAGKKSFEPITFEGDRTVVEMYKFLKKHAAIPFKLKRPDSSAARTDSAEGPASTTEGEKSSGSNPKDEL >SECCE6Rv1G0388260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:131834429:131840895:1 gene:SECCE6Rv1G0388260 transcript:SECCE6Rv1G0388260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRGLAAVSRLRSRMGQDATTLGGVRWLQMQSASDLDLRSQLQEMIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPEEGIRFRGLSIPECQKVLPAAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKELLSRSTVPGYVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMPKTKFWEPTYEDCLNLIARLPQVASYVYRRIFKDGKAIAADNTLDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVMEETGSNITTDQLKEYVWKTLKSGKVVPGYGHGVLRNTDPRYSCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLTEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENHCKKVVA >SECCE4Rv1G0255100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:643740141:643741560:-1 gene:SECCE4Rv1G0255100 transcript:SECCE4Rv1G0255100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGGSGGEHGYGEPATARGGRDEEDPSRSSHPAPLPLPPGLAVTPMASEKEKQKKLKHVRQEDDEVPAASFPGDALMEILSRVPCRPLCRFKCVSKEWLALCSYSHTRKRSPQAMFGFFYKDHGLRFQNLSGKGPPMVDPDLSFLRSSYKYFSVTQCSTSLLLCQCWKVPYPEQLGWNSLPKGKPKQFFEWPEADKFDYVVCNPATQQWTVLPPIELPDHLPLYRPGKYFLGFDAAIPSRFVVFVPLNSSLTYGLSADMIYSSDTGGWTFKERNDFSTYSISYLESTFLNNTMHFPTRYSEIVTVDMERKDWRKIKMPRGMTNEHGDVSIGKSQGRLFAWHIKNQDDYQLSIWVLENYDSGEWTLKCTVSCSKLFGRDRRKNYESYSMFAIHPECNLIFLTDNKEKTLSYDMDNQEVHIICATGDFLEGIPYTPSFVEWTSDGH >SECCE6Rv1G0379550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:14891251:14893805:-1 gene:SECCE6Rv1G0379550 transcript:SECCE6Rv1G0379550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLIPVAFFLLILVFVKSSDTGEPPYSQEEGFGVGAYKVDWNPEDAPSKQKGFGASAYKVDWNPEHPPSQEDGFGTSAYNVDWNPENAPSKEKGFGASAYKVDWNPEHPPSKEKGFETSAYKVDWNPEDAPSKKGFGASAYKVDWNPEHPPSKEGFGTSAYKVDWNPEDAPSTSSIPDTPAKHKHIKVQTGMLFLKRNLHIGTTLPKGTMFERDGAPKSVHFAPTPLESKYLTTILSHFMIPHGSTKAKQVADTLRSCGKLVDKEEPHMCFSSREAMSRFAARELGVSGARAAITRIHGNETPNSMYVVEQITQLNNNVVPCHAMDFPYEVFYCHRPKEVQSLRVQLKGLKDGMPRVTAIAMCHMNTSNWDTQYFELLDGTLGEPICHYMPTNYIMFY >SECCE3Rv1G0180300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:571523641:571528419:1 gene:SECCE3Rv1G0180300 transcript:SECCE3Rv1G0180300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LSD1-type domain containing protein [Source: Projected from Oryza sativa (Os01g0612700)] MLSSPEIGPPPENKPMISPPPFPSAPPPQETPQPTTADPLEPAQSDNAKSTPPPHPGVTVTSPEAYESPVSAPAHIDGKKREAGRPPSLSASPALEATKPEQHASPLPPPVVEAFPEAATTEASPSPSRQIVAASVAETPSPSTPSSPPASTGGALSDGSLADSAAMVSEEAGRLPAALESMDANRPTAPAPPTLPLKSGPEGLLPQQHRRPPWPAVLPGCENFEHSEPPSPRPPQVEAAHGLPDAAQTNAIAVTSEEPGASSAFKSDSEGSLEVAMHMPLPSPTEAEPCSPDMAPPGFEKFKFSWPPLPPPNLSVETAHSLLIPAVPEGVANPPPVLETMDTKAVAARYLPLPSSESGAEEPLRQPLLRSPYPITEADACSPDMPPPGFENYKLSCMPLSNENTYASSDVIATKAVAVTPEDVETDSACNLLPPLESKLEGLLKQPLLRSTTPVAESAPCSPEIAPPGFESFRLSWQPLCTPPSGTAYMPDAAVMPLPVTLEEAAGSPPGLEAIDVDMNAIHPLTVPLESGVEGSLQEPQPRPPPILQDVPSSPDMAPPGFENFKSSQLLLQCPVFSQTAYTLHDSTTAIAEYVSEEAAQLSPALEAIDVKMETSPESGAEGSLPQQLHWRPSPKENSTACSPEMVPSGHENLQPLPYPAFLPQAQTPDVVATGAVIGALDEGHHPAPVLGTIEEGTSPILSPSFESGSFPQLEPQMNSVTAHAVDTPTHVPTTDCVAVNSEGAVLPLPALQAMDTNMDSATTSLPRLENGAEGSLPQLRQQSSSPNVQAAPCSLDVVELLPPPPPPFGNKEVGQMVCGSCRELISYPRGAVHVQCAACRTINLVLEAREIGNVHCGRCEILLMYPFGAPAVKCSSCLFVTEIGKRNVRPRISVEQVTPHQQELANQV >SECCE7Rv1G0508580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:773207559:773208416:1 gene:SECCE7Rv1G0508580 transcript:SECCE7Rv1G0508580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMSPLRRWKRFFGAFDTVDAAIEAADPDMCRDELRRARGDIFEGLCNTADDGKAEKLCGVLDGLMAESLETLRLTPVTPKVLATTDLAKAVRALQKHESERVRVLARGIVSGWRASALDDLAGDNFDVPQPKETVEQQHVGATTTERPSSIEIDQQHASADVGSKKKKKTVEISSKASGLAVGINMAKPKEVTARPHVNVSADPDAKAMEAAKRKLHERYQQASDAKRQRRVQLVDAPEMVKQRRERSLAGCSRKPSPSVATPPGSFTGFSRLGRVELVINL >SECCE5Rv1G0367840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:824618909:824619835:1 gene:SECCE5Rv1G0367840 transcript:SECCE5Rv1G0367840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitinase 11 [Source: Projected from Oryza sativa (Os03g0132900)] MRVFGLLALAGAALLLAAAVPASGSVASIITRDTFYSMLRQGHHGGGGGCEGGAFYTYDAFVEAASTFRGFGTTGDQATRRRELAAFFAQTSHETTGYCWVKQRRPVTGDPYYGRGPMQLTHESNYRRAGEALGLDLVGRPYLVSTDPVVAFRTAIWFWMTPRHGRPSCHGVMTGGWRPSRRDRRAGRLPGYGMTTNIIGGGLACGKRHGTAQGRDRVGYYKRYCRLLRVRLGRNVACINQKPYGHGG >SECCE2Rv1G0139300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:926379285:926379980:1 gene:SECCE2Rv1G0139300 transcript:SECCE2Rv1G0139300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTFALASHFFTGGSVDATAGSLTSPPSISAASSGSMAASWQWPSCAQARTASFDGRSSVEAAAAASSARLDCCRTRVITNPAYCDDDRDDSSFLSAYGSSSAFTAAPEPEPDEAFIREIRTSTRLFFEPEATKSIVTTSKPEADHAAFGGATALAIDSADPYGDFRRSMEEMVLSRGGGRGEDDWGWLEEMLGWYLRANGKKTHGLIVGAFVDLLVGLSAVNSKQSGRC >SECCE1Rv1G0043950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:598133862:598137233:1 gene:SECCE1Rv1G0043950 transcript:SECCE1Rv1G0043950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGEAILSAFMQALFKKVIAVAFGELKLPQDVAEELEKLSSSLSTIQAHVEDAEERQLKDEAARDWLAKLKDVAYEMDDLLDDYAAEALRSKLEGTSNYNRLNKVRSCFCCFWFNTCLFNHKILQDIRKVEEKLDRLVKQRQIIGPNMISTTDRKEIKERPETSSIIDDSSVFGREEDKEIIVKMLLDPQNSNHNKLSILPIVGMGGLGKTTLTQLVYNDTRIKEHFQLRVWLCVSENFDHMKLTRETIESVNSGVSSVTTNMNLLQEDLSRKLKAKRFLLVLDDVWNEDPEKWYTYHRALVTGGKGSRIVVTRRNKNVGIVMGGMDPYYLNQLSDNDCWYLFRSYAFVDGKSIEHPNLVRIGMEIVKKLKGLPLAAKAIGSLLYSKDTEDDWENVLRSEIWELPSDKNNILPALRLSYNHLPPVLKRCFAFCSVFHKDYVFEKDRLVQIWMALGYIQPQQRRRIEETGSSYFDELLSRSFFQYHKGGYVMHDAMHDLAQSVSIHECLRLDDLPNSSNPARSTRHLSFSCENRSKTSFEAFLEFKRARTLLLLSGYKSTTSFIPSDLFLKLRYLHVLDLNRRDITELPDSIGSLKMLRYLNLSGTGITMLPSSIGRLFSLQILKLKNCHELGCLPQSITNLVNLRWLEARTELVTEISRIGNLTCLQQLDEFVVRTYKGYKISELKTMKGIRGHICIKNIESVASAEEASQAFLSEKTFINILDLVWSDNRNLPSEEVNLDKEILEVLQPHHELKELTVKAFAGSSFPNWLSSLSHLQTIHLSDCMKCLILPALGELPQLKYLDIGGFPAIIQINQEFSGTNEVKGFPALKELLFEDMTSLEKWASVTDGEYLPSLTELTVMDCPQVTEFPLLPSTLVKLKISETGFAILPEVHIQNSRFPPSLECLQIHQCPNLKSLKEGLLSQQLLALQQLTITHCLDLKELPVEGFRSLIALNSLHIYDCPRLAPSEHHSLLPSKLEDLRISSCSDLINPLLRELNELPSLTHLVITNCASLHSFPVKLPVTLQKLEILNCSNLIYLPGGLEDAPCLSAITILTCPLIPCLPRRLTKSLKELYIKGCPYLTESCQENSGRDWHKIAYVPIMEIDDDTNIHSQSIRKRLS >SECCE5Rv1G0307460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:112877275:112880068:1 gene:SECCE5Rv1G0307460 transcript:SECCE5Rv1G0307460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWSLLLLLVCLPTLILSEDYSDVTVIVRGSKTIAATSDEFICATVDWWPPEKCNYDQCPWGKASILNMDLTNPLLAKAIQAFSPLRIRVGGSLQDQVVYETTNLGSPCRPFTKASSGLFGFSKGCITMERWDAMNDLFLNTGAVITFGLNALRGRKQIRKGVWGGAWNSSNAREFMEYTVSMNYPIDSWEFGNELSGSGIGASVGAEQYGKDLVELQKIVDQLYENSSKPLVLAPGGFYDKQWFAKLLDVSGPNVVRGMTHHIYNLGAGNDPHVANRILDPQYLSRVSDTFRDLQLTIQRHGPWSAPWVGEAGGAYNSGSRTVSNTFLDSFWYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTDTYVPNPDYYSALLWHRLMGNGVLSIDFGGTSYLRAYAHCGKQKGGIALLLINLSQNMGSMVTVRNDLNVGLAQGQGITRDSSFVHGLKRTVSWVGSKASDGLEKREEYHLTAQDGNPLARTMLLNGVPLELTEDGDIPPMYPVQVSASSPIYVAPLSIAFVVFPDFEADAC >SECCE4Rv1G0296630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903893413:903894340:-1 gene:SECCE4Rv1G0296630 transcript:SECCE4Rv1G0296630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSATMTVRDVLYMYSVARQAYERFMSVCGNPEQARNTVALLVWLDQGTISAIHHVPCIDDGAVAIIAEEANAILECLRYPLPVLPPIPLISTLCMQGGVYIEPGFFAFHQDLVVRGVAHFLDGAGKLVFDDRLNVLLRRSETGLVGNPPELMAPYSPLPVAVPEDCRSIFITFSKTMPLHREEVFDYFREKWGDCVVRVLIEKTTGGNMPTYGRIIFKTEAVVNLVLNGEKLVKISIDHREIWLRKYIPRVTNVDA >SECCE6Rv1G0398170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:414333790:414334152:1 gene:SECCE6Rv1G0398170 transcript:SECCE6Rv1G0398170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPSLPPWGWSTGFITTPLTMGRLPSQHLDPALPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVADLPSFAMSFATAPAALANCPPLPRVISMLCMAVPKGISVEVDSSFLTPLP >SECCE5Rv1G0355190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:730217468:730217935:1 gene:SECCE5Rv1G0355190 transcript:SECCE5Rv1G0355190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRSLPPWLYGEEKERMERYRAERSELLVQGHDPDAIMDRWEEEQERRKRSSGKRVRCVVEKVGGVFYFRKVVEDVEEIRKGCEKETANGDAPAAAGQIGEEKEESAGEAPACAVAAGQTREEKEAANGDAPTGAQVSEMQVAGDVAGGFVSV >SECCE2Rv1G0079970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:125137291:125141733:-1 gene:SECCE2Rv1G0079970 transcript:SECCE2Rv1G0079970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEGAMRMGKYEMGRTLGEGHFGKVRLARHADTGRAFAIKILDRQRILAMKIDEQIKTEIATLKLLKHPNVVRLYEVAASKTKIYMVLEYVNGGELFEKIALKGKLSEKEGRKLFQQLMDAVSYCHERGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQHQRKDGLLHTTCGSPNYIAPEVLLNKGYDGSMSDVWSCGVILYVMLTGNLPFDDENMVVLYQKILKGDYRIPKWLSPGAQDILRKLLDPNPITRLGMDGIRVHDWFNQSYTPAVPFDDDDENYVGDDNSHMTKNNGIQDNPAINQMNAFQLIGMSSCLDLSGFFEKEDVSERKTRFASNYPPTYLFEKIESNVINMGFQVQKNNGKLKVIQERKGSTNPRGHGSLLISAEVFEINESLYVVELKRSCGDCSLYRQLCATLSDDLGICKSQQLLKKDSIRQELYRFNSSF >SECCEUnv1G0539600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:76064423:76065559:1 gene:SECCEUnv1G0539600 transcript:SECCEUnv1G0539600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRQRVLSAAPSPSTSPLLSLHRLLCAVNPSFAVEEYLVSTCGLTRAQALKASAKLSHLKSPAKPDAVLAFLAGLGLSGADVAALVAKDPKFLCAGVETTLAPVVAGLTGLGLSNAETARLVSLAPDRFRGRSIVSKLEYYLSLVGSTENLLRLLKHGSGILGSDLERVVKPNVSLLAECGLGACDIAKLFVRIPRMLCAKPERVLEMVACAESIGVPRGSGMFWQALHTVAYVSVDNIAARVDYLKKTFRWSDIEVGIAVSKGPFLLRRSKDMLKHRSEFLITGLGLQPAYIAHRPAMLTYSLEGRLRPRYYVVRFLKENGLLEHGRSYYTTLIRTEKVFMEKFIRPHKEAAPHLAEDYAAACKGQVPARFRFT >SECCE6Rv1G0379110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:12262525:12265994:1 gene:SECCE6Rv1G0379110 transcript:SECCE6Rv1G0379110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAACSVRLLAAAAHRRGAAAFLHAPRRPVACSLSFPRGHGGVRGRAGLSAISLPSSAQGHAPFSLLPPDSEPFIQWDPPPPQDASAGGAGAGGREEEEGPALVVLLGWLGARQKHLRRYADLYRDRGVGSVRFVVPVRELLGLDLGRRVERRVADLSAEIAAWCDADRSRTLLFHTFSNTGWLAYGAVLENLQSRADIIERIKGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSLTGPSADSPDGSTLNGALNKVTSVSELTKPSWGETFLLSTLQKFFEIVLHLPDVNQRMHKVLAVLSDKQPPCPQFYLYSSADRVIPAECVESFIDMQRSLGLSVSAHNFISSPHVDHYRSFPHLYSAKIDEFLKVCSPVSV >SECCEUnv1G0568580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:428098450:428099603:1 gene:SECCEUnv1G0568580 transcript:SECCEUnv1G0568580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAASIVSKFGQALVEELQEIRGVGDKVIHLRDELASMNAVLSMLAEADEDCVDHIIREWTKQVRELASDAEDCVDIYKLRVRRPLPRPPVDPAAPLNCARRLLGRAKLLPAIVRQQLVNLFLKRSLAADLKAILARMVIISERRARYGMDREALRRSASFAAVSPATLSARALRPSNDPDQFIGLTDQVNTLAEKLRSRLDGDQDIKVFSIVGFGGLGKTTLAMEVCRELEADFPHQAQVSVSQAFDAGKDLQALLKRMLHQMVIKRQPGNKEQDDLAGVDNMDMPKLTTTLQNLLRDKRY >SECCE4Rv1G0255170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:644061868:644066739:-1 gene:SECCE4Rv1G0255170 transcript:SECCE4Rv1G0255170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIFVFLLVCAVHVLESMLDLVKKRGSVSDEQLKLRVEIAQLLKESSALSTPSTFAQAAKLKRLAAAKEKELTKLQQSDIKGKQSLHEKYGKVLMGTKVLVYGLLVLWFWSAPVATVPKHLLQPFGRMFSWRGVDAATGRVVVGIMPWLFLTSRVSKLLSQKLAPIFLH >SECCE7Rv1G0478830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:242013209:242019255:-1 gene:SECCE7Rv1G0478830 transcript:SECCE7Rv1G0478830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGQAGADGPSSSDIRGTANGAVENGHALKANEDKEWRGGNKVEDWPSTHSAPPGLDEHKQQQGRVICWEKFLPVETLRVLLVENDDCTRHVVRALLRKCGYEVISAENGLHAWQHLEDVQNRIDLVLTEVAMPCLSGIGLLSKITSHSICKGIPVIMMSKNDSMSTVFKCLSKGAVDFLVKPIRKNELKTLWQHIWRRCHSSSGSESGIHTQKCSKPKTGDEYKNNSGGSHDDDDDDDDADDDFSVGPNARDGSDNGSGTQSSWTKRAVEIDSPQHVSSDHLADSPDSTCAQVIHPRSEIGSNKWLPTANKRNINNQKESNDDSMGKYLEIGAPRNSNLGYQSSPNEMSVNPTGKQHDNLMPQNMSENKIAIESDGINTLDRPTSQTADLISSIARNTESKQAARITDAPDCSSKMPHGNEMKSDSPTNMPSQELGLEITKTTRCGTEILKRSDLSAFTRYHTPMASDQGGARFRGSCSPQDNSSEAVKTNSTCKMESNSDAAQIKQGSNGSSNNNDMGSSTKNAITKPCADRDRVMPPSLVKSNQQTSAFHPVQHQVSPADAVGKDKAAEEIANAVKVGHSSEAQQSSAQHRHHAHYYRHVMAQQQTLIDRASNARCGSSNASGSPMEGHAANYGVNGSISGSNNGSNTQNRSSPAPNIARPNMESGTMDKIEADGGNGSGSGPSGSGNDIVCQNQLSQREAAVNKFRQKRKERNFGKKVRYQSRKRLAEQRPRVHGQFARQSGQKDEAGQAADR >SECCE6Rv1G0414980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:638419879:638422485:-1 gene:SECCE6Rv1G0414980 transcript:SECCE6Rv1G0414980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSDGDAGGGGGSYPLVAVCIDKDKNSQNALKYATETLVHRGQSLVLVHVNTRGSSGGVEDAAGYKQPTDPQMKDLFLPFRCFCTRKDIQCKDVVLDDHDVAKSLVEFAAHAAIERIVLGANTRSSFVRFKPDVPNSVCKTAPDFTTVYVVNKGGKVTSVRQAIRPAPSVSPLRTMIQGAGGGGARPPEPQQPAAAHAPAPVQKWSAPTPPQATRADSSLSTTLQPPDNFIMSPFSRGPTTSARKAFPDFSQTESSDISFIGAPVQRRSVDRPSYPPRLSTGSDSGYDHNSFEAARPGWGDSFGNESTSSSQTSVSSSLPAEDMEAEMRRLRLELKQTMDMYSTACKEALTAKQKATELQRWKEEEQRSQDGRLTEETALALIEQEKAKARAAIEAAEASQRLAELEAQKRIAAERKALKEGAGGSSARYRRYSIEEIEIGTEHFSDALKVGEGGYGPVYKGQLDHTPVAIKVLRPDAAQGKAQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMAMGSLDDCLFRRGGGGPVLPWQHRFRIAAEIATALLFLHQAKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIITARPPMGLTHHVARALDHGTIADLLDPAVHDWPVDEARRFAEMSLRCCELRRKDRPDLATGVLPELNRLRALGEDNMQFCNPMMGGMGGGGLRTGMASSAYMSNSAMSQSRPDAMSDPFARSQYGGGNTRRPNYN >SECCE5Rv1G0308170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:126204276:126204506:-1 gene:SECCE5Rv1G0308170 transcript:SECCE5Rv1G0308170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCMDDKWKLSKKGSRRSAAVAPAAATGSPVGVKGRASRGSGRSVPGRLASLAKQQRARFYIMRRCVTMLVCWRD >SECCE6Rv1G0397430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:392527389:392533106:-1 gene:SECCE6Rv1G0397430 transcript:SECCE6Rv1G0397430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAHCSWLLRSLLPSLWEAEVAISAVALLAAAVALLLILDETSSATSQPSSTTKSYDRDRRCRTRGSTKGSRAAAEPGCAGDEITLVADSSRSRSGTAYVIKLELVSAKYLIGANLNGTSEPYAVISLGEQKRFSTMVPSQRNPVWGEAFSFLARELPAEVTITVYDWDNVCKRKVIGSVTVAILAEDETGVVWYDLDSRSGQICLRISSKKVPSTSDSFFKQYTGANSQRKMILTRQRLAMTEDSGPLHAIIEFPHDEIVHHSYSCALESSFLRYGRMCISSWHLCFQSHVFSKQLNVIIPLQDIYEIRRSQHSLINPAITIFLHTGAGGHGVSPLCCQNGSVRYKFTSFWNRNRTFRALEIALQSYRATLEAEKQVSAHLLLKGESKNVISSRADNIKTEDRRIGLTITFQPFINEHVLVDITSNTFPGTPEKFFTVILGDDAMFFQQYRNARKDTDLKLSKWHVSDEYGGNVREVTFRSLCHSPLCPSDTAVTELQHASFSNDKRNLIYETKQQAHDVPFGSYFEIHCRWSLRTTSSSTCQVDIKIGVNMKKWCILQSKIKSGATEEYRKEVCKILEAASDYAVKVESNGPNREDIVVTSSA >SECCE5Rv1G0371080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845443270:845445473:-1 gene:SECCE5Rv1G0371080 transcript:SECCE5Rv1G0371080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE50 [Source:Projected from Arabidopsis thaliana (AT4G00231) UniProtKB/TrEMBL;Acc:A0A178V4E4] MWRDEGIEDEETLSAFLEASRTREGRAALSDALADTLHLLPASPAPLLLLRLRLLRNLLAGDALNQGTFVLLSGPAAVVSSLLSLPALSPDLARAALQALGNAALGGDRHREAVWDALFPGPLREFARVRDAGVLDPLCMVLDTCCSGDGGRGRVEELCHEDLGLPVLVELVATASRLGHKEEWLEWLLFKICVEEEKFEALFAALDSTDGDESGNGFSAKHAFLMGTLSKCLTERPEEVSVSSSFALHVFNILKHAAETVDFTCRGSSELPTGCPGIDVLGYSLVLLKDICAWEPSSSETEAPVDSLLQADPSSSGTEAPVDSLLQAGLVKRLLKYLGELEPPSTIRKAMAKELGDHQPAFATAKVCPYNGYRRDLVAVIANCLHGRKQVQDEIRQLNGIMLLLQHCVVDEGNAYLREWGLLAVKNLLDENEENQKEVSELQMQEPILTPEVAELGLRVEIDKKTGHPKLVNSS >SECCE6Rv1G0398890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:427292679:427297982:1 gene:SECCE6Rv1G0398890 transcript:SECCE6Rv1G0398890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSLGKLASRTLSVAGKWQHQQLRRLNIHEYQGAELMGKYGINVPKGAVVGSVQEVKEVLKNVFPSEKEIVVKSQILAGGRGLGTFKSGLKGGVHIVKAEEAEGLAAKMLNQVLVTKQTGPQGKVVGKVYLCEKMSLVNEMYFAITLDRKTAGPLIIACAEGGTSIEDLAEKFPDKIVKVPVDVFKGITDEDAAKVVDGLAPKTADRQSSIEQIKKLYELFCKTDCTMLEINPLAETADKKLVAADAKLNFDDNAAFRQKEIFALRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASVK >SECCE2Rv1G0085670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:195175627:195178013:1 gene:SECCE2Rv1G0085670 transcript:SECCE2Rv1G0085670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGREMEMEMATEVEMSPAAKEAAAAAMFSPYSSPSTAFLLQRRVLSWAKETGSPATVRVRVADRSFILHRDPLASRSRYFSQAMEELSGDVELPDSFPGGSDAFEVIGLFCYGDAVALDPFNVAAVRCAAEFLDVGGLGARCDLYINQVVLQSWDDALIVLQRCQPLLPVAEELLIVSRCVESLAFMACMEILDPEQRRDQPGVDDAGARGLVGRRWDAEVVKELAARDLWIKDLIALPFEFFKRIVQALRRQGMKEKYVSPVVLFYANKWVLSKKTHKFWASTDEAGDGETDANRRATEILQGVVDLLPVEASGAVPVAFYFALLSRSLTLELKEESRTRLRDQVASQLQSASADDLPLAEQDADRSVADSPEVVTMESIVSNHVAMQRQGAEAVAELWDRYIVQIVADPKLRPERLAELIGLIPAGNRKTHDHLYEAINTYLVEHPGLSGEEKASLCGHLECRKLSHEACIQAVQNERMPLRFIVQALFVQQMHTHRAFAERSDSFRYMLSGELIPGVAGAYTPSPGCPVPTSQPLSTTSPYTDAHTNVALDGKLRARGEDDAASDYETASFRIQALEQEIISLKKTLQRHNTLKGGSVRKDSKEPSFRVVAADAAAPVAIRRRAPVSGSCIGSMRWGSQRRCASRILRVFTRLAVFGRSRSRGKQSKCRAAAEQLSCL >SECCE6Rv1G0453410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:882832475:882836228:1 gene:SECCE6Rv1G0453410 transcript:SECCE6Rv1G0453410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDFDDEDQNVPYAYYTPGTLQQDTAQNVWLVPHTATNRVPLQKNKQQVLLELIGASSTGERFGLDLVAVLDVSYSMDYENRIDKMKTAMQFVIKKLSPNDRLSIVTFCGNAKRLCHLRSVTQASQVHLKHLIDSLRTSSATNIQAGIETGLEVLNDRRIAGGRVASIFLLSDGDQNQGDATIVDVSDVAVYTFGFGADSNHKVLGDIAMKSKGGTFNFVEDGESMSEPFSQILGGLLSVVVQDLKLTVSPSPKPGDSTIEKVNAGLYPQTKDTNTGSVTVSFGDLFAAEVRNIMIDVLLPEVHRSCNVTVIIASCTYSINGKPFVSREFTVTIRRTGSADPSSPISEDVITEHVRQIYIENLRQAITLADTVGLQGANNKLVEARNDLQLGQSNSMIDILRAQLDKLLELINSGTMGLKALRASLLSMVMLHGRQRVAETGHVKGDKLYVTQFTDMSRKQAGDHEKDPTKVPPSASQDIEQAKIVRDQQGQGIKRPPTAGEARNWRTWWGNGESHHEGSRWAWTMVILCTVVAIAVIVIGVTLLAVYLLNMPKMPHLVVSDAQLGALQYAQQDGTIQYLQLPITILAENNNSKADATFSRVDLALQFHGVDVALLRTPAPVVVAAESSLPLQYNVVSTGRTLNPAGMRSMDESLSAGVVPFDLRGKARTRWKVGIFLKVHFWTRISCRLHFFFPGNSTVMPTDLHRCRSRSP >SECCE2Rv1G0127460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:853053268:853053555:-1 gene:SECCE2Rv1G0127460 transcript:SECCE2Rv1G0127460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFIKHFVAVPTEFKLRNNIGCSWRVTAKLMNGRVTPDQGWATYAAVHQIKIGNMVTFKLLTPDTLKVIIFDDDGIEVVDKCGKHDEAFAARD >SECCEUnv1G0554150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:283062832:283066911:1 gene:SECCEUnv1G0554150 transcript:SECCEUnv1G0554150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTGAIANLIPKLGDLLVGEYKLHKGVKKNIEDLLKELNTMNAALIKIGEVPPDQLDSQDKLWADEVRELSYVIEDVVDKFLVRVHGIEPDDSTNGFKGLMKRTTKLLKKVVDKHGIAHAIKDIKKELQEVAARRDRNKFDGIASTPTEAIDPRLRALYIEAAELVGIYGKRDQELMSLLSLEGDDASTKKLKKVSIVGFGGLGKTTLARAVYEKIKGDFGCHAFVPVGQNPDIKKVFRDILIDLRKSNSDPGNSNSDLVILDATQLIDKLREFPENKRYLVIIDDIWDENLWRYINLAFSINNNLGSRLITTTRDFDVSKSCCSSADDSIYHMKPLCTDDSRRLFYKRVFADESGCPNEFGQVSKDILKKCGGVPLAIITIASALASGQEVKPKSEWDILLQSLGCGLTKDNSLVEMRRILSFSYYNLPPHLKTCLLYLCIYPEDSKIYRDKVIWKWVAEGFVHHGDQGTSLFLVGLNYFNQLINRSMIQPIYDCLGRVYACRVHDMVLDLICNLSHEAKFVNVWDGTGDSMSLQGNVRRLSLQDRNKDHQGTPLRNFTSISRVRSITIFTSAISIMPALSSFEVLRVLDLSDCNLGKSSSLQLNLKGVGHLIHLRYLGLAGTGINKLSAEIGNLQFLEVLDLGSNSGLYELTPTVFKLRRLIYLNVFNYKLDPTPGLLQNLTFIEVLKGILVSLNIIAEELGNLARLRQLQICFKDGSLDLYEGFVKSLGNLHQIESLCIECNSRETSSFELMDLLGERWVPPVNLREFVSYMPIQISALRGWIKRDPSHLSNLSELILWPVKEVQQQDVEIIGRLLSLRHLWIRSIHQTERLLVIRADGFRCMVVFELNCRSSAQILFEPGALPRAEDVRFSLGVRVAKEDGNCGFDLGLQGNLLSLLREVQVYLYCDGARVGEAKAAAATVRHALDAHPNHPKIGIFTSLKIAKDAHDDDLCEDKMKDIYFPLKD >SECCE5Rv1G0325090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:460914564:460915622:-1 gene:SECCE5Rv1G0325090 transcript:SECCE5Rv1G0325090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKGKVDGDGASRQRTISWDDEQTKFMLNWYIDYRKEQHAGFIFKKQHHMKCADALNKEFAMGVTLDQVDRHYRHYKENWKIVETALNKSGNGFDKIKCKVTISESEKEQLSDRARRLLSKPIKFFHEMQELFSGMNADGSLAMDPETGLDDGSDPSDSESEELNDMSMYPQSIDLEGNDSDTIPAPPSSKVAASGGIGSSKKHQAGKKRPRDVKSPTKKMMKQPKSRFVDATEHITATMKAIQQTLANPPPAPQLPQLIDPSVALWQRIEAINISAEDKILIGEYLASPTNEGMRSFLSYSSDKTLETWVYKFICKHEDRLQ >SECCE6Rv1G0386400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:95741669:95763827:1 gene:SECCE6Rv1G0386400 transcript:SECCE6Rv1G0386400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATSTPDAPVAEGDAEAPPVPTYRSLAAPVSNPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIKNIVRANNRIEARSDPGIYLEYKNIYIGEPSVQVDFRVETITPHFCRLTDRTYSAPVIVDVEYTVGKTHARHRKPNFTIGYMPIMLRSYACVLNGKDEAELARYGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDNKGRVTASVTSSTHEVKSKTVIVMDKEKIYLQLNQFTKPIPIIVVMKAMGIETDQEVVQMVGRDPRYGDLLYLSIQECATERIYTQQQALQYMDDKVTYAGAGNIKDGRSKLILRDVFVAHVPVNNGNFQPKCIYTAVMLRRMLDAILNSDTFDDKDYVGNKRLELSGQLVSLLFEDLFKTMNTYAVDRMNKNSDMARSSPLDFSQLIMQQDVITSGLERAISTGNWDIKRFKMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALMTHVTTDQEEGPLRNLCFSLGVEDLSLLSGEEIHAPGSFLVMFNGLILGKHRQPQRFANNMRTFRRSGKIGEFVSVFVNEKQHCIHIASDGGRVCRPLIIADKGRSRVKEHHMKELRDGIRSFDDFLRDGLIEYLDVNEENNALIALYEHVDQDGVQRSSITHIEIEPMTILGVVAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTSEKYGDGISDRIAKPQRDKDGVLIKQNMRALDEDGFVAPGQIIRNHDIYVNKQTPKVTNRTPGTALTDRDYRDSPAVYKGVDGETTVVDRVMLCSDTNDKLTIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSEKGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGRFHYGSIFGEPSGNADKVEDISHTLVKHGFSYSGKDFLYSGILGHPLQAYVFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLLSSDPYQVQVCRKCGLLGYYNYKLKTSFCSMCKNGENMAKMRMPYACKLLFQELQSMNVVPRLTLTEG >SECCE7Rv1G0483380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:321964553:321968615:1 gene:SECCE7Rv1G0483380 transcript:SECCE7Rv1G0483380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWFCCAHVDTPYEENGNEFPSSPQRTNGNGFTQIGDQGKAPPSIEVPELSFDELKEKTDDFGSKALVGEGSYGRVYYAVLENGTHVAVKKLDTSADPEPDNEFIAQVSVISRLKHEHFVDMLGYCLEGDQRLLAYEFATMGSLHDILHGRKGVAGAQPGPALDWMQRISIAVDAAKGLEYLHEKVQPSVVHRDIRSSNVLLFEDYKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLGEDKVKQCVDPRLNGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLINKPQQQPGAPDTPSDT >SECCE2Rv1G0132440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:891936871:891939642:1 gene:SECCE2Rv1G0132440 transcript:SECCE2Rv1G0132440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAATAILTSSPLARRPRAPRCGRRTVRPRACAFHFHPEVARAVESLQAEFREVDRALARNSSRVSAAFRAARVAPHHFGGSTGYGHDDAGGREALDSVFAHVVGAEAAIVRPQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVTYREVPLAADGGLDWDALACAVRPETGCALIQRSCGYSWRKSLGVADIRRAIDLIKLQNPNCKVMVDNCYGEFVETSEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSAPGHVMRAMFQGLFLAPQMVGEAVKGGLLIAEVMSAKGYRVQPLPRAPRHDIVQAVELGNRERLIAFCEVVQQTCPVGSFIKPTAGETPGYASEVIFADGTFMDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLGEVLKVI >SECCE6Rv1G0438390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:789186789:789187322:1 gene:SECCE6Rv1G0438390 transcript:SECCE6Rv1G0438390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASTGQRDNLKGDKTVTRAIQLVMTMSGTSSAMTIGDRNKKFKVSRAMAWIVVVCIPSTFIAITAGSAYRMFNNPMRAAGFPWRLPVVLLLGGYLAVVNLALGYLTLFLPQTPFAVWQALDNVGLRVIGLVAILTCAPVLLTDQAWLHVTWACLLAVLIAAILALCVCLVRTYG >SECCE2Rv1G0120470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:799155296:799156686:1 gene:SECCE2Rv1G0120470 transcript:SECCE2Rv1G0120470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTVSDLLSDLAVEGGDDHLDGGGDASVPSSPLTAQQVEEADPSELQRLFAEDYDNLMKSLQENDPSWPSLMLKLCRALKTSDKLLSCANVKAEQLLEKVEKLGHVLERGDRAVGSIIEILQSMQLTGDHQISKSNPPSK >SECCEUnv1G0544280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:126530607:126535736:-1 gene:SECCEUnv1G0544280 transcript:SECCEUnv1G0544280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETEMPPPAAGLDSAVREPNHTEASSPSPAAGGGANGAATKVQKVYRSYRTRRKLADSAVVVEELWWQALDFARLNHSTVSFYDGPEPETAASRWSRVSLNASKVGQGLSKDAKALKLAFQHWIEAIDPRHRYGHNLHFYYDFWSQTQAGQPFFYWLDIGEGKDVELPECSRALLKKQCIRYLGPQEREYYEYIIKDGKIINKISRGSLDTSQGPKGTKWIFVMSTEKKLYAGQKERGVFQHSSFLAGGATIAAGRFAAENGVIKSIWAYSGHYKPSAENLNNFMSFLEENGVDLKEVEVRSSTKEDYYEDPVPNITENPAAAAMMASNTPQLILPSNMVEEDKASGPSPQTEADEDNNVRVEQARPAYQRTLSGGLQSPRDAVVSQNAILERVNSKSKSKSYQLGHRLSLKWSTGNGPRIGCVKDYPIELRMQALEMVRLSPRASTPPASWRVPSCLSPTLPTPPLVPLQASLPQPS >SECCE3Rv1G0187660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:703096017:703096250:-1 gene:SECCE3Rv1G0187660 transcript:SECCE3Rv1G0187660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHCQLDGRRTGP >SECCE6Rv1G0437730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786011632:786013239:1 gene:SECCE6Rv1G0437730 transcript:SECCE6Rv1G0437730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGGGGGGRLMLRVFIVCAGALMAAAAEGGHGGGDASVVVVVGMAQCAGCGRKSLGAEAAFRGLKVAIMCKKGNGSEYESMAVAGLDGTGAFAVPLPADAGASECLAQLQSAASGAPCPGQEPSRIVPMLSEGEGTFVAVAGKATRGGTSAAPECAAANICFPCHRFGRKPFFAHRRMKPMPVYAPPVYGTPMPACLCTPTPAPGCQCPSTTPVYGTPVPEYFPPPAPEYGTPTPDCPPEAPEYGTPTPVYDPPVYGTPTPVYAPPVYGTPTPVYAPAPPVYATPTPVYAPPVYGTPTPVYAPPTAPVYAPPTPVYAPPTAPVYGTPTPVYAPPTAPVYGTPTPVYAPPTAPVYGTPTPVYAPPTAPVYGTPRCLCTPNPEPGCQCPTPTPTYGTPSPSCLCTPTPEPGCQCPTPTPTPVYVAPPTPELPPPTPVYVAPPTPELPPPTPVYAPPTAPVYGTPSPRCLCTPTPAPGCQCPAPTPTPVYGTPAPTTTNPPAAPVYPRPAPEYGPPPAPECPPEYGTPIYTPPSHH >SECCE2Rv1G0117690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:775122878:775131332:1 gene:SECCE2Rv1G0117690 transcript:SECCE2Rv1G0117690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSPGRSSCLRHGSVLSLLMLLLLSALLAAAQAQAPPPPPPPPTDPTEAAAVNAILGKLGLSAPASWNISGNPCSGAATDDTPLDENPNFNPAIKCDCTDQNGTLCHVTRLKINSLDAAGPIPEELRNLTHLIKLDFRKNSLTGPLPAFIGELTALKYITVGTNALSGPVPKELWNLTDLVSLALGSNHFNGSLPDELGKLTKLQQLYIDSNDFTGPLPAALSQLTNLSTLWASDNSFTGQIPDFLGSLTNMTQLRLQGNSFQGPIPRSLSNLIKLTSLRIGDIVNGSSSMAFVGNMTSLGELVLRNSKISDTLASVDFSKFVNLTFLDLSFNNITGQMPRSIFDLPMLSYLFLGNNSLSGSLPATKSPLLANLDFSYNHLSGSFPSWVTQKNLQLNLVANDFVIDSSNNSVLPFGLNCLQRNTPCSLGSPQSSSLAVDCGGSRPISGSDNAMYQADNANLGAASYYVGGAPIWGVSSSGRFMDPPNGSYIIYSSRQFENTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEYDSPDPQAWKSRARRVFDIYLQGERREQNFDIRKAAGGKFFVVVKKQYVVHVVKNFLEIHLFWAGKGTCCIPTQGYYGPAISALSATPNFIPTVHYSVDNKSSSKTGVIVGVVIGVAVCLLAALAGVFVWRQKRRKLLLELEELYTIVGRPNVFSYSELRSATENFDSSNLLGEGGYGSVYKGKLSDGRVVAVKQLSESSNQGKVQFATEIETISRVQHRNLVKLYGCCLESKTPLLVYECLENGSLDNALFGKGSLNLDWPRRFEICLGIARGIAYLHEESSVRIVHRDIKASNVLLDADLNPKISDFGLAKLYDGKKTHVSTKVAGTFGYLAPEYAMRGHMTEKIDVFAFGVVALEIVAGESNHHTTLEEETTYIFEKVWDLYENGNPLDFLDPKLSKFNSEEVLRVIRVALICTQGSPHRRPSMSRVVSMLTGDADMAGEEVIKPSYITEWQVKAGSSSSYTGTSSQAGLSSSSTTQQSSGGGHAAGGDATPATGNPSPMFTSIIDEGR >SECCE5Rv1G0357130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:743955432:743958375:1 gene:SECCE5Rv1G0357130 transcript:SECCE5Rv1G0357130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSRALGSAFVGFTRTPAVPTTTPLPLPSSCASSALLLRWQRSRGVGVVGGGCARRYSSGRNAKISMSLRAGIVGLPNVGKSTLFNAIVENGKAQAANFPFCTINPNTGVVAIPDPRLNVLSKLSKSKQTVPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVNGKVDPKSDIDVINLELIFCDLEQIEKRLDKLKKSKTKDPQVKVKEGAERSGLEKILNALMDGKPARSVDLPDHEKEAIQHLYLLTMKPVIYVANVTEPDLADPDKNPHVQEVAKLASELQSGMVTISAQVEAELSELPLEERVEYLNSLDVAESGLGNLVKATYNLLGLRTYFTTGEQETKAWTIIAGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVAAGSLGAAREKGVMRLEGKEYIVQEGDVMLFRFNV >SECCE5Rv1G0333240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:555364578:555365506:1 gene:SECCE5Rv1G0333240 transcript:SECCE5Rv1G0333240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVLAVTATVLMAQPGRLAFAARASPAEAFWRAALPDAPMPDAILELLHHGDHHASTEQGAYMNAETDTPEGAVEAVEDKDPPPPMNFNYDYDDSALPRSEATSAPSPDVLLNRAGVVRNVATPSSAVFFLEDAVRVGESLPFHRIHRATSAAEASAEQPLELYTVRSVRAVEGSSFVLCRGEAGEEAVYGCRATGPARAYVLALAGERGDAAMTAVAVCRTDASRWDPEHAAFRLLGVKPGGAAVCHAVQDAQILPAMNGKSPAAN >SECCE7Rv1G0462140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:42785338:42787350:1 gene:SECCE7Rv1G0462140 transcript:SECCE7Rv1G0462140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRSASRLLGGAAASFALAPASSDSPRFELSPLPVPAPATDKSNESSAGLAAPEASSCGEPCCELNQSPWDLMEQLDLSDPEVEQHFEKTYFRTTRRASWLFPSIMNMHNDSIKEKTTLDMVDGAINMAMKTMEGKKNESKSKKNKGVKVKKGVRTCQKRDGKKPQMKRPVVDMGEVFYYYDGFGPSRSSKRHCRSSGGSSVPEEPPLPIEQRKDEVENPRLTGTNAIIVSCDEESSDADTVGCNGKQLRDIMMMKSPFKKRWRKPVKARSLKSLIGFD >SECCE5Rv1G0344310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644737944:644739613:-1 gene:SECCE5Rv1G0344310 transcript:SECCE5Rv1G0344310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKLLLLLVMGGALSLTFFSGSEAGEVGVCYGMMGDNLPQPPAVVQLLKQHGITMVRLYNADAGGLRALANTGIKVGVSLPNDKIAEAASSMSYAVWWVQSNVQAYPGTWIDSVAVGNEVFHQAPWLTHQLLPAMKNIQAALAGAGLGDAVKVVTPIALDALKVPSFPPSVGEFRDDLAWSVMRPMVDFLEQTGSYLTFNIYPYFAYKYDSHVDPDFAFFRPNSGQYDPGTDLTYFNLFDAMVDAVFHAVEKLGNSGGEHTAGHGGRNTTTRRRRVVSLMKVPESGSPAGKGKTGVASSNSNSKLDGSASTENAQAYNSKLISKVLSGDGTPYNPDADISVYIFSLFNENLKPGDDDERNFGLFYPNGTQVYDVDFTRPDPEGPSWCVANAVVGDRRLQEALDYACGHGADCGGIQPGGWCFEPNTRVAHASYAFNDYYQRNGRSVQSCDFGGCGTVVRRQPSFGNCVLPQWRNGLKEVGLAQE >SECCE4Rv1G0228240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:132840474:132848792:1 gene:SECCE4Rv1G0228240 transcript:SECCE4Rv1G0228240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLAADYFSPPSAAACSDQALALASLRFPPLPVPSLPPDPHFPLPLPFPAAADLPAVSISGDDLDSLPISSALSEFLAAVIPQALPAPANPAADEGLDDFLYDRGGYRKDFSLRESVAFKIPDGLDEISREKDGKGDGSRSDRLGTSTDTKRWELLKEHVFEVVEVDLPQILEGHVASFGGDESGDGVTLLFRVPDAKIHLDFIDIDTEMTLSYPTELADSIYQVEKIPVKHNDEEHLSATNINFLEIAALDCGVTIPLLEVHRHSWELNGCPTKAEISNIFHNLVEHLGEAQVQHPALNSTAFSRSTDMDMLAFVSKDAACADYQAAKPITVKAAVEMDLVRINDNLLLERNSALYPLKPDGTFSDLPCSVLLEEAQIIDFPAEDVFKMLVQSDAAELNTSDEIFKDDFDPARRFYESVVSSELVLVDDTFRSLPTPILSDDMTLRSMVPSMGEVLCSLKTYSLSAADRIYLDWHLLLEGPCNREICSTYAIMVEEVKSCQFNSEMQVNCQQTSALGFDFLEYFWRSAKHQDEDKQNNIYVPTPLPHDPPPAVETTQKYRQESDTGGHGHMEKPSSGKAASLFKSMSQSSDINFYLNVRSGTKRGTNDENISTLDIPTLNEQAASFPSRPKVDKLIEIHPVSLSDSIRALIKHVHRSYTTALQESAYLRHTFTDGHLSISKQKLLGLITGDGSDGFDNHCKHEDKMELIVLYGLKQVAYYLCFFGLHAGHLYISNLIESFENIPERLRNIHSFIGEALWKAEKHQIDSHPSLHDIEMILRSNTHTNQQILIVADTAFWLPLGQKLTSMKMTFVELGKDPAAAYLDLVDKPNPATWVLRGLPKSDCILLDNKNIPASFPFSEFGIVLEYGGPDKLSTLLSLGPNLDGFPQLHFLYVKVDVEDPSVALVEDNPTDQELRATLDTVLHALQKDLQEKMNKMRIVDSLNFIPATNQLQGRQENLCKYSTADSTKNLPPDDQLLKQENLEKEFVDAHNFVPTAEQRHREELLSKRTILHSQHFVPAVEKSSSTSSVSANVIKAPQDNLSGTNFPSGVKVGRLTPGRLSTPVIVVNTGSHRKNMLFSRRSSYQQILSLEKGGMQVVERDVDLPVDLILSTAACLVWFETKIFGSNEFTASAETSSIANFAEIIATNILMSISFCFCGCIMVFEGEPHSLSAVMESSDSLYAAAASLMMNVQIFFSCTPKSTDEIVLSCIRNVNMLSKAPSPDIPESESLAESFLTKFPSINPLSAYSMLSCGGSLVEFLSWSHERRIQAVEKYLLSPQSISLFNALCKFGELGESRSVMTEGSSVDSDICSALLQSPSKRKRCASQVFAVPTSDSLHLDPLNQLPGDYVEHNNVFSQPKLRRFSDAEDATPQLPEVFMFDQSLSRGGEGVSCLPRKHDIDAIISNQIMGDHISNGFTADTRNYNRRRANNMVDTYDFSCQPESGGKEPIKSSFPASEPSFSRTYSHPVFPSALEINDDTGYWDISGGAHDTWKGHVHGGIASTSCRKDVGSRYHEPTEEIMHKGHVHGDIASTSCRNDVGSRYHDPREEIMHNPGSSLAFLKHDSGFHATPHGSSWEIDYLRQMNEKRRAREERSRCNTSAMMSNSRMRDGASKITNPPLIGSFRYRGDGDTPSRNQSPSVGTRHYEKAREGTKAHTHRARKDFKMQPSVSHENRIEPSIYPSWTPVDKRARQKLSFATYGKEKQSKLVWRDPNSSGAECGFRKRYREEGT >SECCE4Rv1G0246310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:543175675:543177048:-1 gene:SECCE4Rv1G0246310 transcript:SECCE4Rv1G0246310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSTIAGISVGGRPSGSSGGPCGACKFLRRKCVDDCIFAPYFDSDQGVEHFTAVHKVFGASNVSKILNQAPPHKRLDAAITICYEAKARLRDPVYGCVGDILGLQQRVANLQAEVAFLQAHLTTLQQPSLPHIPAPPYMPMSTEFSISELASLSSVPDTIELSSLFDPSMQWASQQQHQQPYGQTGEGSGGRGNANSNGDDLQALARELLDRCSTGSTPEQPSHTQF >SECCE5Rv1G0375610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868949255:868950550:-1 gene:SECCE5Rv1G0375610 transcript:SECCE5Rv1G0375610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELLAAAPPAKRPSISSTSTTTHVTAADGTTTISSLGQDQLLDIFLRLPNLPALVRAALTCRPWLGAVRSSPSFRRIFRSLHPAPLIGLFIDIDGAAAPSFVPLRRSDPDVIAAVRRGDFLLTSLPVNDDEDTSWCITDCRHGYVLLWNKIVWKNPTIAAVNPMTWAVDIIPVPRDVWSGRSGRRRNFAFLGFHLLSSEENPRSFRVVCVCSDKQRVRVAVFSPETRDWAVHPWVHVGGDNSLKSSAGTLVSGSVYWPFHGEGRMIRINTATMETSFLDLPWQVIVKGCNFKAGETKDGQLCIVYASDDFLLHAWIRSVDGDGLEVWVLQNIISLSEEIDEIIEGCVLDLPVDLNVVQVRSGYVYLSAKCMTHAGTLRCWFISLSLETMEIELLVDGCFGGRACPYVMAWPPCLVGDDGSIGHEVEGSH >SECCE3Rv1G0180870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:595818844:595819931:-1 gene:SECCE3Rv1G0180870 transcript:SECCE3Rv1G0180870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATDKKGKPDLRKKVMPAVIVRQRKPWRRKDGLFMYFEGTAKFL >SECCE4Rv1G0284990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841633890:841636999:-1 gene:SECCE4Rv1G0284990 transcript:SECCE4Rv1G0284990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAAEGGETLTRRDSLYGDAEKVSGDRRHASGASWRQTLLLAFQSIGVVYGDLGTSPLYTYSGTFPNGIRHPDDLLGVLSLILYTLILLPLLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDASVSNYSIQDPSSQTRRAQWVKQRLESSKAAKIALFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQPQVVWISVAVLFLLFSVQRFGTDKVGYSFAPIISVWFVLIASIGVYNLAAHDATVLRALNPMYIVYYFQRNGKEAWLSLGGVVLCTTGTEAMFADLGHFNIRAIQLSFSFIIFPSVALCYMGQASYLHKFPQDVADTFYKSIPAAMFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSKKYAGQVYIPEINFLIGAASIIVTLAFQTTTNIGNAYGICVVMVFSITTHLMTVVMLLVWKKNIAFIAAFYVIFGTTELLYLSSILSKFAEGGYLPFCFSLVLMSLMATWHYVHVRRYWYELDRVVPAADLTALLDRPDVRRVPGVGLLYSELVQGIPPVFPRLVEKMPSVHSVFVFMSIKNLPIPRVPAPERFIFRRVGPAEHRMFRCVARYGYTDQIEGAKEFSTYLLDGLKLFIYEEAAFSCQHTENDDGSALRAAKAAAEEEKRFIDAELEHGVVYLTGEADVVAAPGSSVMKRIVVNYVYTFLRRNLSESHKALAIPKDQLLKVGITYEI >SECCE1Rv1G0052860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:665080113:665082348:1 gene:SECCE1Rv1G0052860 transcript:SECCE1Rv1G0052860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLTRFEWAVNIQRDSYASYVGHYPMLAYFSIAENESIGRERYEFMQKMLLPCGLPPERDED >SECCE1Rv1G0032620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:458197463:458199912:-1 gene:SECCE1Rv1G0032620 transcript:SECCE1Rv1G0032620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLTSPASLSSSPLRLASRLGGAALRPTPHHRRFLAPTQTAAPGATTSGGFAAMSWLGKLGLGGGGSPRASEASAALAQGPDEDKPAPGNEFAQFGAGCFWGVELVFQRVPGVTRTEVGYSQGAFHDPTYEDVCTGATGHNEVVRVQYDPAACKYDDLLDTFWARHDPTTPNRQGGDVGTQYRSGIYYYTSEQEKAALESLEKQQKVQNRKIVTEILPAKRFYRAEEYHQQYLAKGGRFGFKQSTEKGCNDPIRCYG >SECCE6Rv1G0404660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:522542405:522546285:-1 gene:SECCE6Rv1G0404660 transcript:SECCE6Rv1G0404660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRVGGRIAAARRALTGAGALPLPVRITNGLAMISLVLSSCDLLRLCSDPGRPLRFPLGGREFATVVCQLASVVYLLSLFAVPFAHSASRRRREEVQDGSRRSPAAVAPAPLPDGPDDGDEEIVAAVVSGALPSHRLESRLRDSRRAARLRREALRRITGRGLEGLPFDGMDYEAILGQCCEMPVGYVQLPVGVAGPLLLDGRDYHVPMATTEGCLVASVNRGCRAIAASGGAVSVLLRDAMSRAPAVKLPSAKRAAELKMFVEATANFEALAAVFNKSSRFGKLQGIQCALAGRNLYMRFTCSTGDAMGMNMVSKGVENVLGYLRNNFPDMDVISISGNYCSDKKPTAVNWIEGRGKSVVCEATINGIVVQSVLKTTVEKLVELNIIKNLAGSAVAGALGGFNAHASNIVTALFIATGQDPAQNVESSQCITMLEAVNEGKDLHISVTMPSIEVGTIGGGTSLASQAACLNLLGAKGPNHGSPGANARLLATIVAGSVLAGELSLLAALAAGQLVKSHMKYNRSSKDVANAAS >SECCE1Rv1G0008090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:44433820:44439029:-1 gene:SECCE1Rv1G0008090 transcript:SECCE1Rv1G0008090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTRQSKWLDSNLQEMEDRVKCILLLLGEEADSFAKRAEMYYKRRPEVISSVEEAYRAYRALAERYDHMSGELHKANHTVATAFPDQVQYSMLEEDDDSLPKAFTTVDPRKIHKSTVEGLMNKKKGRKSGQKDGGKNCAAPVNKENAQKEISRMQKEILVLQTEKEFIKSSYESGIAKYWGLEKQINDMHEEVCYFQEEFNESAVIEDDEARALMTATAIKSCEDAIARLREQQRLSFSQAMVELERASVSREKLKNIMKEHGKFISDSGSSHYENVRNDASVKMDDAYYMKQEKIGMETIVDKIKEYFLKDTDISVAEIAERIDDIVNKVVDLELMVSSQTAKIDRLCLENTELEMSLQELEGEKAIVTSGSNELNDKLEKAEDELMRVQYLESSFHAEESIVHSNFTETASSFCCITDLLQSPHVEHQAGSAPRLTHEATPSADTASSGEGEKTEPEEGHQMDKAAIKPDIDGLPDCSSKLELATVSDNCHHDIKEETQYHADDLADFWDCGIKSSFAVASVNKETAETTENVITDMLHSPLTEHQAGYVPTLTDEATPCADTEPSGECEKIKPQEDSQMDKATRKPDIDGLPDYSSKLELATVSDNSHQSNCYDDIKAERHDCTDGLEDLWDCGFERNSSFAVASVNKETAENAYNDTTDMLQSPLTEHQVGYVPTMTDEATPPADAESSGECEKIKPEEDNQMDNDTQKLEIDGFLDWCGKSEIAIIGADSENLWQFELDGKSSSAAASVDNGTTENAGNSTSGEHNNTEVKYNHGAIGSNMQPYVVHSYEQGSVDRLHQSSPEVPWDHDLKQVDGKQDSLTVVHSTFGGHSEQDMNIEEQLEDSHFTENFTPGNGRAVGVGDQENNMANMQQLLMSELQDKKMVLLTECKEKESEEDPQMDKATGKPEIYGFPDCPSNSELANSNDNRQPSSSYHEIMAEKHDSAGDSEDLWYCGLERKSSFAAATVNKEKAENADNHAFGEHNNKEVKYAHEIVGSSMQPYVVHSHEQGLLDRLHHTSFEVPGDHHVKSVGGKQDSSTSGHSISKGHSEQEMKKAEESEDTHTTENSTPGSGKAVVGDQEENMANLQKLLMSGLQDKEKLLLTEYTSILRNYKNAKRRLTEMEIKNQECLDEMKAMMSELRSANELKDKEIRSLRELLNSSTDKDAQHNSHQMNTYTSLSIKSGSFRGHRRIPSFLPGHQRRQSASSSSRIIMKNSSPKNKDDASHDAVFEPERIGMGDIRLTNILEMQNASPLEDKFRGDIDGLLEENLEFWMKFSTSFEKILELQTKHDRLQSEIGKRTNEDKLKENSSSTSDPLAKAEPETIEKRLRELKIEMQVWLEQNAMFKGELQCRFASLCGIQDEIQAAMEMDAETVEGIQFTSYHAAKFQGEILSMKQENDKVADELQAGLDYIRGLQEEVEKILAKILKSSSLSGSKGSSPWRNAPSKSRVPLRSFLFPAKKKKPSLLACMNPALQKQYSDMAFFAKVE >SECCE7Rv1G0491060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:516944041:516947801:-1 gene:SECCE7Rv1G0491060 transcript:SECCE7Rv1G0491060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWAVSPSLPPAAFAAATLGRGVGPPQARTLPSATTSSPLGHCSPPCPRRSLCFSSSSAASAATTALAVEEARRGRKQLGMDPPLYDYLLSNVREHPVLRDLREETTSMRGSQMQVSPAQAQLLAMLVQILGAERCIEVGVYTGYSSLAVALALPESGRLVACERDERSLEVAKRYYQLAGVAHKVDVKHALAVDSLRSLLKCGEASSYDFAFVDADKRMYEEYFELLLKLVRVGGLIVMDNVLWYGRVADPLVNDPKTISIRDFNKKLLEDKRVTISMVPIGDGMTICRKLEDD >SECCE3Rv1G0181600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:615823012:615830070:1 gene:SECCE3Rv1G0181600 transcript:SECCE3Rv1G0181600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTAYTALLTSAVLIRMGHRGIGGICTILSLLLVSSTLTSAGEDGLVRIALKKRPLMEAIYDKLVPKSTTEKSGAGSKVDPVREAVNQARDQAQRIFTEAAAMEQRLKNYWSHRGIRGSSRVHQGYKGVIPLKNYMNAQYFGQIGVGSPPQNFTVVFDTGSANLWVPSAECFLSLTCYFHPKYVSRRSSTYKENGTPASIHYGKGAIFGFYSQDQVTIGDLVVNNQEFIEATYEPGFTFLAAKFDGILGLGFKEISVEGSVPVWYNMVAQGLVKQHVFSFWLNRNANNGEGGEIVLGGSDPKHYKGSHTYTRVTRKAYWQFEMGDFLIGGKSTGICVDGCAAIADSGTSLIAGPIGVIAQINERIGATGVANEECKRVVSGYGQEMIQLLKYETPPAQVCSKIGLCAFGGTNGLSADIKRVVGEAQTTSVDGMLDATCNACEMVVTWMQSEFGHNHTKEGTLEYVGRVSSATNMPTPVGSYVDCRHIDSLQSVSFSIGGRIFELQPEQYILKVGDGFMAQCLSGFTSLDVPPPVGPLWILGDVFMGAYHTVFDYDKMSVGFADSA >SECCE6Rv1G0402380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:483575459:483579832:-1 gene:SECCE6Rv1G0402380 transcript:SECCE6Rv1G0402380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGVTFRSKYLSSFRGASQRHDSGYAPVAVAADADSPPGKRPSRPAAVADAAAPPPAAGMRRGSLAPAELTANVLGHPTPSLHDHYLLGRKLGQGQFGTTYLCTHRATGVDYACKSIGKRKLITKEDVEDVRREIQIMHHLAGHRNVVAIKGAYEDQAYVHIVMELCGGGELFDRIIQRGHYSERKAAELTRIVVGVVEACHSLGVMHRDLKPENFLLANKDDDMSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLRKRYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRPAERLTAHEVLCHPWIGDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFEAMDTDNSGAITYDELKEGMRKYGSTLKDTEIRDLMEAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVIIEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMTE >SECCE6Rv1G0441540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811293596:811295785:1 gene:SECCE6Rv1G0441540 transcript:SECCE6Rv1G0441540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMQVTEQSTGPVNLVMSPARASSPPTSPQPRHGRLVTVLSIDGGGVRGIIPGTILAFLEQKLQELDGPDVRIADYFDVVAGTSTGGLVAAMLTAPNAQGRPLFAAKDVNKFYLEHCPNIFPPVCKGPLGLFKSMMGPKYNGKHLHSVVKELLGETRVGQTLKSVVIPTFDIKLLHPTIFSTYDARRDVSKNALLSDICISTSAAPTYLPGHHFETQDKDGKTRDFNLIDGGVAANNPTLVAMTYVSKQILMKNMNFFPVKPAEYGKFMVLSPGTGTAKVEEKFDAAKCSKWGLLGWLYKGGTTPIIDSFSQASADLVDIQASVLFQALHCDCDRRYLRIQDDELTGETASVDVSTTENLKRLIDVGKALLKRQVCKVNIETGKNEPDLERGTNEEELTHFASKLSEERKARLSWGDGSRDLDITL >SECCE3Rv1G0183910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:656950925:656953515:1 gene:SECCE3Rv1G0183910 transcript:SECCE3Rv1G0183910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHIDDMAGMGMEEVDMDMEDDGEMDMEMEMQLEEARWPEVACPYCYEDYDLGSLCVHLEEDHPYEPHPAPCPICSEKITRDMLNHITMHHGYLFKNGSRLRRFVIPERRALSLLSRDLRDAHLQALLGGGHSHRSRNTTTATTNIYADPLLSSFGLGFATSDAEEPSKSSVPVLDDTSILKEAPPQPWESSIDPSLTSEEREQKRKQATSRATFVQDLVLSTLFGDD >SECCE6Rv1G0385840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:85675777:85681126:-1 gene:SECCE6Rv1G0385840 transcript:SECCE6Rv1G0385840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHYPDHGLAMDAAAAAAAASSPNPSFSPGGGGGEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSETNMFDGNDGSDGMGFGPLILTEGERSLIERVRHELKSELKQGYKEKLVDIREEIMRKRRAGKLPGDTAATLKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSSNTASSSEKTKKKRNVTGNDGTEQSW >SECCEUnv1G0569550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:445001735:445002440:1 gene:SECCEUnv1G0569550 transcript:SECCEUnv1G0569550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIWANNDSVREALGIHKGTVPSWLRCNFDIRYTNDIFSSVEHHLDVTTRGYRSLINSGDHDMIVPSIGTQAWIRSLNFSVVDEWRPWYVDAQVAGYTRSYSNNLTFATVKGGGHTAPEYMPKQCLAMFARWLSGEPL >SECCE7Rv1G0464020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:58631472:58634777:1 gene:SECCE7Rv1G0464020 transcript:SECCE7Rv1G0464020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLMAQLPRRAASVKDIDDSDLHLHLHRNLNPPSSLLRRCTTSHQRSSRRRIPGPASAARDAMRLRSPLASVPANRADGQAADADFLLDSWLGALRDLGGDRGWQQPGIGKIRVDRALDRACRVVGVVTLCTPNGYGDLMLNLKDPSGTIDASVHKKVLSNENLSKGLSVGSVLVLKQVAVLRPSSTVCYLNVTQKNVEKVLQNDSVSPCKQAVPSSNSERQSQQPGQGDIQNHHEKQMEQMDSSSQIKNLPGLNTSQQLQKIISGMNPANCQLKQGGITARFGISSEVESSADDIMRKLTGGEIMVPSSEITGAQGSRRNCGTHDESNNRNEHQQQNPSADTRCTQPILGGSSVMAVSRDCSQASSTGNLRQLSGDELMQPRSKKLKSDAMLSDGNGLDDIADDFLDCHKSTRKPEHQQKDITHGASAGTLEPTQENCSISATGGTLPSNHKTVSVASVPEWTDEQLSQLFDDY >SECCE3Rv1G0156470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:73269164:73271213:1 gene:SECCE3Rv1G0156470 transcript:SECCE3Rv1G0156470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTAPWFADLFTDVRVRTLSHQVTTLGDSVWELESKITQLICEKGKLEKQLEETKAISSHKEEVERSFKAENEKLRSEVSIAEEKCGKSEAELERLRKELGALAEAKEAAAKEFNDARAKISLESENLKRRLEEIQAIKDLAESENDKLLSEALIAKEKQNMSEAEIERLKIELGGLAEAKEAAAKAFDVKNAEITEELEELKRKLKEIQTNKDLVDGENDKLRSEVFTAEEKCRQSEAEVKCLKQVVGALVEAKEAAAKAFEADKVEIMKEMDNLKRKIEEIQANKDSVETQNHELQSKIFIAEQETGVFEAEVKSLKMELGAVEEAKEVLAKEFDAEKSEILKELVDLKGNLEEFQVNKDLLEGKNDKLRLEVFAAEQKQSMCEAEAKSLKMELVGLVEAKEAATKAFDAEKAKIMKDLEVLKRKVEEIQTKKDLVEGEKDKLRLEILIAEQKHAMSELEVKRLKMDLAALAEAKEAAMKSFDAEKAIFMKEVESLKRKIEEIHASKEAAEEAGRNKDVEADRLKDELVKIRVSVSQLQASCIELDAKHSRLNDEMNSVQKALVSEKVEGNKLKLKIEELENYIAEKDGENGKLKAALEEKKSEVDVLSKDNEQLHLTVAEAHEKNKCGILSFLSPCGSK >SECCE5Rv1G0310950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:182203869:182224915:1 gene:SECCE5Rv1G0310950 transcript:SECCE5Rv1G0310950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSAKAIQNAFLAQDGPRTRHNPRYTCDFCALNTRSMHSVQGCRLSLADTSAKWLNTTSTAWISFGKQGNISCNATQGASDVLSIDKVDFLKLQNGSDIRGVAIAGVEGEPVNLTELVAEAIAAAFAAWLLNKKKADGLRRLRISVGHDSRISAHKLQNAITHGITAAGHDVLQFGLASTPAMFNSTLTEDALHHCPADGGIMITASHLPYNRNGFKFFTSDGGLNKTDIKDILERASRVYEESAHCGKQEQTGVVTHVDYMSIYASDLVQAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAVTDGSQFPEPDGLFPNHIPNPEDKAAMEAITQAVLNNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTVVTDSVTSDGLTAFIEKKLGGKHHRFKRGYKNVIDEAIRLNSTGEESHLAMETSGHGALRENHWLDDGAYMMVKLLNKLAGARTLNPNIGSKVLTDLVEGLEEAAVTVEIRLKIDQNHADLKGGSFRDYGESILKHLESVISKDPNLHKAQKNHEGIRVSGYGGWFLLRLSLHDPVLPLNIEAQSKNDAMKLGLAVLAAASEFSALDTTALNKFLQQ >SECCE5Rv1G0315890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:297655818:297657701:1 gene:SECCE5Rv1G0315890 transcript:SECCE5Rv1G0315890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDMSQVPRARRSKVWENYEQDLVRIHGDLKADGSRKSFLLTMKTTTSEGPFVFDEKVCRELMVKYCIHAEIPFLKFEDPHLQPWIDSMQPAFQIKGRHTIRDDVVKMYKGMKKDIEVELHNLDSRICLTSDMWTSSQELGYMCITAHYINAEFNYKKKTICFAEVKYPHTGFAIEEEIVRCLTEWGIRGKLFTLTLDNASNNTNACEELIKYHKHELLLEGQHLHVRCCAHILNILVQDGMKIIHAAIDMIRELLKYIDSSPSRLQDFNTIASGMGLRAKKGISVDTPTRWNSTWKMLVEALTYKSVLTSYANRKMIESPSEEEWEKAKAFCEFLKAFEELTLIVSAHRKPTSHKFLPVVLSIRHALKDPGWQTSDVLKELATVMQTKLDKYWDPEKKENADPNRRRKSKGIEFNHALVIATFLDRRRKEDYLDFFYCKLSTNTEQITKQVEIALEWVRKYVKEYELFAATSTAHSTPSSQGNTTIGSPIAGKRKLEEEFAQHKSHRRSRVHKSELDAYLEEASEKVGDDFDVLGWWKRHAEKFPILASMARDFLAIPLSTVASESAFSCGKRILGDKRSSLNPDMLEVLVCAKD >SECCE2Rv1G0080050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:125867877:125881966:-1 gene:SECCE2Rv1G0080050 transcript:SECCE2Rv1G0080050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MAGLGGAGGWGGLDDDDWGLSEEQYDKLEQDAYRAIAERKASSSAASTAPAISPLPNRALSPAATVSSPLRNEHPASRVSLESRFGKVESLSPSRLSQPNSVNNSQGSWPKISIHLFLHSSGVIAAKFPYHQKLVDAFHKIPKASWNGKERVWMFPPTSLSVAEEVLHAVPGLVVEVQKLDPLVQRAFAAAVAAKDLRGLYDKIPTDVESKLMPFQRDGVRFALQHGGRVLIADEMGLGKTLQAIAVASCLHDAWPVLVISPSSLRLHWASMIQQWLNIPTEDILVVLPQTGGSNKAGFRLVYSNTKGDFHLDGVFNVISYDVVPKIQSTLLDLDFKIVIADESHFLKNGQAKRTIASLPVLQKAQYVVLLSGTPALSRPIELFTQLQALYPTVYKNVNEYGNRYCKGGHFGLYQGASNHEELHNLMKATVMIRRLKKDVLSELPVKRRQQVFLDLSEKEMKHIRALFRELETVKIKMQSCDSQETIDSLKFNQKNIINKIYNDSAEAKIPAVLDYLATVIEADCKFLIFAHHQPMIDAIHQHLLKKKVKCIRIDGQTPVAVRQNLVTDFQNKDDIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQGKLENLGQMLDGQEKTLNVSQIETRPSPSKQKTLDTYLKRCSTSTEVQPSPKSRKF >SECCE1Rv1G0044810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:605612688:605613346:-1 gene:SECCE1Rv1G0044810 transcript:SECCE1Rv1G0044810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVALSSFAVVGRSAARSPVAAPRRRTLVVRAQTEPGMESTKETTSASTSSSSPSTSATPTPIPAAPKPRTKKANPSVWDALAFSGPAPERINGRLAMVGFVAALSVEAARGGGLLDQAGSGAGLGWFLVTAGVFSVASLVPLLQGQSVESKSSGFWSADAELWNGRFAMLGLVALAATEFITGAPFVNI >SECCE2Rv1G0129770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:870282307:870285421:1 gene:SECCE2Rv1G0129770 transcript:SECCE2Rv1G0129770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQPSRGKPVSRMPTLVVVLMALELLLVGVGIAVAGGPVALPGCPEACGSITVPYPFGFRQGCFHKGFNLTCDETRHPPKLLLGDGGVEVDAISLADGTMRVQSKTVNGAFTFAGNRKPSLNSNGSWSSALTGAGGGGQRLAVSTERNVFVAYGCNFIAYLVADPGPAGSTRYVSACTPLCDSNFTDPLPETSSCSGINCCQTTIARGLPTYGVQFRDLDQTDVQERRPSGAAFIVDRQWFTGIKATITQMKLATDSVFYENRRHGHTSPGVISVPTVLEWWLDVEGDRDLVVPDIYSEPGWRCISLNSFASAVGTGLTALYTGPTVVYAAANKVRCNCSDGYQGNPYILHGCQDIDECQSPGVYPCPPGTCINMPGTYRCSSTKKSIGRLPGLISGIAISAGFGLLFSLLGVAKISNKIKQRRAKKLRQKFFKKNHGLLLQQLISSNKDIAERTRIFSLEELEQATNKFDHNRILGGGGHGTVYKGILSDQSIVAIKKSKIVVQREINQFINEVVILSQTNHRNVVKLFGCCLETEVPLLVYEFISNGTLSFHLHGQSDNHLSWKDRLRIALETARAIAYLHSAASISVYHRDIKCANILLTDALTSKVSDFGASRSIGIDETGILTVVQGTYGYLDPEYYYTSRLTEKSDVYSFGVILAELLTRVTPVFSSHSSQGTSLASHFVSHIRGNRFSDILDAQIVEEGGAEDAEVVARLTEACLSLKGEERPTMRQVETVLEDVQNSRVNLSSQITRVNRNAINDQAPYKGSKGGEGTRLYSLEKEFIESSEIPR >SECCE3Rv1G0155740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69029856:69031506:1 gene:SECCE3Rv1G0155740 transcript:SECCE3Rv1G0155740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDQFSYGSLCVMAVATALLWLILRQALGVGGEEDTGAKAKLPPGPWNLPVIGSLHHLVGTKLQPHRALLQLSRRHGPLMLLRLGEVPNVIVSSPEAATEVLKTNDLVFATRPCGPTMDIVSCGGKGLLAPYGEHWRQMRKVCVTEVLSAWQVRRIEFIQQGEVARLVESVSAAAADRIVVDVGKGLAGLANNIIASAVFGGRFPQQEAHLREMDALSVLVGGFSLVDLFPSSRLARWLSSTTREVRRSHARVQRILEDIIQERKEKKPTTAAGASSAARDSEDLLDVLLRLQREDTLTFPLTSETIGCVISDIIGAATETTSSTLEWAMAELIRNPEAMARAQHEVRQRRHEEGAVTTTDLGELHYLRMVIKETLRLHPAGMFHRASQEDCQVMGYHIPKGTVMVINGFAVGTDPAHWGEDAAEFRPERFHDKDMVEYMQMEFVPFGAGRRQCPGALFATTIMELVLANLLYHFDWAVPGGETLDMGELYGFIMHTRSSLRLQASSYHQHLQR >SECCE4Rv1G0231130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:179278705:179281902:1 gene:SECCE4Rv1G0231130 transcript:SECCE4Rv1G0231130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPTPPPSPATAHRRPGGSAASCIRCSSVRELDRSPSRPPLPPLAEAKRVVLVRHGQSTWNADGRIQGSSDFSVLTPKGESQAETSRLMLLADSFDACFTSPLARSRRTAEIIWDSRDKDLIPDYDLREIDLYSFQGLLKHEGKEKYGALFQQWQKNPSDCSIDGHYPVRELWDRAQGCWERILTHEGKSVLVVAHNAVNQALVATSLGLGTGYFRTLLQSNCGASVLDFTPQPGGRPPSVCLNRLNQTPNSPVSAESSAGRKSSKRIILVCQGATQSSSEGSLGGVGYAPLNMLGVIQAQKTAELLLDLKVNSIICSPQVAAVDTATAICEVQEAADCLGADCVPRYVEMKNLFGLEIDDAFLTKQKSLEQIVQSGWMGGMEHQKLKTLWAQSEDAWQALVNELPDDDGAGSDRVVVAIGHPAIHLALLCRCLDLTMDYMPSFHLDDGSISVIDFPDGPKGGGIVRCTNYTAHLGRWSVPITKSTENNDEF >SECCE5Rv1G0323130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:430094897:430098126:-1 gene:SECCE5Rv1G0323130 transcript:SECCE5Rv1G0323130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSSGAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKAPSVRFHSRINMACVNHETGAVDPKKFSVLANWQREYTMEHVLTQLKKDMAATQNRKLVQPPEGTIF >SECCE1Rv1G0041170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568971735:568973425:-1 gene:SECCE1Rv1G0041170 transcript:SECCE1Rv1G0041170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLPCFGKKKDGNNEGGGDEQAGAGPMTPPPAVHAPASYHAPAAHAPVAVAAPASVTPTKPAGESDANPEDALRQAIEVKAFAFRELAAATDHFTPYNLVGEGGFFRVYKGQLDKDGQSVVIKQMDKHGFQGNMEFLTEVSKLSKLHHENLIDIIGYCADGDQRLLVYENMDGGTLEDHLYDLPPEKKPMDWMTRMKVAYGAAQGLEYLHEKANPPVVYGDFKASQVLLDASFTAKLSDFGLQQLGQSGGGNMPMASPMMGAFGCLAPEYDRGGQVSMKSDVYSFGVVLLQLISGRRTVDTSKPDEEQNVVTWAQPKFKDQKRYHELVDPLIKREYPAKALNQVVAMASMCLQEEDCVRPMMGDVVMTLGFLIMLPPDPPAPEPAPEPAPEPAPKKDDGSRHSSSSSSSDDDDDREEEDEEEEEEQS >SECCE3Rv1G0144240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3382102:3382734:1 gene:SECCE3Rv1G0144240 transcript:SECCE3Rv1G0144240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKILLALLVLAAATPASLAAIDVVQMLTGKPQYATFLRLLKETKVADDVSRLKSASVLVVPERAVKPLLAVPPEKLRTILLHHVLIKYFDPIQLGEMKANAAKLQTMLSNTDKDMGTIDYSKDKDGQMYLRSPGAESVAKLIKVVAARPFVVSIMEISTPLLCPKLLGPGFAGAAVGRPKGKGKGKGKIVKTMSAEQGATAAAPTASV >SECCE6Rv1G0441880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:812458596:812466995:1 gene:SECCE6Rv1G0441880 transcript:SECCE6Rv1G0441880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLLLALLYAGCFLLSVPLHAPRHVADASPPISFSFDFTNKTSYNAQDLVVQGDAHVGDSMFDLTCNTVDTSKMLNCIGRVSYGRPVPFYDTTTGAVASFATRFTFNITHVPRRSKGDGMAFFLASYPSVLPLDSYGGAFGLMSGRAWQAYGENRFVAVEFDTYNNTDFEPRQTMDHIGIDVNSVKESVNTTNLPSCPSWTRYSRRPEVAVGFSASTGAGMELHQILSWSFNSTLGPKDKEQPKSIGTGLIAAAIAGGACAFVLVIWFILSWFTSKRGHNSLMVLGVGPRQFKYSDLANATNNFSSDMKLGEGNFGAVYRGTSLKVDNDGEEQEVAIKELLKGSRDGVKDFLAELNTISKTKHKNLVRLEGWCCYSGRSRWNWMCWCCSLKLLDDHKLFLVYELMPQGDLDHHLHKENVPALPWPTRYKIVKGIGSALVYLHHECEPYILHRDIKPGNILLDDDYNAKIADFGLSRIATKNKSTLVTTAMGTDEYMDPKLKINGDVKFDRSSDVYSFGLVLLEIVCGRHNSRNEVWDLYRRYQGEEMKMVEAAADERLGGDFHKVQMQRVLVVGLWCSLPDGVQRPSMKEALRLLEHDELPDLSSSATTTSTSF >SECCE5Rv1G0372650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:854106486:854109145:1 gene:SECCE5Rv1G0372650 transcript:SECCE5Rv1G0372650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPPPSPASTPRRHHHLSPAARPGRRNRQLARCLPEPLPNPPPSPNPNPLLSLLTAVPDWADAVSERRIREPRPLYTHEQWREHRSSLRHLRHLLSSLSSRVILSLVPPVSAFTAFAAAVATYNTLAPDYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVLAGATELAGMVMHSCGAGERGDNDTGTGALVNYILAFPVALKCHITSNSDIRKDLEGLLAEDDLNVIMSSKHRPRCIIEFISQSLQMLDFEEHKRSIMESKLSCFLEGICVCEQIIGIPVPLSYTRLTSRFLVLWHLTLPIILWTECKWIVVPATFVSAASLFCIEEVGVLIEEPFPMLALDAQCQQLHDSMRDMMSVQGLVRKQLVAKTKGRGRGGRLPQNGWPVSSSRSEQVKVD >SECCE6Rv1G0411880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611439859:611441331:1 gene:SECCE6Rv1G0411880 transcript:SECCE6Rv1G0411880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASPSLSPGACLTLPPPVPCAAPKPRRRTPPRDVVSWTFAIARPAREGHLPSTAAALSDMLSSPVAPAPNDVTLLTVISACAGAPSSPLARPLALSLHALAIKLFPSHLLLCTCLTRFYLASRLPHLALQLFGSMPVRSVVTYNTMITGLMRNGLVAAAREVFDEMPDPDKVSWTALIDGCVKNGRHDEAIVCFHAMLLDGVEPDYVTLVAVISACAEVGALGLGMWVHRFVTRQRLEGNIRIANSLIDMYARCGQVEFARQVFDSMRKRTVVSWNSMIVGFAANGRCTNAIEHFEAMRRNGFKPDAVTFTGVLTACSHAGLTDEGLRYYEAMRAEHGIPPRMEHYGCVVDLLGRAGRLDEAMSVVASMPMRPNEVVLGALLAGCRMHGDVDMAEQLMQYRLEQDPGADSNYVLLSNIYAAVGKWDGAGKVRSLMKSRGVKKRPGRSAVEIDGDVHEFVCGDRSHPQAAEVFDMLGLLSHEMAGREV >SECCE5Rv1G0360780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:775199768:775201303:1 gene:SECCE5Rv1G0360780 transcript:SECCE5Rv1G0360780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMDGAAAGVWGGLNSGVVLSIIAVLWTVVWQNLQQLQLQHFVKRHLGRHARRLAALVDPYLSVTIAEYDGGRMRRAEAFEELKAYLAASTSRSARHLRAEGAPDADRLVLSMVDGEEVSDQLLPEEGGGTVFWWASSRPPPSQDRRWGGGGGGGGDEENRRFYRLFFLDRHREQVLNAYLPRVRRQGRAVMVQNRRRKLFTNISSHQFSDGGYARSAWTHVPFQHPKTFATLAMDPAGKKEVMDDLDAFKAGKDWYARVGKAWKRGYLLHGPPGTGKSAMIAAMANHLDYDVYDIELTSVHSNTDLRKLFIGTTSKSIIVIEDIDCSLDLTGARDKKKEAAPAPEDDGRANKKGGAADASSSKVTLSGLLNFIDGLWSACGGERVIVFTTNHLEKLDPALIRRGRMDKHIEMSYCRAPAFEFLAKAYLGVDEHELFGAVGALLREVDMTPADVAENLTPKSADDDADSCLRGLVAALEKAREDKASGGAGKEKQPEEEDGGVVAAVDNE >SECCE3Rv1G0165650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:176797437:176798072:1 gene:SECCE3Rv1G0165650 transcript:SECCE3Rv1G0165650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKRMHEQTTGCLPVDQSCFALSRLSSAYRTAARNNDPACCSTTSYLEVLGISFASLLIILFVLCMIRCYLMRRAVNRVTVGAATSTGAGAPVAVKKRPTGLGEDAVAALPKFEYRHTADESDRWECSICLCTMADGEVARQLPRCMHLFHRACVDMWLTAHTTCPVCRAEVVKPTDNDDRCAKTPAAEAGPSVPARLEDDQRDLEAQL >SECCE3Rv1G0214210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:964930656:964933558:-1 gene:SECCE3Rv1G0214210 transcript:SECCE3Rv1G0214210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFELPRRDSSRDVGDLEMGVHHPDASDNLQAFYKKVDAIDTLIAKLTTLFTKLQTANGESKAVTKASAMKAIKQRMEKDIDEVGKIARMAKTKLDQLEKDNSSNRQKPGCGKDSAVDRSREQITGGVKNKLKERMDSFQVLRESIQQEYREVVERRVFTVTGNRPDEETIDDLIETGRSEQIFKDAVQQQGRGQVLDTVAEIQERHDAVRDLERKLLELQQIFLDMAVLVEAQGDMINNIETHVSNATNHIQDGVGALQKAKTLQKNSRKWMCYAIILLLVVVVIVVLGVIQPWKK >SECCE5Rv1G0327810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:497368961:497370578:-1 gene:SECCE5Rv1G0327810 transcript:SECCE5Rv1G0327810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLCCCVQVDQSTVAIREQFGKFDSVLQPGCHCLPWILGKRVVGHLTLRLQQLDVRCETKTKDNVFVTVVASIQYRPLAGKESDAYYKLTNTRSQIQAYVFDVIRASVPKLNLDDAFVQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDAHVKQAMNEINAAARMRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKSSAVFIPHGPGAVRDIATQIRDGLLQGQSASDN >SECCE2Rv1G0141680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:936269632:936272991:-1 gene:SECCE2Rv1G0141680 transcript:SECCE2Rv1G0141680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAIAIHGGAGVDPNLPLHRQEEAERVLARCLQVGVDMLRSGAAALDVVEAVVRELETDPCFNSGRGSAITRAGTVEMEASIMDGRGRRCGAVSGVSTVRNPVSLARRVMDKSPHSYLAFDGAEDFAREQGLEVVDNSYFITEENVGMLKLAKESNSILFDYRIPLAEADHNNGGMVMNGLPISIYAPETVGCAVVDSSGNTAAATSTGGLMNKMTGRIGDSPLIGAGTYACGHCAVSCTGEGEAIIRSTLARDVAAVMEYKGLPLQEAVDFCVKERLDEGFAGLIAVSGTGEVAYGFNCTGMFRGCATEDGFMEVGIWE >SECCE5Rv1G0305400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:72005260:72008480:-1 gene:SECCE5Rv1G0305400 transcript:SECCE5Rv1G0305400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFHPAPCARPAAARAASRFSGAVVAASGAGGARPPKAPPRRPRGKPRFSRQSAIKKSFQQEQVVFSTPVPADPTVAIIGGGASGLACASALAARGVRAVVFDTGMHGLGGRMATRMVDDDRRLVFDHAAQFFTASDQRFQKLVDEWVEKGLAREWRGSIGELEAGGHFTAIPSSTPRYIGVRGMRPLADAMLPENDLIKVVRPSWISKLEPFNGLWRLFENEKPQGQYDAVVIAHNGKCANRLLSTSGLPQLTRQMKRLELSSVWALLAAFDDPLPIRQDNSYGTFEGAFVRDIDSLSWMANNTQKLFPLETNRPECWTFFSTASYGKKNKVPQENIPNATAEKVKRDMLGGVELALGLSAGSLQRPFYTRVQLWGAALPMNTPGVPCIFDPQGRAGICGDWLTGSSIEAAVLSGMSLGDHVADYFASGGERPEEFAIGLNDSLNRVEGHDIGQFPGLDPQKPQVAEPQLAPSI >SECCEUnv1G0537150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67692647:67692880:-1 gene:SECCEUnv1G0537150 transcript:SECCEUnv1G0537150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCCGPSDVQVLPKIATSSSSSSSSSSSDKDSGDGGKKKKQQVVKKEQGKEKKRSNIDRAALTTPRLPFHSRPGLI >SECCE4Rv1G0254600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:639716481:639716687:1 gene:SECCE4Rv1G0254600 transcript:SECCE4Rv1G0254600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSWPEVVGWPATQAVTQINADRPDVAIEVIPNGTTVAPGYNAERVRVFFDAGNASGPVVGTPAVG >SECCE2Rv1G0121790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:811460726:811462186:1 gene:SECCE2Rv1G0121790 transcript:SECCE2Rv1G0121790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARHTWLLPSVIAVCLAVALLAAAAAVVNASASVHLEALLAFKKGVTADPLGALSDWTVGTGDAVRGGVPRHCNWTGVACDGAGRVTSIQLLQTQLQGALTPFLGNISTLQLLDLTENGFTGAIPPQLGRLGELKQLILTENGFAGGIPRDLGDLGSLQLLDLTNNTLGGVIPSRLCNCSAMWALGLGANNLTGQIPSCIGDLDKLQIFEAYINNLYGELPPSFAKLTQMKSLDLTYNKLSGSIPPEIGNFSHLWILQLFENLFSGAIPPELGRCKNLTLLNIYSNRLTGPIPRELGELVNLEALRLYNNALSSEIPSSLGRCTSLVALGLSINQLTGSIPPELGELRSLEKLTLHDNRLTGTVPTSLTNLVNLTYLSFSNNSLSGRLPENIGSLRNLQKLVIHTNSLSGPIPASIANCTQLSNASMSSNEFTGHLPAGLGQLQGLVFFSVGNNSLTGGIPKDLFDCVNLRTLVLASNNFTGGLW >SECCE5Rv1G0361640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780565547:780568331:-1 gene:SECCE5Rv1G0361640 transcript:SECCE5Rv1G0361640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSVPIYLHIRSPFSCCSTRPEYNTLINLILLRPPLPIGSAHVHMEEAGRHEVVMFPWLAFGHMLPFLDLSRRLAARGHAVTFVSTPRNLGRLPPVPSHLSGRLRFVPLPLPRIDGLPEGAESTADVPPGEDGLLKMAMDGLAAPLTAFLADAAAAGRRPDWIIHDFCHHWVPPIADEHKVASAVFQIVLAGLVAFMGSRGANTAHPRTTLDDFTVAPAWYPFPSTVAYRRHEARWITTTFRPNASSVPDIHRLWQMNERCRLAIYRSCDEVEPGMLALLTDLFWKPSIPAGVLLPPHDLGGDDGGVRPDVLRWLDDQPAKSVIYVALGSEAPVTLKNLHELALGLELAGVRFLWALRTPTGMSDYGTDADDTGMLPNGFEERMRGRGMVETRWVPQVKALAHNAVAAFLTHCGWGSTVESFAFGHPLVMLPFVVDQPLVARTMAEKGVGVEVARNESDGSFDREDVAAAVQRVMVDDEGKVLASNAKKLQEVLADQERQDRYIDDVVEHLRRYKDE >SECCEUnv1G0556660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:313423050:313424279:-1 gene:SECCEUnv1G0556660 transcript:SECCEUnv1G0556660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRSPWSDLNSELLVSIAAIDGVSLRDYTCLRAVCTAWRSSLAPLSFPCLLSLAESHDSHSVSVFSLPMRRSFHVHTGSSVVVDSQTSFYGRVRVVGSGNGRFAIAIDKEPRSNPSSFVSSSTRRIFLIDPRAGKEVQLVSQTGDQKSVRKIVFAPNPNPSLPSHTDHWTAVALYDRNNRVAYIDTGSSSSSTEDKTWTTIDVAEGNCYHDMAFHAGDDKVYFLDTSGAVDVLRMPRGGEPAVIEPFATLMQDPSPTAAYAPPYDVVYPKMVTKHIFFCHGSLYQVWKNTCAAINLASGSFRISANEIFVLRCDPGRWPCWDAVKDLGGCSVFLGKSSSPVVVRPAAPELRADCVYWIDWRGIPMVCDIATGASQPWVLSYGTFKGDCWYFSHDDTTSIDDREGRN >SECCE2Rv1G0088380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:243845967:243847247:-1 gene:SECCE2Rv1G0088380 transcript:SECCE2Rv1G0088380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELSTPPPPDADDPPSDPNSDAPPTPPQLPVSLPDDLLEEIFLRLSTAADLARASTSCTSFRHVVAPRSFARRYRSLHKAPVLGFLRADFYAAQSPHPSAPAANALAQAADFAFSFLPNPACWSPRDVRDGRVLFSAVSVSEGRGDFIDATSNTFVDLVVCDPLSRRYIRIPPVPEDLADSVQHCGMLDFEPFFAPASDDVREEESSFRVICKVLCENKVAIFVFSSLTGKWRSIQHHGLGALSNEIVDALYARCGLHRRHYAHGSFCWVLEWMDKLLMLDTREMKFTIIDLPPNSHGGRLAIVEAGEGRIGLLNIGMRTLDFYCKIWRSKSEGTKEWQHSTINHPLPNYHWCIIGADEEYLLLRGISLDWPWFGSSSQQRPDIEYFALELKTFLLERMYVSKNKMMHAHLYRGFPPLLSPPSI >SECCE7Rv1G0476260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:200108799:200110697:1 gene:SECCE7Rv1G0476260 transcript:SECCE7Rv1G0476260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGGCMIEPNLKALAQKYNQGKLICRKCYSRLPPKAKSCRKKKCGHSNQLRSKKKCRYC >SECCE1Rv1G0007660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:41595998:41600699:1 gene:SECCE1Rv1G0007660 transcript:SECCE1Rv1G0007660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKTWQPKGGPGCVAPAPELHWKALNPNPVPAEVPECSRSRAAEVSDDAFVDVPAAAVEDALVEEEIARKLMGIHVELSQEELRANHQLQEDEIFALEAIFGDSIVILNKKEGQRSFQVHVHIEIPDDGMDVSARLDYGTGTLNYGETCDDDASDNLVYKFRVEHLPPILLTCHLPSSYPSHQPPSFTISTEWLDTVKISSLCQMLDMIWEEQQGMEVIYQWVQWLQNSLLSHLGFTDEIILSKADLTCHEDGGDKCACRDDSAPDVIITRIMRYDDDKRHEAFLHDIHDCMICFSEFPGVDFVTLPCHHFFCRKCIQTYCKIHVKEGSVLKLTCPDTRCEGFVSPYILKTLLAEDEYERWEKLLLQKTLDAMNDLVYCPRCETACLEDESNDAVCPSCLFGFCTLCTSHRHVGKQCMTTEEKLRKLEERLKSRQQRIDQNLTDEVLSLQAIMRDAKQCPRCKIAIYKISGCNKMTCSNCGHFFCYQCNFAIVGYEHFRPGACDLFSRKEIERWEAQMNPGRGRVEDAPAPAPLPEGRYRHPCPTCGKGTPKIGNNNHIACGSCQTGFCALCRKTVHKTSQHFGPRGCKQHTADRD >SECCE4Rv1G0227430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:122868911:122870380:1 gene:SECCE4Rv1G0227430 transcript:SECCE4Rv1G0227430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVNKGNDLNVRVMSRGLVSASDSSIKEHVLGVSNIDLLHGDFPVSLVCIYPRPPAGGFHAVVAAFESRLPSLLNHYSAHAGRIVANPRTGLPEILCKNQGAELIVGVADVALADLDFSCMDLSISKIPLPYGGDVPLSVQVVSFACGGFSVAWGHNHLLGDGHALLGLVAGFSELARTGRLDPAARPVHDRFLFRPSSTPSRCRSSSLAAFMPLTSDRMTLAAVVGAADTRCRMGWWVDGRRRLTAPEHRALATSSYVGNVSIFVLGEDGVEEIQRKPLPEVASMVRELINARAYDDRFQEVVDRVEQHKSGATYMDASNIGLGYPTVAVTAFTSFPMDTDLGFGHAAMAMTTTRGRGLCSGFVQIVAKPGGNGAWIVSASVWPKLAAALESDELRIFTPVTAEHLGLKLNEAVVKDGGSTLHRQRLQFCCTGLMGP >SECCE4Rv1G0286420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849355633:849358523:1 gene:SECCE4Rv1G0286420 transcript:SECCE4Rv1G0286420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNLCMAIVALAAVAAAAAAPVEHTFVVSEMKMTHLCNETLVTVVNGQLPGPAIEVTEGDSVAVHVVNKSPHNITIHWHGLKQRLNCWADGVLMITQCPIRPGHNFTYHLNVTGQEGTLWWHAHVSCLRASLHGAFIIRPRHAYPFPKPDKEIPIVIGEWWSMNLAQLAKNMEDGYYDDTSTATTINGKLGDLYNCSGVVEDGLVLNVEPGKTYLLRLLNAALYSEYYVKIAGHEFTVVGADANYVRPFTTDVVAIGPGETVDALVVANAIPGKYYMVAVGGQAPKPDIQIPETRSRATVRYNNGDEAALPVAPEMPDQHDFMVSFNFHGNLSSPNRTGSPQVPATADESLFVVLRMGSICRQGRLSCKRSGSKESIIVETMNNVSFQLPAAAAATPLLEELYYDHRRNGTAGDGGGLDQLYTLPDRPARPFNYTDRALIPWGPNEAWLEPAEKGAAARRFRHGAVVDIVFQNAAMMDTDNHPMHLHGHDMFVLAQGHDNYDTVRDVVRYNLVDPPLKNTVLVPRLGWAAVRFVADNPGVWYMHCHYELHVSIGMAAVFIIEDGPTMESALPSPPVDFPKCNQ >SECCEUnv1G0564040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:379899014:379900427:-1 gene:SECCEUnv1G0564040 transcript:SECCEUnv1G0564040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRDYSDSEQMIINNLSTMSLLQHVLFGRLFKTPRNTSKLTGAQKTREWLEGHPVRFYEQLRVDKHTFYLLRDALCERNLLTDTKRMDVNEQLVIFLHTIGHNVRNRVIQDRFQHSGETISRHFKRVLKAINGLRDVYITDPPNEVPNEILGDSRFYPYFKNCMGAIDGTHINAKIKLDKQTPYRNRHGYPSQNVMAVVSFDMTFSYVAAGWEGSASDQAVLRWAVTDGGFVVPEGKFYLVDSGYANTPRFIAPYRGDRYHIGSFRGTKRRYSSEKDLFNHRHAQLRNVVERTFGVLKARFPILTRNGGIPYPYKKQVQIVMACCIIHNFIRKVDRNDELFELYEQEGTQGDVDTGDQEVRGQANLQEDDRLAGERVRASIARQLWSNLQQHATQEEEDEDD >SECCE4Rv1G0284490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:839776685:839782646:-1 gene:SECCE4Rv1G0284490 transcript:SECCE4Rv1G0284490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRRRELPPPAVGAFLLLLLLLAGRAAADDASSDDRGHDASPGCNNKFQLVKVKNWVNGTQGTTVVGLSARFGSPLPRTVDEAQRTFAALTSPPDLCSNSTSKLTNSIALAARGGCPFTAKAEFAQAAGAAGLVIINDDEELYKMVCGDDETSLNVTIPVVMVPHSAGKNLKDLLDHGARVEVQLYSPKRPVVDLSACFLWLMAVGTIVCASLWSEFVACEQVDEHYNQLTRQPGPNSGTNNTQEKEILEITAKGAGVFVIVASVFLLLLFYFMSSWVAWLLIVLFCIGGIEGMHVCLVTIISRIFKGWENNTVQLPFYGEVLALSVGIVPFCTVFAILWAVYRHSSFAWIGQDILGICLMITVLQMARLPNIRVASALLSAAFVYDIFWVFISPLIFHESVMIAVASGDSSGEAIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRAGKKGILNGYFLWLTVGYAVGLFLTYLALFLMNGHGQPALLYLVPCTLGVIVVLGWMRGELPHLWNYGRRMENSVGEV >SECCE1Rv1G0006740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:35619497:35619876:1 gene:SECCE1Rv1G0006740 transcript:SECCE1Rv1G0006740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTVIEKIENTTSRQVTLSKRKGGLFKKARELGVLCDAQVAVLLFSNTGRLYEYSNSNSGYATLDVWLF >SECCEUnv1G0540140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78541870:78543045:1 gene:SECCEUnv1G0540140 transcript:SECCEUnv1G0540140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASASMATCFCSSSSLSPPDLAAVHPTTPSPSRRRRRRRWSCRASSTGGTFDHNPLLHPPLPPLRLPVQDGGGGGSGSRLRIFSGTANPTLAEEIACYLGLELGRIKIKRFADGELYVQLQESVRGSDVFLVQPTCPPANENLMELLIMVDACRRASAKTITAVVPYFGYARADRKMQGRESIAAKLVANLITEAGAHRVLACDLHSGQSIGYFDIPVDHVYGQPVILDYLASKTICPNDVVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRLGHNQAEVMNLIGDVRGKVAVMVDDMIDTAGTMHKGAELLHREGARAVYACSTHAVLSPPAVERLSGGLFQEVIITNTVPVPPDQRFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >SECCE1Rv1G0018980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:214817580:214845038:-1 gene:SECCE1Rv1G0018980 transcript:SECCE1Rv1G0018980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPAGGSADRRVTGSGASAGAAASGLSRYGLNFSASSLLQAPLAALLEYSGVVPSGPVPQAVQQAVPSSSSSSEADGLLSAAAAGDGEVSIRIQGGPGDPDTASATVTGASPQDSIEVAASNFDQASVGGRGGGADAEASGGGSGGATGNGAGDRPYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILKKQTALKGERKVAMLVGITIIFMVHVFGVYWWYRNDYLLRPLFMVPPKDIPPFWHAIFIIMVNDTMVRQAAMTVKCMLLMYYMNSRGRNYRRQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLSAVKALSRKDVHYGSYATAEQAIAAGDMCAICQEKMHVPVLLRCKHIFCEDCVSEWFERERTCPLCRALVKPADIRSFGDGSTSLFFQLF >SECCE5Rv1G0346810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:664004053:664005660:-1 gene:SECCE5Rv1G0346810 transcript:SECCE5Rv1G0346810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRAKRVAIVGAGTSGLAACKHLLARGFRPVVFEAGESVGGLWTRTLASTRLQSPAAVYRYSDFPWPDSAGAFPLHDQVVDYLAAYARRFGVDACVRFRSRVVAAEYVGAEPEGAADGWERWNGNGEAFGDGSGAWRLTVGRRGAGEQEPETQEVHEFDFLILCIGSFSGVPNIPVVFPGPEAFRGRVLHSMELSDMADADAAALVKGKRVAVVGSSKSAFEIAAECAEANGAATPCTMVCRNPQWLLHSANAWGGVNIGYLFMNRFAELMVPRPGAGAASRLLAALLAPLAWAISAATGAYYRRAIPMREHGMEPGHGFARSVSSCLLSMLPDGFYDKVKEGSVVFARSRSFGFCHDGLVLDGEKRVVPADVVVLATGFLGDEKLRDMFASQRVKDIIAGSPDTAAPLYRECVHPRIAQMAVVGHAEGLNNIYSSEMAAKWVARLLDGAFRLPGVRRMEESCAEWGRYYARRSGGGGEGQRPWRPCLGAVNVWYNDELCRDMGCEPRRKRMKGQGLLAEWFQPYGAVDYADIH >SECCE1Rv1G0009000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:51222492:51224138:-1 gene:SECCE1Rv1G0009000 transcript:SECCE1Rv1G0009000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATLSPEEGGAAKNVDEGQGSAAGPLARRARSLHPDVAELLQRSSHRRTRKQAAPTARAGEEEGRARYDYVFEREEEGEAGLPAPPRLVWAKVRGYHWWPAQVFDPADASALALGERRRRGAALVANFWERTFAWEADPAALRPFREGFPRFAAVDRAHVPRWGARKTMSPFASAVDAALGEVARRVDFGLSCYCAVSRGVAKRQVIDNAGVREGAHGAVVDTAFARDAFRGEPFVDYLSALALAPLAGADRLELTVATAQLRAFTRWRGTRGLPVYTVCYGIRDIADGAMGATPARRGRGSATAKRERPRGDSADGKWKDSRCVTCGRARESAEDAWELENYEPTTKMGKLMRRAARRMSLSPPVTRRPDRATPTPPPANAGALTRCTSAAAADHAAQAQLHDVPVTTAAAPPAKPQNGGLNKGEQPQLTGLLLNFTGPTAVPPASDLVNIFSRFGPVVEARPEGFSVAVVIFESSLDAAAAFAGTAKIGALSPNLISFRLAYSLSAPAQADSPRSPMNADEMDHLLDQAEGMDLLDLLAVEALQ >SECCE4Rv1G0229350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:147573359:147578581:-1 gene:SECCE4Rv1G0229350 transcript:SECCE4Rv1G0229350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATATLTLSSSPAGARRSSARPTVASPHLRRVPTLSFHLRGAQGPAAFRALSSPFPGCRGRRGSGMVVRAEMFGQLTTGLESAWNKLRGVDRLTKENIAEPMRDIRRALLEADVSVPVARSFIESVTEKAVGTDVIRGVQPEQQLVKVVNDELVQLMGGEVSDLVFAKTGPTVILLAGLQGVGKTTVCAKLAFYLKKMGKSCMLVAADVYRPAAIDQLIILGKKVGVPVYSEGTEAKPSEIAKNGLKEAKSKKTDVVIVDTAGRLQVDKAMMNELKEVKKAVKPTEVLLVVDAMTGQEAAALVGAFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFIGRGERVEDLEPFYPDRMAQRILGMGDVLSFVEQAQQVMNQEDAEELQKKIMSAKFNFNDFLKQTKAIAQMGSFSRIIGMIPGMNKVTPAQIREAEKNVKFMESMINVMTADERERPELLAESRERRRRVAKDAGKTEQQVSQLVSQLFQMRTRMQKMMVGMQGKDTPDMENLAESIKAEEQAAVATGKRRRKYGNLRQRDLDSMRGYRR >SECCE6Rv1G0415540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:642316728:642317340:-1 gene:SECCE6Rv1G0415540 transcript:SECCE6Rv1G0415540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQQQHGQATNRVDEYGNPVAGHGTGTDMGAHGGVGTGAAAGGHFQPTREEHKAGGILQRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGHGDQQHGDSTYGQHGTGMAGTGAHGTTATGGTYGQPGHTGTTGTGTHGTDGTGEKKGIMDKIKEKLPGQH >SECCE3Rv1G0168220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:216277845:216279669:-1 gene:SECCE3Rv1G0168220 transcript:SECCE3Rv1G0168220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVNFCDWGGSSKGIRAFMESHLPAIKEKNPQLEVVTQLVRGQHPNLKGIYKNHNERVVCVRNLAPEDIMLQASRLRCSLGRKVVKLRTRHVTKRPSVQGTWTTELKM >SECCE7Rv1G0517560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:854823291:854826553:1 gene:SECCE7Rv1G0517560 transcript:SECCE7Rv1G0517560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIPIFILLSLSSFCKSDDQLTYAKPLASGNTLVSKDGDFALGFFSPTSSNSSLYLGIWYHNIPKHTVVWVANRNKPIPSVSAELAISNTSGMILSDSQGQTVWATNNKIIAGDTGIAAVILDTGNLVLRSSNGTVIWQSFDHPTDTLLPGMRFVLSYKDRVVGRLVALKGPDDPSDGDFSFGLDPSSKLQLVIWQGTRLYCRTMVRNSITVDGGTYRSNTTSIFYQTATDIGDEYYAVYTSLSWSNSSSSWAVIGEVPTACDFYASCGSFGYCDFTGTVHTCQCLDGYELDGLDFSRGCRRMEPLKCGKPNHFVALPVVKVPANFLHIKNRSFDQCAAECSSNCSCTAYAYANLSSDGTYEDPSRCLVWTGQLVDTWKFSNNGENLYLRLADSPDQTNNNLVKVVTPIIASLVILSCIAFVTVCIYRGKWRNKEIEQRQMLGYVSSSNENGSEYVGFPFVSFDDIATATDNFSDSNQIGRGGFGKVYKGLLEGGKEVAVKRLSEGSRQGAARQSVLDWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDTKMNPRISDFGMARIFGENQQHAKTTRVVGTYGYMSPEYVMRGNFSVKSDTYSFGVLLLEIVSGFKISSSELATNSSNLIAYAWRLWEDGKAAELVESSVVERCPLEEAVRCIHVGLLCVQNHPVDRPLMSSVIFMLENGSALVPAPKKPAYYALGNCEVGEKTEQVENSVNGISITTLDGR >SECCE7Rv1G0524970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887891766:887893932:-1 gene:SECCE7Rv1G0524970 transcript:SECCE7Rv1G0524970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLIGLCLVALATVFVTWFLKLTASSGGRSRAKQELPPGPWTLPIIGSLHHVLSLLPHRTITELSRRHGPMMLLKLGEVSTVIVSSAEGAALVMKTNDLALAGRPCSVTLDIAGRGGKGLLFAPYGDHWRQMRKLCVVELLSSKQVKRMEGIRAKEVGNLVRSIAASAGAAVNISEKVAALSNDVISQAVFGAKFPQQEEYLRELDEVFRLLGGFCLVDLFPSSPFSRWLSNGERHMKRSCARIHHIIADIIRGRKESSLDPGNGDRAGTDDEDLLDVLLRLQEEASLAFPLTTDTIGAVLFDMFAAGTESTSTVLEWTMSELVIHPEAMAKAQQEVRQILGENRSIITNRDLGDLHYLRMVIKEVLRLHPPGPLVPRRAREDCKMMGYNILKGTNVYVNVFGISRDPTYWKNPEEFEPERFENNNIDYNGTYFEYTPFGSGRRMCPGMLFSASTLDIVLANLLYHFDWILPDGASLDMSEKFGLTVSKRYDLQLKAVPHMWNKEVQSK >SECCE7Rv1G0463800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:57317621:57320689:1 gene:SECCE7Rv1G0463800 transcript:SECCE7Rv1G0463800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVETVNDGMQEFLVEFRGPQESLYQGGVWKVRVELPDAYPYRSPSIGFVNKIYHPNVDELSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALLMRDRPAYEQKVKEFCEKYAKPEDAGITPEDNSSDEELSEEDDDDSGDDEPILGHADP >SECCE5Rv1G0374390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862460710:862461219:-1 gene:SECCE5Rv1G0374390 transcript:SECCE5Rv1G0374390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSIFFLAALLALVSWQGAMASDPGPLQDFCVADMHSPVRVNGFVCKNPMEINADDFFKAANLDKPRMPNKVGSNVTLINVMQIAGLNTPGISIARIDYAPLGQNPPHTHPRATEILTVLEGTL >SECCE4Rv1G0267460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736138227:736138765:-1 gene:SECCE4Rv1G0267460 transcript:SECCE4Rv1G0267460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQRLATAKRCSKEAAMAGAKAAAVATVAAAVPTLASVRMLPWAKAHINPTGQALIISTVAGMAYFIVADKRILSMARKHSFEDAPDHLKDTSFH >SECCE5Rv1G0343790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:641260048:641261199:1 gene:SECCE5Rv1G0343790 transcript:SECCE5Rv1G0343790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPSIAVLLLLSCSLAAATVPAGTIERVSKQQILASIPPGGHAGPPVLFLTSPSGKYAAYFVRTHTAPGAGGLGADFCYVEVMAGSGKTAEGGEGGGAAGGASAWESECRPVSTVNTCTLLFSWHGLEVFDGSEEVWHGETNTDGTNFLQTLELVDDGDMRVRDKDGELAWRASDEPRHAQHCGAPGSPGLAAALPTFAEPIGAHSSNLPFGQVQGGSGHAAELPQAAGLGDGVVPGAGAGGLGDGYGIAPASGGVGGVAPGAGGLGDGYGIAPAAGGVGPGAGGLGDGYGYGIAPTAGGVAAGAGPFGDGYGVAPSADTEAFGGAGAVTAAGGVAGVAGFGSQPLVDNSPYDSGAHKGSRGAHLAAIGAAVLVGAMAVGF >SECCEUnv1G0565440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:394130949:394133762:-1 gene:SECCEUnv1G0565440 transcript:SECCEUnv1G0565440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRVKKFLKKTTKLFGKGKAFHQICDAIEEAQDLAKELADLRKRYELDMCSTSNGAIIDPRVLALHKHVGELVGIERTRDELIKTLICEDGSSEEQLKTISIVGVGGLGKTTLTKAVYEKTKAQFDCAAFVPVGQKPDIRKVFKDILYGLDKVMFSDIHNTTKGENLLIEEISEFLVDKRYLIVIDDIWEKDIWKYIDCALYKNKLQSRVITTTRNVNVSEACLSSTNGTIHRMKHLSDEDSQILFHRRIFQSEEKCPEDLQIVSRDILKKCGGVPLAIITIASLLVSNQQVKPNHEWMDVYNSMGRGVTQNGIAKDMKRILSLSYYDLPPHLKTCLLYLSIYPEDFEVERVWLIWRWLAEGFIQRDKKESSLFEIGESYFNELMNRSLLQPAYINEKGTVVTFRMHDMVLDLICSLSSEENFISILDNEEWHAPNLQRKFRRLSLHNIKAKVQNHQFDSTSLSKVRTFVVFSPITACDWLPSLSSFQFLRVLDLGNCGSHQSSSGISLKYVGNLIHLRYLGLSNADVRELPVDISKLQLLQTLDIQDTRIKELPASVVQLRNLLCLCFNVFKRVLFSLRSLTSLEVLKTVRLPSCPHLFKELGHLTELRTLYIICDLMDKDLSNILAESLGNLQKLQNLNIGGGGSSIDRMPESWVPSPHLRSFETWHVLFLRLPKWVNSTSLPHLSTLKIEVEELQTDDIQIIGMLPALRCLELNAHRVMETLVVRAGAFPYATCCKFKRFLSPPSLFPPGAMPRVQQFEFMVSARSVASGEVDCSMGHLPSLEHVEVGLLCDNPSDEVTKTAYAWLRHAAKAHPNCPTIKFEFLAAPLNVIA >SECCE5Rv1G0304270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:55622828:55623916:1 gene:SECCE5Rv1G0304270 transcript:SECCE5Rv1G0304270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGSSFWIKSRRPQPSDVHIAYCMDPISMGVRQSFSFHDETPSSSFCHGLSTKFGYRYFGTANGLIALQVSNLVSKRFSGCLLVNPASKIEATLVFMEFDSRHRFCGFGYDPSAKNFKILMVHNGTIMVKSLGTQPRSILPSDNITELDPSICLDSLVYMLEAGGHDNRIRRLTVFNLQEETSIKVDLPPEALHSGLMQLSGSICVASRADTNTDVVLGEDLGAVLGSWQKKAGLVFCWGYEPTFISPLEFFKSSRDDHQELVYFVAGGRAVAPRLEFVRRLAEALSG >SECCE4Rv1G0222580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:61023121:61030819:1 gene:SECCE4Rv1G0222580 transcript:SECCE4Rv1G0222580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMVGKSLQESRLLWHIAFPAILTAVFQFSIGFVTIGFVGHIGEVELAAVTVVENVIEGFAYGVLLGMGSALETLCGQAVGAGQVDMLGIYIQRSWIICGATALALTPTYVFTAPILRALHQPAAMSAVAGRYTRWVVPQLFAYAANFPLQKFFQAQSKVWAMTIISGVVLALHVVLNYVFVTRLGHGLFGAAMIGNVTWWIIIVAQFAYLVSGCFPEAWKGFSMLAFSNLAAFVRLSLASAVMLCLELWYYTAVLILVGLLKNAQLEVDIMSVCINYQLWTLMVALGFNAAVSVRVSNELGANRPKAARFSVIMAVSTSAAIGAVFLAVFLAWRTKLPRFFSDNEEVVSEAAKLGYLLAATIFLNSIQPVLSGVAIGAGWQTLVAFINIGCYYLVGIPLGVLFGFKLRHGALGIWVGMSIGTLLQTAVLLIICFRTKWEKQAMLAEERIREWGGSNETLPAATTTVTTGSIDR >SECCE5Rv1G0328550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:508739613:508745267:1 gene:SECCE5Rv1G0328550 transcript:SECCE5Rv1G0328550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAARATLLVLFLPFLSLSVAQNVTQNRAGILDVGVILHLKSLVGKMARTSILMAMEDLYAAHRNYTTKLVLHIRDSNGDNMRAASQAVDLLQNYYVRAIIGPQKSSEATFVSDIGNNSQVPVISFTATNPTLSSADVPYFLRATLSDAAQVNSLAALIKAYGWKEVVPIYEDTDYGRGIIPYLVDALQEFGASMPYRSAISRSANSDQVEQELYKLMTMPTRVYIVHMSSAFGFGSILFTKAKELGMMSGAYVWILTDGIANVVDSLNPSVLDAMDGALGVRFHVPKSKELDDFTKRWNVRYRQDNQDDPPLQLSIFGLWGYDTIWALAQAAEKVSMDNATFQKQQHIENSTCLGTLGISTIGPKLLDAILQHKFRGLSGDFDLRSRQLHSSIFQIINVVRRETKGIGFWTAKHGIVENLNQNGSQNTYLNSMPNLTQVVWPGEVSAVPKGWQIPTNGKKLRVGVLTSGYPELMKVERDPLTNAIIATGYAIDVFEEVLKRLPYAIPYEYVAFHNAQGVSHGSYNDFVYQVNLGVYQVAIGDITIRYNRTSYADFTLPYTESGIAMIVPVKDGTTKDTWIFLKPLTADLWFGSIVFFIFTGAAIWLLERRIDNTELTGSISRQLGIAIYFPFFADKERVESILSRLVIIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDVHELIKKGEYVGYKNGSYLGDLLEQIGFDRRKIKAYSNPDDFDDALCKGSKNGGIAAVIDEVPYIKIFLSKHCKGYTMVGPIYKSEGFGFAFPKRSPLVEDFSRAILSITEGDTIIQIERKWIGDQHACQNDGATIGSSSLKFKNFSGLFLLTGVASTSALSIALVMFIYKRYKIRNSTCQIETTADSNPSHNMQLTVPDDSHSYACQQEIEISRELTSPCSEVQTTPDFTPHGTPGNGL >SECCE6Rv1G0403850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510301308:510301898:-1 gene:SECCE6Rv1G0403850 transcript:SECCE6Rv1G0403850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRTALSHVADVHTLQRRVDTDAGRLHAARSITVRSPPLPFILRRLLPAAAASPSGAAICHCVETSVVDAPRRAMDIVVRNVSLRGIIEVEERSTFRPHPDRPDDWTQFRQETTIRCRPLAKLAAVAEKVETRCAERFLQNSAKGREVVERICRYLEAEAAGAAPSAV >SECCE6Rv1G0394910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:310365582:310366643:-1 gene:SECCE6Rv1G0394910 transcript:SECCE6Rv1G0394910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYKFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRADLGMEVMHERNAHNFPLDLAAVEVPSING >SECCE1Rv1G0038150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:535735279:535740113:-1 gene:SECCE1Rv1G0038150 transcript:SECCE1Rv1G0038150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTSSSGTVNKRTTPSRRPAPPPPSSNLCPSGSPAEATAMASAAPPPPDAEPPECPVCLCPFDAASVVPRVLPCGHSLCGSCISSLPPASASAAASSLRCPLCSQCVPFSRALGPSSLPKNLALLSLLPSLPNPSPPRTAGAASTARPLPLPLHADHSRLLARFRHAILPESASPLHSSPPGHPPAGLALGSIACELGAPWFCSRGHPVSLLPIDAPAGGAPTQEAAFYRPSHAARVIAAIDALSSAAREEMVDLVAASTRLARRVCRVYGAWMGPEAATLWLVSERHTPGVLPLLNERSDELDTVARIGAVGMEVCEALMGLHGEGLVLGCLRLDCFRLDHFGRCLLDLNEVLALCRGVRAGVSLSKDGALVAPEMKAILGDATRMRSRDFDGLIRRNSDVWLLGCILVALVTGDTRLAAGWNTDGSYDDWQKEVLTRLDAALVGTQLEPLAATTALCLSYEPESRPEVADVWKCIRGSQMKPGADALVPADDIVAQKSFRCLLLGELSSMCSAQAVESDDIVQPSQDSDDKNSTPDDGSNCGCSNDESVCTAGTDEPQRNGVFKSSTLLAHRDCVTGLAIGGGFLFSSSYDKTINVWSLQDFSHVQTLKGHEHKITAVVVVDNDNQSLCISGDSGSGIFVWRVGTSLKQEPLNKWYETNDWIYRGVHCLAVSETGYLYTGSRDKSIKAWSLEDYSLGCTMTGHKSTVSCLAVASGILYSGSWDGSIRSWWLTDHSPLSVLEDDTPGSIAPVLSISTEANFVVSSYENGCLKIWKDDVLVKSEKLQSASIYAVKLNGKWLYTGGLNKAINIQELLEDESELEIRDVASISCDSIVTSILYWDEKLIVGLSNREIKVYDKGS >SECCE5Rv1G0336270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583517442:583517729:1 gene:SECCE5Rv1G0336270 transcript:SECCE5Rv1G0336270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQKGPAAIMVGVLLILGLATAAMSSSEKVATGEKTSWPEVVGLSVEEAKKIILKDKPDANIVVLPVGSMVTMDYNPNRVRVFVDKVAEIPHAG >SECCE2Rv1G0084940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:182986002:182987093:1 gene:SECCE2Rv1G0084940 transcript:SECCE2Rv1G0084940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRPPLAVSPRRLRPRPSHAAAAARPPLASSLHIISPGFSKKAQTPMRSSICALPPSSYSSYLETSPRPKPDFTAATRAAGKENLHFNDDDEEVAPFNLADASTDDWTAAVAPTSPLFERGRLYDLYSARRNERLKRKHGWYAAGEEEAGAMAEDPCVAVELSKRRGAKKAAAAESVVRRSMPAPESLVRRSMPAAAAAEFSQSYRASGGLSAMRSSLRSSKEMKKPSAASSCAAAAKPSAAKGRRVGSQSSVRRI >SECCE6Rv1G0447900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:852309002:852311676:-1 gene:SECCE6Rv1G0447900 transcript:SECCE6Rv1G0447900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHASAALPVIDLASPDIGAATKSVRQALVDYGFFYVINHGIDDALMRSVYAESSSFFQQPMEEKMALHKNSSHRGYIPPDFEGFEADAGGKGDLLECFHIGSGVDGDSKNHVNQWPPAERFPYWKETMKSYYQSAMGTSKRILSLIALSLDLDAEFFKPDGSETILRPIHYSGRAIESKHGSDHGANAHTDYGMLTLLSTDGTPGLQICRDKDGCPQLWEDVHHIDGALVVNIGDLLERWTNNVYRSTLHRVLMVGKERYSVAFFVWPSPDTMVTCIESCCSEANPPRYPPIRSDEYLEQRLTSTYKYK >SECCEUnv1G0540860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:92409691:92411912:1 gene:SECCEUnv1G0540860 transcript:SECCEUnv1G0540860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFAAYLGDLLKQAAEEELGMLLGVSGDIDKMGVKLGDLKNLLVDAERRRITDDSVQQWVTELKRAMYEATDILDLCQLKIMERGSSAPDMGCCNPLLFCLRNPRFAHEIGGRMKALNQTLDSIKDRSAAFRFLSLSSYEDRRLARPFAAYRKTNPVLEQSDVVGDKIEEDTRAMVDKLTNKNDIPNVMLVAVVGVGGIGKTTLAKKVFNDEAIQHGFNTKIWLSVTKEFSEAELLKTAIITAKGKLPDGGAQDKSLLVPALAVAIRDKKFFLVLDDMWGDNEWNNLLKDPLSYGAPGSRVLATTRHETVARGLKAVHPYHHVDKLGPEDAWSLLTKQILTTEKSEPTIDMLKDIGLQIIEKCDGLPLAIKVMGGLLCQKEKSRHAWGKVLNDAAWSVSQMPEELNYAIYLSYEDLSPCLKQCFLHFSLKPKKVLFGDSEYVGMWIGEGFVHGDSDRLEELGIEYHRELVLRNLIEPDTSYPGQKICSMHDVVRSFAQFVSRNESLVLNSGESTSNTFSMRRYLRLSIETKGVESDTFELRSLQEQKSLRSIILIGNFKIQPGDSLTIFSSLRTLHMESIDCVALLESLHQLKHLRYLAVKECNGINILPQDIHKIKLLQHVSFEGCKNLVRLPNSIVKLQELRFLDLDGTYVTDVPRGFRALKNLRTIFGFPSHMDGDWCSLEELGPLSHLRSLH >SECCE6Rv1G0440690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:806190153:806191414:1 gene:SECCE6Rv1G0440690 transcript:SECCE6Rv1G0440690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTISMALLFVFAVLFLNAHLGSCGCFKRIFAFGDSIIDTGNFRSGSMWGPPYGGTYFHHPTGRCSDGRLIVDFYAQALGLPLLPPSGPEEKTGQFRTGANFAVLGSVALSPDYYSKRYNFSMPHWCLDWELGSFKTVLARIAPGKAATKRLLSESLIIFGEIGGNDYNFWFYDGQRSRDTPYKFMPDVIARIGSGVQEVINLGAKTILVPGNFPIGCVPVYLSWHKTNKSADYDQFGCLKWYNKFSQKHNQLLRQEVGRLRSRNPGVKVIYADYYGAAMEFVRNPKRHGIDDPLVACCGGNGPYGTGHGCDQNAKVCHDPSRFANWDQVHMTEKAYSVIANGVLNGPYADIPLLHAC >SECCE4Rv1G0265490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726018910:726021156:-1 gene:SECCE4Rv1G0265490 transcript:SECCE4Rv1G0265490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMIEDSFPWEQQRLVYVYAVAGAVLSWCAVRALEWAWWRPRRLERELRAQGLRGRAYHSVAGDAPLMERLHKEARSRTMPLGSHDVVPRAMPLFHQTIKEHGKLSITWFGPVPRVTITEPELVREVLSNKLGHLEKLNLGRLQRMLHHGVGSHEGEKWVKHRKIITPAFHLEKLKRMLPAFAACCTELVQRWEVLAAGDAPCEVDVWPDMKNLTGDVISRAAFGSSYHEGRKVFQLQGEQIELIVQAMDKMHIPGYLFLPTKGNRRMNQIVAEIERVLKGIIAKREKALKAGEATSGDDLLGLLLESNMAHCRTGDTNASITTEDLIGECKLFYFAGMETTSLLLTWTMIVFCMHPDWQDRAREEVLHVFAHRTTPDYDGLTRLKVVTMVLYEVLRLYTPLTSVHRKTCKPMDLGGVSYPAGMLFMVPFLCLHHDKNVWGADADEFRPERFANGISKAGASDDAPPFFPFGWGQRTCVGQNFAMLEAKMGIAMILQRFSFELSPSYTHAPFPVGLLHPEHGAQLRLTRRP >SECCE6Rv1G0434130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763930883:763931563:1 gene:SECCE6Rv1G0434130 transcript:SECCE6Rv1G0434130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYVKNPTGRTIRLRVHALDTLSSVKAKIQEQYRLVFDGAHLEETCTLADYDIQHGSTIDLQEKMQIFVTEMPAGRTMALEVDSLDTIGSVKAKIEYMDGIPKRQQCLIFANKRLDDDDLTLADHYISKDSTLLLVLQPCTPREGMSMMRIFVRKMDGEILTLDEVASSDTIESIKVKIYEKDGTRPIQHRLLFLGKNLQDDRTMADYGIKGEDKLDLLLCQCGC >SECCE5Rv1G0327860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:497919323:497920018:-1 gene:SECCE5Rv1G0327860 transcript:SECCE5Rv1G0327860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPDHGLGRLRKYASFSPSSAAAGTTAAVPSADVAPPAVTRSITILRPPALSVTSPRSESGSGSAPSSPASGPDSPFGTATTPRGEGWSKFRRKGRMAADGADASPSTPRSPTVYDWVVISSLDR >SECCE1Rv1G0042310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:579209254:579212561:-1 gene:SECCE1Rv1G0042310 transcript:SECCE1Rv1G0042310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISDQKKRTLEAIQQRYAAAKAKKLKDEQPECPKNKESTPKPKFDARIKGKTPKFTPSRTSAQLPTFRAQGNSSHQQNTSGSLGGEVNPIYSELSFALHENLSQGDYSDLDSTDIVHSVVYDIIQKGGEAGRIAKGSKKLKLDRGILLDNYVQRGPILVDAQSRSLLTHSKRSKRHMSLKQHKKCGSFDLHDTFRRFDLYKPMHEMWKEYMQELTKSTPKKQLSENLLSADLHGALIIVAECKTASYQGVSGIMIRDTAETFGIISEDNRFRVVPKAGSVFVLQADCWKVTLIGDKLSPKEKLKESQRLQRAQALIR >SECCE3Rv1G0212810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956801490:956804631:1 gene:SECCE3Rv1G0212810 transcript:SECCE3Rv1G0212810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane-bound ascorbate peroxidase, Tolerance to bacterial blight, Response to NaC [Source: Projected from Oryza sativa (Os02g0553200)] MAERLASSASLLPSAASPSSSTRRAALASGLRLRPSSSSRFSQTARRARGIAAGVVPRLRVVRCMAASEAAQLKSAREDIKEILKTTYCHPILVRLGWHDSGTYDKNIEEWPQRGGADGSLRFDPELSHGANAGLTNALKLIQPIKDKYPGITYADLFQLASATAIEEAGGPKLPMKYGRVDITAPEQCPPEGRLPDAGPRLPAEHLREVFYRMGLDDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGEPGGQSWTAEWLKFDNSYFKDIKEKRDQELLVLPTDAALFDDPSFKVYAEKYAEDQEAFFKDYAEAHAKLSNLGAKFDPPEGFSLDDDKGAAATDEKAVVDPAPASDTNGAGPQPEPFVAAKYSYKKRELSDTMKQKIRAEYEGLGGSPNKPMKSNYFLNIMIVIAGLAFLTSLAGN >SECCE5Rv1G0301020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28603620:28605782:1 gene:SECCE5Rv1G0301020 transcript:SECCE5Rv1G0301020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEASILLMLIAFKVAALSATTSGISIALPGCPDKCGNVSIPYPFGIGNGCAAASLNSYFTVTCNSTFQPPRPMIGDPSGLSEIIDISLERAEMRVYGPVSYNCFASNTTIMDNYTSGFNLVGTPFIPSTNRNRFMVIGCNTMGIIGGYLHSNPDLYVAGCYSYCQGINSTSNGAPCTGKGCCETTITPNLTDFAALLIINQSSVWTFNPCFYAMLAEVGWYSFRQQDLVGRLGFINKRAKRGVPVIADWAIRNGSCPKDGAMAPMGYACVSSNSYCVDATNGPGYMCNCSEGYEGNPYLPRGCQDIDECKLHKQNSKYTELYPCRNGVCRNIPGGYVCKCRIGKKSDGKNSGCRPVLTQAEQVVIGLSVSSVMVIALACLLAMKRQRRKHRKEKDEYFKQNGGLKLYDEMRSRQVDTFHILTEKEVKKATENYSNDRVLGCGGHGMVYRGTLHDGKEVAIKKSKVINDDCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFITNGTLYEFLHSNDRKSVIPLDLRLKIATQSAEALAYIHSSTSRTILHGDVKTLNILLDDEYNAKVADFGASALKPIDKDDFIMFIQGTLGYLDPESFVSHHLTDRSDVTVLGLFF >SECCE7Rv1G0522610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878800920:878804480:1 gene:SECCE7Rv1G0522610 transcript:SECCE7Rv1G0522610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSQVQHGLHELLLLMQARSPSPQAALVVSALLLCPLIVLLIVRRLGTPLMATATVRAREEQLSKLPSPPSRLPVIGHLHLVGPLPHVSLRDLAAKHGRDGLMLLRLGAVPTLVVSSPSAAQAVLRTHDHVFASRAYSPVIDILFYGSKDVAFCPYGEHWRQVKKIATTHLLTNKKVRSYRHAREHEVRLVVAKIHDAASKCTAIDLTDLLNAFTNDIVCHAVSGKLFREQGHNKLFRELVEANSLLMGGFNLEDYFPMLVKLDIIKRMVCAKAQQVNKMWDNLLNNIIDEHASKSTPENNNEDSDFTDVLLSIQQEYKLTRDHIKAQLEVMFEAGTDTSFIVLEYAMVQLMQNPHLMNKLQAEVRSTIPKGKEMVTEDDLTSLAYLKAVIKETLRLHMPGPLLIPHLSMADCNIKGYMIPSGIRVIVNSWALARDPSSWERADEFMPERFMECGSAVAMDYKGNDFLYLPFGTGRRICPGINFAIVTIEIMLANLMYHFNWKLPVELMEGGLDMTEVFGMTVHRKEKLLLVPVPCPQ >SECCE2Rv1G0127980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857154555:857158191:1 gene:SECCE2Rv1G0127980 transcript:SECCE2Rv1G0127980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLLASLATTLLTSAALSLLLLLRLPFARRPAPAGAGAARLYEGRVRHSRRRPAGHAFEYAVRYALVDLDLLPLSGHLSAADARGIASTSGPVRLLTVPKSVGYEQNPLSVYYCYDAAAQEQDEHLKMCIAEVTNTPWGEKVMFTFQPGSDLVAKPLHVSPFMDMSGNWSIRADAPGNKLYVAIAVQHPTLGNYFTAALDAKLVGQTSDSVKLATFFWLMPHKVAAGIYWEAFRLWLKNVRFLDHPRYLSGSYRSEALKRDLEIRSSCSFLHKKPRESIGRSGTTTTDGTAENSNHQDYKDGGGSIGARWCVWRDAQWPWC >SECCE4Rv1G0247760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:563813513:563813865:-1 gene:SECCE4Rv1G0247760 transcript:SECCE4Rv1G0247760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKAAAKPLPRKQMDKLDTVFSFPFYNHGSSDECRIDMKNLIGEANCQIFQESFSTTTNSMSKLYIELQNVPRSCRHPHLIIT >SECCE1Rv1G0038720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:542281841:542284635:-1 gene:SECCE1Rv1G0038720 transcript:SECCE1Rv1G0038720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKKEAAAAAAVAEVAVTEPETKAVQVKDKAVEVDEAAAAAKEEEEEEKKMEEAVAEAGADEAAVIEGSTASFKEESNLVADLADPEQKALAQLKELVAAALASGEFDLPPPPPPPAPAAEEPAKTDEPAKEEAPKESDVAAEGEAPKADVPAESSEPKTEEPAKEEPKTVAPAQEEPKAEEPAKEEPKTEAPAAVAVVEEPKAEAPAVAAAEEPKAEEAKPAEPKPEEKTVVVADEEGTKTVEAIEETAVPAAAEPEAAPAAEPKEELIWGVPLVGGDERTDTVLLKFLRAREFKVKEAMAMLKAAVLWRKSFGIDALLGADLGVPELENVVFYRGADREGHPVCYNVYSEFQDKDLYEKAFGDDDKRERFLKWRIQLLERGIREQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQALALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFTFCSPAKTAETLFRYIAPEQVPVQFGGLYKEDDTEFSTSDGVTELTVKPSSKETVEIPATENSTVVWELRVLGWEVSYGVEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKASEPGKVVLIVNNPTSKKKKLLCRFKVKSSTESSA >SECCE2Rv1G0105040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:613811845:613814604:1 gene:SECCE2Rv1G0105040 transcript:SECCE2Rv1G0105040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRAARASRHLALPRSIVGRSSQLSSSSCTLSTAERPSPAAATPGIPADVDDAVVVVAEEDDLRSHIFRLRLAKRSATEALDKWAGEGRAAPSPELRRIARDLSRARRFKHALEVAEWMKTHHESDLSENDYGVRIDLITKVFGANAAEDFFEKLPPAAKSLEAYTALLHSYARSKMIDKAERLFQRMKDADLPIDVLVYNEMMTLYISVGELDKVPTLAEELKRQNVSPDLFTYNLRISASVASMDLEAFKGILDEMSKNPNSSEGWTLYQNLASIYVDASQLVSSGNSLVEAEAKISQREWITYDLLVILHAGLGNLDRVKDIWKSMQMTAQRMTSRNYVCVLSAYLMCGRLKDAAEVVDQWQRSKVPEFDVSACNRLFDALLSAGFADTADSFRELMLQKSCILSSRASACS >SECCE4Rv1G0292590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883192989:883196824:1 gene:SECCE4Rv1G0292590 transcript:SECCE4Rv1G0292590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDGAQGLEEQAAAAAEAARELREAAAALAARRAADEDALRRRAVALDADVRRLQGSVAPLDPATLDKVEEELERARAAILDGDVAAFLPSKGNGKFLKKFVGPVNVRVARKEDKLKVKDEYNNYRDRAAYMFLLFPSTLLLLRWWVWDGCLPALAVQVYQAWLLFLYTSFALRENVLLVNGSDIRPWWIYHHYLAMLMALVSLTWEIKGQPDCSNKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFVLQGFEAYVGVLLLQTAWHGLTSEWQVIACGILLVVMAVGNFVNTVETLALKLRFKAKMKRTKHRQDPGQGGANRLHQN >SECCE7Rv1G0493410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:567072344:567074069:-1 gene:SECCE7Rv1G0493410 transcript:SECCE7Rv1G0493410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACWRKKVVFRARRAWAAVSSRLLRSSKSGSGGILKLHEDVQTCGYQDVQVMFDMLTSELEAAHARNRKQPPSPPPAWSSRLSLSSA >SECCE2Rv1G0096290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:436975014:436975433:-1 gene:SECCE2Rv1G0096290 transcript:SECCE2Rv1G0096290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMQQQQQVEGVVGGGIVAEAEEAAVYERVARMASGNAVVVFSASSCCMCHVVKRLLLGLGVGPTVYELDQMGGAGREIQAALAQLLAPGPGAGHHQQPPVPVVFVGGRLLGGVEKVMACHINGTLVPLLKDAGALWL >SECCE7Rv1G0523690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883317012:883318352:1 gene:SECCE7Rv1G0523690 transcript:SECCE7Rv1G0523690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEEDLTVDLYPFIHEYKGGRVERFLRSPFVAASGDAAANRGVATRDVVVDETTGVSARLFLPSNAAAAAATGGDGERLPVIMYVHGGSFCTESAFCRTYHNYARSLATRTGALVVSVEYRLAPEHPVPAAYDDAWVALQWVASLSDRWLSDYADPGRTFLAGDSAGGNIVYNTAVRAASGGGAGNHIDVEGLVIVHPYFWGVERLSSSELVWDGVAMFTPELIDRLWPFATAGQLSNDNPFVNPIDEEIASLTCRRVLVAVAEKDTLRDRGRRLAARMHECCTWADDDNAVTVVESEGEDHGFHLYNPLRATSKDLMETMVQFINQRTALPLTAALLQELHACPQGKKKASAAGEMDQCFPILGVPTRPYMDVFGYGKAMIASSVPKGITHTSCLRVGQGRRASKTRYGLPLGHAIRQHTKTNMRFLLSATTAPGTCVFRNLI >SECCE7Rv1G0504090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:719696945:719701091:1 gene:SECCE7Rv1G0504090 transcript:SECCE7Rv1G0504090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter, Salt stress response, Alkali toleranc [Source: Projected from Oryza sativa (Os06g0625900)] MKSAPTMDPEAAAAPGTPPESTGRRGEGNRRLPWRMTLSLAYQSLGVVYGDLSTSPLYVYKAAFADDIQHSETNEEILGVLSFVFWTLTLVPLLKYVCVVLRADDNGEGGTFALYSLLCRHARAALLPPGRGAEPGDEDQFSDAGGAAAKKYLEYGNADTLGGRGGGAAASVRRVLERHKVLQRVLLVLALVGTCMVIGDGVLTPAISVFSAVSGLELSMEKGHHKYVELPLACFILVCLFALQHYGTHRVGFIFAPIVIAWLLCISMIGVYNIVKWEPHVYQALSPYYMYKFLKKTQRGGWMSLGGILLCVTGSEAMFADLGHFNQLSIQIAFTCMVYPSLILAYMGQAAYLSKHHILEGDYRVGFYVSVPEVIRWPVLAIAILAAVVGSQAVITGTFSMIKQCTSLGCFPRVKIVHTSAQVHGQIYIPEINWILMILCLAVTIGFRDTKHLGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAIGFIVFFGTIEALYFSAALIKFREGAWVPIVLAFVFMMVMCIWHYGTIKKYEFDLQNKVSINWLLGLSPNLGIVRVRGIGLIHTELDSGIPAIFSHFVTNLPAFHQVLIFMCIKNVPIPHVSPDERFLVGRIGPKEYRIYRCIVRYGYHDVQMDDQEFEKDLVCSVAEFIRSGGGVSKANGLTPDVVDRDEERMTVVASGRMRMLEDEGLGGAAASGSTVGPSTAARGEREIQSPSPTPTPTPTETPTPAMGVRKRVRFVLPASTPRPNAGVEEELRELTDAREAGMAFILGHCYVKAKNGSSFLRRLVINFGYDFLRRNSRGPNYAVTIPHASTLEVGMIYYV >SECCE7Rv1G0472740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:147158822:147160698:1 gene:SECCE7Rv1G0472740 transcript:SECCE7Rv1G0472740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPYLVLLLLLLRPAATPAARAPPPSKPPPPQPHQKVFVWPKPTSISWPSVVYAPLTPNFSIHAVPPHPALRHAIAYYSRLIRAERHTPLVPPANYTLARVPVRRLELSVSDAKVPLGPDVDESYTLSVPTDSASADISAATLWGAIRGLETFSQLAWAGGGEAAGGQSIVPSGIEISDRPLFTHRGILLDTARNFYPVRDILHTIRAMAFNKLNVFHWHITDAQSFPIVLPTVPRLAHLGSYSPFMRYTDKDVRRIVNYAAAFGVRVIPEIDMPGHAGSWAGAYPEIVTCANKFWAPTARPALAAEPCTGQLNPLNPKAYRVAQDVLRDLSALFPDPYLHGGADEVNTACWEDDPVVRRFLSEGGTHDQLLELFVNATRPFMVHELNRTVVYWEDVLLGPKVMVGPTVLPKETTVLQTWNNGAENTKRIVAAGYRAIVSSASYYYLDCGHGGWVGNDSRYDKQEKEGDGAPLFNDPGGTGGSWCAPFKTWQRVYDYDILHGLTEEEANLVLGGEVALWSEQSDAAVLDGRLWPRAAAAAETLWSGNKGASGRKRYANATDRLDNWRHRMVARGIRAEPLQPLWCPLHPGMCNLSQ >SECCE5Rv1G0355410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731611065:731614986:1 gene:SECCE5Rv1G0355410 transcript:SECCE5Rv1G0355410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARVPDLGSDFAQKMLQDLRRRRERLGFGSTSASAAATQQHQQRATSSNAAAASRDACSNSQKPSPSQRPQQAAPAPRSTRPEATMAPRQPSTSNAVVASAAKPRRGRPVAADADARAIVPFQAGGGGKAEHAIVASGVDVQMAALALALSDGGKLRNMEVVARNGSVFFRQPDTTRRGGGGGGYLLPPPPSGGGTGTYAGEVAIGVQDLNDMLMAAYSSGGRRRPDEESRKKLFRGSMDMEEALSMLVMLQDASRYMEGSGSGLKGKENRKSSAATRSARIEEIVDEDSDAEHAKHSSMQMVVHNKFQSHQTEQSLDSSSLMQSGSSGSKINSASEGEKDGSKVRMPSVIAKLMGLENLPSSSTTTAAERKGTERFVKPGAAPRMEIRGNAMDRKLPIRIIASEKGQQEIVLAGEWKNGLTNFGESELGAAAVSNSSSHPATATGNSKQGRLTMREVLRKMVAAERGADEKQEVEERIIHEDKGIAEEIKLQSSVSVGCRADSGKRMDFLKRFRKNSDNNKPVKEEKQSAQVKNAEVGKKQATGMRRLLGRDGEAKSRRAREKVNKENLATAETKAAGKNVKADQVKRQAQSKHVDRQSKPRKPQSETPSRKLENKKKSLMPEAGHMKRKPEYTVVTQQEKEEHAKVNDAINFSKPADITRGEGGLSEPLAIVVRDSSTTGAASLDQPLQKMTEGTSDHTQSEAPSRNLENKKSLMMPEAAHMRKKHEYTAVTQQESEEYTKVNETIILSKPADSARGDGGLSEPLVMVVSDSSRAGEASLDQPLQKITEGTSDHTVPVQTIVVQASGDVEFLDHSAIVEINDEKINHTHSETTQIPAIFAEEEQQQQEQHQRQMIVKDQLTEDMKFLDQSAIPETNVSLSKLGTLLKHSACAQNFITILMKRAIWCKMQDERNNHTPSEATQMPETFAEEEQQQQQQEQQHQTIVIPETFIEEEEHQQQMIVKEQLTDGSDDHTTSSISSENLQDHKTHVVACDSLTENQLLLMRLLVKDRYLLETAKAVVRVDAPVSFIDDEAGAPNWSDKGNDLLSDVAREVVRRKGKRSEATEEVSVVRTANLRLRYLDDLVRELDGDVESLDMSKSKRTQQQQGDNRAAENLRRILESDIQNDHPDANSTWDFGWNRVWELPLEKGDVVRDLEKNILGGIITDVARDLIGVSVRHGCCPCVA >SECCE3Rv1G0157170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80227894:80228262:-1 gene:SECCE3Rv1G0157170 transcript:SECCE3Rv1G0157170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFSSMVCKILLVIAAMLALLCSAYAGDESKYGQCFSRPECNNYCKMQGYQRGGEVMPPNFMDCCCLI >SECCE5Rv1G0304770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:61640331:61641785:1 gene:SECCE5Rv1G0304770 transcript:SECCE5Rv1G0304770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTVVLYPGAGVGHFGSMTELAHVFLNHGYDVTMVLIELPVESSDSGAAAMERIAAANPSISFHVLPLLPVPDITASGKHPFIHLLQLLDDYNELLEAFLRSIPRERLHSLVLDMFCVAAIDVCAKLGVPVYTFFASGASFLSVMTQFPTLIAGRQTGLRDLGDTPLDFLGVPPMPASHLIRELLEHPEEEMCKAMTNMWKRNTETMGVLVNTYESLECRAVQSLRDPCCVPGRTLPPIYCIGPLVANGAKDDGDKATERNECLAWLDAQPDHSVVFLCFGSKGTLSADQLKEIAVGLERSEQRFLWVVRTPARSDDPRKILEVRPEANLDALLPVGFQEQTKDRGLVVRSWAPQGDVLCHPATGAFVTHCGWNSVLEAIAAGVPMLCWPLEAEQKMNKVCMTAEDMGVAVELAGYAEGFVTADELEAKVRLVIEAEDGAQLRARLAARREEATAAVREGGSSRAAFVQFLLDVETIREQRGE >SECCE3Rv1G0207570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:922576850:922578112:1 gene:SECCE3Rv1G0207570 transcript:SECCE3Rv1G0207570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQPSPPAAEPASTTLICLGGDLLREIFLLLPSLPSLVRAAFACRTFLDAVRSSPAFRRRFSELHGQPLLGIFFDPDGPAIPSFAPLRRRDDPDRRAAVRRGDFFLTGLPDPDEDDDARPGWVVSDCHDGYLVLEHIYTREALVYNPLTLALDLLPPLPDEFYEGFQGHYNGLDYHIHIHAPEDEECRDGAFRVIYACHDDSRARAAVFSSDSDARAWQVLPYSEALRTVPESGEREHWLTAGRIVDGLVYWVYSDEAAMLVLDTTTLHFSRVDLPDYLKGQTSMFRVGKTRDGVLCVVVAIEFNLYVWLRKVCDDGVEVWVRGQLFHLDDIVEDTGGTLEEHGQLKVVSVVDGVVYFSTHETFEDANLPCWFLSIDLEENALELLFQKNYDSHIHPYIMPWPRSMVRDKACLQVEGA >SECCE7Rv1G0457560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:17704069:17712918:1 gene:SECCE7Rv1G0457560 transcript:SECCE7Rv1G0457560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTSAAGQQQRRLLKAAADGDLGRFKSIASALDGGKGRVREAVEAARDAGSGALHAAAGHGRMPVCVYLVERLRLNVNAGDDKGDTPLAYALRGRNVDIVRYLLDHDADPEKRGEHGMTALHVAAGAGMCEMIEVLLSKGAGINSISSCGTPLHAAIIGKQDAAVKTLLDHHADCNKAMAIHYTPLIAAIHVSSLKCVKLLINAGADVKGVAPLTPLIAAVEDGLTDFYKPLLAAGADPDVRDDGGQLPIEIAARNNKRKDVEILFPVTSRIPYVRDWSVDGILAYVKSVPKEEDDPLYKMGPAYLKSEGNKAYKRKDYVSAINFYNMASKVDPEDVTLHSNRSICWINLGEGDKALEAAELCRMICPDWPKACYRQGAAQMFLKNYEKACDAFQDGLKLDPTNIEIENALREAFNSLKISRVKTVS >SECCE4Rv1G0219400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:30394187:30394420:-1 gene:SECCE4Rv1G0219400 transcript:SECCE4Rv1G0219400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLKAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE4Rv1G0245360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:528486369:528486836:1 gene:SECCE4Rv1G0245360 transcript:SECCE4Rv1G0245360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQREGSKKRARGEEEEVDSPPAKMTALAAAAAADALAEAAFQKAAPAVVEGGDGDGDGDAWKPPPGLFDFPWMHCRGGLGAHSASTLSELRDVFFRSAVDGHLAAVGVPGDRFIAPPSNMLLFVVLEEWVATADEGEVDPLWRSVLTGGANPAA >SECCE5Rv1G0305250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:68861452:68865936:-1 gene:SECCE5Rv1G0305250 transcript:SECCE5Rv1G0305250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycolate hydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) UniProtKB/Swiss-Prot;Acc:Q8VXY9] MSSSSPPHHTTAVPLPSRHLPPPPSGQRKQQLRAEPTMLHFFLSLLLLLAGAAAGVQGHDDAAARRTMEEFAGFPASDDGEGGRASPLRVDSDSLQRQIDELASFSDSPAPSVTRVLYSDRDVQARRYIKGIMKQLGLSVREDAVGNIFGRWVGSEAELGAVATGSHVDAIPYSGKYDGVVGVLGALEAISVLQRSGFQPKRSLEVIMFTSEEPTRFGISCLGSRLMAGSMELAQTLKRTVDNQNVSFVDAADSAGYKIHPEDLHNVFLNQDAYFAFIELHIEQGPILEKEGIPIGIVTAIAAPASLKVEFEGNGGHAGAVLMPARNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHLEIDVRDIDEKRRNDVIEKVQQSAIEISKTRGVELSEFKIINQDPPALSDKSVINAMEFAAKQLGLEYKLMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYASPEDMANGVQMLALTMAKLSLE >SECCE2Rv1G0064870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5321450:5322809:-1 gene:SECCE2Rv1G0064870 transcript:SECCE2Rv1G0064870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGARFHNMLGGAGLGGGRGKLENESNGFYDMPYYHKVGESSHMSVDSADNMTSMNYVGGSVAMSVDNNSSVASNDSRTVMLNHPGLRDVPTPNYSVCNSVIYPNKAAASVLKEDALARVLMDPTHPTEILTNYEAWTIDLGKLDMGAPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDQERAQLMEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQTKSVPLRLAVKQALDVARGMAYVHALGFIHRDLKSDNLLISADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELMTGMLPFTNMTAVQAAFAVVNKNARPAIPQDCLPALSHIMTRCWDANPEVRPSFNEVVTMLEAAETDVVSNVRKARFRCCISEPMTTD >SECCE5Rv1G0365470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:807177676:807179973:-1 gene:SECCE5Rv1G0365470 transcript:SECCE5Rv1G0365470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRKLRYLIMSEVDLSASIDWTHAINMLPSLVTLELAFCGLRNIMPLPLHSNLTSLEILQLQYNSFNSSFGANLLVWDLPVIQVMYMDGCGIQGHIPDAVGNLTSMQSLSLSRNNFFGMVPSTFKKLKRLQVLCLSKNSISGGTEDLFYRLSADELQELYLDNNNLTGTLPDRIEQFSSLSTLWLSNNKLSGEIPVGIGELANLWELWLDSNNLHGIVTEDHFTNMSSLNHLWLSDNSITMLVNNTAWSPPFQLISAGFRSCILGPQFPAWINQATLNTLDISNTSIHDSIPERFWFELYRCKVSDLSENQIFGMLPTYVLFGGMEADILDISSNQLVGPIPKLPMNLILLDLSGNNLSGALPSDIGAPTIEILMLFKNSFSGTIPCSLLELQKLKFLDLSENQLNGTLDNCLRAPKTSNVTMLNLNNNNLSGGIPSFLQRCKELKFLDLAYNEFYGSLPAWIGSKLPYLAFLRLRSNMLSGGIPGELTRMNGLQYLDIAGNNISGNIPLSLGNLIDMAHTPSQKGDLFKIVNFGFVSVYKYTNAYTDSLSVVTKSQQLEYTTGIAYMVNIDFSCNSLTGKIPHEIGMLTPLTNLNLSWNHLNSTIPLNIGELRALESLDLSHNELSGQIPSSMADLTSLAHLNLSYNNLTGTIPSGNQLQTLDDASIYVGNPGLCGPPVSRNCAGTEITPWTPENQHEGTSDALSLYLGIGTGFVAGLWVIFCSFLFKRSWRILWFSFFDHVFDWVYVRVAVSWASFTRKAQ >SECCE6Rv1G0389080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:145310449:145312203:1 gene:SECCE6Rv1G0389080 transcript:SECCE6Rv1G0389080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHRRCSRLRRIVGGVSVGALLLLAGSGGHNAYSGRPVFSLPLGLGGPFPLVADSPAPPPFPFAADFSPSPSPSPQSQYSPFRLSDDSLARRLLPLRLRAGHSPPPQDADADAVLLPDQEVLFLDDTEPTGDAICAFQGGASSPARPLGRLPASGLQAYVCRLPEPAQSFQQLQAPLLLHSSTSPAAAAAPYSPSPSPGRALLNWSSDPIVFDSALLDGGDVLVFAKGVSRRQGLQCLYRYIDGTDTMLTSTPAMTSVQQVTRCPSPPTPIKSGGSTKVLVTLGVTGEDPMPSLATFDRQQAESSSLTPHKSSICACTMGRNISKFLREWALYHSAIGVDQFFIYDNGSEDNLAGLVAQLISAGLNITTVPWPWTKTQEAGLSHCAATQQASCQWMAIMDVDEFIFSTSWAELEMPSKSLLEPVISVDDSVGQIYLACYDFAPSGQTAHPPEGVCQGYTCRLKNPQRHKSLVRLDAVEPSLMNVVHHFKLKPAFKSIWTAFARVNHYKYQAWSEFKVKFKRRVSAYVADWKDPINLDSKDRAPGLGVDDVEPEGWAQKYCEVKDNILQLLTARWFGVGFGNPH >SECCE4Rv1G0294890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:893504379:893505410:1 gene:SECCE4Rv1G0294890 transcript:SECCE4Rv1G0294890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVKDYTDIGGRLHLRHSDRLMLPLLRGHLIHTYKYGRTRRKDLLSMVDTTAADTTTLRRVTGVQGFPLASSNGLVLTRVARELCVWAPATSCSQSLPSAPTFPVDVVATQRDDDTTNSVLLVGDDDDEGATVVGRQFHVVMAYLELSQHCRNMHFQTFSSEHGMWGRYNKIRVHKLQGSKLQWPLARALVVGDHAHWLCLTNKGDYVLKLQVRLVEQVTDGDKISAWAQSKQTGKWQRRSRVVIEIETILRFLDEAGASRPPPSRWEVKHDMELLWFAERSGTVLIKVLINMSIVGYFWLNLQSMKIVRWFSDRGVEYATENMPYEMNLAAWVPTFSSTL >SECCE1Rv1G0031190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:439426576:439427429:-1 gene:SECCE1Rv1G0031190 transcript:SECCE1Rv1G0031190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVKVFGSPTSSEVARVLACLFEKDVEFQLIRVDSFRGPNRMPQYLKLQPHGEALTFEDGNVTLVESRKILRHIADKYKRQGNLDLIGTGALERSSIEQWLQTEAQSFDVPSADMIYSLAYLPRTTPLGGSGTGAGQQKQQRDMTMTPSHMQKVQEMKQLFEKSSRDLSKVLDIYDQRLEEAEYLAGDKFTLADLSHMPNADLLASDARSAHLIQSRTNVRRWWDDISSRESWVYVKSLQRQPSAEAPF >SECCE6Rv1G0419190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:666901419:666904397:-1 gene:SECCE6Rv1G0419190 transcript:SECCE6Rv1G0419190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAKGRPSDALNVMVIDEDEFHANSAKSMFSELNYYVAVYTSPIEALGILEKKAHDVDFVIAAVDMEELNGFQFLEAAKDMHRNLQVIMMSAETTMYTMKRSIELGARFLVKKPLDPTTIHNMWQHLEVKILRLNRLKYMFQGVADRVQDGEEVGESVAQQKDGTKMINHLKWIPFLESKFLYALQILGANASPSKIKIIMNVETVTRKQISAHLQKHRKCMEREQNMAKLMDSFGNCASSSKSMKTCHTIPYTSSYHSDNDVQRTMMPSLFGDTQGVDVSAAMRRALQLGAVFDEFQYSSGPSSDEPCEVIGHITGEDGIVDEANGSNSSSHDQVAAQTYNSRAAQAITFRNNYHHDQVFNISKVPVEGLVDYPDSEDSD >SECCE4Rv1G0275440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:793471799:793478111:-1 gene:SECCE4Rv1G0275440 transcript:SECCE4Rv1G0275440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKRTGTGESSGEASGAPGQGSSQPAERAPQQHQQHGGGRGWVPQQGGRGGGQHLGRGGQYQGQGGPAAHRPGGPPEYQQREYHGRGHPGGHPPEYQPRDPQGRGHPGGGPPEYQPRDPQGRGHPGGAPTEYQLRGPQGRGHLGGAPPEYQPRGPQGRGHPGGGPPEYQPRDPQGRGHPGGGPPEYQPRDPQGRGHPGGGPPEYQQRDFQGRGGPRPRGGGMPQPSYGGHRGGRGGHNVPPGQSRTVPELHQAPDVQYQAPVVSPSASGAGSSSQPAAEVSSGQVEQQFQKLDISDESSTSQAIQPAPASSKSVRFPLRPGMGKCGDRCVVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVIAELVKLYRQSHMNGRLPAYDGRKSLYTAGPLPFTSRTFEIALQDEDEGLVGGQAAPRRERQFRVVIKYAARADLHHLAMFLAGRQPDAPQEALQVLDIVLRELPTARYSPVSRSFYSPNLGRRQRLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQLLCRDIAVRPLTDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFNIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREKDILQTVHHNAYYEDPYAQEFGIKIDEQLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVSHWACINFSRNVQDNAAKVFCHELAIMCQISGMNFAPEPVLPVLSARPEHVERALKARYHDAMNASKPPGKELDLLIVILPDNNGSLYGDLKRICETELGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALARRIRLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSVASGVRGGPPQGGPRSSTRFGNVAVRPLPALKENVKRVMFYC >SECCE1Rv1G0008060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:43874336:43874974:-1 gene:SECCE1Rv1G0008060 transcript:SECCE1Rv1G0008060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKDKNNTTEKGLFSNMMHGYPPHGGYGYPPQGYPPPGVPYPPPGAYPPPPQYGYPQPGGYPPHGGYPPVGYPGYGGGHGGYGGHMGYGGGYGGGGHGLGHHHGGHGYGHYGHGGHGYGHHGHGHYHGGHGWGHHGHGHHHHHHHGKHGYGKFKRCK >SECCE2Rv1G0069550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:29832890:29837572:1 gene:SECCE2Rv1G0069550 transcript:SECCE2Rv1G0069550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVAPSPPAPLPSAPPPSYPAAPPAPSAEDDDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLASSEKPNVLYSDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADITAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPESDFDFYK >SECCE1Rv1G0015530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:125623072:125625254:1 gene:SECCE1Rv1G0015530 transcript:SECCE1Rv1G0015530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLTFLLCISLFEGWMVQSVPYDYSASIRCLSSPMRALYKGGVIENSEFNSGLTGWLVPWGVTANVSRSPSGNNFAAASNNGQPSRSVYQKIQMEANHHYSLSAWLQVSSGTAVVRSVFKDPNGAFIAGGSTVAKSGCWSMLKGGMTSFASGPGELSFEADGRVDIWVDSVSLQPFSFPEWEEHRRLSAGKTRRSAVKVVARGADGVPLANANVSVQLLRSGFPFGNAMTKEILDIPAYEQWFASRFTVASFENEMKWYSTEWMENREDYTVPDAMLRLAEKHGIAVRGHNVLWDTNDTQMAWVKPLGVPQLEAALSKRINSVVSRYAGKVIAWDVMNENLHGEFFESRLGPNASSEAYRRVAQIDRTARLFMNEFGTLEEPLDVAAMSSKYVAKVRQIRSYPGNRGIKLAVGLESHFGKPNIPYMRATIDMLAQLKIPIWLTEVDVSQKAAPYVPDCLEEVLREGYGHPNVEGMVLWAAWHAQGCWAMCLTDNNFNNLPTGDRVDKLIAEWRTHPEGATMDANGVTELDLVHGEYNFTVTHPSLGSPAVHTLTVDASSSAVEHTIDIKV >SECCE3Rv1G0189140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721710468:721711617:-1 gene:SECCE3Rv1G0189140 transcript:SECCE3Rv1G0189140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPSQKDLFEVSGPTYLTYVNWNCPHHQRSAMASLVQGVYVLERDRQRNRQGPDARAPAWWKFFHFELRQVLVDAADSSIFGAVYAFQPPYHLIDPAAAASAPHYVVAFRGTVTKKASASRDLELDLLLVRSGIEHTSRFRIAMQTIQDVARHGRVWLAGHSLGSAISTLAGKTMARAGVVLTTFLFNAPFLSAPVERIPHKKVKQGIRITKSFVTAGVATVLHKGGGGGDDAFAALARWVPNVLVNPADPISAEYVGYFDHRKKMEDIGAGAVGRLATRHSVKDLLQGIGKPGGCEPLHLFPSAVLTVNRGPSPDFKSAHGIHQWWRPDLALECRAYSYA >SECCE7Rv1G0483200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:318563148:318564438:-1 gene:SECCE7Rv1G0483200 transcript:SECCE7Rv1G0483200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase zeta processivity subunit [Source:Projected from Arabidopsis thaliana (AT1G16590) UniProtKB/Swiss-Prot;Acc:Q94FL5] MDRKNQTPQGQIAQVIVEFLEVAVSCIVFLKGFYPTRAFERRRYMNVVVQKAVHPQLADYIHSVTTGLLPFIQKGLVERVVVIFHDKDHVPLEKFVFKLAVNQTYGSELEESSLEFALRAFLIKLTVAGPLTKPLPSDSSWEITAYFRSLPADGAKDEEDEARLWIPTDTKAWMQPPQITPIKSMGCDPLKMQLYLEQPGPSAEAEDPAS >SECCE6Rv1G0411980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611679741:611681225:1 gene:SECCE6Rv1G0411980 transcript:SECCE6Rv1G0411980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASPSLSPAACLTLPPPAQRATPKPRRRAPPGDVVSWTSAIARPAREGDLPATGAALSAMLSSPAAPAPNDVTLLTVISACAGAPSSALARPLALSLHALAIKLFPCNLLICTCLARFYLASRLPHHALQLFGSMPVRSVVTYNTMVTGLMRNGLVAAARDMFDGMPEPDKVSWTALIDGCVKNGRHDEAIDCFHAMLLDGVEPDYVTLVAAISACAEVGALGLGMWVHRFMTRQRLEGNIRIANSLIDMYARCGKVEFARQVFDSMRKRTVVSWNSMIVGFAANGRCTDAIEHFEAMRRKGFKPDAVTFTGVLTACSHAGLTDEGLRYYDAMKVEHGIPPRMEHYGCVVDLLGRAGRLNEAMSVVASMPMRPNEVVLGALLAGCRMHGDVDMAEQLMQYLLEQDPGGDSNYVLLSNIYAAVGKWDGAGKVRGLMKARGVKKRPGRSAVEIDGDVHEFVCGDRSHQQAAEVLDMLGLLSHEMAGREAVRYE >SECCE6Rv1G0451020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:870756612:870760299:1 gene:SECCE6Rv1G0451020 transcript:SECCE6Rv1G0451020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVATMVVGPLLKIVMGKASSYLLDKYKVLKVMEKQHEVLMRKLPAILDIITDAEQAAAHREGAAAWLQAVKKVAYEANEVFDEFKYEALRREAKRKGHYKELGFDVVKLFPTHNRFVFRNRMGRKLCKIVQAIEVLVTEMNAFGFKYQQQPPVSSQLRRTDHASTDPEEIKKIINESRANDKTEIVSRLLFPLLEWGGLGKTTFAQVIYNVPEIQKHFDLLLWVCVSDNFDVDSLATRIVEAAPHKKDGGTKETAPNKKKTPLDKLQDLVSGQRYLLVLDDVWNKEVYKWKQLKAGLKYGGMGSVVLTTTRDGGVAEIMRTVEAYSLTALKDQYIKEIIETTTFSHLKKEEERSAELVNMVDKIVGRCSGSPLAATALGSMLSTKTSKEEWETVLSRSSICTEENGILPILKLSYNDLSSRMKQCFAFCAIFPKDYKIDVDKLIQLWIAHGLIQEKQVRLETIGKQIFSELASRSFFQDVEQVEATVKEVEHNWSCYSRTTCKMHDLMHDVALSVMEKECALATEETSQSEWLPNTARHLFLSCKEPERKLNSSLEKSSPAIQTLLCDGYMKCSLQHSSKYNSLHALQLCSQRRSFQLKPKHLHHLRYLDLSRSRIRALPEDTSILYNLQMLNLSGCRSLCRLPRQMKYMTALRHLYTHGCTQLKCMPRDLRKLTSLQTLTCFVAGSDPNCSKVGELGNLNLGGQLKLRNLANVTKVDAKAANLVNKELSELRLTWTFRWDYYKGNASWRDNEEDAEVLENLKPHDGLHAIGIQSYGATTFPTWMTMLQNIVEIHVFSCRKLVWLFSGECDTSFAFPHLKELMLKGLDCLERWWEIDNDGMQGDAMMFPLLEKLHISNCVKLKALPGHPTFPMLQNVCIEGCPELTTTTCKSPKLSVLNMEGREVELFLWVARHMTSLTNLELTSIEQSTDTTSMGDENSLREVLNVKENGNDQDFPLEVLVLKDFKSGVSVTKLYACFVHLQDLSIWTCDALVHWPETLFEGLVSLRKLQIRQCKNLTGYAQASAEPSTSSETSHLLPCLESLMIGSCENLVELFNVPASLRAMQILNCSKVESISSRRLQRGQSVSLIHQGSSGIEDLSLYRCDGLTGVLYIPPSLKRLDISYCGGLTSLESLSPELPSLEYLMLWECKTLESLPDGPQAYSSVQRLTIKYCPGLKTLPSSLQQRLGSIQYEHVDAHLYGSKHAAITFSITTC >SECCE7Rv1G0498890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:647680677:647682680:1 gene:SECCE7Rv1G0498890 transcript:SECCE7Rv1G0498890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAIEFEREQDDPLKLSTKTRQLGLIVCRGTAVMLVSPTEGTEEIKNPFQEADGEQT >SECCEUnv1G0532610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:22181320:22182971:1 gene:SECCEUnv1G0532610 transcript:SECCEUnv1G0532610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDEIQPLEHPDAAAASGDVGEEDHLARLPEDVLAGVLRGVPLRWLAASRCVCTAWRDAIDAHGLLRADLLPLSLAGLFVHFDEHKYPEFLARPSSAAGAPAISGNLSFLPSTTPHAGTIWDHCDDWDDYNIEDHCNGLLLLSNNCVVNPAMRWWNTLPKCPAKNDQGIVRYHEHLVYDPMVSPYYEVFMTPLLGDYPEDEVDPLMEESEWPPSLCKMYVFSSKSGSWEEKYFLREGDAAGIVREMRVGYWPFNSVYFRGALYVRCRGDWIMRISLSNNTYSVIKPPVDPRAHYSHVEVVRSKKGVYFVAFDERWPQRKYWLGVWILNESCGQMEWMLMHDKNLKHVLARHRRYHGRFHWILEDINYNLFRSSSFPEDIKKAATQEYLGWYSDDDVENEGMVKQCCLENNKKSIVENKFDWKSDNHNVPNDDDVVEEHYDGSYYYDIEILGFHPYKEIVFLSASESTALAYNLNGSKIEELGNIYPKEYVYFEELSNEREKIKSFPYTPCWIEEFPGNN >SECCE6Rv1G0419910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:674276378:674277334:-1 gene:SECCE6Rv1G0419910 transcript:SECCE6Rv1G0419910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGGEEALRRQYSIGDEIGRGRFGTVRRCHSNATGEALAVKTTPKAPLRDPLDLALAEQEPKLHLLASSPPCSPHLVALHAAFDDADAVHLVVDLCAGGDLLSLLSARGGRLPEREAAGLAAQLAAALAACHRRGVAHRDVKPDNLLFDAATGALKLADFGSAEWFGDGRRMSGIVGTPYYVAPEVVAGREYGEKVDVWSAGVVLYMMLSGAVPFYGAAAPEIFEAVLRGNLRFPPRAFAGVSPEAKDLMRRMLCKDVSRRLSAEQVLRHPWIASCGGDAVAG >SECCE6Rv1G0444330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827437666:827439180:1 gene:SECCE6Rv1G0444330 transcript:SECCE6Rv1G0444330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVDEIHKILRERIEQYNRKVGIENRGRVVQVGDGIARIIGLGEIMSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVVNALAKPIDGKGEIIASESRLIESPAPSIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQGVICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVVAEMADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIFETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKTSQNQLARGRRLRELLKQSQANPLPVEEQIATIYTGTRGYLDSLEIEQVNKFLDELRKHLKDNKPQFQEIISSSKTFTEQAEILLKEAIQEQLERFSLQ >SECCE6Rv1G0414830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:637208733:637219191:1 gene:SECCE6Rv1G0414830 transcript:SECCE6Rv1G0414830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQSIPLDPGDSDGAVLLRVLGRLLLAVCPASLHLWSASHHKVRLARLDRSPDSLAAHGPNAHAVWSPDAKTVAVLTSSFYLHIYKVQLSGKPLIVGGKQLPGLCLASISQIIVEKVPLANDISITSNFACDSKSMLLALSNGHLQVVSWNAEFSDSFKLRCSACSPDKTTAVVDALVFDPPSLRGNSNARPAPCCTGDFAIVHVELSVKLRLLVAVYSDCQVALCTVGKKGLKQTSGIRVDRWLSTGDAMCTSVASEQQILAVGCSRGVVELYDLAENARHIRTLSLFDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTFRQAGSNSALSPMVKPNAQKFEPLMGGTSHIQWDDYGYKLFAVEESLSERVLAFSFAKCCLNRGLSSTTYTRQILYGEDRILLVQPDDTDELKILHLNVPVSYSSQNWPVLHVVASDDGMYLAVAGSRGLVLYDLRNKRWRFFGDVTQEQKIQCKGLLWLGKIVIVCNYVESSNTYELLFFPRYHLDHSSLLYRKPLLGRPIVMDVFQDYILVTYSPFDVHIFHVMISGELSPTSSPVLQLSTVRELSIMSPKSPPVSMRLIPELTDEGVLKEDTNGSSNLSSQQPSRCLILRVNGELSVLDMDDGHEQALTNSVELFWVVGSQTEEKANLIKEVSWLDYGHQGMQVWYPSHGANPFRQEDFLQLDPELEFDREVYPLGLLPNVGAVVGVSQRTSFSTAEFPCFEPSPKAQTILHCLLRHLLQRDKHEEALRLANLSAEKPHFSHCLEWLLFTVFEADISRPSASKNQLPQKSESPKKSLLEKTCDLLRNFSEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENANADSEKLSPRFMSYLLFRSPYKRQSSDLKSNSMKELSPHINSVMNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERQGSARLENFASALELIGEKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYSITLQSHDVFSEYLDLLSALEEELSSVSDRTLQSNGPVS >SECCE6Rv1G0452280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:875942773:875946258:-1 gene:SECCE6Rv1G0452280 transcript:SECCE6Rv1G0452280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHPKLYIGLSGLASDAQTLYQRLVFKHKLYQLREERDMKPETFASLVSSMLYEKRFGPYFCQPIIAGLGKDDEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETISQALLSSVDRDCLSGWGGYVLIVTPTEVQERLLKGRMD >SECCE5Rv1G0356140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:737384032:737384588:1 gene:SECCE5Rv1G0356140 transcript:SECCE5Rv1G0356140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQGQHDNPANRVDEHGNPFPLAGGVGGAHAAPGTGGQFQAHRGEHKTGGILHRSGSSSSSSSDEDDGMGGRRKKGMKEKIKEKLPGGHKDNQQHMATGTGTGGAYAPGAGTGGAYGQQGHTGMAGAGTGTGEKKGIMDKIKEKLPGQH >SECCE7Rv1G0507210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:759461871:759465313:1 gene:SECCE7Rv1G0507210 transcript:SECCE7Rv1G0507210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVSEGREQPMLEKEISSHKEHHFTAGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAKSEADHYERELRREQEEIIAVPDIEAAEVGEIMSQYGLEPQEYGPVVMGLRRKPQAWLEFMMRFELGLEKPDPRRALQSALTIALSYVVGGLVPLMPYMLIPTAHDAMLTSVVVTLAALFFFGYVKGRFTGSRPLFSALQTTFIGAVASAAAYGIAKAVQA >SECCE5Rv1G0325960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:471439168:471458603:-1 gene:SECCE5Rv1G0325960 transcript:SECCE5Rv1G0325960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAGGAATAMLQLLLVTHLIVATCSSQQAAGPRGAAVPALVSVPRPTITADSPDQFRGKLRLPRFALPSHYELHFRPDLMSYTFSGVVAITVVVLAPTRFLVLNVMELTIDRASIHFKLFQCLVPTEVVFFKDDQIMVLGFRKELPLGEGVLRMHFNGTLNHEMMGFYRSKYQYKGNTTHMAVTQFQPVYARWCFPCWDEPAFKAKFKVTLEVSSEMVALSNMPISSETVGGSMKIIHFEESPLMSTYLVAMVVGIFDFVEDVTSKGTKVRVYTEVGKSSQGKFALDVGVKSLDFYNDYFGTPYALAKLDMISIPEFNWMGMENYGLITFHPTVFLFDGLSTTSTKQQEIAVLVAHELAHQWCGNLVTMEWWNHIWLNEGFATWMSYQAVDSFFPKWNILLDFLENTMSTLKLDSIAGSHPIEVEIHHTNEIVRIFDDIIYIKGASILQMIQSYIGAEHFQKALKKYIQKYAYSNAKTEDLWVVLEEETGEPFKDFMSTWTKQPGYPIINIKHKGKGIQVEQAQFVLDGSSRAGLWDVPITLRCSSSTNKFVLKHKHDNFDVCGERERGGNIWIKLNINETGFYRVKYDKQIKTRLQNALEANEFSSMEKIGILENSLMVSISGEDTLASLLCIVYACREVADYNVLTHIQAITITIYHVSIDATPNLVDDIKKLVTKILLPPTLKLGWDPKDGEGDLIVSLRETLLVSLVKLGHDHTISEGLRRFDTLIHDHNSSILSPSTRKAAYLSVMQNVSSSNRSKYDSLRQLYKDLGDEEEKLRVLGTLSSCLDKDIVLESLNLIFTNEVPNYHAVYVLKGIGIDARETAWSWLKENWDRVLKVVPERDLLWSIVNDILPLFTTNEKAEEIAKVFRNYTDPELQSALQVKLQMVRINMRWIDGIQSEPNLAKTLNELLHKP >SECCE6Rv1G0429490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736106428:736107521:1 gene:SECCE6Rv1G0429490 transcript:SECCE6Rv1G0429490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQNAASALAVALVVGVFVSIATEVQSIGVCNGMLGDNLPSRADVVQFYQSQGIGAMRIYAPDPETLRALDGTGIDLIMDVGNGDLAALASDPAAAAGWVRDNVLAYPGVHVKYIAAGNEVDGDDTQNILPAMKNLNDALSAAGRDDVKVSTAVKMSVLASSSPPSDGAFKDAYMTDVAQLLKATGAPLLANVYPYFAIKGDHNIDISYALFQQSTTTVSDNGLTYINLFDAMVDAMYTAMEKVGAAGVPIVVSESGWPSAGGVEASVANAKAYNQNLINHIGNGTPKRPGPLETYIFAMFNENQKDGDETEKNFGLFNGPDKSPVYPLSFNN >SECCE2Rv1G0074190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:74810823:74811939:1 gene:SECCE2Rv1G0074190 transcript:SECCE2Rv1G0074190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTHCTPGCDPGDAAIAGATLQIFTIKLEELKGGLEWPLSVYGVVAARDCVDHSRNLLFSCDRWRSQKLSQEDPFLRLIGPSRAIVFTDDVYFETELRVKGKTLSQDIALISGRRHYSGGRTISFSNCFCRIELCMERIHETVQATILGVRVKNGPWPFDYGGKVACLSPSRTYEVTGGKVFYTTHAPSMEVVLLASRSRTMPKGSYGYLHLSRHVVSVELEGSLNVVIQAYSESGDITAQGEVCFTPKACNISQQTCFLGDRDPKVELEITVAWSLLVSNRRHLMMNGMDFGELKEAVSGMGV >SECCE3Rv1G0211030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946868417:946869529:-1 gene:SECCE3Rv1G0211030 transcript:SECCE3Rv1G0211030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRALELTLISGKDLKDVNLFSAMEVYAVVSLSGDPRSRQRVATDRSGGRNPTWNATVRFAVPASAAGSVHVLLRAERALGDRDVGEVHIPLSELLSGAPDGPVPVKFVAYQVRKIGSGKPQGVLNFSYKLGEVTQGHGGGAAYGGAQAAYAQPPPPAAYPPQGAYPPAGKADAYGAPSAYPPPGNAYPPQSAYPQAAKADGAAAAGAYPPPSGYPPAGKTGEPSTAYPAPAGYPPAGPSGKQAKAGEPVTAYPAAAAGPSTGAPYGAPPPQYGYGYPPQQQAGYGYPPPPPQGGYGGYGYPPQQPAGYGYQQQAVKPPKKSGMGMGLGAGLLGGALGGLLIGDMISDSAGGYDGGYDGGFDDGGGFDF >SECCE7Rv1G0480180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:266756061:266756729:1 gene:SECCE7Rv1G0480180 transcript:SECCE7Rv1G0480180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSFLPTARPLPAFQTLAAAPRCPRPLRRSTIRAAISRGRKEDTVAVVREQLEGCYLLAGIRYEGLTVKQFQGIRDALPESCHLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPVALKPYRAFQKEERVEETNDFIGAVFEGKYYEPAEFKSLETMPSRAEVYSKLLGALNGPATSLVTTLQAPARDVVAVLSAYVRKLEEESGAGADAGTA >SECCE5Rv1G0315880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:297556210:297562042:-1 gene:SECCE5Rv1G0315880 transcript:SECCE5Rv1G0315880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGRGGGGVGGRGPRDERPGGGRDIRGMRDRRPDDMGTRDRRPENRPRCSAGRSPSPAYRPHRSPRSRSPSPGYRPRHSPRGPSPHHRLRRSRSPDHRGRRSRDDDGERNLPRRGQGDYGREPIHQERHLCSPRGSHDGRGDRSSRASYVGRSERGPNRNFDSRGDCVTSGRYDAPPDYMLPEHPYDQGRAAKNASDFFGGPGDRSINKGDEFYGDAAMKLRVSSTGLGRTSSMYLDSRSPPPPPPTISLAPRTMYPSVPLRETGFLTGSSMLKGGESLGAGSTWLPHDDSRFQYHDHLPGPYAGNREIERLGPGRDVLSDRDQELDWLYSSRGVYGSDITPSMQLKQYAGSSPSVLAKDSPYRVHGGGYEPSNGYAMDDISKPGSLGHGSGQVHRFSESSLEHLSGHDDKISLDITSQTHAKYPPTTASMEYDADRYGRRGPENDTYFAFGNFRGNYSRDSRASPRHILVSSPLTDLEDERSNAQVRLPRRMGEDSEYNTYHNYHRDTPTGYPKPRNAHVRYSRSPETYPLKLARQPVRQREFASLENGCQSSHREDSPVAYRRGSRGAAYSIRDMDMYRADGSLGREYYNDEIGLEHYNDEIGPYDLSPEKLSRRSCDIIDDEDGYEARYDMSSSRNVFSRIALPDNMDDEWIDAEEGNHSHPNGLAHGRSKYKPMSQRLSRPIVQPQIGGSAMLGRGRGGGWTKSVKKRPRAGLPQFHGGYMSERNESIRPNKFTKLSEDNQKRTEPDYEDAPDEEDLSVQKDPPEGSEEFNKQVHQAFLKFSKILNESPTMQKRYREAPKGSLSCCVCGSVARKFTDIDALMSHAYDTHKPGLKTKHLGFHKALCVLMGWNWHVAPDTSKAYQSVPAEEVNAMKRDLMVWPPVVVIHNSYITNKAEVSEAKIVTIEEIEGVLADIGVACDKAKITQGRPANQSVFVVKFLPTISGFQEAMRIHDHFSSENHGKEELQQIICEKGKSSVPGDKLEELLYAHIALAEDLGYLDDETKKRCVVRSKNEIEARADATLNLDS >SECCE7Rv1G0501140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:679802114:679802521:-1 gene:SECCE7Rv1G0501140 transcript:SECCE7Rv1G0501140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSSRSPEITSVCSDSEPEEPSPVISGDGGLVVQLVSRDVSDGLLGKFADTSEFDFDYGRSGLWSPLVLRPEVLLFAQSSAAAARRRQRRWRWRKRRKMLCRCF >SECCE5Rv1G0361660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780645109:780647421:-1 gene:SECCE5Rv1G0361660 transcript:SECCE5Rv1G0361660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGGRLEVVMFPWLAFGHMLPYRPRLAARGHAVAFVSTPRNLARLPPVPPHLSGRLRFVPLPLPRVDGLPEGAESTADVPPGEHGLLKMAMDGLAAPLAAFLADAVAAGRRPDWIVHDFCHHWVPPVADEHKVPCAVFQIVLAGMAAFMGPRGANTAHPRTMLEDFTVAPAWYPFPSTVAYRRHEAGWLADNFRPNTSGVPDIHRMWQINERCRLVIYRSCDEVEPGMLALLTDLYQKPSIAAGVLLPPPDLSGDDGGVRPDVLRWLDDQPPQSVIYVALGSEAPLTLKNLHELALGLELAGVRFLWALRTPTGMSDYGTDADDTGVLPDGFEKRTRGRGMVETGWVPQVKALAHGAVAAFLTHCGWGSTVESFAFGHPLVMLPFVVDQPLVARTMVEKGVGVEVARNESDGSFDREDVAAAVRRVMVDDEGKVLASNAKKLQELLADQERQEWYIDDVVEHLRSYKDE >SECCE6Rv1G0450190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:866905429:866906901:-1 gene:SECCE6Rv1G0450190 transcript:SECCE6Rv1G0450190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSNSTQESKQPSPELPKQLPFDFLKKITNDFAEDRKISGSPFGTLYKGVVPDDDIVIAVKKLQENAPMPADKQFNKEVQNVMSLKHDNIVEVVGFCSETQKKLVQFDKRYIQADITESLICYEYLPKGSLQENLFESKESTKPEISWDTRFKIIKGICQGLFFLHKLDIPIVHMDLKPENILLDANMVPKIADFALSRVFGQEQTRLCTQTVVGSYGYMAPEYLYRGEISAQSDIYSLGLVIIEIATGEQNPREKDQPSARSFVDKVRKEWTLENITSKYSSLDHESLQQVKTCIDIGTNCVEIDRTKRPRIEEIVDSLKGLRPSQRVTEVILQGAN >SECCE2Rv1G0133300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895858817:895860862:-1 gene:SECCE2Rv1G0133300 transcript:SECCE2Rv1G0133300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVELSWGARCAGLAFFSLPIFTVALGALLLLVRRWPNPWCGCHVCRAYLTGSWAKDFTNLADWYAHLLRESPTGTVQFHVLGCTVTANPANVEYMLKTRFDNFPKGKRFAALLGDLLGAGIFNVDGDAWRHQRKMASLQLGSVTVRSYAYKIVSQEVEARLLPVLADAADKGKVVDLQDVFRRFAFDTVCKISFGLDPANAFDTASRLCAMRGAAASPLVWKMKRMLNVGSERELKKAIKLVDDLASAMIGQRRTLGFDNTHDLLSRFMASDVAMDDKYLRDIVAYFLLAGRDTVASALTTLFIHLHKNPEVTAAIRAEAGSDKPSSTYEHLMSLQYTHAVLFENMRLFPPVQFDSKFCAAADVLPDGTYVEGQSRVMYHPYAMGRMPSIWGADYEAFRPDRWLTGPGGSFAPANLYKYPVFQAGLRVCLGKELAITEMKAVGVAVVKAFDVEVVGENGRSGWAPTFVPGLTASISGGLPVRIKHTTQNS >SECCE1Rv1G0009510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:55061053:55061595:1 gene:SECCE1Rv1G0009510 transcript:SECCE1Rv1G0009510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPLGKKTKGRQRRENRLVEDRESRQVTFSKRKSGLWKKASELAVLCRASLAVVVFSEAGKGFAFGSPSTDTVLAYAGYGDADVPAAAATDDVEWEALEALCRETEKKGVEVAAEAARMSAVGAKVVDVQTRAGRRFWWEADVEALGEAELPEFARALQCLRDNVRRRADSLLTALPPP >SECCE2Rv1G0104630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:608964312:608967809:1 gene:SECCE2Rv1G0104630 transcript:SECCE2Rv1G0104630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPDEILLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGELYCDVPLGLYVIRGENVVLIGELDREKDELPSHMTCVSEAEIRTAEKAEKEARDLKGTMRKRMEFLDFD >SECCE7Rv1G0483520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:323605299:323607029:1 gene:SECCE7Rv1G0483520 transcript:SECCE7Rv1G0483520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSASPLLLPSGPRGASSRAWLPSGGRAFIRGGNSRAPCCCRAAAGGSAAQCEAADNNGGAVTRRGVVGAVVMGVSSSALCLQAALDAVAGGLPPEEKPKLCDAACEAELENLPMVTTESGLQYKDIKVGQGPSPPIGFQVAANCIAMVPNGQIFDSSLEKGQPYIFRVGAGQVIKGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVAPSSPVVFDVNLLFVPGLDDDE >SECCE7Rv1G0523600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882620097:882620702:-1 gene:SECCE7Rv1G0523600 transcript:SECCE7Rv1G0523600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLAATSKLSLALVVVVFLLGSSAATAHGLRRVVSSSSDEPCNEMTLYYHDILYNGVNNMKNATSAAATKPTALSTTHWKNGTYFGTLVVFDDPMTVGKALPVAGEEPAARAQGFYFYDKQESYTSWFGFSIVFNSTAHKGTINLVGADLMDDKTRDLSVVGGTGDFFMARGIATLRLDASEGTVYFRLQMDIKLYECYV >SECCE3Rv1G0152180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:45247596:45248060:1 gene:SECCE3Rv1G0152180 transcript:SECCE3Rv1G0152180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSATWNTCSRIFLKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE4Rv1G0291210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876107510:876108670:1 gene:SECCE4Rv1G0291210 transcript:SECCE4Rv1G0291210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRERIASHLLSSSTTHAISPLHRLLSAAAAAAPISPTQGFAVEEYLVGTCGLTRPQALKAATKLSHLKSPANPDAVLAFLAGLGLSGADAAAVVAKDPQFLCAGVERTLAPVVAGLTGLGLSRAEIARLVSLVHSRFRSRSIVPKLEYYLPLFGSLDSFLQASQRACYLLSPDLDKVVKPNVMFLRECGLGDCDITKLCISEPRMLGNKLERVQAMAARAEALGVPRGPGMFKVALQAVAFLSDDKITNKVDHLKKAFSWSDAEVAVALSMAPMLLKRSKDILQRRFDFLVSEMGLEPGYIARHPVILYYSLEGRIKPRCYVLKFLKENRLLDRNWSFYYVVTMPEKCFMNKCICPHKEAAPHLAEDYAAACRGDMPTNFRLT >SECCE5Rv1G0336510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:584713537:584713824:1 gene:SECCE5Rv1G0336510 transcript:SECCE5Rv1G0336510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVTVPTEFKLRTNTGCSWKVTVKLMNGRVTLDQGWATYAAVHEIKIGYMVTFKLLTPDTLKVIIFDDDGIEVVNKCRKHDEAFAAKD >SECCE3Rv1G0149380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:24605247:24605927:-1 gene:SECCE3Rv1G0149380 transcript:SECCE3Rv1G0149380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVDTLAGETVSLKVDPSDPICLVKAKIQDKQHLTFKGEQLDEGRTLADYGVHDGSALGLRLHPLHEKMQIHVVETLTGRVMNIAVTTSDTVDDVKAKIHNWHGFPKDQQCLIFANRQLDDEGRTLADLDIRNNTTLLLVLQSRCPRGRMNMYVKTLRGKFYDLEVDRADTIYNVKEKIWAKEGIPPDLQLLIFDRKLMEDDRTLAKYNIQMYEVLYFGLNLRG >SECCE4Rv1G0219250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:29058311:29059360:1 gene:SECCE4Rv1G0219250 transcript:SECCE4Rv1G0219250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLDVLLGRTTKQTARLKSLLGLATKRIAVVRAHREVRCAQARGDVEQLLRQGHPDRALLRAEQVIRERDTLDVLLLLDAYCALLADRSALLDDARRDCPGELREAAAGLCYAAARCGDLPELQEARALLAAKFGRGFASGAAELRAGCGVNAKLVQRLSTALPSLETRQMVLLEIGADKDIPVRLHDDTSYHHEDSASRSHHGHGHGHRKKRHDDDDDDDERRHATPRADDDNTDSPRTFKDVEEAAQAAFESAATAAAAAKAAIELSRAGPGSPDDRYRRNPGRAHADDEMLHGGDDLADDKAFKRIGHVRNHSSDAEDLPEKKRGEEQDTPRSRPASVRTNRRL >SECCE3Rv1G0182870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:638429005:638434759:-1 gene:SECCE3Rv1G0182870 transcript:SECCE3Rv1G0182870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSSHRSHRRGGSAERSESEGEDAGAPGPREEAVAVARVPRDPEPERRRSSSAKELVSSGNGYSEHGKKRKDRVEETVVDVVSDRWNSGVCEDHLVEKRSKSEVFGPVDVEKQVEKPKASGDEPKRSSRRTVGLDERVEEVVSKSDSAKRRSEKEKDPVRRESSGQHKDDRDRDRERDREKEREREKEWERLKERERERGRDREREKEKEREREKEKERERERERDKERDRERERERDRERERERQKDRERDKKDYDSKHEKYEDGSARKSGSKTSRGEDEGYSYKRHIEINDTPAKERHSNPDRETDKHSRRKDDSEDKDKWPSDNRDSDDRKTLSRYDHGKVRSSKEQRFDDEKYKQKYKDDYERDKRQQDDKCLDERLIRDHGSDRVDYKSTKDGHRISEGHYRKDIVQDGDRYDEYGSRYKENRGRKRPPEENDDHYDLKTPSAREQRGNLEKSSGSGRLDSLIERARSEHRHQENVDSSPSKVHARTSPGSNPHHEKDQSWHGSKLADNTKREIQCDERSIRPRTSSGRERTPASRLRDRDTDNWSSERVKQKDDLQSRDIPLEISTSSQFDRTPRKDTHPSPKQLSDKSPSSNDQRFSGRLSGGRSLDNKGERSNLTKYRDRDGDLSLERSLHQDRTPSKIPYRESTPSASSISRGGHFSGTSPNRPLLPPARHRDDSSFLGSHDDDRRLQSGDRRFHGHQKRNDMNSGRGHGHAWNNAPSWPSQVANGFVPMQHGAPGFHPPVHQFHPPPMFNLRPQMKLNQTGVSYPMHDTVDRFSPHIRPFGWPNPLDESCPPHLQVWNGGSGVFGGEPYMYGRQEWDPNKLHAGSRGWEATGDAMKGQNELLDTEFPVAKKELDSLATPVSESSGGQCNLNPYEQKEANHLISEKHEAKGDIVSATKSSDAPRGTTLMASMLSSNGTAVFSRNYLSRVSVSHDLVESELYKRCKSLLGGLGIADGGPDVVWNGSIQKNGKAGKLTREQGSPNLLGLFYLKNNDATFQRAMALHKNQTERAVAPTQAQTDGKMDSTQENNEDTEMLDRTPLKESTVSNSVLHHRAGIIEGPPVKSVPGDILGVTPPATTESADVDSPPAITESGDVDAPRAITESGDVDAPPAITESGDVDAPRVIIESGDKEMVAPPVTTGPDEGMEVAASPSMTEPDKNMEDVPSPAVAVPADGPADGATGLIIEHIDCSQEAPSANQELGDAIEVVPPASTEQSGQVKDDSPSVATPNSGEAVNMHAAVEKDIDEVTDDSPGDGEVNASNFVSELDVVASGAQDCEGVLVEDRVNLSRIPNSPESTH >SECCE4Rv1G0230490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:164128187:164129959:-1 gene:SECCE4Rv1G0230490 transcript:SECCE4Rv1G0230490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASALSDPRFQALLEEEKKKAMMNEMIAKLTDTCWDKCITGSIGSSFSNSETSCLSNCAKRFIDVKMLTIQRANSSS >SECCE1Rv1G0010730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:65442081:65444609:-1 gene:SECCE1Rv1G0010730 transcript:SECCE1Rv1G0010730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPVTPPQLAALLLLVLLLLAPAEVTASTLAVAGGSAVCGVAADNGTVYCVAAGAGSLAYNSSASPVAPYLVFSQVSGGAGFLCGVGAVPGGGGGPALFCWPPAAQGQLRRVFKGPAPLLDLAVGADHVAAYDGQARGIRWWRRGSGQFPELALGAFGSLVSGDGFTCALDTASSSSSAVRCWGARGSAVQAAFANASVSSLAAGGSRACGVVSATGAVVCSGFVDKLPQNDLYPHGLAVGDSHACGLRRPNRTAVCWNLAGPTPTVYYPAYGTAFEFLVAGGNLTCGLVTANFTVQCWSSSSSPVENAAVMVPLPSILPGSCVPDESSCECGVFPRSGELCASARAGGGVICNRLCDNLTPPPPPPASPPQSPTTATKRVSKVWIAFAVVGAVGVFAGLCSIVYCFVFGFCSHKRIHNSVQPNIASNAPAAAADNVGGVGVGAVAVASPYGSPNGSRARGLFRRQLSRAMTRQRSGPSSFNFKEHTEEYTFAQLATATNGFAPEAKIGAGSFGTVYRGKLPDGREVAIKRGEASGPMARKFQEKESAFRSELAFLSRLHHKHLVGLVGYCEENEERLLVYEYMKNGALYDHLHPKGGDAASPVVSSWKLRIKILLDASRGIEYLHSYAVPPIIHRDIKSSNILLDGAWVARVSDFGLSLMGPPETEEAQAQQPHLSMKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLETLTGKRAIFKEAEGGNPVSVVDYAQPSIVAGELGKVLDGRAPEPSPHEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETAFALCEGSAGGSRGAGGTTGGGGFGNSSSSASLSMTSMELSGRLAE >SECCE2Rv1G0131590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:885471373:885471779:1 gene:SECCE2Rv1G0131590 transcript:SECCE2Rv1G0131590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRRSRARRAGSSPSISEEQISELLSKLQALLPESQARNGAHRGSAARVLQETCSYIRSLHREVDDLSETLAALLASDAVTAEQAAVIRSLLM >SECCE4Rv1G0231800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:189122740:189125181:-1 gene:SECCE4Rv1G0231800 transcript:SECCE4Rv1G0231800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEEAAAAQAEGDLLRDFERILHDDPLIDEVGFLHPTQFHSLLVDSTNKSTSQYFWCADHKLAISSNILPDLYRAARRAHSNSTLNPSSPPSASAAALIMTHSKALLILCPDLLTAWNSRKMVLSTNFNLSHLKDELRLCALILSYSPKNESTWSHRRWVIKKLAQQLQHIPELIDKESLLAKDIAEKSKMNYRAWRHRCWLIPYMKTEQVLDELNKSKKWAELHVADNCCFHYRGSLLLALLDGAANREDSLIFQSEAYLMWKDELRWNETLIRRYQGRESLWNHRRFLSQQWVQHLLGCEETSPSNESLADLFLSQEIHLLSDCLGAPGDEFGEIRVQAELAALYILWISKQDAAVKGKVEERLKSVGSLKEVLARACPEKSRLWTHLLHC >SECCE4Rv1G0244010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:508702009:508703061:1 gene:SECCE4Rv1G0244010 transcript:SECCE4Rv1G0244010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G38160) UniProtKB/TrEMBL;Acc:F4JSY9] MYDASSHFSCRAEISPAMASVGGDAKMSLTQWLREKGFDEETIGRMSKRCRNLPNLDAGEASGVWDYLLNDVKIEHRKLRYVVTKCPKVLTMSVNEKLIPTVQCLTTLQAKPGEIAQAIIKFPPILFHSVEEKLCPLLAFFQTLAISEKQLAKLLMVNPRLISYSIQAKFSQTVDFLVGLGIDREAMIGKILTKEPYIMGYSIDKRLRPTAEFLRSAVGLQGSYLQRVIMNFPSILSRDVEKTLRPNFVFLQSAGFSKDQIMKLVAGYPPVLIKSIKHCLEPRVKFLVEEMGRDKGEVVDYPQFFHHGLKRSLEYRHKILKQMNSRCTLSEMLDCNQKKFAMKFGLIPVA >SECCE7Rv1G0471880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:134326723:134327891:1 gene:SECCE7Rv1G0471880 transcript:SECCE7Rv1G0471880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNQASRKLVQAEIPCSTGSLLSGGHARQASLTEEITESSSQVSVNNQDVQGTSAGTEEVENLKRLVSALEERAAGIESRFHEYCDMQEQESTYQKMQIMCLGMKLELLESKNQRLEASATEIRAAAEEFAMMRANLDALQSKLSKVTKQSKREFDAIDGRILALGAREAEVAMRCQGFEQLMEDMKQLVLQIQKEKGINSENVELVVERSMGKLSSSKDLLEVLRDRWAADMEELIYLGWITAWLQHDLLASDGEGRTAKGTAVIGEDDGETIPTAEGQREKGMKMVAAAAPSNEVKLCKTSSCGAAEESCMGLAGCRIGIGRPRLLRKLGGWARGKGPGKSRRPCAIEGPSSES >SECCE5Rv1G0328350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:501798279:501804822:-1 gene:SECCE5Rv1G0328350 transcript:SECCE5Rv1G0328350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAEGEKPREEEEEHEEEVIIVGAGPSGLAAAACLSLRGVRSLVLERDDCVGSLWRNRTYDRIRLHLAKQYSALPHAPHGPAAPTYLPRDDFVRYLDDYAARFGVRVRLRREVREARFDEARGAWVVEAVDHATGLVERYAARHLVAAAGENDEKVLPEVPGLDGFPGKVMHACEYKTGKGMEGKAVLVVGSGNSGMEIAYDLAEAGAATSIIIRSELHLVTKEIWNVAMTLYRYLPLWLIDRIVLFMCSVVFGDTSRYGLRRPAIGPFSMKIHTPAYPVVDVGTYAKIKTGEIQVLPAMKAVYGNVVEFADGKRYPFDAIVFATGYRSTTKMWLKSDDGLIGDDGMARRSYPEHWKGENGLYCAGMVRRGLYGSCEDAESIAEDISKKKNKPDQACV >SECCE3Rv1G0188360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:712618897:712624340:1 gene:SECCE3Rv1G0188360 transcript:SECCE3Rv1G0188360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPDRAGGGHPRGHAHLANCVHPRHHHGGHGGGGGGGPAPSSGRRRSPASAALMRDLLALQRSRARTLRDPSTRRSVESSSKVAADPDPYTDDDGGGGGVDPPARSRRGAKANGSLKTLLDQLADNPHPKPARRPRRRFKRGAGAGAARRAGTNGKAPDRAAAALSLNSSSQEAVCGNKYLFRDGDDSRNVCGIPWNWSRLHHRGKSILDLAGRSLSCGLSDPKSAAGHEPEATASASASRAHLSASHSLFPVKSERLASSTSSDSDALPLLVEAATSGARNGIGGMTGSYSGELGLFSNRSSEMDSDLLSQARSGTRGSLRSRSRHRSLTQKFAPRTFKDIVGQSLVVQALSNAVLRRKVGLVYVFYGPHGTGKTSCARVFAKALNCHSTEHPRPCDSCISCIAHNVGKSRSVMEIGPVGNIDMDGIVDVLDNVMLSPAPSHYRVFIFDDCDTLPADTWSIISKVIDRAPRHVVFILVGPNLDLPHIILSRCQKFFFPKLKECDIVNTLQWISTSETLDADRDALRLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGSYIFARERPRRKFFKRPTLSKNDMEKLRQALKTLSEAEKQLRVSNDKATWLAAALLQLAPDKQYMVPSSSTSTSFNHGVLDGSLPGKDVARHSAIEHNGNMASTSYGERRTIKHTSNHHGSSTVTKVNEHSKHSKTENEMIWQAVLESIQSDTLRKMMAKEGKLRSVSLGAGPTVQVIFSSRVNKSNAENFRGQIMQAFESVLHSAIILEIRYESKHDAGAGHDENDSDMISRRSSNKHSPVSSGGETLVRRLKKDRVVKGASSTKTKWMQSDPHILTEGEIIEVGPSHMHRYPETDNGVRNINERRNDNAWEEALSSPNQEGVIKQGGKNGNKQRRQNSIVKRKLSLAHVLSKAEVCSQRGGWSRRKAMSIAEKLEQENLRLEPRSRNILCWRTSKTRRKLSSFRVRTGSGRSRAISRLILCGRCISTKSPR >SECCE7Rv1G0458470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:21913645:21914532:-1 gene:SECCE7Rv1G0458470 transcript:SECCE7Rv1G0458470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMRLSFLQLFAAVLAFCSVPAKSGYWLPAHATFYGGADGSDTMGGACGYENLYNAGYGINNAALSTALFNNGLSCGQCYLITCDTSKSNMCKPGTSITVSATNFCPPNWALPSDNGGWCNPPRVHFDMSQPSWENLAIYRAGIVPVLYQQVACQRQGGLRFTINGFNYFELVLVTNIAMSGSIKSMSVKGTNTAWIPMSQNWGANWQCLAGLTGQALSFAITSSGGQYKVFQDVVPAWWLFGQTFSTWQQFDY >SECCE1Rv1G0045460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:610327301:610328326:-1 gene:SECCE1Rv1G0045460 transcript:SECCE1Rv1G0045460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLNPAPSRIALNAPVPPLPNRSFLFPPPRLPALHVALLLRGPPPGRVQSSSAPSAPPTDGSPTPPASREEAVAQARSCLATALRKPLNNSLPARKLKKQQRQPRFRAEIPVVDDSPGSLARLAFDVFSGLGVSRKGSPARLLLVWPSAEDLAVAVREFKSWGDTSALAHAQLDSVAPDALSACDAAVFLAPGRPQVEKLRAAVNALDPKPAVLFNPAWSYEVEEDGFGGIAKGFVGSFNVVYSFMGLEVKGLLSKKKGVLLRCVEGGRFGGESWVLMMEDEEKEPELKVVSRMKRRPTVGEVENMMYNLMAANSPVTKSARFLRELVSNVTGRKGKQ >SECCE7Rv1G0486120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:396723284:396728717:-1 gene:SECCE7Rv1G0486120 transcript:SECCE7Rv1G0486120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 1 [Source:Projected from Arabidopsis thaliana (AT2G36910) UniProtKB/Swiss-Prot;Acc:Q9ZR72] MSSDPEEIKARVVLHGAGADADAADEWARPELEAFHLPSTSQPPHLFRPPHPEPESTPAPAVAAATANNNNASSSPPPPAPLETEQLPPNAKPSAEEKPAPSAPAAALRDLFRFADGLDRVLMAVGTLGALVHGCSLPVFLRFFADLVDSFGSHADDPDTMVRLVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLQAALKQDVSFFDTDVRTSDVIYAINADAVIVQDAISEKLGNLIHYMATFVAGFVVGFTAAWQLALVTLAVVPLIAVIGGLTAATMGKLSSKSQDALSSASNIAEQALSQIRIVQSFVGEERVAQAYSAALALAQRIGYRNGFAKGLGLGGTYFTVFCCYALLLWYGGHLVRGHHTNGGLAIATMFSVMIGGLALGQSAPSMAAFAKARVAAAKIFRIIDHTPGITKEGDAGVELESVTGRLELRNVEFAYPSRPDTPILRRFSLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPSSGQIMLDGVELKDLKLRWLRSQIGLVSQEPALFATSIRENLLLGREEANQVEMEEAARVANAHSFIIKLPDGYDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALERFMIGRTTLVIAHRLSTIRKADLVAVLQAGAVSEMGAHDDLMARGDSGAYGKLIRMQEQAHEAALVSARRSSARPSSARNSVSSPIMMRNSSYGRSPYSRRLSDFSTADFSLSVIHDPAAHRMGMGTGMEKLAFRAQASSFWRLAKMNSPEWGYALAGSVGSMVCGSFSAIFAYILSAVLSIYYTPDPRRMDREIAKYCYLLIGMSSAALLFNTVQHLFWDTVGENLTKRVREKMLAAVLRNEMAWFDMEANASAHIAARLALDAQNVRSAIGDRISVIVQNSALMLVACTAGFVLQWRLALVLLAVFPLVVGATVLQKMFMKGFSGDLEGAHAKATQIAGEAVSNVRTVAAFNSEDKITRLFEANLQRPLRRCFWKGQIAGIGYGVAQFLLYASYALGLWYAAWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMHSVFETIDRKTEIEPDDVGAAAIPERPRGEVELKHVDFSYPSRPDIQVFRDLSLRARAGRTLALVGASGCGKSSVLALIQRFYEPSSGRVLLDGKDIRKYNLKALRRVVAMVPQEPFLFAGTIHDNIAYGREGATEAEVVEAATQANAHKFVSALPEGYKTCVGERGVQLSGGQRQRIAIARALVKQAPIMLLDEATSALDAESERCVQEALDRAGSGRTTIVVAHRLATVRNAHTIAVIDDGKVVEQGSHSHLLNHHPDGCYARMLQLQRLTSHTVVSASNV >SECCE4Rv1G0296780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904680773:904685891:-1 gene:SECCE4Rv1G0296780 transcript:SECCE4Rv1G0296780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLNEAKITEFFKNKTMLITGATGFLGKILVEKILRVQPDVKRIYLLVRAPDAAAARQRLETEVVGKELFGMLRQRHGSGFDAFVAEKVVALAGDVTCEGFGVEAETLHELRLTEELNVIINSAATTNFYERYDKALDVNVMGVKHMCDFAGKCPNLDVLLHVSTAYVAGEKQGLVPERPFRDGETLRDGTHLDIDAELRLAKDQRNHMEADNDIYMSPKAKRKAMKDLGLTRARHFGWPNTYVFTKSMGEMMLGQMTRGGHVSVLIFRPSMITSVQNDPLPGWIEGTRTIDMILIGYAKQSLSCFLADLDLTMDVMPGDMVVNAMMAATMAHTSYTQSLRPEKKPHQQPQLVLPAALQVYHVTSSLRHPAPYAVLYRTGIRYFEEHPRVGPDGRTIRTHKVRFLGNITMFHLFMVLKYRLPLELLRLLSILCFGLFGLSALYQDLARNYRLVMQLVDLYGPFSLFKGCFDDANLNKLRLAMANHPTITSPLFNFDPKTVDWDDYFYRVHIPGVMKYLLK >SECCE1Rv1G0037180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:520072228:520073350:1 gene:SECCE1Rv1G0037180 transcript:SECCE1Rv1G0037180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRRRLAALLALCACAMARPAAAANVSITTCRSFCGNITVDYPFALHAGCGHAGFRDLLYCMDRTLMLHLPSGSYRVLDIDYAYRGLTLHDPAMSDCRAIDRSPGGRGNGFVVEPWRAPFLAPDPDNVFLLLGCRASSPLFQGFPDRHLPCRNVSGMGCGDYYGCPAWDDYGRRPSGAAYGSAVPPECCAVSWGAIRAVNVSRLQCEGYSSAYSLAPVRAEGAGGWAYGIRVAWALPEANRGFCGACRATGGVCGHDVQSRGDLCLCGDWNSTSNCDSSADAAPSSAAPPAAAIAALFLVLLASGLSSGSMANM >SECCEUnv1G0539190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75322161:75323390:1 gene:SECCEUnv1G0539190 transcript:SECCEUnv1G0539190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETGICNLPADCLALIASLTSPGDVCRLAATAQALRVAADFDVVWGSFLPADCTDILARCSTAGDELRREGETKKELFCRLCDCPVLLDGGKLSFSLDRRSGARKYMIPAKALWYGWSGYHYGGLVWSRCHPHSRFREVAVLSYLCWVDVDVILNTKNLPGVGRGYAAYLVYRVHWLHADTAQNQNQEDAGSSSSAATCYHECNHLVPQKHSRSLLWDWGWELDGSPSSSMSAETTEKNQSQKRRLTPDGVGMRSDGQWIEQEINIELGEQGKQSNVSVAFRGFTRSHRCQIVIEGIEIRPKGMGKFKSRLN >SECCE1Rv1G0043230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:591080614:591083444:-1 gene:SECCE1Rv1G0043230 transcript:SECCE1Rv1G0043230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLPGGGDVAAAVEQGAAAAGVTGSGAAAAAVVCYSPMKVTTYGIWEGVNPLEFSLPIFILQTAIIVGTTRLLVLLLKPFRQPRVIAEILAGVILGPSLMGQVGTWAGTVFPGRSLLTLETVAHLGLLYFLFLVGLEMDVNVIKRSGKKAVIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEIKLLNSELGKIAMSAAIVNDMCAWILLALAIAISEVNSTPFSSLYVLLSGVAFVLACFYVVRPLMWWIVRRIPEGETISDVQVTLILTGVMISGVCTDAIGIHSVFGAFVYGLVIPSGELGVVLIEKLEDFVMGLLLPLFFAISGLRTNVTRVRDPVTAGLLVLVFVMASFAKIMGTILIAISYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKQVLDDESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPSKRSPIFIYALHLVELTGRASNMLAAHHSAANQNRSASTGASEHIFNAFENYEESVAGVSVQALTAVSPYQTMHEDVSVLAEDKHVSLIVLPFHKQQTVDGGMEPIHPSLKGFNESILTSAPCSVGILVDRGLSAPAARLASVHRMALLFFGGPDDREGLAYAWRMVENPGVCLAIVRFIPPGYTAPPPAVMPPSSSVPSGSRAITIVPDVPKTERQMDEEYLNEFRSRNAGNESIMYVEQVVANSEETMAAIRNLGNAHELYIVGRHPGEESSPLTSALSDWMESPELGPIGDLLVSSEFSKMVSVLVMQQYQLTTAGAPAPAVPVADDPVRQYVTNANQRPAMGIGGYQLVGRGGF >SECCE3Rv1G0206680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:910393241:910393576:1 gene:SECCE3Rv1G0206680 transcript:SECCE3Rv1G0206680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKQATMASAAAVALLLAMVAVSGAGAAPRPLMMCNVDVYGMIDACQSYCARGSREATPSGRCCGALRGADLRCVCQKKGLLASAGNIDAGRAMQIPSKCGIGNVPSRC >SECCE3Rv1G0159250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:94891426:94893312:1 gene:SECCE3Rv1G0159250 transcript:SECCE3Rv1G0159250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYETFKEGVEEVMSDAALSQSCTKSDHDAHIEIITDEQGGSNSNSGGDGDEDEDAMPLLVYVAREKRRSSAHHFKAGALNVLLRVSSLMSNSPYVMVLDCDMYCNSRSSILEAMCFHLDGRRRADLAFVQFPQMFHNLSSSDIYANELRSIFWTRWKGLDGLRGPILSGTGFCARRDAVYGARPASSQDHFSGVEVGELKRRFGVSNGHIASLRRSGTGSTIVAGDVVPQDTELVASCDYETGTEWGEEVGFLYQSVVEDYFTGYRQLYCRGWTSVYCFPATGSRPPFLGTVPTNLNDALVQNKRWMSGLLTVALSRHCPLASAAVSVPQSMGFAYYAFMALYAFPVLCYATVPQLYFFRGGTSFPGASALWFAAVLASSSLQHLVEVSVAKRTLAVRTWWNEQRFWALNAVTGQLFACLGVVLSLVGAGGRAVDFDLTSKASDDRLYRDGKFDFAGCSALLLPATTLCLLNTAALVGGVWKMVGRGGNVSGELFLLCYVAALSYPLLQGMFLRRDPARVPARITAMSVAMVATLLSFAGY >SECCE1Rv1G0032730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:458483885:458492790:1 gene:SECCE1Rv1G0032730 transcript:SECCE1Rv1G0032730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPKPRQRRLAVPILLAVLHLFLAPPRCHAAARDLLPRRLAWSLMGGTIHSAVDLLPTFVAFATPGGPTAAWRGACFAENEAVLSLTPGPRGSNSTAAGLGGAVLRLKTASAQSWTCMDLYVFATPYRIGWDYYTRAHQHTFEIKSWEEAGEMEYVKLHGVAIFLMPSGMLGTLLSLIDVIPLFSNTIWGQDANLAFLQKHMGASFEKRYQPWAANIRKEDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVCLKDANGTLWVAESGYENKKGEEVIAIVPWDEWWGVALKDDSNPQVALLPLHPDVRAKFNESAAWEFARSMYGKPYGYHNMIFSWIDTMSENYPPPLDANLVMAAMSMWTRLQPHYASNMWNEALNKRLGTEQLDLHGIISETERRGMSFNQLLTIPEQDEWEYSDGKSTTCVAFILAMYKAAGVFAPFTESIQVTEFTIRDAYMLRIFEDNRTRLPGWCNGDADGLPFCQILGEYKMELPEYNTIQPYANMNENCPSSPPAYDRPLRC >SECCE5Rv1G0297920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:6937637:6941358:-1 gene:SECCE5Rv1G0297920 transcript:SECCE5Rv1G0297920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALNPSLLLVVLVAAAAVAGARLPVDAAGNATLGELRVKNGLGRTPQMGWNSWNHFYCGISEGVIRETADALINTGLAKLGYKYVNIDDCWAELNRDYQGNMVPNKRTFPSGIKALADYVHAKGLKLGIYSDAGTQTCSNKMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRNVKERYTRMSNAMKKYGKNIFSLCEWGVENPATWARGMGGNSWRTTGDIADNWDSMTSRADQNDRWASYARPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLLGCDVRSMSPQTKNIISNTEVIAVNQDRLGAQGKKVQSDGGLEVWAGQLSGNRKVVVLWNRQGHQATITAHWLKVGLPVSAAVTARDLWAHSSFSAQG >SECCE7Rv1G0466350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:78399780:78400454:-1 gene:SECCE7Rv1G0466350 transcript:SECCE7Rv1G0466350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 1 member 1 [Source:Projected from Arabidopsis thaliana (AT1G10460) UniProtKB/Swiss-Prot;Acc:P92998] MRTLIAGAILSLVMIIFFSAVCYSDPDLLVDYCVADTAAAAAFHFNGLPCIDPATARADHFATSVLSRPTDPSATLFGFNATMTSPAASLPGANAQGLAMARVDLAPGGMAPLHSHPRASEVALVLSGGVLVGFADTSYRLYTQRLRAGEAFVFPRGMVHFMRNEDTSAPAVVLSGLNSQSPGAQLLPFSAFLTEPRLPDEVVKKTFRITGQDVQRIQRSLGGS >SECCE2Rv1G0114060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:729457843:729459557:1 gene:SECCE2Rv1G0114060 transcript:SECCE2Rv1G0114060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRFFYKRPPDGLLEFIDRIYVFDSCFCTEVLPHGMYPVYLNGILTELHEEHAESQFLAINFRDGDKRSQLADILHEYDIPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNSQNIILLHCERGGWPSLAFLLSCFLIFKKLHSAEHKTLDIVHREAPKGFLQLFSALNPMPSQLRYMQYVARRNISPEWPPTERALSLDCLILRAIPNFDSDNGCRPLIRIFGRNLLGKNASMTDMIFSMPKKKSLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDVDILWGSKDRYPRNFRAEVLFCEIGGISPPRAPTATLNGDMKGGLPIEAFSAVQELFNDVEWMESGDNAAFWLLKEFSANSLQDKFQKLILSDMKELSKFQAKVGLQMPLMSPLDSDEEKYSAASDSGYSADYDKVQHGGNSSDSENIDRALTTEDSESIGTSIYTSHFSC >SECCE3Rv1G0149120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:22491528:22495106:1 gene:SECCE3Rv1G0149120 transcript:SECCE3Rv1G0149120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHFLLNTGAKIPSVGLGTWQADPGVVGDAVYAAVKAGYRHIDCARVYGNEKEIGLALKKLFEEGVVKREDLFITSKLWNDHHSPEDVPEALNESLNDLQLDYLDLYLIHWPFRVKKGTNNNPENIITPDIPTTWSAMEKLHDAGKARAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPCWQQTKLHNFCQSAGVHLSAYSPLGSPGTTWMNGNVLKEPLIISISEKLGKTPAQVALRWNIQMGHSVLPKSTNQERIKQNLDVHDWSFPDDVLAKFSEIKQARLLRGNFAVNPRSVYKTHEELWDGEI >SECCE7Rv1G0520620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:870411946:870412369:1 gene:SECCE7Rv1G0520620 transcript:SECCE7Rv1G0520620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKRLAQLAKKWQRVEALGRKRFTVSVPAKGHCVMYTSYGRRFEVPLVYLSTRVFSELLRMSQEEFGFASDGKITLPCDAAVMEYGMALLSSMATSCHYSSWVMPTVGASQQICCL >SECCEUnv1G0546570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:158348481:158350508:-1 gene:SECCEUnv1G0546570 transcript:SECCEUnv1G0546570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPPQTLPFLLLPLLLLSIAPAASAAAFAGLDAFLASAAARDHSAANDTFGSLPAGLRRALSAPSPILPSRLLSLSAAVPVNVRLAGSSFPPTSARLLPSFVSAAVSSSHFLSSRPPHRLAVSHNIHLDVDALGASSDLVTRAATAVLAHLNAAPAPFHSNALSSVPYKIVDDIIAEDYRAHAGSASSPAVYIYLLDLSPQPRPYAYTAASSSADGHSPAFSRCLAPLWTGKERYIWIDLGAGPVDYGPALSGEGVLPRGEFHPLAALHGRPKSDKALLADLASLVLSAYKSLLVPSLRIPVHYESSLLIRFVHIHGEEKDPVGLDWSLIEQSIRDGDLPFDGQSLKFDLHSVKFSECSICSFAIARSTHSFTSRFLFENYTMIVSEYLDSKRMRQVLSDSSDELHRVAGIHDDDEHDKVVPVYVFDLDFDKLLLLDRYHQAVAFRDMVVAVRTRSSQTVSDYSCNGRHVITMTRNLDRPIIGSVLQTMFGVSPTHQTWSPEHNATVVDYTWSTGHTPFGPFSETKSLSFVQKDAARRNVLLTTLNYTITSTVEVLESLAAHGGENILLRKKRHVEFIQRWNLLTYKLEKVVSAMSRLDYNKAMYLLRSSDHDMYAIYMLVYQASQELEASLVCFKDPPFPWLSVSLSGVFVFGFFFVYSKRDSLFRSKRKQF >SECCEUnv1G0543070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:107009532:107012253:-1 gene:SECCEUnv1G0543070 transcript:SECCEUnv1G0543070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGIGQRLEKANLVDDVVDFRRVTANLADRYLTPYQRSTQRVLFIPCQWRKSLKLGGENTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSGQLNQLYMKFLKRNPGYSGKVSLYGHSLGSVLTYDILCHQESLSAPFPTDYFNMEVTSDEGQVGKGPNTFDVHDSGVKEHDTPSTSGHSCVDNVNRVDEESTRTDHSLTDKTVLPCVLENVPNNDDALESPIPVDGVQTEVENQVENHQMTCTEGATPAVSTKDADECISRSAKELHEVPDKDRLISSLEEEVSHLKAKLAELERQSDLVPQSISSVQSHQEKDANVTVSLATGKLNIGQGSTSQSYRPRIRYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGVG >SECCE3Rv1G0212190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954757901:954758209:1 gene:SECCE3Rv1G0212190 transcript:SECCE3Rv1G0212190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVTTMASQGMVVIFGASCCCMSHTMTGLFAQLGVSSTVHEVDKDPQREDLERALVGMVGHSPAVPALFIRGALVGGTSQVMELHLGGHLVPLLRQAGAL >SECCE1Rv1G0036980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:515366922:515369393:1 gene:SECCE1Rv1G0036980 transcript:SECCE1Rv1G0036980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQGEDAPVAAVAAGFGLPEELAAVLPADPFEQLDVARKITSIALASRVGRLEAEAAGLRAQLAQREDAAEDLRERVEQLESALALATDRLSRAEDDKEALLKEKATLSNTVNKLNRDVAKLEVFKKTLMQSLQEDDDKPNIPKAKLTETSNFSPAPSVGDDDSAFPTSKSSQLFETASSASEESSHAEPDVPRPPRSHVYMPSYNSTPKLTPPGSPPRAYAPLSPPRRHSISIASMNRLDDRSSVFSSSHSAMTSPFDTPSQTGRTRVDGKEFFRQVRNRLSYEQFSAFLANVKELNAHKQTREDTLTKADAIFGSENSDLYTIFESLITRSHH >SECCE2Rv1G0111110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:697895894:697904102:1 gene:SECCE2Rv1G0111110 transcript:SECCE2Rv1G0111110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSVSIPVALATPWVVLVGMAALLAACLIHLVIRWNVGPPCKAGAMLPPGSRGLPVLGESLEFFARSPSLELTPFLKRRLERYGPIFRTNLIGEDLIVSLDQDLNNLVFQKEEKLFQIWYPESIMRIMGADCIIATLGTFHKHLRTLILRLFGPENLRVVLLHEVQQTAHASLVSWLDHPSIEVKEATSTMIFNITAKLLISYDSSSSDGKLWKQFDAFLQGLLAFPLYIPGTAFYKCMQGRKNVMKILKELLNERKKTTCQESVDFIDILINEMKEKKTLMNEKIALDLLFLLLFASFETTSSGITAVLKFLTDDPKALQELTEEHNNIRKRRANPDSKITWEEYKSMKFTSHVIHEALRLANIAPLMFRKATEEVHIKGYTIPKGSKIMVNPSSIHLDPIIYKDPNTFNPWRWKDTTEPSGGSSKEFMAFGGGVRLCVGADFAKLQMAIFLHCLVTKYSWKVIKGGTMALSPGLQFPCGFHIQLLPKS >SECCE3Rv1G0188310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:712143498:712144993:1 gene:SECCE3Rv1G0188310 transcript:SECCE3Rv1G0188310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAAVAPAAVATSPQIAAGGGETGNADAVVIDVDCPAAAAIDFDLDAGGATHGVACRICHLSPEGGDGPAAAPGSEVIRLGCCCKEELGHAHRQCAEAWFRIKGDRRCEICGSEAKNITGLEVKKFMEEWHGRRMATTGAMVERESTCWRRQPFCNFLLACLLIAFMLPWFFRVNIL >SECCE5Rv1G0340080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:612108282:612108815:1 gene:SECCE5Rv1G0340080 transcript:SECCE5Rv1G0340080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDSEAPASSVAYGGSGGAAAPAGTRADSPFETNVVIILAALFFGLLLIVALNSLARCALRYVGRGAAAAAGEGRASARVACSGSGIKRRVLRSLPVEVYGCGEDIDDVCAICLSEFADGEKVRVLPLCGHGFHVRCVDAWLVSHGSCPTCRRPVIEGAPAKAAETNTTITVVIV >SECCE2Rv1G0106010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:628727574:628746129:1 gene:SECCE2Rv1G0106010 transcript:SECCE2Rv1G0106010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELGILCDAEVGLVIFSSTGRLYEYASSSMKSVIDRYGRAKEEQQLVANPNSELKFWQREAASLRQQLHNLQENHRQLMGQDLSGMGVKELQTLENQLEISLRCIRTKKDQILIDEIHELNHKGSLVHQENMELYKKINLIRQENVELQKKLSATEAATEVNRHSRTPYNFAVVEDANVSVNLELNSPQQQNDVEHTAPPKLGLQLHP >SECCE2Rv1G0106690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:638591925:638592447:-1 gene:SECCE2Rv1G0106690 transcript:SECCE2Rv1G0106690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKMVIRVHMTCDKCRSKAMGLVASVHGVERVEIQGDDRDRLAVVGDGVDAASLTACLRKKVGNADLLTVEAVVPEKKPAPASETGEASCPQQWYPGYYSWPAGAYSHCYPYSM >SECCEUnv1G0540690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:88807319:88821922:-1 gene:SECCEUnv1G0540690 transcript:SECCEUnv1G0540690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAAHRASFPLRLQQILSGSRAVSPAIKVESETPAKVKAFIDRVINIPLHDIAIPLSGFHWEFNKGNFHHWKPLFMHFDTYFKTYISSRKDLLLSDDMSESEPLTKNTILQILRVMQIVLENCQNKTTFSGLEHFKNLLASSDPEVVVAALETLASVVKINPSKLHMNGKLISCGAVNSHLLSLAQGWGSKEEGLGLYSCVVANERNQLEGLCLFPADMENKYDGTQHRLGSTLHFEYNLAPVQDSDQANDKSSNLCVIHMPDLHLRKEDDLSILKQCIDKFNVPPEHRFALFTRIRYAHAFNSPRTCRLYSRISLLAFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEDIVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLSSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDKDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVAEITSVVASDTGKSEDDHLYSQKRLIKALLKALGSATYSPANPARSQSSNDNSLPMSLSLIFQNVGKFGGDIYFSSVTVMSEIIHKDPTCFPALKELGLPDAFLSSVTAGVIPSCKALICVPNGLGAICLNNQGLESVRETSALRFLVETFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLSFPRGDKITEAASTEEKTDMETDVEGRDLVSAMDSGTDGTNDEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLQTLLTLLLRPTITQSSGGMPIALHSTMVFKGFTQQHSTPLARAFCSSLKEHLKNALQELDTVFRSCEVTKLEKGAIPSLFIVEFLLFLAASKDNRWMNALLSEFGDVRRDVLEDVGRVHREALWQISLFDEKKIEPEASSPSANDAQQVDAAVGDTDDNRYTSFRQYLDPLLRRRGSGWNIESQVSDLINIYRDMGRAATDSHRVGADRYPSTGLPSSSQDQPSSSSDANAKSEEDKKRSEHSSCCDMMRSLSYHINHLFMELGKAMLLTSRRENSPINLSPSVVSVASNIASIALEDLNFEGHTISPEREITVATKCQYLGKVVEFIDGILLDRPESCNPIMVNSFYCRGVIQAILTTFEATSELLFAMNKSPSSPMETDGKTGKEEKDTDCSWIYGPLSSYGAAMDHLVTSSFILSSSTRQLLEQPIFSGTVRFPQDAERFMKLLQSKVLKTVLPIWAHPQFPECNLELISSVTSIMRHVYSGVEVKNTVSNIAARLAGPPPDENAISLIIEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPPEDDELARALAMSLGNSDTPVQEEDDRTNDLELEEVNVQLPPMDEVLSSCLRLLQAKETLAFPVRDMLVTISSQNDGQNRAKVLTYLIDHLKECLVASDPLKNTALSAFFHVLALILHGDAAARGVASKAGLVKVVLSLLCSWEVEPREGETTEVPNWVTSSFLSVDRMLQLEPKLPDVTELDVLKKDNSPTQTSVVIDDSKKKDSESSSSVGLLDLEDQEQLLRICCKCIQKQLPSGTMHAILQLCATLTKVHVAAISFLESGGLHGLLSLPTSSLFSGFNSVVSTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKEKSKEKEKDKLVDKDKSSGVATKITSGDMVMASPVSAKGKQSDLSARSMKSHRKPPQTFVTVIEHLLDLVMSFVPPPRAEDQSAGSSSMDIDIDSSSAKGKGKAVAVTHEESKQAIQDATACLAKNAFVLKLLTDVLLTYASSVQVVLRHDAELSSTRGPTRTSGGIFNHVLQNFLPHATKQKKERKPDGDWRYKLATRGNQFLVASSIRSSEGRKRICSEICSIFAEFIDNSTGCKPPMLRMNAYVDLLNDILSARSPTGSSLSAESVVTFVEVGLVQCLTKTLQVLDLDHPDSAKIVTGIVKALEVVTKEHVHLADFNAKGENSSKTVAEQNNVDSSSNRFQVLDTTSQPTAMVTDHRETFNAVHASRSSDSVADEMDHDRDIDGGFAHDGEDDFMHEIAEDRTGNESTMDIRFDIPRNREDDMAEDEDDSDEDMSGDDGEEVDEDEDDEENNNLEEDDAHQISHADTDQDDREIDEEEFDEDLLEEEDDDDEDEEGVILRLEEGINGINVFDHIEVFGGSNNVSGDTLRVMPLDIFGTRRQGRSTSIYNLLGRASDQGVLDHPLLEEPSMLLPQQRQPENLVEMAFSDRNNENSSSRLDAIFRSLRSGRNGHRFNMWLDDGPQRNGSAAPAVPEGIEELLLSQLRRPIAEHPDEQNTPAVDAQVNDPPSNLNAPETDAREGSAEQNENDDIPAVRSEVDGSASAGPAPPHIDEIQRDAPSASEHVADMQYERSDAAVRDVEAVSQASSGSGATLGESLRSLDVEIGSVEGHDDGDRHGASDRTPLGDVQAATRSRRPSVNAVPVSSRDISLESVREIPQNTVQESDQNASEGDQGPNRAIGTDSIDPTFLEALPEDLRAEVLSSRQNQVTQTSSEQPQHDADIDPEFLAALPPDIREEVLAQQRAQRLQQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSGSLFGMNSRNRRGESSRRGDIIGSGLDRNTGDSSRQTASKLIETVGTPLVDKDALNALIRLLRVVQPIYKGQLQRLLLNLCAHRESRKSLVQILVDMLMLDLQGSSKKSIDATEPSFRLYGCHANITYSRPQSSDGVPPLVSRRVLETLTYLARNHPNVAKLLLFLQFPCPPTCHTETLDQRRGKAVLLEDGKQQNAFALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAENEVSQAKLESSSERPSGPENATQDAQEDASVAGSSGAKPNADDGGKSSADNISDLQAVLHSLPQAELRLLCSLLAHDGLSDNAYLLVAEVLKKIVALAPFICCHFINELSRSMQNLTVCAMNELHLYEDSEKAILSTSSANGMAVLRVVQALSSLVTSLQERKDPELLAEKDHSDALSQISDINTALDALWLELSNCISKIESSSEYTSNLSPASANATRVSTGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAVQEPSTSDMEDASTSSSGQKSSASHTSLDEKHTAFVKFSEKHRRLLNAFIRQNSGLLEKSFSLMLKVPRLIDFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDAQLLDVHFTRSFYKHILGAKVTYHDIEAIDPAYYRNLKWMLENDISDVLDLTFSMDADEEKLILYEKAEVTDCELIPGGRNIRVTEENKHEYVDRVAEHRLTTAIRPQINAFMEGFNELIPRELISIFNDKEFELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKDQLQERLLLAIHEANEGFGFG >SECCE4Rv1G0221050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:44344567:44351465:-1 gene:SECCE4Rv1G0221050 transcript:SECCE4Rv1G0221050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Homeobox protein [Source: Projected from Oryza sativa (Os03g0727000)] MEEIGHHFGLGATAHGQHHSQLPWGSSPLSAVIAPPPQQQQQQQSAGYLAHSPLSLNTAPPSGSHGGGSGCSNPVLQLANGSLLEACAKAAKEPSSSSYAADVEAIKAKIISHPHYSSLLAAYLDCQKVGAPPEVLARLTAVAQDLELRQRTALGSLGTATEPELDQFMEAYHEMLVKYREELTRPLQEAMEFLRRVETQLNSLSISGRSLRNILSSGSSEEDQEGSGGETELPEIDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQQLLSWWEMHYKWPYPSESQKVALAESTGLDLKQINNWFINQRKRHWKPSDEMQFVMMDAYHPPNAAFYMDGHFVNDSGLYRFG >SECCE4Rv1G0290490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:872958181:872958414:-1 gene:SECCE4Rv1G0290490 transcript:SECCE4Rv1G0290490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLQARREIEDATTHGRLRDDLVEHHWQLDGRRIGP >SECCE4Rv1G0243760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:506123110:506123601:1 gene:SECCE4Rv1G0243760 transcript:SECCE4Rv1G0243760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFICPRCRAGVDRRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRMAVAATRAPEEEMEGKEHTDRELVVELRMLQKKVRKLEDQAQIAIPICNYF >SECCE2Rv1G0128770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:864716170:864718702:-1 gene:SECCE2Rv1G0128770 transcript:SECCE2Rv1G0128770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase 1 [Source:Projected from Arabidopsis thaliana (AT3G07270) UniProtKB/Swiss-Prot;Acc:Q9SFV7] MGALEEAYLAACGCDEEEDLLVELGGGEAPGDAMEPAVRALLAGLGEDGRREGLRKTPKRVAKAFRDGTRCYRQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDMDLYSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVSDVFAKRFQNPQRLANEVCGALHSSIQPAGVAVAMQCWHIPLPENLKCKSSRALIVTSHSSRSGVFEGENSSFWNDFVALLKLRGIDMEMDSRSASLTWCPLRPHEVPLCNGHAKRITTNGASSAKSASIPSNMVSAVSSMLLSLGEDPLRKELLGSPQRYVQWLMRFRACNLDVKLNGFALNSATVYERPDEDATDHRAISSELHLPFCAQCEHHLLPFYGVVHIGYFGSGDGEGIDRSHFQALVHFFGCKLQVQERMTRQIAEAVYSVSHRGAIVVVEANHICMISRGIEKIRSSTATIAVLGQFLTNSSAKASFLQSVLDTDNQEV >SECCE5Rv1G0335220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:571459085:571459879:1 gene:SECCE5Rv1G0335220 transcript:SECCE5Rv1G0335220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWRDSYLDLILIPLGLLLPALYHAWLWRAVRRRPLSTAFGVYSAARRLWAAGMMRDNDDKKGVLVVQSLRNVIMGSTLMATTSVLFCTGIATVLSSTYSVKKPLSDAVFGAHGEYMMALKYVALLLVFLFAFLCHTLTICFLNQASFLINTSCISLAAADKDHDGARQVGLQLPAGAVGDYVGEILERSFTLNFIGNRLFYAGVPLLLWIFGPLLAFLSSLVMIPILYNLDMVNVAAGKGTKEHSSGCVNGNKVSGDGCMQV >SECCE6Rv1G0400740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:457338849:457346494:1 gene:SECCE6Rv1G0400740 transcript:SECCE6Rv1G0400740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLAADYFSPASAASCSDRALALASLRFPPLPVPSLPPDPRFPFPLPFPAAADLPAVSISGDGLDSLPISSALSEFLAAVIPQPLPVPTTPAADEGLDDFLYDRGEYCKGFSSRESVVLKIPDGLDEISREKEGEGDGSISISDRSGTSTDTKRWELLKEHIFEVAEVDLPQVLEGHVASFGGDDSGDGVTLSFHVPDVKIHLDFIDIDTEMTLRYPTELVESIYQVEKIPVKHIDEEDLLSARNSNFSEIAALDCGVAIPQLEVSRHSWELNECPTKAEISNIFHNLVEHLGEAQVQHPVLNSTEFLRSTDMDMLAFVSKDAPCADYQADKPTTVKAAVQMDLVRINDNVLLERNSALYPLKPDGTFSDMPCTVLLEEVQIIDFPSENVSRMLVQSDAAELNTSDEIFKDDFCQTRRFYESVVSSELVLVDDTFRSLPTPILSDEDMTLRSMIPPMGEILYSLKPHSLSAADRIYLDWHLLLEGPCNREICSTYASVVEEVKSCQLNSELQVSCQQTSALGFDFLEYFWRSAKHQDEHKQDNIYVPIPLAHDPPAVVETSQKYRQESDTGGHGHMEKSSSEKATSLFESMSQSSELNFYLNARSGTKRGTSAQNNSTLDIPTLNEAVSFPSKPKVDRLIEIHPVSLSDSIRVLIKHIHASYTSALQESAYFRHTFSDGHGLSISKQKLLALITGGGSDGPDSHCKHEDKMELIVLYALKQAAYYLCFFGLHAAYLYMNNLIGSFENIPERLKNSHCFIGEALWKAEKHQIDSHPSLHDIEMILRSNTRISQKILVVADRAFWLSLGQKLTSMKMTFVELGKDPATSYLDPVNRTNPTTWVLGGLPKSDCFLLDNKNIPASFPFSEFGIILEYGGPNKSSTLLSLAPKLESFPPLHFLCVKVDVEDPSVALVEDNPTDQELKATMDTVLHALKKDLQEKMNKMRIVDSLNFVPASTQLQERQENLCKHLTADSTKKLPADDQLLKLENLEKKNIVDAHNFVPAAEQRHIEEMSSKRIVLHSRHFVPALEKSSLTSAVSANVIKAPEDNLSATDLPSSVKVGSIIPGRLSNPVIVVNTGSHGKNMILSRRSSYQQILSLEKGGMQVVERDVDLPVDLILSTSVCLVWFETKIFGSNEFTASTETSSITNFVETIVTNILMSISFCFCGCIMVFEGEPRSLSAVMESSDSLYAAAASLVMNLQLFFSHTPKSTDEIILSSIRNVNMLNKAPSPDIPESESLAESFLTKFPSINPLSAYIILSCGGSLLEFLVWSHERRIQAVGKYLLSPQSVSLFNALCKFGELGESKSVMTECSSVDSDICSALLQSPRKRKKCASQAFAVPTSDRLHPDPLNRLPGNYVEDSNVFSQPKFRRCSDVEDAMPQLPEVFMFDQSLSRGGEGVSCLPREHDIDAIIGNQIMSDHFTNGLTTDMRHYDRRASSMVDTYDFSWQPESVRREPIKNSFPPNKPSFSRTYSHPVFPTALEINDDTSNWDIPGGTHHKWKGGDIASTACRNDMGSRYHEPREEIIQSPGSSLAFLKQDSGFHGTSHGSGWEMDYLRQMNEKRRARQERSGCNTSATMSNSRTRDGASKILSPPPIDSFRYRGDRDTPLRDQSPSVGIHRYGKARGGTKAHYTHRARKGFKMQASASHENRMEPSIDPTWTPVDKRARQKLLFAAHGKEKQSKLIWRNQNSPGIGCGFRKRYREEGT >SECCE4Rv1G0215520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:5084540:5084968:1 gene:SECCE4Rv1G0215520 transcript:SECCE4Rv1G0215520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKSLLAACVNLEDALKSGEHKYIDGAELFYELIFIQDLVKKSMGPVNILEILMKRPFYPNDIIAYMILLTIPVTLATTERSFSKLKVLKSYLRSTMTQERLNGLATIALENDVLEKINYEDVIEDFISRNSRRMTLFNRE >SECCE6Rv1G0379470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:14012121:14012555:-1 gene:SECCE6Rv1G0379470 transcript:SECCE6Rv1G0379470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPVAAAEKTTAGKKPRAEKRAPASKEAGGEGKARGRKKGSKAKKGVETYKIYIFKVLKQVHPDVGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE2Rv1G0133340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:896066712:896073689:-1 gene:SECCE2Rv1G0133340 transcript:SECCE2Rv1G0133340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVELSWGARCAGLAFFSLPIFTVALGAVLLLVRRWPNPWCGCHVCRAYLTGSWAKDFTNLADWYAHLLRESPTGTVQFHVLGCTVTANPANVEYMLKTRFDNFPKGKRFAALLGDLLGAGIFNVDGDAWRHQRKIASLQLGSVSVRSYAYKIVAQEVETRLLPVLADAADKGKVVDLQDLPSSPFSLSHLPCSSPRPLPLSVRPIPSWVVTRRFAFDTVCKISFGLDPANAFDTASRLCAMRGAAASPLVWKMKRMLNVGSERELKKAIKLVDDLASAMILQRRTLGFDNTHDLLSRFMASDVAMDDKYLRDIVVSFLLAGRDTVASALTTLFIHLHKNPQVTAAIRAEAGGDKPSSYEHLMSLQYTHAVLFENMRLFPPVQFDSKFCAAADVLPDGTYVEGQSRVMYHPYAMGRMPSIWGADYEAFRPDRWLTGPGGSFAPANLYKYPVFQAGLRVCLGKELAITEMKAVGVAVVKAFDVEVVGENGRSGWAPTFVPGLTASISGGLPVRIKRATQNS >SECCE2Rv1G0079850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:123532823:123535697:-1 gene:SECCE2Rv1G0079850 transcript:SECCE2Rv1G0079850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVPMSPELEQVDGEIQDIFRALQNGFQKIDKIKDSNRQSKQLEELTGRMRECKRLIKEFDRVLKDEEKRNTSEVNKQLNDKKQLMIKELNSYVTLRKTYQSNLGNKRIELFDAGNDQVAEDNVQMASEMSNQQLIDSGTKQMDQTDQAIERSKMVVAQTVEVGAQTATTLTQQTDQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKIVNPHNKSIPDIPGLAPPAPPAQNRKLLSVDPFRML >SECCE4Rv1G0274330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783754726:783757993:1 gene:SECCE4Rv1G0274330 transcript:SECCE4Rv1G0274330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSMARSMLRGAITVATSAAAAEVGLLMGVRKDIWFIKDELETMQAFLEVAEKMKMKDVLLKVWAKQVRDLSYNIEDCLSEFAVHVGSHNLCRRLMKLKDRHRIAIQIRDLKTRVEEVSIRNTRYNLIKMEASNTNDELDSYMEDVRNHSASNISEAELVGFSKAKGELIELMDVKTKVGAAKVAFVVGMGGLGKTSLARKAFESKEDIVKNFSCRAWITVSQTFSKIEMLKNMIMQLFGNEELKKHLEELEGKTVQVDDLASYLRDMLQEKRYFIVLDDLWSIDAWRWIKDIAFPSGNIKGSRIIVTTRDIGLAQECTSESLVYHLKPLEINQATNLLLKKIGKTHREIENDDRMRNMITKIVKKCGGLPLAILTIGGLLATKVVEMWENVYEQIPSELETNPSLEAMRRMVTLSYNHLPSHLKSCFLYLSIFPEDFEIKTSRLVDRWIAEGFVKASGGVNIEEVGLLNIEGIIKSCRVHDIMRDVMVSISKDENFVCQAGDSKAGKLEGNFRHVAYHGSTCQNLGVDWSHLRSLTIFCARPMGPSPSVCSSGMRMLRVLDLKNAMFSVSQKDISNIGLLCHLKYVNFEGTNIYALPKSIGKLGGLLNLGIRDSHIAALPTEIIELHSLRSLHCSRFLSYSHFDVDYPMDCLVHTLCLPMLCTPFVDGDQRARIVADHHMAYSSWWSKSYGVGVPRGISNLKELQILEVVDIKQTSKKAVQELGELIQLRKLSVATRRCSEQKRKILYLCAAIESLSSLRFLSEDADYNGTLEWLHGVSSPPPLLKNLKVVGDLGGKLPQWFGSLKHLVKIHLAWSPLEEDKITETLGELPKLMHLILRYFAYVGEKLVFRARSFVNLRKLSIWHPRGLRVVRFKKGALPQMDMLEFSFCDLESGIIGINHLPVLKQISFGREGRVANLGMLQAGVDAHPNHPVLQLKYDRSKHDLGDVVQGSNVAETEDEDEPSSLLETCTVGESSQPQVVVIFDDQRHQVS >SECCE7Rv1G0523420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882017278:882017748:-1 gene:SECCE7Rv1G0523420 transcript:SECCE7Rv1G0523420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGSGGAIRKKEATHRMAEANRALAHFR >SECCE3Rv1G0201150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:860530992:860532917:1 gene:SECCE3Rv1G0201150 transcript:SECCE3Rv1G0201150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAEVVAAVDHRGRPVSRRSSGRWPAALFVVGVEVAERFAFAGISSNLITYLTGPLGQSTASAAAAVNAWAGVAMLLPLLGAAVADSWLGRYRTIVYASGLYILGLAMLTVSSAPPAACAGTPDSAECSASPSSVQVAFFYLSLYLVAFAYGGQKPCVQAFSADQFDESDPEELASRASFFNWWCFATSGGNTITLSALNYVQESVSWQFGFGIPCAAMALALSVFLLGTSTYRFYPPEKNGGLLAQLGELLVARAKSWHSSWCSNSKLSGDDSLPLLADAPTASKDNTKDFPHEAASLLKLFPIWSTCLIYAVVLSQWSTLFTKQASTLDRRIGTLLVPAAAMQNLSHAAVLIFLPIYDRILVPLAKTHTGNPRGITVLQRIGVGLAISIVAMIVAALVETKRLRIAADHGLLDEPGVPIPMSLLWVVPQFLLAGLSGVFAFVGLQEFFYDQVPDSLRSLGIALCMSIAGIGCFISSFLVYAIDKVTSSNGESWFSNNLNRGHLDYFFWLLAALSALGFSAYLHFARVYIGKKRNDSASVQ >SECCE4Rv1G0268630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744158011:744159024:1 gene:SECCE4Rv1G0268630 transcript:SECCE4Rv1G0268630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDHERLRAVKAFDDTKAGVKGLVDAGVTTVPSIFHHPPESLPRSSDGVPAHGFTIPLIDLSGSSAVRADLVSAVKAAAETVGFFQVVNHGVPDGLLAQMLASVRRFHESPAEVKRPYYSRDHRRRVRYNSNFDLFTSPAANWRDTMFLELPPAPEEIPVACMAVAPEYATQVQRLGRTLFALLSEALGLNPSYLEEETMCLERLSMGGHYYPACPEPHLTLGTTRHSDLSFLTVLLQDAVGGLQVLVDDDDDKQRPAWVDVPPVEGALVVNIGDYLQLMSNDRFKSVEHRVVANNMGPRVSAACFIRPCASSKKVLAPIVIDSDGGARYRMLNK >SECCE3Rv1G0184250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:661050844:661053927:1 gene:SECCE3Rv1G0184250 transcript:SECCE3Rv1G0184250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTKGATRATRHGCATRATVPSPSCGKRAKRRRVHRGLRMLLRLPYARAAPFTARWRLERARARPAQLLRRGHAAASLADGAGAPLHYDPLADLLGPDVGPNPSQNFAPVADEGKLRSWIGPNGQYYRELPCPNCRGRGYTPCKKCGIDRSSLDCPMCNGKGIRMCMQCGGECVIWQESIDEQPWEEVRSSSPLKVKEDDEVDRLEIKIDTSKRPRRTYPSPSPEVAMKISRSLRSLNAKTGLFTKHMKIIHQDAKLHAQRVAAIKRTKGTAAARNQASEKQKAFFRDPENRLKRSIAMKGVKFYCSKCRQEGHRSFYCPTVRAISARVQFRCRLCGEKGHNSRTCGNPKSENEHQRQPRHCSQCGEKGHNRRNCPVSSGVDVGASGHITKKVNRHNSGVYSCSFCKEKGHNRRTCPKRNASLG >SECCE1Rv1G0036740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:512069331:512073809:1 gene:SECCE1Rv1G0036740 transcript:SECCE1Rv1G0036740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFMLLAAEAALVLVLLFRTPARRLALLAVDCSKRGRGPIMARTVAATMFVVLGSSGYSIAKIRRREGEFAQLTPTDQVLASRHLLEASLMGYSLFLGLIIDRLHHYIRQLRAMKKNMEAVTKQSRALEETNLGGSEEIQGYQKKIDSLKEQVQVLKHQSESQTQELKTAETNNLALQKQSEGLLTEYERLIAENEELRNKLQAMDLSFSRSDSKKNT >SECCE4Rv1G0293240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885166301:885166846:1 gene:SECCE4Rv1G0293240 transcript:SECCE4Rv1G0293240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLSTFCFEILRRVALWRAHSIYDFTFMDVDMILPFSSTLGWHNLNVNGEVQKRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSQIGQPFKLPSESMSRQETTWRTETS >SECCE4Rv1G0217260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14900848:14906399:1 gene:SECCE4Rv1G0217260 transcript:SECCE4Rv1G0217260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBM48 domain-containing protein, Compound granule formation and starch synthesi [Source: Projected from Oryza sativa (Os03g0686900)] MRRSDKPGAFPTRAELLAAGRADLAAAVESSGGWLSLGWSWSSDDDAARRPAESTTGSGVQPDYPPEAGASGRAPSAAADSVREQQEPTPSGRQTETEETAEAESAAGLEGMLTRLRRERERARPPPRSKNQAGGQGQNGALMNHNGAPSRSPTAGMYTRWIPENGNIHRSHSQNGIPEDNKSSSSANDAWRTWSLDKSRFSDFQAAEIHPLSRKLPKSVDLDTVLIQDDVPGPSNGVAINDYPSDHVNSERDQIHARFQNLELDLTDSLKTLRSRFDEVSSDMSNGEEADVVNGLSDDWEFEETKVMHAQEELRTIRAKIAVLEGKMALEIIEKNKIIEEKQTRLDEVEKALSELRTVFVVWPNPASEVLLTGSFDGWTSQRRMEQSERGIFSYNLRLYPGRYEIKFIVDGVWKNDPLRPTVNNHGNENNLMIVT >SECCE1Rv1G0003580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14745589:14747033:-1 gene:SECCE1Rv1G0003580 transcript:SECCE1Rv1G0003580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPAFVVRRATPELVVPSAPTPREAKPLSDIDDGACMRFYSAGVHLYRGDLSKQGQDPAMVIREALAKALVPYYPLAGRLREEAGRKLVVDCDAQGVLFAEADADLTADDFGDIGYPPFPCYEQFVLEDTASTDDGGAEPVVDRPLFYVQVTRLKCGGFIFGHRVCHCMVDAPGCVQFVRAISELARGADAPSVPPVWGREMLMARQHPQPSSYPHLEYREPAGGPEPDRMLTTPPGDKVCVPFFFGAREIAVLRQRVAPLTCSRFELVAACIWQSRTAALGYAADEEVRLSLIVNIRGRPGTPLPAGFYGNAFAYSAAATTAGELCHGGLAHAVELVKKAKSAVTYEHLLSLADLMVATGRPIFAVSRTCILSDVSHAGFKSVDVGWGEAVYAGPVKTGEGPILGLSTYFLRSTNGKGEEATVVPVCLPKDAMDKFRLEVQGLTGGADL >SECCE2Rv1G0135990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:909969940:909974543:-1 gene:SECCE2Rv1G0135990 transcript:SECCE2Rv1G0135990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT3G18630) UniProtKB/TrEMBL;Acc:A0A178VFQ0] MAPSPPTAPKTIADFFVRPAKRLRSGATTTTTTTVVVPAASLSPSSGPSDPSALSPEQRRRADTNLALARARRNLRLAESKAAGGGAKLEELLVEETWLEALSGELRKPYALDLCRFVAHERLHAKVPVYPPPHLVFHALHTTPFHDVKAVIIGQDPYHGPGQAMGLSFSVPEGIKIPSSLQNIFKELHKDLGCTIPSHGNLERWAVQGILMLNTVLTVREHQANSHAKKGWEQFTDAVIKTVSQKKSGLVFILWGNSAQAKIRLIDETKHHILKSAHPSGLSANRGFFGCRHFSQTNQILERLGLSTIDWQL >SECCE3Rv1G0199740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:848130743:848131330:1 gene:SECCE3Rv1G0199740 transcript:SECCE3Rv1G0199740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIRCDQPKMTAAGPSSLRIFGYDVAGGASDAAAVLTPPPTPPGDARRFGCQYCSREFANSQALGGHQNAHKKERQQLKRARLHVDARMSYFAPPPGHLIAVGHAGSSAYTRDAFHRWVYLAHQSAAGLPFHALPAGGGCHAEPRLLQDPRDCGSAAISTSSGARACTPADDSTEEASAMGLDLDLSLAPATSS >SECCE2Rv1G0082760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:156305232:156312831:-1 gene:SECCE2Rv1G0082760 transcript:SECCE2Rv1G0082760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MDDLEQAILLASDSPAAASASPAVREEALAFCARARDESPPSSLLRLCLSGLASSPHAHVHFWCLQSLHDALLRRRIALPDDLALLRSSLLSLASASNAASPPFLRNKLAQLVALLVRLDYPHVYPSYFLDLLPPSPPQSGPTDMFARVLISLDDDLLSQDYPRNADETADACRVKDAMRAQCVPQIARHWHDAAATLGAADPPTAAVALDAARRCISWIDVALVANDVFVPLLFDIALSPASAAPLAAAAVGCLSAVAAKRMDARAKVGLLRSLLSAQQGLGSPDSGLKMASLVTTYAVEALACYRKLGPSDADGAAALEMLEEVLPAVFSAAESCYEEDVDSGSVLEFLAGYVSTMKAPSEKQLGHLGRILEVVRQQMTYDPVYRVHLDVLDKIGKEEEDMMTEQRKDLVALFRNICRVAPAATQQFIKGLIVTALSSAEATVEDVEVTLTLLYRLGEAVSEEEIRTGSGLLGELVPMLLSARFLCHSHRLVALVYLETVTRYIKFMQENVQYVPHLLAAFLDERGIHHQNSHVSRRAGYLFMKAVKLLKAKLVPYLDTILQSLEDVLGQFTSMDWATKEAKLSSSEDGSQIFEAVGLLIGIEEVSPEKQVQCLTALLNPLCHQIESLVMGAKAQGLEESSPRATSLLQIVVALNMVTKGFNERLVMISRPTIGVMLKKTLDVVLQLLVSFPNVRPLRSKVISFLHRMIEILGISVLPCIPIALRQLLVHNEAKDMVDFLVLLNQIICKFNSSASGILEEVFPTIASRLSVILSQDAFSTGPAGNTEEMRELQELQRTLYTFLHGMVTHDLSTVLLAPTCRQYLETIMQLLLFTSCSHKDILLRKACVQIFVKLIKDWCTTSKADDKLPGFRVFMIEKFAPGCCLYSVLDKSFDLRDANTLVVFGEIVMAQKVMYERFGEDFIVNFVAKALPEAHCSPELAEQYYQQLQGNDIKAFRSFYQSLIEKIRQQQNGSLVFR >SECCE4Rv1G0276240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797357822:797361137:1 gene:SECCE4Rv1G0276240 transcript:SECCE4Rv1G0276240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQPQLLVGDRGSSSHPPPPPPPKILLAKPPLPPPSSSGADDEGAGGGGGGARARQGPQPGSLSLISDAWEVHTDKILPYLTENNDFMVIGIIGPPGVGKSTIMNELYGYDGSSPGMHPPFATQTEEIKAMAKHCTAGVDFRISHERVILLDTQPVYSPSILMDMMRQDGSSSLPVLNGDPLPADLAHELMGIQLGVFLASVCNIVLVVSEGINDFSMWGLMLTVDLLKNNIPDPSLLTSSTPEKDNKNDNQSGSEDYMADLCFVHARLREHDFSPSKLMLLRETLEKQFESSSFNIGSSSATPEVTDSSVAPSTKVEGLSSSQQDVFLLPLRSHDNSAKFEYGTYPSMLGKLRDQVLARPLRPFSKNLTERDWLRSSAKIWDMVKRSPVASEYCKALQSSGLFRK >SECCE2Rv1G0111930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:704851392:704856191:-1 gene:SECCE2Rv1G0111930 transcript:SECCE2Rv1G0111930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGEEEEDEDEEVFYESRDRVLSSSGSSTSASDDDDHALQQRRRRDACAHPAAAALDLWTSQPAPVQERRRRLLQMMGLAGDPSLARLEMGRSVSYDGPVRPPTLSPMPRSRSDGAVPTSTKPPRGGRTSSGSSEAMPEDDEAEAKADPRCLIRNLDDGTEFVVKEEFELREVGTGRQLTLEQFQLCVGRSPIVQELMRRQNIANDAASTPIRRSSSDSSNGAARPRRRISWLRTIRHVAGSMVAGSRDRRSSDEKDTSSEKGGRRSSSATDDSQDSAGAVHHGPERIKVRSYGKSYKELSGLFMNQEVRAHDGPIWSIKFSPDGRYLASAGEDCVIHVWEVLEFERRREENGVSNPFVAVMCNGSPEPTLALATVDGSHWDKKLRARVSQCRKSSSSDRLMVPENVFGLSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWDMSSVSCLKTFSHCDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPKREIVDWHDLHEMVTAACYTPDGQSALVGSHKGSCHLYDTSDNKLIQKKQIDLQTKKKKSRQKKITGFQFLPGSSSKALITSADSRIRVIDDFELVHKFKGFRNTNSQISACYAARGRYVISASENSHVYMWRNDDSPEQRSSSSKGVVSVANSYEYFYCQGVTVAAALPSTAGSAAASRANSRRREELDCVSEYPLPPQAAGDSSGFQQQSGNDPSNGSNHSGGDRASATWPEELMTPTKQSPLSSSSLPDGDGQAPSRSAWGMAIATAGGGGQIRILQNFGFPVRV >SECCE1Rv1G0026910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:375969566:375979175:1 gene:SECCE1Rv1G0026910 transcript:SECCE1Rv1G0026910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 16 [Source:Projected from Arabidopsis thaliana (AT4G04920) UniProtKB/Swiss-Prot;Acc:F4JGZ1] MTSSSPAPNPNPVPTPPPNPAPNATPASGNAVAASSPPHKEQQQQNQDGVVAAGGAGVDGGAGGGGEAGEAGVAGDAMEVDGGAGGGGAGAGDVEGGGGSGAAGGAQLASSPATVFRIRLKQPPASLKHKMRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVRADSPRDFVQFIEWSPTSCPRSLLVANFHGRITIWTQPTKGPVNLVRDSSSWQCEHEWRQDLSVVTKWLSGISPYRWLPANSSTSNLKTFEEKFLTQHPQNSAGWPNMLCVCSVFSSGSVQLHWSQWPPQNSAQPRWFSTSKGLLGAGPSGIMAADAIITESGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSPLPPSLNPPSWSGFAPLAAYLFSLQDYLVSEAAQTRKQIDNEITESASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGGVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSLQPVVLHPIFGSPSSFGGQPPMQTVWSTRVNKSIAPTEDLKNPQTYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFDQVDSYHVNVGSSIAPPAFSSSSCCLASVWHDTLKDRTILKIIRVLPPAILNVQTKVSSAVWERAIADRFWWSLMAGVDWWDAVGCTQSAAEDGIVSLNSVIALLDTDFHCLPTMQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSELLSNIEPDKMTVDPALLPSIQGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSSSGNSRNMVTSPTNNSPSPSNNQGNQGGVASATGSSQMQEWVQGAIAKISNNADGAANAAPNPVSGRSSFIPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRLLANAQKNPDSAMQKIQQLMNSKIEDSSSAISAVRSGLGAAKVEDGAATRGQLVLGAKGLEENPMGKSVRIGSGNAGQGYTSDEVKVLFLILVDLCRRTSGLQHPLPVSQVGTSNIIIRLHFIDGTYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPSEEWHRRNMFGGPWSEPDDLGPLVNMPHLKIGGHINPLLSDMEEEGKTNFGIQSLWPRKRRLSERDAAFGLKTSVGLGAYLGVMGSRRDVITAVWKTGLDGEWYKCIRCLRQTCAFAQPGAPNMANEREAWWISRWTQACPMCGGSWVKVV >SECCE1Rv1G0038160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:535948361:535950271:-1 gene:SECCE1Rv1G0038160 transcript:SECCE1Rv1G0038160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYHPATTLSFLFVLSLFLLARSDPPPSTPVPPATACDDTTDPAFCRSVLPANGTSNLYTYGRFSAARSLSNANRFLGLVNRYLARGNLSDAAVAALQDCQLLSGLNFDFLSAAGATLNTTKSTLLDPQAEDVQTLLSAILTNQQTCADGLQAAASAWSVRSGLAVPMANSTKLYSISLSLFTRAWVPRGKGRKPRAASSSTKPPRRHGRGLFDATDDDMVRRMALEGAAATVSVAGAVTVDQSGAGNYTTVGDAVAAAPSNLGASSGYFVIRVAAGVYEENVVVPKNKKYVMMVGDGIGQTVITGNRSVVDGWTTFNSATFAVLGQGFVAVNMTFRNTAGPAKHQAVALRCGADLSTFYQCSFEGYQDTLYTHSLRQFYRACDVYGTVDYVFGNAAVVFQDCTLYNRLPMAGQSNTVTAQGRSDPNQNTGTTIQGCSIVAAPELAANTAFATATYLGRPWKMYSRTVIMQSDVAGLVDPAGWMPWSGDFALTTLYYAEYGNSGAGSDTSRRVNWPGYHVLNSTVDAGNFTVANMVLGDFWLPQTGVPFTIGLN >SECCEUnv1G0566610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:406535005:406535706:-1 gene:SECCEUnv1G0566610 transcript:SECCEUnv1G0566610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGELKLLGVWTSPYVIRVRVVLNLKSLPYEYAEENLGSKSALLLASNPVHQSVPVLLHGGRPVNESQVIVQYIDEVWAGPGPSVLPADPYERATARFWAAYVDDKVGSAWTGMLFSCKTEEERAEAVSRAVAALETLEGAFAECSKGKAFFGGDGIGFVDVVLGGYLGWFGAIDKIIGRRLIDPARTPLLARWEERFRAADAAKGVVPDDAHKMLDFLPTVLAWVNGKAN >SECCE7Rv1G0469940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:114724605:114736170:-1 gene:SECCE7Rv1G0469940 transcript:SECCE7Rv1G0469940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEREANPSVLDAVASLQTYSTALSAFTSAWRSLYSDATTIDATLASRLEGFSQLELLCSGMDGPGLRAYLAEHRDELRDPARSLDAALLVAPDPGLLVLAAAAGFCRSPPTNGKADGESKVSCRLLIDLLDRIRALGVKPSPEAREEARAVAADWKRSKRIEEQSLFKNETIAFLLLIGVFGLVEDVGGAAQVLDLVLSISSRERAVEIFLGLGLDLDKHLPVLTQAMISKGKQLDAVKFIQALNLVHKYPLLPILRSYVNDAKNAGNMIRIRGDGPASQDAGDAKERTLLGALQKFIKEHKLEELPILEEANNRMTQLDLQSAERKRAANAAAAAAREVSKNILDFKKRPQLPESVVQGSLGQNIRPVGTSSQELMLRQSFPTVGVANKYQAVSSHNIVPATTHNPLLSAGNQRPIGIQNQTLAAPSVQTQYTGGVADFYNLASIRPGVSVPGGSVPGGSASSRSKLYSEDPLAYVSRASDKGSSYSYSLSNMSKYNP >SECCE3Rv1G0194920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:788048854:788052464:-1 gene:SECCE3Rv1G0194920 transcript:SECCE3Rv1G0194920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPADLLRQGCAPSHAAAAAHVRGLVALLLRHQAERRPLLQIHAQLVARQVFDRRPTPWHALLKAYSRGPLPQEALGLFRDARRHAADDTHAFVHALGACAALAWPRAAAQLHGLAVRKGFEFHTYVHTALVNAYVVCGCLAESRTAFDEMPVKNAVTWNVVITGFAARGEVEYARLLFERMPCRNVVSWTGMIDGYTRSCRSVEAVALFRRMMAEGIDPSEITVLAVVPAVSNVGRILLGEALHGYCEKKGLLVLDIRVGNSLIDLYAKIGSIKNSLKIFHEMLDRKNLVSWTSIISGFAMHGLSTEAVELFAEMRRAGIRPNRVTFLSVLHACSHGGLVEQGVLFFKSMVYEYNLTPEIKHFGCIIDMLGRAGRLCEAEQVISGLPMEVNSVVWRTLLGCCSKYGEVEMGKRAMKKILDIERESGGDFVVVSNMLTELGRFSDAERARKLVDEMNTVKVPGLALVGESHIVMMEAIKKLHGHLVVSGLYNCQYAMSKILRFYSILQPDLVLAHKVYGQIEAPTTYLRNIILRGLAQSDAPEDAIAFYNKARGKGMEPDNLTFPFVVKACARISALKEGKQMHSHVLKFGLLSDIFVSNSLIHLYAACGDLCCARSVFDEMLVKDVVSWNSLICGYSRCNRLKEALKLFRLMHNEGVRADKVTMVKVVSACTRLGDWSMADCLVKYIEDYCIEVDIYLGNTLIDYYGRRGQLQSAEKIFFNMKDRNTVTMNAMITTYSKAGDLVSARRLFEEIPSKDLISWSSMISGYSQASQFSDALELFREMQRAKVKPDAIVLASVLSACAHLGVLDLGRWIHDYVRRNEIETDIILHNSLIDMYAKCGSTKEALQVFREMKEKDTLSWNSIIMGLANNGAEEEALGAFRAMLAEGFRPNEVTFLGVLIACANGKLVEEGLGHFESMRPVHSVEPQMKHYGVVVDLLGRAGQLTKALRFIAEMPVAPEPIVYRILLGAAKTHGDLGVAEVAAERLRELDGGNSGDYTLMSNAYAGADRWSDAMEVRQRMEDGRVRKLPACSVVDC >SECCE5Rv1G0338080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:596256248:596256889:1 gene:SECCE5Rv1G0338080 transcript:SECCE5Rv1G0338080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRQCSTFAVAIDRQLLGHVSATKMKIAKAPVLLKKAVTMCKSKTGVLAARLLFLASLRRRMATVGVVSHKIHAHMVAADRAKAGGDCHKAVVSHKLDKTLPAIHGGEIVDLTHQLALFCQEEDGGGGFPDWTLHPIFNDDDNCCYTEDDDEDVDDVLLDGCDAHHDEPSVIDVIKSNMEVQGLEFNMEDEIDQAADMFIRRFRERMSKSI >SECCE5Rv1G0340480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:614993274:614993912:-1 gene:SECCE5Rv1G0340480 transcript:SECCE5Rv1G0340480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADAGSPRFGHRTVCSEPPKRPAGRTKFKETRHPLYRGVRRRGRLGQWVCEVRVRGAQGYRLWLGTFTTAEMAARAHDSAVLALLDHAACLNFADSAWRMLPVLAAGSSRFSSAREIKDAVAVAVVEFQRQRPFVSTSETADGEKDVQGSPTPSELSTSSDLLDEHWFSGMDAGSYYASLAQGMLMEPPAARAWSEDGGEYSGVHTPLWN >SECCE1Rv1G0003700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14928736:14928978:-1 gene:SECCE1Rv1G0003700 transcript:SECCE1Rv1G0003700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAMKYPEPTRGSSGAGSGKTSWPEVVGMSAEKAKEIILRDKPDAQIEVIPVDAWVIQDLRPDRVRVVIAVARTPTVG >SECCE3Rv1G0192770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762540842:762541177:-1 gene:SECCE3Rv1G0192770 transcript:SECCE3Rv1G0192770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADRGSTSVQFDDFLPSMARKLGAEGLIQELCKGFQLLMDPRAGRITFQSLKRNAARLGLGDLRDDELQEMMREGDMDGDGALDQTEFCILMVRLSPELMEQESYRMFEC >SECCE1Rv1G0038710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:542206189:542207287:-1 gene:SECCE1Rv1G0038710 transcript:SECCE1Rv1G0038710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGKSKILVIGATGYIGRHVVAASARLGHPTLALVRDAAPSDPAKAQLLKTFQDSGVTLLTGDLYDHGSLVSAVKAADVVISTLGAMQIADQTKLIAAIKEAGNVKRFFPSEFGLDVDRTGAVEPAKSIFALKAGIRRAIEAEGIPYTYVVANYFAGYSLPTIGQVLSPAPPTDSVVILGDGETKVVFVDEADIGAYTVLAADDPRAENKVLYIKPPANTLSHNELVSLWEKKTGKTFQRVYVPEDAVLKQIQEAPIPMNIIFSIGHASYIKGDQTNIEIAPSFGVEASELYPDVKYTTVDDLLNRFL >SECCE3Rv1G0157470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:82003433:82005046:-1 gene:SECCE3Rv1G0157470 transcript:SECCE3Rv1G0157470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 20, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13830) UniProtKB/Swiss-Prot;Acc:Q9SDN0] MPQLAASPAPAFAVAAGGGRAGFRPPPRATMRARASATAGGAARTATAMPVQQQPQPTFYDLLGISPEGSTFEDVRAAYRRMARKYHPDVSPPDAAKEHTRRFIQVQEAYETLSDPSRRAGYDRALARGVCRLAFSPAARRHDASAFYHQEQEEKSGWRTSWEGQLSELKKRSTVKDTEENLSWGAQMRRRMAEQS >SECCE2Rv1G0072340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:54451319:54454535:-1 gene:SECCE2Rv1G0072340 transcript:SECCE2Rv1G0072340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSQLPGAGRQDTLADRVHRYRGVLLVVLAPLALVSLVLLLMPRAPAGAAQRPLGGGDAAGGKKYAVIFDAGSSGSRVHVFCFDANLDLVHIGTDIELFVQKKPGLSAYAKDPREAAQSLVSLIEEAKQVVPAELRDQTPVRVGATAGLRALGAGKSEEILQAVRDLLREKSSFKNQPDWVTVLDGTQEGAYEWVTINYLLGKLGKTYADTVGVVDLGGGSVQMAYAIPEKDAEKAPKPADGEESYVKKLFLKGTTYHLYVHSYLRYGLLAARAEILKAGNANGYSNCVLAGHQGQYKYGGNTFEASAAPSGSSFSECRADVVKALKVDEACTHMKCSFGGIWNGGGGAGQKNLFVASFFFDRAAEAGFINSNAAVAKVKPSDFEEAAKRACKLNVNDAQSSYPGVQKDNVPYICMDLVYQYTLLVDGFGVDPQQEMTLVKKVPYSDAFVEAAWPLGSAIEVASSS >SECCE5Rv1G0309520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:155240873:155243392:1 gene:SECCE5Rv1G0309520 transcript:SECCE5Rv1G0309520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSNSKRLPSDDNVASDGGLRRREFYLNGPSLDRASKRITEGVLSPPPNLKNSHKSKGSHQLHSEASGSNSRKGESNHAIHVSAGNDDLSTTQKPRKVKLKIGGISRTIPAKPNPAIPDSRSSAAKPTRPGDSSHRQKHGNQTEGAKDSNRLLSSQDKKTRKLRKIEDTLTPEQPAKVQREASSDPVRKSRRIAKKSNMDSELDEEYGLSTPENHGASNDNEGHIREPKNKGGSNPKKNASKKDRSRSTVYEVDNDFVTPQSNRDGKKRSRDSTDADEDNAEEELASDNELEAENKKQKAVTELSASIKSEPLTTRRRALQSWMDGNSNSTIEFPDGLPAASSRSKKEKLSDAEMLAKKAEAAQRRKMQVEKATKESEAEAIRKILGLDTEKKKEERKQKEREEKEKAARAQELARNSIRWVMGPTGTVVTFPENVGLPSIFNSKPCSYPPPREKCAGPSCTNAYRYRDSKLKLPLCSLECYRAVRGSA >SECCE4Rv1G0261230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700612500:700615714:-1 gene:SECCE4Rv1G0261230 transcript:SECCE4Rv1G0261230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFEEMESRYVPYLRTHLHQFAASVSAASCEEGAGAGGGDDECRDEPAALRLKMVAVAAILVAGAIGVAIPLVGRRRRGGGEGSSSGGGTFVLAKAFAAGIILATGFVHMLHDAEEKLSDPCLPATPWRRFPFPGFIAMLAALGTLVMEFVGTRFYERRHGEEAAAAATAGHDDTTALLEDGSLAGTTAAEMSGDDEKHDAMHIVGMRAHAAAHQHSHAHGHDACDGRAVYDAQAQAHAHGSEERPSQARHVVVSQILEMGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQFKNFSALLMAFFFAITTPVGIAVGAGIASFYNASSPRALVVEGILDSMSSGILIYMALVDLIAADFLSRRMSCNPRLQVCSYVALFVGAIAMSALAIWA >SECCE2Rv1G0116540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:765431576:765433082:1 gene:SECCE2Rv1G0116540 transcript:SECCE2Rv1G0116540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSTSLSQAGAAPNCLKRKAPASSAVPSSSTSLGADEAADEEDIEELEREVADLGRRILEHRRDAAARFMDATVSRLVALRPPACVVPGEAQSAAGTWQSEAEKNMPEKLKMFKSKTEANIASLPKVLEKMNECVARIEKLEQLNVNIHPGFR >SECCE2Rv1G0127970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857152709:857153905:1 gene:SECCE2Rv1G0127970 transcript:SECCE2Rv1G0127970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVRVSSHHSPVQKLGDSHMKLTPKFRLAGTTSPPSSSEPEQPPWETPLIPGLPDDAALNCLLRLPVEAHGACRLVCRRWRHLLADKARFFTQRKAMGLRSPLLFTLAFHRCTGKIQWKVLDLDRLAWHAIPAMPCRDRACPRGFGCIAVPGDAAALLVCGGLVSDMDCPLHLVLRYDVCGNRWTVMARMLSARSFFAGGVIDGRVYVAGGYSTDQFELNSAEVLDPANGVWQPIASMGTNMASSDSAVVGGRLYVTEGCAWPFFSSPRGQVYDPKADRWEAMPAGMREGWTGLSVVIDGRLFVISEYERMKVKVYDPETDSWDAVSGPPMPERMMKPLSVSCLDSRIVVVGRGLHVVIGHVKKQSAGDDYLVRWQDVEVPRAFSDLTPSSSQILHA >SECCEUnv1G0542890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:104357596:104358714:-1 gene:SECCEUnv1G0542890 transcript:SECCEUnv1G0542890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMASPLHGVVDARRWNADRLFGRLVIVVHAAFLDAGFSVPHRHRWGRDLSSTCRLPTEVGATASTLSLEYTAPQLLPRRDMDAAALRVCTDGRHIIFYLQLQLQVCSVRISTYWVCLDALSVAPLLSGHLDGTARRLLGNDGSPTAALWSTVSDGFSRRIFSDLCREHGVVPGRAPTFMSLPSDAMTAILERLADGKDLLMVESTCTELRRFVSDADRDRQLWMPRYKAIRGHWRWGYWSLLDDSDSHSHDDLPETSWKEMFVRARRQWEDRLLVRPTPILIIRRFSILHTWRRFLRSARYSVGERPVDAAACFGEMTTDTEGDGDKSTTGRRHGHGKAPATGGHEKQKQQGRRTGAIHSPSSRYRWKHR >SECCE3Rv1G0186630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:688000203:688001354:-1 gene:SECCE3Rv1G0186630 transcript:SECCE3Rv1G0186630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTSSTPPAILDMPAPDAASNGARAARRRRLLLCANYVALLVGSVASSLLSRYYFAHGGRDRWVATLVQSVGFPVLLVPVYAGRPAGQPRPFAWFTRRLLMACVVIGVLMGVNNLLFSYSSSYLPVSTSSLLLSTQLAFTLVLAAIIVRHPLTFSNLNAVVLLTLSSVLLALRSSDSAEQPDGGSRTRYFIGFAVTLGAAGLFAAYLPVMELLYRRAVSGGFRMVVEVQVVMQAAATALAVVGLVVAGRWSEELARWDKSPAAYWVLVAALVATWQACFMGTAGMVYLTSSLHSGVCMTAVLTANVIGGVVVFRDPFGAEKAIATVLCVWGFSSYLYGEYSTRQKQQEGDGKVAAASTGGDSDKSVTSGDAGVGGGAVETV >SECCE7Rv1G0461490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:38143716:38144279:-1 gene:SECCE7Rv1G0461490 transcript:SECCE7Rv1G0461490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAQPVTGVPVGGAPAPAAWSSGLFDCFDDCGLCCLTCWCPCITFGKVAEIVDRGSTSCGTSGALYALLGSLTGCHWIYSCTYRSKMRAQYALPDEPCCDCCVHLCCEPCGLIQQYKELKARGYNPDIGWHLNVERGNGGVNPPGMQEMGR >SECCE6Rv1G0377530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:2468466:2481278:-1 gene:SECCE6Rv1G0377530 transcript:SECCE6Rv1G0377530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPAMASQPPPRPPAQELDLDAFLPSSPASSLASDADADHRRAVDDLLLLLSSSDSEGDDEPTRAPATNLKPLTRIKAPPPPPKPSPPSPLPSPSASPGRSTSASPSATLSSLVSRTFSSNAASSSTSSARPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRTASAPIEKLLDEGSGSGSEASEGILSTGNFEAEEVAEKVSEEVVARVTEEAVGGSGGEELEEDTHGEVGTEENSEPSELLDLGNVDSVAVESFNGGENLAETYQVGSQIGLVDEEDSDEQLSDGNLAESGEIVHQDGSVSEEKTDDGLEVELSDTDFGEQLESERIIDKVIEERLEISRMAEKNAEKRPKVPMKPLERAEELEKRQASFGQHWEEGAAAQPMHLEGIGKGQPAIGYMQIDVDNPISRAMASPSFRQDHGSPQVLAVHRSYIAMGMSTGSVIIVPSKYSIHQADDTDAKMLFFWNQGEKTQSPVTAMCFNPQGDLLLVGYGDGHMTIWDVQKATAAKVIYGEHTGAVVHVCFIRQSKAITGDSKGLVLLHTFSIIPVINRLTVKGTQRLFDGNTGIVLSACPLLADESFGSGNSSTQGNLSTSSSGGLGSMMGVGVDSGWKFFNEGSSPLEDGVTVMFIMHQHALVVRLRTNIDHVDHIETFSRPEGAREGSIAYAAWKYTSPSTDSSPVDEERVSWLALAWDRQVQVAKFVKSKMIRHKDWKIDSAAVGVAWLNDQMLAVLNLKGQLCLFSKDGSELRRTIFTLDGFVFDDSILYHTHFSNRFGNPERHFNNSVAVRGATVYILGPSFLTVSRLLPWKERIEALKMAGDWMGALDMAMKLYDGHTQGVVDLPRTVDSIRGAIMPYLVELLLSYIGYVFEYISIALSNHTGKGGAADGLIDADRSLLTQREEQYARVGGVAVEFCVHIGRNDILFDTVFSKFVAAQSGGIFLEVLEPYILKDMLGSLPPEIMQALVEHYSGKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLNDFRTPLEELLSVIQNATRKDATSTCYRMLVYLKYCFQGLAFPPGHGTIPRAQLHAVRKELLQFMLEDSKMLISEVFKGFSSSSGKCPNICYLLWMDTEATLEVVKCAFAQENFEPTSSTLDASVSKDEEDTDIESPDSQNILLQSVVDTIIHIVGLENEAIHSIVVGTAESEESELWPSVNDFGHIIEFVSFFVSHKRANASQRVLKHILKYLTSSTTSYDDKKMPPQKEVLQLFNVVPQTDWNSDYVLNLCLDAHFHQACGMIYTARNQNLAALDSYMKDTMEPYHAFIFINKKLLQLASDEALSFRSTVISRFAELVNLSRECAFVLVIDHFHDEIQQILAELHSDHRSLFLFLKTAIEVHLSGKLDFSELTARNYEIVESLYSGELEDYLQRLSNLPKLFDRNPISMTDKLVELYLELLCQYEPRSVLKFLETFDSYRLERCLRLCLDYGVTDAAAFLQERVGDVTSALELVLAGLDEKVNHFIASVENAFSRTSSKSISEIEQPDIVLSMSEARPVLDVLRSSIGLCQRNSQRLDPEESQLLWFQLVDSYSDPLKKLYGSKVVNGKGCWSEGSETTNGHPTGKGFSQQMVNSAYQRCLNTLRRVFSQFVGEIIEAMAGYIPLPAIMAKLLSDNGSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGFAPQTFVCCVCNCSLSKEGAISAVRVFSCGHATHLHCESEQSKSSNKDFKDGCPVCLSMSDTQARNKSPITAENGLPKYPVVEHEVPYGVHHNHETDHVERSRGLQQMSRFEILNNLQKGQKSFHIETVPPLKLSPPAIYHEKIQKRVSLVGESSRHSVRSEKTQKIWHMKEPKSKKSGNWLPPKSSIFSSDKNQVR >SECCE6Rv1G0451110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871329382:871333627:-1 gene:SECCE6Rv1G0451110 transcript:SECCE6Rv1G0451110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEVVVGVLVQPLVTVVIEKASNYILEEYKVMKGMEEQHEILKRRLPAILDVIADAEQVAAHREGAAAWLQAIKKVTYQANEVFDEFKYEALRRKAKKEGHYKELGFGVVKLFPTHNRFIFRNRMGRKLRKIVRAIDVLVTEMNAFGFKYQQQPLVSSQLRQKDHAISDPKKITSRSRGKEREKLVDMLVGQANNADLTVVPIVGMGGLGKTTLAQLVYNEPAIKKHFSLTLWVCVSDCFDVDSLAKSIFEAAPKTKKNDGTEADGSKEKNDGTEAAGSKEKNDGTEAAGSKEKNDGTVAAGSKKNDGTEATSGKKDDGTKEASGSKNDGTKEASGKKNDSTKASSRKEKDGGAEAATASRKNLQKLVSGQRYLLVLDDVWERQVGIWEELKACLQHGGRGSAVLTTTRDEGVAEIMGTVEAYNLTAVEDEFIKEIIETSAFSRFQNEEERPAMLVNMVGEIVKRCVGSPLAATALGSVLHTKTSEVEWNAVLSRNSICTEETGILPILKLSYNDLPSHMKQCFAFCAMFPKGYKIDVDKLIRLWIAHGFIIQEKQVCLETIGKQIFDELVSRSFFQDVKQVQDSSSEIEHDGACYSRTTCKIHDLMHDVAVSVMENECALAIEKPGKIDAVVTTTEPSQSQWLRDTTQHLFLSCKEPEKELNSSLDNSSPAIRTLLCDSRMENSSLRHLSKYSSLRALKLCLWGSFPLKPKHLHHLRYLDLSRSGYIKALPEDMSILYNLQTLNLSGCTCLCRLPRQMKYMTALRHLYTHGCPKLESMPGDLSKLTALQTLTCFVASSDSNCSNVGQLGSLNLGGQLELCHLENVTEEAAKAANLVKKKELTELTLKWSVGLDNIVGEHSSRDDARLLEQLKPHDGLHAIRIHAYGATTFPTWTAMLQNIVVVHLFGCNKLQWFFSGDTNTSFAFANLKVLTLQELVCFERWWEIDNGMQGEVIMFPRLEKLCISHCEKLTALPGQPTFPNLQNTRIERCPELTTRVKSPKLSVLKMEGRDVQLFQWVARHKTSLTNLDLHSIADSTETTSVAAEHGLRELVDAMDKRSDHDFPLTVLVLQNFQLGVTELCSCFVHLQDLSFCRCHALLHWPEKEFEGLVSLRKLMIDQCENLIGYAQGSTKPSTSSETSQLLPRLDVLVLRKCESLVEVFNVPTSLTVMEISCCKKLESISGRRLQQGQSASSIHQGPSSIAEVSSSSSSPGDCMENLEKLKIDGCHSLKGALHLPSPLKVLNIVNCGGLTSLESRSGELSSLERLILRGCNSLASLPDGPQAYSYVQRLTVRSCPGIKTLPASLHKRLDNIEKKNIDAHYYEDSSRPIPILLKPKTWAYAIRRD >SECCE6Rv1G0387960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:126508310:126512396:-1 gene:SECCE6Rv1G0387960 transcript:SECCE6Rv1G0387960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHVLVALALSFVGGLSTSLGALLVIVNPSPDLKRLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKANLWFFAGVLFFGFVVKFIPEPTFVPTADASKKKTDDDGSGKDMMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSVKGLRVGVNLAIAIALHNIPEGVAVALPLYFATKSKWQAFKYATLSGLAEPLGVFFVAVLFPSNLNPEILEGLLASVGGVMAFLTLHELLPLAFDYAGQKQAVKAVFVGMAVMSASLYFLEISLPKEISL >SECCE4Rv1G0285140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:842994103:842996533:-1 gene:SECCE4Rv1G0285140 transcript:SECCE4Rv1G0285140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRRRAISPAAAPLEDDDLLSEILLRLPPQPSSLPRASLVCKRWRCLVSDRGFFRRFRLRHRHSPPLLGFFDRYEGRPFLPTLDAPNRVPPGRFSLLSDKDHDDSRTLGCRHGLVLVYRVRRFQVLVWDPVTGDQHHIAVPREFEDTMTNGAVLRADGDAQHFKVVLAVADGDDVHHTRALACVYSSKTGLWGNLISTPLPFQDSGCNLRTMVYSRDAVLVGNSLYWKLAGNLIGILEFDLEMQSLAVLRVPVDMLGEGNSFTVMRAEGGGLGFLFAPYSDCNAQLWKREIDCDGVASWGLARTIELDKLLSVGWPYVMLGFAEQNNVVFYWTVNGVLMVELESLKFKKLFETMTLSYYHPFESVYGGGTCVGDGRDGAELLVDA >SECCE2Rv1G0121180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:805855553:805855864:1 gene:SECCE2Rv1G0121180 transcript:SECCE2Rv1G0121180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQVVARITVEVAPSIIRRRRRGLPLTVLDTIVEEEKEAAAAMAPHHRNVTAGRCAGDTTGSSVHAGAEKGKRCVGGSNDSSAHGEKRLAPAKAGCSKIAA >SECCE6Rv1G0443710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:824024372:824025583:-1 gene:SECCE6Rv1G0443710 transcript:SECCE6Rv1G0443710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSQKIESTLPDIPGHLLEKIFLLLPTPEDLARTSAACVTFRGVVTDGSFLRRFRRLHAPPLLGFLNLDGFHPTLPPHPSAPAAHALALAADFTFSFLPFHCQWTVQDVCDGRILLERKHGKDEEDLPIFPDLVVCDPLHRRYVLLPSVPDDLAVSVEKQGRFFGSFCEPSLIPPNEGETEETAFRVIWLARCGINLATFVFSSSTGLWQAAASQPWTNLFIGHLPLYFLKRHFACGCIYWASPMNKKELLVLDTRTMEFSIADFPPDAWLQLQVAIVDAGEGNVGMFSTLDGNAFYESILCYMVRQNKGESSGQWVMKTISLGYGYRHYIRAATQNYLILLRMDAQTQWPDVALSLPQSKMDFFSLDVKTMQLERLFAEHNERSAVHIYTNFPPSLSSPTV >SECCE6Rv1G0387340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:112060458:112064571:1 gene:SECCE6Rv1G0387340 transcript:SECCE6Rv1G0387340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDSDSNDNVKFEWESDDEAEPSSAPAFRNSDAPGPSTLDSNGRANEEAPSTALIEEYVAMGFPKEIVVKGMKEIGHSDADALLELILTYQALGADDAVGNCSTSGCAPQSVEEDDDDDDLDFENWDGDDDDVGGRETNCDDPGDEDFLREMSQKDKKINSLVDMGFSEDEANIAIARCGVDADLCVLVDSISASRVAGDFNSRNISDHQVTDRCFDSFGGRKKARLMEESKKRRMQYAQGSGPSFAGSHDEPTRIPDPMVGFNLPSDRKPSVTRMLPEQAIGPPFFYFQNVARAPRGAWTTISKKFYDIQPEFVDSKYFCAASRESGYIHNLPIENREALLPSPPKTVFDAFPHYKKWWPSWDPRRQLNCLQASVATAKLTDQIQRTLARSGNPSVQKHVVDECKTWDLVWVGKSKVAQLEPDEVESLLGFPRDHTRGVVKTERYRSLANSFQVDTIAYHLSVLRDMFPNGVNVLSLSTGIGEGEVALYRLGIRMRTVVSVEKGETNRRIFKGWWDQTQTGKLVEIADLKSLTNERIASLVGRFGRFDLVIGGRPGEQSALLYDYPRILDAIKSAMARM >SECCE6Rv1G0382100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:38803485:38808604:1 gene:SECCE6Rv1G0382100 transcript:SECCE6Rv1G0382100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDVPRSPGGQRVRPLFAAPPDDRHAPRSWADEADAEDPPLPLPLPPPPPLGSSRPVRLVDTLASRSEDAAQALPPPPPLGSSRPERVAGYRMDADSPRSDGRSSSPGAGQGARRRSRSPRQRGKSPERVHVPLPPPPPVGSFRPVRVSYRLESDSSRSSRSSSPAGVMGPPPRRSPSRSNGGKRRRSSPPRRSPSPGPPKRPRRDDGVGRRSPPRGGRYERGGDGGRLAGHRAPDGPNSGYGASGKVQDMTQRKGLMTYKQFILALEDDVSPAEAESRYQEYKTAYITTQKQAYFDLHKGDTRLKEKYHPTSLLSVIERRNEFCKAAAKSLILDLRSGTLDLGPGMTADGSSKSGNDNYGSSGNGEDYGNKRRKNGRGPAKESGPLSTAPKAHPVSSKYRRIQTDIDQTLALVRKLDSEKGIVGNILTIGGDHGKPDDDRSQVGSGGPLVIIRGLTTVKGLDGVELLDTLLTYLWRVHGVDYYGMSERRNANGFRHVRADNKIADAFNISAADWEKKLDSFWHERLVNGEDPLVVLTAKDKIDAATVEALAPYVKKIMDENYGYKYGCGAMGCAKVFHAPEFVHKHLNLKHPDLVSELTLSVQDDIYFQNYMNDPNAPGGMPVMQQSEQDSGRMRRIPDKQTLGAFDEQGSDAPLIPDAPPTVLIPLPGAGPLGPFVPMPPDMAVQMIREQRPPRPNGAQRGKKPLMPMYPHFPLDPRPLRRYHDLDALEEEVTAIDYRSV >SECCE6Rv1G0400420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:451341435:451347635:-1 gene:SECCE6Rv1G0400420 transcript:SECCE6Rv1G0400420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAETLVSLPIVPPSRSVLRPLRRRPAYRGSAASVRLSAVPPRGLGFALIHRHIRCPPAARANVERDGDGASGSGEASSTGDSDRDAAAEQGSDSAGTSTTSAAATPPSPPSSSKRGESKWRRRVAKGGGVGRWFWEPIVQGREMGFLLLQLGFAIFALRMLRPEITLPGSEPRPQTTYISVPYSDFLASIDKDQVKKVEVDGVHVMFRLRPEVEASVVEQPQTQADGVADNAAISRRIVFTTTRPVDIKTPYEKMVENSVEFGSPDRRSGGMLNSALVALIYVVLIAVVLQRLPISFSQQSTGQLRNRKNLNSGGAKVSETADIVTFADVAGVDEAKEELEEIVEFLRNPEKYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRELFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVTVEAPDKLGRESILKVHANRKELPLGKDVDLSGIAAMTTGFTGADLANLVNEAALLAGRSNKEIVEKIDFISAVERSIAGIEKKHVKLKGNEKAVVARHEVGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEIVLAGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISLATLSNGGLDDSGGSPFGRDQGHLVDLVQGEVKALLQSALEVALSVIRANPAVLEGLGAYLEENEKVEGEELQEWLKSVVAPEELTSFITGKQEHVLQLEVGP >SECCE6Rv1G0451900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873968284:873970437:-1 gene:SECCE6Rv1G0451900 transcript:SECCE6Rv1G0451900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 21, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15290) UniProtKB/Swiss-Prot;Acc:Q9SHU7] MQALLLSPPAAPPPCLATLRRRRPPSPALPPAPGASFAPGRAAPALRAAAVPHLAAAAPGPAEPEPAPLSAEEEAERAKLAQVSKRLEKTARYFKNLGTLAFWSQLVCTTVSAGILSFSAVATGNATSPFTFYATGLGIVAAFLSVFRSFGYIRLSKTLRRTATEPAKAPPRAAVVKNLRNSIVLNVVGMGAAVLGMQATVGALVAKALTTSSVPYYQGIAAGQSPVLALDVFLVQASANTILSHFLGLSSSLELLRSVTVSPADAGPVPRPA >SECCE4Rv1G0226660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:112534394:112538070:-1 gene:SECCE4Rv1G0226660 transcript:SECCE4Rv1G0226660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVPDGARQHSEAAPRRHRPPSEAPPGAGGRTVAVGIRWDAASRELLTWALVKVANAGDRVVALHVAAGGGGGAGVEERRKAADSLESVLAVYDGFCNLKQINLELKVCAGSSIRKTLVKEAASCGAAHLILGVAKNSRSFGSSSTSVAKYCAKRVPISCSVLAVNNGKIIYQRVAAHEEPFNSTSAPETPRRSYRKLLTSLMGEKTHDECIKDNRSVSRAVTMPIRSSTSSKEVSLALVPVKVCRRESPEVATGWPFLRKKLLPNRQDALSDKPKMSVVQWAMRLPSRYSAVSPVHLEHRTTRPDSTNSVSRILRDRVVIPSGSNSGSSSVVIEELNTEFPEELISLKEKFLSLYSSYSYNELADITSNFSPECIVGQGGTSQVYKGCLTNGKELAVKILKYSDEVLKEFTSEIEIVSSLSHKNIISITGFSFKNNDLLLVYEYLQRGSLEEILHGEKECKSMFGWTERFNVAVGVAHALDYLHGNGNSRPVIHRDVKSSNILVSEDFQPKLSDFGLALWAADATPQITCNDVAGTFGYLAPEYFMHGKVNDKIDVFAFGVVLLELVSGRKPLCTGCPKGQESLVMWANSIIQGGKLTQLADPSLPTEGHTDEIERMTLAASLCIRPTPQHRPHIAVVLKLLDGDNDIVKWARSHVGLSYESDGDEDMVTLAPPPENNTNIQSYINLAFDVDDDSASVSSNDFITANTSLEEYLQGRWSRSSSFD >SECCE5Rv1G0331980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:543656384:543657268:1 gene:SECCE5Rv1G0331980 transcript:SECCE5Rv1G0331980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDHDDGDDEMQMPMPMPVSSSYDAPPPLSTGLGGAGVAPNKPPGGGGGELGGRAKVPGGGARYRECLKNHAVGIGGYAVDGCGEFIAAGEEGSIDALRCAACNCHRNFHRRESDFPAGGEGSPFSPTAMVPYGAVPHHQFSPYYRTPAGYLHHHQHHMAAAAAAAAAGHPRPLALPSTSHSGRDDADELSGMAAGPMSALAPLSSMSLGAGPSGYGSGSGSGKKRFRTKFTQEQKDKMLAFAERVGWRIQKHDEAAVLQFCDEVGVKRHVLKVWMHNNKHTLGKKPPSI >SECCE3Rv1G0146380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11241144:11244084:-1 gene:SECCE3Rv1G0146380 transcript:SECCE3Rv1G0146380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGKAIHHHDTARLLKAFSRAVDPRNFGIGLVAGFLLVTCAYFSTARFDAIHIAPHVSPLEARIGSPASAAAAGSKSQLDLGVLQERDAALSREGSKAEVLDTDGADNKDLVHDAALVDTKKDDTFARDGDDAGAGGALLPPLSSQEPANGTEQEQGVLEDEELRVQVALAAASSPKQSSSSNGGGQSVVQSDPATIPAPVQQTPPLTTIPVPEAPKQEAKAPPLQQIPLIPEPVKLQPGSQEVAATAPRREWKPLCDFTSNRRIDWCELDGDVRVHGAQGTVTLVGAPQAEEWRVRPYPRKVDPNAMRHVRNITVRSTLTGDEECAIKHSVPALLFSDRGYTGNYFHAYTDVILPLFLTAKQYGGEVIFLVSDFQMWWIGKFLPVFKSLSNYDLVDLAADNRTRCFPHVQVGLTCHADFIIDPLRAPNGYSMVDFTKHMRGTYGLPRGLAVPAAGARPRLLLIARASTRRFVNADDIVRAAQKVGFEVVVSEGTHEVAPFAELANTCDAMLGVHGAGLTNMVFLPTGGVVIQVVPLGGLEFVAGYFRTPSRDMGLKYLEYRIAPAESTLTEQYPPDHPIFTDPEGVKSKGWDSLKQVYLDKQDVRLDLKRFRPLLKKAIAHIRANKLQ >SECCE6Rv1G0411450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:607262831:607265611:-1 gene:SECCE6Rv1G0411450 transcript:SECCE6Rv1G0411450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGKQRMVCLVAVALVLAGSLSIAAAQAAGLKKGFYKKSCPQAEDIAQKVVWNRVAGNRELAAKFLRMFFHDCFVRGCDASVLLDSPTNTAEKDAPPNLSLAGFEVIDEVKAALERACPGVVSCADIVALAARDSVSFQYGKKLWEVETGRRDGTVSSDTQASNEIPVPSSTFDILLTNFSGKGLGLQDLVVLSGGHTIGIGNCNLFSSRLFNFTGKNNPTDTDPSLNPPYANFLQGQCRRNLQDPNDNTTVVPMDPGSSTSFDSHYFVNLKAGQGMFTSDATLLTNGRAAALVNKLQDNGVFFDHFKNSIKRMGQIGVLTGASGQIRNKCNVVNS >SECCE5Rv1G0307850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122048024:122058881:-1 gene:SECCE5Rv1G0307850 transcript:SECCE5Rv1G0307850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAASQGDYDEQDRRVKGAEVFVGGLPRSATEGTLREIFSTCGEIVDLRIMKDQYGVSKGFGFVRFAERECAYIAKRQKNGIELQGKRLAVDLSLDQDTLFFGNLCKEWSAEEFEELIHKTFKDVISVDLATASNLDSSTSKRRLNRGFAFVRFSSHGAAARVLRIGSRTDFMLGGILHPAINWAERESNVDADEMAKIKTAFVGNLPANANEDYLKKLFGHFGEVIRVAVSRKGQYPVGFIHFGSRSELDNAIKEMDGKTVSGPNRGPSFKIQVSVARPAVENDNKRSREEVKTRRSNVSGGKPDYSHGRYRHDSLERQTKAPRLSNMVADVTDPYEAALNSLPSAVNELLLRILRLGIGSSYDIDIHCIKSLNELPESGAIAVLNQFLITGSDKRNKGEYFLSLVAKRKVEAFGAAQILQDTTYLSRNSEMQTKRYRHQDYDYTASGSSRYSSLGGYPSSSYVDDPIVSQSRRYAEERPAVVKYPEPRLRREEVLLEPRPNIGRQLDRIYTQEQSYNERSAHEPRQDTGRHLDRRDMHEHSYKERSTHEPRQDTGRHLDRRYTQEQSYNERSAHETRQDTGRHLDRRNIHEQSYIERSAHELRQNTGRHLERRYTQEQSYSERPAEAVLPRERRLVSAAGYATNIEPEFKSRSSAEYSAEQQQMRFDPYTGEPYKFDPFTGEPIRPEPSLRRSGSLY >SECCE2Rv1G0110150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:687451390:687451917:1 gene:SECCE2Rv1G0110150 transcript:SECCE2Rv1G0110150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHEVAVDGNSAYVPGPEDDTEANDDDADGSPMSINTRKRGTSSVDFRSTTSSPLKKMNMENGKTKGPFLRTLNEITTRMDKEAETSNTILQAIVDQGKEKAKRSEERKVAVATCQQLAIECGAAEESIEYFVACDLFKDKHNRFVFQNMKTPQARLIWLKRWCKAKKMYDEDES >SECCE5Rv1G0310870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:180136796:180138099:1 gene:SECCE5Rv1G0310870 transcript:SECCE5Rv1G0310870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRFGFGRDMAAVFKFDPTDADIVASYLLPRATDLDKPHGHGRAVIDDDPMSLPPWDLMEKHNHGTSDQAFFFGPPRDGDRVTRVVPGKGGGTWQGQNGSVGTVTLFCDGDGDGAGEVDISYRRYDLTYKRASDKGPSGWVMSEYQITSPPLLSTVLTRIGLTVAAREQRKRQPADPEAFAQQGPDKVMVAVAAAAAEHQWVSPPPVQSGPDAQADGGALYPGDTSVNGMGENGGHYTVPLLLNGQEYYKDKSRVKRKRRRDGP >SECCE4Rv1G0242270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:473680175:473684181:1 gene:SECCE4Rv1G0242270 transcript:SECCE4Rv1G0242270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Cytochrome P450 CYP714B3 [Source: Projected from Oryza sativa (Os03g0332100)] MMEVGMVMKVLLSLSCVGACSLALYLYYTVWVVPQRLLAGFRRQGIGGPRPSFPYGNINDMREAVATAKSARPAGGRIIHDYRPAVLPFYEKWRKEHGPVFTYSMGNVVFLHVSRADVVRDINLCVSLDLGKSSYLKATHEPLFGRGILKSNGEAWAHQRKIIAPEFFLDKVKGMVDLMVDSAQTLLESWEAMVDKNGGTVDIKIDDDIRAYSADVISRTCFGSSYVKGKKIFLKLRELQKAVSKPNVLAEMTGLRFFPTKKNRQAWGLHKQVHRLILEIVKESGEDKNLLRAILHSASSSKVGLREAENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQDRVRQEVLEVCGGRPADSQSLQKMKNLTMVIQETLRLYPAGAFVSRMALEELKLGGVHIPKGVNIYIPVSTMHLDPKLWGPDAKEFNPARFSDARPQLHSYLPFGAGARTCLGQGFATAELKILISLIISKFALKLSPLYHHSPALKLIVEPEFGVDLTLTKVQTASTTTY >SECCE3Rv1G0208880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:935459990:935460700:-1 gene:SECCE3Rv1G0208880 transcript:SECCE3Rv1G0208880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGDDDVKVLGTAASMFAIRVRMALHVKGVSYEYLEQDLFHKGALLLASNPVRKAVPVLIHAGRPVCESLAIVEYIDEIWAGAASLLPADPYDRAVARFWAAYIDDKAVPTWIGILRAATEEQRAESFAAALEAVAPLEDAFAQCSAGKPFFAGDSIGYLDLALGCNLFWIEALRHMFGVTVIDAGRTPRLAAWAERFMESEAAKKAAPPMESMLEEAGKLRAMWAAAAAPAAK >SECCE6Rv1G0413840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:626728860:626731742:1 gene:SECCE6Rv1G0413840 transcript:SECCE6Rv1G0413840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSGGRWRLHLHLHGQRRSAAAFLAANKTLLAAVWVAGFTLVFLWQSASMFVAGGGPRPAPAPSRPAPRLRPMAYNLTDFGGVGDGRAVNTRAFERAVETVSAFADRGGAQLNVPPGRWLTGPFNLTSHMTLFLAEGAEILGITDERDWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITGYNGSINGQGEVWWLKHRRRMLKNTRPPLVQLMWSKDIVIANITLQNSPFWHFHPYDCTNVTVSNVTILAPISGAPNTDGIDPDSCEDVLIENCYISVGDDAIAIKSGWDQYGIAYGRPSSNILIRNVTVRSLVSAGISIGSEMSGGVANVTVENVRIWDSRRGVRIKTAIGRGGYIRNISYSNITFDNVRAGIVIKVDYNEHADDGYDRNAFPDITGISFRKIHGWGVRVPVRAHGSNYIPIKDITFQDMSVGISYKKKHIFQCSYIEGRVIGSVFPKPCENLDVYDEQGKLLKSGAVLNSTEVDYDI >SECCE2Rv1G0114590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:736426266:736429080:1 gene:SECCE2Rv1G0114590 transcript:SECCE2Rv1G0114590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVDKIAEPEDPLVVTARKVQSLEPPLPIPIKASWKGKTSQQQEEKDLPDDGEESFQSVDSSDEGGRSSFSGASHPLEPVDMDLMKTVYVAIDEEKPEPPMSLVRGLSAKGPFIDDLSLRVTGLKANAVACAGSGEGLAEEMKVSGAAVASLATARSSQATETVSLPPDSEEKDCVWDASLPPSGNVSPHSSIDSMGVVTAMSIASNRSNTYKSEAIASGTMLTVERNFGSVKSSVRGDSLESAKTSMSRASDSSGVSDDSSWSHITGGTSKPHKGNDPRGKAIHAVRIRDGVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQKQPGKYFSEYAARFYAAEVLLAIEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSAFDSDPRRAGGSFCVQPTCMEPTSACIQPACFMPKLFGQKSKKKTRKTRSELGQSAINLPELLAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPESPSTSYAGRDLIRGLLAKEPQQRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPVKYGVSEAVASTNKRVVGADAKSGGKYLDFEFF >SECCE5Rv1G0366360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:812788606:812790608:-1 gene:SECCE5Rv1G0366360 transcript:SECCE5Rv1G0366360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAGRRQPSTSSSSMSSQQVSGFSGYSKAASDGYPYPSGSPDTSYRHRRQASSHSAPFSSQLSRSSTRSSSSGGFKAAARGVAGVFGTCFVPRVRKKAEAEQEPEVSQVSRGSRSGGYSQGSRSAGFHVSTDSAGTGKEGAGLTVADIFRATSNFSEKNMVREGTSCSVFKGKLRDGSQVAVKRARKLNGQYLSAELARELETLQKIEHQNLVRFLGFFEQKDETLIVTEYVDNGSLREHLDESRGTGLELAQRLNIAIDVAHAITYLHEYADRAVIHRDIRSSNVLLTGALAAKVAGFGLARVAGDGEATHVTTQVVGTAGYLDPEYLGTLQLTDKSDVYSFGVLLVELVTGRPPIERRRGLEPRATTKWALQKFRGGDAVVAMDPRVRRSPASVAAVEGMLRLAEQCVAPARQDRPSMRRCNEALWTVRRDFHRRQEPRQDASAGAGDKSSEWVSR >SECCE7Rv1G0456200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9602044:9602372:1 gene:SECCE7Rv1G0456200 transcript:SECCE7Rv1G0456200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNKVVILGLVLLVLCSGAMSMESLDNGYYFPQGCDIHVVSKSCPSKDACLTQCKQTYHSGKVYGECAPDGCHCIVCVLSAGN >SECCE4Rv1G0273810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:779969955:779971367:-1 gene:SECCE4Rv1G0273810 transcript:SECCE4Rv1G0273810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSVVRVLSTRTVAPPPRPRERIPLTCWDAAMLSANYIQKGLLFHKPASSAVNVVDHLATALGDALLDYYPVAGRLATEQHRDELTGAVVGCSVHVDCHGQGVEVLHAVADGVTMADVAPPDADVPRDLMAHFFPLTDALNYGGREQPLFAVQVTDLADGVFVGFAYNHALSDGAAFWDFVNYWASLARASLGLAPAPSTRKPSFERWSPDGGVAGPAVLPCADVSELVERAPPPRLRERMLHFSADSLAALKERARAELLAAGDAAGAAALTRFQALSSLLWRSITRARRLAPEQETMCRAAINNRARLRPQLPQEYFGNTIYGIGTEPARGGDLLARGHGWAAAAVGRAVAAHTDAAIRARVAEWMAKPMVYTHRFFDSTGTMIGSSPRFDMYGCDFGWGRPLAARSGRANKFDGKTSLYPGREGGGSIDAELTLMPENMVALERDDEFWAAVTPDVPVPTPQKKA >SECCEUnv1G0536280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63247024:63248610:-1 gene:SECCEUnv1G0536280 transcript:SECCEUnv1G0536280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMALVLTVCFLGFFVPAPSMASSSNNNDFLRCLSTSIPSQLVLTPSSPSFTPLLVSSIRNARLVAPATANPPLCIVTPTNVSHVQTAVRCGRRHNVRVRVRSGGHDNEGLSYRSTTPNGEAFAVIDLAKLHAVHVNPHEAIAWVETGATVGELYYRVATAAPGLGFPASVCPTVGVGGIISGGGMGLMMRKYGLSADNVLDASMVDANGNLLANKKAMGDDLFWAIRGGGGGNFGIVLSWKLRLVPVPPKVTFFKVAKTMEQGAVDTVTKWQTLAPALPDDLSVRVVIQKSQANFESLYLGNCSTAVATMRSRFPELGVTSADCKEMSWLQYTAYIYFGDAINSKPLEALLLNRSTTLGPFVKNKSDYVKKALTKETWKKIFLWPDGVASGQLILEPHGGIMGRIGAAKIPFPHRSSVLYNIQYVELWNGKEAGGNVTPNWIGSLYNFMTPYVSKNPRGAYVNYRDLDIGANKVVDGVTSYESAKVWGESYFGPENFRRLAEIKRKVDVGDYFQSEQSVPPLPSKE >SECCE6Rv1G0413140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:621868223:621885597:1 gene:SECCE6Rv1G0413140 transcript:SECCE6Rv1G0413140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWVEEKGDGWAEAEVVEAKDRSAVVVLTSQRKKISVLPEKLLPRDTDENLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVQLGELSPHVFAVADASYRAMLNDSMSQSILVSGESGAGKTETTKLIMRYLTYVGGRAGLDDRSVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDTSGRISGAAIRTYLLERSRVVQITDPERNFHCFYQLCASGKDAELYKLGHASTFHYLNQSKTYELEGINNEDEYWKTKRAMDIVGISRNDQDAIFRTLAAILHLGNIEFSPGKDSDSSKIKDSTSNFHLQMTATLLMCDPDLLVSSLCTRSIHTNEGIIIKELDCAAAAANRDALSKTVYARLFDWLVENINKSIGQDVDSKAQIGVLDIYGFESFKHNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEKINWSYIEFIDNQDMLDLIEKKPIGIIALLDEACMFPKSTHASFASKMFRNLSSHPRLEKTKFSETDFTISHYAGKVTYQTDSFLEKNRDYIVAEHCNLLSSSRCPLVSGLFSSLPEESLRSSYKFSSVASRFKQQLQALMETLSSTEPHYVRCVKPNSVNRPQLFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMFGSHDERALTEAVLEKMKLDNFQLGRNKVFLRAGQIAILDVRRAEVLDNAARRIQSCFRTFAARKEFVKTKKASISIQAYCRGCFARKMYKIRRETAAAIILQKYARRLLLQRNYHEACSAALLIQSCIRGFIARRYFSAIREQKAALVIQSFWRKRKVAMLFQHYKQATIAIQCAWRQKLARRELRKLRMTANEAGALREAKNKLEKKLDDLTLRLTLERRMRAAGEETKLVEISKRDKIIETLSAECAAAKLSARSEHDKNLILQRQLDDSLREISMLRSNKILKAEEEKENSNLKNIVESLSEKNSLLENELSTARKNSDDTMEKLKDVEGKCTRLQQNLDKLQEKLTNLENENHVLRQKAFSITPKPLPEKFANSIGLTNSEQKRTFETPPPTKYLSPIQHSTGSRRSRLPVERHEGNHEILLSCIKENLGFKDGKPVAACIIYRCLLHWRAFESERTAIFDHVIEAINDVLKAKEADGRLPYWLSNTSALLCLLQKNLRSNGLFGTPSRRSAGGLGVKLAQLAGRGDTAQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSLCIQAPKSTRPGKAPKAPGVGAQQPSNSHWDNIVSFLNLLMDTLRENHVPSFFIRKLITQLFSFVNIQLFNSLLLRRECCTFSSGEYVKAGLSLLEKWITDVSEEFAGTSWHELNYIRQAVGFLVIHQKRKKTLEEISQDLCPSLSLRQIYRICSMYWDDKYNTQGISNEVVSAMREMVNKDTQNLASNSFLLDDDLSIPFSTEDLSIAIPAIDYADVELPESLHHYPSAQFLLTAS >SECCE3Rv1G0170900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:259084233:259088565:-1 gene:SECCE3Rv1G0170900 transcript:SECCE3Rv1G0170900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESSPEIDDELFNEVYGKAYSGPVASAANSVIPKANDEKKPLTREKSDDEDEPRDPNAVPTDFTSREARVWEAKAKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRRNADFFERVPARDKQVRDLFTERTINQIEKDVGCKIRMDEKFLFVSGKDRLVLSKGVDAVHKLIQESKGKHSSSSPKRDRSRSPVRKSVDFRPRHSDSQWSHSPRNVSRSQSKGHYNERPVDGRLHDDIPKYPKGSPQAYTNYGAKDHPVQSKSPFRPSYLDEPLRSHGGNSQYAAAHMPNNCSTERHGTDAHLDLKFDLPSYPQTLEELEIEFKREAMELARARDQEEDEENSKHRESLREMRENHMKSVSVTRSMQARKWDEFLEQSFKRQQQAQQTSYAQTGYSDFDQRTAHYAATGPPVDSKNTYPYATDNYSAPRRHAAYGEFQHDRHDDLGRTYGRY >SECCE2Rv1G0121710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:810133385:810135912:-1 gene:SECCE2Rv1G0121710 transcript:SECCE2Rv1G0121710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEISSSINTGPGPGPIAFFSIYPIFFLFCLFLSLWEDKMSSSMSMVEARLPPGFRFHPRDHELVLDYLCHKLSGSGGRGGGVDMVDVDLNKCEPWQLPDTARVGGKEWYFFSRHDRKYATGQRTNRATLTGYWKATGKDRVITGDDAAAVVGMRKTLVFYRGRAPRGTKTEWVMHEFRVEGHPPRSVHRQLADHPHPSPPPLLEEDWVLCRVFYKTTTADPGPAADESPGSLSGDLGVAPASLPIAPIDAVSLIPMAMITDGYNGQQEYSTGLLLPAAHHWPAAPLPFKSFRDLLGDMVQGNGGGLKPEFPQADWNVEDGYMPQGGMSQTWNPF >SECCE2Rv1G0080690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:131693144:131694090:1 gene:SECCE2Rv1G0080690 transcript:SECCE2Rv1G0080690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRSAAGRFLAILLVLHLLVASSHAGRFTRSYRMMAVEAPTFRGAGDSAEGNRRESDAIVEEMFGRMALQTTDYPGSGPNDRHTPKAPGT >SECCEUnv1G0542870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:104333690:104333896:-1 gene:SECCEUnv1G0542870 transcript:SECCEUnv1G0542870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAKSSWPEVLGAPSEVAKRKILGDRPDVRVFVAPVGSTVTTDFDDKRVRVFVNTRGDVAQVPGVG >SECCE7Rv1G0495360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:596897593:596898318:1 gene:SECCE7Rv1G0495360 transcript:SECCE7Rv1G0495360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPWLDLPFTFLTLLLATRLAYDYYGVVAATFAGSFALQIFLFYCFARWYRHTMGARAELLPTTSQQHDEGSPPVLTPLLETSSGAGAAAAAGALLANRCLAFVFMVFVPLIIVVFERSQADVVAYALCLANIIVMVIWLSPETADSMSATKSFLGLSDDEDEENCGGAAGAEDKCCVCLAGMREEQALRDLPRCGHRFHEKCIGKWLKTGHPTCPVCRALVLPPPAVHADPLDDSVSPV >SECCE5Rv1G0319700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:378016538:378020429:-1 gene:SECCE5Rv1G0319700 transcript:SECCE5Rv1G0319700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPAPTRAAAALTLAPHRRVHHVHMPSGRPCPCRSSAAPRRRAPRCRAKPTVKGVVDDDEDASRESEPERKEEEEEEAMTAMGTLPGWLKLDTVGMDILSIAAPAVLALAADPIAALVDTAFVGHIGSTELAAVGVSISVFNLVSKLFNVPLLNVTTSFVAEQQAVDDSYRGTGENEFRRSPDKLTEERKFLPAVTTSLALASGIGLMETVALILGSGTLMDVIGIPVDSPVRIPAEQFLIFRAYGAPPIIVALAAQGAFRGLMDTKTPLYAIGVGNLVNAILDAIFVFPLGLGVRGAALATVTSEYVIACILLWKLNSKVVIFSGKIIGGGMIRYLKSGGLLIGRTIAVLLTMTLSTSLAAREGPVPMAGHQLCLQVWLTISLLNDALALAGQALLATEYTKRNYKQARIVLYRVLQIGGATGTALAIILFLGFGSFSSLFTDDPAVLGIAKSGVWFVAISQPINAVAFVVDGLYYGVSDFAYAAYSMFFAGAISSAFLLVAAPEFGLGGIWAGLILFMSLRAVAGLWRLGSKGGPWNLILSETDLRDKM >SECCE4Rv1G0253270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:624660337:624664467:-1 gene:SECCE4Rv1G0253270 transcript:SECCE4Rv1G0253270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVLIFSLLCVLQLSHSSSDKDDFTKVRAVNLGGWLVVEGWIKPSLFDGIPNGDMLDGVQVQLKSVALQKYVSAVGGGGGNVAVDQDAASTWETFKLWRVSDSEFQFRCLNGQFLTASNQDVISATADSPGDSETFYIERNNTMLHIKLVNGSYLQVTNNNQLTSDYRSQPGWGDDMATFQMTIAANNLHGDYQLANGYGPTQAKAVLTEHRKSFVTGNDFFLLSQNGINAVRIPVGWWIAYDPDPPAPFIGGSLENLDRAFYWAQVYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSEVNIHKTLDVIKFLAQRYADDPSLLGIELLNEPSAGTVPLETLVSYYKQGYKIVRSYSETTYVIFCQRIGNADPMELYQADLGPTNTVVDLHYYNLFDPYYEKLNATENIRFVYEKRLPQVRALNGANGPLVFVGEWVNEWNVANASQIQYRSFGKAQLEVFGEASFGWSYWTVRCNSVHWDYEWNVRNRYLIIGGSPLQRASYMMLVAVCLVYLLLLTLIQ >SECCE5Rv1G0318740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:367056740:367059096:1 gene:SECCE5Rv1G0318740 transcript:SECCE5Rv1G0318740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLLSKTKSKKKEEAASSSLPTLDRLHETLEMLEKKERFLQKKSSAEIEKAKDYTKAKNKNAAIQCLKKKKLYETQIEQLSNFQLRVHDQIIMLENAKATTDTVDALRSGSSAVKAIQQSLSIDDIENAIEEANEHTENMKQIQDALATPFGASAEFDEDELEAELEDLEEEELDLELPEPPRGTNVVSSASTATSSRPVDSDFAELTKLQAEMAL >SECCE5Rv1G0343360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637777565:637779561:-1 gene:SECCE5Rv1G0343360 transcript:SECCE5Rv1G0343360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAQEKKLMLRSSDGEEFVVEETVAMESRTIKHMVEDDCANDIIPIPNVKAEILAMLIKYCKQHVQKRGAEATDSTAKASEEDLETFDKEFIDVKQRILFDLILAANYLDIKGLVDLGCQKVTDIIEGMTPEEIRKTLNIKNDFTKEEEDELRRKNSWAFE >SECCE3Rv1G0174030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:372267710:372267982:1 gene:SECCE3Rv1G0174030 transcript:SECCE3Rv1G0174030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISILGIRGILLNRRNILIVSMPIESMLLAVNLNFLVFSVSLDDMMGQSFASLVPTVAAAESAIGLAIFVITFRVRGTIAVEFINCIQG >SECCE6Rv1G0432810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:754432304:754437553:-1 gene:SECCE6Rv1G0432810 transcript:SECCE6Rv1G0432810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSASRVSSARQPLRRLPAGRAANGAAAAAVLGMPRREARVALRAGARRSIQPAAWSGSRRRGEQSAACAAAGQVAGSSAAGAGVGVGGRSVGMDVALATAAVVATGTANRVLYKLALVPLRQYPFFLAQFATFGYVVVYFSILFFRYQAGAVTDEMLTLPQKPFILIGLLEALAAAAGMAAVAMLSGASIPILSQTYLVWQIVLSAIFLKRRYRLNEIAGCFLVTVGVVITVASGSGAGASLQSTGILWPLLMIISFFLQAADTVLKEIIFIDAAKNLKGGSVDLFVVNSYGSAYQAIFMCLLLPFLSKLWGIPFHLLPTYIRDGAACFLNMGSLSAGCEGAPLLPLLFVLVNMGFNISLLHLLKISSAVASCLASTFSVPLSIYAFTLPLPYIGVASTLPPGFVAGAAVLIAGLLMYSVPQPENCGESEE >SECCE7Rv1G0480060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:265041263:265044385:-1 gene:SECCE7Rv1G0480060 transcript:SECCE7Rv1G0480060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGGASPAPGGAGAAANGRFFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALFSYAAIAWLRIVPMQLVRSRVQLAKISALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWITYLTLVPVVTGVVIASGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPIAVILLLPATLFMEDNVVGVTIELAKKDFTIVWLLLFNSCLSYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVSVTGMLGYTLTVIGVILYSESKKRSKP >SECCE7Rv1G0472370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:141595012:141596586:1 gene:SECCE7Rv1G0472370 transcript:SECCE7Rv1G0472370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPTFPRRCLYDRKLSSFLSALASFSANPSSSPPAGSVPAARTPAAYNALMSAYSRAGRPDEVLRLFRSLPFPPTAPLFTTLISSLAVSGRPRAARTAFSSLLVSGLTPTASAFTALLKCHDGSLDSMHHIFLAMTSASCSPDAAVYNCYISLLCDSGRLEEAWGILDHMMDGGVRPTVRSYTAILRGYCEQGKILEAERLFDAMVDAGCPPDVVSYSVLIEGLCGIREFHKVERILERSEEKGWTPNAVTYNIYMSALCRMGFLDEACNQVDKMRNRGLLPTVETLSILFDCLCRDSRFSEAVCLLEHSEELGWHADVFCYNTLMGRLCDAGDFARVFKLLVDLLKKGIGPDMFSFTIAIRGLCGVGKFRLAKCLIDNEWIGYDVVAFNTLIHGFYNAGDLRGVKLTYINMCSRKISPNNFTNATLIDCLCKDQKFVKAINSLASLRDGSVPDHVVYLNNRLVKGVRYTKVLNLLDEIHCRGFELDTCIFIPLVRVLCWEGYYKRENINEVSLILTSLGIR >SECCE5Rv1G0363540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:794058436:794060472:1 gene:SECCE5Rv1G0363540 transcript:SECCE5Rv1G0363540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKS >SECCE2Rv1G0090900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:295028951:295056611:-1 gene:SECCE2Rv1G0090900 transcript:SECCE2Rv1G0090900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISGVVMGLVVGVVIMAGWSRVMQRRSRKRVAKAADIKVLGSLGREDLKKLCGDNFPEWISFPQYEQVKWLNKHLSKLWPFVSQAATAVVKESVEPLLDDYRPPGIKSLKFNKFSLGNVSPKIEGIRIQNLQPGQIIMDIDFRWGGDPSIILAVDARVASLPIQLKDLQVFTVVRVVFQLSEEIPCISAVVVALLAEPEPKIQYTLKAVGGSLTAIPGLSDMIDDTVNSIVNDMLQWPHRLVVPLGVNVDTSELELKPEGKLSVTVVKASSLKNKELIGKSDPYVTLYVRPMFKVKTKVIDDNLNPEWNETFELIVEDKETQSVIFEVYDEDNLQQDKRLGVAKLAVNNIVPETPSEITLKLMQSLDSLKIKDYRDRGSLHLKVVYHPFTKEEQLEALESEKKAIEERKRLKEAGVIGSTMDALGGAASLVGSGVGFVGTGVAGGVGLVGSGLGAGAGLVGSGIGAVGSGLGKAGKFMGRTVTGHLGMSRKSGSSSTVPQPDQPSAQLDVK >SECCE2Rv1G0115700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:755184745:755187316:1 gene:SECCE2Rv1G0115700 transcript:SECCE2Rv1G0115700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCQLVRESSGSGRMEAEEEAFFDTRQDLLPSPAPSPAPAPALPWSAGGLDSVRDRKERFFRSMGLECCPSPRQADPVGEVERQQEEEAVPETGRLSSQSDNDCSMSSWSTEETTSCEDGGASDDNSVGGSSKDDGSSKVSRSSSSFSFIRRLMSRNGKLSGAPKTVERRRSGWLERLGVAVCAVDHGANEPSTSSSDSEQTLHGGRYERVRVRSYRKRSKELSALYQGQVIKGHDGAILTMKFSPDGQFLATGGEDGVVRVWGVSQSDDCKIPMDDPSCVYLKARRNYGLAPVTVGNEKLRKSKSMGMKKTGDFACIVIPTMVFQISEEPLHEFYGHSADVLDLSWSNNKHLLSASTDKTVRLWEIGSANCISVFPHSNFVTCVHFNPTDNNCFITGSIDGKIRVWDITRHSVVDWADVRDIVTAVCYRPDGKGAVVGTITGNCRFYDVSDDLLRLETHIALSAKKSSLKRITSFQFCPNNPSKLMVTSADSKIRMLDGSNVIQNYSGLRSGSCQMSASFTPDGEHIVSASEDSNIYVWNRDNQDDSVWRQAKTTYSSERFLSNNAAIAIPWHGAKPRSHVSLASHIQFKYSSSHSFPSRPAAPGIFNLNQELFAEPSCKGGAAATWPEEMLPSGSIGTSLDESQCKLLRNCSQSAANSWGRVIVTAGWDGRIRSFQNYGLPVHQ >SECCE1Rv1G0010010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:59503500:59511019:1 gene:SECCE1Rv1G0010010 transcript:SECCE1Rv1G0010010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKRKTCHGGPSQQEQDSPAVANEVFVIDEEVSHLTRLKSEPNERTRACLHAGKSHISTFKLLSSRESNRSGFGRFSSADCSYALRKHLPVRGPWCVDSMDCAAYISQFSSDGSLLIAGFRGGRIRIYNADKKWKIHKDITCKSLRWTVSDIALSPDQQYLAYSSLTPTVHIVNVQNALKQSHANITDIHEGLNFSAADDESSFGIFSIKFSKDGRELVVGNSNESICIYDLGANKVTERIHAHVADVNAVTFADESSDVLYSGSDDSLCKVWDRRCHKRAKPVGVLAGHLDGVTFIDSRGDGHYFISNCKDQTIKLWDIRKLSSDTKDCTPKAYEWDYRWMTYPSEARFLKHPYDQSLATFRGHSVLRTLIRCYFSPMHSTGQRYIYTGSSDQCVYIYDVATGNVVETLKWHESIVRDCSWHPHLPTLVSSSWDGYLVRWEATEDDDDPTTLNKGKQTMCPEGYTFTL >SECCE3Rv1G0148070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:17460732:17462120:1 gene:SECCE3Rv1G0148070 transcript:SECCE3Rv1G0148070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSSSKRKPETQQEDESSTKRLNVTVGLESLDCPICSEPLRPPIFQCSVGHFICKDCCADRLNNKCHLCSVETSFERCFGMEHVVQSVTVGCPNDKYGCVEKVTYYKKEEHKKACPYAPCFCPELGCGFAGPTKVLLDHFTTQHRCPSTTLPHSGMLSLCLQPGFNVVKCTGNNNFFLLHMASEPYGHAISVVCVQPNMTESKFTCNMSYDCISTGCCGSTSCHIRSSSLSDGLPTVYDLILPKGKVSDDANGIMLRASIHHQPLSLSKSCFQGNGPTPALHPTPDTYNDGDGDEEDNTPLRFSRSRLRGKTPTPAVQRRPNTYDDDDDDDEEEEEEDDDDDDAQQEEYRYGPQSIFLDSDEEDEIP >SECCE7Rv1G0461530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:38255320:38255871:-1 gene:SECCE7Rv1G0461530 transcript:SECCE7Rv1G0461530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTRHLLLVAVVVLAVSHSVQANGPITIHEACKLYTKHASYCTDALGKAPGMPAAPMPLPVLAELAVTQAALSGAAALAFIKRMELLAGGMPLGCLEKCVGKFQTAVMALQKSRAAIVEHRDVARVKRWVKAARADGETCMDGCHMMEGGADPTIIRKITDLGKLCSVALTLADAAATHN >SECCE3Rv1G0167380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:201463800:201464039:1 gene:SECCE3Rv1G0167380 transcript:SECCE3Rv1G0167380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAMREIASAINNTCHAKTHPDLYKDVMDLVVFDQNDRLVVLDYLTEHKAKGLNFVKMNDEVRQASFKRILKANPDLL >SECCE6Rv1G0415970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:645714119:645715081:1 gene:SECCE6Rv1G0415970 transcript:SECCE6Rv1G0415970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPCLVLNYGDKRPTKLYGASDGEYRPCEIGPLLAKRNWVTAQGWVLAWDPDTSATFLWDPQDPEHGQVQLPSLAQAPPMGSECALSGDPTGPGGCTVVLAEPGESTVLWYCHAGSTTPEWVRHEYDLGGSWAVLGEYREWIKEHISGLVACGGKFYYPVKDKDECGVLEFSPEPVFRTVTTKGVELTLPPNGEVCVHWNHFLFDLDGELHALCIFFAGLDTNKVADIAVYKMDFARSRCAKVDSIGDRAILASSSNHAVGSASRFGLLPNSVYWMSPYDKCLHVYDIETNTEELRVCEDVAQLARQPFWLIPTHHP >SECCE6Rv1G0420550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:677771538:677771978:1 gene:SECCE6Rv1G0420550 transcript:SECCE6Rv1G0420550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSAAVKASINVNEIEDLDLDDTEEQASQTTPTSSKVRLGIIIPEKNKKPKTAQVMCEQVTRIGDIAEASHSSFQSFLKQDEANCVTSVMDEVIACGAAPDIDEFFMASELFVKRVQREMFLYMHVQSQKGWLRRKYDLKYGK >SECCE2Rv1G0105610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:623018046:623023638:-1 gene:SECCE2Rv1G0105610 transcript:SECCE2Rv1G0105610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPEMLRRRWWAAVAALSVVLAVSRVAANTEGDALYSLRQSLKDTNNVLQSWDPTLVNPCTWFHVTCNTDNSVIRVDLGNAQLSGALVSQLGQLKNLQYLELYSNNISGTIPLELGNLTNLVSLDLYLNKFTGVIPDTLGQLLKLRFLRLNNNSLSGQIPQSLTSISTLQVLDLSNNNLSGAVPSTGSFSLFTPISFGNNPYLCGPGTTKPCPGAPPFSPPPPFNPPTPPAAQGDPKTGAIAGGVAAGAALIFAVPAIGFALWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVASDNFSNKNILGRGGFGKVYKGRLTDGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERGPNEPALEWEKRTRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQSVYVEHEVEALIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAELAPRNNDWIVDSTYNLRAVELSGPR >SECCE6Rv1G0409370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:586831325:586835283:-1 gene:SECCE6Rv1G0409370 transcript:SECCE6Rv1G0409370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSGEEVSQGQQMDGFSEEKVAGESGDARKIERSPSINLNSLPAIAPATTEIGVLHGAAESEANDASTQKGDESSGTDQKKVPKNEEVDEAEVEASCTDVKSHSPDPLISENHAGKKDALVTLPENEGCADGGDNYKGVQVLSIVKKDESEEIVDSINPVTVAGYREEKGTAGSTSAVTAVRAPGSRSSCFHGVTRHRWSGKYEAHLWDSTCRVEGRRRKGKQGSYDTEQKAARAYDVAALKYFGLNTKLNFSISEYEKELADIQDMSPEECVTYLRRRSSCFSRGASIYRGVTRRQKDGRWQARIGLIAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYMDRGMHCIEGAGLKLLATKPE >SECCE7Rv1G0526110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893977379:893978176:1 gene:SECCE7Rv1G0526110 transcript:SECCE7Rv1G0526110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAAAGNNYFLAELVRVWPEGSQAALWLWNSSVQEWALYPTRLPLPSSTTGHFSADLCFSCRGSILCWVDLLKGVVLCDLKQNCKFSFIQLPKDCPTYDADSNRFTYACAEEFRSMACVAGEIKLVALDECDEHQPEKGLELTIWTLSPDLSEWKESRKYNVENIWANEAYKPAGIRNLAPSFPFLSIHEDGVVYLVLNDLRELDYGLEYIGQWLLRVDIGNNKVQFYPQQENSSVNSQLFASEFSAHRQHLQDHPVLQLPRDL >SECCE4Rv1G0289850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:868811693:868812211:-1 gene:SECCE4Rv1G0289850 transcript:SECCE4Rv1G0289850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMITLKSSDGEEFQVEEAFAMESQTIHHMIEDDCADNGISLPNVDSKILSKVIKYCKKHVQVSPKLADSTDASSSTSTAAAAPAEDLKSFDAEFAKVDQATLFDLILAANYHNIKGLLDLTCQTTADMIKGKTPEEICKTFNIKNDFTPEEEEEIRQENQWAFE >SECCE1Rv1G0055970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:684362602:684366704:-1 gene:SECCE1Rv1G0055970 transcript:SECCE1Rv1G0055970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 24 [Source:Projected from Arabidopsis thaliana (AT2G33770) UniProtKB/Swiss-Prot;Acc:Q8VY10] MDLFVIDSDSESYSGTSDSEDQECEFTDHAQSILSSLDESIGKIDDFLTFERGFLHGDIVCPVSDPSGQLGRVVGVEMFVDLETYSGDIIEDVNSKQLSRVRSFVSGDCVVMGPWIGRVIRAFDLVTVVFSDGAKCEMLLRDSEVLKPIPPFIFEDAPYFYYPSQRVRIVHPSVSKSGTWLSGSWRASRDEGVVSHVDVGLVHVNWITSVANVWGDRSSSPPNFQDPKNLTLLSCFPYANWQLGDWCTLSTGHDGSLPSEDRKCSRYSQTYVVAKTKSTFDVLWQNGTISLGLEPYILAPVSTPGDHDFWPGQFVLEKLAVEEAAERQRIGIVRNVDALERTVNVKWIVPVDDDIVRYGSDPTEETVSAYELVEHPDFSFCTGEVVIRSALNINKSEADLTNGTMTVSRKSLDTSSGFLSCIGNVLGYKDDGIEVQWASGVISKAQHFEIIGLERLLDNSLESVNEVHTSVDDEVEQETICHESTKNALEESGEDCTGSLRNAFYFSKTAFDFLTNVASSFFGARDSTSSSSITADPQYQIVKTADLHSSAEEFSVVELVMQTEKPQLPSENDVKIFDVVVDCSDHHFVKERGHENVKRGWLKKIQQEWTILQNDLPDDIHVRVYEERMDLLRACIVGAAGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYETGKVCLSLLKTWAGTGNEVWNPEGSTVLQLLLSLQALVLNEKPYFNEAGYDKFVGKADGEKNSITYNENAFLLSCKSMMYILHKPPKHFENFVKEHFTCRAPHILEACNAYLGGDLVGHARDSTYISDDGCKSCSTGFKIMLGKLLPKLVAAFSEAGIACGQ >SECCE3Rv1G0157780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:83755867:83757565:1 gene:SECCE3Rv1G0157780 transcript:SECCE3Rv1G0157780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVRRPKLSDAGGGEDRLSALHDDVLIHILLKLRCPVAAARTSVLSRRWRHLWALLPKFHFYSATDPRRIRSALAAHEAPMLQELVVVRQDASAGPTGAWLPIAAHRLSGLLVCHYLSQRDMARRRAALKLPCFESATGIFMQLGFLRLTLPPAGVFARLSVLHLFKLQLHGVCGLGGIVSSSRCPCLGRLFVDDVRGVGGLAIHSESLGQIELYNLPDMQQLTVVAPSLQQLKVQDCFAPVARQPVASISTPRLLQLAWIDDYDQNSVKLGEMAHLERLVVREFIVYGKDYIALHNRNCAHLLRRFERLHNLVITLHCPPDIANKKYLMEDITRVPDVKLLGLGITACGHSFGASLFHVLRMCTAIRSLNLGLIVAHEEEAKTVCPSDCICDQPLSWKTRELVLNCLEEVEIFDLKGTEHEINSMNRLFSWAPVLRRMTVHFHYSINENKAEELCQVLLTFSRPEICMICRMPNLSEKILYA >SECCE2Rv1G0089200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:254150512:254156606:-1 gene:SECCE2Rv1G0089200 transcript:SECCE2Rv1G0089200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSGSRRLFSISALLPPKPPTPPPKADPFASLFVPGLSKRTTTDGLREAFAKSGEVAHASSVKNSRWYMINANRSGPLTVRKEYRKVLPSFIRPSASYSTEASGKRPKQERTDLTTVEDPFSAPTYNIPEKPVTFVEGASYSVVILAGLGVAALAGYAVLKELIFEPKEYKIFGKALARVQSDSQVTAKIGYPITGYGTESRNRAARQRIQNRVWTDEDGVEHVEVGFHIRGPHGAGKVFAEMFKDSSDRTWKFTFLLVEITSPRPAQIMLESYLPA >SECCE5Rv1G0344710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:647023958:647025559:-1 gene:SECCE5Rv1G0344710 transcript:SECCE5Rv1G0344710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMQRILSFSYYDLPCDLKTCLLYLCMYPEDHEIDKEDLIWKWICENFVQQGKHQPSIFEVGETYFNELINRYMIIPIFNAFCQVKSCRVHDMVLDLIRSMSAEEKFLTILESNKDITSSQNNIRRLSLQNIEEDQQTTPLANSMSISQVRSITIFPPAVTIMPAISSFGVLRVLDLHRCRIGALNFQPKLRDIGYLFHLRYLGLKETGISEIPEEIGNLQFLQVLDLENNFEMRVLPSSMWKLRQLMSIKVLFRCYWPPGVLGNLTSLEVLERIYASPSTVQELGNLARLRELDIHFLAWSLEVEETFVETIFKLHDIQSLSITCDDVPYLDLLGGRWEPPRCLRQYTFTSDHIACSALPMWMTKCGPSHLSNLSALHIRLKGVKQEDVQILGRLPALHHLWISSTHQTERLLVIGADEFRCVIAFGIHCEPATQLAFQQGALPNAEHVQFSLGVRVAKEDGNGDLFELGLGNLLSLQSALVEIHWDGVTIMEATKAYATVRNAMNAHPNHPSIDLYMEPEITEGTNYNNT >SECCE5Rv1G0357100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:743946914:743948877:1 gene:SECCE5Rv1G0357100 transcript:SECCE5Rv1G0357100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVARASIAASSRPRVPLCRRPRPRQCHAARCLRGRSVACAAAADADVVRLFDAAKLTVDEFVKSGMVVGLGSGAASGLAVQYLGTRLRRGSLTSIVGIPSSVICASEAEKAGIKVGSHEEGAQIDFAFADADVIEEGTLAAVIGRGKTESGQPSFIQEKGIVKSADKVAFIIDNDKYVNGIEGSIPVLVKSGNWIDTAEEIDDLFLGDAEVWRRPSIGTAGPSGGDFPLVTKEGHHILDVIFTTPIQDLGKVAEGLEKIAGVVDHGIICNTTPYAVIASKREVQVLDQRSSVIPNT >SECCE3Rv1G0154930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62752087:62753055:1 gene:SECCE3Rv1G0154930 transcript:SECCE3Rv1G0154930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLNLVKLERTAHCRTNVALEDKKHLKELVLEWTTHGEGPCSEDVSNAEKVFELLVPPRNLETLYIFGFFGQRYPTWFGTTCLSSVTHLFLKNLRSCVDLPPVGQLPNLKFLRIDGAHAVTKVGPEFVGYRVGEPISNEFVAFPKLEWFFIKDIPNWEDWSFFKEVENVVDEEEDGGDEIRNGDAQSTRLQLLPRLAKLKVEGCPKLRALPRQLGKYTASLKELLLIGANNLKAVEDLPMLELLVIEDCEGLEKVSNLPQVSKLQVGGCPNLSHVEGLGNLQQLGLGEDMQGISSRWVPGLQNQCQQLHGEDLDVYTLSTS >SECCE2Rv1G0083050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:161140558:161144765:-1 gene:SECCE2Rv1G0083050 transcript:SECCE2Rv1G0083050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MVGWLKALVYGAGGMAVVGLAALVALQERLVYVPVLPGLPRAYPIKPSRLRLIYEDVWLRAADGVRLHSWFLRHSPTCRGPTILFFQENAGNIAHRLECVRLMMQRLQCNVFMLSYRGYGESEGYPSQSGITKDAQAALDHLVQRTDIDTSRIVIFGRSLGGAVGAVLAKNNPDKVSALILENTFTSILDMAGIMLPFLRWFIGGSSAKGPKLLNCVVRSPWSTLDVVAGVKQPILFLSGLQDELVPPSHMRMLYDKAVEHNRNCRFVDFPSGMHMDTWISGGDRYWRTIELFLDQYAPEVQSSDASCTSEVADDDKAA >SECCE6Rv1G0440270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:803388100:803388699:-1 gene:SECCE6Rv1G0440270 transcript:SECCE6Rv1G0440270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAEFVAMSHRVGAPMVAPAHGLINGTAPHSPWQSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDGDRDGQAAGGEGEKSSASGASKPALDFLEHVVVIMAGDERPTFLAKPATSRAAEVELAAAVASATAVDGQEKKVDEQSCEVSSQLGGDPADAASRSHGHQDAVSQSRDHHHHDHESSSTTALQENLQ >SECCE3Rv1G0209540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939352561:939354589:-1 gene:SECCE3Rv1G0209540 transcript:SECCE3Rv1G0209540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDTAAGMGDSFDHWVCLDAQERRWYELTEQRMKRTEDESTGLECSMHGGGSGSGSRVSGGYNDYWSFPDTGYSSSPVVSAAVSASSKNTVVVTRRLRGRRLNGKLYALRSVVPNITKMDKVSILRDAVEYIQQLQEQERRMLAEISILESAAEVHGHGQLLATTVQPVVPNAGHAMPPVKKTRRPLSFSSALAPVPASAIAAASPPVEAVEVRVTDAGDKLLVVSVACRHRRDAMAKVCRALQSLRLAVISANVTVASGTVTHTALLQRQEIHKSEMKELIETAIAHVDVAGSTLSSMSY >SECCEUnv1G0559330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:337391692:337395455:-1 gene:SECCEUnv1G0559330 transcript:SECCEUnv1G0559330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSCSYPSTARSVLDSAPKGAADTVSWNTIIAGYIHAGLPNKALQAFSQMAKTQVMLDDVTLLNALVACARTCMMKVGKLCHALLVINGFEINCYMGSSLISMYAKCGLVEDARRVFDGLPERNAVCWTSMISGYTQLGQSKEAVKLFRDMQIAGVKVDDATIATVCGDIKKAYDIFCGMVKRDDFSWTVMIMGFAANGLSGEALDLFAQMEEEGEVMPNEITFLGVLTSCSHGGLVEQGYHHFHRMSSIYGIAPRIEHYGCMVDLLGRAKLLAEAEQFIKEMPIAPDVVMWRSLLFACRACGEVGLAEYVAERIQVLEPNRCGGDVLLSTVYATTSRWVDANKQPENSKLQNLVIYLNWAMDRKNQTPQGQIAQVIVEFLEVAVSCIVFLKGFYPARAFERRRYMNVVVQKAVHPQLADYIHSVTTGLLPFIQKGLVERVVVIFHDKDHVPLEKFVFKLAVNQTYGSELEESSLEFALRAFLIKLTVAGPLTKPLPSDSSWEITAYFRSLPANGAKDEEDEAQLWIPTDTKAWMQPPQITPIKSMGCDPLKMQLYLEQPGPSAEAEDPAS >SECCE2Rv1G0083970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172360265:172360639:1 gene:SECCE2Rv1G0083970 transcript:SECCE2Rv1G0083970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNTAIFLLGLLLSCVTMSSGARILEEETSPSKGEEHLPELPTPPKVELPPFPEVHLPPKPELPKVELPSFPEVHLPPKPELPTFPEVHVPSKPELPKVELPPKPEMPTIPEFHFPEPKAKP >SECCE2Rv1G0105050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:613815660:613816787:1 gene:SECCE2Rv1G0105050 transcript:SECCE2Rv1G0105050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPHLLVLLCLLGAGAGSCVAQSLAPAPVPRSGVAPPPRPTPFGRTMSTVITVAISVFFFLLFFCAYINQCRLAENAAPQGGNAAAAGAGASRRGKRGLDPAMVATFPIMQYREIKEHKIGRGVLECAVCLTAFEEADDLRLLPHCSHAFHPECIDPWLEARTTCPLCRANLEKPPPPPAAVAPPSPEQVARQQPSPSPSPPHAVVIPVREEEEDSDEDDRKEEAMELEMLRSERRAARLPRSHSTGHSLFAASAAAAERSDHERFTLRLPHHVREQVLRSRRLRHATSLIDLAGASPEGSLRGGRSFGNAGGGGGSSHGGRRWQAFLARTMSWARGGGDGSVRKGWDGSTRRGRDDAESSRKGAASPAAARP >SECCEUnv1G0530110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:10911311:10913548:1 gene:SECCEUnv1G0530110 transcript:SECCEUnv1G0530110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLDDDDLLPEILVRLPPQPSSLPRASAVCKRWRLLVSDPGFSRRFRIHHRRSPPLLGFFPRNDALPFVPTLDGPDRVSPGRFSLPRGDGDRFMSLGCRHGMVLVFNKHTSQILVWDPVTGDQRRLDVPPGVAVHAEKTAINGAVLRARAAGDDAQHFQVVLAVADNDDEQHRRALACVYSSETGAWGDLVSTQLPPDILMTDAPTLVSTDKPAVLVGDSLYWKLAGNMDGILEFDLEKQSLSVIRVPVHILEEGHYMFLIMRAEGGGLGLLIQTDCSIQLWKMKTDCDGVGSWGLGRTIELDKLLSLNSEETDMLIPGLEEENNVVFVWTDHVVFTVHLESMKFKKLSGTYPLSHYHPFRSVYAAGI >SECCE5Rv1G0374770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:864521412:864523966:-1 gene:SECCE5Rv1G0374770 transcript:SECCE5Rv1G0374770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGISKNTCFSGDTYAPAVSSDPVPGDIHGHSFKYVPIAIAFDKSSTANVLSSKTPFFSLSGAAINANQATSSSMPSFCQLNELMGPQSIACTIKSSCSFTPAPLQATPARSSWSGHFLGSSSTVSTISKQLPRRAFVYGMLNHSFSSSSSFVSHQDNVSHLMVERGATGSQCCNEQPLDKSLATFGSRLDFRVPLNNLLSKGPAELSNMDSFRDGDHHSPPSDNVQWAQGMAGEDRFQVAVSEERGWVFVGIYDGFFGPDATDYLFANLHVAVHNALKGVLSDNIQCNEPTATSDHLFSLNGGNHSPEFERKPAKRGRTEHPEKDKSAMSGGGPIHQKVLGALDQALRETEEAFFKAAEEGAIDNPEIGLMGSCVLVMLMKGEDVYVMNVGDSRAVLARGHEPDLSNILGKATENDLQQLKAEIMDGLQSVQLNAEHNTSVEEEVNRIKAEHNDHNAIIHGRVKGKLNVTRAFGAGYLKEPKWNNMLLGSFKIDYIGKGPYINCIPSLHHHRVGPNDKFLVLSCDGLYQYFTNKEVVDQVEMFTVAYPKGNPAEHLVEELLHRAARKAGMDYHELLMISHDERRRYHDDVSVIVISFKGRMWRSSV >SECCE5Rv1G0333960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:563122670:563123029:-1 gene:SECCE5Rv1G0333960 transcript:SECCE5Rv1G0333960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISSAAGGMLRARLSAAARLRGGHGDGGGRWTTPGHEVRPKGYPMNRTPPPPGESRKWEDWELPCYVTSFLTVVILGVGLNAKPDLTLETWAHQKALERLQQQELAAAAASAEALSE >SECCE3Rv1G0201690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:866661440:866662483:-1 gene:SECCE3Rv1G0201690 transcript:SECCE3Rv1G0201690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPQSSSSAPKADDEAASHREIYDQLLEVVSTYPTAPSGIGRPYTRHPDGWYAFTPAVVNAMVIKRHLKARGTDVFLATFPKSGTTWLKALLFATLRRTADGPALAALAAHSPHHLIPFLEVQVFSNGRIPDMSSLPAPRLLMTHIPSRSLPESVAASGCKVVYLCRDPKDCFVSLWHFWNRFAPSPWDLGEALQHFCDGVSLFGPFWEHVLGYWRWHVEKPEQVLFLTYEELAADTLGQLRRLAEFVGRPFTAQEREAGMDREIVEACAMESLAGLEVNRSGKTDMMENSVANNIFFRRGVVGDWNNHLTPEMARRIDEITESKFRGSGLALTPETADQNLVGS >SECCE5Rv1G0304690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:61376937:61377218:-1 gene:SECCE5Rv1G0304690 transcript:SECCE5Rv1G0304690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRIGPILGHTMHYRRMIITLQPGYSIPLLDREKN >SECCE1Rv1G0047830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:629856878:629858367:1 gene:SECCE1Rv1G0047830 transcript:SECCE1Rv1G0047830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAGAAKKASKFEDADSSSRMSRPRAMSELPHRPAPRVPTRARTAPVAVGAEASGGVRHRGAGVGRGAAPRSPLHEKKPAGAVAGGGGGAGPRAAELEAKLGKAHDQLAAMREQLAAAEKARKDARGAFAEAKKRFATKKRDDAAPAPGEQVNNVRPPEEKQREEEAEVVADDVVNGDGEERRGIVEREDNESRVEEVAEKTTDNGDEASNSIAVVGHDGGSIEGNPEADQMWSKLVEKDREVYELRAKMMLKDMEVDELKLELTAKDANIGTLTAELVAKDAEFAALRNENAELTQTASDAAAADRKRTAAKARESERALMDSAARESRLAERLRESERAREALEAEARRIRVQSEQWRKAAEEAAAVLGGAGHHVGTEETDEDKRRDAGDGGSGGKRKPAGGAVRLLADLWKKRASK >SECCE1Rv1G0028020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:392232094:392232525:-1 gene:SECCE1Rv1G0028020 transcript:SECCE1Rv1G0028020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGQSVIAEPSNVIFLSTILNTEGQVPSHKCDKRCQNEHVFGNMYRCKLTGLTHICDKNCNQRILYDNHNSLCRVSGQLFPLSPLELQAVRGIRRKHEADSHEGCSFKRRRGAQLHPSPFERSYSAASPIPSQAGDGMDLS >SECCE7Rv1G0475730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:191169327:191176050:-1 gene:SECCE7Rv1G0475730 transcript:SECCE7Rv1G0475730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARITRLLHHHNRRLLATAAEASARRLPRAPLGGAIYKDVAKAEASSLKNSRWYMTKSSPSSPLTTQEYRKTFPSLIRSSASYSSQASDQNPKEEKKDLSTVGDPFDAPTYNIPEKPVTFAEGASYSVVILAGLGVAALAGYSVFKELIFEPKEYKIFGKALARVQGDSQVTARIGYPITGYGNESRNRAARQRIPNRVWTDEDGVEHVEVNFYVRGPHGAGKVYSEMFKDNSDRTWKFMYLLVEFTAPLQGQVMLESYIPA >SECCE6Rv1G0391720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:232268026:232269219:-1 gene:SECCE6Rv1G0391720 transcript:SECCE6Rv1G0391720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNQQFSLLKQPIYSTLNQHLIDYPTPSNLSYWWGFGPLVGICLVIQIVTGIFLAMHHTPHVDLAFNSVEHIMRDLEGGGFLRYMHANGASMFLIVVHLHIFSEFVRYLGVVIFLLIIATAFIGYVPPWGQMSFWGATVITSLASAIQVVGDTIVTWLWGGFSVDNATLNRFLLLLSFFHLDFFAPNVLGHPDHYIPANLKPTLPHIVLEWYFLPIHAILRSTRESSRCSRNSTKMYVCSSRFRPIHQGIFLLLLADCLLLGWIGCQPMEAPFVTIGQILSVFFFLFFAITPIPGRVGRGIPKYYTDETHRTGSLDG >SECCE7Rv1G0465140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:67112511:67113578:-1 gene:SECCE7Rv1G0465140 transcript:SECCE7Rv1G0465140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQITPLLLFLLLSSAALPCLAQQGNNTGHTRSSRTAGGFSPTTVVVLVVLIAAFVGLTLFSIYINRCTGAHPVPRRPFRGTPPEQPVDAAAHSDRCRPRGLDREVVEAFPTAVYGDVKARMATTKSGPLECAVCLTEFEDTDELRVLPACCHVFHPDCIDPWLAGAVTCPLCRADLTEPLALPAAAESRGDLTETAVQEEPEELDEECSVVSFTAESLTSFSTIWRHEFTGAEYNHYRRTQSAMDAPDRHTLRLPEHVMKELAAVRRHRRAASLAAEYPDSADQRTPGWLTSFLRSMSRQRQSRGDSDAGAEHGGSKQIYPMAGAPVEKPSGSGSGGDEKRESSDVDALNRV >SECCE7Rv1G0455210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5588875:5589312:1 gene:SECCE7Rv1G0455210 transcript:SECCE7Rv1G0455210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKEMAPVALCLLGVMFLVGMADMSGLPGCGGPTRVELQELAAQVRSTLVGTASAGAGILIWVGKKADDLSAAGWDWEMRAMFIVGVNLSLASTFLALSALVLELSCDLLVNYCIAAALVGVHLVAAWVVRGRLRALRLRRAD >SECCE6Rv1G0416820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:650866718:650867260:1 gene:SECCE6Rv1G0416820 transcript:SECCE6Rv1G0416820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDISIFICPRCRAGVDRTVSHTMRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTAVAATRAPEEEMEGKAHTDRELVVELRMLKKKIRKLEDQAQIAIPYFWAVVGMVIALVVMLKMYGKT >SECCE4Rv1G0255600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:648583819:648584229:-1 gene:SECCE4Rv1G0255600 transcript:SECCE4Rv1G0255600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE5Rv1G0320400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:387299447:387301745:-1 gene:SECCE5Rv1G0320400 transcript:SECCE5Rv1G0320400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALRLLPSTATRLRFRPRLPLSTAASLFSPPSKAVLYDQHGPPDQVLRVEDVPPVELGERGVCVKMLAAPINPSDINRVQGVYPVRPPLPAAVAGYEGIGQVHAVGPAVTRPLSPGDWVIPSPPSFGTWQTYIVKPEDVWHKVRDDVPVEYAATVTVNPLTALRMLQDFVKLKPGDAIVQNGSTSIVGQCVIQLAKVQGVRTINIIRDRPGSEEAKEKLKQLGADEVFTESQLDVKNVKSLLGALPEPALGFNCVGGNAASLILKLLRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWMNSDKSEECRTMIDYLLGLVQEGKLKYEMELTPFSEFDLALDKALGKHGSQPKQVLRF >SECCE6Rv1G0447170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:849208861:849210488:1 gene:SECCE6Rv1G0447170 transcript:SECCE6Rv1G0447170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGALGSVIVKLATLLGDEYTMLKGVRKDIAFLERELRTMQILVSMLAGMEGLDKLAMGCMGSMRDLGHDMEECIDRFMLRLDEDDMEMEAAPTLPRSTARQLRTMFARHGVGAQIKKLKARVVEEGERRRRLNLDSYVPMTIDPRLAAFHGVAKDLVAIDGPRDEVISLLTEGSVDLKVVAIVGGGGLGKTTLAMEAYRKIGGHFECRASVSVSILDRDKLLRDLLSQIDQAAFHDCQSERLEKDQLIRRIRHILTGKRYFLVIDDVWKEQDWKFIKAVLPDSHNGSRIVVTTRLASVAKSTCSNSGGQLYQMLPLNYIDSRRLFFRRIFHSDNSCPPQLEKISARILRKSGGLPFAIITIAKLLSNKHQTPAEWERVQGSIGAGLSFESDGDGNGTRHISLLGYWDLPHHLKTCLLYLCIYPEGGYISCEEVKWKWILEGFIATKRGNLYHEAESYFNELVNRSMIRLVDVDDDNFEQYCQVHVMVHGLLISLSD >SECCE6Rv1G0436690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778844248:778844688:-1 gene:SECCE6Rv1G0436690 transcript:SECCE6Rv1G0436690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVMLVAALLCAAAAAAAAQQATNVRATYHYYRPAQNNWDLGAPAVSAYCATWDASKPLSWRSKYGWTAFCGPAGPRGQASCGKCLQVTNPATGAQITARIVDQCANGGLDLDWDTVFTKIDTNGLGYQQGHLNVNYQFVDCRD >SECCE3Rv1G0189410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:725707429:725707733:-1 gene:SECCE3Rv1G0189410 transcript:SECCE3Rv1G0189410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEVNKNRWIEEWNAGRENLELNFRFTRRSLAVIGLFGLAVPILVYKGIVREFHMQDEDAGRPYRKFL >SECCE2Rv1G0098310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:480215992:480219162:-1 gene:SECCE2Rv1G0098310 transcript:SECCE2Rv1G0098310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKQQQQQNDEEALSNSSHPASSSWASTSSSSCLPGSGDTDRAPLLPCKMADDEKGDRLDVSDDTAHQISVDPWYQVGFVLTTGVNSAYVLGYSGSIMVPLGWIGGTCGLILAAAISMYANALLGHLHEIGGKRHIRYRDLAGHIYGRKMYALTWALQYINLFMINTGFIILAGQALKAIYVLFRDDGLLKLPYCIALSGFVCALFAFGIPYLSALRIWLGFSTVFSLIYIVIAFVLSLRDGITAPAKDYSIPGSESTRVFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNSVNGPAWIKVVANLSAFLQTVIALHIFASPMYEYLDTRFGSGHGGPFAIHNIVFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKGPKLSAFQKCWHWLNVFGFSLLSVTAAAAALRLIMRDSSTYHLFADM >SECCE3Rv1G0150870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:34482065:34482891:-1 gene:SECCE3Rv1G0150870 transcript:SECCE3Rv1G0150870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKESSKLTMKLLVESKNQRVLYAEAGKDVVDFLFSLLTLPVGTVVKLLTTDTMVGSVGNLYGSVEKLDDTYIGREDAKKDLLTPAAGCHSGKLLQLEAAPPEVPDFFRCSGNSYQNCTTNITRVYGAKCKSCHNQMKLKLVEVVDPADSSVSGAASPADAGAGFVQGIVTYTVMDDLKVTPMSSISGITLLNTFGVTDIGSLQEKTVQLGYDEGLEILKASLQSKTVLTDVFLAKKRKV >SECCEUnv1G0531510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17526833:17528634:1 gene:SECCEUnv1G0531510 transcript:SECCEUnv1G0531510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGESMMTREQLLHLFSRFSFITSLPEFKDRIADAVSDKQEAVAVTTEVQEEILREMGIDPSFGIGCLGKVNVMYENDMDLMIKFYQFVAKEEMAIDEAELEPLEFAEKMHNQQELQQQQLEMLVQIRKYSPESQSVILESLHKQLESADFDTSASVLTPEQIQSIVQK >SECCE2Rv1G0085450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:191510152:191511144:-1 gene:SECCE2Rv1G0085450 transcript:SECCE2Rv1G0085450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQPVDMAVKANEILARFRPIAPKPALPASPAQAIDGAADRVLCHLQSRPCRARKRGRPSAVPVSAPAAAAKRKRAAYPVPLRCAAAAATDAVVATATRAHVSVATVPGSACMSFASISPASASAGGNLTRLSTIVAGDEEEEERDVPVERDLLRKLLEPKVISPRAMRPVGSTIHVESIVHGAVDVTSSTAASKTAEEVEAEVETDALPAVVTDSSNRVRLVNDAYKEMVGAPECLWLGAVAASRRISGEVALVVAEQATLPESPGGFSCTAKIEWECGGGGERASIHAACDVSRLWCDSRHYLFAWRFRTADASSSGSSQRTGSDA >SECCE6Rv1G0379080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11880497:11881348:-1 gene:SECCE6Rv1G0379080 transcript:SECCE6Rv1G0379080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGFDGPSAERKRKNQFRGIRERPWGKWAAEIRDPSKGVRVWLGTFNSAEEAARAYDVEARRIRGNKAKVNFPKEPTVPRKRRARPAAPKAPKLSAANEPFVMPSVNNLANPNALVYPSGDFASNQPLALPKNVSFVPTMNSAAPVEAFVMNTYDQGSNSFGCSDLGWDYDTKTPDIPSIAPISTITEGAESALVQSNAYNSVVIAEGADSALAESNTYNSVVPPIMENNDVDFKAWAKFLMDDDVDEPIDSFLNFDVPQDVVGNMDLWIFDDMPMCDEFF >SECCE7Rv1G0456440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:10781080:10783600:1 gene:SECCE7Rv1G0456440 transcript:SECCE7Rv1G0456440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPSPPPEHRTPPAPTTISDLGDDQLQEIFIRLPDLPSLASAAFTCRGFLGAIRSSRAFRRRFRALHAAPLLPRFLAHTIAPFPASRRLPAGFIPLQDNDTSEWVVNFSDPSVFYNGGSMGMKHRSTKQGVWYNPQTMALFLCPKEHHGMPDGTMLRFHAFSSQEDQMPSRVVCVRHDYSRPCARVAVFSSDTMEWQIFPEIATLLPQGFEITVSTVLDGFICWQCKSNSWVAISSEYIFVLNTDTFQFSRMDLPQPLRKVQQTFQIGQINDGKLCIVNEKECTFSLWIWTAGDDKFVLHKTFPLHTRFMDITNCSVKDTISVRLLTVFNGFVYFALRPWRNYADQFECPQWFLSLSLETAELKRHLKNGKQPVVPVHPYSVWPPFMEYISEDSKSEVTGNGVDDVGLESTEKDPSVLIKALLSYKEALIKDGDANSAEIEAFSLCIDVEDDKNPLVRKIMDLDQLLRTVRDHVLRAGVDSEFTGCEFYIQNIKTESWWRVCKGKFSRAFCASCISPSTRS >SECCE3Rv1G0187500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:701388488:701393521:1 gene:SECCE3Rv1G0187500 transcript:SECCE3Rv1G0187500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSAARRAREAQEDPAAAAAEAPVVPPAARRRRAARRQEAGGVREAAAAEEERAAAEEIAPVEEPMDDQDSADKQAGDDGSPIPETVQVANSPRYKVERKLGKGGFGQVYVGRRISANASGAVEVALKFEHKNSKGCNHGPPYEWQVYDILGGIHGVPRVHYKGRQGDYFIMVMDMLGLSLWDACSNNSHTMSVEMVACIAIEAISILEKVHSKGYVHGDVKPENFLLGPPGTPEEKKLFLVDLGLATKWKDRSTGRHVEYDQRPDIFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGDNKGFLVCKKKMSTSPESLCTFCPQPFKEFVEYVVNLKFDEEPNYAKCISLFDSIVGPNPDIRPINTDGAQKLIHQVGQKRGRISLEGETDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLVQHIDKGNEDGLFISCISSCANLWALIMDAGTAFSSQVYELSPHFLHKEWIMDQWDRNYYITALAGANNGSSLVVMSKGTPYTQQSYKVSDTFPFKWINKKWRDGFYVTSMATAGNKWAIVMSRNAGFSEQVVELDFLYPSEGVHKRWDNGYRITAAAATWDQTALILSVPRRKPTDETQETLRTSAFPSQHVKEKWSKNLYLASVCFGRTVS >SECCE2Rv1G0125090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:838795955:838797264:1 gene:SECCE2Rv1G0125090 transcript:SECCE2Rv1G0125090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPLPPPALPIPPAPTTISDLDDDLLREIFLRLPNFPSLASAALTCSGFLGAVRSSRAFRRRFRALHAPPLLPRFLTHTIMAFPTSRRPFAGLTHLVDDDDSDWWVDFSDPSAYNAGSLAIKHQSIKQGVLYNPQTMALFLLPKEHHDMPDGTSLGFHTFCSEEDQRPSRVVCVRQDYSWACLRIAVFSSDTMEWQIFPEIETLLPQGFRRTACTVLDRFICWQCECMTWVHASEYIFVLKTDTFQFSRMDLPPPLRVARQKFKIGQTTDGKLCIVNEKKCTFSIWILTAGDDGVERFVLHKMFPLHASFMEVTNSSVEDTISVRLMTVFNGFVYFAIRSWKKYVDQLKSPEWFLSLSLETAELKQHLKNRKRPGFQVHPYSTWPPSMEYISIVWNDISRGERRLYCYGMYRFY >SECCE1Rv1G0040430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:559909615:559912504:-1 gene:SECCE1Rv1G0040430 transcript:SECCE1Rv1G0040430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGAAEEEEEEEEEGMAVSETLTAESEECRRGSSSSASSVAASSDSYCPPDEWQQVAIKTCVTDDAVAATAKPRPPAPGKESPPVAERHRAPEVELMKERFSKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLLPEKKAMWRREMDWLLCVSDHIVELVPTWQAFPDGTRLEIMTSRPRSDLYINLPALRKLDHMLLETLESFRDTEFWYVDQGICAPDCDGSTSFRRPAHRRDEKWWLPVPRVPPGGLREATRRQLEHKRDAANQILKAAMAINSNALAEMDVPDSYHDSLPKNGRATLGDIIYRYITSEQFSPDCLLDCLDLSSEYQAVEIANRVEAAVYVWRRRGTAAKSAGTKSSWGMVKDMIMDTEKRGDLLAERAEGLLISLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVMESLASNIIARIDDLLYVDELSKQTDQKLPAGVADDGKIACKNKKAAATAYAVPASGTPYVTPSFSPAQLSSPSKIGRALLVDRRAHHGRASKRSALADHGGGPEVKGMLVTSPVFDAPLGTEL >SECCE4Rv1G0266950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:733963357:733964469:-1 gene:SECCE4Rv1G0266950 transcript:SECCE4Rv1G0266950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAEKLHVLVACALLLLAVGCQASPLQIGFYHDRCPQAETVVKGVMIEAISQNPGNGAAMIRMLFHDCFVEGCDASVLLDPTPFSPTPEKLSPPNNPSLRGFELIDAIKDALEAAYPGVVSCADIIAFSARDASCILSAGKVDFEVPSGRRDGTFSNASEPLKFLVPPTSNLSDLVDSFVVKGLDAEDLVILSGAHTIGRSHCSAFVPDRLNAPSDIDGGLAAFLRGQCPADAVPGGNDPTVVHDVVTPNDLDRQYYNNVLSRTVLFTSDAALLTSEETARMVMDNANIPGWWEDRFKKAMVKMAGIEVKTDDQGAIRKNCRAINYSN >SECCEUnv1G0544650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:131221656:131222150:1 gene:SECCEUnv1G0544650 transcript:SECCEUnv1G0544650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKLALLVVLAMAATMANPCNAQNLPHDYVVAHNVARAAVGLGPVTWDASVAAYAASYARQRAGDCKLVHSKAPQYGENLFWGSGKDWTAAQAVKIWADEKANYNYAYNSCAAGKQCGHYTQIVWRNSTHVGCARLLCDHDAGVFITCNYSPPGNYIGQRPY >SECCE5Rv1G0326370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:478019581:478024150:-1 gene:SECCE5Rv1G0326370 transcript:SECCE5Rv1G0326370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPAASPAGRALRPPPRLPAVVRVVVPMPLAARARRLPLPPSRLCLAAPRASEMSAAAEEGEGEKRWWRGAEEMDAAVRRELAIRRLQEEAEEAGAGSSRREFAVFETARGDTLFTQSWTPAAAGPVRGIVVLLHGLNEHSGRYDHFAKLLNDQGLKVYAMDWIGHGGSDGAHGYVSSLDHAVGDLKEFLEDVVLEENYGLPCFLFGHSTGGAIVLKAALDPCVEVHIEGLILTSPAIHVQPSHPIIKVVAPIFSVLAPKYRVSALHRRGPPVSRDPEALKIKYADPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPRASQRLYQASMSTHKSIKLYDGYLHDLLFEPERDDIANDIITWLSSRLNALHRW >SECCE7Rv1G0517470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:854224037:854225902:1 gene:SECCE7Rv1G0517470 transcript:SECCE7Rv1G0517470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPHTLRPPLSLALPPTSNHRPPPRLFRPRPLPPSLARHHSSSVLLARPPRAGAGAGDGGMASHGAHPTLLEHMGRAGAPADLAVLVAHIQAACKRIAALVASPGNADLSRAKPAAAGAASAAGRDAPKPLDELSNEIILSSLQSSGKVAVVASEENDLPVWMCDDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYDRLVELDQLPVEEKAQLNSLQSGSRLVAAGYVLYSSATIFCISFGAGTHGFTLDRLTGEFVLTHPSMQIPPRGQIYSVNDARYFDWPEGLKKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTIIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKSRILSIQPVKLHQRLPLFLGSMDDMLELESYGDVQQKVNPGYDV >SECCEUnv1G0555080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:296084249:296085067:1 gene:SECCEUnv1G0555080 transcript:SECCEUnv1G0555080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFECKLAMDVWKMLGLELVIKQALKVDKAGELVLAHLLCLPEQQIQVLGLPKFRETMATTAWYLWYERRKLTHDEETQSAYQINMSVRGLVANYTISYSPKAHVRSDAWLKPKYGYVKLNVDAGFDSDTLAATFGAVIRDHRGKFIAAANEKMDLCFDSFTAEAIAVRFGLNLANTVGCSKIEVNSDSVEVVNALSQGYSSSVASSIIDDCYFMSLGFSHVIYDHCNRERNRVAHELARLARFSSPSVWMDNAPDEVIPLLVNDATLLMNE >SECCE3Rv1G0191290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747599004:747600182:1 gene:SECCE3Rv1G0191290 transcript:SECCE3Rv1G0191290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLAALVVLAAFLAGPAASEAASICFNGWLRLPTYNPVLCRTRPDVQTRQRRPAPSGSGLSSGYYNTRCPSAEKIVTDAVKKAVDANPGIGAGLIRLFFHDCFVRGCDGSVLLNTTNSKNSDTEREGPPNKDSLRGFEVIDEAKAAIEAACPSTVSCADIVAFAARDASYFLSDRKINIPMQGGRYDGRESFSNETDQLPGPFSNLTALQGSFAAKGLTSDEMVTLSGAHTIGRARCLFFSARFSEMEPAFAAKLRAQCNGNDGTNVNQDDVTPNVLDKQYYQNVVDKKVLFTSDAVLNSTETITQVTENANMAGAWERKFEKAMETMGKIGVKTTGNQQGAEIRKVCWRVNN >SECCE3Rv1G0203830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:885646393:885648171:1 gene:SECCE3Rv1G0203830 transcript:SECCE3Rv1G0203830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGTSKRGRRLPNKPQKLKFKPKVPSRKPKKTPAQKPQLEETKPIDEELMKTLRTGRGDTKTLRVTKDEQSAQNSDSKSLSSAAGVSFQAAQSGKQKQPKISQKTLQIPRANAERFYRDEDDDDEDEDDDSAVPEDDIDVELKGSLPSSTECESSIQPAKELNLLEHDDKTRMFLFQLPKSLPLLRTPSTVVHRNGRAIVKEVKEGYNLNDLPGGYMGKMLVYKSGKVKMKLGDAMFDVSPGTESGMQQHAVAMNTRRKHCCQLGEIEKQHVVVTPDVDSLLNDKSG >SECCE5Rv1G0319380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:374457289:374460726:-1 gene:SECCE5Rv1G0319380 transcript:SECCE5Rv1G0319380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLATASPYPFPSLLSKTLNPTSSSKLSPPHSLSLSLLTTPAPLLLPRRQGRRQRDISAAYGDGDMDDDFGDAGDFDLDGDDGVGDDDDLDNEQDYDVDYDRLLAPVKPRPQLSGVGGGGEEGEGDIAMVAAESFVSTGASASDTVVDYTVDEDEFHKISLLHCDFFIRKVPDPDQDVYDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCAKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRFSDTDFFLDFEEIYVIDSKTRSITRAKVVVNVPEGKRRDRRNDLLLIRDGGESFRITDKSQRDDATTIIQREEWTKSRQDVEKHFRKLRDFDYSNWF >SECCE7Rv1G0508900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:775980826:775981137:1 gene:SECCE7Rv1G0508900 transcript:SECCE7Rv1G0508900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE2Rv1G0109690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:679663577:679665469:1 gene:SECCE2Rv1G0109690 transcript:SECCE2Rv1G0109690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDHRGLMAIVREEPLPSEEPMDLLSSAWCSSAIQVLQTGPKEEDRSLALVEHPVIGLDNDRRDLSQLQRSDRSLVVDDSGFGGAAQPQWKYDDLKSWIWLQKAIHPELDYDNKKKWLPRKMAAPWSGISLKKWVKERKQRRKEEARLHRAEVHAAVSVAGVAAVLAAIAAENSAPAARGSASASMRETAVASAAALVAAQCAKVAEAAGATRDQVAAAVNAAVAATDASNVITLTAAAATSLRGAAALRGRRGSGGHGQGERADQGGTAPWQDDLDFDFNYARSKAALAKGDELFVAMPDGKWKLHTVSAATDRNGKVVLRIKKMNLVMQAFSNTKECVVEDVRPCAPEKASREEDATYPVEVRTSRGKVELRADDYAVYKRWVTTVTHMLTSSTAITMRS >SECCE5Rv1G0352510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:710398300:710402295:1 gene:SECCE5Rv1G0352510 transcript:SECCE5Rv1G0352510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQQGSVTAFQISGGDVQVLQVMVKSQDKLTAKPGTMCYMSGNIQMDNNYLPENDGGVWQWIFGKSITSTVFFNPGPDDGYVGISAPFPGRILPIDLANFGGELLCQADAFLCSVNDVSVTSTVDQRPRNIEIGAEMILKQKLRGQGMAFLVGGGSVMQKILAPREVITVDCACIVAMTTTINFQLQSPTPHRRAVLVFGGVNQLKASLTGPGVVFIQSLPFNRLSQRIASRSVAAPSLRDNPRFFIQIVMFFFLAYVMIVSSIILTDV >SECCE6Rv1G0411750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:610005635:610007897:-1 gene:SECCE6Rv1G0411750 transcript:SECCE6Rv1G0411750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY9 [Source:Projected from Arabidopsis thaliana (AT1G68150) UniProtKB/TrEMBL;Acc:A0A178WJS2] MSSSKRKRAEIDLERMDDDDGSGGDGDHQAGDAKPAAEKEGQVKQGEAPPKEVVEVVVDRGGDDSKEENKYGTQQGGVVEEDNQSAAAAAHSHGGDGVDDEESHGAGTRAEDKHMVEAAPGDDSDGDHNHTPMAQDELSTMQEEMEKMKEENKMLRRVVDRTVRDYYELQTKLAAYQKPPADQEPKETEVFLSLGGTAPAATVAEAKSKEEQAGLRPSVGSDNTDDGREGLGLSLSLRTSSYEDEARHDVGDGGAPDIVGDVGKAARGYALLESSRMSPPASGDLASSGGIASQQGVNAANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPACPVRKQVQRCQEDMSILITTYEGTHNHPLPVGATAMASTATAGAGAATFMLLSSTTSDAAGSGGPPAASSSYLSPYLQLNSSSQYHSSASPLMPGNMGGGGGAQHLSMFGHSSALTAQTATQLRYPWPPNLSHGGAAGLGGGKRPFWGTGGVDDVRPTALPDNAGTMASDPNQFSAAIATAISNVIGKDGQATRSKEGESSNKWGVVESLPPHE >SECCE2Rv1G0105590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:622985386:622985619:1 gene:SECCE2Rv1G0105590 transcript:SECCE2Rv1G0105590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHHEIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE6Rv1G0404150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:512066073:512066663:-1 gene:SECCE6Rv1G0404150 transcript:SECCE6Rv1G0404150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRTALSHVADVHTLQRRVDTDAGRLHAARSITVRSPPLPFILRRLLPAAAASPSGAAICHCVETSVVDAPRRAMDIVVRNVSLRGIIEVEERSTFRPHPDRPDDWTQFRQETTIRCRPLAKLAAVAEKVETRCAERFLQNSAKGREVVERICRYLEAEAAGAAPSAV >SECCE5Rv1G0297430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:2555837:2556376:1 gene:SECCE5Rv1G0297430 transcript:SECCE5Rv1G0297430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLMYPRILAVLALVMAAAWTGCAADTTVPTTATAAASRDNVAAPAAPGVPVGSTVAVPAAGGATTHATAMTSKKMPLAPPDVFEPAAEVVSALPVPVPETLPSAEGLGFGSSGFNGGQGGFGGCCGGFGYNGGLGYNNGPLFFNSAPASRLKLRTMATGNVLAVLLVAGAAAMFYV >SECCE4Rv1G0266590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:732595286:732598451:1 gene:SECCE4Rv1G0266590 transcript:SECCE4Rv1G0266590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSVVSPTTEDGSMDRRGNPAVKTSTGRWNSSILLLVNYGLVTCAFFGVGVNLVVFLRRDNAEAANSISKWTGTVYIFSLLGAFMSDSYWGRYITCAIFQIIYVTGLVLLSLVSWFILVKPSGCGTLEGQCAQPSPAGVALFYLSTYMVAFGNGGYQPSIATLGSDQFDETDPNEARSKVAFFSYFYLALNVGSLLSNTVLVYYEDSGQWVMGFWVSAAAAALALVLFLLGTPRYRYFKPSGNPLTRIAQVLVAACRKWRADVPHGDLLHEVDGEDSSISGIRKILHSDQLRYLDKAATITEEEYGTPENPWRLCTVTQVEEVKCVLKMLPIWLCTIVYSVVFTQMASLFVEQGTTMDTNIFGSFNVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMLVAGLVEVERLKRVSASAPDAPSSMSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGLKSFGSSLCMASISLGNYVSIMLVSMVTGLTAGESRPGWIPGNLNSGHLDRFYFLLAALSLLDLGVYVACAMWYKGIKLDTGQEMAKVPVHV >SECCE1Rv1G0060690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:711248459:711252276:-1 gene:SECCE1Rv1G0060690 transcript:SECCE1Rv1G0060690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGRGLDLELAGPLRDLLPPVDFCCAYGSTLTHARPDGTSMVDYILGVADPLQWHSENLARNPTHYSSWMARRGPEAVTWLADRVGVGVYFNPFVEWGDKRIKYGVVGMKDLAMDILTWDQFYLSGRLQKPVRVLVDNWDIRKVNTVNLKMAASASLLLLPEQFTEYDLYAKICSLSYMGDLRMLFAEDKNKVKKIVDGSFQPFQLMYRPLLQEYISEGILKSSIYRQQKAFKQDCGVSATNELFSSLPCMIQRRLQGRSTSYSKEIPTRTLVPSKKVAAASVRTALRRRVMVSSARQAACGLLASGGMVAARYLGKKMSKAWKSWTF >SECCE1Rv1G0056640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:688875578:688875838:1 gene:SECCE1Rv1G0056640 transcript:SECCE1Rv1G0056640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARVLRGVVLVVCALLLLSSAFLAAEPAGRQWDHKREATVATAMMARGRFARKVLREAMFQADGDVDIAGSKRKSPGGPDPQHH >SECCE5Rv1G0320040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:381028207:381029009:1 gene:SECCE5Rv1G0320040 transcript:SECCE5Rv1G0320040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAMLNVFVEHYNRGDRAQNGWKPHVYTAVVNNVRAKCNVDITKENVISRCKTIDRHYVNVSKMLSTSGFGWDWIHNKLMVDSEDVWSNYVKANKDASCYRHKVIKF >SECCE2Rv1G0122060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:812984218:812984454:-1 gene:SECCE2Rv1G0122060 transcript:SECCE2Rv1G0122060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLAVALLLLVVLASCEGRELNQKDGALGATHSAGVAESKASSGSGLPDLPVVGTGTGTSTINGPLVVVPGPPGHP >SECCEUnv1G0527180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:382033:386451:-1 gene:SECCEUnv1G0527180 transcript:SECCEUnv1G0527180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK3 [Source:Projected from Arabidopsis thaliana (AT3G48260) UniProtKB/Swiss-Prot;Acc:Q9STK6] MPPTPPPETDAEPEFAEIDPTGRYGRYTEVLGKGAFKTVYKAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDIRALKKWSRQILSGLVYLHGHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPGSLAKIEDPEVKFFIEKCIAQASQRLSAEELLVDPFLLDVDEERIFYPVQSNTNTSDAGGSSNPSANYRAASPVGSRGRTGSTGESHPSDSYIHGNRNRQAATSRIITVESQRKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIQAHIPEWAFDESVDNQGDEGAHSETDDSESDNDETSGLRNEVDATNNGFTQEQLPSGRKYWSDSPRRDIEISQSVEDQRIYDNMPNGILKNDNVGGICERISSSSVDLSNPDVVNRNSGGASAGTSSRLSDGGYLAADLNEKLADLLANQKEELSALRRKHKADLEVILNGVPAQHREETLTRCRLKADQKKL >SECCE4Rv1G0219220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:29014889:29016660:-1 gene:SECCE4Rv1G0219220 transcript:SECCE4Rv1G0219220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVELTKEYGYVVLAVVAYAFLNFWMSFQVGAARKKYKVFYPTLYATESENKDAKRFNCVQRGHQNSIEMMPLFFVTLLLGGLQHPVVAAALGLLYTVARFFYFKGYATGVPENRLKLGGFNFLAIIGLILCTASFGINLVIREAI >SECCE5Rv1G0327290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:491017718:491020752:-1 gene:SECCE5Rv1G0327290 transcript:SECCE5Rv1G0327290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAISLYTAPPGAAYSSSSSEFDPGSRGSSPCSTAAPPPPAASHRPSAGAGAGGLSCLFSSPAAAAAPPRAPPHDELGALWHDGSDDLSFGGGGYSHSPSPLKWRDLHHHHHSPVSVFQGPSSSPASRSPSSASWLTGRERDRLFSGFVRNALGSCIDYVPATSPRPELGGGELAFELDENLAGASPACEPYAQELLAGAQARHRIFHEELVVKAFFEAEKAHHGQTRASGDPFLQHCVETAVLLAKIGASATVVSAGLLHDTIDDSFVDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRTAEADRLHTMLLAMADARAVLIKLADRVHNMRTLEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENLCFKHLNPEEHTELSSKFAETFDEALITSAVDTLDEGLREAGISYHNLSGRNKNLYSVYSKMQKKNLTMDEVHDIHGLRLVVDKEEDCYRALDVVHKLWPQVTGRFKDYISRPKLNGYRSLHTVVMSDGDHTFEVQIRTKEMHLQAEYGFAAHWRYKEGSCRHSFVLQMVEWARWVLTWQCEALNKEHSSSSQVKSDAIKPPCPFPLHSEECPYSYTRQCNHDGPLFVILLEHDKMSVQEFPAGSTVMDLMHRVGANSPRWSPYSIPMKEDLRPKVNHEPISDLGRALSMGDVVELTPALPDKSLTEYREEIQRMYDRGGFALAATRSGTGGSRRR >SECCE7Rv1G0476350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:202292117:202293193:-1 gene:SECCE7Rv1G0476350 transcript:SECCE7Rv1G0476350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAFETAEQRLPCHTEQADAGGKLVAAAAEKAVPLQEADRGGRLDRDDIWNMIQSQKPAAPAPKQAPYVPAPVRRSSSLLTQKSLEICTESLGSETGSDGFSDADGAADRSCPGSDDDGEGGANGVAARAMPPRAFPPPLPSLARRTVGSLQMRQHRRDGRLVVEAVPVLSNTLFRAQRRGGRLLLSFADTAAPAEDEGKNHAKEADQQQADEQTHEEEEDDGEEEVQVVDRGTVVEVKVSTQPQAHNSGARVHRSSLVINKFVGAEPVNTSEINDTAAAPQQPPKPPSSSAAASALIETATLPEDDGTAATPCEGKVLMTTRRRRSKQELLNHMRRCGQLSGQLFIWEPRVATSS >SECCEUnv1G0538350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72675304:72677619:-1 gene:SECCEUnv1G0538350 transcript:SECCEUnv1G0538350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEKEDHFQSNSVSDACYSVIGIHGIAGSGKSTLARCLYDHERKQKQENNQGHFDIVIWVHVSQKFELDSIFRDMFEGATGNECPKLNSLHGLKEKLEEVLLGKQVLLILDDVWYNSRNSEEHEELQKLISPLNVGKAGSRILVTSRTEDALLVMGAVKERCIPISDLDDVLFLEMFMYYALGDASVDVHDRIKLQTVGEDIAKNLKRSPLAARTVGARLRKNPEFDIWMREKDRNLMKETMGALWWSYQYLDEQVRRCFAYCSIFPRRHRLRRDELVKLWVAEGFIKTSNAKEEMEDVAREYFDELLSASFLQSGGNGYFTIHDLLRDLAEEVAGRDCFTIEKGFTGEIPWDVRYLFVETCDREMLTEKISRLKNLRTLFVDKYIQILSPKHEDFVSIVSMLMGLRKLRVLNLHFTGYGIPKFSLPDSVAQWKHLRYFAFGVSPFTKLTLPGAFSKLYHLQVVDFGQCIELVFPSDEGLMNLINLRRVISESCQLGIPGVGRLTWLQTLPRFSVRKEQGYESHQLKHLNRLQGKLVIHGLENVKSKEEALAANLPGKEKLTELELVWDNRRFSPEVQVQEEVLVGLCPSRYLEILEIRNYHGMRLPTWMMGTHSSRPKNLQELTFSGWIQLGAAPDLEDFIHLHSLRFRTCGWNALPGNMDHLTSLKKLEINSCKNMLWPPVLPKSLEEFIVKNCNWNALPGNIEHLTSLKKLEIANCKNIRELPTLPVSLEKFIVRRCTSEFKQSCITSTDPNWQKIEHIPMKLISVY >SECCE5Rv1G0344570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:646100821:646102368:-1 gene:SECCE5Rv1G0344570 transcript:SECCE5Rv1G0344570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINDVNMDKSDEIIMPGFRFHPTDEELVSFYLKKKIQQKPISIELIRQLDIYKFDPWDLPKLASTGETDWYFYCPRDRKYRNSARPNRVTAAGFWKATGTDRPIYSSEGTRCIGLKKSLVFYRGRAARGIKTDWMMHEFRLPSLTDPSLPKRPIDKNIPLNDSWTICKIFKKTSSMAQQMAMSHTWGPPLPGTTEQDLFSTMQPVQASHFASQSSFPSSQVAEAPASQFNSKRGFQGQQQLQKPSNTQDGSSCKVISFNCSPSLELEGPTILPFQTQPSQKPMHTAPPLFNMQFGQPEQQITGFVADSSADVNAGMSCRNQESSTMKHGNTFSMNNEWEAPGKLNFPFDLGADSSDDWKCNIPWESFLSPTVPAEMPQY >SECCE6Rv1G0401180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:463783306:463783893:-1 gene:SECCE6Rv1G0401180 transcript:SECCE6Rv1G0401180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLSATRRTRPTPAQCIAATLFALFVVVAIIVIIWLAVRPGKLHLSVDHATVRGFNFTPGGALQGTFALVLRAYNPNKRTAVYRSLDVGVWYGGTYLGGAEVPGFRQPPRNETRIVVAAPAAREPLPLDVEREMKKDRSAGRLPLDVHVRGKVWFKYGLVRTRRYKVRASCPLVPIDFASPSSFDRVYCHVHI >SECCEUnv1G0532170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19549335:19550630:1 gene:SECCEUnv1G0532170 transcript:SECCEUnv1G0532170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLLGLSAAAVSGRYLSTGGAVPGRLRRSFSTGAASSSHPPPWAIIDHSAEVDRSSSAQTACFRPADPPGVSTISAPAHLIDPAARPSAGSSKVQYLGGNVVQVLFGHVGAASGDDHLLLSYHDLRAEGPCTSWDLTGNPEIQRFVCNPLTGQMLRLPDIGGSRRILVVHRMGLLTQADGGLGCGPPDRFAVADFVFNGDALARFLSDQGKWQTVMMGSPGGPLLPREMEMNQETVAFDGRLWWVDLTLGAVSVDPFADRPEIRFVELPSGSVLPAPACAHERDLSKVEERTLSMIELAKRRRIGVSEGRLRYGEVTPDGPFLLSSYALDDGEGSGWKLEHQVSLRQVLADGGYPWQENSAQSAPQIAVLDPLVASVIYIKAGEHIVVVDMHNGKVIGASPLEDEYFSLVPCVLPPWLGSSQIPTAGM >SECCE1Rv1G0002280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8481462:8482367:1 gene:SECCE1Rv1G0002280 transcript:SECCE1Rv1G0002280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLILTILAMATTIATANMQVGPSGQVEWPQQEPLPQPQQLVYQQPQQIFPQPQQTFPLEPQQTFPQPQQTFPHQPPQQFPQAQQPQQPFPQPQQTFPQQPQLPFPQQPQQPLPQPQQPQQPFPQPQQPQQPFPQPQQQFPQPQQPQQSIPQQQQPLIQSSLQQQMNPCKNFLLQQCNPVSLVSSLVSLILPRSDCQVMQQQCCQQLAQIPHHLQCAAIHSVAHSIIMQQQQQQGIQILRPLFQIVQGQSIIQQQPAQLEVIRSLVLKTLPTMCNVYVRPDCSNIRTPFASTIAGIGGQ >SECCE4Rv1G0247370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:558673568:558677480:1 gene:SECCE4Rv1G0247370 transcript:SECCE4Rv1G0247370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTAQSWFTGGTASPSTAAESQPSLLADWNSYAATRSDVSSSSPLPFDIEAAVRSANDTVSGTFNVVSKGVRELPGSFQGATSSFPSGKALMYFGLFLATGIFFVFIAFTLFLPVMVLMPQKFAICFTLGCALIIASLFALKGPANQLAHMTSKERLPFTVGFTGCMVGTIYVSMVLHSYFLSVIFSILQVLALAYYTISYFPGGSSGLKFLSSSLLSPVSRIFSS >SECCE5Rv1G0306370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:91001811:91002890:-1 gene:SECCE5Rv1G0306370 transcript:SECCE5Rv1G0306370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVLSLGKSVVIGALSYAKSAGAGEVASRLGVHRDQAFIVDELEMMQAFLMAAHDEPEDKDRVVRIWVKQVRDVAYEVEDSLQEFAVRLHKQSWWRVARTLLDRRLIGKQMRELRAKVEDVSRRNKRYRLINGDGSGSKPSNISTTTGEFPVAGVTMSGTDEARRQLDKAKMDLIRLISSKKDDDLRVIALWRANGDLEETSVIKKAYEDLKMHKKFECFAWIKLVRPVNPTEYLRSILRQFYVNSLQGTTMEAQDLRWMEMTKENDLVGEFKRFVSTKSYLIVLKDIHTIEEWNCVKVFFLNYKKGSRIIVSTEQVEVASLCVRQQTVTADHKQLHMDQALYAFYEKVFFSCQNIL >SECCE1Rv1G0048650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636911163:636913091:-1 gene:SECCE1Rv1G0048650 transcript:SECCE1Rv1G0048650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYANLRCQAASLKRSLFDQGYLDEQFCQVEDLQDEASPNFAEEVVSLFFKDSARLVTNIEQAMEKYPKDFNRLDAHMQQLRGSCFSIGASKMNNECTSFRNSCGEENAEGCRRTFQKVKREHAILRQKLDSYFQLLRQAGPAGTATRPGSK >SECCE6Rv1G0422390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689677805:689681692:-1 gene:SECCE6Rv1G0422390 transcript:SECCE6Rv1G0422390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEQLASLMRGLRGQNLRDDQFADKDVTMRLVEVTNTEGLPLVYSPEIISAYWGKRPGAVATRAVQLLSVAGGFISHIISDLITDKIKENEVTRAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDIAMALLEEELGRPWQDIYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLKRFPQVALDVVGLVDEWAARFFEELDYVNEGENGTLFAEMMREDLPQVVVPKTYPEYTSRKVLTTGWVDGEKLSQSTEDDVGSLVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLCILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFKIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFISAAKSGGGEDMKGNMAELGAIGFQPSTSLVPAFPMAISQPENPIKARAALSFLLSDRGDFFREFILDEIVKAIDAVSREQLLQIASSFGVRNPVPVFGMVPMRSGALLPTITEEDRIILNNVEKVVKFLSAGTANPTVNGDVNVVSLVQELLPVLPSITSKILPDVLSRLSSRVFARVIRESFL >SECCE4Rv1G0260780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:698674353:698675507:-1 gene:SECCE4Rv1G0260780 transcript:SECCE4Rv1G0260780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPSQPLLLLTHQLLSAVSVLVLDLLGPAPDAARKRSRTDSDGDAAEAGPADPVAAAAPPPPAPSPPLPLPPTSPDHYPLAFRVSAPTFHYLSGLLDPLLSHPSLPCPTLLALALARLASGLPYPALAALFRVPPSAPRAASRRLRRVLLANFRFWLAFPSDSAASSSSSSSSPLPSCRGALACARFAGPGGPLAAQLVAGASSRILSLAAGFRGDRADLEVLRLSSLHQELEQGRLLDPAQYLVGDGGGYPLLRWLMVPFDGPVVPGSPEAHFNAAHRAMCRPARRAVRSLMGWGAIARLHEEESPRAAVTCIGTCAMLHNVLLAREDYSALAPEEEPETDLAALQSRRDHAAEEGLKVDRRAVALRSALAATMKDWRTPA >SECCE2Rv1G0112780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:715378036:715382755:1 gene:SECCE2Rv1G0112780 transcript:SECCE2Rv1G0112780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPPSRLPLLAALVAAALLAGAAAATEEAYVTLLYGDEFVLGVRVLGKSIRDTGTRRDMVVLVSDGVSEYSRGLLEADGWIVKRITLLANPNQVRPTRFWGVYTKLKIFNMTSYKKVVYLDADTVVVKSIEDVFKCGKFCGNLKHSERMNSGVMVVEPSETVFKDMISQVDRLPSYTGGDQGFLNSYYADFANSRVYEPDSPLTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVEIWQDVRQKLEESLPGTGGGRNPHDQLVVKFLFILPFCLLLFGYYQSCFQNYKDFPSVQSLCAFARRGRHKYKSEEALPSYSAVGASSSTFSTSNQRISNGPHLKLPSYFGAIAVLICFMSAGVSLAFAFTIIPRQIMPWTGLLLMFEWTFVAFFLLFGSYLRFVYRWGSISATHVGYSNSDSSENHMATGHHQRNISDFDMEATFYWTGMAVIAVITVFSPTILGITALFTKLGLMVAGGVLLASFMTYASVHLAISAFHKGQKDRNGSRTRRICFWCL >SECCE6Rv1G0449650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863647054:863647413:1 gene:SECCE6Rv1G0449650 transcript:SECCE6Rv1G0449650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQASNAHDELSLELTLAAAVGVAPAAPRFFLCAYCDRRFLTAQGLGGHQNAHKQERAVARLHRDAATYMRATPAWKAASRMPEWPEVEEPAAAGMAHRRGKSWPERERDHELDLSLRL >SECCE5Rv1G0365430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:806982339:806988983:1 gene:SECCE5Rv1G0365430 transcript:SECCE5Rv1G0365430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPITASLGPMGRLLRRLHSLEAAQQPLPEGLSASGIRLLKEGLEGLHGHLKGSPEADLDLGFTPKWWIKEVRELAYDAEDFFDEVMQSGAGGIARSAPLSTILGITSKRKQRLPQIAQDFSHLMARVDDAGERCKNFQFAPETDIKSDHGQASSSRHTPGQSIDMPVSTVSAAGHSHSLVGVEERMKKLVNLLALGDDQQKQLKVIPIFGSAGVGKTTVAKAIYRQFGGEFQCRAFVRVSRNPDMRRLLTSILSQIKAPGAHTFSDAQDLIDCIIKHLHQKRYFIVVDDLWTASVWDIISRAFPYGDCCSRILATTQIEDVALACSGYESEYIFNLEPLNNVESQKLFFDTVFGSECETGCPEEFEVVVDRIIRKCGGLPLSTLNIASLLLPSKPNPAFQQWEKVESSLPSTLRTNPTSNGMKDVIILVYDKLPLHLKTCLLYVGMYPEGYTISKDDLEKQWVAESFVSDAEHGYFNELLRRGMIQPVDTNYHGEVLSCTVNHMVLDFIRHKSMEDNFVITVNYLESTIGLLDKARRLSIQFGGAKSAKIPESIRMSQVRSLFFCGFSGCVPSILDYGVLRVLILHIWANQDKMSFDLTRIGELCRLRYVQVECNITVNLPDKIQGLRYLETLQIDGRLSAVPSDIGNLEKLRHLRLPNQANVRDLGGLVNLQDLHLTCSTVHPLDNLEDNIKYLGTVLEKLSNLKSLTLTSLGSSPVNTSRMSISCNGLSSVSPAPAHLERLELLPRICIFPSLPKWLKTLSKLCSLKIAVREFSNSDIDIVKGLPALTALLLYIRTAPAERIIFGKAGFSALKYFKLRCSEPLLKFEAGAMPNLRKLNLVFSVQEMQHGAAPICIEHLAGLKEISAKIVGAGAAGTESALGFYVSNHPENPKINNQLVNWKFFADEDRIMPIPNHAGRTIEELGEILEENTEYEYEGEDASRQQPDSGISTLLESSPAPPWKPPDRRYGRRILYVWARARPTLKAAYEGSDSLPWWHHLVPSNTGMFSRSRRKLESSLTASSSPTVLASRLTGGSSQTFSASKPSSMNTFSSANTSSSANTGCEIVQSAKVKAFSYNALRFATRNFSPDSVLGEGGFGSVYKGWLDERTLSACQPGTGIPVAVERLNQEGFKGHSEWLAEINYLGRICHPNLLKLIGYCIEDEYRVLVYEWMSRGSLDNHLFRRGFEPLSWNVRMKVALGAAKGLAYLHSVEVNVIYRDFKPSHILLDYDYTAKLSGFGLAKDGPVGEDSHVTTRVMGTYGYAAPEYVSTGHLTAKCDVYGFGVVLLEMMCGRRALDPSRPRAELNLVEWARPYLTSKRRIYRVVDAKLGGQYSLNGARKIANLAVACLHVEGNMRPTMDYVVSVLEGVQGSSDPSKKPAAVRR >SECCE2Rv1G0102150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:574131935:574132942:1 gene:SECCE2Rv1G0102150 transcript:SECCE2Rv1G0102150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLVSCFSEHAVRISDVACSGSAAANAAAAVTMGPADGGGRAAGISAVTSVYRSRLSASGKDLLVDVTWARSPDGPALSVAVHDAGAPRHRAAAAAPRHLHRRKGSGTFTAGSCVVGVFWDYAAARYAAGPEPVSGFYVAVVADAEFVLLLGDMSRGYVERLHGGIPVAESWMARRRERFVGCGCWSTKARFLESGAEHEIGVGLEGDTEAWVTVDGRKVVQLRRLRWNFRGSHTLILDGGAPVDMTWDLHGWLFHAIDPSASSSSAVFTFHARGASETRLWMDDDDGAASSGDSDKEHEKPLAPARGQRQKQGPSGQGFCLLIQGFRSSSKIA >SECCE1Rv1G0010680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:64852765:64857381:1 gene:SECCE1Rv1G0010680 transcript:SECCE1Rv1G0010680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGWPEPVVRVQSLSESGAATIPDRYVKPVHDRPSLHNGTASGGVSIPVVDLSSPEGSAATARAVSEACREWGFFQAVNHGVPRDLLRRARAAWRGFFHLPVEAKQRYANSPATYEGYGSRLGVERGAVLDWGDYYFLHLRPPSSLSAADKWPHLPPDLRDATEEYGREVASLCERLMAAMSAGLGVGSGRLQEEFGGAEGAGVCVRVNYYPRCPQPELTLGLSSHSDPGGMTVLLADERVRGLQVRGRGGEWVTVDPIADAFIVNVGDQIQVLTNATYRSVEHRVMVNADAERLSVAMFYNPRSDLPLAPMAELVTPGTPALYKPMTFDEYRLYIRRKGPRGKSQVESLKAHGDR >SECCE6Rv1G0419640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:671532267:671534070:1 gene:SECCE6Rv1G0419640 transcript:SECCE6Rv1G0419640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAIARPGGPEVLEEREVEDVPAPGDGEVVLRVAAAGVNRTDTVQRQGGYPAPPGASPYPGVECSGAILALGANVHPRWAVGDQVCALLTGGGYAEKVVVPAGLLLPVPAGVSLTDAAGLPEVACTVWSTVFMASHLSPGESFLIHGGSSGIGTFAIQMAKHLGIKVFATAGSQEKLDACAGLGADVCINYKTEDFAARIKEETNGNGVDVILDNVGGSYLQRNLDSLAVDGRLFIIGFMGGTVTEVNLHAMLARRLTIQVAGLRSRSLANKAHIVSEVEKNVWPAIASGKVKPVVYKTLPLSEAAEAHKLMESSSHIGKILLIP >SECCEUnv1G0532100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19326604:19327314:1 gene:SECCEUnv1G0532100 transcript:SECCEUnv1G0532100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKALHKETRLKDGDNVSILCDVTVFDTHTHTTDYRAGLNSASTAGTVVPPSELHQQLAEVLWESKDGVDVQIDVSGETFTAHRWMLAARSPTFKADLALTTSATNRLHVDGMDAAVFKAMLHFIYTDALPKEVELATMAEPLLVAADRYKLDRLKLICEEELCGHIGVHSVAAMLALAEQHSCRVLKEACTGFLSEPGNLKAAMATRGFEQLKTGCQPALMELVMKQYIAATEA >SECCE2Rv1G0090230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:273338766:273348100:1 gene:SECCE2Rv1G0090230 transcript:SECCE2Rv1G0090230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPFPREPSCSRPPSSSSLFASVQMGSSPAPSPSPSTSPPMPMIGRAGNLTVFITPLSPASTPRSSRPSASPRPDFSTPTSRTGTGTGTALPPPTSASPHKSASPPAPPVKFSPPAPPVKVSLPPVQVPPPQYGKASAGGEHDGSAFGFLWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYDNTGKEVEYGKAGKPKELTTTTKVQKV >SECCEUnv1G0547040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:166535966:166537540:-1 gene:SECCEUnv1G0547040 transcript:SECCEUnv1G0547040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWWSSPAVLCLLALVLMIRAPPASALAANWGTRALHPLPGDVTVQLLKDNGFDKVKLFEADPAALKALGHSGIQVMLGLPNELLATVARDVAAAEQWVQHNVSHYVSDYGVDIRFVAVGNEPFLKSYKGQFEAATLPAVRNVQAALVKAGLARQVRVTVPLNADVYESPDGRPSSGDFRADITGLMTGLVRFLLDSGGVLAINIYPFLSMDADANFPKDYAFFPAPGAPPSPASVQDGAVLYTNVFDANYDTLVAALEKHGLGNITVVVGEIGWPTDGDANASPAGAQKFNQGLFDRIVAGKGTPRRPQMPDVYVFALLDEDAKSVDPGNFERHWGVFNYDGSPKYALRLAGGRGVVPAKGVRYLSKQWCVLRPDASPADPAIVGAVEYACQYADCTSLSPGSSCGGLDVRGNVSYAFNQFFQSASQQKGSCGFNNLSVVTTTDPSQGTCRFKIMIDTGRHDLTHQDDSGAARAAAAWGAVVAVLALLAIVAL >SECCE2Rv1G0075630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:85020128:85020774:1 gene:SECCE2Rv1G0075630 transcript:SECCE2Rv1G0075630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTGARSSSHGGHPGFSPNPSPIPYREQPLAYEPSEDCPCKMKVARWISWSNANPGRRYLTCQRSRNGGCRFFRWIDDPTTPFLRQLLVDLRDAVNNLRRENTQLRVVNADLEMGIEERIQQTNALRDASSQMKERNMALEEKLWQTESLLNEKNNGHQKRMLLIVVLIVFVLFLASKLAA >SECCE7Rv1G0454870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:4495586:4501709:-1 gene:SECCE7Rv1G0454870 transcript:SECCE7Rv1G0454870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose nonfermenting 4-like protein [Source:Projected from Arabidopsis thaliana (AT1G09020) UniProtKB/Swiss-Prot;Acc:Q944A6] MFSHGADPAHDASAAGGGVGVSAGGPAVPTRFVWPHGGKRVFLSGSFTMWSEHLPMSPVEGCPTVFQAICNLPPGIYQYKFNVDGQWRHDEGQPTITGEYGVVNTLYLTREFDHINTVLSPTTPGSRMDVDNDSFQRMGSLSDGALQEGSPRISEAAIQISRCRVAEYLNAHTGYDLLPDSGKVIALDINLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQTYGRNDGQLRSNQHLVHVTPYESLRGIAMKILETGISTVPIIYSSSSDGSFPQLLHLASLSGILKCICRYFKNSTGSLPILNQPVCSIPLGTWVPKIGEPTGHPLAMLRPNTSLSSALNLLVQAGVSSIPIVDDNDSLIDTYSRSDITALAKDKVYTHIRLDEMTIHQALQLGQDVNSPFGLFNGQRCQMCLRSDPLLKVMERLANPGVRRVFIVEAGSKRVEGVISLSDVFKLLLS >SECCE4Rv1G0270320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755017967:755026417:1 gene:SECCE4Rv1G0270320 transcript:SECCE4Rv1G0270320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARNGLGTRTTAKMDRQQSSTATPKAAAGKPRLSSAAGGGGGGAYRRTSSGPLPAAGANGRNSSDSGVSSRVRVAVRLRPRNAEEQAADADFADCVELQPELKRLKLRKNNWESDTFEFDEVLTEFSSQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGDEDTAARGIMVRAMEDILADITPETDTVSLSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFMDLLRIGEAHRVAANTKLNTESSRSHAILMVNVRRAVKGKSEMNVSMSAENGHSSSMMDSLRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSSLGKCINALAESSAHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVQNMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEIERITAEAQYRVAEAEKECKISLENEKMKYHQEYLDSIKILEEKWKVHQQSPKKQIKEPESTSNGDVQDLLQNEKMLRQSAEDESNDLKNQLSHWKKMEATATAEVVRLRRMLDTEASQKDKLEEEIAVLKSQLMQLSLDADETRMSLDTGDGPGKIFPGLDSLMSHSRNSQPREQSNGPKAPVAKLFEQVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVTLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVKCGHPDVLAQIARGIANFAKCESRAATQGNKVGRSLLVDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNAKDIVSEGALWELVRISRDCSREDIRMLAYRTLTSSPTLQSEMRRLRIEC >SECCE7Rv1G0465970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:75678549:75678782:1 gene:SECCE7Rv1G0465970 transcript:SECCE7Rv1G0465970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPKRNPSRIQAFLEAHREIEDVSTHGRLRDDLVEHHWQLDGRRTGP >SECCE7Rv1G0526030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893660308:893661075:1 gene:SECCE7Rv1G0526030 transcript:SECCE7Rv1G0526030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHGAGCHPLLHGHLQPAHAYGPWDPSLAAFDDGNHQQQQLECFSTASELRDALLRALAELEAARGAHREELRRVEHEAARLVACAAAERDELRRHCHSLLLLLHHHTAAQPPPQSAPDPPLHGILGGVAGAMAVAMDEAATSEEEAEVEMALARRLPEKGRLVEAVVSAGPLLQTLLLAGPLPRWRHPPPPASADVIPPFNPGRPDLSGGNYSLSSASASSSPESNCSGPPAAAQPQQQHALPCFHMSSSFCM >SECCE4Rv1G0285640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:845961274:845964976:1 gene:SECCE4Rv1G0285640 transcript:SECCE4Rv1G0285640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAAAAAAAAASLPPPPPEVAHLVEQLQRHHLAPDASLLSSSAHSDLLQAREEVAAERARYLEALAVYAEAMAMVEEYQHVTASGSAGAAKKLNCSPEVYESLEHHLAVAEAAQRLRLPLLSQDGEVHEEEIEKLSTLSRVSFDSTVTSATPSSTSISTNYNNYGSTGSALTVAALAGGGGSELIEPGAGGVPDRFLGITSDYLYQVQQEQPAMTVDMVEYQRSVAREIEARLEAKCDALADLFAMDERDSSSINQISSARLPERVKLIIEGIEKEESLLLEDLASMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQHQYDDVKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKETVPALHRIRKYLVEATKEASNSYNEAVSRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPASS >SECCE2Rv1G0097640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:466065539:466069118:1 gene:SECCE2Rv1G0097640 transcript:SECCE2Rv1G0097640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKRRGEAAATGAPEEAPASSAAASDSATPRRSRRRGGDARAAQEASATVHALDPADAGKGTTDREKKPKLNERKKNKREQSPCAAASGADAAAMGKSGDDCTDGDSVLGDSEVGKKRKRNKKIMEEPSSSTVSDDNAIEVNKSGAGCKNVEDVPGDDEASRRPRNEEDLGFSAVNIAEQDVPKGKKPKLGKRNKNKLEPSFPAVVDAATVVGKSEDDSTDGKHVPGVAEISMSPRNGEGQDCPEVNIVEKETLERNKPEPRKRKKNKQGRSPAAVSDDGAVEADKSGNVGTDGDDVLGDAQVSMSTVKDPCCPEANMAEKETQEKKRKPKKQKNNKEGSPSVVLDTGAVVADESGSSCMNGDGAPQDGEASISPRNGEDPNRPGVNIAEKGVPKLKKNKLNKWKKNKLNKWKKKNQEQSPSTLLDAGDVVPDKSGRGSTNVEAASRGAGVTTSPGPVEGSEYPNVNITGHLVEENKVNKDYSQEPKLKRQKQYKQDQSPSAIPDTGAVVADKIGKGYIEGEGGEGLPGDTEISPRNGKGPDCPEVNIAENSVEGKNGNNGNSQKPKRNRRKRKKRAQVAVTEAPAVGDVNSDEREDMCRTGTVKVTGSMGYSICESEVTAKNVVQDMYSPGGSLVRFQRKKLLILDLNGLLADITPINRNFRDSRLAHAKVRGKYVFIRPYHDDFLRFCFQNFELGVWSSRMKVNVDDVVDILMKDLRRHLLFCWDASHCTTTKCNTLENQCKPLVLKELKKLWNKEDPDLPWEQGEFSPSNTLLVDDSPYKALCNPPHTAIFPQPYSYHNRTDNALGPGGDLRVYLENLAAADDVQHYVQEHPFGQPFITESDPNWDFYAKIRDRLEKPGECAA >SECCE5Rv1G0297720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:4923766:4927814:-1 gene:SECCE5Rv1G0297720 transcript:SECCE5Rv1G0297720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGADDSAAARRMARVASHLRPPTSQMEEVAILKGSNCRAKGAAPGFKVAVLGASGGIGQPLSLLMKMNPLVSVLHLYDVVNTPGVTADISHMNTGAVVRGFLGQPQLESALTGMDLVIIPAGIPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPNAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVGEVLGLDPRDVNVPVVGGHAGVTILPLLSQVNPPCSFTSEEISYLTSRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLRGDAGIVECSYIASQVTELPFFASKVRLGRAGVEEVLPLGPLNEFERAGLEKAKGELAESIHKGVAFASK >SECCE3Rv1G0167670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:207573042:207574582:1 gene:SECCE3Rv1G0167670 transcript:SECCE3Rv1G0167670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGELEVFDAGHCTDGYQLGLAVGRRFSETIRSRMRVDLFLQEQLLPFASTAAGKPLLAALQAANRERCPRYWDELVGMADGSGVPLLHVILVNLRKEIRPFIPKKDHDRREEPDPDDDCSDILMVSESTAFAAHNEDANVALLGHTYVVKATSPDGASSFTAYTYAGELPTCAFGFNSNGVAFTLDSVPPAISEVAAGGIALNFVSRDLLEARDLDDAMRRVCSPGVSVGHCYNLMDVRARRIVTVETASRNRFAVHEAGAAPSFHANMYRHLQVEQVQDENSMSRERRAAQCAMGSKEEALAVLGDAADDKYPIFMTGPKLHTLCTVLVDLDEQTMTIYTGNPKNRDAFRVALPML >SECCE1Rv1G0042240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:578670960:578674962:-1 gene:SECCE1Rv1G0042240 transcript:SECCE1Rv1G0042240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSETTKAGTEMAGGSIRTSRKITKTEYPSVLDVMDAAMNQVLEEYMKRLVARWNAENPDAKPLVVEALTEEQRESLEREDLAREVLIGQAYVDKMAAEDMARREMEESNPKKDPDELSYQYYRENWEWKYAKEFGSFEATTRIPAMCFTDKPTKPGVTNPERSMQIFSVKVEEIYGDLHWPLDVFGIVAVRDDLDHHRNIIFERKRDNCQTLNKEDPYLVLTGPTRAPVTLFGPMHFDVMLKVKCSNELEDKDLSLLGFRYECCESINYQASKGECALRSCVSSQKHRSKLSTLELTCGIVVSSIEATISVRVVDGPWPDGFSGRFTASTASVSHMKVLLLNIGDETVPVIAADGTIELSRRVVSVESAGELRVRAAGWLGSKQIDHEVFFQPLKSGRSSRSLKVGSCEMEVTVAWSLFPLCYPTDRIPSPKNGWIVADV >SECCE7Rv1G0509280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:779474488:779475219:-1 gene:SECCE7Rv1G0509280 transcript:SECCE7Rv1G0509280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTQTSPLRRWKRFLRAFDSVDAAIKPSDPDHLRDELRRARGDIVEQLCDATDDDQAERLCGILDDHMAESLETLRQIPVMPDMLVSTDLAKCVRALRRHESERVRVLAMGIVTGWRASMQDELAKVRDALHKLDNIDMPQTKEVAVDQQPHVSADSDIAMKTVGISKKASPDDDRAGLCSEEKVAAAKRKFHQAYQEAEEAKRRRRTQLVQAPKMMQPIRRCTSSMVKKTFSVRSQLHMA >SECCE7Rv1G0493560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:570618541:570618915:-1 gene:SECCE7Rv1G0493560 transcript:SECCE7Rv1G0493560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSMGVTSFVLLLSLFAMVVSGKDWIVGDAKGWTFGVSGWEKGKKFVRGDYLIFNYNPKMHNVLELATGEYKACDVSAIPSRNFTSGHDRVQLIGVVASYICGTPGHCGRGMKIHMNIEDKE >SECCE7Rv1G0508920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:775988799:775989095:-1 gene:SECCE7Rv1G0508920 transcript:SECCE7Rv1G0508920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTDRESPLRGLSPSNKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE5Rv1G0308300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:128422514:128425475:-1 gene:SECCE5Rv1G0308300 transcript:SECCE5Rv1G0308300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRGRGVSVDALTSRIESKICYHRDAAVEYLDIKTMVDKVLEEKNKLLAENTEFLNTIDKVVEEKEKLQHDHEVINELVAPMAQQLEMVKKELEEEKYVHVAAKEELAVAKEQLAQKNKELKVLRKKLQESEAMHTQREQQNGSASEPARSKMVTRLSHKRAILLQVSSDNDADRHRCKKQRSHPQVPNNPTTGQHSGRDDDQEVVRQKLIKGFSEIDAGQSIGIKKMGKLNEKPFRDACAIKLAPKYAGAKSSELYTLWQELLDSRNWKPFKSVIVDGDHQEVIDVDDDKLQGLKMAWGEGPYNAVISALVERKEYNTAGTGDAFDLWNYKEGRKATLGECVDCIIDNVKKLKRFHLTYRSRRTMCTATASVHDPVKDLSAPSNE >SECCE6Rv1G0402710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:487581767:487583747:1 gene:SECCE6Rv1G0402710 transcript:SECCE6Rv1G0402710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 2,4-cyclodiphosphate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G63970) UniProtKB/Swiss-Prot;Acc:Q9CAK8] MASASSFFLASPISTAPRTRIRTHSTPSSACPARPSLRPRQKPLAVAAALQAEHQPAVAAAPKPPALPFRVGHGFDLHRLEPGLPLIIGGISIPHDRGCDAHSDGDVLLHCVVDAILGALGLPDIGQIFPDTDPRWKGAESCVFMREAVKLMHQAGYELGNLDATLILQKPKISPFKETIRSNLCDLLGADPSVVNLKAKTHEKVDSLGENKSIAAHTVVLLMRK >SECCE1Rv1G0045370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609527298:609528457:1 gene:SECCE1Rv1G0045370 transcript:SECCE1Rv1G0045370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAATMVALLLAAVAATCARAQLHEKFYGESCPSVEDVVRKEMVRALSLAPSLAGPLLRMHFHDCFVRGCDGSVLLDSANKTAEKDAQPNQTLRGFGFVERVKAAVEKACPDTVSCADILTLIARDAVWLSKGPFWTVPLGRRDGSVSISNETDALPPPTSNFTVLTQLFAAVNLDAKDLVVLSAGHTIGTSHCFSFSDRLYNFTGMENPSDIDPTLEPHYMMRLKSKCASLNDNTTLVEMDPGSFKTFDTDYFKLVSKRRGLFHSDGALLTDPFTRAYVQRHATGAFKDEFFADFAASMIKMGNANPLTGSQGEIRKKCNVVNH >SECCE6Rv1G0432880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:754968879:754972592:1 gene:SECCE6Rv1G0432880 transcript:SECCE6Rv1G0432880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTSVDLPCHRGMTMVILPLLLFLVPLTAAQVWPSCGKSGDYKSNSTYEANLKLLSSTLPKKAASSTTLFATDTVGNVPNVIFALALCRGDSNSSACEGCLVNAFQDGQELCVNNKDVTVYYDSNPCMLRFSNQNFLATTVSEHILVIVSIESLMMNISTRANDFRRLLFTLLNDTAQLAANSLRRFTTSRLDVNSFPTLYYLMQCTPDLTADDCTACLQPYFQYTIKYMDGKKGGRILGTRCTMRYEIFPFFQGDPMLRIINLAPEVPPINNNNTMPPIIVNPPPQPQSQSPVAAPPPPEAQATTQELHGRNSRQRALWIIAVAAPLLSIFLCVICFVLWMRRRRKGTEILHDRATTNRPDEDAFVWRLEEKSSEFTLFDLSEILHATHNFSKENLLGQGGFGPVYKGQLPDGTEIAVKRLASHSGQGFTEFKNEVELIAKLQHSNLVKLMGCCIKGEEKLLVYEYLPNKSLDFFVFDVSRTTLVDWNKRCVIIEGIAQGLLYLHKHSRLRIIHRDLKASNILLDQDMNPKISDFGLAKIFSSNDTQGSTKRVVGTYGYMAPEYASEGIYSIKSDVFSFGVLLLEILSGQRNSGFHQREDFLNLLGYSWQLWEGGRCLELLEASIAKEIHAAEARRYINIALMCVQEHSDDRPTMSNVVAMLNSESVILPEPKHPAYFSLRVSKEDESGNNEVTVCSNNDVTITEEPDGR >SECCE2Rv1G0121230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:806107488:806111448:1 gene:SECCE2Rv1G0121230 transcript:SECCE2Rv1G0121230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPTAGGGIGTILAAGDRDYLVRNSGEQVKISSIEGGTVAIYFSASWCPPCQRFTPKLIEAYIELSSHGKSFEVVFVSGDRDEEAFNAYFAKMPWLAVPFSDSEGRESLDERFEVNGIPHLVFLDAKTGEVLTAEGVEFVSEYGVEAYPFTTERINELKEQEKAAKDNQTIHGVLATPNRDYVISNTGEKVPIVDLEGKYVGICFVVNGYPPVEEFTSVLAKIYAKLKEVGEKFEVVAVSLDSDEESFNKSFSSMPWLAIPQGDKMCQKLVSYFELSDLPTLVLIGPDGKTLSSNIADIINEHGLDAWEGFPFNAEKLEILAEKAKAKAASQTLESLLVTGDVDFVMRKDGAKVPVAELVGKTVLLYFSAKWCGPCRAFLPTLVDVYNKIKEKNSDFEIVFVSSDRDQSSFDDFFSGMPWLAIPLEDERKAYLKKKFKIRGIPSLVAIGPDGKTVNTDAKTSLAVHGADAFPFTDERIQELEKKIDEMAKGWPEKLKHELHEHELVLIRRPRPYGCDGCEEMGTSWSYNCAECDFDLHAKCALGEEKKGEEVKEGQEDAAAPAGYVCEGGVCRKA >SECCE4Rv1G0262900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:712533181:712535338:1 gene:SECCE4Rv1G0262900 transcript:SECCE4Rv1G0262900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFHHGQHVRLRSRVHGTYLHADDDGHGVSLRQRRESMNAAWAVHLHQEQNAQYLLLHSAAYGGYLAATDEPAPRDHRGRRVEQRDYNHPEVDAMVWQAILSDSGEGVYLRHIGGRRLRAHGRYWNTDASVGYVDDLDNINRKMLWVVEQIPAREITPPLPRPTGIPHLTLRSRLIMYVVPTVYGELLARGTFVFRGRSVFRLRKELARQLGVIVNVEVSDLFMCLPTQDGRLFPLVVDLPRSGQTLHIVVAVAGTPAQAALRYADVDA >SECCE1Rv1G0056330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:686446652:686449400:-1 gene:SECCE1Rv1G0056330 transcript:SECCE1Rv1G0056330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVRHVEWLRVHFTPDGTRRYPQRIGSPSPPAPVLSMAALRPAPRAAIGDRGPAAVCRSSRRPSYLSSAPPCWQQGNILNRKSKCTTRKLLTTVTGAKGDESEFDSVNAPLEPQTWEGSFLCGLLKNQPQIFLVAAAKQLQRLSIQRKDTLTRWEHSIGSSEDCLHRRIAEMKDQECRSAIEDVMYMLIVHKYSKIEVPMVPNLSKIISNRRLHIWPPREADLESIHGPEVLGQIKEHLTSIIRWVHRNGPKINRSTLRVKRLQLGRIYSASIMYGYFLKSVAVRHRLDLTLARSQECLQPIQLLNAQLGTTHKKEQQEAFGGSVETVSPSKPRSVVDPHDLKSYMMGFDPKTLELCAKLRTHEACNLIEKHSWALFGEKMGCTETDEAVILDPASLKRLLLEAIAFGSFLWDTEDYVDEIYKLQDS >SECCE3Rv1G0210100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:942365315:942366628:-1 gene:SECCE3Rv1G0210100 transcript:SECCE3Rv1G0210100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSIAKRRAADAADLLPDDLLLDIFARVAPAVLDLIRCAGTCVRWFRLISRPAFLRRVGIPPENARHRSSFLIGAFFGPEAIPVHLNATSKRGSDSPPRFLRLCDQRTGGERLTFTSFIHNNDGTFNYAKPLVSRRGLLLVRRRMPREWEKIHLAVCHPLLGASSTRLLPPPPQDLDIMGVWYDMTGYALITAADHRATGDLDNGRQPLFQVLFTTVCFQEKLVYAFSYSSAMNSWSAPIKCSQTFGLTRSGPMAGVVSGGMVHWLYRNNTNFYALNISADATHVSLTKIPIQLTSGLPPFPCIVGEGKLSFVNTSTDNVLELWTKQEQDNDHSAKGKEGWVQSELMPLEVKGIEILSFAERSCVILVVQKECLTIFSLDLVTKKIQPVIRENRNMALFYSYNGDERRGYKNHVLYEIDWPSYLFHLSAGSEDLQ >SECCE5Rv1G0325310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:464109976:464111457:1 gene:SECCE5Rv1G0325310 transcript:SECCE5Rv1G0325310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEPPLQLESKNVCVVGAGMAGMAAARELRREGHVVTVMEQSGDVGGQWLYDPRTDDDGLLELEDGAAPVRVHSSMYACLRLISPREAMGFSDFQFFPREGVAGRDPRRFPTHREVYCYLREFCHAFGLADAVRLNTRVTRVAAVPTSSTHQWAVRTVPLGGTATDEEEKEEVFDAVVVATGHYSQPRLPSINGMEDWRRRQLHSHSYRTPEPFRGQVVVMVGCGDSGKDIALDLRRVAKEVHLTAKSMEEAMTPAMSKMLANHANLHLHPQIDRLYADGRVAFADGSSVVADTVMYCTGYTYSFTFLDTGGAVTVDDNRVGPLFEHVFPPSLAPSLSFVSIPRKVLVPWFFEAQGKWVAQMLSGRRALPPEEEMLRSVEEHYPAREAAGVPKKYTHDIGGVDPEKMYEFGEKYCDFARIEDWKRELLVSIIANMNDDMETFRDRAADGESVRKGLQRWHDAAAQAQEDQTTVAAVEAALDAPVIAKLRLN >SECCE2Rv1G0103400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:589449426:589451576:-1 gene:SECCE2Rv1G0103400 transcript:SECCE2Rv1G0103400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYCAYPAASAAAAAANPTRRRLQTLTAPGALPAARKPSRQPPSFLSFRRPNAALPPLRVAGADPQIVNGEDFPPMNDLIRLYKKAFLDGNEDVVSDIEKAITSMEEERSKAASQFESITAEIASGKNKFLRLNADLENFRKQTEKDRAKFTSNIQVELVQSLLPLVDSFEKTNVEVTLETEKEQKISASYQGIYKQLVETLRNLGVGVVETVGKPFDPVVHEAIAREESTEFKAGIVSQEVHRGFLLRERVLRPAAVKVSNGPGDQNVSSTSSEEPVEDTKEDAAV >SECCE3Rv1G0160450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:104883644:104885665:1 gene:SECCE3Rv1G0160450 transcript:SECCE3Rv1G0160450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREHIFFLLHAIPLLFLLPLAASAASNDSFSFLYNGFSAVNLTLDGNAKVTPDGLLELTNDTINLGHAFYPTPLSLRGSPNGTVRSFSLSFVFAILSVHDGISADGMAFFVAPTKNLSNTWAQYMGLLNSGNNGNASNHMLAVELDTTQNEEFQDMDNNHVGVDINSLHSLQAYRAGYYDDKSESFNNLTLISGKAMQVWADYDGESTQINVFLAPLGFAKPVRPLLSSPYNLSTVLREPSYIGFAATTGAISTIHCVLGWSFAMNGPAPAIDTSKLPKLPRLGPEPRSKVLEITLPIATATFVLVVGTVIILFLRKRFRYRELREDWEVDFGPHRFSFKDLFHATEGFKEKNLLGVGGFGKVYKGTLSKSKLKVAVKRVSHESRQGMKEFIAEVVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMANGSLNQYLYCEDGKPSLNWEERLHIIKGVAFGLFYLHEKWEKVVIHRDVKPSNVLLDSEMNARLGDFGLSRLYDHGTDPQTTHMVGTMGYLAPEFVRTGKASALTDVFAFGVFLLEVTSGQRPIKQNPFGNQHTLVDWVIERWHNGSLMDTLDPRLQDHYDVDDASLVLKLGLLCSHPFTSARPTMRQVMQYLEGDAPLPELTAAHFSFTMQALTQNRGLESPNLQYTRLSTSFATFSDLSGGR >SECCE2Rv1G0127960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857063476:857065114:1 gene:SECCE2Rv1G0127960 transcript:SECCE2Rv1G0127960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRGGQQPFDLAASPAAPELDDDGRAARTGNLWTCVAHIITGVIGAGVLALSWSVAQLGWVAGPVAMVCFAAVTYVSAVLLSHCYRSPAVPGGSDLPSSGEDKTRRNYTYMGAVRALLGRKHTYVCGSLQYLYLYGIGVAYTITTATCLGAIKKANCYHDHGRGASRCTSDDREQHLFMLLFGVAQLLLSFIPNFHSMAWLSVVAAVMSFTYSTIGLGLGLSKTIGDGVIRGSVAGVPMDTPMQKVWRVSQAIGDIAFAYPYSIVLLEIQDTLRSSPPEGETLRKGNVIALLATTFFYLCVGCFGYAAFGDAAPGNLLTGFGFYEPYWLVDFANACIVLHILGGYQFFSQQIFTVWDRWLAARFPESAFVNRTYAVRLVPGLPRYGLNLQRVCFRTAYVASTTALAVVFPYFNEVLGLLGALIFWPLIIYLPVEMYCVQRRLRAWTPTWVALQAFSVACFAVGTFAFVGCVQGVVQKRLGS >SECCE5Rv1G0357780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:749194937:749198481:1 gene:SECCE5Rv1G0357780 transcript:SECCE5Rv1G0357780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDAGGGGDASPQHEGGGGAVVIERGAGAAGPARDAAAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFAVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLQDQSGRGKHKVHSHFDRALDAGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVTSTMEYSRPHSLEVPDSDIGYHFGTLLDSQEGADVIFSVAGEKFHAHKLVLAARSSFFRSEFLDPESDEENSEVDTSNEIKEIVIDDMEPKVFQAVLHFMYRDNLVGDDELSASSSDCPIFDTLAGKLLAAADKYELPRLRVLCESYLCKQISVKSVATTLALADRHHATELKSVCLKFAAENLSAVIRTDGFDYLKDNCPALQSEILRTVAGCEEECSSGGKSQSVWGQISDGGDTSGRRVRPRV >SECCE5Rv1G0329850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:522424376:522427867:-1 gene:SECCE5Rv1G0329850 transcript:SECCE5Rv1G0329850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSPLSLVLLRPLPCRSLLLSKHRRPFPTPRTGRVAASAAAGAQQWLLRPPETGRWSRSSRSGRDFACLSYNNPPPPSDKDSNEWPILRRWDVPWEWQTVVLSMVGCGVSFALTGLVEQSILQYVGFSAAGATIDEKAGILFLGQLSVTAVVLGVIFSITNTFRPFPDDVFRYDVKEPFKLQNGWLLWAGIGLFGAVISIALVGAAMTYLNGAPPEREKDSLVLLLPLIGSSTLSTAYLVGITGVLAPILEETVFRGFLMVSLTKWFPTPVCVILSAAVFAFAHLTPDQFPQLFVLGVALGFTYAQTRNLLAPITIHAFWNSGVIILLTFLQLQGYDIKELLGAS >SECCE2Rv1G0109360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:674787987:674788475:1 gene:SECCE2Rv1G0109360 transcript:SECCE2Rv1G0109360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPSKRVDAALRRAPAFAAACDAAFDRCLADAQHAFSGVRLYQLADASADLHSALRGSLPIVRRWVPSPPPRVRVDSALRASGLEGAGELSRVQFGEFAAELFREAVLAGAAEAALVRAPAGAAGILGVAIVSRAGAGAAGKLVALYTAGVAAAVYLSLG >SECCE7Rv1G0465000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:65942108:65944179:1 gene:SECCE7Rv1G0465000 transcript:SECCE7Rv1G0465000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPAAAASPGADYVPAPAPSEHAAYPRLSPEDLAPPPPPPYHAAASYSAPPVSGNPYVSGPAAGSVPPPKNTMDSVKDVLGKMGKRFGEAARKTENITGNFWQHLKTGPSIADAAMGRVSQITKVIAEGGYEKIFHQTFDVLPEEKLKKPFACYLSTSAGPVMGVLYLSNVKLAFCSDNPLAYKVGDKNEWSYYKVAIPLAQLRSVNPSTSRTNAAEKYIQVVSVDNHEFWFMGFVYYDSAVKHLQEALQEARILQA >SECCE1Rv1G0002430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9053659:9058215:-1 gene:SECCE1Rv1G0002430 transcript:SECCE1Rv1G0002430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANPPRDCRRSRLVTIRMWKTTSPHTLTQPSRVYRPVSFSPRASSSSFGTSNAKVLPFPPVLKTFRLVWKSLILQLQSQLSTKIDEASQAMKNVPQILLDAIVDSAFKFTDQALHSSERNFAPVDEIGSSIEIFELEGNIPKDFPEGVYIRNGSNPLFGAIQSTASIFGESNDIWVEGEGMLHALYFTKNHSASWSVSYANGYVQSETLKIERDRQKPCFLPAAQGDSAAVISAYILNYLRFGKVNKNISNTNVFEHAGRVYAVAESHQPEEICIHNLETGNTWDIHEEWDRPCGSHPKVAPGSGELVIFDDGITLKHKVDLKLDRPTLCHDIGVTIRYNVIMDLPLTIDIGRLTTGGQLIEFEKEGYARIGVMPRYGNAESVVWFDVEPCCMFHLINCFEEGDEVVVQGLCSADSVIPGRRTNKPVLLPRRSQLTGDDKTMKQGINEKLFSRLYEWRLNQEKKTVSGQYLTGMECSLEFPVINNQYTGVRHSYAYAQIVDSITRHGEVCAKVLPKYGGFAKLCLDERGTIIETSGKDLIKMEIHRLDEDQFCSGASFVPRVHGSHEDDGWIISFTHDEVTNTSQVPIIDTQRFEGAPVAKITLPHRVPYGFHGTFVHRNITEHEE >SECCE5Rv1G0311510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:193467767:193470734:-1 gene:SECCE5Rv1G0311510 transcript:SECCE5Rv1G0311510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHQFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTDNGNTKDDLKLPTDETLLGQIKDGFAEGKDLVVSVMSAMGEEQINALKDIGPK >SECCE5Rv1G0333820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:562096882:562101281:1 gene:SECCE5Rv1G0333820 transcript:SECCE5Rv1G0333820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPYTTFLKNYHQRRYYFSPSPVPEPVASTTAPPPLSAYYSSPFFSPPPPPAPPSSPPLREALPLLSLAPASRRPRERRSGPGRDDSDGDEEDEEDQREGDQAPGPAGGSSLQLHHKQQHAGRRLFADLNSKAADDPMDPESEAGSAAGDVTVALHIGLPSAGTAADLISGISAAARAAGDEEEEEDDRLRRELSVGAAEGDGDDEGENEAAVAPVLGFASTPMGRLNKGQYWIPTPTQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCRNNVDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRRCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGQGHGALGGAGLDDDDDCAISDVEHDGAAAAARSL >SECCE4Rv1G0217290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:15087120:15090039:-1 gene:SECCE4Rv1G0217290 transcript:SECCE4Rv1G0217290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEHFPPRASAGSRGPAPPHHHHHHHQHVAPPDYQQQHVAPPDCQQQQQHTAPQGDPDPLASAWIRRLHLAPNPPPPRASLAPPPSHDHDAVSARGAGFGPFRWSPRPPAVPGPVPCGGGDAPPMMSPFFRPPAAPGPVPCGGGGGPPMMSPFFRPPPQPLPAVADVREFPPVRPMVWSGSSGGGFPGSPSPTVLGVNPHASWLPPAAAGSAYPNHDVDMIPVRTSHDLHVRQHSMIPQNFARRAPSSSSEHDEPFSYWNMGRFQRSTTTSSISQVAAEPGNFAKKRNTDSNSMLPLKFRKMSEAG >SECCE3Rv1G0145430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:7121146:7123887:-1 gene:SECCE3Rv1G0145430 transcript:SECCE3Rv1G0145430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHAAALLLILAAASHVLLPSAQCHRLNPEIHDAGGLSRQGFPEGFVFGTAASAYQVEGMAEQGGRGPSIWDAFIKIPGTIAGNGTADVAVDEYHRYKEDVDIMKNMGFDAYRFSISWSRIFPDGTGKVNWEGVDYYNGLIDYMLQQGITPYANLYHYDLPLALHQRYLGWLSPNIVGAFADYADFCFKVFGDRVKNWFTFNEPRCVAALGYDIGLHAPGRCSQCSAGGDSRTEPYVVAHHFILSHAAAVRRYRDKYQHHQKGRIGILLDFVWYEPLSNNNADQAAAQRARDFHLGWFLDPIIHGHYPFTMLGIVKDRLPRFSEDESTMVKGSVDYVGINQYTSFYMKDNGTWNLTPVSYQDDWHVEFVFKRNGVPIGAHANSYWIYIVPWGINKAVTYVKERYGNPTMILAENGVEQPGNVSIVDGVRDTVRIQYYRDYITELKKAIDDGARVIGYFAWSLLDNFEWRLGYTAHFGIVYVDYKTLKRYPKDSALWFKKMLSEKKRI >SECCEUnv1G0535490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:58903423:58912573:-1 gene:SECCEUnv1G0535490 transcript:SECCEUnv1G0535490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGEAGGAMEDGAQEVERWEGYVDWRSRPAVKGRHGGMVAASFVLVAEVLENLAFLANASNLVTYLMKSMHYSPAQSATTVTNFMGTAFLLGLFGGFLSDAVCTTYAVYLISAFVEFMGLVVLTIQARSPSLMPPECAKAAGAAPCEPVAGGKKAMLFVGLYLTALGIGGIKGSLPSHGAEQFDEHTPRGRKGRSTFFNYYVFCLSCGALIAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFAAGSKFYRSKVPTGSPLVTIGKVLLAAASARRGGTQSASNGAVIDRAPSPTGSTDMKEYCKPGNTCAADEVTEPSQELSGLNRAVQCQPRHRTLACTVQEVEDVKIVLMVLPIFLSTIMLNCCLAQLSTFSVEQAATMNTHVGGLKVPPASLPVFPVTFIILLAPIYDHIIVPFARRVTGTEMGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVAADAGMMDSAAPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPARMRSLATSLSWASLALGYYLSSVLVTVVNSATGRGGHRAWLEGASLNHYHLERFYWLMCVLSALNYIFFLVLAIRYKYRNAGVIKG >SECCE5Rv1G0333200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:555051239:555051493:1 gene:SECCE5Rv1G0333200 transcript:SECCE5Rv1G0333200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPVEFLGAREGGVGGEALYCAIILWLSVMSWIIFTCVGGDDGGRRRKGRRDTKVFVGAERLCDGTGPRCSGGYGLCGSCVD >SECCE4Rv1G0246340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:543463231:543463593:-1 gene:SECCE4Rv1G0246340 transcript:SECCE4Rv1G0246340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLLQAVAALVSTCTRRLQRAARRMGAGGSGKSAVAPWRKAFSLPTGKGKASAGRGGAEEEGGLWRKEILMGERCQPLEFSGVIYYDADGHRLAQPPRSPMRSPLPASFKLAANAGVH >SECCE5Rv1G0350280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:689507246:689508943:1 gene:SECCE5Rv1G0350280 transcript:SECCE5Rv1G0350280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPKRDANHVPLSPVTFLPRAAAVYADRTSLVCGGTAFTWRQTHDRCVRLSAALQALGVARNDVVSVLAPNTPALYEMHFAVPMAGAVINAINTRLDAAGVAAIVRHAAPKLLFVDYLYIRVATDALKSIASSSLPLLVVIDDIDAPTGARVGELEYEGLVARGDPARHPPLEVVDEWDAVALNYTSGTTSAPKGVVYSHRGAYLSTVGLLLQWGVGHEPVYLWSLPMFHCNGWTFTWGVAARGGANVCVRAPTADAMFSAIADHGVTHMCVAPVIFNVLLDAHRDPLPRAVEVLTGGAPPPAALLERVERLGFHVTHAYGMTEATGPAMVCEWRERWDALPAPERAALKARQGVSAISLAGADVKDLKTMASVPRDGTTLGEIVLRGSSVMKGYYKNPEATDAAFRGGWFLTGDVGVVHPDGYVEIKDRSKDVIISGGENISSVEVEAALYGHPAVQEAAVVAMPHPHWGETPCAFVALKKEFDSGAGEVSEEELVAFCRSRMAHFMVPRKVVFVDELPKNATGKVQKLALRERARGLRPRDSDKKKPDTTRPATLTALSRL >SECCE7Rv1G0454430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2548697:2555746:-1 gene:SECCE7Rv1G0454430 transcript:SECCE7Rv1G0454430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDTRLDSAAFQLTPTRTRCDLVVVANGRKEKIASGLLNPFVAHLKAAQEQIAKGGYTILLEPDPGADAPWFTRGTLERFVRFVSTPEVLERVTTIESEILQLEDAIAVQSNENLGLKSGEGHNGKPVDSSMEGGKTGYNTDGDKALVLYKPDAHPASPLQNGDGVHEEHSKVQLLRVLETRKTVLRKEQAMAFARALAAGFDIDNLIYLISFAERFGASRLMKACTQFIDLWRQKHETGQWIDVEPETMSTRSEFPPFNASGIMFMGDKETMSVSNGDTNGEDAAKADHRAPHHPGAPHEYHHGPYQSGYPPWAMHPPYPMQGMPPYYPGANPYYPPPYPPTDDPRYNHSERRPSRKHSADSKDFDNSGDESDDQSGSDRESSHGRKSSKKGKRSGKKNVIVIRNVNVTSKKKHRSSESESHSGSDMSSEDSDVSHRKSSKRNHKRSSSKKKGGKKTIESEDEYTKDGMSNGQQDGDQGNWNAFQSFLLRDEEKTRDNNDADMFASEREAPPPPRRREATRNMDDPILLSERGSADVDERNGIPFNTANGRIRTRQMMSGDELMMSGEGRSFVDGDMKEIEAGGGGYRRGANDDFMVYGHDNSMDRGSSLDPLAEGHYRRPTLEEKKNAHGVDESFMIPVSSNSHDNLGADGRTAIDIDAELGTGVQKTSDAKAGGELFYEPDELMPERGFEDVSFGYDPSMDYDSHMQIHPDADVEDANAEDLSACVEDEGKMPAKDKKLRGSQEGLDKRRKDASARRLSSSKGPLTDAQKRAQNLRAYKAGLQKEKKELEAEQIKRLERLKQERQKRIAARSGTSNPTSTPPQAKAKPSPKVSPSTHKSSKFTDAEPGSSSPLRKIIPARSSTPGSDPHKTAKASKLGGDSSSAVSKSTSSMAEIKKEKSGKTESSIERLKKLAQPKSNASTDHPSNPKSASADHQRRRSLPEDVQTKKISAIMQLDETKSAALPELKVKSPRTPAAVVVKNKAAAKVAKEAPRGGPKAHATSESRDGKKSSNGKVSRVSSSDDNVVVEKTVVMLENEVVSTPPVVLPPGRSAESETRSDDRMENPSLEQEYVAIRAPPSPVDLPEDANPTIHASDNQLNSYEVDVPEYQKDELEKPARVAPMEEKPYEAPFARVTSLEDASSKTPAYNHRPVPAQEPETLARAASVRARVPEPAAYAVSAEETHGESDKPRSKEPKGFRKLLKFGKKSHASTMDSDASSVDEAPAGDGSMLKNLISQDDSAGSSYKASRSFSLLAPFRKNKVVVL >SECCE2Rv1G0074350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75781674:75789536:-1 gene:SECCE2Rv1G0074350 transcript:SECCE2Rv1G0074350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRRQEDAGGAAGMADHAELEEGEAAYGDDIPPFDDPDVTLAYIDEKLQNVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPLLPHTRTPPKVANTSSRSPYHQSTEDVSQNPPAMAVPSVSQNNCSVAPFSGDSVKKERCESTASKRGSSTHDPSNGPSKSSDQNRFKVRIKVGSDNVLARNNAAIYSGLGLDISSPSSVEESPDGHGGLSPEFSNVQLESPRTILQIMTCFSVPGGYLLSPLHGSLLQLTKKVVPSLKKWETSLEIENAQEAYEGHVVKKMKPDGKKKKPTDMKRSNNRNDVSAFMKNEIDVETLAGQKIASEALSIPSSPRGMDANGNCQFEEEATGKSLERNKDDRLKEWAVNSNSKTIKAETFKEETTECLESGGFGSSELELSAAKRELKPVTEKTALTLEEMNTTSDTDFLLDRKHERKIKPESKSNASVVNFEENTVIDEKAPAICRSMEKITSKEASLYDTNVKNNTKSEAKKIHREQKKNAPTSSDLLDVDKGARSSSGVKERKNDSHSKSSHSGKKPKAKPHRDVRDSLPDGSHGSKEQDILENGVGLGELQPKEKYWTKRDSDMPGASKREISSSAKHDRHTASEEPKMHVPPSSVSTANAAPALQAPVVIEEHWVQCDICQKWRLLPYESDPDTLPKEWKCSMQLWLPGMNRCDVGEEETTNALNALYVIPPPANGIPSVGHPHAASSGLATANAFSINGHAEQSRKRKSFPGDGSALVDSSHPTQASAYSTITQPPPRVRSTADTNHYLSERDSVSKSVDVSTEKKKSKSKNRGSYSDGGDLVERSKKHSKGKGKREMDYGEHKASKKIKKEERHRSSRDRNPECDLASGDVPDEAKTFLAKAKSLGEKGDISSLKQKSVARSDRLEKSKRDKDEDIALSEDRNKEQYQTSDVQRSDVSSKKRIVKEWEESQHSSIAQVSRGTTVNHSSAAKETHKDQNLKETKSKPIKSEELYSTTDSKLVKGLHSNQISSYYGGHVNNELVEDSTHFAGKRGPSEVLDKRPSEHALDLAGAASSDVPTTAIASSSSKASGSQKKKNNSQVAKTSPIESVSSSPPRNSNIDKFSQNRIVEKDGPMNANPGTMPSSVKYLNTEVDIVDNVRQAKKSKESLLASEPVLHGSSQGNSDKDDESVQLTQGHASERFSLRKGLDDDQQHASGRKDSAVNGSSTARGYNHLHSGDKNNLRTDGSLVQPRAAASGSKGDLIASESKKTAAPIQDRNGLTHCALDGNPKAEVPSGKDKFYPKSNKQDMERPKAQIAPSPLKETHPTPVKSNVSKLTPQSRRSSDENGGQQRVAKQGTSNPTDGKDGNSTAYALKEARDLKHKANRLKKEGKELESTRLYFEAALKFLHVASLLEPPGIDGLKQGDAAQSMYSDTAKLCNFVGHEYERCKKMAAAALAYKCVEVAYLKAAYYKYPIASKDRQVLQAVVQTTPGESPSSSASDIDNLNNNGLSKMAPSNKDANSPQVAGNHLLLAVRNQPHLTRLLAYTNDVNSAFEATRKSQMAIASAAGNHEKGVDGLSSVKTVLDFNFRSVNDLLRLVRISMESISC >SECCE1Rv1G0005510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:26075598:26076446:-1 gene:SECCE1Rv1G0005510 transcript:SECCE1Rv1G0005510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIKKKTRTYLTWTNEMDEALLAILVEHHNIDDRAQNGWKPHVYTACIKHVKDTCDVDITKDNIVGRIKTFDKHYEIISKMLAQSGFGWDWETNKVTVDSAEVWTRYVEANKDARAYRTKVVHNWSAIETIYSKDHANGGGARTGAECAQEQNTPVVEASPEVPQKRQRTGDAILCMMGQMRASFDEALKATEPLPMPKATPATEILQALQKVEGLEDSDMLRAYGKLTANERMFESFMALPQNLRKPWLLTLP >SECCE6Rv1G0434670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:766996824:766998012:1 gene:SECCE6Rv1G0434670 transcript:SECCE6Rv1G0434670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGGAGADGILAVVPMEAIPMAEPQIVAGGKTVGLRAALLDCHNCRLPLKPPIFKCDAEHLVCSSCRGAHAEACGGRPAVHSALADIFAAAATVPCGYERYGCDAGGVVYHEAADHRRACQHAPCCCPDRAGAAGIGGCGFVGSRQDLLDHISGPDHSRPIIVVRYGQPWNLSLPLSRRWHVLVGEEDKAVAAAAGADRHRNLFLVSLGERGATTAVSLVCVRADGTAPTAPQFACKLAVESDGCRLTLESPLVCSSSLAGGLPAEVKCLPVPKDFLSGDSVPLSIHIEKLPAPPAPPLGLGVPPACTSPVAATPPPCPAATIPPSRPSSGSSDNVAVKTVITDQSYKKRKSANPRKL >SECCE5Rv1G0302180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:36198113:36204197:1 gene:SECCE5Rv1G0302180 transcript:SECCE5Rv1G0302180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCTSKLQNLDITSDVPRKMGDANKVETDPDAIFEGDYLDDDEELDPELTLGVLRKPKGPLDFHYLLPQHFPSKAGGAPAWLDPVDLPSGKSSCCDFCGDPLRFVLQLYVPDGWKDTTYHRAFFVFMCPSMSCLKLDQREQGKGRAANPRRSVKVFRCQLPRINAFYTAQEPKGCMGSPCSGAYHARLCDWCGTWKGEILCSHCSEATYCSSKHQELHWHASHENGCCQIQGLFPGLTWPEYLVDNESESSCPTSSVEDNSELMVAEGEIEPDAMMQLFMDQFEDDEDNTCWASFIDRLSGQSHVLRYCAKEDAEPLWAVSTGSLTFDDIPLCIYCNGQLRYEFQLLAPLLHYFRVENEQDPVDWATIVVYTCRESCDESVSYKEEFVCVQFSPPARRTYRSTP >SECCE2Rv1G0105860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:626143417:626148799:1 gene:SECCE2Rv1G0105860 transcript:SECCE2Rv1G0105860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39830) UniProtKB/Swiss-Prot;Acc:Q9LU10] MHCFACATPAAAAAARAPGRRFGRRRVVVDCVASADRSVEGTPPRLRETCELSEISSKTLLFASKRKILAFSAFCLCLHSSRYLSALALGDPTVKIEDVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNVTGVVEIPEGNGSGVVWDESGHIVTNYHVVGNALSKNPKLGEVVARVNILAAEGIQKNFEGILIGADRAKDLAVLKVDAPSDILKPIIVGQSSALKVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIQSSTILKIVPQLIQSGKVRRAGLNVEFAPDPIAYQLNVRDGALILKVPPGSAVAKAGLVPTGRGFAGNIILGDVIVAVDGKPIKGKSDLLRVLDDYGVGDTVTLTIRRGAETIPVALSLEDASV >SECCE1Rv1G0028780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:407287025:407288230:-1 gene:SECCE1Rv1G0028780 transcript:SECCE1Rv1G0028780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSSLHLSKHLLLPKPHRARPSSSRSPSFVRAARVVDGAPRVNGHSKKAPNGKVQINGAGADGKKGPGVVNGKTHVNGHDRIHLSVTTGGGGQDGTGLRVAYQGAPGAYSEFAAKTALPGCETVPCRAFADALAAVDRGLVDRAILPVESTMEGTALRNYDLLLRHDLVVAQEINLFVHYCLLAMPGVRATEVRRVISHPMALAHCGRALARLGVDREPVEDTAGAVEMLRSNMMLDTAAIASPRAADLYGLDVLAHGLQDESWNVTRFLLLSKPPSPVAVPVDADAKTSMVVAHRGGSMAVVLKVLSAFSSRNINMSKLEVINNEGGVGEPRPPVMILDTGARGAPTLRAFPHVLYVDCEGAADDPLVREAIKEIEKFAVFVRVLGCYAADTNVYDLQ >SECCE7Rv1G0465510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:70641517:70642330:-1 gene:SECCE7Rv1G0465510 transcript:SECCE7Rv1G0465510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT2G33820) TAIR;Acc:AT2G33820] MIQVTFSDVISRNLQCRMQVQGKDVMYATRYSSPLDCAVKTLQREGVRGIFRGGLATLYREAIGNAVFFCTYEYSRYWMHNYIDSRQFSSNNGLVVAKDIGIGIMSGGLSGMAFWTATLPLDVAKTIIQTDHNPLSSRNPFRVLNMVYRRAGLAGCYAGLGPTLARAFPANAAAIVAWEYSAKILGIRRD >SECCE3Rv1G0154370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59858194:59858582:1 gene:SECCE3Rv1G0154370 transcript:SECCE3Rv1G0154370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLKKNTSFLCFVATLMVVMATTLLLSSCDAHIEADETAAFPLPASCYSIFFPNCTDDKCKEFCGGAGKPPAPKAFCNDNSNCCCPVIQL >SECCE1Rv1G0042180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:578196055:578198556:-1 gene:SECCE1Rv1G0042180 transcript:SECCE1Rv1G0042180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAADPAADQNPKDAGDRVPEPWADANGGIAEEEYEYEDEEELDEPAAAALQREKVQSVFRRLSSDPVGIRVHDVIIRGNAKTREELIEAEVADLLRSATTVQDLLSAAADASARLRGLDVFEAVNITLDAGPPELPGTTNVVIEVVEPAIPISGNAGAFSKPEAKAWSLEGSVKWKNLAGYADIWDASVAYGFDQTTEVGVGVSLPRFKSIPTPLMARASLLSQDWMKFSSYKERLLGLSLGLLSTKHHDLSYNLTWRSLTDPSRLASTSIRRQLGHNLLSALKYTYKIDERDSHIRPTKGYAFLSSSQVGGLWDNKGLKFFRQEFDVRAAVPFGFWNAALNVGVGAGVVLPLARGFMNSSTPVTDRFNLGGHSSPVCGLGGISSLLGFRTRGVGPTEPRRLVPGESEDGSAAVPGRDYLGGDLAVSAFADLSFDLPLKVFRDAGIHGHAFLTAGNLAKLSEGQYKNFSLDEFRRSFRSSAGVGIILPTKLFRVEVNYCYILKQSQYDSGKTGIQFNFSSP >SECCE4Rv1G0253490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:627059904:627062036:1 gene:SECCE4Rv1G0253490 transcript:SECCE4Rv1G0253490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAKIPMMLWLLGAVLALGVSVSPAQGAKTRHHDFFIKKSNYTRLCKEKTVLTVNGQFPGPTIYARKGDLVIVNVYNQGDKNITIHWHGIDQPRNPWSDGPEYITQCPIRPGGNFTYRVILSEEEGTLWWHAHSDFDRTTVHGAIVIHPKLGTTFPFKKPHKEIPVILGEWWNADVNHLLQEAVRTGGEINISDANTINGQPGDLFPCSKAGTYKIPVQHGKTYMLRIINAGLSNDLFFGVAGHNLTVVGTDGHYTKPFAVEHIMIAPGQTMDALLEANRAGGGRYYMAARTFVSNPNIDVNNSTATAIVEYMDDAPGRTAPPEFPASLPGVNDIDSATAYTAQLRSLGSKDHPVDVPRKVDERMLITIAVNVLPCAPNETCGGPAGNRLAASLNNVSFANPSVDILGAYYRSVRGVFETDFPNKPPFFFNFTDVDNDPVERWATKRGTKVKVVEYGAVVEVVFQDTSILGAENHPIHLHGFTFYVVGRGFGNFDEQKDPATYNLVDPPHQNTVSVPKAGWAAIRFRAANPGVWFMHCHFDRHVVWGMDTVFIVKDGKAPEAKMMPPPPNMPTC >SECCE4Rv1G0253630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:628404416:628406063:-1 gene:SECCE4Rv1G0253630 transcript:SECCE4Rv1G0253630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSPPLVALGVLLLFLLSVSSAIAHGGGADQQRRRQRYMVVQTSHLLEPKSICSGLKVTPSANGTWVPLHRPYGPCSPSEGTPPPLVELLRWDQARTDYVRRKATGEVDDVLDPARPHVDMMQVDFMLRGSFGIGSGSGGQAAIDEDDEDDPMVLSQTMAIDTTEDVPWIQCLPCPIPQCYPQRNAFFDPRRSSTGAPVRCGSRACRTLGGYANGCSKANSTGDCLYRIEYSDHRLTLGTYMTDTLTISPSTTFLNFRFGCSHAVRGKFSAQASGTMSLGGGPQSLLSQTARAYGNAFSYCVPGPSAAGFLSIGGPVDGGGGGSGTFATTPLVRSANVINPTIYVVRLQGIEVAGRRLNVPPVVFSGGTVMDSSAVITRLPPTAYRALRLAFRNAMRAYKTRAPTGNLDTCFDFVGVTNVTVPTVSLVFDGGAVIELDLLAVLLDGCLAFAPVAADFALGFIGNVQQQTHEVLYDVAGGAVGFRRGAC >SECCE4Rv1G0245760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:535934480:535935709:1 gene:SECCE4Rv1G0245760 transcript:SECCE4Rv1G0245760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSMAMLLLLSLALATAPRLAVVEAVVVEGLRVGFYNRTCPQAEQVVRDVVQNDVGLDHTIAPGLIRIFFHDCFITGCDASILLDESPSGDVPEKESSANGFTLHGLQTIDVAKSTIEAMCPRTVSCSDILSFAARDAAVAAGLPSYEVAGGRRDGTHSRMDDLPGNFPVPGHTVPRLTELFASRGLSQEDLVTLSGAHSIGGAHCFMFSNRIYGFSKTADIDPSLDPAFAQRLRKMCPRPKPDDNPQQAPKVSFDERTAEKLDNTYYQELLARRSLLTSDNTLALDPQTRPLVEQYAKDDALFQKRFGEAMQKVSALDVILKRTQGQIRRDCRVVNKARGIRWAPMLKWPKPPRHPMLQMMNWFIRGFQQD >SECCE2Rv1G0079510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:120530173:120533767:-1 gene:SECCE2Rv1G0079510 transcript:SECCE2Rv1G0079510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASCRRRLASILLSRKPRFTDSTNDTTATVVSRDGFTMKVSFWMADPPQLSIFSIHCCRPPHLQAGPYSEFSDSPHVVGFGAEGRFVLFRAAFDGHYASEYFLYKAGESPLLDRIPSPDEYYDDDRDDLRGVREFGVLQLGSHYLVAALCLAPSSDDYHLQIYSSENNSWTTRTLPNPCPRVDRIIPDKVISLGEGLLGWVDLAHGLLMCDLRQDHVRFAFIPLPEPLPGNRYKLKCHIPPPYAKRRKKLEGESHPNLWWFRDLACVDGVLKFIEMENLAPESPSDKDDVIYDSDLIMSLERKAVDWHCKQLSFGGAWRAVTWTRTVSSNSWRQTCAANVADILVVDGSAHSSLLPGLKGEKLTFRDLYSAFPILSPDGDDILYLKCVLEPSIQDGWVAAVDLGNKAVKAIGKYYLPDDFYYELRYDPQHPFFACTLSRHLDMTPGTEVSACRKIPEEASSSANQPSNSSICVGELNSCEPMSKIQRPLEWTQKNKRARNAVGSIMQNDHISQQLDEKVLELEREIEHELERKKVQKTQQQCFKKRDAPCYPPGQSLWPQNNLPARQYFNKPDGPCGPGYASVASVHGRHKTHNYQPLWQKPPPLKRLITRISEFGPHEVPQPSFKNSSGASYHWYPQQLTAPNSFAYGAHTGYGNYRHQWEQLPIARELPIASWEHSPSPSQ >SECCE5Rv1G0332530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:549588718:549591480:-1 gene:SECCE5Rv1G0332530 transcript:SECCE5Rv1G0332530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGKAGKARRAARVSLWVARASTTVLLWTCVVVLLAAAFGEHLAPSVLGLGGVWSGCLAQTLVIVQRPLLLPADRERVAATATAAVALPLPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTVARYLNVTLIVPELDKTSFWADPSEFRDIFDVDYFIASLRDEVRILKELPPRLKKRVEQGYLRSMPPVSWSDISYYNNQILPMIKKYKVLHLNKTDARLANNGLPMEIQKLRCRVNFDALRFTPEIEELGRRVVQILRQNGPFVVLHLRYEMDMLAFSGCTHGCSKEEAEELTRMRYAYPWWKEKVIDSNAKRKDGLCPLTPEEIAMVLKALDIDRNYQIYIAAGEIYGGQRRMAALTSAYPNVVRKETLLPSGLRFFQNHSSQMAALDYMVSLESDVFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRKLIVELVDEYKNGTLSWTDFSSSVKASHTSRMGAPSRRQVIPEKPKEEDYFYANPHECLHQPDELSAL >SECCE7Rv1G0489940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:467501967:467502260:-1 gene:SECCE7Rv1G0489940 transcript:SECCE7Rv1G0489940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSLSSPHRRSQNTFFLSSPKKPQSSRDDVGSWSALVERHRFLLTTLVVLAFLCTIYLYFAVTLGAPKACSGLTGDEMTSCQEKSALQHGKLKYR >SECCE7Rv1G0462460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45992697:45994842:-1 gene:SECCE7Rv1G0462460 transcript:SECCE7Rv1G0462460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKVVETRDGTIAVASAFPGHQEAVQDRDHKFLSKAVEEAYKGVDCGHGGPFGAVVVRNDEVIVGCHNMVLNNTDPTAHAEVTAIREACKKLGKIELSDCEMYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANMEIKRADGNGALLAEQVFENTKEKFRMY >SECCE5Rv1G0333310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:556099531:556100694:-1 gene:SECCE5Rv1G0333310 transcript:SECCE5Rv1G0333310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAGSSLHSKTLLKSEQLYQYILESTVFPREPDCLRELRVATTAHPMARMAASPDQVQLFGLLIEMLGARNAIEVGVFTGYSMLATALALPDDGKVVAIDVTRESYDEIGSPVVEKAGMAHKIDFRVGLALPVLDQLVAEDGNLGKFDFAFVDADKANFHHYHERLLRLVRVGGLIAYDNTLWGGSVAVPDDAALSERDRELAGIARGFNAALTADRRVQVCQLAISDGIMLCRRVA >SECCE6Rv1G0441230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:809943326:809944623:1 gene:SECCE6Rv1G0441230 transcript:SECCE6Rv1G0441230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVRSSKTIKPDYGSGRLMAPATANVVPLTVFDKANFDMHVSVIYAFHPPAPSHDVLEAGLAKALVDYREWAGRLTLDANGNRCAILLNDAGARFVEATAGVALDSVMPLQPTTEVLSLHPAGGDDELLLIQATRGASIDPVPVHDRRSFFVPRNPSRRIEYEHRGIEFKPFDDRNSAAAGGHDDDEVVVERVHFSVDHIAKLKSQASAGAGTRRPYSTVTCVVAHLWRCMTRARGLDGREVTGLCIAVDGRARMSQRVPDGYTGNVLISSAVARIDDAYFKSFIDFACSGAVEEEGLLPTADPAVTVLSPNVEVDSWLRIPFYDLDLGSGRPFFFMPSYLPVEGGVILVPSFHGDGSVDAYVPLFSRHMNAFKNCCTSGLPKL >SECCE7Rv1G0518660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:860532781:860533481:-1 gene:SECCE7Rv1G0518660 transcript:SECCE7Rv1G0518660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVHADYPPLMRKNVGSRLPSFTAEELKRVLGSFDFVGFNHYGVAYVEADLGKLDLKLRDYMGDAAVKYDSMPLLNSKNQLLFGLRSGFIMSSSPWALRELLEHLQVKYKNPVVMIHENGKFH >SECCE6Rv1G0450890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:870267278:870267610:1 gene:SECCE6Rv1G0450890 transcript:SECCE6Rv1G0450890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVASTMVGPLVKILMEKGSSYLLNQHKVMKGMKERLESLKGTLLAILDVITDIEEAASHRAGAKAWLEKAKKVAYQANKVFDVSSGMKCFVVKQKRRATTRSLDSMW >SECCE4Rv1G0227360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:122344099:122344371:-1 gene:SECCE4Rv1G0227360 transcript:SECCE4Rv1G0227360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGMNCFGAPEATRKVSLASTRLGGEGAEAMEQKAVAKEKAPSGHVVGEAKQMGGGGQVKAAGKDEKKKKSGAPIVMHHFPFHSRPGLL >SECCE5Rv1G0326080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:473277212:473281742:-1 gene:SECCE5Rv1G0326080 transcript:SECCE5Rv1G0326080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAAGPGAGAGAGFHDLFDSVRRSINFRTSAAAPSEPPAGPLGGGPAGGIGVRISSCFRKSRGMGLLGLISKSPSPPRRLLPPTPVPADGGGRAAEIPPIRWRKGEMIGSGAFGQVYLGMNLDTGELLAVKQVLIGSTNATREKAQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEGTLNILLEFVPGGSIQSLLGKLGSFPEAVIRKYTRQILQGLEYLHSNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATMTAAKTMKGTPHWMAPEVIVGSGHTFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHISPEAKDFLLKCLQKEPELRSSASDLLKHPFVTGEFDDRQLLNRTTQKDASVNELFAHDADAPTEMGLNHSGNWSTINSNRSSKIKPLWEGGGDDDDMCEFADKDDHPAVGSSYNPMSEPFDDWKSKYDLSPEQSSHQSREFGGLAKHPESSMTENDFTFPCEGSCEDDDVLTESKIEAFLDEKALDLKKLQTPLYEEFYNKVNAGSSHGVDQTSNGKFINSPKLPPRGKSPPGKMRGGPVVATPCDTILNSSTMAESCSRQFSRDGGVDSNRILREIASPQLNELGDKVHIDVQDSPSISFAERQRKWKEELDQELERERVMRLAGCGKTPSPSRRPSTGKRERHQ >SECCE5Rv1G0307760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:119610381:119612198:1 gene:SECCE5Rv1G0307760 transcript:SECCE5Rv1G0307760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g29230 [Source:Projected from Arabidopsis thaliana (AT3G29230) UniProtKB/Swiss-Prot;Acc:Q9LS72] MSTAASALRPAPRWVAPSQRRLVEQHLASLPHGLQRLHHVQELHAQLLKHGIHLDPLTASKLISSYALQRRLPASRRIFASFPNPHTTTFLPNTLLRAYALNALPHAAVSVFSAMPQRDSFTYSFLIKALSSSGLTPLRAVHSHVVKLGSIEDTYVGNALIDAYSKNGGFLDASKVFEEMPRRDTVSWNSAMAAMVRQGELASARRMFDDMPEKDMVSWNTVLDGYTKAGKMEDAFELFQCMPERNVVSWSTVVSGYCKKGDMEMARVIFDKMPTKNLVTWTIMVSACAQNGLVEEAGRLFTQMKEAAVELDVAAVVSILAACAESGSLALGKRIHRYVRTRQLGRSTHVCNAMIDMFCKCGCLNRADYVFDTEIAEKDSVSWNTIIGGFAMHGHGDKALDFFAQMKLQGFRPDAVTMINVLSACTHMGFVEEGRRYFSNMERDYGIVPQIEHYGCMIDLLGRGGLIEEAVGLIKSMPWDPNEVIWGSLLSACRLHKNVEYAEIAVNELSKLQPSNAGNYAVLSNIYAEAGQWSDMAKARMQMKGTGSQKSAGSSWIELDEAFHEFTVGDRKHSDSDQISEMVDRLSSHVKDVGCLPTAHELLVQ >SECCE3Rv1G0157430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:81716599:81716811:1 gene:SECCE3Rv1G0157430 transcript:SECCE3Rv1G0157430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAGVFIPLVLFILLSPGLLFQIPGKCRIIEFGNFHTSAVSIIVHSIIFFSFAAIFLIAIGVHIDLGP >SECCE5Rv1G0311340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:191185073:191188334:-1 gene:SECCE5Rv1G0311340 transcript:SECCE5Rv1G0311340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLVAAAETALEDLPEDALLAILAFLAPADAAAAACACRALFAAASSPALPLALALRLGLPPPRPSPEACPASVRRLFRSLHRLRRLLGLWRRLPSSHSGSPSLVAFEWAPRATLAASLLAPSKRGLAVSKSPFVTLSVAESGDTVAALGEVPVCVNFVGDNHIVVEAASGEDEEEGMEGGSPPEEMYMHFANRRSPGAGRRRRERQGRRKGGRMEPEHFVRIPDAEPTKARPLQGLWKGVCENKTLEFYIVSYDDIGGVTCRRVSENRGQNSGYSPVFWTTDATFLEPPFSEHELDRYSCLEHIGVVTCGHTETWNKAVSRILCINSSYDLVHPHLLAPLDDTRNVDGRIWLYEDGTFGFGFTGSNSIIDLKHVSMDGCIIDTSY >SECCE2Rv1G0141530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935836038:935838987:1 gene:SECCE2Rv1G0141530 transcript:SECCE2Rv1G0141530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRAMTVRRPSFSSFLFLCSHVLLAAFHTTTDAAKSTHPVLQVNCSTSGNYTSASTYAANLNQFLAALPEKAISKNGGFFNGTVGEGPDTVYGLAMCSADYSRSDCGDCLAATASSDANGLPNLCPGSTTVLAWFEPCLVRYSDTNFFGTAEIGSIYTSDGPSAGPAGLQYSKDVQRNLKEATGGAVVSPQRFAASSTDPYTLVQCTWDLSPDRCKQCLDVLSANASGKWSSMTVYGQRRSYSCAVRYSNTSFMVVPLGGAAGAPPPQPVDQETTSATQSSGATGKGSLTIGAVGSVLGVILFACLAGLIWYVRYGRNQPNTIGRAHKFPYQLLAAAARDFVVDRKLGEGAFGAVYKGTLMLQGKEVGVAIKKNTHTTSDQEKAAFHKEVEIMSPLSHRNIIRLVGWCDERNNLLLVYELVEDRNLQARLYGHGACVDAELSGARAPGSALDLDWLKRYNILHGIASGLEYLHNNCAKAVMHRDIKPGNVMLDRDSNAKLCDFGLVTQLTHAITSCSTNNVIGTQGYMDPAYQSTGQVTKGSDVYSFGVLLLEVVCGVAPNLIGNPPKNSLIEKVRECWERNAILDAADQRLRGNFDEEIKGVLLIGLRCVETSRGDRPSIRIVLADLVSIAAKSTSHNRRTSAVVGAEV >SECCE1Rv1G0043860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:596721654:596723561:-1 gene:SECCE1Rv1G0043860 transcript:SECCE1Rv1G0043860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >SECCE3Rv1G0169520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:237741832:237751394:-1 gene:SECCE3Rv1G0169520 transcript:SECCE3Rv1G0169520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVITAPLHPPLAVFAAAPCHGVRFRRAPLIRMAASSSSSSPSFSSSSSSSYGGGGTGAGGGGGGEIHYVSPPPPPATPSGAPVYVTLPADAVGAGGRVARRRAMAASLAALASAGVTGVAVELWWGVVERGGPREYDWAGYLDLAAMARRYGLRVRAILAFHQCGAGPQDSFWVPLPQWVLEEMEKMPDLSYTDRYKQRNKEYISLGCDILPLLKGRSPMQAYADFMRSFRDNFKEYLGAIVTEVQVGMGPGGELRYPSFPTEKLNQPGSSSELGEFQCYDKFMQASLSAHARILGIQEWGVGGPAGIDSTRQNPEETNFFRADGGCWNTPYGRFFLEWYSGMLLLHGERLCAVADAVFSGTGVTIAGKVSGIHWHYYTCSHPSELTAGYYNTLLRDGYLPIAQMFSRHKAALCCGCFDLRDAERSSPQSSPEGTLRQLMAAAKVCNLPLNGENSVPKLDDASLSQVVRSSRRYSGGTSGASFSFNYVRMNKSLFESHNWNRFTKFVRKMSDARTFLARLNFRRHQCLPSMSVVWVASQACAYT >SECCE3Rv1G0188520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:714760325:714761143:1 gene:SECCE3Rv1G0188520 transcript:SECCE3Rv1G0188520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQNPAPTPTSGTGGTAPPPGRPTTVSSQVLDTGAQVLQPLKPVRQMKQHACSFALYAHDMHRQLEAHHFVSRLNQDVLQCAVYDSDKPSARLIGVEYIVSDKIFEALPPEEQRLWHSHAYEVKAGLWTDVGVPEMLQTSEMARMAKTYGKFWCTWQVDRGDRLPLGAPALMMSPQAVEPGRVRAELVRARDERCKVDSSARGLKAQRVEMDEPEWINPNADYWRLHGKGFAVDATATEMKQHAPFP >SECCE4Rv1G0275110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:791841109:791844415:-1 gene:SECCE4Rv1G0275110 transcript:SECCE4Rv1G0275110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEQLEQPQPQQKQGKGDEDGDSKLYEFKEQLLLLSTLVATVTYVAGLNLPGGSWEQDDPEGHMAGDPILLATHYRRYLAFYYCNATALAASLVVSLILVILPKNSTPWTMVLQTVMVLDLLGLMGAYGAGSCRDAFTTIYALAVFSFSVLVIIYVFLSFLAAKANKDGAVSSDTIPITISGDDKDVNLGSNSITTNGDGNKVQSKPRRNFMKRAKEIYAVVAVQKEGKNRGEKEMIDVLMLLATFAVTITYVAGLSPPGGFWTSTQGGHRLSDPVLQARNRYRVFFICNTTSFAMSLLIIVLLLEKKLLGKVLRLVALCGLIVVALLGLMGAYAAGSCREASKTVLVLTVPVCVCLPLVLVFISGSLANRVDSVLTRFRELVNIKIPSGTCRGTNVVLHEQNTRDLVMLLATLVVTITYQAGLDPPGGLWPDDRDGHNIGHPVLQTTHPTRYRVFFYSNSAAFVTSLVVIMMLQSKFLLNRHTLEATLVLDLFGLITAYGAGSTREVTQSIYIVALAGIVLVYVIVHITIRDHDPEPVGDDAVRHLDDKRKVLLLVAVLAATLTYQAGLTPPGGFWLADDRELGRRAGFPILLDNYTRRYNTFFYCNAASFMASVTLILLLVNPKLYRPGIRCRALYVCMLVGMFGLMGAYAAGSSRNLKTSVYVLTLVGAVLAFIASLLGIFWLLPFLKSQEGRVFCFGPFGKSQKGKDGQVVAGHSCRKCHEGTDEQLEAGHSSSKFHEGTDEQLEAGHSSRKSHEGTDGQVEAGHSSSKSHEDTDEQLEAGHSSSKSHEGTDGRIEAGHSSGNKISSKKKEKLQYLMLLGILAASMTYQTGLKPPGGLWQNNNDGHYAGNPILRDINKDRYNAFFYSNSTSFMASIVVVVMLLPLALFPEKYTTKSFKKDTKSLDKINKPAESPKKDTWPLWPMHMAILLDMMGLLVAYAAGSTRQWETSRNIMVLIVPVLAYIGLYAALSVVCHREKKTKVTTAPQVRLNCRCVGETAGV >SECCE6Rv1G0444960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:832634132:832638022:1 gene:SECCE6Rv1G0444960 transcript:SECCE6Rv1G0444960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPRRAASCGCGYLAAFVALIVITSLQIQHHHLKVDLGRSDYAAATATQQRSGGKGAAARWNWNRRTGAEGLPRGIVETSSDMFLRPLWDSAAAKRAIPKSKNDRHKALLAMAVGISQMQNVDIMARKFLNESYTVMLFHYDGNVDGWRSLEWSDKAIHIVAPNQTKWWFAKRFLHPSVVAIYDFIFLWDEDLGVENFDPKRYIDIMVSEGLEITQPALDPDLSTDIHHRITIRNKLTKVHRRVYDNRSSMNCSDDSKGPPCTGWVEGMAPVFSRAAWKCVWHLIQNDLIHGWGLDMKLGYCAQGDRAEKVGVIDSEYVVHQGIPSLGGPSDTSKLPRRSLDLRTHIRRQSSAELEKFKERWEKAVREDDEWMDPFDA >SECCEUnv1G0569320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:440340455:440341438:-1 gene:SECCEUnv1G0569320 transcript:SECCEUnv1G0569320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAPAFKFHFKGGRRTMILSVLSSPALVSGLMVVRAKNPVHSVLFPILVFCDTSGLLILLGLDFSAMISPVVHIGAIAVSFLFVVMMFNIQIAEIHEEVLRYLPVSGIIGLIFWWEMFFILDNETIPLLPTHKNTTSLRYTVYAGKVRSWTNLETLGNLLYTYYSVWFLVSCLILLVAMIGAIVLTMHGTTKVKRQDVFRRNALDSRRNIMNRTISPFGHSHIMNRTISPFGHSHRRSFSSGAGGPPDNYKETFRRWILCSEYQDFPGLKCKIDDLLSFLEPGEILFMVHTFPRDFPLLEILEPKDILNIIAHSHKQWKPPKKGG >SECCE1Rv1G0016030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:134059696:134063207:1 gene:SECCE1Rv1G0016030 transcript:SECCE1Rv1G0016030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGGSGGEGRNEDGGKVGLPALEVALAFPQATPASLFPPAVSDYYQLDDLLSDEEKALRKKVRAISEKEIAPIMTEYWEKAEFPFHAIPKLATLGLAGSTTKGYGCPGLSLTASAVSIAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKQKYLPSLAQFKTVGCWALTEPDYGSDASSLKTSATKVPGGWQLDGQKRWIGNSTFADVLVILARNADTKQLNGFIVKKGAPGLRATKIQNKIGLRMVQNGDILLNKVFVPEEDRLTGINSFQDISKVLAMSRIMVAWQPIGISMGVYDMCHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKAWTSSKSREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAAVAKSRL >SECCE7Rv1G0495410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:597774202:597776258:-1 gene:SECCE7Rv1G0495410 transcript:SECCE7Rv1G0495410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKFSALPDELLIHVLSHLRSREAVQTCVLARRWRYLWRWVSCIDVSFQEFEDRVAANNLEREDTFKMFVNHLLILREPVDLEEFRLEYSLADGVGGVDFSANSVHANLWIRHALLYKAQTVKIGNQNELLQLLPLVFTSTYLKRLHITNALLIRGFFDRLRKGCPALEYLFLSTCDIKDLDIFSDTLKVLILSDTNAFSSEHDAHVSISSPSLISLSVKECPSGARLPILKNMSSLETASVLLSEGDITTCDADGIRQFLGGLSGVRSLDFYYGDTQLEVKNNHGWCPTFNNLTNLTLDSWCLHADLYALIVFLQNSPNLNKLTLKLNEPRYHNGVVSAIIGELEDRSFTCEQLEIVEIICSVGDELLLGVNQFLLEESGIRPDQIRVSHQN >SECCE5Rv1G0307930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122451818:122459102:-1 gene:SECCE5Rv1G0307930 transcript:SECCE5Rv1G0307930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLPALLPPVSRAAAFLLRTPPKPFRYHHPLFRSLLVSASSPSTPPTPRSLVTMASAGIPARRDLLVLGIETSCDDTAAAVVRGDGEILSQAIASQSDLLVKWGGVAPKMAEEAHALAIDQVVQKALDDANVSESDLSAVAVTIGPGLSLCLRVGVHKARKIAKVFGLPIVGVHHMEAHALVSRLVNKDLDYPFLALLISGGHNLLVLAQNLGEYIHLGTTIDDAIGEAYDKSARWLGLDIRKGGGPALEELALEGDPNSINFTVPMRQHKDCNFSYAGLKTQVRLAIESRNLCTDDIPISSATEEDRQLRANIAASFQRIAVLHLEDRCQRAVEWALKMKPSIKNFVVSGGVASNQYVRTRLNHIAEKNGLQLVSPPPSLCTDNGVMIAWTGIEHFVAGRFEDPPPADEPDDMQYDLRPRWPLGEEYSEGRSVSRSLKTARIHPSLTSMTQSSLHN >SECCEUnv1G0539920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77961285:77964695:1 gene:SECCEUnv1G0539920 transcript:SECCEUnv1G0539920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPAKFVLLLMAAATWSVFLLAHAGKLQPSCIGRERDALLAFKQGINDTDGYLGSWQQERLDCCQWTGITCDNVTGHVVKLDLGGRYYLVGQISPSLLSLEHLEYLELWGTGLCGPGGRIPEFLGSLKNLKYLDLSSMSFSGMVPPQLGNLSKLEYLGLSDIYFNNTGMASTDISWLTRLPLLVHLDMSLVNLSSIADWPLVVNKIPSLEWLCLLDCSLSSANQSLAHINLTNLQYLSLSYNYFGQPIASSWFWNITSIKYLGLFDTSLYGPFPNALGNMTSLKVLYFTQDTSIGYSTTTTMTVDLKNLCDLEHLSLDGSLSSGNITEFLDKLPRCPSNRLQGLSLRSNNMVGILPNRMEHLTNLSLLDLSYNNITGAIPLGIRNLSCLEGLYLSNNLLTGAIPLGLGNCTAVYLSNNNLSGPIQLGIESCTRLQYLDLSYNSITGPIPPMFGNCTKLYDLTLSKNLLTGDIPPWLGNYTSLQHLSLSSNHLTGPILLGTTNCTTLEDLDLSDNNIAAVIPPWLGNCTSLRQLSLSKNLLTGDIPPWLGNYTSLQHLSLSSNHLTGPILLGTTNCTTLEDLDLSDNNIAAVIPPWLGNCTSLRQLSLSKNLLTGDIPPWLGNYTSLQHLSLSSNHLTEPILIGTTSCATLQDLDLSDNNITGVIPQWLGNCTSLRHLSLSKNHLTGHFPYKISLLGNLTGLDLSNNNLDGPIIEEHLVTLRNIKHLDLSHNSFSGNLPLELGANGLVELTLSSNNFTGHIPESICTLRNLLVLDLSDNLLEGELPQCSQKPNLVFLLLSHNKFSGKFPSSLKNYSSLAFMDLSWNNFHGTLPSWIGDLVYLRFLQLSHNFLCGDIPVTITYLKRLRQLSLAGNSISGVIPWSLSNLTAMTQKHPRKPGLDMSVWYTEQVGEFREVWPIVMKRQELKYGTGIFYVVGMDLSLNYLTGEIPDGITSLNGLLNLNFSCNQLSGKIPGKIGAMTSLESLDLSRNNLSGEIPTSLSDLTYLSSLDFSYNNLTGRIPLGRQLDTLYLENQSIYKGNVGLCGPPLERNCSGHNAPEHDNQQKAEKVSEAVLFFYYGLGSGFVAGLWVVFCTLLFKKVWRVAYFRLFDKLYGKAYVFVVVTWGRINRKATTT >SECCE7Rv1G0506510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:748969212:748980968:1 gene:SECCE7Rv1G0506510 transcript:SECCE7Rv1G0506510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGQVSNLSGKTTQMNPGSSHGMPQQQQQRQVALGHPSVDPEFVNLRKNMLQRILELIIAKRSASPGMMPNMTNLAKRLEVALFMAHPTKVDYHKMFKGPIKEHLAHAFRTISAQGQQRQQMLGQVAPSSNHVTMIPTPGMSQSANGNSAMPYVMDTTTTTDNSSAGSMYNGYQNPSTNTPLNSITSSLSMATTSESMQRHINHMIPTPGFSNQQTLLANSEYSSQVGCFNVESNVVPQMQQQQKPFGNNQGFYPVHHLEGHAGSGVYPSTLNGGMDIQRPRMQLIDRNMSSEAFANLSYGSSCNSLSQQQYNAHAPQRIPTSVDTAVSRGLYDTNSSILTARSNHDISGANIMSSSRMNSEFLASESTTKSVQQPPRYHNNADGLNPQDMVSLSTSQLSREQPRRQPHQLQPNHPDSQLVQNHYVFSPRQQSSQQNQQGQDYFGQENRAQDKGHQLGCTVTSFDSKVSKPSVIQNEQDSKSKKNHLNQLRWLLMLKHAEGCQAPKGSCKSQYCVPVQEIVKHYRNCQMKTCSYRYCSSSKILSSHYKNCIEKDCSLCSKVKERLRRSSEQAHKHNRDETIVITKQNTVQKITNGAFDEKMDIDLVVVETIDEQQSIPKRAKLQHISPSASKNGILHVPVPGTSPLVLQKPKNKTVPKQEVSAKVDEKIGVMRNNVVLGSLNVIDSNVKQENLLLDNDMKENVIDHKNITNGRKDVMVSKSGKPKIKGVSLMELFTPEQIKDHTDSLKHWVGQSKAKAGKHQVIEHSENDNICQLCKVEKLYFEPPPIYCSPCGARIKRNASYYTAAATETCHNFCILCYNEARSSTIQVEGNQFPKAKLHKMRNDDETEEGWVMCDKCERWQHQICALFNFKRDDSKDAEYTCPKCYVQEIEHGLRIPLPQSAVLGAKDLPRTVLSDHIEERLFKRLREERHERAIRAGKNFDEVSGADGLVVRVVSSVDKKLEVKPRFFEIFQEDKYPAEFPYKSKAIVLFQKIEGVEVCLFSMYVQEFGAECADPNQRRVYLSYLDSVKFFRPDVKTVSGEALRTYVYHEILIGYLEYCKQRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKAVKERIVVELTNLYDHFFITTKESKAKVTAARLPYFDGDYWPGAAEDMINQLFLEEDDRKLQKKGKLKKTITKRALRAAGQTDLSGNASKDAILMQKLGETIYPMKEDFIMVHLQHSCSHCSTLMVAGKRWVCHQCKSFYICDSCYDSEQRLEEKERHPSNSRDLHVLHPVDIVGVPEDTKDRDDVLECEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICNNDIEAGQGWRCEECPDFDVCAGCYNKDGGANHRHKLTNHPCVDRNAQNKEARKMRIQQARRMLDLLVHAHKCRTAGCQYPDCRRLKGLFGHATRCQKRAAGGCSLCQRTWSLLQLHARTCKESKCTIPRCRDLKAHLRWTQQQSESRRRAAVNEMMRQRAAEVARS >SECCE4Rv1G0256690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:658729983:658730489:-1 gene:SECCE4Rv1G0256690 transcript:SECCE4Rv1G0256690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKQKRLIFAVVSYMLVSMMTLVVQSRKRKRRAVICYGPIDERDRMRSEYLDNKIWRDETTCVNMLRLGRGPFFRFCKLFRDRKLLEDTIHLSIEQQVAMFLHTVGHNVRNRIIGGNFGRSGEVVSRYFKKVLHAVGELRGDLIRKPSLETQSKIEGNYRWDPYFKV >SECCE7Rv1G0458590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22196005:22196551:-1 gene:SECCE7Rv1G0458590 transcript:SECCE7Rv1G0458590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCFLLLAFLAFLLRAAYATCHPNDLQAPRGFTGKSNRRTLQQQQPNTITGTNNSVRSGSGNIVSGNNNTVVSGDNNNVSGSNNTVTSGSNNVIVDTNHVVTGSNNTISGNNNRVTGNNNVVSGSSQVVSGDNKVVTG >SECCE4Rv1G0290840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874249867:874250910:1 gene:SECCE4Rv1G0290840 transcript:SECCE4Rv1G0290840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSNAISELASVPDMYVFPPEKRASFHDDSSIDEVALPIIDLHHGALSDSRLRRRVAAEIVQAGKDFGFFQVVNHGVGEDVVQRFHEVVAEFFAMPAEEKLVYCSDDQSKPFRVASKTPWDRNDTRYWRDYLKLICHPIDDELVHHWPTKPVSFRSSLAAYSMALHGLTQTLLQLIAEGLGLDADFFGGDLSGGNTQMNVNFYPPCPDPSVTMGLLPHCDRNLLTVLSQGNVAGLQVRHNGRWLPVRPVPGMLVVNFGHQIEIVTNGALTSVEHRAITNSATARISVATLVHPTMDCRIGPAREMVSEANPAKYREFVFSEFFEAFDAAAANREDVLRSFRIHRD >SECCE3Rv1G0199080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:842639616:842640080:1 gene:SECCE3Rv1G0199080 transcript:SECCE3Rv1G0199080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLWREAMGTGAPSADADFMDCIEFWHQPECAGWLDMQGEHNKTWRRRWFVLKQGILFWFKDSAVNPASVPRGVVTVASCLAIKGTDDVLDRKFAFELSAPGETMYFAADTKEQKEKWINSIVPPFAAPEGTTWDEIFQYVDMLRAGFEQEV >SECCE6Rv1G0429230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:734264820:734265819:1 gene:SECCE6Rv1G0429230 transcript:SECCE6Rv1G0429230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSSYAAAVALLCLLAADGCCGCPGPTHAPTPAPATPSPVPVTPPPAPATPSPGSGSGRTNGSSGGWLDARATWYGAPDGAGPEDNGGACGFKNVNLPPFNAMTSCGNEPLFKDGKGCGSCYQIRCVAHAHPACSGVPETVVITDMNYYPVARYHFDLSGTAFGAMAKGGRNDELRHAGIIDMQFKRVPCQYPGLSVTFHVEKGSNPNYLAILVEYANGDGDVAQVDLMDGGEPTGAWRPMRHSWGSIWRLDTRRPLRGPFSLRVTNGSGRSLVADQVIPADWQPDAVYSSDVQFDD >SECCE4Rv1G0243810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:506879115:506881373:-1 gene:SECCE4Rv1G0243810 transcript:SECCE4Rv1G0243810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRRGGGGDRAAVRKGPWTAEEDEVLHQHVREHGPREWSSIRSKGLLPRTGKSCRLRWVNKLRPDLKTGCKFSSEEERVVIDLQAQFGNKWARIATYLPGRTDNDVKNFWSTRQKRLARILRAPLPRRRSASAAAKRSGSGGVGAASSSAACNSHEPAAARASEDQYSCFGMIPFQQTTMHQHHIGESSQEPPLAPFSGAESVLPFPLGFAAMDVAAAGCSSSYGAASEVHQPLSFLYAAEPAPMVDPGGLVFHGNALIDGGVGVGVAYLEPKPEPEHYLEQKPEPEHYLEPKPEQQTPPRFFGLEEVDDYDLVAPVRRGTPDVLFGDLPPEMIDFFELPPPPSPSTRR >SECCE7Rv1G0509830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:783820893:783821393:-1 gene:SECCE7Rv1G0509830 transcript:SECCE7Rv1G0509830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDFTFPTMPPVQCGAKKLPFPRFTSPPAPWFVVPADAGAHDHHRRCFLAAEHAGKANNDVYPGREGRSERFTIMEEHKMDMLWEDFNEELARAPQPCPLGMEWSSEAWLTGQGDGIPSRHVVVTGTGSSVVRRRRLSLMMMLNLLKKLFLARRSSTTSRKTPPI >SECCE2Rv1G0130430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:876336094:876336604:1 gene:SECCE2Rv1G0130430 transcript:SECCE2Rv1G0130430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSMASKGLVVFVVLLAAAFLVATAEQTQAKKEETNKAGVQGYHGGGGGSGGGGYHGGGGGGGYPGHGGGGGGGYPGHGGGGGGGGYPGHGGGGGGGGGSGCRYQCCGHGGGRGGGGGCRCCASPNEIPEPMYRAEVRN >SECCE5Rv1G0339670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:609730868:609736951:1 gene:SECCE5Rv1G0339670 transcript:SECCE5Rv1G0339670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRALVSGQPALLCPRPRSSSSRACASASASSSPWAGAGVGTTKPNAIIIPVLANDFTRRRIGTGNAIPPLHALPQLSKDEEDEEDSALLFGGSLYPGTVGIELILLALPAVLGQAIDPMAQLMETAYIGKLGALELASAGIGVAIFNILSKIFNIPLLSIATSFVAEDISKNATKHSSSGKLELPSVSSALVLAAAIGTIEALALFLGSGLFLKLMGVSPASPMYASARLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVLFIGLGNLSAVVLLPLLIYGFKLGITGAAISTVASQYIIAILLMWSLSKKAVLLPPRMEQLEFGGYLKSGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQALIASSYAMLDYKRVQKVAMFSLQIGLASGLALAVGLYISFGSIAKLFTNDPQVLAVVSSCALFVCASQPVNALAFIFDGLHYGVSDFDYVAQATIVVGVISSLVLLYAPRIFGLAGVWAGLTTLMGLRMAAGILRLLWKTGPWSFLHEEPKSKLQAKPFAS >SECCE1Rv1G0038800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:543144468:543152292:1 gene:SECCE1Rv1G0038800 transcript:SECCE1Rv1G0038800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDTALGRNQVFDHEPVVALKEEEEKKSVSEEAAAKRVEEQQQQQSFSFLESVVPGLAVYNGADVEHTGSRTPQLARTLSQKAGLGKAKVSEMGTILGRASTVGLGKAVEVLDTLGSSMASLNASSGFVSSSGAKGNKISILAFEVANTIVKGSNLMRSLSNSNIKHIKEVVLHSEGVQHLISKDMGELLEIAAADKREELDVFSKEIIRFGNRCKDPQWHNLDRYFEKMASERTSQHSLKREAETVMQQLIICVQYTAELYHELHALDRFEQDYRRKHQEHDDLSLTGDSLHILKQEVKSQSKHVKSLKRKSLWSKNLEEVIEKLVDIVHYLDLEIYDAFGHAESEEPQEPVKRHKRLGPAGLALHYANIINQIDTLVSRSSSISSNTRDNLYQGLPPTVKSALRPKLQSFEIKEELTVSQIKAEMEKTLRWLVPIAHNTTKAHHGFGWVGEWANTGSELSCKLSGQMDLTRIETLYHAEKDKTEAHILELVVWLHHLISKSRAANGDIRSPIKSPVRSPTQKGHTIRLQLDPANNSSPILTPEDRDMLRCVKYRKFVPGISKSQEFDTKSRHDKHSRLCKSNSHSPTGGNRKDLLSFRRFSMLPVIDFEIDRTKALDLIDRLDGLEIQSGIN >SECCE2Rv1G0116610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:766120285:766126340:-1 gene:SECCE2Rv1G0116610 transcript:SECCE2Rv1G0116610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKRGGDHLTRSFYKSRRASKNESLVSGRFLGDSDQGVPSGLSDRLSYLTRSVASITLYNGDTILFSCSGIAMERQGRHLTRFLTSASLVRALNATNKDHDDLKIEVRLEGNEVYMGGMAEFDLDRNFAVVNVHAFFDVHVGSFQHAQILPHDEILVVIGRGVSGKIITKNVELDGDSRVSEDDEDLDCKISEAWEGGPLLSVDGKVVGMNLFLTTRRAVFLPWGTILKHLENYWTSQQKKTGLARPKTSKASRFGARPIGEKSNNHPEVHGDILDQEQLDLDSMGYPKLPSSMLGAGMILVNTFEETFGDIHGEGVWRNFGERASNINRNVVALASFNGKRRVFACTGFFIEWNGSTMILTSASLVRNSGDANKIVENLMIEVLLNGQCREGTLQHYSLHYNIALVSVKDYRALRPSNTLLRWKKAFKVAAVGRCFKSGALMATTGDLVSWTGTLDCNYLVTSTCKITKAGIGGPLVTLDGDVIGMNFYDKRIGTPFLLLVDIYKILASFESKSELGEVGNDRHPSGAPFWKMDKDNKTKLNRWPVPMPCWRNPDHVDEDKSDDDEFSFEPESGRMPRYGYSKGRKVMLF >SECCE1Rv1G0048070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631634461:631638104:1 gene:SECCE1Rv1G0048070 transcript:SECCE1Rv1G0048070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPTGGGGSAAGKGPRSSARHQQFRDRAKTRVDDLQEIFSGLQSARKESRSADAAVLEEQVHQMLREWRAELSVASPASSLQNSLGNNQGAADPPSETLRLLQLAIEEEDDATSKLAQPMQPSRQDDHQNLNPGLQVHGGTVDGGANASHQSLGHGTQGDCGGEVTDVANALFNDQMYYIDHELSIDDFLHGSNPDGPNNLQGTGQLEHQQFNLPLDLQHSNNSYADANNSVQSTGDVFFHMSDLTVCPSPSQYLGPKCALWDCGRPVRGSEECQDYCNPYHAGLALNDDGLLGTRPVMRPRGIDLKDGPLFDALCAKVQGKNVGIPVCEGAATSKSPWNAHELFDLSLLEGESLREWLFFDRPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKKSYYMDPQPSSNYEWHLFEYEINDSNTLALYRLEFKASDPKRSVKSKLGSSSLNEIQQQMVKLSANSPVDNKRGARSKTKANQKDTNVNTYPALKTTNQAGASNAYETVPLSIPNQASASDAYQTVAPSIPNQDSTSNAYEDASQVDPMSFLNDNVVYGPHLPYGYPDGKGDFYWNPSGGT >SECCE1Rv1G0008980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:51197525:51203122:1 gene:SECCE1Rv1G0008980 transcript:SECCE1Rv1G0008980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILLYVQAALTDDCADAHAAALATLCDTLALTDPDELLGIVDVRFFAARLPGFLAPAPGGADQGDLPVFAARAIAEAVDILPQWAATFAQHGAIEALRDRLLAADNIELADECLRALDKISEECPDECLRLGVAAAALHLFDFLSAIKQKVALKIVAQLVQECDDADVPKAMEAAPALCNLLQSADNSILESALSCLGMLAADAHGKAEHMDRLCESKVVDTAMGLLDKDGWKTLGDDTLPGILGLLKHIASASEKAVNSLFDLGVCDLLKQMITYYSRSHSGSDKLEMLVEFIYQLMRPLGTSGQENATTEQNAQVEQLASIVTLITQVAKCGALSSVCYRCIVVIGNIVELSTPTFLVELQKTANLSSFLTCMLARKNRHIVFQTLEVSKTLLKKHHQFFFESFTKEGVKHGIETIQAQEKNRNSSQKLKRKTNNQEWCLCFELDLDSSSTDGCKIENNAILNLAEEIKKSFLVVKANKKSPHRFGCVLSFVKEFFARLNRHALTVPTQDLDLCKELSDISRKFLSDELPSTSTFAFAKSGSAKYLVDYLSNGAYLKSNLNNRQDLSEQLKEVQHRLQKFTYLALKESNGSSVKPLGILVDKLLDALHMSYDSFPVILSDRGQRTRESTMIPVRHSRTEEELLDIKFVKARREKELRSYGGVLPVSLSSKPGEIEAVLWPEVSKGNAKGSSRLMFSYKGTKLQPSSTIFESVVRLMNAGQSDIVIDPSFWDEEHRISYNRNKSENISSSSSCSTQLVALQEKLGQSLVKDPFFCALFLGKLPGDVDESDPSYTLLLTLKVLEGLNRFSYQLSMDQQICKFADGHLGSLDDLKVTISPIPQHQFMSSLLTNKLEMQMQEGLFEDGLVPSWCVYLVETCPFLLPFSSRCKYFCLTLHRSFMGDESSAPDGSISPDEASAAPDEEEESDAPNEASKKMKKHKVTRDNILESAASMMTKHGSSTKTIEVVFQGEVGTGRGPTFEFYSTVSHELQRLGIGMWRGDNARKEGETGFVRSSFGLFPQPWSSVGTSTRGIELSDVVKKFKLLGHVVARALLDGRILDIPLSKAFYKIMLCQELDIYDIPSFDPELGKTVLEFQALVKRKKFLETSSAKTPNPNTDLSYKNVRLEDLCLDFTLPGNPEYELVPGGSDKMVTLDNLEEYVNLIVDATLKTGIAKQVEAFKSAVNEVFALKTLGMFDEEEMERILCGEQDSWASSKLEDHIEFEHGYDANSPPIKSFLEILREFEREDQRAFLQFTTGAPQLPLGGLASLHPRLTVVRKQCDGNVDNELPSVNTCRHFIKLPPYSSKEIMKAKLKYALAEGLGSFHLS >SECCE3Rv1G0153880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:57558707:57559462:-1 gene:SECCE3Rv1G0153880 transcript:SECCE3Rv1G0153880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPDRIPPKRFDLQLDGHNMVGVQLLDCRHGRVLLTDKRRDELILCNPIVGEQRIMAVPPEFVRKYFNGTVLCAAIDHDHVHESCQSSPFKVVLVSYLGGDNQLIVRVYSSETGVWGDIISTTPSYRLSDYGIPGLLVGNALYWLLNIIGAGILKFDLDEQSLAVIKGPLVTNDFSRGSCIIQAEDGALGFAILSYPHLQMWQRNIICHGVATWVLWKTIDMRTIRGLPKQIRGSRILIRSILGCLEDWD >SECCE4Rv1G0250900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:597498873:597500036:-1 gene:SECCE4Rv1G0250900 transcript:SECCE4Rv1G0250900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSEEGLMCKDISHSSQSSAPSPAHPMSPCRHQCVSTLRGHSSYVSGLAVDGDSLYVASSDGHIRLWPLEMGSTTVQPEGTVVAVTNSSVKCLMATSDGLVSAHQDGKIRVWQQAGRRKGGSGHLALHGVLPTTADCLRTFLFPKNYVDVRRHTSRTWVHHVDAVTALALSPDGGYMYSVSWDRSLKVWRLPSLRCVESIAPAHNDAINAVAVSSDGHVYTGSADRTIKAWRRHPGQKRLALVGTMERHRSAVNALAIGVGGLVLYSGSCDRSVVVWEGFDAGGVAATRALRGHTKAVLCLAAAGDVACSGSADRTVRVWRRGAEGEYSCSAVLDGHGAAVKSLALVLTGGDHGSEREESSRGGCSALVCSGSLDCDVKIWRVTT >SECCE4Rv1G0274600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785609172:785610341:1 gene:SECCE4Rv1G0274600 transcript:SECCE4Rv1G0274600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRAKRTCYASASSGPRGWAELPDDLLRPIIALLSLFRDLLAFGSTCRPWRDFLAHTSSLYPLLLHPSTDSQRYPWYDYDYWTLSHECTWRLADPAAASSYPSLLSLSDLRGMFFIRCSYGHLIFFDNKGFYIVDALSGAKVVPPCLKSANFIHISYATLTAPVASADSHLIVGSGGYLFQWRVGSDSWLEHYPKVPFLRIEQIVAFKGKTYSLGSFGSFCFVQFSPCFLVQKFEIMFEEDKTEDRCWTNQKTWLVVCDVLLLIKLEAIKWISSEVFQFKAFKLKSLDAKNKKARWVKVANLNNWAIFVSADGRCEALSFMNPERWGGRSNHIYFPSYESEQPWSAVQLWQKAKNHSTSSWLSKTGSWYHRLVPTWVLPGTFSRSGQQ >SECCE7Rv1G0490800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:506514459:506528211:1 gene:SECCE7Rv1G0490800 transcript:SECCE7Rv1G0490800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCSVSSLAARFAFFPPDPATYALRKDEATARLVASGVPRDNALDVLLLDTTRGTKVVAFYLRNPCARLTLLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEELILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKCPVLVIHGTDDDVVNWSHGHELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSKFIREMESVTTKTRLKKIRQSLEPTKVAYRANTATTTTFTTNCCCRIRVRKPSCNLSCSCCHALRKCFTFRVFKCPACCSCFKCCCCGG >SECCE3Rv1G0143360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:101480:106090:1 gene:SECCE3Rv1G0143360 transcript:SECCE3Rv1G0143360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAASFSGELKASLLDSGTRRGAAFRHRQPKVDFTFQRRDKRAAYLRRTCCSMQQGPPPAWPGRAVAEPERRSWEGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFKPKLVAVRNESLLNELKEALAGCEEMPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHNVKILPADSEHSAIFQCIQGLSEGSLRRVILTASGGAFRDWPVEKLKDVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRLPILYTLSWPDRVYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSVDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVEMTCDAHRNELVTRPSLEEIIHYDQWARKFAANLQPSSSGRSPVLA >SECCE5Rv1G0304240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:55074980:55081181:-1 gene:SECCE5Rv1G0304240 transcript:SECCE5Rv1G0304240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G03090) UniProtKB/Swiss-Prot;Acc:Q42523] MASRLLRLHHQLRRRRHRGTSPVPCRLLSSSSAPEPPPPPQTVEKVLVANRGEIACRVMRTARRLGIATVAVYSDADRAALHVRAADEAVRLGPPPARESYLNAAAIVDAALRTGAKAIHPGYGFLSESADFAQLCESEGLTFIGPPPSAIRDMGDKSASKRIMGAAGVPLVPGYHGADQDIELLKLEADKIGYPVLIKPTHGGGGKGMRIVQGPDDFVDSVRSAQREAAASFGIDTLLIEKYITQPRHIEVQVFGDKHGNAIHLYERDCSLQRRHQKIIEEAPAPNVTTEFRTHIGEAAVSAAKAVGYYSAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGERLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVLSSPTVRVETGVEEGDAVSMHYDPMIAKLVVWGESRSAALVKLKNCLSNFQIAGLPTNVAFLQELAGHNAFERGLVDTHFIERYKDDFQSTSSKASGEAHDAAKLGAILAAACICKNDHISSEESLRDKTLSVWYTRPPFRMHHSAKRLMEFELDEELEGLSDELLKLLVTYRSDGGYFIETEDGSSPGFDVKVDGRSEHDFRVEVGGVQTDVTLAFYSKDNSKHIHIWHGKHHHHYRQTMRAEHLLDDSSQPSQASEGRSHPKGSVLAPMAGLVVKVLLKDGVHVEDGQPVMVIEAMKMEHVVKAPQAGYIEGLKATAGQQVFDSSVLFTVKDKSTN >SECCE2Rv1G0096850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:447227399:447228828:1 gene:SECCE2Rv1G0096850 transcript:SECCE2Rv1G0096850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAENAGDMAAGESRKLFVGGIPSSAQETELRGHFARFGAVRSIVVMRDKESGHGRGFGFVEFEDEEAAAKALGDGERPKHFICGRLVDVKRARARPPRNLGEQSVHQPQPQHQLEQGPVQGHQDAGDSTGASGDSMSYASKKVFIGGLRDNITEEEFRAYFEAFGTVTDVVVIYDSLTSRSRGFGFVTFDSEEAVRKVMGQSFHDLKGTRVEAKIAIPKDAQYYRNGRGRGSRTFGGRGPVGFDGSTYQQYNNRHGFYNGYMPQPVPTHPYYHGLYFGMGGNPYANAYPNHGVLANVPNMVARRPVYSPYPPMYPGYGFAYRSGYAGAAPSVQYGVNGGRDYMNDQDSMDVQELDSAATIATKFEYMKLGSQ >SECCE5Rv1G0366390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:813091598:813096628:-1 gene:SECCE5Rv1G0366390 transcript:SECCE5Rv1G0366390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGRGGGGRHPKAPPPSSSAAVDRTPMTDQPLYPRNLDHAFSRRDSDAYSICSSRPSSIGTGAASHAGAPITNLSDRASQAAALRAVNAYLAPAAIHLRPPLPPAKDIVAAFHHLADRLRYPLKPAAWEDDLLGLLRALSCPYKVTRSALKAPGTPHSWPPLLSVLYWLTLLCRVTDGLDASPPVSAPNDLMTYITESYYLFLTGEDDAVASLDDEYHSKARAQTGALGEAVQELEKEVQDLEAKRSKQLSAPSRLKALEEKKDAFTADVQKFEAVVKSWSTKIKEKEEALVEKEKELEAKVMNCQQTMAENEELVKQVEAQVVNVRDVDRMAREMQAVENDIAKLENANAVLEEKGWELEAALVSKLEEIEGLAELCNQSLRKLKPSIDFQYEVNAKGSSPAEILGTTYKTTLKPALNALANETKRLIISKHDESIDLQKQLQGIVKMLEEKRSHVSVLQAKNNEMTAQVDSLDREIQSHVSRCAADARKMKDELEKKEHHLSTVEEEAEVFLKNSEEGLQAALRETDEETQMCARELLKLIDSITEYKEFVEQMTAEMKKELYECADEIASLSAKMV >SECCE1Rv1G0051660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:656418078:656421452:-1 gene:SECCE1Rv1G0051660 transcript:SECCE1Rv1G0051660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYAGQYCEISAALSRKCAAASALDGEKKQQKLSEIQADVQESESLIRRMDLEARSMQPSVKAGLLAKLREYKSDLTNVKAEIKRLSAPNAQQTTREELLESGMSDTLAASSDQRGRLMMTSERLNQSSDRIRESQRTVFETEEIGVSILQDLHNQRQSLLHAHTTLHGVDDYIGKSKKILASMSKRMDRNKWIVGGIIATLVFAILFILYFKFAR >SECCE5Rv1G0309320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:150158234:150160196:-1 gene:SECCE5Rv1G0309320 transcript:SECCE5Rv1G0309320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEHQAASRLSRSPPHPAAPPSPPLSPNSAAAAALANARWTPTKEQIGVLEGLYRQGLRTPTAEQIQQVTARLQKHGPIEGKNVFYWFQNHKARQRQRQKQQAFDYFSRQFRRPQPLPVLHRAAAHPSLPPVPLHAPPPHVPSTATLDPPAPPACSRQAMYMQQPCYATAAAAAAVATQAAANASYYMQTQTPTPMLYPRVETVVHDKVPTQAPATVYHQAAAPNNGGTQQPRALQLPPAAGTQRRPETLNLFPLHPTFAIPEKTRPAGIAGSATPTTMAPSASGSGSFTWEPESPSGDASLPLYDFFGVGR >SECCE3Rv1G0167700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:207593045:207596352:-1 gene:SECCE3Rv1G0167700 transcript:SECCE3Rv1G0167700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLHLPDLAAARPPAAGVARRRGPRVVAAATGGRVKHEEAGTGTGTGRGRVIRVTDPVRDGRLPVPAPPPLFSVPMTPASESPAATTRRDDEEEVRRRYYLNLGYAIRTLREEIPDVFYKEPSFDIYRDDIVFRNPFNKFEGIDNYRSLFWGLRFTGRIFFKALWVDIVSIWQPAENLIMIRWIAHGIPRVPWDGHARFDGASVYKLDRNGKIYEHKVHNIATNPPTKYKVLSVQELVRSLSCPSTPKPTYFEASSQSLSTASLYSRLAWIRHLANLRGG >SECCE2Rv1G0131430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884419176:884420711:-1 gene:SECCE2Rv1G0131430 transcript:SECCE2Rv1G0131430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWGAGGGTGAAPRRARRRCRCSATCTYSRSRCTARWPRSSGGAPLLSLRLGARRALVVSTHAAAEECFTAHDAALAGRPRMLAGEILGYGRTTVVWASHGVHWRGLRRFLAVELFSASRVAAIATDRHAEVASLVGNLLHDVDASVEGGGGTITLRPRLFELVLNVMLRALTARRHAGDVGRIQEIIEESFAVTGVPSFGDFFPALRWVDRLRGVEAALASLQARRDAFATGLIDEQRRMRNAGGRDGVGKKGVIDVLLEHQETDPEFYSDTVVKGIVLVLLTAGTDTSALTTEWAMALLMTHPAAMAKARAEIDAVVGKGRLVDESDLANLPYVHCVVNETLRLCPVGPIIPAHEAMDDCTVGGFRVRRGTMILVNAWAVHRDPIVWDAPEEFMPERFLGRDTATTPVLPFGLGRRRCPGEGLAMRLVGLTLATLVQCFEWDVGEGDTVEMAEGGGLSMPMATPLAAVCRPREFVKSVLSAST >SECCEUnv1G0530420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:12880053:12884455:1 gene:SECCEUnv1G0530420 transcript:SECCEUnv1G0530420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKMSPVQLPVLLLLLLVILTAPAADRAAASPPADPVQCSSGGGTADCTFSTAYGVFPDRSTCRAAAVAYPTSEAELVRAVANATAAKTKMKVTTRYAHSMPPLACPGAGDGRGIAISTRWLNRVVAVDAARAEITVESGVTLRELIAAAAAAGLALPYAPYWWGLTVGGMLGTGAHGSSLWGKGSAVHEYVVGMRIVTPAPAAEGYAKVRVLAAADPELDAAKVSLGVLGVISQVTLALQPLFKRSTTFTERDDDDLAEQVTKFGYQHEFADIAWYPGHGRAVYRIDDRLPMNDSGDGVLDFIGFRPTSAAVIKVNRLAEEASERAGNGGSGGKCLTARVTHAALSVAGYGLARRSGGLFTGYPVVGRQDRMQASGGCLTGQEDKLQTACAWDPRVSDSSFFHQTTFSLPLSRAGAFVQDVARLRDLNPKALCGVELYDGILIRYVKSSTAHLGKPLPLPAAPGESGDMVDFDMTYYRSRDPGRARLHEDFLEEIEQMGLVKYGGLPHWGKNRNLAFAGVASKYPGMRRFLRVKSAYDPDGLFSSGWSDMMLGAGTPTTDAPGCALEGMCVCSRDAHCAPEQGYVCRPGKVYKDARVCTKV >SECCE3Rv1G0172680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:301146575:301159149:-1 gene:SECCE3Rv1G0172680 transcript:SECCE3Rv1G0172680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAATRPVSSAPVALLAALALLFLVGSASLAMASHVLGGKSENPAAANSLETDGLARFAVDEHNKRENALLEFVRVVEAKEQTVAGTLHHLTLEALEAGRKKVYEAKVWVKPWLDFKELQEFRHTGDATSFTISDLGAKRGGHEPGWRDVPVHDPVVKDAASHAVKSIQQRSNSLLPYELVEIVRAKAEVVEDFAKFDILMKLKRGTKEENMKAEVHKNLEGAFVLNQMQPEHDESSSQ >SECCE7Rv1G0488680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:444447509:444493429:1 gene:SECCE7Rv1G0488680 transcript:SECCE7Rv1G0488680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATKQATLPRSGAFSKGYNFAYAWEKNAPVTEQQNAAISALSHALAERPFPVNLEDGGTAVAEKESALEEAGAMDAVLVNTHQFYKWFAELESAMKSETEEKYRLYESTLEERVNTCDGILQQVDDTQNLFEELQSLHSSVAIKTQTLHDACDQLLMEKQRLIGFAEALRSRLNYFDELENASTSFYSQTMNIGNEQFLPLLKRLDDCILYVENNPLYAESAVYLVKFRQLQSRALGMIRSHVLSTLKAASSQVQAAIRGSISDSGSGKNAVTEGVEASLIYVRFKAAAGELKLVFNEIESRSSKKEYAQVLSECHSLFCEQRLYLIRGMVHQRISEFAKKEALPSFTRCTHLYDTLRPRLIYEGNIDSLCELVDILKVEVLGEQLSRRGKSAAGLRPILQRILADVLERLAFCARTHIRERIANFRPSDEDLDYPGKLERSTISSANVSDNSDMYATWYRPLEKTVSCLSKLYHCLESSVFTGLALEAVEVCSASLQSASKVIGKRATPMDGQLFLIKHLLILREQIAPFEIEFSVTQKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRILENQIDARKELEKSLKSTCEEFIMSITKLVVDPMLCFVTKVTAVKVALSSGSQGQNLDSVLAKPLKTQAFASPDKVAELVQKVGTAIQQDLPKAMTKLMLYLQNPSTRLIIFKPIKSNIVEAHIQLQSLLNSEYSAEEIQSIGMLSISDLQSQLDSLL >SECCE5Rv1G0334580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566896743:566897159:1 gene:SECCE5Rv1G0334580 transcript:SECCE5Rv1G0334580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVESHRAGAEVIKGDEVCKKKSVELLEELGLPKGLFPMDDIEEIGYNSESGFVWMLQKKKNEHNFKKIGQTVSYDIEVTAFVEKGKIKKVTGVTIEGMSLVEVYVDESSADKVTVKTDTGLSDTHDASAFALGE >SECCE7Rv1G0523040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879964173:879965576:1 gene:SECCE7Rv1G0523040 transcript:SECCE7Rv1G0523040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSVARIMSFGKLAIQQTNMCSLIFSVLPKLLGFTPSSLKKFCKAKHVELQLTKKTTLPELPPDILMGIFATLEIPDLVRAGSVCSSWRSAYTSLRSLGQYNLQQTPCLLYTSESTGESVACLYSLAEKRSYKLTLPGPPIRTRCLIGSSHGWLVTVDDRSEMHLVNPITCEQIALPSVITIKQVNPIVDEYGALHKYEFSRHTGIRGGYSSPSIFALDRLRHKLHYKAHVFFDASTGSYIVVLIHNPMRQLSFARVGDDRWTWLPPHDLYMDCTYKDGLLYAATAMGELHSFDLSGPVVIRKTVISIPRKHEYEYMYVVQGPWGGLLLIWRIFEDYNLEPEPGASVFWNTTQYRIYEFDAAENKLKEINCLHDHVLFLGHNQSLCVSAEEYPSLRANHAYFTDDNCLWTLGFKNNHRDMGILNLHDNSREDLVCPQLWSNFPAPMWITLDLRKMNLASGLIEEN >SECCE5Rv1G0351280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:697697987:697700873:-1 gene:SECCE5Rv1G0351280 transcript:SECCE5Rv1G0351280.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYTTDDALSAMGFGKFQALVLAYAGMGWVVEAMELNLLSFVGPLVREEWKVSAQDESLLSSVVFAGMLTGSLAWGFVSDRYGRRTVLLFSALFTSGMGFLSAWSPNYLCLMALRFLVGVGVGGGHVFSSWFLEFVPAQNRGTCVVLFSLFWTIGTILEASLAWVVISALNWRWLLVLTSIPCFLLLPFFRIIPESPRYLCAQNRMSDATLVLESVSMVNQEALPPGVLTYRRESEVDTLTSETEIDHLLPVRETECKANNALSFKTGATAALRRLLSPALRRSTLLLWFVYFANSFAYYGVVLLTSQLSDANANCPSGLTNLQRQEDANLYRDTFVTSLAEIPGLIVSAVLVEWIGRKATMWSMLFTCAAFLGPLVLHQDELFTTALLFGARACAMGSSTVLCLYAPEVYPTSVRSTGVGIATSIGKIGGVICPLVAVGMLRTCHQMEAVFVFELVLCLAGVACILFPVETKGREIN >SECCE4Rv1G0287340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853775656:853780498:1 gene:SECCE4Rv1G0287340 transcript:SECCE4Rv1G0287340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDGDGGGGSAEWQPIYERVQAQAEALAADRARLEAACKVQRESWEAARRLQRSVEELQAAAREKDAEIQRLRAEVADAGKKLVEVRQADAGRRMRWEAAYVDLILGANQKLAELRDVDMEDSRICAETPNSKPRLSQNDVDQASVASDLSTELRKLKHAYETLSSQKDQEVSALLSEKELVRNQLVVMQKDYDALLRNKNGEPAQTTEAAQKLQLSLDDLKVSAQKKDDEISRLRAEAVAAEKKLQIAQKLQQSVDDLKASAQKKDDEIDRLRAEAVAAEKKLQTAQQLQQSVDDLKVLAQKKDDEIHRLQTEAVAAEKKLRKLQSLVKDDEIQTIKGGQDETNQKRRRVSSISNDDEQSESVEDDDVQSGSDEDGDEQSESDEDGDEQSRSNDNCDEQSRSDDEWQSRSNNQVQKPLWKPKRKRDPCNVVCESIPRRHYLTRMAPKPLRSRKMRIVNGRRFLEGTGHWGNYVRLVNDSLADQPDKHKEFVNFLRIFRIYRIRTSASAMEKALEGYPQLIHQFNRFLPNNCQIYVKEEGGSTQMSNRSDPL >SECCE3Rv1G0170480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:254247839:254249537:-1 gene:SECCE3Rv1G0170480 transcript:SECCE3Rv1G0170480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLIALYITVCSVLFIISKMLISFLCYKKWARKKRIIETSLTGGKLVIFRSAAMQSLSPKAFMRMIMGLSSKDIIGSGGYGTVYLLRLDEKSAFAIKKLSRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMPNGSLDTILHGKEEKKQALLDWPVRYKIALGVARGLSYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPNESHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKETMEEKREEHAVDGALASFPAEEVKFVFTVAEKCLESDPRDRPTMVQVAKMLEQAKLA >SECCE7Rv1G0466990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82910618:82910995:1 gene:SECCE7Rv1G0466990 transcript:SECCE7Rv1G0466990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSAVVAALALVVVVTAAVLLPGATVAAFAVRPAKYTYQEDAVSDFIRSVGTFSVTVYKLANGLNMFYTSTSQCWSVASGEGYEYWMVLTAKNGGVYARYVSIVWGIPGSESKTWKLLSFSSTD >SECCE1Rv1G0022910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:290326863:290329150:-1 gene:SECCE1Rv1G0022910 transcript:SECCE1Rv1G0022910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFRFASWEKERDKEDLQGPARSQSARSNSSMSTDHDARRSGSECCSLTISSEISVESFGRYRQLSLPHRPNNDLRIFTFQELKSATRSFSRALMIGEGGFGCVYRGTIRSTLEPRRSLDVAIKQLGRKGLQGHKEWVTEVNFLGVVDHPNLVKLIGYCAEDDERGIQLLLVYEFMPHGSLADHLSTRSPKPASWAMRLRVALDTARGLKYLHEDSEFKIIFRDLKPSNILLDENWNAKLSDFGLARLGPQEGSHVSTAVVGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLVEWVKPYSSDTKKFETIMDPRLEGNYNLKSAVRIASLANKCLVRHARYRPKMSEVLEMVQKIVDSSDLGTPEHPLISHSKELASDEKKRKGLDLKRRIADIKAGDGRWFTWHKWTPKLVRTQ >SECCEUnv1G0535510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:58987709:58988032:-1 gene:SECCEUnv1G0535510 transcript:SECCEUnv1G0535510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAALANMNTYGRVAVCGVIAEYTDPGRRAVPDLLEVIYKRITLRGFFAWDFIARFHEFAAIIGGWIEEGKVQVVEDVSDGLESVPSAFVALYRGENVGKKLVKLA >SECCE3Rv1G0157260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80368508:80369095:-1 gene:SECCE3Rv1G0157260 transcript:SECCE3Rv1G0157260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated protein, Regulation of light-dependent attachment of LEAF-TYPE FERREDOXIN-NADP+ OXIDOREDUCTASE (LFNR) to the thylakoid membran [Source: Projected from Oryza sativa (Os01g0102900)] MQAAIALSTVLPVTPSVRAGGPAARWSVAAGARRTLGGAVRASAAPEADYSSSVSVFPMEACDLVGGEACDAQMYPETKLGAGAGGPAAAARAPEVEREYLSYDEPKTVFPDEACDDLGGEFCEAPYQTTK >SECCE7Rv1G0476870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:212533338:212544770:1 gene:SECCE7Rv1G0476870 transcript:SECCE7Rv1G0476870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHDSSGTTLMDLITSDPSAAPAAGASSQQPSSGGGGSLGRPAPPPAPAPADRKSKRATLMQIQSDTISAAKAFNPVKALPQRNRKKKPVSYSQLARSIHELAATCDQKKSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDNGSQGLSAAGGIPTPNWDALADIDVAGGVTRADVVPRIVDQLSAESTSDDVEFHARRLAALKALTSSSTSSSEMLEKLSEIVFGILEKVADTKQKRKKGIFTKQGGDKESILRSNLQYASLSALRRLPLDPGNPAFLHRAVQGIEFSDPVAVRHALSIISEIAVRDPYSVAMALGKNAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDERPDIKSQYSSLLYQLLLDPSDRVCFEAILCVLGKVDNTESTEDRAGGWIRLTREILKLPEAPSVASKGLLSKASEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYSLGAYDEGANLQAYSDNVESLDSDENSQPEATRKAKPLSNGHGGMDTVAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESLDELKSIIACELSDPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSVLTSVDMISASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLASALTRLQRCAFSGSWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALSLGGVQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYKAQDDLARDIRQHDNSKQEWSDDELKIIYETHERLLDFVCLFCFVPRIKYLPLGPTSAKLIEIYRNRHNISASVGLSDPAVATGISDLMYESKEVHKETSTMQSGIDPDLAMAWAAGLEDDVWANDAPGVDKVKDFLSGAGTDAPDVDDEEYMNSRPSVGYDDMWAKTILEEEDDGRSSGGSSPDSTGSVEMSISSHFGGMNYPSLFSSKPPSYGASQQTIREEPPSYSTSVLQKRESFDNPLAGRGGRSSGSGSHEDVEKSSGNPQSGKALYDFTAGGDDELSLNSGEDVDIEYEVDGWYYVKKKRPGRDGKMAGLVPVLYVSS >SECCE4Rv1G0265910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727868497:727868929:1 gene:SECCE4Rv1G0265910 transcript:SECCE4Rv1G0265910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQVLIAALTIVAVLATLPGQGAAQLRDKGETPSAWPCCDNCGSCTRSLPPQCVCRDVSPRRCNPACKTCVKSNSTLTGRTGFQCIDRIKNFCESRCTPAM >SECCE5Rv1G0323510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:437120276:437120941:1 gene:SECCE5Rv1G0323510 transcript:SECCE5Rv1G0323510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSSSAPKPTQQQQQHPSATRGQPAREADRAAAMERKRSPCAPPMLLLVVALLFVLMPDEADAQVFCRSQFNLANEACSLRTFPGANPAVPPRRPLLLNASSSASGYELQAAGDEHSSSGGHGGDHGGSHGGDHGSEHRSSHGGEHGGSHVGRRHGHQHHVGGADPYDTACCRRLMGIDNACICQAMSFLPVFMSRVKHSIKLTPVPGCDISFECAGVY >SECCE2Rv1G0069930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31894364:31895437:-1 gene:SECCE2Rv1G0069930 transcript:SECCE2Rv1G0069930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNAAPTAGAAGEKEEEVVAPTVPATPHADLPEIMSSLPTALGFPPFQLRQYAGFWLTEHTLKFVGTSHARFRPRPTDVLLASFPKTGTTWLKALAFSALNRAAHPPSVGADHPLHRNSPHDLVGFLELAGEDDDGLIYEGIPSPRLLAAHLPYSLLPHGITDGSGGRIVYVCRDPKDTLVSFWHFHEKTTATLQRRADVGGASSAMPTFEEAFELFCKGQGFVGPQWRHTLEYWEASRRSPDQVLFLRYEDMLRDPEGSVRKMAVFMGCPFSSEEEEVGLVRDIVDLCSLGTLKGLKVNRSGTTMLGLKNEAFFRSGTVGDWSSCMTPAMAARLDGIVAEALEGSMLTFGATSKD >SECCE7Rv1G0477060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:213879971:213881086:1 gene:SECCE7Rv1G0477060 transcript:SECCE7Rv1G0477060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDFFDPFEGFPFAFGSGNSGSGSLVPRTSSDTAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNILQISGERNKEQEEKTDTWHRVERSSGKFLRRFRLPENAKAEQVKASMENGVLTVTVPKEEAKKPEVKPIQISG >SECCE6Rv1G0413080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:620771279:620779407:-1 gene:SECCE6Rv1G0413080 transcript:SECCE6Rv1G0413080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNKNDGSEGVKFNTSHLMQTTEEVARAFIAAASAATVQPARPSVVYSSGEESGSPMQKLQQQFSKIMKGFSNSPEVSGPYNPEVLTTHKRQWSRFQQKSLGNRCIKEPSHLFESIVIVGLPPQADIHELENIALGRNDDDAKKSRHIFGNNHHQVHAVSNLEPQVLFAYPPERSLPLKYKDIVSFCLPGGAQVNAVERTPSFSELNEILLGQEHLKESNQSFVFRLQVADDPTLYGCCVLVEEIVQRPSKLVSMLTNEKPVFQRRSRYVVTTPRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSMLTSLSPEENCEDGDICDGSKIVGQELYFGSTTVEKSFEPSVEVSPKQLSDTDSSSECRENQLDFISQEVEQESVSPVKEEKNVLVTGDTAEESDNSVSEDTATDVSGVKLDEPDSLPIIPNESDTKENSHVLSHEDVNDGELDIFVNDTILPLIRSRLSDGSESSPSSQDSPSESTNLRNDTPDLDLEEPSSIGHGDVVGHNSILRWAKAKKYGSLQVVCQYYQLQCPVRGSSLNFHPLEHLHKLKFHRPGETALHLAGSTIDLRSRDTSLEVAEMRNALYAEEESTALSTWAVASICGCLRLEHVITLFAAALLEKQIVIVCSNLGMLSASVLSVIPLIRPYQWQSLLIPVLPNDMLDFLDAPVPYIVGVQNKTPDLQSRLANAVIIDANKNQIKSASVPQLPQQKELLAALRPYHSRLVGESYLARKRPVYECTDAQVEAAKGFLAVLRSHLDSLCSNLRSHTITNVQSNNDKVSLILRESFIGSFPARDRPFMKLFLDTQLFSVHTDLVLSFYQKD >SECCE5Rv1G0373150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856370667:856371188:-1 gene:SECCE5Rv1G0373150 transcript:SECCE5Rv1G0373150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLTLFLALSLVLVGTSHGCGSCGNTPSVPVPTPPIAVPPPAVPVPTPPIAVPPPAVPVPTPPIAVPPPAVPVPTPPMTPGGGRSTCSINTLKLGACANVLNLLKLNLGVPASEQCCPLLSGLANLDVAVCLCTAIKANVLGMKLNVPVDLVLLLNQCGKTCPADFTCPS >SECCE3Rv1G0186760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:690242353:690247154:-1 gene:SECCE3Rv1G0186760 transcript:SECCE3Rv1G0186760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSRSYPFGGSLVLDDPEVVEVPPEVAAGWNSVRQKRKRAQVAPHEIIELDADDDPDGVTIIDAKTSDYKNKQAVGHPVNCWKNAKIGLAAEDIACPSAISAKVVYPWDGLGTYHGGPKLPIYGLPTDVAGPSSFASYPWKSVGTYHTGTLLPAYFDDVLGAVGEEHYAYEGDDFSNYSYSTPLMENDSSFNLNVDHYGFPPSVGMYLPRGHVAPADSTQQRQQVKIVGSEIDEKYKAFKQFDTVGDHSDHFYLKFRTVKKPSKDWVKRIQHEWKVLGKDLPDTIFVRAYEDRMDLLRAVIVGPAGTPYHDGLFFFDVYFPSQYPYKPPQVNYRSGGLRLNPNLYACGKVCLSLLNTWTGSGCEMWNPSSSTMLQVLVSIQALVLNAKPYFNEPGHSVYANTPHGEKQSLAYNEETFLLSCRTMLYSLRNPPKHFEHFIGGHFRKYGRGILVGCKAYMGGAQVGCLAGDGVQDVDEGDKSCSQNFKCSLEILFKGLINEFTDLGVGCHEFKAQIVNPGAAADTTLRL >SECCE4Rv1G0273000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:773388101:773390285:1 gene:SECCE4Rv1G0273000 transcript:SECCE4Rv1G0273000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLDMAAMRKKLEEEVLGTWLWDKELDSILQEQQERDDEGDYEYYEPDEPRESDQEEEELHYGGSWGYGYTFYYEDGNPYYVADMEERWENQMRFPPTMSCAKRSRGILEGPLQVEGPCQLDSGLLPAQSLLPPLPRWENRWVDKRENEPCRRAIQVFSLNLSSPHDAALEVYGMFAFRDVRNNQLRNYVFEYSRDKPCKLKPGACKLQPLLNPHQGIYVVGLVLIEYRLLIKDEEGEDDKVLIDGYSVYAPSFYAEYERLHWHINTGHHGSIDLRMASIPKAVLAVLEFEVHHLGDNLFDSLTITAAYRTMQGGAFSVFNGKLSVCRLPPVTVCVDYTKNLTIDLYTHNSHSGDDNWYPDGVVGDSKIPGYFHYDIEDIMSDTVWFKPQKSGSSTQNSSNLYGLVMSVKVTWTSLCEPCQ >SECCE5Rv1G0358380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755518219:755518966:1 gene:SECCE5Rv1G0358380 transcript:SECCE5Rv1G0358380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGHHGVAALSLALAVAAALTPCRCAAQTEAPAPAPSGVSGGTGCMPELVSLSPCMSYMSGNATAPGDPCCAAVSGVLRSSPRCLCAVLGGTAATLGVALDGARALQMPAACRVQAPPASQCDAMGVPMSSPAMPYDPDDTPAGSGSKATPTPQYSHGNVNRAGRSSLAFVVAVVAIALIRGP >SECCE5Rv1G0367790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:824195737:824201828:-1 gene:SECCE5Rv1G0367790 transcript:SECCE5Rv1G0367790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNRAGGGGGGPGEIVEAGERVVPHSGPLGAKRSAMRKSARFAESVSAPLTAPHGAPAPRGGGGNRSNDNDDDDDYVEITLDVRDDSVAVHSVKPAAGGEDSDVKLLAQTLEKRSSSYGQGVLRTASTRIKQVSQELRRLASVNRRGGAGPGRVDKSKSAAAHALKGLKFISRTDGSAGWPAVEKRFDDLAENGLLHRSKFGKCIGMKELAFAGELFDALARRRNITGDNISKAELLEFWDQISDTSFDSRLQTFFDMVDKDADGRITEEEVKEIIGLSAAANNLKKVSEQSEEYARLIMEELDPNNLGYIELYNLEMLLLQAPSQSMGIGTTNSRNLSQMLSQHLRPTAEPNPLRRWYRRASYFLEDNWRRCWVILLWLSICVGLFTWKFMQYRERAVFKVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWFRNRTAAGRFVPFDDNINFHKVIAAGIAVGAGLHIISHLTCDFPRLLHASEEEYEPMIPFFGEEKPPNYWWFVKGTEGWTGLVMLALMAIAFTLAMPWFRRGRLSLPKPLNRLTGFNAFWYSHHLFVIVYALLIVHGHFLYLTKKWQKKSTWMYLAVPMVMYACERLTRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFRYKSGQYIFVNCAAVSPFQWHPFSITSAPQDDYVSVHIRTLGDWTRELKNVFSKVCRPPTEGKSGLLRAEYDRDVGAMSNPSFPKVLIDGPYGAPAQDYKQYDIVLLVGLGIGATPMISIIKDIINNMKRLEGDVESGNPGDASTSASFRTRRAYFYWVTREQGSFEWFRGVMDEIAESDKKGVIELHNYCTSVYEDGDARSALIAMLQSLNHAKNGVDIVSGTRVKTHFARPNWRNVYKRIALNHREQRVGVFYCGAPVLTKELRDLAQDFSRKTNTKFEFHKENF >SECCE1Rv1G0009490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:55024572:55027720:1 gene:SECCE1Rv1G0009490 transcript:SECCE1Rv1G0009490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGTGGRTKPHAVVIPYPLQGHVIPAAHLALRLAARGFTVTFVNTESVHQQAARALGVDRQSCDIFAGARGSEDVRYELVSDGFPLGFDRSLNHDQYMEGVLHVLPAHVEELLRRVVLDPASTCLVADTFFVWPATLARKLGVPYVSFWTEPALIFALYYHMDLLAMHGHFNCKEPRKDTITYIPGVPAIEPHELMSYLQETDTTSVVHRIIFKAFEEARGADYVLCNTVEELEPSTIVALRAEKPFYAVGPIFPAGFARSTVATSMWAESDCSHWLAAQPPGSVLYISFGSYAHVTKQELHEIAGGVLASGARFLWVMRPDIVSSDDPDPLPEGFAAASAGRGLVVPWCCQVEVLSHAALGGFLTHCGWNSVLESVWAGVPMLCFPLLTDQFTNRRLVVREWRVGVPIGDRGAVFADEVRARIEGVMSVKEGEKLREAVKKVRATLEAATAHGGSSQRSFDEFVDELTCSCGGR >SECCE4Rv1G0255480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:646630366:646631379:-1 gene:SECCE4Rv1G0255480 transcript:SECCE4Rv1G0255480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAISPHLLLPLLLAAAAASAFAGANDLVGELQSLRSRSPSGVIHLTDTSVTRFLSSPAPRPYSVLVFFDATSLHSKTDLHLPQLRREFALLSASFHANNPDSSDLFFADIEFSESQHSFSQFGVNSLPHVRLIRSEHSRLADSEQMDQSHFSRLADSMVEFVEARTGLEVGPIVRPPLVSRNQMILLVLLFLISIPFGIKRIMEGDTLLHDRKLWMAGALFVYFFSVSGGMYGIIRHTPMFITDREDPNKLVFFYQGSGMQLGAEGFAVGFLYTLVGLMIAVVTHVLVKVESLQIQRFSMLAVMGIGWWAVRKVIYLDNWKTGYGIHTFWPSSWR >SECCE1Rv1G0019140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:223117705:223118121:-1 gene:SECCE1Rv1G0019140 transcript:SECCE1Rv1G0019140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKRTAKSDPIFRNRLVNMVVNSIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLHQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGSGVPSTL >SECCE6Rv1G0411060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:605341594:605342794:1 gene:SECCE6Rv1G0411060 transcript:SECCE6Rv1G0411060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKLAALVLVALLACVAHTCQASYGYPNPLPPTPSPPPPAAPAVLTVGYYHKTCHNAEKIVREVVEEAQKADPGISAALIRLFFHDCFVRGCDASVLLDNTTANPQPEKFGIPNFPSLRGYEVIDAAKEKLEKECEGVVSCADIVAFAGRDATVLLISEKKKPNFPDFLRGHTKSNFDMPAGRYDGNVSLASETLPNLPPPFANVSVLEDMFRVKGLSLEEMVILSGAHTVGISHCSSFSDRLPPNPSSMDPTLAESLSKQCSRGGDPTVVQDTETPDDLDNQYYDNVQKGKVLFKSDATLMSSETTSRLVDSHAKDPRDWLEKFKAAMVKMGSIEVKTQAGGQIRKHCRFVNPRTHG >SECCE2Rv1G0079360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:118087061:118090633:1 gene:SECCE2Rv1G0079360 transcript:SECCE2Rv1G0079360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQEFRRMLELFPVVRSRDYCKDEVESSSEGTTQQTRAQEIKGTKKKAAEALFLRKLKMAAEKKIGATKAELFCKTFEEAHEKLVYKELDLNAAQRFLNAYKS >SECCE3Rv1G0160190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:103590219:103590776:-1 gene:SECCE3Rv1G0160190 transcript:SECCE3Rv1G0160190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLPLAIVLVSLLAATGASAHGYSQTPSPTPATPAPAAKCDKVMLKVEGMVYCQSCTHRNSWCLDGATPLPGAKVMVTCRDAKNRVMASRSPVADGNGYFLAEFDVTEKADYYMGDPAKACFARLLASPDRKCDGLTNVNYGIEGAPLRHEGKRWSGQGYENVVYAAGPLSFKPDTCAPRGHY >SECCE1Rv1G0055930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:684233259:684235226:-1 gene:SECCE1Rv1G0055930 transcript:SECCE1Rv1G0055930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFKKVTAGSSTYGSNSTAIMGGFKKVTSGPNTYVSNSTAIKDAFAKKYMETAVYHDYDDYPLDAFEEDEDFAYDEDDYENYEFDDTLYENEYNYNLSAQFDGLDIPPGMEATLPWLQKTAAEMSSNSKPIPILDDKVDEKYNTFKQFDTVDGHSDHYYVKPELRKALVVKKPSKDWAKRIQHEWKVLEKDLPDTIFVRAYEDRMDLLRAVIMGPAGTPYHDGLFFFDIYFPPQYPNTPPLVNYRSGGLRLNPNLYACGKVCLSLLNTWAGSGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYANSANTPAGEKRSLTYNEDTFLLSCRTMLYSLRNPPKHFENFVAGHFRKHGRNVLVACKAYLDGAQVGCLAGNGVQDVDEGDKSCSLKFKTSLKRLFEELLMDFTVKGADCSKFLSEKAKKSAASASASATTTTSRGPPADTTLRL >SECCE3Rv1G0161590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:119181238:119183524:1 gene:SECCE3Rv1G0161590 transcript:SECCE3Rv1G0161590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRPIDPSSPYGRLYIRGATARSKTPQDNYQQIIIITLTRLAKRHALMAIVYVILAALITAASHAAHGAHGQTWHGDLAALAAAGKLRSDPNATFAASTDFGNITAALPAAVLFPSSPADVAALLRAAHSTAAWPYTISFRGRGHSVMGQALAPGGVVVDMPSLGGPSSAARINVSADGRYVDAGGEQMWIDVLRATLERGVAPRSWTDYLHLTVGGTLSNAGISGQTYRHGPQISNVLELDVITGYGEMVTCSKSLNADLFDAVLGGLGQFGVIVRARIALEPAPTRARWARFVYTDFATFSADQERLAAPGPDGAFGPMSYLEGAVYVNHSLAAGLKNAGGFFTDADVARIVAVAAARNATTVYVIEATLNYDNATAASVDEELQSVLATLRHEEGLAFVRDASYLEFLDRVHGEEVALDKIGLWRVPHPWLIVLVPRSRIADFDRGVFKGILQGTDIAGPLVVYPVNKSKWDDGMSAVTPAEEVFYAVSMLFSSVANDLKRLEAQNQKILRFCDLAGIGYKEYLAHYTARGDWVRHFGGKWKRFVQMKDKYDPKRLLSPGQDIFNQRL >SECCEUnv1G0554820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:291577451:291578209:-1 gene:SECCEUnv1G0554820 transcript:SECCEUnv1G0554820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLPPLLPTPPRSEMLPILPTPPRSQMLPLLPTPQGVVLTMLVSAMAGRADFVHRWDWNKKGNKPCSSICSSSSSSSSSSSSSSSSSEGGKSTGRADSVDRWDSGKKYNKKPCTATSSSSSSYSAGSPGRADSVERWDSNKKLATSCSTSLPLPTDHRGRHDGNNKRLPSPSRASSAERWDLQKKPRPEQTEMLPQTNAAATTTPALATTPQKAMFAGSSFYASPEPSMLPMPSFFLLARSRALCTST >SECCE1Rv1G0018280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:184725229:184727164:-1 gene:SECCE1Rv1G0018280 transcript:SECCE1Rv1G0018280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGRPDTGYLHWRWKPYDCDLPPFDELRFLGAMRNKAWGLIGDSILRNQVQSLICLLSKADEPVEVYHDKEFKNRRWHFKSYNFTVSLVWAPFLVKSEVFENENGESTSEIQLHLDILEPTWISQYKRFDYVVIAGGQWFLKTAVYWENGKVLGCHHCQDKNLTELGFEHLYRRTLQEVLRFISSAHHKPVVLFRTWAPDHFENGEWFSGGTCNRVLPYKKGEYSGKYMEHIMREIELEEFNKAITANSSRDVVKLKLLDTYSISSMRPDGHAGPYRMFHPFEDGSSVQNDCLHWCVPGPIDAWNDLIMKLVLNW >SECCE3Rv1G0160080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:102621908:102622809:-1 gene:SECCE3Rv1G0160080 transcript:SECCE3Rv1G0160080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSATAEVVVALFSLIIAVAAPLFDSQIVLPRCLYPAPLVDIHRWFTVAFGHYLVADPPPFFLGLVWLDLAFLWPVCVANLYGILARRRWSATTSLMAGVYMLTYLSAILGDMLGSGRATPRLLQLYAPFIVVAVIAVLRGLCSCSAPLTAATSAASSAQKKKA >SECCE4Rv1G0262890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:712479867:712480956:1 gene:SECCE4Rv1G0262890 transcript:SECCE4Rv1G0262890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFQDGHHVRLRSRGQGTYLHADKDGRGVSLRRSRASVHAAWAVHLYPGANDVQYVLLHSAAYGGYLAAMDAPAPLGHRGRRVEQRDYEEREEEAVRWQPVRFGPGDYILLRHVTGRFLRANGKYLPWNNGASVDDFDTVSTMTHWVVERIPAREGMPELPLARGLDVLLPRRWIVYAWEEADWDHPLWAALGFKGRSVLRLRSELASEMGVGMGGLVLCVQAGTNGRPTPLVVDLPRGTRTLYIVVFMAGEPGERPTDPHVPSFLLVDASLVLF >SECCE2Rv1G0108320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:660403161:660405817:-1 gene:SECCE2Rv1G0108320 transcript:SECCE2Rv1G0108320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKTGKAGDGRDAATSNGNEPSNSYSVARSVEPGGNKRAGNGEHLAPAGSASNPVMNGAVVHHSNEPLPAFKDVPVSEKQNLFVRKATLCCAVYDFADPTKNLKEKEMKRQTLMELVDYVTSANGKFSEVIMLEISKMVAINLFRSSNPTPRENKAIEGVDMEEEEPLMDPAWSHLQIVYEVFLRFVASQETDAKLAKRYIDHSFILKLLDLFDSEDPRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLLRALIPLHKPKCVAMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQLAEFQRCMVPLFRQIASSMNSSHFQVAERALFLWNNDHIENLIKQNYKVILPIIFPALERNARGHWNQAVRSLTLNVRKIFTDHDSAFFGECMQKFDDDELKQEESSLKREALWKRLEEMGGESSPSGIPNGKSSQ >SECCE4Rv1G0260230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:694211410:694212906:1 gene:SECCE4Rv1G0260230 transcript:SECCE4Rv1G0260230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELKKESEKQKIPRTQTYVRWFQMAGGGRLDGKSWAADAEELVNLDGSADPLVEAARWRKHSIYRVPAHVKKKNSRHVYVSPYEPQLVSLGPFHHGSPELEPLEEHKQRALLHLLRRTETPVWDLVEALQQVVDRLEEAYMDLDDRWRRDTDEFLRVLVMDGCFLLEVMRTAAEDVRSDYAPSDPVFSRHGQLYMFPYIRRDMLMMENQLPLLVLQRLVAVVSGPDAATHDAINNMVLKFVSLMPDPPAMCDGSLALHPIDVCHRSLLYGLPREMVKGREDEFVRSATELDQAGIRFARSSTRSLHDIGFLHGALHVPELTVDDYTEHKLFSLMAFERLHAGAGANEVTAYVFFMDNIIKSVADAQLVCSKRIISNGLGSDKEVARMFNRLANEAELDKYSPLRTVHGQVNTYCEKRWNQWRASLIRNHAANPWAVVSLLAAVLLLALTVVQTVYTVLPYYQQQP >SECCE1Rv1G0037730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:530626414:530626746:1 gene:SECCE1Rv1G0037730 transcript:SECCE1Rv1G0037730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRVEGLIPFIYKAIKDHRRSSSRAAHRGAEAEDDVDLGDTDQRRRWLEQELRSPLNAAAAPSSAQGHGRNRSLEELAGQVGLSPGRRLPLPKARSVRAFACIGAGAA >SECCE4Rv1G0244710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:519647530:519649967:1 gene:SECCE4Rv1G0244710 transcript:SECCE4Rv1G0244710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein WIP4 [Source:Projected from Arabidopsis thaliana (AT3G20880) UniProtKB/Swiss-Prot;Acc:Q8W030] MEDPYMSFLKNPYYYYCTSSFPTPPPTPHLPPPYPPYAALYPAVAAAATAAPHHQYPSFFQHQPAQPTHHYSSAPPSPPLREALPLLSLSPTPAARPRAVQHHDAAYSDSEDDDNDCCYHLQQEVAAGSRTTSARTPLFADLNCVPSCCDDGGGDPMDVEASWSTSTDDAAVALRIGLPAAEADLLSGLSGRAAEEDEEEDDDCKPGGGHEEVPLGFSSTAPIGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAAGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRRCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGAFGCNGAAGGDGGFDDDDEGAVSEIEHDVVCGAAAR >SECCE6Rv1G0433890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:762284010:762287465:1 gene:SECCE6Rv1G0433890 transcript:SECCE6Rv1G0433890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEKPVPVIQLADDGDGRNMAWTGEKRRRKNLPPSSSDAIAIADDHGDMEDTIQGGKDPISHATDMEGTIQGGQDYISHATDMEGTIQAGQDHDLLLKTEPAQEESEQCFGPHFLLSEEDQDKDCQLLLANIQKEDDYPADLPLYMTPEEHIKIEARLARYRIAHYKVVNPECARELKEPEEYTDEELINKSYFQRLEHDESFEWYIHSEDTQNIELNDYQRIVPRNFLPRGSGNLYRYHDEYRSRYHTYKIDDVYVKYYAEISKKIKWIADFLHLDRKTKDWIEWDTRAWRQALRIATGFPHMTEELAGYAYDEYITELEMDASLKDIDLLYFEIWRLVAKENRTYKEAVKVVYESDKFPIHKTALHAELNGGHIFVTMQEMIYSIVLESGIVLTDEEKKARDVFRKLSFSRHKPMNMARYAQNKVEIAKQLKLNNEDGFVPFRPFEL >SECCEUnv1G0528070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3147457:3148008:-1 gene:SECCEUnv1G0528070 transcript:SECCEUnv1G0528070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPFLVLTILVLSLQCNASAASPLVRDKETNILFYLHNIHADKDSSSVLVAQNANATGHARGIVPFSSVYVFDDVITEGPSITSKVLGNAQGMYIGTAKDGYTILMAIDVEITTGPFNGSSFILFSRNPLRSTRELPVIGGRGAFRMAEGYGMLRTVCVHCLNSVNPPSGDVIEYNVTLWHH >SECCE4Rv1G0260690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:697914252:697918944:1 gene:SECCE4Rv1G0260690 transcript:SECCE4Rv1G0260690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLHGAAPSAQSKGPSAPTVQEQKSKPRLSSVCVVHSRVASPSRRPASPSREPSRCCRSSASSIVLLPCFPPRDRGARARAREASAQEMAGDDDARTGGGGAVEEEPHVERLPADILAHVLSLLPSFHDLSMAGAVSRRWRRAVGRSLATRRRLSLAGQRTGDESTARLVRAAVNLRDLDISRSCWGCHITDQGLLDISSAACVGNLTSVSLWGLAGITDKGVVHLVSRARSLQHLNIGGTFITDESLYAVADSCPNLKSIILWSCRHVTEAGLVALVNKCLELECINVGGMRVSPESFAGLQSISPALRIRSIPQILNADVQVA >SECCE7Rv1G0456380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:10589920:10590540:1 gene:SECCE7Rv1G0456380 transcript:SECCE7Rv1G0456380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQFPTASARPSSASAAPTGGLKRPSLPPATSLSPSPAKKIARPVSAPCLPALSKKIPPRPVPSTAARPRPPQPPASASAARAAGPPRKTAQRPAAEAEAAPGRAAESVHPARRLACGTAVYVRTRYYVVIADGTGRCCLLIWLPARVVSSSDAYHCSVKYAADLHAMFAGKIVRVPVTDVRVAPNHRPSTAAATASQRPQQQAP >SECCE7Rv1G0471810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:134029166:134030401:1 gene:SECCE7Rv1G0471810 transcript:SECCE7Rv1G0471810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSHLTRDVRGKEEEEEEEEQEFFFATMEEEKAFPNDSSGFEFFMIMLSNSLKKLRMPDKFGKVLAGRVPRQVKLREAGSGRRSLWDVKVVLDADGHMYLERGWEQFARAHDLRLGYLLVFSYDGNAVLTVKVFDVSMCRRHYRHDDDDDASSDGGSGSDSSSSPEMGGVDDAPTSQFTVRLSGCHFLEKQKQYLNVPLEFHEAHGYARRSKVVLRMSGRSWPVTLKHTNRAGGKTRSSLRYGWHQFLVDNRLTVGDTCFFRALDAGRGGGEDHELKVQVRKLDGSFVE >SECCE2Rv1G0132240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888763001:888765103:1 gene:SECCE2Rv1G0132240 transcript:SECCE2Rv1G0132240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHPATVPCSLRCGAAVRRPVWPRSAGFLVRDGRRSNGVGAKLSCVGPTAWSYPHNFDSDSEAMPPGPWWGEALLQEDAEFFPLADFIPVGQGRKELDAIWHALVAGPLGSVQLTLREIVAAGNLFRCRSFHVGTLSGALLVVAGVFQLCKTTPTLFVDIVLGSVFYKLSVLSAQLQREGKSFSICARIQLVLVLILSFKDNSASQGFYRFLVEVIWFLNIYVYLIMAYDATVGVKHGRLEWLGVYRLLRTKGGLMKVLKHTFLDILGGNKKPVSIKRRNRKGQ >SECCE1Rv1G0004520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:19163453:19165760:1 gene:SECCE1Rv1G0004520 transcript:SECCE1Rv1G0004520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRAARLATPCFASGGRSAVDAAGEGHGGDCGVGQILSFDGYDAFDGATIHGVLLASNQSTIGAASSSSNSFSNQLSLSASSSGDSSSSFSFRTLQPGLFSGSLDYCASPSSSSSSGPNSGALSSAASRRGARTDEDIMADLYATRRRRRCQLEDAASGSPLLDRLRRAVASALRVGRAAKKQPAVTTPATNGGGGGATRSNGEKNGHAAEDKVQWARGKAGEDRVHVVVSEERGWMFVGIYDGFNGPDATDYLVANLYASVCRELPTDNDPPPPVDEQRRPPSSCNGRNDADLVRRRGRHGAVLDALARALRRTEEGYFAEAEARAAECPELAMMGSCVLVLLMKGADVYSMNVGDSRAVLAHRAEPDLTSVIMPPRHRHQHQHSADGHVTEEIRRQFDESDMTELVALQLTMEHSTTAYKEVRRIRSEHLDDPACILNGRVKGSLKVTRAFGAGYLKEPRWNKALLEVFRVDYVGVLPYITCKPFLRHHCLGPRDKFLILASDGLYEYFTNEEVVGHVEAFTSRFPDEDPAKYLSHEILLRAARQAGMGFHELLEVRQGDRRQYHDDVSIIIISLEGKIWRSSA >SECCE6Rv1G0390160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:177249512:177253558:1 gene:SECCE6Rv1G0390160 transcript:SECCE6Rv1G0390160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCGSLSSWVRRLVACVGNCFGCAKSTPITAVDEPSKGLRIQGRSIKHRSLSEDFWSTSPREMENSALQSQRSMSSISTAAQSSEQHGAGSSSNPNEYVNQGLLLWNQTRQQWVGNRSLNSQRQKTREPKIGWNATYESLLGSTKTFAQPIPLGEMVDFLVDGWEQEGLYD >SECCE2Rv1G0117850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:777628234:777629203:-1 gene:SECCE2Rv1G0117850 transcript:SECCE2Rv1G0117850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEELKRVDLKVNVSCCDGCRRKVMKAMNLKGVLRTEIHPSLDRVTIVGNVETKVLVKKLAKVGKIAEVVEASPEQPKKREGDGGKDRDGGDWTVPAMAGEKSKRKDDAKDNGGDKPAAASSKKECTKCAHQHKQSARGGGDADDHGKKAQGAAGDADDHGKKAKGAAGDQGSAFKQEDDGFAAEAKPSHPDHEPAAAHHNYYRAEPPSMAVPMQYMPTMPYYAAANVTAPSYYNGGGYYGMPPPPPAPMPWRPPHQQLVRPQPSRFDVDYFNEDNAVGCHVM >SECCE5Rv1G0331740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:540996113:540997954:-1 gene:SECCE5Rv1G0331740 transcript:SECCE5Rv1G0331740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHTIAFFLLLVLLGLSLAHASQEYQLRKFILSRSEKRLALRASNANTTEGPDPWADASSFSHLPTRFPSPPVGAKAADKITALPGQPPRVNFDQYSGYVTLFYYFVEAPYEADSKPLLLWLNGGPGCSSLGYGAMTELGPSLVNPDGKTLSRNKHAWNNLANVIFLESPAGVGFSYASNNSNNNNNVGDPRTAEDAFVFLLHWLEGFPEYKGRDFYIAGESFGGHYVPQLATVIGYMNEHYGGTLINLRGILFGNPYLDDYKNDEGGLEFLWNHRVISDEGWANIRANCTFTPKDDWQCFVASRKPRIGNIDLHNIYAPICLRSERDNTYHSAGYSRSISFRQSPLFIVCRLVKRGLSAWLYRYYMCMHTMSIEQNKDLNLPITKPWRPWYTPDSDVGGYVQQYKGGFTFAWVRGAGHLVPSYQPKRALVLLYSFLKGMLPPSDIPS >SECCE3Rv1G0160240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:103697097:103713752:-1 gene:SECCE3Rv1G0160240 transcript:SECCE3Rv1G0160240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPQLHFILLLLAYYCPTRIAANSGNGTTVQCLPDQASCLLQLKHSFHNPNLSSWQHGTDCCHWESVGCDKASGQVITLNLSDRNLQSISGLSPALFNITSLRNLSLSGNDFGLTNLPRFGFERLVELLSLDLSNARLAGQIPIGNLSNLRELCLDEVDISRDGETWSNSLANSAPQLQFLSLYACGLSGHIHNSFSRLQYLEEITLSQNSISGEVPEFLADFSSLSTLDLRYNEFEGQFPTKIFQRESLRSIDLSWNTRLSGRLPNFPVENSLELLDISDTNFTVSIPNSFVNLKFLASLALSMGEVANGTIALISKLPSLQSLMLHGSGSEKPNLSWIGNLKRLRYLELEHYNLSSPIPSWVGNLTSLTSLLLRDCSLYGRIPIWIGNLTNLSRLYLTSNNLQGDIPKCLFNHPNLEELNLGSNQLSGHLEDVSAPQSSPLSYIALSSNQLNGHIPKSFFQLTKLEDIRLASNKLEGTVELSLLSGLKYLRMLVLSDNMLSVIDREYPFPSLPNMRDLYLVSCNLTKIPSMLRYQYKMRDIDLSRNNIDGVIPCWMWENGKNSLRLNLSHNIFTSLEKCRPLNPAMRSLSFLDLSSNRIEGNLPIPLISGIYGEVLLDYSNNSFSSITPAVDIHINSSIYLDLSKNKLDGDIPASICGANHEMLDLSYNNLTGTVPSCLVQHGYVKMLKLRNNQLDGMLPENIGEGCMLQTIDLNSNRIEGKMPRSLSNCRSLEVLDIGNNHIVDSFPTWLGVLSSLRVLILRSNQFYGSIGSLTKGVAARNFFSGMQIIDLASNNFSGNLHTEWFEKLETMMSNSSSEGDVLAFKNTRFSDKLYQDSMVITFKGSDLTFTKILTTFKVLDFSNNAFDGPIPDSVGKLIALHGLNMSHNAFTGRIPSKLGDLAQLESLDLSRNKLSGVIPRDLTSLTYLAVLNLSYNNLTGMIPEGQQFSLFTNSSFEGNEGLCGRPLSRKCNNSGAETPSSSASSQYSVGTIVLFVFVGSGFGVGFAVAVVLSVVCQAKRWNCNRFLFHQ >SECCE4Rv1G0271300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:760282631:760283608:-1 gene:SECCE4Rv1G0271300 transcript:SECCE4Rv1G0271300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLTTVAALLPCLFLDYGDRQPGTLYSVSDGARHPCDVDELRCSKRSWVTSHGWVLVWDPATLATFLWDPHAPPDDNNMITLPSLTHLPMRAGCALSAKPTDPNGYTVLLVDKSASLIYYCHVGHPASGSGWVRHEYNVGSIPLPSNDGTMKRIIYRAASCHGKFYYLRKHGEMGVIEFSSMGAPVFSTTPMKGVQLAPVGDCMAAASAYLVDLDGELYVAYIFFHNTDFRNIADVDVYRLDFDAKEPVRVEGIGARAILVGSSCSLGGWCPATTLGLLPNSVYWMSLHDGLLHVYDLEQKTEEVKEPCRCCEAITKTFLAAS >SECCE3Rv1G0170550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:255241765:255242427:1 gene:SECCE3Rv1G0170550 transcript:SECCE3Rv1G0170550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTTTTACTRGLLKGLGAKLHACASRLRNQFFFPASTASSVLLPALALLLCGTALSRVVVYFLPLVVSTTICCAAVYLLASSESSEGGAAKEVVLLWGDRVEVGLLEVYGGANASAYGDRRDVQVGCFLHRRAPSGGGGGWKKLGVDENGEEVVLAGRVAVGSSSVQDGAALEEELVALQVDRLAEGVWDRYFGGSSGWNNVTAESEEIDRSIEQLA >SECCE4Rv1G0218690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:23975765:23976376:1 gene:SECCE4Rv1G0218690 transcript:SECCE4Rv1G0218690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQRRHRAGAPKPSWLVTIKATPPAPARGDAAKKLAAPARSPILPSPSVWQKGMSVGDRGDTGVAPASPRIGCMGQVKGARRCSRARGPISSRGPAASGLPCGSLAGLLMGLFRRRSTGRKSRAYSKVRDVASDSSSSGSARASSSSGSAPGARAPRPLELAPPLPVPAPVVRRPAMSENAPSLWERRRGGAKVLEGLQLT >SECCE7Rv1G0500060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:661535456:661535737:-1 gene:SECCE7Rv1G0500060 transcript:SECCE7Rv1G0500060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRIGPILGHTMHYRRMIITLQPGYSIPLPDREKN >SECCE4Rv1G0265660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726965870:726973932:1 gene:SECCE4Rv1G0265660 transcript:SECCE4Rv1G0265660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRIHLRTVTPFDSHRAFYQFGTIISDPHKNNRRGEERGAMEATVLSVGKSVVSGAVNYAQSAVAKEVALQLGVQRDQAFIRDELEMMQSFLMAAHDEGDDNKVVKTWVKQVRDLGYDVEDCLQDFVVRFEKSPCWWRILRTLLDRRHVAMEMKDLRAKVEDVSQRNLRYHLIRGTAAGSKSVAITAEQYSAGSKASATMFGVDKARRAMKPRVDLAQLINKEQGKDLRVIAVWGTCGVVGQASVIRNVYDDLGKFECRAWIKLMHPFDPIMFMQSIMRQFYGYSSSPELAAVGQSCRERASTIGAKVLKKMLMMKQEDLVDEFNEHVNNKSYLIVLNDLCSIEDWDWIRTYFPVNGNGNRIIVATQQVEVASLCVGRKYRVSELKQLSVDQTLYVFYHESTQGGANSVVPESSTNATAIVTTNRTNSSVPTSEITAGGDQAKDAAGGRNAVRRSLSSMLSVTAVLEESEVVGRETEKSHILQLIVDPVNKEFQVISVWGMGGLGKTALVKDIYESRELSGVFDKHARLSIMSPFSYDELLRSLAIQLGVAETSGGMVYSSRRDVERLRYHLESFVEEKRCLIVLDDLSSTTEWDMIMNFFPDTKNGSQIIVTTREENVANYCSKHQENVYKLKGLDKKHALIFFTKKVFKENECSYQQYPELVEQAEQILKKCNGLPLAIIAIAGFLANQPKTVAEWRKLNESLSSDLEVNAELDTIRKVLLKSYDGLPYHLKACFLYLAIFPANYKICLTRLLRRWIAEGYLIEVRGKSPYEIAESYFMDLISRSMILPSWRSSHSRKVMDSCQLYDIIRDVVISKSMEENLVFRLEEGCSLNSQGTIRHLSVSNNWKGDENEFESTVDLSRIRSITIFGRWRPFFISDKMRLLRVLDLQSTSGLVDHHLKDIGKLFHLRYLSLRGCNGIYHLPGSLGNLRQLQTLDITGTNIIKLPKNITKLRKLQHLHARGVGSHDDYVYPYPDEAPKLMKNKLCLLTCSSVGFCVACCAPQRLKGCMSIDGDTNRHDVCTVCCCTFLPMLAARRCSRGVVMPKGIYMFKALHTLGLVNVSRRKATLQEVARLTGLRKLAVTGVDESNGGELCSALTNLSSLETLLVQSEGKPGLSGCLDGLSSPPENLGSLKLYGNLVRLPACINVRLKNLVKLKLRSSRILNHDAAIQVLGSLPNLAILHLRKESFEGEEVHLRFCKGSFQNLMVLELSSPGKLKSVEFQKGTTPKLELLEYYGQPEQDFASKENNDQSEEVPSAWLFSGLAFLGSLKEVLLKGGNYKGDFVRNLRSQLESNRKRPILKMD >SECCE6Rv1G0425440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:707342423:707343337:1 gene:SECCE6Rv1G0425440 transcript:SECCE6Rv1G0425440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDGPDQKDQQDVKDEQQAHKDDGQAEKEYADFEARVKRTIYIDHLSPLVTAQVIKASLAQCANVVNTEFIENYTIQYEIPAAALVEVDNESQAQAAVDLMNNFPFMLGGMPRPVRAVFARPEMFPDRPRKPGLKIEFSWVKQGDPGYDGMNKLKGLSRRQEAENMALIKNQLEEEKELAAQQQETLEASNSKYDMLEKLMSDGDITRLAQHYKVKLGDD >SECCE6Rv1G0444840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:831930098:831935645:-1 gene:SECCE6Rv1G0444840 transcript:SECCE6Rv1G0444840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPHFNLGLVPRDMNGGIPVSSANSSGPSIGVSSLVTDGNSSLSGGAQFQHSTSMNADSFMRLPSSPMSFSSNNISGSSVIDGSTMQQSPPQEQMQKRRSSSATSQPGIEADGAFHGQKKPRVDIRQDDILQQHLIQQLLQGQSSLHFQGQHNPQLQALIRQQKLAQIQHLQQHQLSQQFPQIQQSQVGIPRQPQLRPPLAQPGMQLPGPVRTPVESGLCSRRLMQYLYHKRQRPENNPITYWRKLIDEYFAPRARERWCVSSYEKRGNTPVAISQTAQDTWRCDICNTHTGKGYEATYEILPRLCQIRFDHGVVDEYLFLDIPNEFRLPNGLLLLEHTKVVQKSIYDYLHVTHEGQLRIIFTPELKIMSWEFCSRRHDEYITRRFLAPQVNHLLQIAQKYQAAASESGPAGVSTNDAQAICNMFASASQQLAKNLDHHSLNEHGLSKRYVRCLQISEVVNHMKDLIDFSQKNNLGPIESLKNYPRQNGPKLTMQNMHEAKGVNTEISTHGNNEAPGVGTVSSSPQNAVAQNNYQNMLRSSSANQGLLQQEASQNAAALNNYQNMLRSSSANQLQQEASQNAAALNNYQTMLRSSSANQSLLQHEASSMFKGPTGMHNGVQLEVSRSFRAGQLGQFQQHPMSFQQAMPQHQQNSFGAGASTQYQQHLIQQLLQEAKSSNSRAMAQQQQQPPSVSGPVIANNPASGDQAHHMNNGAVKGAAPTGTTGPSNLINSGAGIVQRCSSFKSVSSNPAAGVAASGGNPASPKVADSMHEEDELDHLISSELAESGLFMGEQQGGGAYSWNM >SECCE7Rv1G0457950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19530547:19531104:-1 gene:SECCE7Rv1G0457950 transcript:SECCE7Rv1G0457950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAASLLPGLLPTPPTKPCLIILPASFASKTKPGRADSVERWDAHKKDKQPRSPASSCSSSSSPGRASSCERWDINKKISGSSCTSSSSRRSCMIPGASSAGRWDAHKKPRPSQASAVSWTSDKEEEEEEERAAMVPKPTAPRIGPIFSGPSLVASPDPSMLPMPAFFGSRNPNPGVLPVQAF >SECCE2Rv1G0123230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:822625262:822627515:-1 gene:SECCE2Rv1G0123230 transcript:SECCE2Rv1G0123230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRHLVGVGPALPGCYEPGAPGKKAKDARCEESDDMATKTNSSAAAAASEEDSRRLLEPESTARPFVLPGPADDGAKSRRTAPGRRRACNWLRLLFYSPPLHPPPDFRPKMSRSEIKAMNHKTVLRCLELYNSAHPGDEYEPAPGKVTRRGKLDNYGFWTHGNFVARRKRSGCLSFVPAPRTLFFFELIDRGDDHEVLTCIRLDEPVTEAYVFLGIPLGRGTRRNGESDCVCKTCYRRFHVPHAGLTRKCTCEDSKVERVCKMCYLDADVLHPFRGGFRFGHHQDIFEKRYCSYY >SECCE6Rv1G0442640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:818239040:818242164:1 gene:SECCE6Rv1G0442640 transcript:SECCE6Rv1G0442640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETGPAAPRLLLGPPLVRAARPSPADDDAPESHPFLDLLDAAFNTPSAAEAKAALIPRRARTENCNATYANSGDPCVDFFFHVVPATPAARVRELLAAAWARDPLTALKLACNLRGVRGTGKSDREGFYAAAQWMHDHHPRTLACNVAALAEFGYLKDFPELLFRLVRGQDVRKVAKEGAAADKARREGKQLAELRVGLRARLAGRKRAREAAARPVAEAAAVAEQKPQAMEVDHKASKRRGVPKKVRTMAKLAVQSLETYYGDRAYRFLFDAVADFFAALLASDMKQLAPGGKKRRIGLAAKWCPTPGSSFDRATLLCEAIASRLFPRDSDPEYAELSDEHYSYRVLRRLRREVLVPIRKALELPEVYMCAQRWDELPYARVASVAMRRYKALFQKHDEERFAKYLEDVEAGKAKIAAGAVLPHEIAAAAYRGEEDEVAELQWRRMVDDLRAKGSLRNCISICDVSGSMEGTPMEVAIALGVLTSELSEEPWAGKVITFHTRPSIHGETLRDKMRFVAKLEWHGSTNFQGVFDRILATAREAQLPPEKMIRTVFVYSDMEFGEACGRGIYNGMPYGEGSWDTDYAAICRKFRDAGYGDSVPQVVFWNLRDSKSTPVTSTQPGAAMVSGFSKNVLKIFLHNDGVVNPEAIMSQAIAGDEYHKLQIYD >SECCE6Rv1G0423320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695416755:695418321:-1 gene:SECCE6Rv1G0423320 transcript:SECCE6Rv1G0423320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKAEVGSGDMLSKLPGEIQACNGDRLSELPADVLLNILERVSTLDAVKTCILSRKMQKMPTMLSQIVIDLRSCDLFQKSDVVADVTKKILSRRPPQITVRKLKLKFVLSPSCCLSIGKSVGHAMTTQKFEAAEFDIRTPVDFNICTDAHRVLFAKQFNNFVRDCPDAFAGLTRLRLRNMTFGESDIPNILSYCKRLKSLSLFMCGVGISSVLHVEHAQLVELVISYCVFKTVELSCLPKLQRMTFGDWPCDENPLVLAFVPQLSKLSLANPNFSGKTLNLSKLLANAPTVNDLFLEFRSEKIWVQPECPKVLAPVLAKLRFVNLDHIPEECDISWTMFLLEAAPSVEDLCITVWDHKCQRESHKSYSKKTDVKWEASDPDFKHKNLARLTIYGFQSDDNFTGYVRRVIQAAVNIRKVSLHDRKVCRLCVKKFPHAEVRPSGYPRTSEEMDLSRKKMTAAATVTACPDIHFFS >SECCEUnv1G0551690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:238360834:238365956:-1 gene:SECCEUnv1G0551690 transcript:SECCEUnv1G0551690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMVRRLALSCYPAAALLLLVFLDAPITGAQPLPWQLCNATAGNYTDGSAYQANIRILASAFPMNASSSPALFAKGSAGTAPDVVYALALCRGDTNASSCAACVTAAFRNAQQLCAFNKRATMFDDPCTLRYSDQDFLANVTDNRGRSGAFNANNVSAASAAAFDAASGRLVNATADYAAADSSRRFGTGEVGFDQTYPKIYSLAQCTPDMSAAECRGCLRDIIVQYTPRYFLGKPGGRIVGVRCSFRFETYSFFAGRPLLQLPAALSPAPAPAATAGEGRSRHKTGLVLAITLPTAAALLLLISTCVCFWRRSKPAAERQPAVPYSTNQDDIQSIDSLLLDLSTLRTATNNFAESNKLGEGGFGVVYKGVLSEGGQEIAVKRLSQSSTQGIEELKTELVLVAKLQHKNLVRLVGVCLEEKEKLLVYEYMPNRSLDTVLFDAENRGDLDWGKRLKIVNGVARGLQYLHEDSQPRIIHRDLKASNVLLDSDWNPKISDFGLAKLFGWDQSQAVTSHIAGTYGYMSPEYAMRGQYSVKSDAYSFGVLVLEIITGRKNSSFANSEQSIDLSSLVWEHWTRGTVEELVDPSLGGRSPGGHLLKLINIGLLCVQDNPTDRPTMSAVNIMLSSNTVSLQAPSRPTFCIDEMEGFSGMYSTGDCKPEGVSVNEVSLTELEPR >SECCE4Rv1G0280580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:822412965:822414680:1 gene:SECCE4Rv1G0280580 transcript:SECCE4Rv1G0280580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTCLFHLVLIGVLLLLLARKSSSQPTSGNQTTMTLLAIKKQWGNPRQLASWDPVANADHCNWTGVVCGGGRSGAVTGISLPKLNLTGKVPESLCDLPSLSRLDLSYNYLTSKFPGASLYECSQLRFLDLSNNAFHGILPHDICRLSPGMEHLNLSTNQFRGAVPAAVAGLPGLRSLILDNNQFTGAYPADEISKLAGLEQLTLASNPFEPAPAPPEFAKLTNLSYVWMSSMNMTGEIPKAYSSLANLQLIAVNNNNLTGEIPAWVWQHKKVEYVYLYNNGLTGELPRKIMSVSLIELDVSSNQLTGEIPEDVGNLKNLSILFMYTNQLTGSIPASIAMLPNLRDIRLFENKLSGELPAELGKNSPLGNLEVCKNNLSGPLPESLCANGALYDLVLFNNNFSGQLPKSLSDCILLNNIMLSNNSFSGKFPPKIWSFPNMKNVSIHNNGFTGSLPANICENISWIEMGNNKFSGSLPTSATRLNVFKAENNLLSGELPADMSKFPNIRKLWMSGNQLTGPIPKSVNLLQKLTSLDLSDNRLSGMIPFDSIALLPSLYLLDLSGNEFTGGLS >SECCE7Rv1G0481840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:292409512:292413937:1 gene:SECCE7Rv1G0481840 transcript:SECCE7Rv1G0481840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPYLKSPATAAFLASQRVTVAPRATVLGATTQPPRRLSCSAAVGAGARDLEMSAPLDWAARSVGELEQATDLDTFCMMALSPLDGRYFRFIKDLMPFFSEFGLIRYRVLVEVKWLLKLSQIPEVKEVPPFSKEAQLFLDAIIQDFSINDAKEVKQIEKITNHDVKAVEYYLKQKCSSNPEVAKVLEFFHFGCTSEDINNLSHALALKEGVNTVMFPVMIDVCSAICSLATENAHVPLLSKTHGQPASPTTLGKEMANFAARLSDIGKSFSEVKILGKFAGAVGNYNADVVAYPEIDWPKMTEEFVRSLGLEFNPYVTQIEPHDYISKLFNLFVQFNIVLTDFDRDMWSYISAGYFKQIAKAGEVGSSTMPHKINPINFENSEGNFSVSNGLLCTLSMKLPISRLQRDLTDSTVLRNLGVGLGHSLLAYKATMQGIKKLEVNKVRLDEDLEQTWEVLAEPIQTVMRRYGIPEPYEKLKEMTRGQSVTKDSIRQFIEGLELPEDARSSLLKLTPHSYTGEAENLAANIWNVVDLKSGFKIK >SECCE3Rv1G0160350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:104436397:104436993:1 gene:SECCE3Rv1G0160350 transcript:SECCE3Rv1G0160350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPPPPWKAMYLDVIGEAIRSAAGVRESAASARDVLESPLVLDTRDAEGRYSLLDAAATHLVHASDSLSAFIINILVAERLTLHGCAAVPSEPVARIDDLRDAHGRHAEWLALSRLEAAREHAEEELRRVERAFTLLGSVRFMLLIPNADAPGRRQAMEGQLHALDLQPVVVGVASMSELASLATEPPIRHRIQFH >SECCE7Rv1G0470000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:115324688:115327030:1 gene:SECCE7Rv1G0470000 transcript:SECCE7Rv1G0470000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSENESDGDVGTNPAEGGSSLSLPPLAAGPAVCVLRSAGDFAGGAFVGSIFGYGQGLLSKKGLKGSLGNAGSSAKSFAVLSGVQSLVLCLLRKLRGKDDIINSGIAGCCTGLALSFPGTPQALLQNCATFAAFSCIMEGLNKQQTAMAHTLGGNALTFAHNNGGGVLPPFTLPPILDASDAFASCCQALVVKPKKH >SECCE2Rv1G0096600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:441428218:441429003:1 gene:SECCE2Rv1G0096600 transcript:SECCE2Rv1G0096600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALOG domain-containing nuclear protein, Transcriptional regulation, Specification of sterile lemma identit [Source: Projected from Oryza sativa (Os07g0139300)] MSATGGGPGASPPLPATRLSRYESQKRRDWQTFVRYLAAHRPPLELRRCSGAHVLEFLRYLDRFGKTRVHTPPCPAYGVHAITAMAPCQCPLRQAWGSLDALVGRLRAAFDERHSRSGSAPPPQQQQDASCNPFAARAVRLYLRDVRDAQSRARGISYHKKKKKRKLAAGSCAAEASSSKNGGGANAKSDSERGHKTTMPNRNVARVPQAPPPLPPLPPCLAGVPFECGSDTGSIGGGGGGSTGAGCYGGIYLPLLFNSFN >SECCE4Rv1G0292400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882427521:882428941:1 gene:SECCE4Rv1G0292400 transcript:SECCE4Rv1G0292400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFGDVVDNFKLDTMVRYAGEKKSQKDRALQASLLISEDSKDEKAVKYVRELKSWWGNGVSTLCLIYNQTGNTLRWVDDADWFGYIGTTPYPPEIGNGQWASFLHVKKTSVSSGSMAGLVYRGKDGNGRDRDYMLGWSAPWGAYRNKAYCEVGTVGSFQSRLNNGDLYRRVSNAWYEWDANDSNARCSVRATIERGTSPLFTAYVKTVYD >SECCE1Rv1G0050990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:650851966:650852340:-1 gene:SECCE1Rv1G0050990 transcript:SECCE1Rv1G0050990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRMLLLATILLAVAAVRASGQGLSPPPATAPAPSTCGGDHCSLNDIVQLGVCIDLQLGMRSPVDKDRCCRQMREMPNAAECLSTAFSQADLRVRANISDEVNAVLTSCGMAHVLDHECLIF >SECCE3Rv1G0198000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:825228136:825229301:-1 gene:SECCE3Rv1G0198000 transcript:SECCE3Rv1G0198000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II protein Psb28, class 1 domain containing protein [Source: Projected from Oryza sativa (Os01g0938100)] MPAVMKALAAASPVSAPPSPRRSVAGSSGAPCQSLHSSFRGIALRCRPRKPVHRAPPLRSSRAAAQVVAMKPAIQFIQGTDEQTIPDVRLTKSRDGTNGVAIFEFDQPSVFDSSAELGDITGFYMIDEEGVLQTVDVSAKFVNGKPARVEAKYVMRTAGDWDRFMRFMERYSLANGLQFVKN >SECCE4Rv1G0250920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:597640182:597642723:1 gene:SECCE4Rv1G0250920 transcript:SECCE4Rv1G0250920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoacetylglucosamine mutase [Source:Projected from Arabidopsis thaliana (AT5G18070) UniProtKB/Swiss-Prot;Acc:P57750] MADPGDEQRAALLAAASLFPVPDGATFSYGTAGFRADGSTMAPAVCRAGIVAALRSVKLGGAAVGLVITASHNPVGDNGVKIVDADGGMMSQAWEPFSDALANAPTPDALLQLVLQFAKDEGIALGGGHSAQVLLARDTRPTGEYLLDVAVKGISAIVGSVALDMGILTTPQLHWMVRNKNRGLKASEADYFKQITESFRHLLELTPDDKGIDELNEKLTVDGANGIGGLKLEQIKPNLARLNILVRNSGKEGEGILNERCGADFVQKEKVLPLGFGPNDVGVRCASFDGDADRLVYFLVTSLSKTSVDLVDGDKILSLFVLFIREQLDIINGKDNKGLLPTMFGVVQTAYANGASTEFLKNIGLEVVFTSTGVKYLHKKALEYDIGVYFEANGHGTVLFNDEFVSRLESLIAKLSEAAGSPQHQAALRLLATSQLINQAVGDAISGMLLVEAVLQHKRWSFQNWCDLYTDLPSKQLKVKVKDRTSVVTTDAERKVCQPAGLQELIDKEVVNYSHGRCFVRPSGTEDVVRVYAEASTVEAADSLAKSVAQHVERILGFC >SECCE5Rv1G0351240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:697640137:697640763:-1 gene:SECCE5Rv1G0351240 transcript:SECCE5Rv1G0351240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLAVNAVVLALSLLVFLHACPSADARPMSTRPVSSGTSALAVPPSASVGAAHWRAADAAARAGKWLPYYRYAGGGGLHYHPGYPRYPGYPAEGKPMMWGSGAPPELGAYAASGRQLGKSPYVDATRQEQVAMWASLLNPSKGPTRPTSWLPANGGDEPADQARDEPKAYDGAAEGTEMEVPPGGGGVHTGQQPKWGFYPGNKNGK >SECCE3Rv1G0189860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:731981394:731986307:1 gene:SECCE3Rv1G0189860 transcript:SECCE3Rv1G0189860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6A [Source:Projected from Arabidopsis thaliana (AT5G46250) UniProtKB/Swiss-Prot;Acc:Q94A38] MDGQAPHPVAVAPESLPKRPPLLETEAEDDPVVVPDAPDAASSEPSKVGALSTSPLIKAEDDPVVVPDSVEPASSEASVVGAGGVVPPPPPPSRPLEAEEDPLIVPEATNVGTGSVVPLLLPSPPLEAEDDHLIVPVTDASSEASDVVTSGVVLTDELRDKIVNQVEYYFSDENLPTDEFMLKFVMKHKEGLGNVPLGVIASFRKMKKLVQDHSTIEAALRTSSKLVVSSNGKRVRRLHPLPCNELKDVKKRTVVVENLPLDFSLESIQEKFGSVGKIMKITIHDPHAVGESAASKKPDFMLSNKVHAIVEYEAVEAAEKAVATLNDERNWRTGMRVILLAKRSVVGSGKNIQSSKENHGTYLRKNNEGQFSKEVQQSVSEKNGGADSGEVASDKENVNSDVNHEEVRQHQRANASGGRKAGYRSQGKGLSGQGHGSSPTIPGSDSANKPVSGPKMPDGTRGFTMGRGRSLPLPKAEKAQKTEE >SECCE1Rv1G0039640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:551132088:551137311:1 gene:SECCE1Rv1G0039640 transcript:SECCE1Rv1G0039640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQGEGGESSWGMASDRGGRAVPFGQALGYGIQGHAPPPANFLRELQPAAAAYFGELEEALIHGTCAAGVDPVMIERDAHTKSAAVAAAAGYLAARPPTLEIFPSWPMSHLQQPYSGNSQSVGSTDSSSAQNTMSQAELVSPVSMRTDSGQQQQQQQQDQQEALMVTIDDYNYSQGLGAAPATAPSFQQHAGAQDKRRHGSTRKDGKLVDPKTERRLAQNREAARKSRLRKKAYVQQLETGRIRLQQIEQEIQRGRSQGLLAGGCSAPGEMSSAAVMFDMEYARWLDEDTKYMTEIQGALQAQVLDANLGTIVEDCMRHHDELFHLRAVLARSDVFHLMTGMWATQSERCFLWMAGFRPSEILKMLIPQLDPSTEQQLLGMCNLQQSSEQAEEALEQGLKQLHQSLADAVGAGPLNDGADVANYTGLMALALDRLDNLESFYRQADNLRQQTLHHMRRILTTRQTARCFVSLGEYHRRLRALSSIWASRPRENFMMGENVSSTAAEFQAIHHQSQQNQFSGL >SECCE5Rv1G0297820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:5378636:5379799:1 gene:SECCE5Rv1G0297820 transcript:SECCE5Rv1G0297820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRSERQMPPPAADWSGLHQDTLSRIFLSLGCIGDRVRFAAVNQHWRGVALQTPPPLPWLLTPSTAGISCYRIFGGFADPQPPLAGAVRGARFCGSSPGGWFVVLLHHWHGHALLQLRSGERVPLPDHVRVTLSNRRTPPNFNFLRCPIMIRAAAMSVPPPSAACVVAALTTGQTTMAFWRLGMDCWSPAPRGAPCDAQDLTYHDGCFWAVDPWEQLFCYRPKIAGADGALTVQQLVYECCADQMTLAAPGEMVSRYLLSAASGEDLLMVKRFVDPARGGTRRFEVFRLDNQLGRTSWRLYKMEGQVLFIGRSCSKAFDTGRSGNPGYIYFLDDVYGGRPMSVLQQNEYPCTDIGGWSCSPEDEEIKRCLPGAHPSDSSPSIWYLH >SECCE5Rv1G0371170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845821002:845821328:1 gene:SECCE5Rv1G0371170 transcript:SECCE5Rv1G0371170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGSGVWVFKNGVMQLQPEQPAAGRKALFYVPTGERMSSLELLERRLGAHGWERYYENRDIVQLHRRDGGIDLISLPRDFTKFRSTHMYDIVLKNRDSFKVVDVPT >SECCE6Rv1G0401820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:474604873:474608548:-1 gene:SECCE6Rv1G0401820 transcript:SECCE6Rv1G0401820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAQQLPRTEARTLSGHEGAVLAVRFNHDGKYCLSCGKDRTVRLWNPHTGAHVKTYISHGREVRDVNASSDNAKLVSCGGDKQIFYWDVASGKVIRKFRGHNSEVNSVKFNEHNTVVLSAGYDRSVRAFDCRSQSSDPIQTIDTFQDSVMSVNVTNTEIIAGSVDGTVRTFDIRMGRETVDNLGHPVNCISLSNDRNCLLANCLDSTVRLLDKNSGEMLQEYKGHICKSFKMDCCLTNDDAFVVGGSEDGFVFFWELVDAPIVATFRAHSSVVTSVSYHPTKTCMLTSSVDGTIRVWT >SECCE7Rv1G0506190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:747217457:747218755:-1 gene:SECCE7Rv1G0506190 transcript:SECCE7Rv1G0506190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFATALVDAGVQVSFLHTERNLRRLAQAPPPGLRLLSIPDGQPDGHPPGFLELLESMSTTGSVAYRALLSAADAPVTCVVADSTIPFAFDIADELGIPSLAFVTHSACSYLAILSMPKLIELGETAFPADDLVRGVPGMEGFLRRRDLPRGLCWAERGGQDPLALKLAEVTARSSKARALIVNTAASMERSALAHITSFTTDVFPVGPLHARSRFAASASLWQEDDGCMAWLDGHDDRSVVYVSLGSLAVITHEQFTEFLAGLAATGYAFLWVLRPDMVQMASSALLREAVGAAAGGRGRVVEWAPQRDVLRHRAVGCFLTHAGWNSTLECAVEGVPMVCWPFFVDQQTNSRFVDAVWRTGLDIKDICDRGVVERTVREVMVSDEIWGVAQAMAQQLRLDVAETGSSSSELERLVRFIGELKHRAKPSSG >SECCE4Rv1G0253650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:628476835:628477428:1 gene:SECCE4Rv1G0253650 transcript:SECCE4Rv1G0253650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGKLTDGVWGPKHYIVAAIAVTFAVSAVAVVTSVILSPARIVFSVTATSTNQLVQGAPVLILNFTVDAANPSRRAGVEYSSLTARLRVYSASHGADAWVQTVVHQAMPLLQPPASSSSFRASAFFDQVFVAGKIGGIKGGRAQRAPPMVVLVRAQVRFKVGLAYSRPYDVEVSCQPVDFFTAAAAGARIGCVA >SECCE3Rv1G0195040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:789208860:789210472:-1 gene:SECCE3Rv1G0195040 transcript:SECCE3Rv1G0195040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMANVEALKRRLGEGEVIPYAELLRKCEEAGAARTRAEATALAGALDEAGVVHLFRDKVYLQPDKIVDLVRKAMPLALTPEDDPRKEELKQLQTHLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTATGLVVGYVYFLITSRDPKYREFMERMFQSRQRKLIQRQTFNLDRYLELQRCCKDPLEKIYGTSHFSNADIAHLHELSVHK >SECCE3Rv1G0205090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:898249431:898251884:-1 gene:SECCE3Rv1G0205090 transcript:SECCE3Rv1G0205090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAPNSSSAAAAAAAAAAAVSGNGVQGGAGGDRPEDPSKQNLAQVTGSIQKTLGLLHQLNLNVSSFSSASQLPLLQRLNALVAELDTMQKLADRCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKSLRKHLLEELEEAFPDDVEAYRQIRATSAAESKRLAQSQSDLPNGDAKVKPEH >SECCE1Rv1G0053460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:669830177:669856718:-1 gene:SECCE1Rv1G0053460 transcript:SECCE1Rv1G0053460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLLHGVVDAKILEADLSVGFDGQLRPTRKTVMKKKVFSWIKKLSFCNNCQQLENAIGIGGTAGKLYATVDIDKARVGRTRMVNPSNAPAWNESFHIYCAHDAGHIIFTVKADNTVGATLIGRAYLPTGGPVLAGQWVDQWLPICDDKRRPLDGGDRIHVQLRFTDVAADPVARWDAGVSAAYPGVPHTFFGQRRGCRVRLYQDAHISDAFAQRVHVTLAGGKPYQPRRCWEDVFEAIINARRMVYIAGWSVNTDVALVRDPRRPSSGTLGELLKKKAAEDVRVLMLVWDDRTSLGLGPIRRDGLMATHDEDTSTYFRGTGVRCILCPRNPDQGRSYVQDVETAAMFTHHQKTVIVDSSRDAGANASPGLVSFLGGIDLCDGRYDTQEHPLFRTLGTTHRNDFHQPNFPGASTNKGGPREPWHDIHCRVEGPAAWDVLDNFEQRWLKQGDGKNHLVTLDRGWAAREVVQDAESWNVQVFRSIDGGAVEGFPEKPEAAALAGLETGKDHVIERSIQDAYIHAIRRARDFIYIENQYFLGSSYAWSRDGTIIVEDINALHLIPKELSLKIVSKIEAGERFAVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYKDVSLAIQAKGIHASPKDYLTFFCLGNREAPGPGEFVPPEKPDSDTDYARAQQARRFMIYVHAKTMIVDDEYVIVGSANINQRSMDGGLDTEIAMGAYQPGYLASRGRQARGQVHGLRVALWQEHLGQAAATVDLLQPSSLACVRRMNEVAQQHWDMFASDAPPQGDLPGHLLAYPIGVNDDNGELLETTAFFPDTKARVLGNRSTYLPPILTT >SECCE7Rv1G0469240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:107191038:107192775:-1 gene:SECCE7Rv1G0469240 transcript:SECCE7Rv1G0469240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEEIVIAGAGLAGLATALGLHRKGVRCLVLESSATLRASGYAFTTWTNAFRALDALGVGDKIREHHLLYERLVAFSASTGEAAAKVSLKMQGKSGPHEIRCVQRNFLLETLESELPEGTIRYSSKIVAIEEEGNVKLLHMADGSTIRANVLVGCDGVNSVVARWLGLPKPILSGRSATRGMAEYPDGHGFGPEILQFIGQGFRSGVLPCSDTSVYWNYTWYPSPADGDAEESVGKMRQHVVAKLRAARIPAEALDVVERSEMSEVVSSPLRFRSPLALVRGSICRGGVCVAGDAFHPMTPELGQGGCSALEDGVVLARCLGEALGGGGHGSAEAALEKYAVERRWRAIRLVTAAYVVGLVQQSSNRVIKFLRERFLSGLLARVMVDMADYDCGKL >SECCE7Rv1G0461740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:39586575:39590000:1 gene:SECCE7Rv1G0461740 transcript:SECCE7Rv1G0461740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERAASKGHGLLSLFDWGKSKKSKKRLFATQGSTADGKEAVGSRPSTPSNSLLEDTSGLRESSEHSSSSSVIDEDTRAMKGPTVVARLMGLDSMPATSSSGSYPIPSTAQAQQTFTNNVHDEFIGRSYIGSPSPHKMPSSPIDRFGMEALPQRFAKRTLSGAQHKLFSPVKNPNHTSGRNAADIMEAASRIIGPGVESNTSYRVRDVGYSNVVRAFNTSEIVRVQQMSQAAKKRDTSASAKAPRAKPFDGSLATSETASSSRFSESTGNAPVAPRVKAASRLSLDPRAASTQGSGGRSKNSRKPATHMDPEHNMAERNRGNQQKSNNQTAASSSNSLEQNNRKRNAMGVKHKVNQKSARLSQQGSNIHSTNASPRKAGITSTRTESSTKVNAKGEMQPTNYANRRLNSTAKTIPKPRRLPDGRMHPKKSQSIDKILAERIQRRVQNNIGTDEQSSISTNKNKVSTEIVSFTFTSPVHKSLPGSRFRNHSVETRSIESMNSAPTSSNTSNTKPDDIDGDYLGILLEQKLRELTSRVKSPYSKPANGVRVYAPSPGSEDTSSIASTEYDRESSQPFKDGKNKFHQNDLEPKSGQSSQSVKYDNDFIDQVELEHLHFSPRSTWEVSVSTETETCCSAESWTNPNESRLFSSTEGAATSGSAQDGGSREVDASSEYSDTASSVTSTTAETTHPSESSSSCRADLDPEIDFLRELLNASSLSGCSSSLFERSGSSAVLDPCLLEELNRSARPAAGEEDGGKAWRMSRRLLFDCANEVLSGKCAYYLDAGYGSWFTGAAVLAKLSAEELHREMSGGGLQVAEEAAVDELVYREMGGPRGGAWVEFKAESFEAGRDVAAALLEALVDEAVADLLLAGSDRCG >SECCEUnv1G0559200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:336388888:336389208:1 gene:SECCEUnv1G0559200 transcript:SECCEUnv1G0559200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASLSAAVAVDRRVAAPRRGLVVARAAKVESQQEPAAKLAAVEPAADGRRAVVFAAAAAALSAIGGVALAESDVKKGSPEAKKKYAPVCITMPTAKICHN >SECCE3Rv1G0148210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:18709087:18710083:-1 gene:SECCE3Rv1G0148210 transcript:SECCE3Rv1G0148210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRVVVVVLLAVSCALLSVAADTTNTPSPQPFVWQKAHATFYGGADASDTMGGACGYGNLFSEGYGIRTAALSTVLFNDGAACGQCYKIACDRKRADPLFCKPGVTVTVTATNFCPPNDALPNDNGGWCNTPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCTKRGGVRFKINGHDYFNLVLVSNVAAAGSIKSMDVKSSDSEDWMPMARNWGANWHSLVNLSGKMLSFRLTNTDGHTLVFNDIVPKGWTFGQSFVSKLQF >SECCE2Rv1G0138490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921758848:921760383:-1 gene:SECCE2Rv1G0138490 transcript:SECCE2Rv1G0138490.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQPSSAAGDYPSWVMLDRLGGFLDSSTGDARTLARCLSSVGRPVQASFSLVAPPALSTLHLIVGVVGKIYKTHHTVMAAHGDSVLIRLVFLSDRYRHWHPDDGQPPELFVYNAGDGAARPPSLSLLPPYDMNMVHANTGILRLGGDAGGGGQFVVASLNLKTEYYNKTAKDPVVEVRLYMLRSSRCDWELTSVLPVSHANGQEMELDGLFHWQTDAVIPVGDRFLCWIDLCHCQGIIFSDFMPENPELRYVPLPADPLQAADVVSQNVCVTGDGSAVKLVRVLPRCCCGGPGATKCARSQHAFTITTWTLRTDDMTWEENGLIDSDEVWAMAAPVVPRFRLQFPVVSLDDPDVICLASREPRLYHGKGTAWLVTVDTRRKTMPSVCRVDDKIQWNRVLLPSKVSSYFNAACCASSSHKDTVVVPTATTRDKKERKISAAIEEIPGMTRDEMVRAHKVLAGDDRRMRFLVALPVDMRKEYCMIMTQVGATSAVRQLLAMIFLYLRACNRI >SECCE7Rv1G0474880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:178647356:178650268:1 gene:SECCE7Rv1G0474880 transcript:SECCE7Rv1G0474880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSSARSSSSSGREREQGGVGPFGQVRVLVVGDSGVGKSSLVHLILEGSSIARPAQTVGCAVGIKHVTCGSAGGSSNNISNDAERNFFVELWDVSGHDRYKACRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAILGSGGPGGLPVPYLVIANKVDIVPSDGTRVRSGSIVDVARQWIEKRGLLPRSEELPLTESFPGNSGLISAAKEARYDKEAVTKFFRMLIRRRYFSNEPAAPSPWSLTPREDNILPVVTRKDDADSFQRKSYSGEDFMFNGVPVPPLPAQRNLPPPPTLDPQLPVFSSDNYRYHRFSSPSLTEISSNRTMSSNRTSRENFNV >SECCE3Rv1G0146820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12435901:12440672:-1 gene:SECCE3Rv1G0146820 transcript:SECCE3Rv1G0146820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGGAAVAFALLGAVVVGAAEGDSLAGLAAGIDKAAPEVKELGPWAKGLLNGMPDGAAGPAAMGPVAKYPLVLAEDRTRRPDVLRHLRMYGGGWNITSKHYWASVSFTGVAGFLLAALWFISFGIAAASFCFCKSRVGKAKVSHADVARPVLLVVAVLALITGCIVLLYGQNEFREEATDTLDYVVNQSDFTIQTLRNVTDYLSFAVTINVAALYLPSDVQAQINNLKVDLNKAADTISLKTTENYKRIRKVLHNVSVALICIAVLMPVLAFLGYVLELYGPKFTVYIFATICWNIVAALFILIGILLIVSSASKDTCQAMDEWAEHPRAETALSNILPCVDASTTNRTLYQSKQVVVQLVKLVNRAISALSNRKERHLHPGQLMPYLCSPYDDNLNDRQCLSKEVTFDNATTAWQDYTCNAPDADVCSGPSTVTPEIYSQLVTAANASYALHHYAPPMLNFQDCKFVRDTFSSIASQYCPPLERDLSLVSAGLALLASGLVLGLLLMLFADRPRRREEVSEQTSGFRVTPVDCSP >SECCE3Rv1G0195490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:797911325:797913633:1 gene:SECCE3Rv1G0195490 transcript:SECCE3Rv1G0195490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLTLAASLSAAVLFACILYAESADLNSDKQALLAFAASLPHGRKLNWSSTTPVCTSWVGVTCTPDNSRVHTLRLPAVGLFGPIPSDTLGKLDALEVLSLRSNRLTVDLPPDVGSIPSLHSLYLQHNNLSGIIPTTLSSSLTFLDLSYNTFDGEIPLRVQNLTGLTAILLQNNSLSGPIPDLRLPKLRHLNVSNNNLSGPIPPSLQKFPASSFLGNAFLCGSPLEPCPGTAPSPSPMPPPSVPSKPKKSFWKRIRTGVLIAIAAAGGVLLLLLIVVLCICIFKRKKHAEPTAASSSKGKAIAGGRTDTPKEDYSSSVQEAERNKLVFFEGSSYNFDLEDLLRASAEVLGKGSFGTTYKAVLEDSTTVVVKRLKEMVVGKKDFEQQMEIVGRIGQHQNIVPLRAYYYSKDEKLLVYDFVPAGSLAAVLHGNKATGRAALDWQTRVKISLGVARGLAHLHSEGSGKFIHGNLKSSNILLSQNLDGCVSEFGLAQLMTTLPAPARLIGYRAPEVLETKKPTQKSDVYSFGVLLLEMLTGKAPLRSPGREDSVEHLPRWVQSVVREEWTAEVFDVDLLRHPNIEDEMVQLLQVAMACVAVAPDQRPKMDEVIRRIAEIRNLYSGPATPPEETAAQAP >SECCE4Rv1G0239360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:400921756:400929210:1 gene:SECCE4Rv1G0239360 transcript:SECCE4Rv1G0239360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium/proton exchanger [Source:Projected from Arabidopsis thaliana (AT2G47600) UniProtKB/Swiss-Prot;Acc:O22252] MANTTMGSTTRSCDAYLLFNGETLLPNGVRAFLCTVALAYCFIGLSAITARFFKSMESITNHSREVVIIDPQANTPIVKHEKVWNYTIADIALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDMFPIHAVCVVMPWAGSMKKISDLGVWLVELFWSFWAYIWLYIILEVWTPNVITLWEALLTVLQYGLLLVHAYAQDKRWPYVSIPLVRGERPEDWVPAEDTSLHHDKNCDENSDILPSENDDVVDIFSIHSYSNAGYHHVPEKDIEETSKTTLAVKNTQEDTYWLSIWRQQFVDAVMLESPELKKMDSVCLRFIIICWNSIIAPWKLLFAFVPPYQIAHGWIAFIFSLIFISGIAYGVTKITDQISCVTGLNPYVIAFTALAAGTSWPDLVASKIAAERQVTADSAIANITCSNSVNIYVGIGVPWLINTVYNFFVYQEPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRIVFGAELGGPRLWAWATSAYFMVLWVVFVVLSSLRVSGVI >SECCE7Rv1G0499960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:659851262:659854955:-1 gene:SECCE7Rv1G0499960 transcript:SECCE7Rv1G0499960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALFAWCALLLACAGVMHCAEAAKARHLKWEVSHMFWSPDCEEKVLIGINGQFPGPTIRAKAGDTIVVELKNGLHTEGVVIHWHGVRQIGTPWADGTAAISQCAINPEETFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLIVDVADGEEEPFKYDGELNLLLSDWYHESIYNQMVGLSSSPMRWIGEPQSLLINGRGQFNCSLAAVHTPGTKQCTAGGNRHCAPVILPVEPNKTYRLRIASTTSLASLNLAIGNPEAMSDXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXGNYVEPFVVDDMDIYSGDSYSVLLTTDQDPSSNYWVSIGVRGRAPKTAPALALLNYRPNRGFKLPAIAPPVTPAWNDTAHSKAFTNQIKARAGTPPPPATSDRRIELLNTQNKLDGHIKWSINNVSMVLPATPYLGSLKMGLKTALAAARPADTFGRAYDVTRPPQNPNTTTGDNVYVLRHNTTVDVVLQNANALQQNVSEVHPWHLHGHDFWVLGYGEGAYKGDAADAARLNLVNPPLRNTAVIFPYGWTLLRFVADNPGVWAFHCHIEPHLHMGMGVIFAEAIDRVGKVPKEAVSCGATATALMNGDHL >SECCE7Rv1G0481230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:281727261:281743018:-1 gene:SECCE7Rv1G0481230 transcript:SECCE7Rv1G0481230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSAPVSTATVRVSNIPPSAVAKELLAFFDSAVAATGEAYACEIAAARRGWLSRGNGSVQFDSTATATLAAELASSGRLPRFLGSLLSVSPAPSDLLPRAPDLSLRVADARLLVGNRVAEREFEAAESWDSVRVEVIPGKRRMDLYLNHDSQLYKLEVFFEDIRNCYQCSFDGAGAILLQLMYAPRICTTISGPAVYSRFSDDRFHACKEDAKFTWVRALDFTPNHSFGKCSTLALVLDEGAPVSFILNSLPFSGELGELVISSTKFFGPSSKVVPLVDCPSGCSVSYEVLFRLNSLVHMGKIIAKHVNADLFKALEEIPVHISRRIFEKMSKLEFTCYEPLQFIQQEAHSRNRSHDALLSSKTEGEGKLMMCYRIHITPSKIYCLGPEEEVSNYVVKHHKQYASDFARVTFVDEDWSKLFPHAISAGTGRGFFSQPLKTGLYYRILSILKEGFSIGPKKYEFLAFSASQLRGSSVWMFASNDSLKAEDIRRWMGNFEEIRSVSKCAARMGQLFSSSRQTLEILPRDVEEIPDIEVTTDGTKYIFSDGIGKISERFAKEMACRIGLDYTNPPSAFQIRYGGYKGVVAVDPDSFRNLSLRPSMKKFESKSRMFNITSTSKSQPCYMNREIISLLSTLGIRDEIFQLMQQDDMRELDEMLTNREAALSVLGKIGSTETKTASKILLQGYEPSLEPYLLMILKAHQDNRLTDIRTRCKIHVPKGRVLIGCLDETGELEYGQVYIRISKNSKEQKDNCQPYFSEDNGKEKTAVVVGKVAVSKNPCLHPGDIRVLEAVYDHGLYAKNLVDCVVFPQRGERPHPNECSGGDLDGDLYFITWDEKLIPEKVDSPMDYTAARPRIMDHVVTLEEIQKYFVDYMINDSLGAISTAHLVHADRDPLKARSPECLQLAALHSMAVDFAKSGAPAEMPRSLRPKEYPDFMERWDKPMYISDGALGKLYRAAASRMQSAPATSSSAQLSPAFDPDLEVPGFEEFLASAEVCYDLYAEKLSTLMGYYGAEHEDEILTGNIRNRLLYLKKDNKRYFEMKDRIIDSVEGLHKEVRGWFTSGPKAEAARRASAWYRVTYHPDHRRPVKKQFWSFPWIICDELLKIKESNKRRRQQVNDAAA >SECCE5Rv1G0341960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:629398703:629402963:1 gene:SECCE5Rv1G0341960 transcript:SECCE5Rv1G0341960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSPAVAALPTTQLLPIRTPRSAVAEWTTTDDATAAAAEEPVSPTARLMEAIYIVVTIGLGSPVNLPVFSAGIAAQLARYPRFRSIQVTDRRNNSRWERTVVNVDDHIIVPTLDAAAVVADPDLAVKDYVASLPALPMDRSRPLWEFHFLDFPTSEAAATAVIRVHHSLGDGVSLITMLLASARSAADPTRLPAMPEQPARTGPIYEPRRRQQSSGSGLAAFVTRIWPYLVLAWNTMVDVAFFAATIVFLRDPPTLFRRADDDDVTSNPHRRFVHRSLSLGDVKLIKNAMNCTVNDVLVGATSAALSRYYFRKSGSSKTSKLCLRSVLPVNTRPTTSLQTCVDMIEAGKSNDVAWGNQLGYILLPFHLAMHDDPLAYIRTGKKTTDRKKRSLEVIFTHKMNELFVKMFGMKAGSSIFQRMFANTTILFSNMVGPTEQIELCGHPIVFIAPSIYGGPQALVVHYQSYNSTIKVILAVDDEVIPDYTQLLDDFTESFGHIKDAASKLSTPTINRE >SECCE3Rv1G0165060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:169082663:169084152:1 gene:SECCE3Rv1G0165060 transcript:SECCE3Rv1G0165060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAERSRKMARRWSKRKAVVIHLAVCFIIGALAPLAATRGPFIGGICASFLPFGGVQRLAPPSPPAAPDIGLLLIVTVTRPDEDGMLQEASLTRLGHTLRLVPPPLLWIVVGAENKSASTIQVLRGTGVMFRHLTYVVDENNATNITTAVNNNTVEANAAHAVNNTTDNNTIESSATTAMNNATNITTTVSNTTTNNTVESSATTTVNNATTNNTVEASATTAVNTTTTTAKKNGTNNTIVENNATGNAGDEADLQRNVALSHIERHRLAGVVHFAASSAIYDLRFFEELRQTRGVAAWPTATVSSADQRVTLQGPTCNSSRITGWYSKDSGTNATATHTTDVAAAQDTDAIHNGSILPPQIGISGLGFRSSVLWESEWFVDSNSSSRGRSLDYIQLVRQMAIGDGDMWNGIPSDCSKSRIMMWHLDMPKYTPEVEEQETPQERSLLEEDEEDYMT >SECCE2Rv1G0074790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:79966121:79967291:1 gene:SECCE2Rv1G0074790 transcript:SECCE2Rv1G0074790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSCLPQSKTAKIYVCVGEMRCHSVVSLVVLVVVLLLWSPALSSAWAPVSRTITVDKKGRGDFRSVQQAVDSVPDGNRQWVKIHVRAGHYKEKVTIPKEKRYILLQGEGHSSTQIYYGASNNGTVDNLLMRGGSVGIKAAGTFESATFTALADDFVARDITFMNSHNGFDKQNVSQAVAALVGGDRSAFYGCAFTGFQDTLCDYTGRHYFRGCYIRGAVDFIFGYGQSIYDGCTIVSNVPMSHSRQPGWVTAHARPGAGSPGGLVFKGGEIGGTGRQYLGRAWNKYATVVFYHVNMSGVVMPQGWDGSNNVGPDTMFAEVGSTGPGSAMARRVPWEKHLTEAEVKRFVDISFIDDGWLAKQP >SECCE4Rv1G0216610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12012657:12013076:1 gene:SECCE4Rv1G0216610 transcript:SECCE4Rv1G0216610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALTSTTIASSPRPPAPFCGRSGWQRARRPPPSSSSSGHGGCARPLDRVAGWVGGGIAAAFFASLERCSCVNVRTHDDLDDEEQRDSVAPLMLDNDGNDDVDEGRGRSRRRCRGGSRKGRRSGGRGGGMGCHGDQI >SECCE6Rv1G0453020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879846921:879850013:1 gene:SECCE6Rv1G0453020 transcript:SECCE6Rv1G0453020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLALLLRATGLLLCLLISQAASTFHGRASVSESCLSSERDALLSFKASLFDPAGRLSSWRRGDDCCQWKGVRCSNRTGHVIKLNLRNIDMDADRYRPNMSRLLSLSAGEMSSSLATLQHLRYLDLSYNDFNGTSIPVFVGSLSNLRYLYLKRAFFSGTIPSQLGNLSKLQHLDVSWNFVYGRLQAVDLAWLPRLSLLTHLDMSIVDLSSTRDWVHMFNMLPSLKVLRLSRCGLNSTVSASNLHFKSNLTHLEVLDMSANFFDTSFKHNWFWNLTSLKELNLFACGWNGSIPNDLRNMKSLQVLNLGVNNLEGLLPKKLEDLCDLKVLMLGGNNVNASMDEFMNRLPRCSRNTLHKLSVFDTNMTGNLPVWIGNMTNLSVLDASDNMLTGPLPVGVGALGNLKTLDLSYNNFNGVLMKEHFTSLGNLESLGLKYNNFSGVLLKEHFACLGNLEFLDLSYNNFSDLLFEKHSASLGNLKYLDLSYNKLNSVLTEEDFAGLLNLEHLDLSYNSLKLVINQKWVPPFRLKVAGFRACDLGPHFPEWLKWQTDIDVLVLGNANLDDVIPDWFWVTFSQASFLHAAGNKLHGSLPENLQHMAADHIYLGSNNLTGQVPLLPINIVRLNLSSNSFSGALSSDLKAPFLEELLLANNQIGGMMPSSLCRLTSLKRLDLSGNKFTGDVLQCFKEYGTNSANQFGSQMFSLALNNNDLSGEFPKILQSASQLKFLDLSYNSFSGGLPMWLPKKMPLLQILRLRSNMFSGHILDNLTSLARLHYLDISGNNISGSIPWSLLNLKAMMTIISDDTTEDYNFEESIPVITKDQKRDYSFQIYKLLVNLDLSSNSLTGEIPEEISLLIGLTNLNLSSNHLMGAIPNRIGNLKHLESLDLSYNEFSGAIPSSLSDLTSLSHLNLSYNNLSGAIPSGPQLQILDNQMYIYSGNPGLCGPPLSRNCSENDAQQSGVEDMNHISSVYLGMSIGFLMGLWIVFCTMLMKRTWRAAYFQFIDIIYDKVYVQVVLRWARLMKNAQGDAP >SECCE1Rv1G0051170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:651693426:651696539:1 gene:SECCE1Rv1G0051170 transcript:SECCE1Rv1G0051170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEKSPQSPVACGQRVLISNKLGEKLVGLLHEACSKELVILCHGFRATKDDSILVDLAAAVASAGVNAFRFDFAGNGESEGLFQYGNYRKEADDLRSVVSYFSEQKYDIIALVGHSKGGNAVLLYASMYHDVTVVVNISGRFALEQGIEGRLGKNFLQRIKKDGYIDVRNKKGKFEYRVTEESLRDRLSTDTLLSSRSISKDCRVLTVHGSEDETVPARDALMFAAHIPNHDLHIVVGANHRYTGHEQELTSLVLDFIKPRSRKSSSLRPKL >SECCE5Rv1G0354470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:723762809:723763096:-1 gene:SECCE5Rv1G0354470 transcript:SECCE5Rv1G0354470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVAVRTEFRLRNNIGCSWKVTVKLMNGRVTLDQGWATYAAVHQIKIGYMVTFKLLTPDTLKVIIFDDDGIEIVKKCGKHDEAFAAKD >SECCE5Rv1G0329320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:515138134:515159792:1 gene:SECCE5Rv1G0329320 transcript:SECCE5Rv1G0329320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIHVTVRARPLPPEDAQSSPWRISGNAVALTAQPSIRFEFDRIFGEDCHTADVYGARTKHIVDSAVQGFNGTVFAYGQTNSGKTYTMRGSANEPGIIPLAVHDLFRTIQQHMDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHENYERGIYVAGLSEEIVTYPEQVLKFVSFGESHRHIGETNMNVYSSRSHTIFRMVIESRDKADDSDTGDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIKGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCAEINEILTDAALLKRQRKEIEELRAKLKNSQSEHLDEDVLHLRNTLLQSELEKERIALELEEERKNKEQREKRLLQQAKKIENLSSLVLNSERDDRAIVSSKNKRRQTWCARPLSNEFSVEVQEPASEQGCASSSVRDDRNMGMPPCFEELMQESYASNGGPSAYGCSFSDLSNEDVSLPDAHALLHVTSRRKPNTMKKSDQEQLRGSVPELPQDPNERKDAMLSQEPSGLSARESEAILVIKQLQDQVNSLELEKSLIQNNLDDVLEVATQQKASFSEKYEELQKNALAAQEEAKIAGEKLSALATIGKSKQELADEFLGNVFMEIQGISVQMDQTTHSVDDAISFIEELFQSLSAIAENVTEFKQSAFGHMKQSSYVIMDHEKMSKTLMEKISRLELEKRLLHEQFLNQEDELQRMKSCLESCEKSKDDCILQYELEKDDILSELLTLQKEVSTLSSSSLMKEKESIRKELERAKTKLRETDNKLKNYVQEKIKLEGEKAEAHREIKKLQSQRTHLERDLRKHDSLTVDKRHELNVKPEELAGFFDQAVQLQEEYQRLEIRASDMEDEIASLQESLATSTAEKEEALSKVEFMVLEQEDLENRLTSAESKINSLNDEIAVLTRKLDESESFGRKLEASLSSISKEREDLGMQLTDVLLEMESERSMWIAKEKAYLETKQQLDICTGENSKLSEDLIKVRQELVQCRELLKTLEDKMILSVEHNINEEKCCRENCEESGQLMEKGRKIDNENELCKQLQLISEERDSLLSEMKQMSLVINELEALKEVSNNKLLQAKANMDELSCQISAMEVKMKNDASTYNKERTKLRMQIRWLQPELDANRGRLKEAVEERALMDEKYQEATAMLKEKLRETCREVLKLREELKRSEAASN >SECCE4Rv1G0229990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:157106740:157109891:-1 gene:SECCE4Rv1G0229990 transcript:SECCE4Rv1G0229990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQQGPVASDDRRTPQPQSSAASSYNYTSMDSMREPKVGLWGTLARKAKGILDEDAAAHKFDDHGKVQSPTRKPNLSDGAQAPQSRWSFDSYGGTERSEPRKRSEALAASVNQFGGRIRNALEEGLIIVDNKTSNIIEETKKIQIRRKPNSSSLHMQNPAADAFPPPSFTLNKAEAAQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFAKQRCSQLEEENKMLRETKQKGVKIEEDDDLIRMQLETLLAEKSRLAQENSIYARENRFLREIVDFHQFAVHNVVSFGDGNMKDSKLEEDTNRVYTENMFPVVEAYLAQEEVSPVPSRPDSPILSPGESSSPVSIISVDNAANSPRNALKSNELVPDKD >SECCE7Rv1G0477880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:229516278:229520275:-1 gene:SECCE7Rv1G0477880 transcript:SECCE7Rv1G0477880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHLPQASRHRWLRSLLLALPLLSLPILYAALGPARPQQPPAPPRLGGRQQQQQPLPPPRLAYLISGGPGDGPRIRRLLRALYHPWNYYLLGVSGEEDRADLEAFVRGEEAPRRYGNVRVAAAGEWPAVSRRGPTELAATLHGAALLLREFDAWSWFINLSASDYPLIPQDDLLHIFSYLPRDLNFIDHTSNIGWKEHQRARPIIVDPALQISNKTEVVTTKEKRSMPSAFKIFVGSSWVILSRSFLEFCILGWDNLPRTLLMYFTNFLSSSEGYFHTVICNSKYYQNTTINNDLRFMAWDNPPRTHPLNLTAEYFDAMVNSGSPFAHSFTPDDPVLDMIDTELLRRAPDRFTPGGWCLGSLVGGKDPCTFFGRSFVLRPMKGSGKLEKLLLKLLEPDNFRPKQCI >SECCE4Rv1G0263690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:717666466:717667668:-1 gene:SECCE4Rv1G0263690 transcript:SECCE4Rv1G0263690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGVMGPVTRKLGELLVGEYTLEKRVKKGVQSLLNELEMMHAVLRKVGEVPSEQLEELVRIWAGKVRDLSCDMEDAVDDFMVRVDEGSSSKPTDMRNRVKKFLKKTTKLFGKGKALHQISDAIKEAQDLAKELADLRRRYELDTRSTSNGATIDPRVLALHKDVGELVGIDRTRDELIKTLICEDGSSKEQLNTISSIGVGGLGKTTLTKAVYEKIKAQFDCVAFVPVGQNPDIKKVFKDLLYELNKAKFTDIHNTSKMKIYSSRKSENSLWIRGTSL >SECCE5Rv1G0299340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:16207514:16212727:-1 gene:SECCE5Rv1G0299340 transcript:SECCE5Rv1G0299340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSVGGQARRATVSAAGGREMDSPRFRAILRATSGRRKRAPDVKSFSHELNPGGAGAHQHHLAARNRPRGEDKFIGAVKSKFIRLKEEVDCELGVFAGDLVGLLERATADEEDHQYWRVTLEDLLVVTQKCAEMSPEEFWVKCEGIVQALDDRRQELTTAGGALKQAHTRILFILTRCTRLLQFRKELSGYCGDADGKHQHVLGLHQLSDLGLYPLQSTNGGSTELGRRSTSSLTELKERLIRRRMLEHKHLTLDFAGRHFLGDAGDSPGSGSSGKISSWKKLPSPAEKNRHKNADAKEDKITPTKKAITRNKVDVDEIVERIDAASIHPDGLASLGDSAVKIEISPEYPGAQQIIVDGKPRMICRICDFEIPMSCAEGHFIACTLADRCDSKGLSTDKRLQRVADVLERVLACFDAKSPHDAEFHHPENTRASTSSLTEESDGSMDHDNDLSYLLTIPSSELFSEGALTPASGNLSQSPFLTPRTSHEESQLTKHKAFVELENFQQVESLLTIARGIESIKSSEYNSLEDLSSYLEDLNAVIDTRNVDALVVETFGRRIAKLLQEKFMQLCAQIDDMSTDSLGPIDEDGPLENSASSRTSQLNGKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRGLGCLDQDMARTYIAELVLALEYLHSLNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSSALVGDHQPTDAEQRAHKRQQRQKQTAVGTPDYLAPEILLGMSHGPTADWWSVGVILFEILVGIPPFNAEHPQIIFDNIMNREIPWPQVPQELSFEAYDLINKLLIENPVQRLGATGAGEVKAHPFFKGINWDMIARQQAVFIPCTDDEYDTSYFACRHAWGAADEQVTTAPNEYDDRSETSSMSCGSSPRSCDYEEDGDECGSMEEFGPPLSVKYSFSNFSFKNISQLASMNYDLMTKHNEDPLQSSRS >SECCE1Rv1G0050650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:648403408:648405000:1 gene:SECCE1Rv1G0050650 transcript:SECCE1Rv1G0050650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYMLCFQFVACCLLVLLYSLRSSCTSSAGHGPRSYPVIGCLLAFYENRRRLLDWYTEMLSASPTQTIVIDRLGARRTVVTANPANVEYILLGNFGNYPKGKPFTDVLGDLLGNGIFNVDGDKWYAQRKLVSHEFSARTLRELEIAVLEAEALDRLVPAMEAAAEPGGGAVDMQDVLRRFAFDVICRVSLGVDPGCLDPALPAPRLATAFDAAAGIIARRGAAPLAAVWKIKRALNIGSERRLREEVKVIHEAVMDLIRSRKKERFLVNAGDVRNDLLSRMIDCGYADEDIRDMVISFIMAGRDTTSSALTWFFWLLMRHRDVERDVLEEITSMRRDSSNGTYAGEGFDLDDFRRMRVLHAALSETMRLYPPVAWDSKHAAAADVLPDGTRVASGDRVTYFQYGMGRMEAIWGSNAGKFSLERWLALPADGTSASGGVSPFKYPVFQGGPRTCLGREMAFVQMKFVAGAILRRFDLRPVDEGRTPAFLPLLTSHMHGGLKVTVRRRKVETTGNALHDAATAKSPSSFFS >SECCE2Rv1G0122550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:817049019:817051139:-1 gene:SECCE2Rv1G0122550 transcript:SECCE2Rv1G0122550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPNTNSMFSFSCIASAAVVILGRSCSCLQFTYPKFDTGSMDDFSFSPGSAIANGSLQITPNTGNISHRSGRVVYARDTLKLWNNERTALTSFTTEFVINILPQGGAPGEGMAIMLTNNPSLPSNSSGQWLGVCNNQTDGARTNRIVALEFDTRKSYEDDLDSNHLGVDTNGIKSVTQYPLSNQSIILSSGTDVLVTIGYDGTSKIFRLSLAQESKPGWHRVFNADENIDLSQYLVDDIYLVFAGSTGDSTQLNQVKSWNFTTVDIEVKVRRGRWRKVLLAIVTLLVFSICLFAGLFMWRRLTRRRRLAYRNLEKMIDAHGPVKFKLRELRRATANFSSTRKLGRGGFGTVYLGYVDRMNLEVAVKRVSTDKQVDTNRGEKEFVTEVNTISKLSHRNLVKLIGWCHKKGELLLVYGYFPMGSLDKLLYARERTSSSSSMSASTDTPELTWERRYKIICGVASALDYLHHGSSKRILHRDVKASNVMLDAESNARLGDFGLARVIQHDGVTHHSTQVVAGTRGYMAYESFFTGRASLDTDVYAFGVFVMEVISGRSPSNAVQHPYIHDSDHRGEEDYSSGGGARHPLPMHIVDWIWRLYGEGKALHAADPLLGGEFEQAQVDCAVRLALACCHPNPRERPSMRTAVQVLIDGAPAPEPPVNKPAFVWPPGGNQREMELPDVGLLFTGGARQHSSFCSMTSTSLTGR >SECCE2Rv1G0109290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:674013666:674016362:-1 gene:SECCE2Rv1G0109290 transcript:SECCE2Rv1G0109290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMGMASTSLLAPTAFTPAAAAAATARRSFFSHRPPSSLPAVRLRLPLPRTSSLVVSASASPPRSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVRCPPAADVPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPSSKILETAPSSDEDREKLVDVIQDWKTERYKAIIKSGTVEPRPGVLRLMDEVRGAGIKLAVCSAATKSSVVLCLENLLGLERFNGLDCFLAGDDVKVKKPDPSIYITAAKKLGLESKNCLVVEDSVIGLQAAKGAGMSCIITYTPSTSNQDFKDAIATYPDLSNVGLEDLKLLLQESLVTG >SECCE1Rv1G0029190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:410818973:410823090:1 gene:SECCE1Rv1G0029190 transcript:SECCE1Rv1G0029190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGPAHSVPATAATAGGGGQPLVVTLNCLEDPSVERDALADAAAVEHAPLSALASGHVEAAAAVLLTSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAVAAAELGLRLVHVDANRAEEIADTVMALFLGLLRRTHLLSGHASSSTPSAGWLGSVQPLCRGMRRCRGLVLGIVGVNAAARCLATRSLAFRMSVLYFDPLYEGGTKTKRPSIVFPSSARRMDTLNDLLAASDLVSLHCAVTNDTTNIISAERLQHIKPGAFIVNTSSCQLIDDCALKQLLLDGTIAGCALDGAEGPQWMEAWVHEMPNVLILPRSADYSEEVWMEIREKAITILQSFFFDGIVPNNAISDEDEAISDVGCEDDQLYKQANEHSLRVFDGEQQTDESQLTLECDKRRAISKPEVPEASGQSQSIGLRSEGRRSRSGKKGKKRPARRRSQQKMDELSTVESGSNYSSRRDDDTVMSGRDQVLSSSSRFASPEESKNKLRSSAESPMEIISEHKLPAGLGRKPPERLKDGFVVALRTRDNSGFHVSRERVAGGGWYLDVVSNATKRDPAAQFLITFKNKDTMGLRSFVAGGKLLQVNKKAELVFANHAFDVWESWTLEGSLLECCKLVNHRNPLAVLEVYIEILAAVSEEDGVTRWLD >SECCE2Rv1G0129880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:871263074:871265053:-1 gene:SECCE2Rv1G0129880 transcript:SECCE2Rv1G0129880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAIAIHGGAGVDPNLPEHRQEEAKRVLARCLQVGVDLLRSDAAALDVVEAVVRELETDPCFNSGRGSALTRAGTVEMEASIMDGRGRRCGAVSGVSTVRNPVSLARRVMDKSPHSYLAFDGAEDFAREQGLEVVDNSYFITEENVGMLKLAKEANTILFDYRIPLAGADTCSALAAAVESHGSNKMVMNGLPISIYAPETVGCAVVDSNGFTAAATSTGGLMNKMTGRIGDSPLIGAGTYACGHCAVSCTGEGEAIIRSTLARDVAAVMEYKGLPLQEAVDFCVKERLDEGFAGLIAVSGTGEVAYGFNCTGMFRACATEDGFMEVGIWE >SECCE7Rv1G0473270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:155569195:155573912:1 gene:SECCE7Rv1G0473270 transcript:SECCE7Rv1G0473270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAAARGLKSVSRAAFSWKPTGRAQQTLAAAVSRSGVGLHSGARATATLLPARAGEGRYFVVEGEGEEARVAAEVGNAEPQSPLCTTLRGGDGVARVRTVEHLLSAMEALGVDNCRVEVSGGGEIPLLDGSAQEWVEAIRGASLCAAEDIGGQKLEKLAPKIDEPVYLRKDDCFVAAFPSSQIHITYGIDFPKAPAIGCQWFNTVLDADIYSSKIAPARTFCIFEEVEKMRGAGLIKGGSLENAMVCSMTGGWINPPLRFDNEPCRHKILDLIGDFSLLARNGSQGFPIAHVVAYKAGHALHTSFLRHLSGETTMDQGIPA >SECCE6Rv1G0384480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:63790113:63813960:-1 gene:SECCE6Rv1G0384480 transcript:SECCE6Rv1G0384480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSTPSSPASGGGAAGAGGGGPGGGGGGTGSPSADKFAAAPSPRVRFSDTGEEGVLNTLWQRYENAIDKAEKKKSLQIFVLHFIQAFKDWEPHHIGQSVDRDSVSDDTVIGCSGGHPSEVILILVQEISHLTSFVTESSSCPESSANLSEQPTDLGLSTEVLPVLEWFTIVTRSVHNCRVFSYYGGVQKVTALLKAAVVKLKTLTSLLATDEQLSNRTVENMRMMQKILVYIVTIISNFMDLEPAATRISRVINSTDHTPSNNLATVTSNTTRRFVSDRHWQQKAIVSVMEAGGVNWLVELLRVIRRLNLKDQWTDLSLHFITLYALRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSVSKHSFVPSDERSDILQLQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMFQEFHQQKFHDPEVPNWKLDRQSTENSPTLESFPSPVDILNTTEWNEYSVKLSKALCSFLLPPNEIRFCPGSTVTQISLPISLAYWEQCARWIIKILSTVFPCIKACASETELPNHIRILSNILQHYMLSTFRKVLISAPVLLKSFREEGLWDLIFSEKIFYFGSSVECIHQIVGDTETQTDHFTDATESTGSKSNLPDVNILQSEAISFLEFAATLNENTNNLPECSALLSALEHCTNGPGLVVTILKSFHVILQLATEQTLVSFKSLDVLTRVLKVACLQAQELRKAHPSDDLRGNGSQSNNVKMDSLDERIKNALTCVELAFNLFKEYVTISDIGRMIILHNANCIGCLFDLFQEESLRKHVLEQVLALYRLPPSSAQDHTAKLQLCSKYLETFTRAKEHEKNFAEMSIDLLVTMREIILIDRAYYQNLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTSLLAENDESKAAFRMLVGVGYQTLQSLLLDFCKWLPSRKLLDALLGMLVDGTFEINEKTTIKNEDVIILFLNVLQKSSTSLQHYGLVVLQQLLKGSITNRTYCFKAGLLSFLLDWFSVEEWEDTVIKIAELIQIIGGHSISGKDIRKIFALLRGEKISVKQKHSSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEVKSPVQWPYSKGLSFCCWLRVESFPENGMMGLFSFFTENGKGCLAMLGKNTLVYESVSQKNQCVLLPLSLPTKQWKFLSVTHTVGRAFSGGSQLRCYVDGDLVSTEKCRYAKVNEVMTRCSLGTELMPIGEEPASLGFEGTFAFTGQMGPVYAFSDALSAEQIRGIYNLGPSYMYSFLGDQNLLMNNDSLYKGILDARDGISSKMIFGLNAQASNNRTLFNVSSVLDSLDKSKLEATIMGGTKLCSRRLLQDIIYCVGGVSVLFPLLIHFDDAITHSGESPTGDQLAGQVIELVASVLDGNVANQQQMHLLSGFSILGFLFQSVSPQLLNFKTLSALKHLFNILTNCGMSEILLKDALSQIYLNPHIWAYSSYEVQRELYLFLIQYFETDGKLLPMLCGLPRIIDIVRQFYSEKLDSRSSKPLLHLVTEQVIGERPHIEEIRKIRLLLLSLAEMSLKLKVSSHDIRALVSFFEKSQDVACIEDVLYMIIRALSQNSLLSSFLEQVNSVGGCYIFINLLKREFEPIRLLGLQLLGKLLVGVPSEKKGPKLFGLPVGRPRSMSENLRKGIAATPQLFFYSISERLFKFPLSDHLCATFFDVLLGGASPKQVLQKRSQSNELKDRSSTSVVSLAPFYVPQILVCIFKYMHSCQDASARTKILSDLLDLLDSNPSNVEAIMEYGWSSWLETSVKLDVFRDYKSISKANDASLETNELILVRNMYSLVLSYFLCYVKGGWHQLEDTTNFLLLKIEEGQLTNFCLLRDIFEDIIGSLLETSPDENIFISQPCRDNILYLLKLSDELLVDQIGIKLLFHPPDRCAQSSSDGSQKDDIGSAFVEIINAESNSQPASLPWSSNIFTDGEKLSDDWWSFYDKIWTLVWNLNGKGQNRLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVTGGIGTALSAKTNKITDKAMMLRGERFPRIIFHLVIMYLCKAGLENASKCVQQFITMLPNLISEEDQCKNRLHFIIWSLLRVRSQYGELDDGARFHVISHLILETVIYGKSMLAASMLGKDDSAEANSNKESGFILNLVQKDRVLAAASDEVKHMKDAKADRLRQLQDLNSKLDERLIEDIEQLQSVEDDIQFAKTAAISGDDNRKAAFKLAFDEDQQIVADKWIHIFRALSDERGPWSASPFPNNLVTYWKHDKTEDKWRRRMKLKRNYKFDERLCQPLSTKSSNENAAPSVDPFVSAKIPEKMKRFLLKGVRGITGDVGSETCEDNNDTSEPSQNKPSENQVSSDAANSADSSDYPTSVQNRKEQSSTGGDNDYAEVLSSVHCVLVTPKRKLAGQFTITRSALHFSFEFLVEGTGGSSVFNKFQDKKESDAKSELGSVEKLKGNTDVGRGNEAGSGDALMKSQSNKIKHHRRWKITRIRAVYWTRYLLQYTATEIFFDDANAPIFLNFSSQNDAKNVGSLLVSLRNDALFPKGSTKDKNSVISFVDRKVALEMAESARESWRKRETSNFEYLMILNTLSGRSYNDLTQYPIFPWILADYSSEKLDFNKSSTFRDLSKPVGALDAKRFKVFEDRYHNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELTPEFFYMPEFLENLNSYHFGVKQDGEPLGHVGLPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPSAISSSSAVLFIGLLDSNIVLMSEGLILSVKLWLTTQLQSGGNFTFSGSMEPFFGIGSDVISSRKVATSLAENVEFGRQCLAAVQIHGDNYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVSCVAVSSDGSVIATGSYDTTIMIWHSFRGRSNDKRSKNASNDLSTKDHVIIENPSHILCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGTYVRSIRHPSGAGLSKLVASQHGRLVFYSDSDLSLHMYSINGKHIASSESNGRLNCMELSCCGEFMVCAGDHGQIVLRSMHSLGVVWRYDGSGKTITSLAVTPEECFLAGTKDGSLIVFSIENPLLRKGGIQRNKVKSSVG >SECCE3Rv1G0200240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852020284:852023587:1 gene:SECCE3Rv1G0200240 transcript:SECCE3Rv1G0200240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGWIHPYESRRAVVVVVGGGVAGALIAKSLQSYADVVIIDPKEYLEIPWTNMRSKVEPSVAEKSLINHSDYLSGQVITASAADVSGEAVITAEGRLVEYTYLVIATGHTNQCPRNRRDRLEQFQEDNVKIKYSDSILIIGGEPSGVELAAEIAVNYPDKKVTLVHDRPRLLESVGQKAGGKALRWLRSKNVEVYLEQSVDLESMSEGDRLYRTLSGIEITADCHFSCLDRPLSSSWIRDSELKDCLDNDGRLMVDANLRVKGQINIFAAGDIIDIPEPEQGYFVQRHAMIVSKNIKLLMHGAKDSKLLKYKHSTTMPMVSLGKKDAVAQLSFATVLGHLPAMWKSRDLFVHRTRTLLGLPR >SECCE6Rv1G0448030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:853230276:853233776:-1 gene:SECCE6Rv1G0448030 transcript:SECCE6Rv1G0448030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase isozyme B (EC 1.11.1.6) (CAT-B) [Source: Projected from Oryza sativa (Os06g0727200)] MDPYKHRPTSGANSGYWTTNSGAPVWNNNNALTVGQRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDVSQLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPSPKTNMQENWRIVDFFSHHPESLHMFTFLFDDVGIPLNYRHMDGFGVNTYTLISRDGKAHLVKFHWKPTCGVKCLLDDEAVTVGGTCHTHATKDLTDSIAAGNYPEWKLFIQTIDADHEDKFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQLAFCPAITVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAHHNNHHDGLMNFMHRDEEVNYFPSRFDPTRHAEKYPMPPRVLSGCREKCIIEKENNFKQAGERYRSFDPARQDRFLQRWVDALTDARVTHEIQGIWVSYWSQCDASLGQKLASRLKMKPSM >SECCE1Rv1G0033220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:464601886:464602957:1 gene:SECCE1Rv1G0033220 transcript:SECCE1Rv1G0033220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLPLPLALALAQKHGAGEPAWARPWRWAKTAFFLVAMLASLLLVCAPPLLVVLLDLALPPMLLSAHLRAGADALHRSFLPAMLDQARAFEFRSSLVDLPAVSAARALLILCAYTVCGGGGGAYLWTVAASAAASASYVLAKAVAVLPRGAAPQGKGAAGPEPMLLLSLSLAVAHLAVAYRTSCRERRRLLVYRIDVEAVRLKGGHQTPKGLKQCSV >SECCE6Rv1G0424040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:698852389:698856754:-1 gene:SECCE6Rv1G0424040 transcript:SECCE6Rv1G0424040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPGSPYASSPESAPKRAPRSSPPPPPPQDRPDADHDATEPEDDKEKPTHLRFLVSNTAAGCIIGKGGSTINDFQSQSGARIQLSRSHEFFPGTNDRIIMVSGLFDEVVKAMELVLEKLLSEGEESNEAEARPKFRLVVPNSSCGGIIGKGGATIKSFIEDSHAGIKISPQDNNFVGLHDRLVTITGPLNSQMRAIHLILSKLSEDVHYPPNLSSPFPYAGLGFPSYPAAVPVGYMIPQVPYNNAVNYGPNGYAGGAGGAGGGRYQNNKPGTPVRSPANNDAQESHTIGVADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFIAGTSDRKVTITGTSEAIQAAEAMIMQRVTASQER >SECCE5Rv1G0321090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:397297771:397307111:1 gene:SECCE5Rv1G0321090 transcript:SECCE5Rv1G0321090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGEDCCVKVAVHARPLIGDEKLQGCKDCVTVVPGKPQVQIGTHSFTFDHVYGSSGTPSTAMFDECVAPLVEGLFQGYNATVLAYGQTGSGKTFTMGTACKEGTHVGIIPRAMAALFDKIDKLKNQVDFQLRVSFIEILKEEVRDLLDPATVAAGKLENGNGHAGKLSVPGKPPVQIREGSNGVITLSGSTEVHVTTQKEMTTCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKADPIMASDGMPIEEMNDDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPIADEMKRMRQQLEYLQAELVLARGGGVGSDDVQGLRERISWLEHTNEDLCRELNGLRNHGHSDPCEPELHKIVSGYTKGEGLKRSLQSTEPFDVLMTDSVQEGNPKDIDDEVAKEWEHTMLQDSLGKELNELNKQLEKKESEMKGYGHDTVALKQHFGKKLMELDEEKKAVQKERDRLLAEVESLNTDGQTHKVRDAQLQKLKTFEAQILELKKKQESQVQLLKEKQKSDEAAKKLQEEIHFIKSQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALTQRQKLVLQRKTEEAAMATKRLKEILEARKSLGRDNSAGMNGTSPGSHMSEKSLQKWLDQELEVMVHVHEVRNEYEKQSQLRAALGEELAILRKEDVMSGAASPPRGKNGNSRPNTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRGRWNQLRSMGEAKSLLQYIFSVAADARCEVREKETEIKEMKEQMKELVGIFRHSESRRKELEKQSKQKEQTAPMATTPPGSVNGSSKHTADDSNTPLSPVAVPAQKQLKYSAGIVNSPSKGVAALNRQQLKMVPIAQLPVGKKVSISGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETMTRARPRPQLLITHKPQKVM >SECCE5Rv1G0335020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:569680421:569683584:-1 gene:SECCE5Rv1G0335020 transcript:SECCE5Rv1G0335020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCSSNATATMPLVNAGAPALAMLAATAATIVLLLAFLRRQSRKTTLSKGAGLPPGPAGLPVVGNMHQMLANKPVFRWLHRLLEDAGGEIVRVRLGPVHVVVVACPAMAREVLRKNDAVFADRPTTFAAESFSVGYRSASISPYGDQWRKMRRVLTAEVLSPATEHRLRGAREEEADHMLRSVHARCNAGGVVDVRHVARHFCGNLIRRLTLGRRHFGDQQPDGVGAPGPDEEEHVDALFAVLGYLDAFAVADYFPALVGLDLDGHEKVIRSVMRTLNRLHDPVIEERVEEWRLLRKAGERRDVADFLDVLASLDGPGGRPLLTVEEIKAQAIDIMIASVDNPSNAVEWALAEMMNKPELMRKATEELDAVVGRDRLVQEPDLRSLNYLKACIREAFRLHPYHPLNPPRVAMADTTVAGYSVPRGSQVVLSRVALGRNPKVWADPLEFRPERHLAGGNATVALSEPELRFVSFSTGRRGCPGIALGTLFTVMLFARLLQGFTWSLPPGVDGVELREAEASLVLAEPLRLQARPRLPAHLYGPEYDCSSRKL >SECCE4Rv1G0258230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:676618362:676618772:1 gene:SECCE4Rv1G0258230 transcript:SECCE4Rv1G0258230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGMFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE5Rv1G0350830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:693047479:693048666:1 gene:SECCE5Rv1G0350830 transcript:SECCE5Rv1G0350830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSRLSVVSTTSISPVVSGLQGWADLPDGPHHSIVALLGSSIDFLAFAATCHSWRAAFSSYRSASNLCTLIPPLLIRPAGPNQGSSLPSIFPEKCSSVPYNSRYMLRIRKVIDVASNNSALRCQIPQETFENMHFAGTSHGHLICCYRGDCLVVDVFTGAMVSPPRLPFSNGYYGGTLTAPLTSPNSHLLVSTQSSLFDWPVGSDSWHELQLPHGWIYQIVQFNGQFIAIDHDTRIYTLRLAPRLGLREISTEWCSEIEPESFVQAWLVVCGNDLLMVDHFVHLSPEEPACHRLDMSTEPAKWVKVKTLDNWAIFTGGDERSPPFACARPERWGGTSNSLYYAHHSQPWSVHELHGHVDLAPTSHPNFNWRKRFVPTAMAMWVYPSMFYSDGQ >SECCE2Rv1G0116660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:766471827:766473227:1 gene:SECCE2Rv1G0116660 transcript:SECCE2Rv1G0116660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKHAMNSVAVVAVPFPAQGHLNQLLHLSLQLASRGLDVHYAAPAQHIRQARTRVHGWGEEALRSIQFHDLGISSYVSPPPDPTADSPFPSHLMPLFETYTAGARAPLAALLEDLSGSCRRVVVVHDRINAFAAEEAARLPNGEAFGLHCVAVSMLVARIDANHRLLRENGVVLTALERYATKEFVEYANRARPAKQISTGTGILANTCRALEGDFIDVVAGHLAADGKKLFAIGPLNPLLDASASKQSEQRHECLTWLDKQPPASVLYVSFGTSSSLRAEQIEELAGALRGSRQRFIWVLRDADRGDIFADAGESRHEKFLSEFTKQTEGTGLVVTGWAPQLEILAHSATAAFMSHCGWNSTMESMSHGKPILAWPMHCDQPWDAELVCNYLKAGILVRPWEKHSEVVTAKAIQEVIEEAMLSDKGMAVRQQARVLGDAVRASVADGGSSRKDLDAFTAYITR >SECCE2Rv1G0117640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:774692416:774692727:1 gene:SECCE2Rv1G0117640 transcript:SECCE2Rv1G0117640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE3Rv1G0185990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679963526:679968346:1 gene:SECCE3Rv1G0185990 transcript:SECCE3Rv1G0185990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWVRAVVEAIHSSRAQAVIYLAGGASQALGWLLSVPGASGSVLEVVVPYSRASMAQLLGKLPLQFTSKQAAEDMALAAFNRALKLSGPGLQVMGVGFTGSLASSRPKHGDHRFYVSTRTQNCLRTSHVILSKGLRSREEEDKVSSCFVLKAIADACRVSATIQSDVQEPEIPKESVEQFDEDQELQQVIDGQVCMKVYHFADPAEKNFDRKLILPGSFNPLHDGHLRLLEVASSMCDDGFPCFEISAINADKPPLSITEIKRRVEQFRKAGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYKRMLEILLECKSTGTTFLVGGREIEGVFKVLEDLNIPTELKDMFISIPEEKFRIDISSTELRKSQGL >SECCE1Rv1G0057940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:696244436:696245236:-1 gene:SECCE1Rv1G0057940 transcript:SECCE1Rv1G0057940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIMFRRLSKTLTMNPAAAVASGMTSQHHQLQQRALVSGTSKGKAKLKAGMPLRRSVIAKKGGAPATAGSGGAGRGRREAIERITQIAESCLKSSTPLRHLSPKERLREAKREELGLISKERQRELDMAKAKAKAKSKGASGGDGDRVLMGPPGLDYISLGLVDEEAIPEYELTVEDGRRLAKEYSRVLMRRHRARQTAESTLLRLKKEAIAALPEKLRAAAMIPDMTPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR >SECCE4Rv1G0220550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:40850633:40851740:1 gene:SECCE4Rv1G0220550 transcript:SECCE4Rv1G0220550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase [Source:Projected from Arabidopsis thaliana (AT1G15390) UniProtKB/TrEMBL;Acc:A0A178WDP8] MEALRALSITAVAALLRAPPVPIPTFVGTGREVGGRRGKSVRAGAGGGGWLSGLLGRKGGGSAPTAMTVTPGTVKAGDPVLHEPAQEVSPGDVPSEKIQGVIDQMIAVMRKVPAVGLAAPQIGVPLKIIVLEDTQEYISYASKEDIDAQDRRSFDLLVVINPKLRKTSKRTARFYEGCLSVDGYRAVVERHLDVEVSGLDRNGRPMKVEASGWQARILQHECDHLEGTLYVDKMVPRTFRTVNNLNLPLATGCPPLGA >SECCE1Rv1G0041100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568482903:568483673:-1 gene:SECCE1Rv1G0041100 transcript:SECCE1Rv1G0041100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSASYIRMVHHLIEKCICFNLNKEGCMEALEKHAKINPVVTSTVWKELEKENKEFFESYNRDRVERNIEAATMERIQKMLSDAAASKSSDDEG >SECCE4Rv1G0265230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725221156:725221566:1 gene:SECCE4Rv1G0265230 transcript:SECCE4Rv1G0265230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFHSSAVSAPQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE4Rv1G0256250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:655124157:655126745:-1 gene:SECCE4Rv1G0256250 transcript:SECCE4Rv1G0256250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g19720 [Source:Projected from Arabidopsis thaliana (AT1G19720) UniProtKB/Swiss-Prot;Acc:Q9FXH1] MELALPPFPSLLPKSHHHHLQPQPPSRPRHGRLQEPVMALAQAPPSWLALSLDEARSVHVPHQARPVQETRARPPASFTRGEPRFVSETKLITFHSSAGRLGAAREVFDGMSHRDLLTWSAMIGAYATRGIFHEVLALAVSMIREGVLPDRFLITRILQACAYAEDQRLGSVLHSMAIRRGFMGRVKDVPVGNSVLVMYVKCGELGRARAVFDKMRRRDLGTWNSMIFGCCRSCQWEEARRLLDEMRREGTEPGVVTWNTLISSYARSGDLDVAVDLLAQMEECGVEPDVVTWTSLVSGFVHSDRGDEALQCFIRMRVAGVEPNGMTIACAISACASLKLLRQGSQLHCHAIKIGTVNNVLSGNSLVDMYAKCGEIIAAYRIFNEIPEKDIFSWNSMVAGYAQAGYCGKAYELFCKMESYGIQRNVITWNTMISGYIRNGDDERAFELFQTMESYGVKKDTASWNILIAGSVHNGYFDRALRIFRQMQSVLIKPDYITILSIIPAFANLVAAWKVREIHACIFHHNLEMDGKIANALINAYSKSGDLAGACAVFDRHSSRNTISWNCIIVAHLLHGSPTKVVDYFFKMKQQGVLPDHTTLTAVIRAYGMEGMVSEGREIFLNMDKDYNVTPDLDHYAAMVDLLGRSGRLQEAYAIIDEMPLAPNLTLWESLLTSARMHGNVRLALLAATEMSMIEPNDPRIQVVVSSLQDLAGKSFDVPKVTVHSKERMLDGVESCSTEIRNMVYLFSTGDKVASEHEAAELKLMMIEMGPSMLSAGNGTLEVEEEKEEVEGIHCEKLAIIVGISNSPHFRSIRIIKTARMCNHCHTFAKLVSEKYGRQILIKDPKYLHKFENGNCSCDDYW >SECCE3Rv1G0207280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:919721625:919721948:-1 gene:SECCE3Rv1G0207280 transcript:SECCE3Rv1G0207280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETDAQKVARPRARARLAFVKAALAEAKRYMDMEEEEVVEEYRQAGKLHCYDLDTEWKKRFARVFKLHPCPCSKQMAANIVEYMFYLEENEDDFRMGLYSLIGPDD >SECCE6Rv1G0431040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745408084:745412395:1 gene:SECCE6Rv1G0431040 transcript:SECCE6Rv1G0431040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGLLASAAINLGLALVALSLFSMLKKQPGNAPVYLPRRMAGAGGSSGGEALPLGTGRLTPSFRWIRAAFRLSDDDVLRRHGLDALAVIRLFKLGINCFSVCSIVGVLILAPVNYTSEDPSGAKTPNSMEIFTVSNVPKGSDRLWVHFSCLCFISFYVVYLLHKEYKEMSHKRIEHLKYHRKRPDQFTILVQGIPVCADHGIYGCNVDHFFSKHYQTYQSYQILHDNGNIESLQKLASSLEKQIEWKRDTRRCNFLQWIWFKFTSGPIDARSQEQKLKEVHHSIRLLQCKNMLKQKELPVAFVSFKSRLEAAQAAETQQHVNPLSLVTRCAPEPTETIWSNLAIPFYRLAVYKLGVFIAAFLLTVFFTIPVTAVQGIVQFEKIEKWFPPARAVQLIPGLSSVVTGYLPSMILNGFIYLIPFAMLGMASFEGCISKSQTEIKACNMVFYFLLGNVFFLSILSGSLLHQIGESFTHPKDIPSRLASAVSAQSDFFITYILTNGMSGFSFEVLQFGLLTWHFLKAHTVGHSDEPYLYGFPYYRVVPIASLAVLIGMVYAVVSPLLLPILVIYFLLGYAVFINQMEDVYDITYDTCGQYWPTIHHYIFLSVTLMQTTMIGLFGLKSKPGASFATIPLLVLNIMFNEYCKVRFLPTFQCRPIQICKDNDDLDKTEGEAECSSEHAVRAYTPPWMRPTGSSPESSSVQPLVRCLI >SECCE6Rv1G0401980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:476470676:476472952:1 gene:SECCE6Rv1G0401980 transcript:SECCE6Rv1G0401980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDAFAAACCAIPVLVSFLAVRVAYVLCRSGLPPSRSEASALRCLIVLGSGGHTAEMMNIVTELQKDRFTPRYYVAALTDNMSLPKAQLYEKSLIQGDRETIIENAQFMQIYRSREVGQSYITSIATTLRAILHAMWLIIRIRPQVIFCNGPGTCIPLCASAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRAQYAGRLM >SECCE2Rv1G0134270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900866299:900867638:-1 gene:SECCE2Rv1G0134270 transcript:SECCE2Rv1G0134270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSSKLNKDPHNHYFDFGAAQQVPETHAWEGQHEHLVVDGGVGAGEDAVPVVDMRDPRAAEAVARASEQWGAFLLEGHGVPSELLARVEAVNAGMFALPKPEKMRAARQGDDPYGYGLPHIALFFSKTMWSEGYCLTPANLRHELRKIWPDGGHDYNHFCGVMEEFHKEVRALADKLMELFLVALGLTAPQIASVEAERKLTETMSETIRLNWYPKCPDPKRALGMKAHTDSGFFTLVMQSQVPGLHLFRHGPPVDRWVEVPAVPGALFVNIGDLFQILTNGRFRSVYHRAVVNRDRERISLAYFLGPPADAKVAPLKEVVGGGKPAYHALTWPEYVVVRKQAFANGGAELEFTKGGTALEMVSINPDDDGADHHRDISS >SECCE3Rv1G0143590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:1100651:1112831:1 gene:SECCE3Rv1G0143590 transcript:SECCE3Rv1G0143590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPSFVTSILTSLAVFVVLVLAFTWLSRRPGNATVYYPSLLLRGLDPWEGTRSPVGWIRQAFTASEPDVVAAGGVDAAVYLVFLSSMFAILAFAGIVLLPVLLPVAGTDNALEDSTGRTPPNVTHFEILSLANVEDQSTRLWAFISAVYWVSFVTYFILWRSYKHVSNLRAAARSTSEVKPQEFAMLVRDIPVPPPNQTIQDSVDSYFRALHPDTFYKAMVVTDIAKADKIFQEIEGHKHKIAHAEAVYAESKTADRPEGTRPTHKTGFLGIIGKKVDTIEYCNEQIKELLPKLEDERKSTLSEKQQRAAFVFFNNRAAAASASQTLHAQMFDEWTVTEAPEPREVIWTNLPRKIYDRHTRQTVVYLIVFVTVAFYMIPITAISAVTTLEKLREKLPFLKVVVDQPFVKTVLQAYLPQIALIVFLAVLPTLLVFLSKSEGIPSHSHVVRAASGKYFYFIVFNVFIGYAIGSSLFSALEKVIKNPAGIFMTLATRLPGTATFFFTFVALRCFVGYGLELSRLVPFIKFHLKRKYLCKTEDEVRAAWVPGNLRYNTRVPNDMLIVTIVLCYSVITPLILPFGVAYFALGWLIVRNQVLRVYVPTYESNGRMWPHMHTRIIAALMIYQATMIGIISLKKFYYSTILTPLLVISLIFAHTCHARFYPAFAKTPLEVASQQLKEAPNMSAIYAAYTPPCLKPDKLQDDVQVFEDAQARQDPKLEA >SECCE6Rv1G0427680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720406150:720407355:1 gene:SECCE6Rv1G0427680 transcript:SECCE6Rv1G0427680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLVLVLAASLAALPSCRGLPVQAPVTKDAATSLYTIPFHDGASLVLDAAGPLVWSTCEAGQPPAGIPCSSPTCLLANAYPARGCPAPSCGSDRHDKPCTAFPSNPVTGACAAGSLFHTSFVANTTDGTKPVGEVKVGVLAACAPSKLLASLPRGSTGVAGLANSGLALPAQVASAQKVANRFFLCLPTGGAGVAIFGGGPLPLPQFTQSMQYTPLITKGGSPAHYISLKSIKVDNTRVPVSEATGGVMLSTRLPYALLRRDVYRPLVDAFTKALAAQPANGAPVARAVQPVAPFGVCYDTKTLGNNLGGYAVPNVLLALDGGGDWAMTGKNSMVDVKPGTACVAFVEMKGVEAGDGRAPAVILGGAQMEDFVLDFDMEKKRLGFTRLPHFTGCGSA >SECCE6Rv1G0387250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:110192845:110199045:1 gene:SECCE6Rv1G0387250 transcript:SECCE6Rv1G0387250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSFKYWDDCLDPDDIRLMWADPHVSKEWIDAGEEQGQKVHLSRDPDGEAYLTQTEIMAVAAITVQRHFKSQLDPYMIGALAEIVSGRRLFVDDYDRKTKETKMGIMQVTPEVAQWLGRELGYKNYDIELEDNIDLLYWPFINVYFGAAYAKWLFSCDEKERTEEFVVRAYKGGKKKATHKSSAPIFQRYLYVKETLLSMRQPDSFNELAPDLLENSSTAGTELVYWDSKVSVEDLDGMWSQPDVLKEWTNSGERRGNVRFSHDAKKRPYLSRVEVKAVAEIIISRHFSSRVKPEALAALAEVCSMRFVHGVRSRTGLMGIDYPTAAWLSRDCGHTAYTVSSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPDKVNLQETGPYWKKFLEALIHYEDPKKDQTSCCIL >SECCE3Rv1G0190050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:734280913:734282269:1 gene:SECCE3Rv1G0190050 transcript:SECCE3Rv1G0190050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAKRKPVFVKVDQLKPVTSGHTLVAKVLSSKTVLQKARAAGGPGPAAKPTRIAECLIGDETGCVLFTARNDQVDVLKPGNTVIIRNAKIDMFKGSMRLAVDKWGRVEVTEPATFGVKEDNNLSLVEYELVNVEE >SECCE3Rv1G0206260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:906632650:906634041:-1 gene:SECCE3Rv1G0206260 transcript:SECCE3Rv1G0206260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVKRALGFGGGYGQSKPVISYHTQNSDSVTTVVTEISHMSLNAKQDAYNGSDVGGFDALVCDNAAQKHSGYGEQKASSYQHESGAGGYSAHHHESSVQKHGGCGGQKASSYQHGGYDAASHDSTGATQKYGYGEQKAYQHYGAEAHHDSSVQKHGYGEQKAYGGHQDSKVQKSGYGGEHTAYQHEGNLKGYTALHQDSKVQKHGYGERAHGYGGQKAYVDCTVQKPGYGEHKAYEHGSAAAALHHDSYGVVKKHGYGGEQYQHGAAAGGYDGVHHYDSSVQKHGYGEKAYQHGSDAGGYGGVHHGSATQKHGYGGGQKAYAHDTDAGGYISNTTVYHGGVAQKPSYQQGCAAGVAGYDTLVQKQHGGNGGLQNAYPQQGCGVGGVAGYDALAQQRREKQRLNQGCESDEESEEESDCEEEVVGLAAPGGTQYYAAYERHQQLGGGGGYGGGCAQPKNHSYY >SECCE4Rv1G0277280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:802505798:802506094:1 gene:SECCE4Rv1G0277280 transcript:SECCE4Rv1G0277280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRYFGKRIGSEDWARGSRPRTRRLSADCSSCSRGESGSPHAGRGTDRESPLRGLPPSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE1Rv1G0053210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:667906872:667909470:1 gene:SECCE1Rv1G0053210 transcript:SECCE1Rv1G0053210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSGVPASASSRLTSPRISFARSSRRSMGANGPAGSTAASSSSSPAAAGAGAGAAPKPWLFVGLGNPGRMYKGTRHNVGFEMIDAIAEAEGISVSTKQFKSMVGRGLIGDVPVMLAKPQTFMNASGESVGQLVSFFKIPLNQVVLIYDDLDLPFAKLRLLPKGGHGGHNGMRSVIHHLKQSRDFPRLRIGIGRPTGMMGAIGFVLRAFSKEEQEELDSTFLRGLQAVRIMLLEGFNKSATFVNTPAPQPPENIQEIKIT >SECCE5Rv1G0357710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:748867181:748868431:-1 gene:SECCE5Rv1G0357710 transcript:SECCE5Rv1G0357710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVAGLSVAAAAMGSRFMIQAWQAFRIRAAMPRVRKFYPGGFEREMSRREAALILGVRERAALDKIKEAHKRVMVANHPDGGGSHYIASKINEAKDMLMGKGKSGSIF >SECCE6Rv1G0446710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:845770377:845771588:1 gene:SECCE6Rv1G0446710 transcript:SECCE6Rv1G0446710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIADIQDELLEEIISRLPTPADLARTSTARASFRRVIADHAFLRRYRALHPPPLIGMLQLHEPFIPAQPPHPSTAAARAFAGFDFSCSSFLPSTAGRSWSPINFLHGRTLLASAPVNEESGRRILVGSEVCDSHYCLLLTRDLAVCDPVHRRYILLPAVPSDLKALVRRPDLLQLEIFLAPGDDEDDPVSFRVICLAQYRMNLLLLVFSSLDGQWHVLTYDQWGAQATCPPLLNSESGFCNRQFVHGCFYWHLHFRNELLVLDVGTMEFSTINLPPERRDLNSFVIVEAAEGMLGMLTKGYDEESEDDRYWLTYSILRNNQWHSEKVIPLPVKRAILVGVAGGYLLVEALYTTSSQENLKFRYFLVNVKTLQVELFAALSKPILPGQLYAGFPPSLCAPTI >SECCE3Rv1G0153990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:58247153:58248389:-1 gene:SECCE3Rv1G0153990 transcript:SECCE3Rv1G0153990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSDAHSRHRCAACYRQFNRMEHLVEHMRSSHHSYHEPRCGVCGKHCRSLDALRDHLGFGASLPSKPACATAFQARGCPLCLAVFPTSAALHAHGAACKLSRAPIPSSVQSLTRTMSRMGVRGGRGAVALGCKMVGGGSDGTLDVCARVCVLDEHEAILYESFVKPLIPVTHYRYETTGIRPEHLRDAPTVKQAMRRVQDILLNGEQSYSYSYSSRGAAQLLVGHGLEHDLDALGMDYPAHLKRDTAAYPPLMKTSARLMSNSLRYLTRSCLGYDIQTGGHHHPYDDCVAVMRLYKRMRAMSHLHLHGWSKDDGDESTAKAFPAWRHRELVRMSPEELLAMSKADYKCWCLDDDRRY >SECCE5Rv1G0354840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727320807:727323502:-1 gene:SECCE5Rv1G0354840 transcript:SECCE5Rv1G0354840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein HCF164, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37200) UniProtKB/Swiss-Prot;Acc:O23166] MASVTSRCSGLLLPDLRPGLAGFRSRSPPPSSPRVRLRGGPRRPRTLSCVAPPDSAEPKTDEQNVKAELGEEKPQPSSSSSSTPQEAAAEPPVPDRGLNRRIAVLTTLAAVGLFGSQRLQLGGFSLKDLAANAVPYEEALSNGKPTVVEFYADWCEVCRELAPDIYKIEQQYKDRINFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGKLPRQYFLDNVVSLASGDPTIPHARAVGQYSSAEFRKVHQVADPRSHG >SECCE7Rv1G0462700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:48007644:48013711:-1 gene:SECCE7Rv1G0462700 transcript:SECCE7Rv1G0462700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGIAYPDRFYAAAAYAGFGGAGAPSAAAISRFQNDVALLLYGLHQQATVGPCNVPKPRAWSPVEQSKWTSWHGLGSMPSAEAMRLFVKILEEEDPGWYSRIPEFINPQPVVDIEMHKPKEEPDIVSALTNGTGTSSIPEPKTISENGSSMETQDKVVILEGLSTVSAHEEWTALSISGQRPKPRYEHGATVLQDKMYIFGGNHNGRYLSDLQVLDLKSLTWSKVDAKLQAGTSDSAKTAQVSPCAGHSLISCGNKFLSVAGHTKDPSDSITVKEFDPHTCTWSIVKTYGKPPVSRGGQSVTLVGTTLVVFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPAPRSDHVAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGPIPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLAWSVVSTVEGRVPLASEGMTLLYSNYSGEDYLISFGGYNGRYSNEVYALKLSVRLDLQSSTKDQPTSDSTSRVLEPEVEISQDGKIREIAMDNADSKNRNDEANEQLLAALKAEKDELEATLNREGLQTVQLKEEITEAEARNAELTKELQAVRGQLAAEQSRCFKLEVDVAELRQKLQSLDALEREVELLRRQKAASEQEQAALDAKQKKQAGSGGVWGWLVGTPPDDDDSESS >SECCE4Rv1G0294190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:889371689:889372102:-1 gene:SECCE4Rv1G0294190 transcript:SECCE4Rv1G0294190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSDLVLLVSVYLLVNYQHPDDANQAWFPKLFVVLGITVAVLSILMLPADVAHRQACKRAVYNGACALTLPMKTLWLVVYIVDAVLVFLVIPFAMFYYESDQDKSVFFLPPYFLYPPPFDSLTY >SECCE3Rv1G0197800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:823735995:823737046:1 gene:SECCE3Rv1G0197800 transcript:SECCE3Rv1G0197800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFFHELRRQASSYLTGKIRSARLALTDVTPTQLMTEEATNGDASPPNAKTMSLIAREAFEIDEYLRISDILHTRLATFDRRQWREPYKALLLLEHLLTHGPRSVALEFQKDRGVIQQMATFQHIDERGFNWGVTVKGKSERVLKLLERGPFLEEERERARKVAREIKGFGSFNLSSSGGGSRAAPPVYGRSHSRYEDRPWKEGDGEDEDKENLVSRADPRSVREAETVVEERHHRHPFHGFGQQRQPEAMLLLSQ >SECCE4Rv1G0282170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:828638176:828639902:1 gene:SECCE4Rv1G0282170 transcript:SECCE4Rv1G0282170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFFCSIVLILIVSSVYLLGLLADSRRNLPPGPRRLPLVGNLLSLGAQPHRSLARLAERHGPIMALRLGTVTTVVASSADAARDILQRHDAAFSGRFILDGTHVSAHYRHSMVWLPASSPRWRALRKVCSGELFAPHRLDMHQSLRREKVQQMVSHVMQLAREGTPVGVGRLAFTTALNLLSSTIFSTDFADLDKRHVKPGEFKAMLAELNVIVGLPNLSDFVPEVAWLDLQGVRRRIEGLFHRLHAMMDEQIEGHMQDRAAGEPTKKNFLDVLLDYRNTDDDQGFERQTLLSLFSDLFSAGTDTSSASVEWAMAELLLNPSSMSRAREELDQVIGSKDQVEESDIGQLKYLQAIVKETFRLHPPAPFLLPHVAETTTQVRGYTVPKGARLLVNVWAIGHDGKVWPEPEKFRPERFLEKEVDFKGRDFELLPFGSGRRMCPGWPLAVRMVHLMLASLLHRFQWRLPVDVEKKGLDMAERLGLNLSMATPLEAIATPV >SECCE1Rv1G0034320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:480771529:480773745:1 gene:SECCE1Rv1G0034320 transcript:SECCE1Rv1G0034320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLANLLISLFSLTLLLLHAPAPAVCNDLGAGLSPSHSTYIVLLRPPVDAGSDEDHRWWQDSFLPSPLAGTDEPRLIHTYTEVFTGFAVRLTEADIAMVSQRNEFVRAFPDHLWGPSTTHTPKFLGLEKDAGLWRDTNYGEGVIIGVLDTGIYSEHPSFDDSGIPPPPSKWKGSCHGAARCNNKLIGAKFPNPGAYDSGDDTGHGTHTSSTAAGNFVSHASAHGLGRGTAAGIAPHAHLAMYRVCIILGCAASDIVAGLDEAVKDGVDVLSLSLGPFYDVNFTDDPVAIGTFNAVAKGVVVVAAAGNNGPKSFIANSAPWLLTVAAGSVDRSFETVVQLGNGNHISGEAFNQTSNSSSKSVPLYWNKHCKSPLAGRNVAGKIVICHNTGPMNDTGSAINQSDISGIMSAGAAGIVLINRKDAGFTTLLENYGNNVVQVTVADGNNIIEYARTTSKASAKVIYKNTELGVHPSPTVAAFSSRGPSKFSPGVLKPDILAPGLNIIAAWPPLTIFGSGPFNIRSGTSMSTPHVSGIAALVKSSHPDWSAAAIKSAILTTADITDSAGGPILDEQHQRATAYAMGAGHANPIKAIDPGLVYDLSITEYAGYICALLGDQGLATIARDPTLSCKMLPKIPEAQLNYPSITVPLRTRPFTVNRTVTNVGPINSVYTLKMEVPKSLTVRVYPETLVFSKVGQKKTFSITVSRHRNVGSKALQGSLSWVSKKHVVRSPIVASPLL >SECCE6Rv1G0378000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:4359428:4364579:1 gene:SECCE6Rv1G0378000 transcript:SECCE6Rv1G0378000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHPHGDADPATSPPPPPSRRPRGFASASAPAAPPPPARRRGEREREREKERTKLRERQRRAITSRMLAGLRQHGNFPLPARADMNDVLAALARAAGWTVHPDGTTFLSSPPPPLPPPAQFQGAFQPTTSLETPLFTNSLNSYAIGTPLDSQASALQTDDSLSPSSLDSVVVAEQSIKNESYGNSSSANSLNCMGSDQLLRASAVWAGDYTKTPYIPVYASLSMGIINCYCQLVDPEAVRAELRHLKSLNVDGVVVDCWWGIVEAWTPQKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGECGSGGVLIALPRWVMEIAQENQDIFFTDREGRRNTECLSWGIDKERVLRGRTGIEVYFDFMRSFHMEFRSLSEEGLISAIEVGLGASGELRYPSCPEKMGWRYPGIGEFQCYDRYMQKNLRQSALTRGHLFWARGPDNAGYYNSRSHETGFFCDGGDYDSYYGRFFLNWYSGILIDHVDQVLSLATLAFDGAAIVVKIPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVFRMLKKHSIILKVVCYGPEFTIQENDEALADPEGLTWQVMNAAWDHGLSVSVESALPCLDVDMYSRILDTAKPRNDPDRHHLSFFAYRQRTPFLLQRDVCFSELETFVKCMHGEATQNFVD >SECCE7Rv1G0491290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:521415447:521418428:-1 gene:SECCE7Rv1G0491290 transcript:SECCE7Rv1G0491290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTPQAGQMLLPRKLWKSTRLQTTLLIQRRTVSNCCSDLSTTYTEQLPSYLALNVLQDQSNPKQNNIRKVLVILNPNSGFRSSREVFYKKVQSTLKLSGFAMEVVETAYAGHAKVLASSVDLSTCPDGIICVGGDGVVNEVVNGLLGRDDLKEALQLPIGIVPAGSDNSLVWSVLGIRDPVSAATALAKGGFTPIDVFAVKWIQAGVTHFGLTASYCGFVADVLQLSENFRLQLGPFRYVIAGILKFLSLPQYKFEVDYLPLLPEKNPNLESPIEKCHGQLSDDNKVKSGTYMDSSTGDNWVTRKGEFLGILVCNHFCKPAQGLFSPVVAPKAQHDDGSLDLILVHGSGRLRLFCFFVAYQLCWHLLLPFVEYVKVKQVKIRPVGSTHSGCGVDGELLQAQGQPEWQCSLLPVQGRLLGRHPRTQK >SECCE3Rv1G0179120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:528320377:528326187:-1 gene:SECCE3Rv1G0179120 transcript:SECCE3Rv1G0179120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTSEEEAALKAGVAKHGPGKWRTILRDTDFSAVLRLRSNVDLKDKWRNLSVTAGGYGSREKARMALKQGKRVPKVNTVSMDVDADNLDNVHDTAIDAKPLAMVVETSQRECSSEKSVARLDDLILEAIKKLKESSGSNKTAIASYIEEQYWPPTDFQRLLSTKLKALVATGKLTKSNQKYRIAPSSVSLGGRSTKVHSIEDDKQNISIRQLTKPQVDAELDMMTHMNKEEAAAFAAKAVAEAEVANAEAEEAARAAEVAEAEAEAAKAFLDAVLLTVQNKNAASAILRAC >SECCE1Rv1G0024820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:333145211:333146068:1 gene:SECCE1Rv1G0024820 transcript:SECCE1Rv1G0024820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFPFPFPSEVPQPPPGENSMEPPPEPAAPPPPPPPPPHPHDTTLTLTLALPPPTLASVLISPKPRARRPKPEGGASPRPRCSPIGDTPPCTECGKRFPSWKALFGHMRCHPERQWRGITPPPAHFRQGVAGAPVSPAGQFTVQEREVASSLLMLSSARPGASKGKKVVNAAAITPSGMESCGTSASASVMPGPANCDEHKCSVCDRGFASGQALGGHKRCHWDRACSGVVVLAAAGSSGSPMSIDDSAILDLNLPPPGPPPVRTSDQGSSLNDMLDLKLGYYG >SECCE3Rv1G0177640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:477253382:477262258:-1 gene:SECCE3Rv1G0177640 transcript:SECCE3Rv1G0177640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAVDLGRRQGDSRFYNAARARRGHHHGLPKSRCAAAAVTQENAPEEPSRLPASPVGVAGNLKRFVAAVTLSVPVEYPSERREWRGCGVDANREKPYFVLDDLWEAYKEWSAYGAGVPLVLDGCDGVVQYYVPYLSAIELYGDSSVLQASSNPRHMMDDSDEDFHDSSSDGSSDSEHVRVKHLAQEGFSRDVSESGVTHGRLLFQYLEFDSPFCREPLTDKISSLSARFPGLRTLRSCDLSARSWMSIAWYPIYRIPTGPTLKDLDACFLTFHQLSNCPQGDNLWAPDSTPNIPLPVFGLASYKFSNSVWSSTDGDWQLASCLRQAAADWLRDSRAGHPDYQFFVSRGTYNR >SECCE3Rv1G0180990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:601944912:601952623:1 gene:SECCE3Rv1G0180990 transcript:SECCE3Rv1G0180990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPGYAAAPKRQRRRRPRDAEDGSAFPRAVTSRPRGADHVREVAMVPAESMDIDAGTSTNAATGGVDGSYLSDTRFDQCAVSPLSLQGIKDAGYERLTRVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIELLSTLPRSTSINLLVMLPTRELAYQVAVEARKLLKYHSSLDVQVVIGGTKLSQEQRSMRSKPCQILVATPGRLIDHLQNTPGFSARIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPAEVREVSHLAMQKDYKFINTVQEGDEETHAQVDQMYMVAPLDLQFSILYGVLKKHIAEDVEYKVIIFCTTAMVTKLVAEILSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVSLVIQVGLPSDRQQYIHRLGRTGRKGKEGLGILLLAPWEKHFLNSVNDLSISETVAPSVNPNIQAEVKGAIRRVEIKTKESAYQAWLGYYNSNKTISRDKSRLVKLAEDFSQSMGLEIVPAIPKLILRKMGLINVPGLRSS >SECCEUnv1G0571010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:491783230:491783661:-1 gene:SECCEUnv1G0571010 transcript:SECCEUnv1G0571010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRIRKLITAQGAFDSVLLQGGHDGHPFPWDVVVKKLAELFRFLASALPALVAKIQEWAAAMEQRASSALAVALPAAAVVALVVLCCCCCGYLVAGGRRRQRGPNGEEAYGRDGPVVTYGGRGGGGYRGGIFSMHPNKPIV >SECCE2Rv1G0122940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:820047974:820048264:1 gene:SECCE2Rv1G0122940 transcript:SECCE2Rv1G0122940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVACSFFFDDDLLGEPCTAALDACALCAKPLARDRDVFMYRGDTPYCSEECRHEQMQLDAVCAKQAARRQQRFSAEMESHRGQRQARKVSVAS >SECCE3Rv1G0174040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:372475555:372485340:1 gene:SECCE3Rv1G0174040 transcript:SECCE3Rv1G0174040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLAFPQDSPPLSIISAAKIAVVPLIIDPTLASGSVPTLHFSSGDFIHGVNTILRYIARAASLSSFYGQDEIQAAHVDQWLEYAPLILSGSEFEAACSFLDGYFASRTFLVGYGLSIADIVVWSNLTGTGQRWESLRRSKKYQSLVRWFNSVAADYADALDEVTSAYVGKRGIGKSPAPSLKEKVPGLKENTSGHEIDLPGAKIGEVCVRFAPEPSGYLYIGRLIVRFDDTNPSKESNEFVANVLKDIDTLGVKYDVVTYTSDHFPKLMEMAESLIKQGKAYVDDTPKEQMRSERMDGVESKRRNSTVEENLSLWKEMVNGTKRGTECCVRGKLDMQDPNKSLRDPVYYRCNPDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNNMVEDWTDARFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIVDPVCGRHTAVLKDKRVLLTLTNGPEEPFVRILPRHKKHEGAGKKATTFANRIWLEYADASVVSVGEEVTLMDWGNAIIREIKTDNGTVTQLVGELHLEGSVKMTKLKLTWLSDIEDLVSLSLVDFDYLINKKKLEEDEDFLDNLNPCTRREALALGDPNMRNVKKGKVIQLERKGYYRCDVPFIRSSKPIVLFAIPDGRQKSTSIVSGA >SECCE3Rv1G0170470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:253467117:253469383:1 gene:SECCE3Rv1G0170470 transcript:SECCE3Rv1G0170470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGHHGGGGGGGGLLALDASPRQLGFLNLLSPAPFHRSMEADDGGGGGGGRGRRSIEVDFFSDEKKNMKKSRASAGADAEDQKDQASAAGLAIKKEDLTINLLPGNNTRSDRSMVVDDDGASRADDGRNTGELAAMQAELSRMNEENQRLRGMLTQVNSSYHALQMHLVALMQQRTQMPPVQPQQPPTHEDGKNESAIVPRQFLGLGPSGASADVAEEPSNSSTEVGSPRRSSSNGNEDPERGDNPDGPSTAGWLPGRGMTQQQQQQQQLGAAAKGHDQQAQEATMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRTILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGAGLMSSNFLARTVLPCSSSMATISASAPFPTVTLDLTHAPPGAPNAMPLNVARPHAPGQFHVPMPGGGMVPAFAMPPHMLYNQSKFSGLQMSSDSVDPGQFAQPRPQMGMPGQLSDTVSAAAAAITADPNFTVALAAAISSIMAGQHAAGNSNANNSNNNTTSNNNVTTTSNNTTSNNTNSETQ >SECCE5Rv1G0351470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:700149026:700152170:-1 gene:SECCE5Rv1G0351470 transcript:SECCE5Rv1G0351470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGFDIIGGITGSKTRLKGSVVLTRKNVLDFNSLGATVMDNVTEFLGRGVTCQLISSTIVDSNNGGRGKVGAEASLEQWITSLPFITVGENKFSVTFDWAVDKLGVPGAIIVKNNHASEFFLKTITLDNVPGHGKVVFVANSWVYPQSKYRYSRVFFANDTYLPSKMPAALKPYRDDELRNLRGDDQQGPYEAHDRVYRYDVYHDLGESRQILGGSKEFPYPRRCRTGRKLSQTNPDRESRLLPLVQSIYVPRDELFGHLKTSDFLGYSLKALVDGIIPAIRTYVDLSPTEFDSFADILKLYEGGIKLPDIPALQEMRKRFPLQLVKDLIPMGGDYLLKPPKPQVIKQDEKAWMTDAEFAREILAGVNPMMITRVTEFPPKSTLDPSQYGDQTSTITEAQIGSSLEGLTVQQAVSSNRLYILDHHDHMMPYLVRLNNLDDTFLYATRTLLFLKGDGTLAPVAIELSTPLLQGGLTTAKSTVYTPASTGVEAWIWQLAKAYVCVNDYGYHQLVSHWLNTHAVMEPFIIATNRQLSVTHPVHKLLHPHYRDTMNINSRARELLVSAGGIIELTVFQRKYAMEMSSVTYKDWNFNEQALPDDLIKRGMAVRDPSSPHKVQLLLEDYPYAVDGLAIWTAIEQWVTEYLGIYYTSDSVLQGDVELQAWWKEVREVGHGDLKDAAWWPKMKTVAELVKACATIIWTGSALHAAVNFGQYPYAGYHPNKPSASRRPMPEPDTEEYALLARDPEKVFIRTITNQLQAIIGISLLEILSKHSSDEIYLGQRDTPEWTSDAKALEAFKRFGTRLEGIESQVVALNGNPQLKNRNGPAQFPYMLLYPNTSDHTGKAEGLTARGIPNSISI >SECCEUnv1G0547920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:178245002:178245439:1 gene:SECCEUnv1G0547920 transcript:SECCEUnv1G0547920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSKQTALFLLAALLMCLLCTRGQAARPTPGSSHHKSQGTGSAISHEKSVAGAGMEMEQEQEDQEAMRGCEGGEGAEECLMRRTLVAHTDYIYTQGKHN >SECCE2Rv1G0119030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:787592499:787595369:1 gene:SECCE2Rv1G0119030 transcript:SECCE2Rv1G0119030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMERQTVSTSSASCSPSAASSSSSSSCGGRKRPDILNMIRSAACLNSSSTDTGKGRSKQSSTKVTHGFHLVEGKSGHDMEDYHVAEYKCDKNHELGLFAIFDGHLGDRVPSYLRANLFSNILKEPLFWTDPQEAIKNAYGSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWIANVGDSRAVLCERGAANQITVDHEPHISNERQRIEQQGGFVTTFPGDVPRVNGQLAVARAFGDHSLKTHLSSEPDIRHVPINSSIEFVILASDGLWKVMKNQEAVDLVKSTKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >SECCE1Rv1G0048010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631275407:631276628:-1 gene:SECCE1Rv1G0048010 transcript:SECCE1Rv1G0048010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTQDKHSSQELLQAQVDLWHHALGFVKSMALKCAMELQIPNTIQHHGGSMTPSKLAAKIGLHPSKLPRLRRLMRVLTVSDIFVVHEVASPNKEVAYGLTPTIRLLAIGEVRLNLSPILSLILDSTVTSPFSGMHSWFLDEHSTSLFEKAHGLNVWEMAAQNSTYNQLFNDAMISDSNFLMDIILRECAGVFLGIKSLIDVAGGHGGSARAIAKAFPQMKCTVMDLPHVVEEAPTDDHVSFISGDMFKYIPPADALFLKWVFHDWGDEDCVKILKKCKEAIPPREAGGKVIIVDMVVGSGPNEIVTRETQVLFDLFIMSFEGIEREEFEWEKIFMEAGFGDYKIISVLGVRSVIELYP >SECCE5Rv1G0298050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:9429488:9433767:1 gene:SECCE5Rv1G0298050 transcript:SECCE5Rv1G0298050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKISRLSPEAVPPLARRPRRKISRLSPEAVPPPARRPRRKISRLFPEAVPPPARRSPRLSLADEAQKSQLTTPGHRRGLFSCLYSGECDDPPDSCLKFDLMATIPDYYEEAIDRLPIEDMPADAADQLMTSIGRSGLSLGLLDPASNIILNTIALLRRDFPDSPLHGTRKASRSTTIPRRDTWARVAGASYLALRSFMVSYFGCLSEEQATRYLHWARGDLVRAVLLVEHDLYDAELPIPDPASQRTQAALKCAAIYVSHPAPDVLVRLQASPLPQQWLDAAAPFLKPQGRKLTLDDVDLVVRMLRHQDGAPLDLQVKLLPDSGELTVYCRNFNPDEAQLSTHNTNSENHGGNFSLVTYKVERHGDRLASLSPQSPRGRRSMISSCLEDAEKAYRRGGLVESNCGDACEYTESLRMRLHSMIHSFYLKVFTMLPHSMRLICDILFAGHCYGPMDPVSNIIVNSIWHSIVYPLPLPEIQEYHIIDALSMLRVEVRSLEGLIALVQGNSDSGCSMQQVMEHLSFKCCDLSQETHTLKQFAAAAAAARHPQHAALGPFLASLTPNVLYDLRRLLTTGTNGVIPPESLGQIEQFLRYKAVTLDPKPCKVSELCEEAKVALRRMKSYYDSMRLYLCSKLEQLLQKYASEHPLEPKYVLTVICGMVAGSESLDRECYHINFVAASKSSAAGNKLFFAELNWSCPGEQAKPDFCCPLPLIYTGRCYYGKGTARKIVYPDSVDFLECDHDITADGTEHTDGMLDVDLMFDFRSDAQFADDMRKYCEHQNELLEEGDEY >SECCE1Rv1G0033580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:469201365:469207854:1 gene:SECCE1Rv1G0033580 transcript:SECCE1Rv1G0033580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMAATVEEQMMVKAIRDECPWETLPKRIQAAVVSKDEWHRRIVDYCIRKRLPWNNSFARKVCKEGEYYEDLMRYLCRNLALYPYHLADYICRVMRISAFKYYCDVLFEAMKNEQPYDSIPNFSAADALRITGVGRNEFIEIMNKCRSKKIMWKLSKSIAKELLPAQPADLAIEPWWGVRFVNFTLEEFKKLSEEETIAIDKICKEEVNSYVLFDPEVINCLYRRGGVYFDVPVYPEDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELAKTLQADLYQLQAAASFACRLGWAVKLLDTDSALRDSSATALPSSILGDDDEGSRMSINSEKSCTELLNSDCDGPRKISGTAYVGFIVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGYSCIADLCNDLASLEGKKFEGVLQEFANHAFSLRCFLECLLSGGTSPNESSDGICQDDLSLPLSKKSIEEGADNVVENNESSLQATDPAGDGQHGYTPQQEYPTVDSNASSPSCIKSGGMESVAENDFDSSQMVTQNDNSQTTELDGPAVRKTKRNYRVNILRCESLASLAPSTLERLLLRDYDIVVSMIPFPHSSVLPSTAGPVHFGPPSYSSMTPWMKLALYTSGSCGPLSAVFMKGQRLRLLPEPLAGCEKALIWSWDHSVVGGLGGNFEGNLVRGGLLLHYLNSMTKHSAVIVQPLGTKDLDESGNLVTMDVPLPLKNADGSITSALAGTDLPEGQMSNLTTLLEDLSSKVELSTVGYLRLVRLHRVSESSVPPEKESYEWIPLNLEFGIPLFNPKLCERICERVVNSNMLQKDDLTEHYESMQTVRKRLRELCTEYQATGPTARLLNQRGGSKNSPRQLQLMNIVSGRWSPFHDPSSPTQEGTSPGEHVRLKLGRRQKCFTEVLSFDGSILRSHALTPVYEAATRSVTEDQPSPSASKTDSEDSDTKDVVLPGVNLIFDGAELHPFDIGACLHARQPISLIAEASAASLAMK >SECCE7Rv1G0465950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:75669700:75671034:-1 gene:SECCE7Rv1G0465950 transcript:SECCE7Rv1G0465950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQRRQPLPPASHQPRRGDPACSGAAPPPVCRYWKSGHCGRNPCRFLHADPAPPPQPPVVVAKKRSNTWVNTSSKIAKPSATADEGEAAVPSVPPPTTTTTLPNATTNAREAAVPPAPSERVQKPPVGGAWCVGDGICGVARLEGHARAVTGVAVPEGSGKLFSGSLDGTVRAWDCGTGQCVRIAPVQEGEVSRLIAMGPWVLVGVRGAVRALHAGSGDELRLRLPAAAAQVTALLAEDDERLFAGAEDGAIYMWRLDPGRQRFDEVAALAGHDGHAVASLAQGKGALYSGAADGGIRVWDLETRRCVCSFAGHASMVTALLCWDRFLLSSSVDGTVKAWRSKPDRGDVEPELEVHYTHREEEGERVVAMDGTHDADKKPVLLVSRGDGVIRVYDLPSFKPRGQIHCNGEATAMSLRTPGVIFTGDESGEVRVVKWTPAAAA >SECCE2Rv1G0103970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:597454609:597457465:1 gene:SECCE2Rv1G0103970 transcript:SECCE2Rv1G0103970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEELAMLMGSPAALEATKAFLDRFERRVTLCQSASSSSAENIDHLLKRLVTPKRKVPPSRDGRTRVAAKRPATTSETSRLSRYSLRVALCAYMILAHPSAVLSGDGEQEKLLMESAANFVREFELLVKTILEGPGRASRQPCLDGAGSSSCQKSYDVARQSKFKTQLVNFDKAWCTYLYRFVVWKVKDARSLEGDLVRAACKLELSMMQTCKLTADGQSHNLTHDMKAIQKQVSDDQKLLREKVQHLTGDAGTERMDSALSDARSKFFEAKENGSPLATPVANVSTPLIINSSGKLPPSEVNVSSKTDAEGSRSVVRSLFGASAASSSTSPVNLPTENEQMVNEMLHEDSRAIASNSNDAHTTEKDFQVKIRETMEKAFWDVVTESMKGDKPDYSQLINLVKEVRDSLHDLAPKGWKEEIYENIDLEILSQVLESGSQDTQYLGQILQYSLDMVRKLSAAAKDDEMKASHGKLLSELAASSEVNDNGVSSFVIVVIKGLRFTLEEIKQLQVEVSKAYIQLIQPTIKGSAGVEYLQKAFGDRYGPPANASASLPVTLQWISASKSIVDAEWREHLGSLSVLPAANHAQPLVTVLRAGHGAPTAAVPSAGSSGLPECKGEKIDKLVRVGLLQLISGMEGLQLQSTPESFHLNFLRLRAVQGQFQEVIVMATSMLVLRQVLMSENTKVTPLELETVISELFGALVKLLDNSPEACTEEIAEAMMSASASAGSLSDAKIQARRQIITRVLLKSLQADDVVFKKVSRAVHCAFRGVLLGGSGAKGQKLADAALRRVGAGKLADRVVKVAEVLIRVATVSEKVHSPWYKALA >SECCE3Rv1G0167780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:208299418:208301697:-1 gene:SECCE3Rv1G0167780 transcript:SECCE3Rv1G0167780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCKYSASVLLAALIPCMVLVFDGSHAAVTPDTLLPGQSISGSETLVSGNGVFELGFFSSSPGNTKHYLGMRYNNLTGVRPVRFWLGNRIPITSFLNATLYLAAGELYIEELGSVLWTSGSATNGSASSVAVLLNTGNFVVTDQTNHSKVIWQSFDHPADALLPGAWLGLNMATGAHISLTLSKPPYHCTLVIDQSRKMGFVMSIDGHDHLGTFPDWMVTYEEEGSLVRLNYPENSNDLQFMRLHMGQVSLLRWVNNATNTGWQPLWSYPSSCKISAFVCGAFSACTSAGTCACIDGFRPSDPDEWRLGQFVSGCSRIIPSDCEDGISTDSFILLDNLQGLPANPQDTREETGEDCEAACLNQCYCVAYSYDLSGCKIWYDVLLNLTFGNSAIHHTKISMRIGSLGKRRQGEIQHVMLVIGPIVVGLLIMLVIFWVYKGSSRQTKVEGFLTVYTYTQLKRATRNFSDKLGEGGFGSVFKGTVAGPTAVAVKELKSFGHRDKQFRAEVQTLGLIQHTNLVRLFGFCSEGTRRLLVYEYMPNGSLNSLLFSEGTSLLSWHLRRRIAVGVAKGLSYLHEECRDCIIHCDIKPENILLDAEFCPKIADFGMAKLLGRDMSTALTTLRGTIGYLAPEWVYGQPITHKADVYSFGVVLLELISGRRATGNGNHRYFPLYAAAKVNEGDVSCLLDGRLGGDGNAKELDVACRVACWCIQDDEIHRPSMGQVVRMLEGAIDVELPPIPTSFQNIMDGGDSGAYSAEV >SECCE2Rv1G0100990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:551952417:551956935:1 gene:SECCE2Rv1G0100990 transcript:SECCE2Rv1G0100990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRTAPDAEIQTLGATTSYPPLLAAGARALLPSASVPPWREQLTLRGVAVAAALGSLLCVVIHRLNLTVGVIPALNVASGLLAFFFTTAWRAAAGRLGFGRGSPFTRQENTVIQTCAIACGGLAFSGCSASYIFAMDRKTYELVGPDYPGNRVEDVKDPSLGWMIGFLFLIALLGPFAIVMLRKVLVVDYKLTFPGGTATALMINSLHGEQEADVTGKKVRCLVKYMSLSFGWSFFKWFFSGVGDSCGFDNFPTFGVAAFKNTFYFNFNPSYVGYGLISPHIVNCSVFLGSVISWGFLWPFITKQAGDWYPDNLSSSDFRGLYGYKVFVAISIILGDGLYNLVKIFVVIARGICNMQLKKGDQPVQSLQDNKNSRQLMDDELQMEIFFKDRIPTWFAICGYIVLAAISTVAVPTIFPQLKWYFVLVCYLLAPAIAFCNSYGMGLTNLNLAPTYGKIALFIFASLVGSSDGGVIAGLAACGIIMSIACSAADLMQDFKCGYLTLSSPRSMFISQLTGVVLGCVIAPLTLWLFWAAFDIGDPDGEYKAPFAIIFREMAILGVEGVAALPQHCLEICCAFFLAALAVNLLRDVMPASASRFIPIPMAMAVPFYIGAFFGVDMLIGTIILFVWQKLNQRDADDYAVAVASGLICGDGIWSIPSAVLSILRIDPPVCMAFRTSSAFSR >SECCE7Rv1G0508250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:770815935:770817890:1 gene:SECCE7Rv1G0508250 transcript:SECCE7Rv1G0508250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g44230 [Source:Projected from Arabidopsis thaliana (AT5G44230) UniProtKB/Swiss-Prot;Acc:Q9FFG8] MVHLAPPRRPPALLLGAPQPPLPTALPLPLLPPPALASLLLAAVDSSPSLRHLRSLHGLLVRLPLPPPSLPYLLSRLLRRLAALPPPHAPLPYALSVFSAHTPPDPFLAAALLRFALLTQPPLSPFRLFSRLLHTPRGELPFLPFAFSPLAKSAAAARSLPAAQAAHAVSILLGGFDKHRFVENSLIGAYVTCGDVGAARKVFDEMVVKDVISWTSIVVAYSKSGDMGSAEEVFAQCPVKDMVAWTAMLTGYAQNSMPVKALEVFDRMATIGMGIDEVSLTGAISACAQLGAVRRAAWVQEIAERNGFGQNVVVGSGLVDMYAKCGLIDEARSVFDGLQEKNVYTYSSMIVGLASHGRAKEAIALFKDMVRRADVVPNHVTFIGLLTACSHAGMVRDGRFYFAQMKDKYGILPSPDHYTCMVDLLGRAGLVAEALDLVRSMTVEPHGGVWGALLGACRIHGNTDVAKVAAEHLFKLEPEGIGNYVLLSNTLASAGKWDEVSKVRKLMRSRGLKKDPAVSSFEGRDGLVHQFFAGDNSHPRTNEIKKALLELAAKLKHAGYVPILTSIVYDVSDGEKERLLMGHSEKLALSFGLLTLGSRCTIRIVKNLRICEDCHLFMRLASRVEQAEIIVRDNMRFHHFKDGECSCGGFW >SECCE4Rv1G0294640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:891937378:891938216:-1 gene:SECCE4Rv1G0294640 transcript:SECCE4Rv1G0294640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDQHMSTDELMETEELKVLAVDDDPIYLHSLTQMLRRYGYEVTPKPSPAEAVREVEKNPDGTDFIMTVVQTRGRGMDGLGLLKRLGERYPVVLVFSGDESMETRRRGNEGGACYLLEKPLRDTQIHYIWQHVVRWRRNAAAAAATANADPRHSQGIHLDDTPRKRGQGVNDPNKGKGGSEGGLQLGTTKKKKVEWTSQMHELFVNAVTQLKTTQGA >SECCE2Rv1G0132150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888428793:888429873:-1 gene:SECCE2Rv1G0132150 transcript:SECCE2Rv1G0132150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYSDVGKYRGQNGWTKEGWQAMKDRINARPPGANFTVQQLKDREQRLKKELNVVKSIVEKSGFGWDPKNKVPTALDEKWEELSSEQRKWRHKPFPYYDVLYEIHEGKMAEGKHCKRTTDGTEERYKNMSHLPEHGSYTDDVMRAASMDSPEATLSAPGSAIPEYDWGGNIYGDDVDLSYGGYSEPLGSNENHVSAAEDLTSVDSPRRKRLRNSKGSDDGSDKTKGKRGKDIVLANLVSVREEEMQTYKEMKTKQIDSYKEIKMAQMERNDPKNDPYGMPNCIQKLRTLGLTPSDQHKMINHLKEDMLNRQTFMEVDDDVLSVIIKEVVAPHV >SECCE7Rv1G0496580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:613991947:613992483:-1 gene:SECCE7Rv1G0496580 transcript:SECCE7Rv1G0496580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPLGKKTKGRQRRENRRVEKKESRQVTFSKRKSGLWKKAAELALLCRASLAIVVFSEAGKAFAFGSPSTDAVLGCADALAPVPAADDVEWEALEALCRETEAMGVEVAAEAERMTAVGKRVVEVQTQAGKRFWWEADVEALGEAELPVLARALQRLRDNVRRHADKMPSAAPPPQ >SECCE1Rv1G0023110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:294539454:294541165:-1 gene:SECCE1Rv1G0023110 transcript:SECCE1Rv1G0023110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFQESVKALEADIEHANALASEFLRDYDGSVIQMRMAYSAVAHVLVQWTDCRLASALGLLKIMIYKVYAEDGTTTLPSWEREASIREFYGVIFPSLLQLPSGITEIDDRKQRRLCVDKFKRRDGDFSQVDLEREVECGICLEVNAKIVLPDCTHSLCLRCFEEWNAKSKSCPFCRACLQKVKPSSLWVYTDDRDVVDMDALTSENIRRLFMYINKLPLVVLHVVDLDIYEYHSK >SECCE3Rv1G0156210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70464819:70468027:1 gene:SECCE3Rv1G0156210 transcript:SECCE3Rv1G0156210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALLCKLSKLLEDEYSRLKGVRRQITFLRDELSSMSAVLETLADAEQLDPLKREWRDKVRELSYDIEDCIDDFVDRVGDDRGEELRTGLKGFFSKLKKLKARREIAGEIQQLKIRAIEASERRRRYDFLEPAPSSGTCSAIDPGLPALYEDVDRLVGIDGPKEHILGWFCKEKQHDDLKVLPIVGSGGLGKTTLANQVYCQLKGQFQCTAFVSVSRNPNIQKILRQMLTEFGISGGALDDERQLIDRIRDHLKDKRYLVVIDDVWDVEAWKAIRLALFNNKCGSRIITTTRNIAVASYCSGDSSYVYQMESLNSADSRRLFFKRAFGSEEVCYPHLEEVSNQILAKCGGLPLAIITLSSLLADKHAKDEWNRVLTAIGSSLANDPNAGNMTKILSLSYFDLSHHMRTCLLYLSVFPEDHRIDKQRLISRWVAEGFIHEERGRSAYEVGEGYFNDLINRNLIQPVDVEYGQPKACRVHDIILDFITCKAAEENFVTLFDSVEHGHASDYRVRRLCVENRNSNKVTIPTSLILSHVRSLTVFGHCMQESLLTFPTLRVLDLGECWELENQHLANIGRLLHLKYLRLGQSRITELPGKIGELRYLETLDIRGTRIQELPPTIARLQRLAHLHVNHMIRFPDGVIGQMQSLEEVEDFGVFSYEQGKCLQEFSQLSKLRTLKVANGWSHDTTKRSQLEVIESYLGTLLFSCNLHHLYFLDSYGGASLPAYRYPVSFESSCPTDTCILRKLHITYCYIDKVPSWMSLLENLRELNLYMFSLRPEDVVILGAIPSLHFLELRTYFGTDGRILIAGFRSLKYFNLEIFCCGTSLEFEAGSMPNLEHLKLELRVHKMECLNGASDFGIHRLSALTKVEISIYADTDAVYDLYEDVTVKCVVSCLETAIQKLPNHPTLSFLPGGLSPCLHFGKFIESYNRRYGDPTWSIGGCETEVEHGETSTS >SECCE1Rv1G0031330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:441252946:441255220:-1 gene:SECCE1Rv1G0031330 transcript:SECCE1Rv1G0031330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSGGRKLPFFSAASTSSSTKRTRSARRLPSLPKPSSPPPPSPTTPPPAPQTTTSPPAPSPGGGAAVASVSGKVGKKKAGARLWMRLDRWGSSEILELDKASIIRRAGVPPRDLRILGPVFSHSSSILAREKAMVINLEFIRAIVTAEEVLLLDPLMQEVLPFVDQLRQHLPLRSLVGGNGEHGGDGNGVKQDGSPGDQVPCLNESTGAEHELPFEFQVLEVGLEAVCSTLDSSVADLERRAIPVLDELTKNVSTKNLERVRSLKSDLTHLLARVQKVRDEIEHLLDDNEDMAHLYLTRKQAQNQQVEAIMTSAASNSIVPAGASLPRLNSSFRRSVSIATSIYLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIAVNTFIAGAFAMNIPCHFYDIADGSFFWPFVGGTSSGCFVISVVLLGYAWWKKLLGP >SECCE4Rv1G0259860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:690803851:690804582:1 gene:SECCE4Rv1G0259860 transcript:SECCE4Rv1G0259860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGSASPNGGAACAVCGGAAALYCPADAAALCVPCDAAVHSANPLASRHDRVPLAAAVAATSGVYDLFAADEEGAASWPTPGHGSPSSGSSGHSNGGGVEMSLFDLLSDVDLVATGAGGSMLSDGGVAPLWLPSSLAADGSSAWTSSWSPSEAVVVPSAADRAERVRRYREKRKNRKFQKTIRYASRKAYAEARPRIKGRFVKRPAAPASDDGDNTAKFWLSFSDNSVGFQVASHGVVPSF >SECCEUnv1G0540090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78347884:78350094:-1 gene:SECCEUnv1G0540090 transcript:SECCEUnv1G0540090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPKLPAPARRPPPGLAGTLRRHSAWLLLVWFALSVCLFLSAAPPAASPLLRPAVLLRTTKPRALAASTTAAATTSRPPVRIYVYDLPARFNRAWAAADPRCARHLFAAEVALHDALLSGHAAARPEDADLFFVPVYVSCNFSTPNGFPSLSHARGLLADAVGLVRREMPYWNRSAGADHVFVASHDFGACFHPMVSDVAIADGIPEFLKRSILLQTFGVHGPHVCQEAEHVVIPPHVPPEVALELPEPEKARRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQRYGRNSKFYLKRKRYDDYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCVPVIIADDIRLPFPSVLRWQDISLQVAEKDVASLETVLDHVVATNLSVIQKNLWDPVKRKALVFNRPMEEGDATWQVLRELEALLDRSQRRSHVGSWRR >SECCE3Rv1G0211040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946876339:946883070:1 gene:SECCE3Rv1G0211040 transcript:SECCE3Rv1G0211040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYKDTAFTPAETETETRPPPSQEPKETRPGRLLPRSQNPEAAPAPPPRPSLDASRAAVAVAMGAGAAGEPSQTRRALVDTLAGAISGGISRTVTSPLDVIKIRFQVQLEPTATWGVLRRDVYGPSKYTGLMQATKDILREEGLPGFWRGNVPALFMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGAIAGSAATVGSYPFDLLRTILASQGEPKVYPNMRSALVDIVQTRGVRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMSWNRYRYGSEEDDSASSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALTEIVVKEGFGGLYKGLFPSVVKSAPAGAVTFVAYEYISDWIGAKAGVE >SECCE6Rv1G0387760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:121718408:121720764:-1 gene:SECCE6Rv1G0387760 transcript:SECCE6Rv1G0387760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLSSPILRTFPGNRFLPLYKIEQFAEMGGVIGKGDTPRYSSAATKLEQKMVDAMQQRAQQGTSLKSFNSVIMKFPKIDENLRNCRIIFQQFDEDSNGEIDQLELKHCFQKLDISFTDEEIKDLFEACDIYEHMGMKFNEFIVFLCLVYLLNDPAVSEARKRMGLGNLEPTFETLVDAFVFLDKNKDGYVSKNEVIEAINETSAGERSSGRIGVKRFEEMDWDKNGTVTFKEFLFAFTRWVGIDDNEDDDDDE >SECCE4Rv1G0231250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:180375712:180376680:-1 gene:SECCE4Rv1G0231250 transcript:SECCE4Rv1G0231250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASDIIEYERPGVVRVYKSGRVERFDGTHTNTVPPCPSGDLANGVASKDVVLDASANISARLYVPDAEPGKKLPVVVYFHGGGFLVQTPTSPFYHAYTASLAAAAPAVVVSVNYRLAPEHRLPAAYDDAFAALKAVLASCRPDGAEPWLAAHGDASRVVLAGDSAGGNIAHNTAIRLRKERIESYGDGVSGVALLHSYFWGTERVGGEPTDAAYRGEFERLWDVACGSQFGPDHPYINPATSPGEWSQLGCRRVLVTTAELCWFVDRARAYADGIKACGWDGEVEFHESKGEEHVYFLFKSSCDNAVKELAVVADFVGRC >SECCE4Rv1G0254020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:631958046:631959860:1 gene:SECCE4Rv1G0254020 transcript:SECCE4Rv1G0254020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSDDHRPARRRDGKAKEERMGRAGVVLILCLFTLPFLVFLFGGRPGAPAVWRNAAKLTATAGSGSGNVSRPSAAGGADELFGGLLALGSDRRACQSRYQSPRYYKHSPHAPSPHLLQKLRDYEARHRRCGPGTPPYARSVDHLRSAAGGGSSTEDDECSYVVWIPYNGLGNRMLSLLSTFLYALLTGRVLLVHTTDDFTDLFCEPFPGGTWALPPDFPVADMSRLGARSNQSYGNLLDGKRIASDDPGRATARSVPAYVYLHLAHDLRRSDRRFYCNDDQLVLAKVNWLLLQNDFYFVPALYDMAEFEGELRRLFPAKESVSHLLGRYLFHPSNSVWGMTTRYYHSYLAQAEERIGVQIRMFPLASIPVDDMYDQLMACSRQERILPDVDDDEANTTSAAADGSSKSKAILIASLQGEYYERIKLRYYEHAAEGGGRVGVFQPSHEERQTMGQRSHNQKALAEIYLLSFSDVLLTTGVSTFGYMSSSLAGLRPTMLMIAKDHKVPETPCVRAVSMEPCFHMTPDVKCQGKAVNKEELARHVKECEDVPKGMKWIKGIKLVD >SECCE2Rv1G0078840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:112595223:112596286:-1 gene:SECCE2Rv1G0078840 transcript:SECCE2Rv1G0078840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPIALSVLLLVAAAPAAAACGEELAPVLQCHPAPALTDAADGTAFRASLMRLLGALPSAAAPTGFASMHSDGAFARGFCFGDSAALPSGSDCVRCLSDAARNLTAGCGATSRRAGILSQRCSLSYAETNFSSPGQDAFRARFHLELPSDAAASASDSETSAGVLYSTGQHDELVAMAQLMAQRAAGRLSRPVMPGSTRVVHKTIVERVCGGDYAIVSSTVRVRVQCAGDLTEADCGRCVQDSAQAVGWDLDAARDNGGAAAAVVGFNCHVRFEVSTALVPRSDQNDDHSGLLRVGLLALIIANFILTVAKYCTFFRRRR >SECCE6Rv1G0436630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778687771:778689379:-1 gene:SECCE6Rv1G0436630 transcript:SECCE6Rv1G0436630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGEEEEVDRVAGAGGSEQQRMKIGWPTEVRHVAHVTFDRFHGFRGVPAELQPEPALAKAPSASKTAFGVSAESMQCAHDARGNSVPTILLLLQRRLYEQGGLKTEGIFRVAADGAQEQRVRDQLDLAASVPEDADVHCLAGLIKAWFRELPGGLLDALPEEEVARCATEEAAGRLIGKLPAAKAALLDWAVQLMADVASEEARNRMGTRNVAMVFAPNMTQEVDPLTALKYAVQVMNFLNLLIERALRQRQQDQKKKQPK >SECCE6Rv1G0417110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:654498037:654501771:-1 gene:SECCE6Rv1G0417110 transcript:SECCE6Rv1G0417110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMKTKEQEDEAPEERVPHLPWMRHPVDIDSFSGCPVTSLPRLDPRLAEALQRMGIESFFPVQVSTWLETIGPGAFQRDICINSPTGSGKTLAYALPIVQMLSTRKVRCLRALVVLPTRDLALQVKEVFDVIAPVVGLTVASAVGQSSIADEISDLIKKSKQELYPSLDDEYVEMEPQTKVDILVATPGRLMDHINMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQFTRPTKQDHFRHDTTGRTLLYPLTTIRRSGVERGFKGKCYPRLAKIVCSATLTQDPSKLSQLELHHPLLLNSGKKRYRIPEKLESYKLICTSNIKPLCLIVLLQELRGEKCLVFTKSVDDSHRLSTLLGFFEDLPFKFSEFSSLQRESTRRKTLAAFKEGKIDVLIGSDIMARGIHIDGLRYVINYEMPQYVKTYIHRAGRTARAGESGSCFTFLRKNEVKRFDKMLKKADGSSCILRSLPEESIDSLRPVFETALEKLKDKLKGSAEPEASKKSNKSGDKAPGALKRKRGKQT >SECCE4Rv1G0274820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:788290206:788291384:-1 gene:SECCE4Rv1G0274820 transcript:SECCE4Rv1G0274820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMPCSASVSALFASSRPQGWAELPDDLLRPVVALLRSFRDLPFGTTCRHWCDLLSAHRSSVQPLLLHPNLRTDGGQTLSHNCWTLFHKCTWRLADPAATSSSRSFLSLSDLRSMTFLRYSHDQLIFYDNNGFHIVNPFSGTKVGPPSLRSVGFTCISYVTLTAPVASADSHLLVGAGACLFLWRIGSDSWTKHSPKVGRFSIAQNVAFKGKTYALGSFGWFYIIHFSPSLIIQKFKVVFDEDKTEDLCSANDKAWLVVCGDTLLLIKLVDAGRRMRSEAFQFMAFKLESVDTMTKKARWVKVNKLDNWAIFISVDERCEALPCMNPEGWGGRSNHIYFPSYQSEKPWAAVQLWKICYYHSTCLQLFNTGRPYLKLESTWVFPARFLSVLR >SECCE4Rv1G0295730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:899789325:899809983:-1 gene:SECCE4Rv1G0295730 transcript:SECCE4Rv1G0295730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEVLQLQCSAERGGLMDPAEVLQLQWADQIPSSPRVYGRKQWRAVSMVLGNDNLLAEILVRLSPKPSSLPRASAVCKRWRGILSDPEFLKRFHKHHRKPPLLGFFEGYANRFAPVMDSPDRITASCFSMPNSSTPYNDHREYMGCRHGLAVLVNKRERKTFVWDPLTGRQHIVAFPPGLDDAFIGDFCMWRGAVLCADAEDGHVHRDCFSSPFKLVLLCCGGYNTHAFCSVYDSVSGVWGGVFSTAISRIVLLRPSILVGNALCWLISGGDILVFDFKCQSLDVIEKPAFYYVTDGCFQILRMEGGGLGLAVLLDLTIQLWERKSNSDGVVGWVLLKKTIPLEGMIPRRMNSVRFVGYDEDTNVIVLTSMTGNFTLQLDSMQLKHIVKRNNICYHPFYPYTNFYTAARQGSRVERGESGTNSDRTRSADMLSRSNNLGIKS >SECCE3Rv1G0160470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:105001985:105002700:1 gene:SECCE3Rv1G0160470 transcript:SECCE3Rv1G0160470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVTASTTAKPTLRVAAFCGSLRKDSWHRGLIRAAEELCEESIPGLRIDHVDISGLPMANPDLETDGGEGFPPAVEALRERVRAADCFLFASPEYNYSVTASLKNALDWASRGKRNCWADRAAAIVCAGGDFGGGRASLHLRQIGVFLDLHFINKPELHVRAFADPPKFDEEGNLIDAETRERLKKVLLSLQAFALRLQHNKDE >SECCE5Rv1G0349360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:683159978:683163421:-1 gene:SECCE5Rv1G0349360 transcript:SECCE5Rv1G0349360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLQLHRRCYSASATSSGAAPTLYSGGDHPVSLLSWGRGASGQLGGGKEERRLYPAPVARLLLPVPSPVLPPTPGRLPPAAGAEAAGGVEVGISCGLFHSALLVDGAAWVWGKGDGGRLGLGDEASAFVPRANPNLAGLRVLALGGIHSAALTASGDVFTWGYGGFGALGHYVYHRELLPKKVNGPWEGKIVHIATSGAHTAAITESGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSEVSALPVPVAAVACGGFFTLALTSDGQLWSWGANSNFELGRGSNSSDWRPQIVPSLKNVHVIQVACGGYHSLALTDEGEVLSWGHGGHGQLGHPTIQNHRVPLAIKALSEERIVYIACGGSTSAAISEKGDLYMWGNARDCQLGVPDLPEVQPLPVKVNFLADGDEDPSPPRVISVAIGASHAMCLVSRQQIQK >SECCEUnv1G0556900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:316729568:316730632:-1 gene:SECCEUnv1G0556900 transcript:SECCEUnv1G0556900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAFSMGVVAAAAVLVVLCAAVSAAAQPRPPLPKNSRMITPGRFGRRKQVLTCDEPKDGTSPCVATCDSRCPNECIVMCPGCKTYCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDQDFCVLSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFADHRLYMGAQKTTKWNNDVDRLELAFDGESINIAADIGAKWQSTVVPGLTVMRTTVTNGLSVQLKGVFDIMAKVVPITEKDSRVHNYGMTEDDSLAHLDIGFKFYDLTDDVHGVLGQTYRSNYINKLSVSASMPVMGGIASYISSDIFATDCKVARFGRSSGISMVTTAAN >SECCE7Rv1G0521960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:875333531:875334001:1 gene:SECCE7Rv1G0521960 transcript:SECCE7Rv1G0521960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARGTMAPTLLVLLLALFCATTVVHGKEWIVGRQDGWFFSISHWGDDKPIKVGDVLVFKYKPIVHNVVQVSEEDYNACTVSRPSPTYRSGNDHIKVTSTGRFFFICYVKTPLHCEEGMKIAITVQ >SECCE7Rv1G0515400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839543031:839544071:1 gene:SECCE7Rv1G0515400 transcript:SECCE7Rv1G0515400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESACANLTGAVRLVELLKIEGFSRAPYSTDCSKSTWRRSVGGCEWEIRIYPAKMHCTYQWLILEFRFLSEPCVCRASLAGRVVDPGGKLGPSEEKNAFYPPGEIRNYEWPVMHVMRICDLQTSGYLRDDTLTVQCAITVLKELPEPTIPGKEMTVPSYTLNQHLGELLQSGTGADVTFLVSGESFAVHKNILAARSPVFMAEFFGDMKEKCSQSVKIHDMEAEVFKALLCFIYTDTVPEFENQEEVVTLMAQHLFAAADRYGLDMLKLICADTLHNDISVDTVATTLALAEQHSCSQLKAKCVDFIISTPTILDAVLATDGYKHLASSCPSVVTDLLKAACSRKI >SECCE5Rv1G0341620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:626622356:626623564:1 gene:SECCE5Rv1G0341620 transcript:SECCE5Rv1G0341620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPAISPARLHKLVTAQKDPLLALELVNVTSPTTTPHPATLHALLLRLSRRRDHLPHALALLRRLPSPPSPRLLLPLLLSVLRLRRPPHLFLSTFNTLFVAGPSPLSLHPQLLLRLLSELASTAAYFPSALHLLRLVSSRLPLPAPLVLASHNLLIEAAARSGHLAVSLSLFHRLRTLHVSPDAHTYRMLTQSLCRRGQVRTATTLLDEMLHRGIPADPLAYTTVLNALCRKKQLREAYRLLCLMRGRGVSPDIVHYNTVIVGMCREGRPLDACKVIGDMTDSGCIPNAASYAAVVNGLCVSGLFDKAETYLEDMVGKGIVPHFSVFHSVIKGCCTVGKVEEAARMTSRMLDIGMPPHVETWSSVISSICSDEDYVEVILLQMLKGRGRCSKTISRSTS >SECCE7Rv1G0513960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:828047376:828050663:1 gene:SECCE7Rv1G0513960 transcript:SECCE7Rv1G0513960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHKKRYNCKGLVDNKVKVKEEKDDNIIMKEKMKQNEAEGDKKAEENQNSSKRKMAKNKEEKEKKMRVVDQNDDEEEREDQRKRKENGKKENLHKEKKKESEKEEQGQREIEKEKEEEQKEINEQKGRTANGKEEEYSKDQNDKKNCPHFFRALISNSFMEHVTIPVGFHKYLEDCKGMVSLRGPSGDKWPVELANISGELCFARGWKEFLSDHHIGYGYLLVFRYDGKSQFSVTVFLPSSCEAPYASLAQPQHKDIDVAREEDKELTSTNADGTAPQEEDTHTGTSVDGATQNESSEEEDSLEDEGAEEVEDSSSETSGNEEDCERRMCSDNALEPAQQQQDDGRKTDDGFLVGKRARFRKVDDIMAEVDRSKKFRAAKGKNTEAPFGDAASGGAASSDSLAESKHRPPMMSKAEDIQHASDSTFKSATSSENSTEVASHPSKDSKVEGKISAAPLIVYTMGAASASKKVPLKTASEKTTSSDNLAVHAGVFALESVCTDLTTWHKSFGKRLSKQNQFPMFNKSNGENQPGRVLIKVMRRPGLKSQRRPVTQREKECTMERAERFKSDRPFTVKAMKHNDVYASYFMIIPNMFVKTYLPKESRNMTLWDPQAKPWKVWYEYTGGESPRAAFSSGWGVLAMHNNLEKWDVCVFELLDQKYNIKMHVHKVVLEITPCVIAPKRRTCE >SECCE1Rv1G0014330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:112445638:112448522:-1 gene:SECCE1Rv1G0014330 transcript:SECCE1Rv1G0014330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVTFNGESFESPGFYPTLRQAEHAAAEVALNELSKRGPSSSLAAKVLDETGIYKNLLQETAHRAGLKLPMYTTIRSGPGHTPTFTCTVELAGRIFTGNPGKTKKQAQKNAAMVAWSELKQLPLVGEAASSSSPSDHDEEQEQVTVTPTLENLNRKNEGKASHQKEKQQSNNRPQSRRSYPKPYVSFYGSHLQNQMYPNVAPEQAMYHIWNQVQATQQKPHFPMVPNMGNTRFQPPPTMRSMYPSPPRGQFVMPAGQDALALLPCFPEAAPVLPRYFSPYPASYVPRSPLPVTVHTMHRERQGYTETVELPDAAVLSGYTALDSSSTPENVGPSQVQQWPENGKEVYTESSAASVEENKATQTLSSSTAHPLSQNPEPNQDDKESKKPAEQSPKPSLSRAGSSVVQRPVQRQDYPSPVPRGEPTHRSNLPFSRATSPELWSLDMQAPARYGAAAPMSSSGLLYQQRPSWLAAPVTVRTSIPVCSARPNPAVNSSPGAAARAGPAVQILSREDPEAHRNTRHVGDASTASSELNKLHM >SECCE7Rv1G0483810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:328725344:328726861:-1 gene:SECCE7Rv1G0483810 transcript:SECCE7Rv1G0483810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHCSQQQARCVHEALLQPPVLHDRPQKARGGGTSAEVASIVRLAVPMVGTGMLMYARSLVSMVFLGQLGRLPLAGGSLALGFANITGYSVLSGLAAGMDPVCGQAFGAGRTSVLAAALRRTVSLLLAASIPVSLLWVAMHRILVATGQDPDIAAAAYDFIMCSLPDLLVQSFLHPIRVYLRAQAITLPITYAAAAALLLHVPINCILVHGLCLGIRGVALGAVCTNLNFLLFLVTYVYFSGLTHGNGGSDGKHDACLSPTEEPALEWGCLVRLSVHSCMSVCLEWWWYEIMVMLCGVLADPKAAVAAMGILIQTTSLVYIFPHSLGCAVSTRVGHELGAGRPERARLAARIGLACGAALGIVACAFAASLRGVWARMFTADAAILRLASAALPILGAAELGNCPQTAGCGVLRGSARPGKAAWINVSAFYGVGMPAALALAFWPARLDFRGMWAGMLAAQLVCAALMLRAVQRTDWKDQAVRARELTGAEANGDVKSCHATQTV >SECCEUnv1G0563360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:374472970:374474256:-1 gene:SECCEUnv1G0563360 transcript:SECCEUnv1G0563360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLPAAAAAASRRSGRRPWMLTDAKCHIGDRDNATTAHAVTSQGSDIKVTFELAKPPGISHCFVHCPGLAERRYRGDPMVLCSADAFVLLVVHYGSRPGTGAPSLRRRSRGSQAGGHGEYNDFFVYRAGPGAPSLHLLPATYRSPDYDTLAGVLPLGGADLNNKDYAVVFPSLSKSLSYGKDSTNTLYILDVYRSDTSKWQWHDLSVSKDHNHEVIMRHEATHVIFAGRETLGWVNHWHGILLCKVLDEHPVTRLIQWPVPIPCDQVPRFGMGVDDIYARPFRDVAISNGVIKFVELKSCQRSDTHNEKGVIGQGWTIITWNRGIYSNKWHKRLTVKAENVPATSSSYPKVSGGKRLSWDKVVHGGPTLNLCDDDVVYIMARLDIRPAVAWMLAINIREGTLEAVKQCSVEKILGLEPTYVQCALSN >SECCE1Rv1G0049710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642801700:642803785:-1 gene:SECCE1Rv1G0049710 transcript:SECCE1Rv1G0049710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLIELYSGWEIQLLVLLSFTLQSFLFFAGRLRRRSSNSFLRLCLWVVYLGADLVAVYALGYLSKRQDVTIETNVLTRTQPLAFFWAPFLLIHLGGQDTITAFAMEDNNLWLRHLLNLVTQVALALYVFWKSIGKHSANLLLAGSFVFVTGIIKYGERTWSLKCGSLQSLESSDSLRYKKELPEGISGDVGVVRAALDSMPFVLDVLAERNLLDLGREYMNKIDDPEQMIRMVRLQLGMIYDDLYTKSLVLRTWSGVILRCISQASVIVAFVLFHASSRDNYSKADIAITYSLFVGGFFFEVSSTFVSMLSPWTWAWLKVRRCDALASLCFFFCSEIGYPRTMKQQLWPNLIGQYNLDSWLTDRGPRQSIIMVVFRKLFVDLLGVKKKKFFGMSKLLDTAYVDVGSKIVECVVEEISLLTCDSDIDKPNEWPKIGSMLKEASELFANDFGMAIIWMHAVTELLLKKCSSSHSDIEVHGTSEFGLMILVCGKLSKYIMYLFVHHPSMLPLSISPAPTLAQAHEVYDDFTKDSVELEVNKETVEEVARMWTRLLVYAAGKSKAAPHVALLSGGGELITFAWLIMAHCGFGDTRVRRLQLTNIDAYILGQLDFTPRNVFNLPMEDQISDTANRRSKLDDENANGMTTKSDRFVVGSRSFP >SECCE5Rv1G0330750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:533052739:533055622:1 gene:SECCE5Rv1G0330750 transcript:SECCE5Rv1G0330750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G25290) UniProtKB/Swiss-Prot;Acc:F4ICF4] MAPWLLLPSFPWPPPPPPGSSSGRGGGGGGGDGGDWKPNVVTAIAGVHLGRSLRRRLGSLLCSPEVRSLDVLPRIGDIWLGGSQPLDTHHVLGALGNVFSTSFVCSSALFGGNRSGVRYVGSGNLQPRRSRGINSKKRLWTNVLLAVNILAYIAQIASQGKLLMLGAKVNSLIDKGEFWRLATSTILHGNLTHLAFNCFSLNSIGPTVELVTGPKRFLAVYFTSALAGSLMSYRYCQSPSVGASGAIFGLVGAYAVYTWRHRKLLGHGRESLEQIARVVILNMGMGLLSRGIDNWGHLGGLLGGVAAAWFLGPAWQNQYVAKDGRMVFKDRAPIHQLIGSKRSR >SECCEUnv1G0538200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:71671041:71680788:1 gene:SECCEUnv1G0538200 transcript:SECCEUnv1G0538200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTPWLYLCLLLVPCLLLLEEAHAARHGGISLWSQHTALLHWKATLASPPLQMSSWQENTSPCNWTGIMCAAVRHGRRMPWVVTSISLPGAGIRGQLGELNFSTLPFLTYMDLSNNSLHGPIPANISSLSSLSYLNLNFNHLKGQIPFEFGSLQSLTQLELSFNRLTGHIPASLATSLLTMLTDLVIHQNMVSGPIPEEIGRLVNLQLLQLSNSTLSGLIPKTLGNLTELNTLRLFGTQLSGSIPQELGRLVHLQILDLGSNHFSGQIPISLTNLTKLNMLLLIENQITGSIPPAIGNLNMLKQLSLYTNQITGSIPPELGNLTMLNELYLYTNKITGPIPLELGMLQNLLELDLADNQISGSIPDSLGNITKLLLLHLYENQIIGSIPKSFGKFQSIQELYVFDNKLSGSLPQEFGDVISLVKLALNNNYLSGPLPANICLGGRLQYLFVYSNMFNGPIPSSLKTCTSLVRIDLRWNQLTGDISQHFGVYPHLTKMRLTSNRLSGQILPSLGASTELTVLHLAQNMITGSIPPFLSKLSNLVELRLDSNHLSEICTLTNLYKLTFSSNQLSGSIPTEIEKLSNLGYLDISGNRLSGSIPEELGACMKLQSLKINGNNFSGSLPGAIGNLAGLQIMLDVSNNNLSGVLPQQLGKLEMLEFLNLSHNQFNGSIPSSFASMVSLSTLDVSDNDLEGPVPTTRLLQNASASWFLPNKGLCGNLSGLPPCYSIPIASHHKRKTFGLLLTIVLVVGFGIIAAIVVIIMLSRKKRKPQESVTAEARDLFSVWNFDGRLAFDDIVRATEDFNDKYIVGTGGYGKVYKAQLQDGKLIAVKKLHQTKEELADERRFCNEMEILSQIRQRSIVQMYGFCSHRAYKFIVYDYIQQGSLHRALENEELAKELDWQKRIALSNDVAQAISYLHHECSPPIIHRDITSNNILLDTTFKAFVSDFGTARILKPDSSNWSELAGTYGYMAPELSYTSVVTEKCDVYSFGVVVLEVVMGKHPRDILDCTLSSGEQAMPVKDILDQRPTTPTTAEENSLALLIKLSLSCLESSPQARPTMREAYQTLIQQPTSSSCPVPFSALTLHQARDFGFRF >SECCE3Rv1G0203820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:885438534:885440117:1 gene:SECCE3Rv1G0203820 transcript:SECCE3Rv1G0203820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHPADQARSGRRPRPRPRPDLEEGEFVADDDDGGRPEIKVRRLEDIVDGDALPSPTPSRECDSDGTVSDTHADDEGRAREPGFPCPICRREFASGRAVHGHMRVHHPHGGGRQGIVVSAGWAVTGKRGYVGGRSAPPQQEEEGVGDSTSMSTAVAEPVIDAAPIAFASRTSSAEPNPPSTAIVLAADTPPNQVAVRPSCSPQCGAGHQPAAPQAVVAQPQPAVAQPQPAVAQGQFAAATPPQLFAAPPQAVARHVRRAATSPTSRDEQGRWVCKEEGCYQRFDSHQGLGGHMAAHKMRKNNEAAAAAVAAGLDPCLTIARPAKLHPCKHCSKVFNSGVQLGGHMRMHYDGKVIARRRRVNAPVSARELAAALFSAQASPETSTSASPPDELALSLSPPADGPDELALSLSPPADGPDELALSLSPPADGPDELALSLDADADAPDELALSLSLSPPAPAATEVAQPGVISPALSGGSEPAPVSPGVLRIFGVNIVVAAPAAAGEEVSSAVTETDQSSAASTNIQE >SECCE5Rv1G0368680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:830428389:830432441:-1 gene:SECCE5Rv1G0368680 transcript:SECCE5Rv1G0368680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALSLGGAGAAAAGSLLRRRPASSAARCCAVPRRRAPTRRLAASRADDSSPAPFEMTVEGALKLLGVADGASFDEILRAKKAVVASCKDDPDAVAQVEIAYDMLLMQSLSRRRAGKVVDSSVRYADVKPVKTAGTAPQWMQSAMKNVPLTLEAPASSSLGIQSSIYGALMVFTYASGTSTSLPSAYTSPDVPGFILATGFGASLYFLTKKNMNLGKAALITVGGLAVGATVGSGVEGWLQVDIVPFLGIHSPAVVVTEFILFSQLLVSLFVR >SECCE2Rv1G0118020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:778868592:778869732:-1 gene:SECCE2Rv1G0118020 transcript:SECCE2Rv1G0118020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIHSSRRLDGTVLALLLVLVAATAFVSAAAARGEALAARHERWMAKYGRVYADAAEKLRRQEVFAANARHIDAVNRAGNRTYTLGLNQFSDLTNEEFVEKHLGYRHQPAAAVNMSMAQFESTPDSVDWRAQGAVTQIKDQASCSCCWAFAAVAATEGLVKIRTGNLISMSEQQVLDCTGGPSNCDSGYVNDALSYIASSGGLQQEAAYAYIDQQGTCRGGDVSPNSAAAVGAPRMVSLNGDEGALQELVASQPVAVGVEADPDFHHYTSGVYTGSSSCGQNLNHAVTVVGYGTDGGGQDYWLVKNQWGTGWGEGGYMRLTRGNGGNCGMATYAYYPTMDSS >SECCE1Rv1G0042730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:583787080:583787670:1 gene:SECCE1Rv1G0042730 transcript:SECCE1Rv1G0042730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDSEAPTSSIIAAGLTALHDHAHGHAGGAAAPTSAGSAFDTNVVIILAALFFALLFAIGLNSLARCALRHVGRGAAVAAGEGGATARAALSGSGIKRRVLRSLPVEVYVSGEVIDDVCAICLGEFVDGEKVRVLPQCGHGFHVRCVDAWLVSHSSCPTCRRPVIKGSLAKGGDGGIGGSQCPAENNTITVVIV >SECCE5Rv1G0373310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:857057784:857059073:-1 gene:SECCE5Rv1G0373310 transcript:SECCE5Rv1G0373310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSSRVRVICRSTVKASVTRPVAVLPVSNLDLLYYPMPLSMVCAYRRPSAGGSFVDVVAAFEAKLSSLLDHFFPLAGRIVANPRSGLPEVHCGNQGAELIVGEVGVALASLDFGDLDASLARVGVPVQYGTDVALSVQLVSTNHGLADGCALCMIVDAWSELARSGTIVAAPNYDRSVFCPRAAPSYGPSVGELFMPLVSERLVNALTAGGSLVCRTYYVEERDLAMLRAQASGDGERGASRVEAVSAYLWKVLATTVGSSDESCRMGWWEIASMVRESIRSTATDEHFQELVDWVEEHKGKGNGKAAVKFVETATVGLGSPAMGVTSFASFSVGTDFGFGHAAVAMPTWVDCGRLCSGFVKIMARPEGDGGGGSWILGMSVWPKLAAALDSDEQRIFKPLTAEYLGLNHGSSKAN >SECCE2Rv1G0142040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:938182334:938183507:-1 gene:SECCE2Rv1G0142040 transcript:SECCE2Rv1G0142040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMEQHHEEESCVPPGFRFHPTEEELVGYYLARKVAAQKIDLDIIQEVDLYRIEPWDLQERCGGGRGGRGARQVAAEDEQSSEWYFFSFKDRKYPSGTRTNRATAAGFWKATGRDKPVTSSRSRGVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQTSEHAPTQEEGWVVCRAFQKPTPNQRPSYIFPASGYYDERPWLHSQGKGGLHYLESVTGAAGAGVLGLSGQDIQYSDDVVESKPSLFSNIPHLIESPPTTALLGCGDDAILQQQGLGQAPASIDWNFLDSLLSTSQLHEYSATAHLHIEQ >SECCEUnv1G0532590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:21952497:21957116:1 gene:SECCEUnv1G0532590 transcript:SECCEUnv1G0532590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G27450) UniProtKB/TrEMBL;Acc:F4HSX1] MLPSRFLLLPHCPTAPAPTRTPTLPGVARSPSSVALRFGSPGGVRLRRGRGSAAVAMASDGRVERIASSIRAIPNFPKPGILFQDITTLLLDTQAFRDTTDLFVERYKDKDITVVAGVEARGFIFGPPIALAIGAKFVPIRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVQPKDRVLIVDDLIATGGTLCAAAKLIERVGAEVVECACVIELPELKGRDKLGDRPVFVLVQADESV >SECCE4Rv1G0231670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:187006162:187007993:1 gene:SECCE4Rv1G0231670 transcript:SECCE4Rv1G0231670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLDMTLDDIITKNKHHRRRNPASAASGGSAHPRRRFRSRAATRAVAAPYHQLSLQQQVPPAFGYVAQPMAMVTAPSALDSPTKLYISNLDYNVSNEDIKELFSEMGDIQRYSINYDKSGRSKGTAEVVFSARSSAVAALKKYNNVHLDGKPMKIEVIGTNIEAPAPIPAIFALAPPPGNFSFPSKSGPGMGASGRGWSRGGAGFSGRSGGGFSGRGGGGFGGRGRGRTDRGRGKGGGGILELSAADLDADLDKYHSAAMQIS >SECCE6Rv1G0433510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:759906427:759907630:1 gene:SECCE6Rv1G0433510 transcript:SECCE6Rv1G0433510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENHQAIKMPTTSDKELLQAHAELCNLTFSYLKSMALECAIKLGIPTAIHCSGGTASLPDLLATLSIPESKKSYLPRLMRFLVASGIFTFDVPATGECANVGATGTYRLTPLSRLLVDGDGTVAHQCTSLSPFVLSQTNKYHVTAAMHFSEWFTSDEGSASAEMPYRMANGTDPWAIMARDPKLNQVFNAGMAADTQFAMNFIVSNCGDVFEGVTSIIDVAGGTGTAARAISKAFPHIKCSVLDLPNVINSISSDGTVEYIVGDMMSSIPRTDAVFLKYVLHDWNDEDCVRILTQCKKAIPKPGGKVIIVDMVVGSPSKSMFEAQVLFDLLMMVMTSGKEREEHEWAKIFMDAGFSHYKTRPIMGCMAITELYP >SECCE5Rv1G0308050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:124559514:124582622:1 gene:SECCE5Rv1G0308050 transcript:SECCE5Rv1G0308050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD50 [Source:Projected from Arabidopsis thaliana (AT2G31970) UniProtKB/Swiss-Prot;Acc:Q9SL02] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGSNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDSIAQDQEKSDALKTQMEDLKTNIQAVENKILRTETSMVDLRKLQEQISTKATARSTYFTLNQQQLDALSEENEDTDEELKEWQTKFEEKIALLEMKIAKLEREMNDECAKSSLLSETINDSTREIGKLQAEADAHMSVKHERDSAIRTIFNKHNLGPVPDAPFTNDIAMNLTNRTKARISNLEDDLQEKKKTNETQLEFLWGRYLKVNARYSEVDGQIQSKKESKIGVLRRIKDKENERDAAETELSRHNLARIDERERHLQIEVERKTIALGERDYDLIISQKRSEIYTLDHKIKALHREKDNIATDADDRVKLELKKDELEKCKKKLKKIYDEHKDNFRSVLKGRLPHEKDVKKEITQAFRSVDSEYNDLNSKSQEADQELKLSQMKINAAKSHLSKLQKVLDAKRKHLNSKLQPIAKASVDINAYPKILKDAMDERDKQTNNFSYAKGMRQMYEPFEKVARQHHKCPCCDRAFTPDEEDLFVKKQRTTGTSTAERLKVLAENLSVAEDLFNQLDNLRVIYDEYVKLEKETIPLAEKDLEQLLADKSEKAQIFDDLVSVLAQVKMDRDGVEVLLRPVDTIDRHVQEIQELEPQVKDLEYKLDSRGQGVKSVDEIQLELISVQRARDTLTGEVDDLRDQQKMLSEDLSNAQMRWHALREEKLRASSVLLKFKKAEEDLVHFAEEKEQLILDQKHLEEALVPLSKERESLLQEYKALKERFDQEYDQLAERKRGFQQEIDVLGTLNTRIKGYLDSKKVEKLNELQERHTLSLSQLQKCEARKQDIAVELDKSKQLLRSQDQLKRNIDDNLNYRKTKAEVDRLTHDIELLEDNVLSIGSMSTIEADLKRHAQEKERLLSEYNRCQGTISVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDCISINSDSEGAGTRSYSYRVVMQNGGAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMESRKGQENFQLIIITHDERFAQLIGQRQLAEKYYRISKDEQQHSKIEAQEIFD >SECCE2Rv1G0112960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:717585605:717587955:1 gene:SECCE2Rv1G0112960 transcript:SECCE2Rv1G0112960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFTELSCPAGGEEAPRSVDSQLWLACAGSMCTVPPVGAAVYYFPQGHAEQAAGAVDLSAARVPALLPCRVSAVRFMADPHSDEVFAKIRLAPLRPGDPAVDVADAGRAAQDDRPKPASFAKTLTQSDANNGGGFSVPRFCAETIFPPLDYSSEPPVQNIFVRDVHGDEFKFRHIYRGTPRRHLLTTGWSNFVNQKKLLAGDSIVFLRSEGGEVHVGIRRAKRVFCDEGHSGWDHYRGLMRGNAGSGDAGAAAKGKVPAEDVVAAARLAAAGQPFEVVYYPRASTPEFCVRAGAVRAAMQVQWCPGMRFKMAFETEDSSRISWFMGTVAGIHAADPLRWPQSPWRLLQVTWDEPELLQNVKRVCPWLVELVSSMPNLHLPSFSPPRKKPRIPSYADFPFEGQLFHPPPPPFPPNHHHHHHQDQLLHHSFPFFPFPDSNGAPLAGIQGARHAQFGPSFPDLHLSNLQSGLLYAGGGVRRPAADHVAPRAPRTISTDLTIGTSPVREDDVATRTPTKKAGDAVKPARTLLLFGQAILTEEQMKSGGDAATSPVAGGCGSPNWDAEKAPNLSEGSGSGSGVIQGSPSNNNNTSSWRLQWFGDSSSQAQSAPELGLEPGQCKVFVESDAVGRNLDLSALGSFEELYGRMSEMFGMERAELRNHVLYRSAAGEVKHIGDETFSAFVKSARRLTILTDAGSDNIGG >SECCE2Rv1G0085410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:191265988:191268685:-1 gene:SECCE2Rv1G0085410 transcript:SECCE2Rv1G0085410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAKQNGVVTMAVSKAQLMSVKRREPTLVSPAEATPVGEQYYLSNLDQNIAVIVQTVYCFKCPSGRGNEGAADALRAALARVLVHYHPLAGRLGISPEMKLAVECTGEGVPFVEAEAACDLALIGDLSTPDPDALGQLVYSVPGTKNILEMPPMTAQVTRFKCGGFSLGLAMNHCMFDGLGAMEFVNSWAEMARGATELTVPPFLDRSVLRPRDPPVISNPHYEFEEIADVSEMAALYGRQELMYRSFCFDPDRLERVRGLALADGDLEKCTTFEALSGLVWRARTHALGLAPEQQTKLLFAVDGRRRFVPPLPKGYFGNGIVLTNAIATAEDLLSAPVSRAAGKVQEAVRMVTDEYMRSAVDYFEATRARPSLASTLLITTWSRLAFNGADFGWGEPTMSGPVTLPEKEVILFLAHGKERKSINVLLGLPASAMDAFQELMDEI >SECCE6Rv1G0440700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:806202088:806203385:1 gene:SECCE6Rv1G0440700 transcript:SECCE6Rv1G0440700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNRLCSILLAAPLVLAVLLLNADLGSCGCFKRIFSFGDSITDAGNFAYTGRNNKNPGPPSVPPYGETYFHRPTGRASDGRLIVDFYAQALGLPLLPPSLPQENTGQFPNGANFALYGATALNPNFFKRYKFGVPFSWSLDDQLATFKKVLARIAPGDAATKSLLSKSLIVFGEIGGNDYNFWFFAGHGGDTQTPEKYIPDVIARIGSGVQEVIKLGAKTVLVPGNFPIGCVPAYLSGNKSNKLADYDQYRCLKRFNDFSQIHNRLLKQEVGKLKSLNAGVKIIYADYYGAFMEFVKNPRRYGIDDPLVACCGGNGPYGTGHTCNQTARVCPDPSRFASWDTVHMTEKAYSVIANGVLNGPYADTPLLQVC >SECCE3Rv1G0152570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:48387550:48390592:1 gene:SECCE3Rv1G0152570 transcript:SECCE3Rv1G0152570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQDSFNNVKQWLNEIDRYASENVNKLLVGNKCDLADKRAVPYETAKAFADEIGIPFMETSAKNALNVEQAFMAMAASIKDRMASQPAANNARPATVQIRGQPVDQKTSCCSS >SECCE2Rv1G0129060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:866502648:866503652:-1 gene:SECCE2Rv1G0129060 transcript:SECCE2Rv1G0129060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKPTPEVLSLHPSGDDGPEELMLIQVTRFACGSLVVGFTTQHIVSDGRSTGNFFVAWSQATRGAAIDPVPVHDRASFFQPREPLHVEYEHRGVTGVEFKPYKKVHDDVVRGDGGDDEVVVNKVHFSREFISRLKAQASAGAPRPCSTLQCLVAHLWRSMTKARGLDGGQTTSVAIAVDGRARMSPQVPDGYTGNVILWARPTTTVGELVARPLKHAVELISREVARINDGYFKFFIDFASSGAVEKERLVATADAAEMVLSPNIEVDSWLRIPFYDMDFGSGRPFFFMPSYLPVEGLLILLPSFLGDGSVDAYVPLFSRDMNTFKNCCYTLD >SECCE2Rv1G0125820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:843490200:843492900:-1 gene:SECCE2Rv1G0125820 transcript:SECCE2Rv1G0125820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEESKGEGKMAAVAAEEREREPLYPDRSTPPILEVALGEDFMDIPSNGDESPAWSLLVGVKVKSGALRFHRFRVARSGRISGRSGGTLEIFHDLKKRQGCSSSAHAAMAPDGRSLCLLQRGEKAAQTEALQLQLPAEEELPSEAALPPLGPSMRGRCMPIFAEGHIWAVSASYFSATSFRLVLQRLVIQEEEGVAGQRWEQVGGPFTQHDKVEVFHPIWGPDLLQGYAVLPGQGPDGGTLILLSLGNRLFFTFNCSAPDSGWTKVTHTSMDKYYVPILGRGVYAQESNAVYMVRKNVIYAYKLKPGHEETGLKLDPPVKICFPSVTINTAHSFLTHLGRGVMCCVWICVGLSCWRDHLHAVITTFQLGPEPSHLKLLHSTTRRVDMLLPMKRLDEFEFCFLQEYKDAKALPPQAHEEEGLPIHDPCRHNFPCGPRRPDVKPHMDNEILFITCQAGSQSFIYKTSLTDLSPKIKTAPPLKPHYIVHGDRYGDDDGERHYFRNGSKLYVVPSFQKDGIHELNMDTRFQIFDHLARRPVSASYVDPFVMVIQVGSTTLALTETLQVYRRAHLVHPIGSTSWVHCRTDQSQVLDRKVKLSGYVAVGDDSFIVCDTVTCSCLQFDLRAKQWHVVMPWAPWGKYLPRDMHTSGLLNGRCVFVDGFIYTCTRNGLAAYELLREDHCVYLGISIFLPFSWENRDDWEVERLMCLDYAGKDVNSGAILFWVVQGLQIRYRPPKNQLYISAVKVETKKAHDKSMEPVRINRVVCATRSIDQEEAIEQERIITTGCFAFSF >SECCE5Rv1G0347140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666033287:666034562:-1 gene:SECCE5Rv1G0347140 transcript:SECCE5Rv1G0347140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVAADGEKAREDDQGAADGSGLGTAVDPALVANGDGGAASEEGLVVGVADGVDEIASAGGGGSSAAGLGQGAEMAGGSVAVVARDSGQGTTKPASAGASDQGMARLVSATRVCPDGMVPNSSYLLRIKLFGNPKKARKDILCFCFEKVVDCDLTNYKGLVESIVKQYPLGYMEVAHMQYYDGDLKTCPEVKSDQDLMLMFEKHSEIKVLDMIIAYRDPSEPYEPITEWQSDVHSEPDNNIEHEDDTTNKTYAKGGTRATRTMELTKKDQNGPKVPFDSPAMGTRSKKSLASPAMSTRSKRRLSS >SECCE7Rv1G0516060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:842843830:842845041:1 gene:SECCE7Rv1G0516060 transcript:SECCE7Rv1G0516060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRTWHCLIALLLLSSAAYGQLSPSFYATSCPLLELTVRATMIAALIAERRMGASLLRLHFHDCFVQGCDGSILLDDVGSFVGEKTAFPNVNSVRGYEVIDRIKANVELLCPGVVSCADIVALAARDGTFLLGGPSWAVPLGRRDSTTASLGEANADLPGPTLTLDQLIRAFDKKQLTPRDLTALSGAHTIGFSQCQFFRDHIYNGTNIDPAFAALRRQTCPAAAPAGDANLAPFDAQTQLVFDNAYYRNLVAQRGLLHSDQELFNGGSQDALVRQYGSSPALFAADFVAAVIKMGNIAPLTGSSGQIRRNCRVVNT >SECCEUnv1G0543810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:119287473:119289451:-1 gene:SECCEUnv1G0543810 transcript:SECCEUnv1G0543810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEASLADLEVPMVRRKVMLETPWTEKKKPRLGGSGEMPFTGLGGRRGLGLEDNKEDFKANSRDSDLELGRSGVDEKDDDDDVIEKKPAVTVKWSLSQAKMKRKNQFRGIRQRPWGKWAAEIRDPSKGVRVWLGTFNSAEAAARAYDVEARRIYGNKAKVNFLEGPIVPRKRRARRAAPKAPKLSAAQEPTVIPVVNNLSNPNSFVYPSADFASIQPLALHENVSFVPAMNSDAPVEASVMTLHSDQGSNSFGCSNLGWNYDTKTPDISSTAPISTIAEGVESALVQSNTYNAVVIAEGAESATLVQSNTYNSVVPPAMENNVVDFVAWVRFLMDDCVVEPIDSLLNFEVPQDVVGNMDIWSFDDMPMCGKFF >SECCE5Rv1G0371410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846856338:846856571:1 gene:SECCE5Rv1G0371410 transcript:SECCE5Rv1G0371410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMIIEDERGLKLPCFYDNVGTHVQPERNPCRVQALREAHRQIEDANTHVQLRDDLVEHQWQLARRRQGP >SECCE6Rv1G0378040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:4402976:4407075:-1 gene:SECCE6Rv1G0378040 transcript:SECCE6Rv1G0378040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGERDEIGREAHHGGAVQSDGEHKNSTAGDEKDGEFQVQPRWRKFLAHVGPGALVAIGFLDPSNLETDMQAGADFKYELLWVILVGMIFALFMQTLSANLGVKTGRHLAELCREEYPPFVNICLWIIAELAVISDDIPEVLGTAFAFNILFKIPVWAGVILTVFSTLLLLGVQRFGARKLEFIIAAFMFTMAGCFFGELSYLSPSAREVTKGMFIPSLRGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSDKSIRVACRYFLIECSLAFIVAFLINVAVVVVAGSICSANNLSPADAGACGDLTLQSAPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIAPSLIVSIVSGPSGAGKLIILSSMILSFEMPFALIPLLKFCNSSKKVGPLKESIYTVIIAWALSVSLIIVNTYFLVWTYIDWLGHNHLPRYANALVSVVVFALMAAYLVAVVYLTFRKDMVVTYVPVAERVQGQVEAGRAPVLASGADGDQPAPYRKDLADASM >SECCE7Rv1G0503380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:711001688:711003701:1 gene:SECCE7Rv1G0503380 transcript:SECCE7Rv1G0503380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIGSGMYVSGRAPDRKRERHLSSGSVATPPYTGGDLSRSGELGRMFDVSSSAWQSQAPSPASSSRRSSGQLPLPPRPAPSPSASGPLSQLSHSGLLVGPSPPAAPSPARGGSWRKASRRRAAAMEETAPVVARGSTRLGVPFACYVLVLVAAAAGVGAGLFFLVAWHRWEVLAAAVGAVAAAAAVLSWNFLRCAAEAERFFRLSPDTVFEQGDMPIGELVKITGQVTCGRVPVGACFHDAARCVFTSVRMYGRRGWAWACCCSRWQLRHSEARSTNFYISDRNSGRRFYVRAGEGAKITWMINRKTISFDAGDGKGASRSLKSWAASTGVSCDGAVRVEEGFIREGDTASVIGVLKRHHAFDVVDAPDGVVATGCQPARCMFPVLVEGLVLIGSDDPDEGVYVV >SECCE3Rv1G0212130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954588873:954590099:1 gene:SECCE3Rv1G0212130 transcript:SECCE3Rv1G0212130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNQRLSAAAALASAAAVLGNDDLLREILLRLGFPTCLVRAALVSKRWLHHASEPTFLRRFRERNPPRVLGVFASYPGTPYKFLPLPQPPELAALSRRVASTCNDAFAAHIYQWVGHCRNSRLINVFLQDGTFMHSLLAPLHAGESEEVLAQIPLPRHLLQARTQGLFSQTFLPGDGGRDGITLVTLWKIWREVRAEVRVLGSGGWGVPTTAVTEVDLPYAETNLIKMLPPVHGKVYMVTGFGYTLGLDLAGASFFTLELPVGVRSNYLLSCAEGSGIYLVSADGFQLSVWLHPMNGDDNDAGWLLVDTFCVREAHARSAGHDWVWMAQDGDFLGVAEVGDNAEFVFLHYARYGVVLYVHLRSRVVEKVYEHQALLDHHFYQIAISPFMMIWPPVFPALKGGHDQEE >SECCE3Rv1G0192310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:757373795:757375094:1 gene:SECCE3Rv1G0192310 transcript:SECCE3Rv1G0192310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRSRRRGKRGGTSPDPPAKRRRGPLESMPGELEAAPAPVSAPAAAPQPSVVMVAGLPPGCGVIELKSRLEAYGPIARTRIDAAAATGHVTFRSAAAATAAIAASLDPECGITIGSKKVLVVQASEAPNNSTSVVQSDPADASRNIVCDALAIPSSRIAPEAIHKAREIVAYDDLF >SECCE2Rv1G0128110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857981023:857981986:1 gene:SECCE2Rv1G0128110 transcript:SECCE2Rv1G0128110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRRALLLVAVAAAAIGLASASFRDNCDIKWNAENAAFSDDGHGLTMSLKSNSSGCLLQTKQKFIYGSVSTRIKLVPGNSAGTVTTYYTSSEGADHDEIDFEFLGNETGQPYTLHTNVFADGVGKKEMQFVPWFDPTTDFHTYTISWTPCMIVWYVDDIPIRVFRNYRDKGIAYPIKRPMFGYSSIWSAEDWCTQGGRIKADWSKAPFVASYRDMVLDVCPCDGSDSCVYGCEGAFGQGGQQQNCAGLSDLQRTKMLEKQKYNRIYDYCVDYKDNKKPGPECSLPQY >SECCE2Rv1G0089890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:264113198:264114389:1 gene:SECCE2Rv1G0089890 transcript:SECCE2Rv1G0089890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLTFDIISALLFGLERGGVRDALAGDFVRMIEGMWAIPANLPFTAFSRSLKASGRARRVLEGITREKKASQLEHGNASRNNDLITCLLSLRDGHGERLLTDEEIVDNAMVALIAGHDTSSILMTFMVRHLANDDATLAAMVQEHEEIARNKGDGEALTWEDLTKMKFTWRVSQETLRIVPPVFGNFRRALEDVEFDGYSIPKGWQVFWTANVTYMNASIFQEPAKFDPSRFENQTASAAPPCSFVAFGGGPRICPGIEFSRIETLVTMHHLVRHFRWKLCCKENTFVRDPMPSPLHGLPIEIERRTAP >SECCEUnv1G0548730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:191530264:191531376:-1 gene:SECCEUnv1G0548730 transcript:SECCEUnv1G0548730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLPTTVSTCTCVTARGKHVFNVAGYHLHKGLGVGEAIRSATFAVGGYDWCVRYYPDGYSSPECKGYISVYLELLTSNAEVRAEYKLMLIDSGSGSGGAQPSSQLIFHLANNYTSIDPIQLHVCYGAPRFMKQSELESSPYLRGDRLVIECEVKVIKRPRVQKEETVLAPHIEAPPSDLSNHLRNLLDGKRGADVAFEVKGEVFHAHKIMLATRSPVFDAQLYGPLSDSDGTSNKNIIVQDMEPPVFKALLHFIYTDSLAAMDDLDDGENQEMVKHLLVAADRYAMDRMKMICEGILCQSLGIETVPMTLALADQHQCSKLKDACVEFILSSNRINDVVASQGYPHLKRSCPALMFDVFERATKSRKF >SECCE5Rv1G0305050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:65796024:65796257:1 gene:SECCE5Rv1G0305050 transcript:SECCE5Rv1G0305050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLQAHREIEDATTHGRLRDDLVEHHWQLDGRRIVP >SECCE2Rv1G0129450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:868492071:868494769:-1 gene:SECCE2Rv1G0129450 transcript:SECCE2Rv1G0129450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsZ homolog 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55280) UniProtKB/Swiss-Prot;Acc:Q42545] MAPSTSSASALLHIPGLPPRGPHRGGCRNQPRRPRHAAVRCSFAFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALVNSQAQHPLQIGEQLTRGLGTGGNPNLGEQAAEESKEVIANALRDSDLVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRSLQALEALEKLERSVDTLIVIPNDRLLDIADENMPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYNGEIHVTIIATGFPQSFQKSLLADPKGARILEAKEKAASLAAAAAVQRPAAAVPTWSRRLFS >SECCEUnv1G0532780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:23848577:23848828:1 gene:SECCEUnv1G0532780 transcript:SECCEUnv1G0532780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGFSYAQVHVKQERLRRKMSDSEKAAATMTMSKSMAGEEEKKQGSTGEQEKKAACNSWTAGRVHPFASSPAAAAPKGGHR >SECCE7Rv1G0483120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:317583794:317587786:1 gene:SECCE7Rv1G0483120 transcript:SECCE7Rv1G0483120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF114 [Source:Projected from Arabidopsis thaliana (AT5G61890) UniProtKB/Swiss-Prot;Acc:Q9FH54] MVAALTHVISSTQPATVAGATGSGQQAVTRQHSAPLAAAATSSSSTASEQQQQRPRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEDAARAYDAAALRFKGAKAKLNFPGAGGAPVPPRHAVGQLLASGGGASPSLRQQQRPVVAFRPQINAPAPVALEEFPDLHRYAHILQSGDVDLRAVAAGGITPGQSSSSTPAHDSGSPPRPDDRRYGERSAGPGC >SECCE5Rv1G0310380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:168057940:168061144:-1 gene:SECCE5Rv1G0310380 transcript:SECCE5Rv1G0310380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRASGRLRPPPPPPAPARPPPAHPPPPPPRAAPAAAAGGGGSPSQDRLDVSQDAVTPSTKDARGVLVERDPSYDDMLKHMVGRITTKPGGKPEMGEASVVERYNRPLPKVRSSEAEPGQSRQLPPGTLNVGHIQEIIQLYQGKSSSHRGPMSVDQIASRFRVEASVVHGIVQFVSLPQDEGIEKKDERRDL >SECCE6Rv1G0391420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:221414414:221420088:1 gene:SECCE6Rv1G0391420 transcript:SECCE6Rv1G0391420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVGTGRVAPRSSPKRYNGMDPPLSLTRPTMFDLQKTAELEKFLVEAGLYESEEQAAKREEVLREIDGIVKEWVKQLTSQKGYSEQMIDKANVVLFTFGSYRLGVHGPGADIDILCVGPSYVNREEDFFVTLHGILTQLEEVTELQPVPDAHVPLMKFKFHGMAIDLLYASVSLAVIPPDFNISEGSVLCGVDEATVRSLNGCRVADQILRLVPNVENFRTTLRCLKYWAERRGVYSNVTGFLGGVNWAILVARICQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDLGFSVWDPRKNPRDRSHVMPIITPGYPCMNSSYNVSSSTLRVIKEQFKLGNKICQEIDLNKASWTALFEPFNFFKAYSKYLVVDIVADNDDNLRLWKGWVESRLRQLTLKIERDTNGMLQCHPYPCDYSNPTVQCAHCSFYMGLSRKEGMKIHGQNFDIRGTVDEFMHEIGMYTLWKSGMDLAVTHVRKKQIPYYVFEQGYKKPCPPMHANQQEQSDRHYTGDGTLTASMLGQLKRKRDFDGAGHSESCKYVKRSSVILGYEESPPEYGSFVSKVVSENTVKLVSSAPCNGVQNGLLHGDVNLESANCFSSPHGSEESAASGTSCAAVETDHMVDETVGPECSITCVINGTVQTMAVHTPLKCVAEKDEPKFEGIGSLANSNSTEFMEQTEMLTGNVLSENMHLCE >SECCE1Rv1G0051670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:656448976:656453383:-1 gene:SECCE1Rv1G0051670 transcript:SECCE1Rv1G0051670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEISAALSRKCAAASSLDGEKKKQKLSEIQAGVQESESLIRKMDLGARSLPPTVRAGLLSKLREYKSDLNNIKSEINKVSAPNAQQATREELLDSGVSDTLAASSSDQRGRLMRTSERLNQSSDRIRESQGTLLETEEIGASILQNLHNQRQKLMHAHTTLHGVDDSIGKSNKILASMSKWNKWFV >SECCE2Rv1G0066850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:16070078:16070956:-1 gene:SECCE2Rv1G0066850 transcript:SECCE2Rv1G0066850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVKDGEGKVALVVLITGCAKGGIGYEYCRAFAALGCRVVATDIPDRVPDLAGLDDTVVLDCLALDVTSDTSVTEAVSRMLRDHGRIDVLVNNAGIGCTGPLAELSGETVRRTMDVNFLGQLRLVHAIAPHMASQRSGRVVNVGSVVGTAATPWAGVYCASKAAVHAATDALRLELAPFEVHVVKVVPGEIRSGLGHANTAQLVGAKQGQQQWGMYREFTTAIEEKARASQGAGATEASVFARHVAARVMRPRPPREIVYGSMTGLFAVLAMSPAWARDAFFSRRFGLNKL >SECCE1Rv1G0022280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:282687902:282712781:-1 gene:SECCE1Rv1G0022280 transcript:SECCE1Rv1G0022280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase GCN5 [Source:Projected from Arabidopsis thaliana (AT3G54610) UniProtKB/Swiss-Prot;Acc:Q9AR19] MDGLAAPSPSHSGATSGGGASHRKRKLPPPSLSDATADEDDDTTAPSSPATSLSSPSRPSSPSSSHSDEDDDDSLTTFTAARLDAAPASSSGRPPKPDSSSASAVTAAAPKPDAASAAAGDGKEDSKGLFTDNIQTSGAYSAREEGLKREEDTGKLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNNVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITFDKERWQGYIKDYDGGILMECIIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKKEAGIPRRLMKPEDIPGLREAGWTPDQWGHSKSRSTFSSDYNTYRQQLTSLMRMLLKSLIDHADAWPFKEPVDSRDVPDYYDIIKDPIDLKTMSRRVESEQYYVTLEMFVADLKRMFINARTYNSPDTIYFKCSTRLEAYFTNRIQSHLAQAASTKN >SECCE3Rv1G0162290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:128926534:128928093:-1 gene:SECCE3Rv1G0162290 transcript:SECCE3Rv1G0162290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSWWVRGFLGKYPEIMVSFACFLFLLFFRYRRRDGLPTNWPVVGSVPAITVNAGRVHEWLTEFLRVAPGMSHVARGPWGSPVDVLITANPADVAHVFTTNFGNYPKGEDFAALFDVLGNGIFNADGDSWAYQRRKAHALLSDARFRAAVAASTARKLDEGLLPLLDGVAASAAVVDLQDVFMRLTFDLTAMFIFGTDPGCLAADFPLVPFAAAMDEAEAVLFYRHVTPIAWLRLQTYLNIGHHKKMTKAQQVLDASIAEFVSLRRERAASADTNADGGDAADLLTLYMACQDEVGKDGNEFDRFLRDTTLNLMVAGRDTTSSALTWFFWLLSNHPDVEAKILAELRENLSSGGVGHHPTAADLKRLVYLHAALSESLRLYPPVPFEHKAGAQPDTLPSGPAVRPTRRVIVSFYSMGRMESVWGKDCLEFRPERWLTAAGRLRHEPSYKFVAFNVGPRTCLGKDLAFTQMKAVVAAVLPRFRVEVAPGAVVRPKLSIILQMKDGLKVRVYKRQDDAR >SECCEUnv1G0556610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:313074692:313080147:1 gene:SECCEUnv1G0556610 transcript:SECCEUnv1G0556610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTLLVYRAVFAALGCLMLGTLVYTCITDGSPFRIELLTPWMVTTLIDFYVNIAAISTWVVYKEGNWISSIFWVVLLVCFGSATTCAYIVSKLFEITSSGLSQNPLDLLLLRQDNLPERKCSFVVIGRTVFSILGILMAALVTYTVITDGLPFRKDLLTPWMAATLIDFYINIFAISRQWLYSAYYYLTMLKWEAQVYHLLLNSHSKYGIAPLQ >SECCE5Rv1G0344120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:643555623:643558399:1 gene:SECCE5Rv1G0344120 transcript:SECCE5Rv1G0344120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G30480 protein [Source:Projected from Arabidopsis thaliana (AT4G30480) UniProtKB/TrEMBL;Acc:Q9M0B2] MVVIELEPEHEGEEKARPSSPPEFVEAPDPRLAEVDEEAFEDALTDEQLREKARSQATDAKAEGNKHFAAGEYDDALSQYEIALQIAAELESSEDISSACHSNRAVCFLKLGKYDETIKECTKALELNPSYLKALLRRGEAHEKLEHYDEAIADMKKIIELDPSNQQAKKSLFRLEPLAAEKKEKMKEEMLAKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSLSFEK >SECCE4Rv1G0258620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:678364869:678366453:1 gene:SECCE4Rv1G0258620 transcript:SECCE4Rv1G0258620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARLRAVTLSAALFVLVVGVFSVSGAEAPNSSSSPSSSPLVQQTAFTSRRPSVERELDAARAAIRRAARLQSGSNASSSPGTWFRGDDVEYAPLARVYRNPAAFHRSYVEMERRFKVYVYEEGEPPILHAGPCKNIYTIEGRFIEQLELLAPPAAGVRTWDADRAHAFFLPFSVVQMVHFAYRQLSYDRAPLLSLVGDYVRVVTSRHPFWNRSAGADHFMLSCHDWGPDASKGDPELYANGIRALCNANTSEGFRPGKDVSIPEINLYDGDTPRQLLGPSPGLSARPYLAFFAGGRHGHVRDLLLQHWKGRDPATFPVYEYDLPSSTGSNKSSNHNRRGRDRQSDYFAYMHRSRFCLCPSGHEVASPRVVEAIHAGCVPVLVSDGYALPFADVLRWESFSVSVPIADIPRLKEVLEGIPTAEVERLRDGVRLVKRHFTLRQPPERLDMFHMILHSVWLRRLNFRFDH >SECCE7Rv1G0504240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:721274628:721274999:1 gene:SECCE7Rv1G0504240 transcript:SECCE7Rv1G0504240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQQTNCSKPRRRGRRRVRRSGGGVRLGLLLRLRVRLSGIVGLLVRSVEDLRCCPARGKISCSTSRARRPALSLGGSCRRRPAPAERNQSSFYNEAIADCLEFIKSRSTYLPAKDDKIVSLN >SECCE2Rv1G0076180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89193089:89193460:-1 gene:SECCE2Rv1G0076180 transcript:SECCE2Rv1G0076180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPLLLLALLAAAVAVAPAPAAGLGGRGPRVGGWGPIPDVKDAHIQELGGWAVEQHASLVRDGLRFRRVTRGEQQVVSGMNYRLLVDAADGSGNSAPYLAEVYEQVWTKTRQLTSFKPAAN >SECCE6Rv1G0405140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:527392227:527397300:-1 gene:SECCE6Rv1G0405140 transcript:SECCE6Rv1G0405140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDSLSESPRKRNGLLRDQVQLVKRKDTSRYEIVPFPEPLSFEKGFFVMIRAIQLLVQNNEGIIFVGVAGPSGAGKTVFTEKVLNFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKSSCRTGYRTVDVPISRIVIIEGIYALSDKLRPILDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLKTAHIRIINKFNPFSGFQNPMYILKSPRSLTPDQIKAALGEDQTESNEETYDIYLLPPGEDPEACQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATVKIDWLEQLSRKYIQVQGRDRLYVKFVAEQLGLDGSYIPRTYIEQIQLEKLMNDVMALPDDLRTKLSIDDELVSSPKEAFSRASADRRNELMKSGLSHSYSTHGDKSIVKLNKLTESNRRFGSRRTPEPPALNQGAINQLSEQISTLNERMDEFTCRVEDLNSKFTLIKSSPSQQNLAPASDTRNGSAPTNLFVSQLGNGTLIPHSSSSNQLSKESPLMEEIMVLSRGQRQVIHQLDNLTNLLHEHLVLTRQGNATGRNRIQEGIDMAICPLIILTIGSVGYFVFKSLNRT >SECCE4Rv1G0228860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:140144410:140145135:-1 gene:SECCE4Rv1G0228860 transcript:SECCE4Rv1G0228860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSASLPSIPHSTESKVEVELQGLQTHISSPSATIGTMCGGLRSLGDIYSSIEEIMSLPSNQVGLSLPLQRKMVEEELDRSLVLVDLCNAMQESMAELKMSIQDLQLTLKRGDGAAVQIKIESFVRLAKKAQKPFKKITSGKATAEGCGTVRLLVEARDMAVCLLESTSRLLQKQIGIANGSRWSLVSQRFQKKRVVCEAAQLQALERGVADLENGVESLFRRLIQSRVSLLNILSS >SECCE3Rv1G0172880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:308802948:308803863:-1 gene:SECCE3Rv1G0172880 transcript:SECCE3Rv1G0172880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGLLALAIAAVVGVVAGEDSTWSNGRATFYGGNDASGTMGGACGYGNMFSAGYGTNTAALSIELFNNGQSCGACFEIRCAGSGSCLPGSAVVTATNLCPANYALPNNEGGWCNPPQSHFDLAEPMFTKIAQARAGVVPVQYRRVVCVKTGGIRFTITGHSYFNLVLITNVAGAGDLTAVYVKSPSTGWLTMSHNWGANWQNGAMLNGQPLSFRVTTSDGRTITSNNVAPSGWSFGQTYAGSQF >SECCE3Rv1G0205350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899919491:899922097:-1 gene:SECCE3Rv1G0205350 transcript:SECCE3Rv1G0205350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQTAPIMNQGQGETSYANNSSIQKAEQNKMKSLIDAVIAELCSNTGTLQPGKMVIADLGCSTGPNALALVSIAVEAIHAHCLRFQQPSPEVSVLLNDLPENDFNTVVKSLVTLRQSNDPVVVTGITPGSFYERLFTSESVHLVCSSNSLHWLSKAPEDLKRNLIPAYDIDEHSRRERLPIVLEAYAKQYRKDFTLFLELRAKELVSGGRMIISLLGRRSDIIATKFPFLPEIVAQILCVMVSEGVIDKEKFDSFYGLMHEPSLEELREIIQEEGSFSIREMREHDPRTDINKTISTPGIFAGFLRSLFEPVIVQHFGYVMDEFVKTVERRYILEGSLQEERAKCPYAMLVVSLAKA >SECCE2Rv1G0090220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:272583250:272584332:1 gene:SECCE2Rv1G0090220 transcript:SECCE2Rv1G0090220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSHLSTAWSSSALASTSTRRRASTGSSSSSLVVRCSLRDLRNRIDSVRNTQKITEAMKLVAAAKVRRAQEAVVSSRPFSEALVEVLYNMNQEIQSEDIDLPLTRQRAVKRVAIVVLTGERGLCGAFNNNVLKKAEARMADLRQLGVDYTVISVGKKGNAYFQRRDYIPTERFLELAGIPTVKDSQAICDLIYSLFVAEEVDKVELVYSKFVNLVRSDPIIQTLLPMSPKGEICDVNGICVDATEDELFKLTTKEGKLTVEREKIKIEMQPFSPVVQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSSATDNAIDLQKNLSMVYNRRRQAKITGEILEIVAGADALSG >SECCE5Rv1G0334450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565950783:565959577:1 gene:SECCE5Rv1G0334450 transcript:SECCE5Rv1G0334450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDLPVNIREYQELAKKILPKMHYDYMNGGANDEHTSRDNIAAYGRIVLRPRVLVDVSNIDMSTSLLGYDMPSPIIVAPTGSHKLADPEGEVATAKAAASCNSLMVLSFSSNCRIEEVAASCDAIRFYQLYVFKKRDVSATLVRRAESNGFKAIVLTVDNPMLGRRERDIRNKMVAPAKPNLEGLITLENLDATDGSQLVKYVRDTMDASLSWKDVEWLKSITSLPILLKGILTAEDARKAVEAGAAGVIVSNHGGRQLDYAPATISVLEEVVKAVAGAVPVLVDGGIRRGTDVLKALALGARAVMVGRPVMYGLAARGEAGAKHVIEMLNSELELAMALCGCRSLAEVTRDRVQTEGDRIRSLL >SECCE5Rv1G0361930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:781601741:781602387:-1 gene:SECCE5Rv1G0361930 transcript:SECCE5Rv1G0361930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWFFGGHGGFYIPSYDGSQHRPAGPHRPLLYGSVPYEEQEQIRRMEEGVRLRQRPPPNPTVWKYFKIFARCFMAAMSIAMMGWIFMARYFSWNPDVQDPYKMMALLLCSLMPVGFGFMITEEDQGEVLHS >SECCEUnv1G0532680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:22984886:22986469:-1 gene:SECCEUnv1G0532680 transcript:SECCEUnv1G0532680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGASTTTTRKRKAAALEGHPAPAPAGAAADPGMGEDDGGGGSGENERDLDRISSLPDAILGEIISLLPTNQGARTTILASRWRHLWRSAPLNFDCLHLACEWDELSDLMPRIISAHQGPCRRFCTPEQLYTINDIDAVEGCLLSPALARLQELKLNSWRGQPLPELVFRFSDTLRVFRIGQCSLPDATVQRFHFPRLERLEIVHSSITERSLHSMIAGCPSLECLLISRCTGPRCLRINSLVLRSVTVRNYSQLEDIVIESAPCLERIFHLNQNQGLRVSVHFAPKLETLGCTNSTRVVFGYTNIQGSRTIKCLALSMPTLSLDMVIGLMGSFPCLEKLYIQCNTSRKINNVWRRKYRNNLLKSLDIRLKIMVLNYYSGRKADVDFVTFFALNASQLESMTLVVETDDEDFLAKHHHKLQLDNMASNDARVHFTTERRYEFGYRLSF >SECCE2Rv1G0142140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:938696226:938697332:1 gene:SECCE2Rv1G0142140 transcript:SECCE2Rv1G0142140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLKARQTKRLRAKYFKQNRGLLLQQLVDKDIAERMIFNLEELEKATNKFDEARELGGGGHGTVYKGILSNQDVVAIKKSKITIQREIEDCINEVAILSQINHRNVVRLFGCCLETQVPLLVYEFISNGTLSDYLHIEGALSLSWGDRMRIALETASALAYLHSSALTSIIHRDVKSANILLDQRLIAKVSDFGASRGIPIDQTGVTTAIQGTFGYLDPEYYQTSRLTDKSDVYSFGVILVELLTRKKPSTGMHSECGSLIAQFILVIRQDKLCEILDPQVISECLEGAKDVASLALTCLSLKGEDRPTMRQVEMALERLLVANKNNGQGRSAELNYTAAQIINSNDDNSRQYSAEQAILLSASFPR >SECCE3Rv1G0159470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:96306917:96307384:1 gene:SECCE3Rv1G0159470 transcript:SECCE3Rv1G0159470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKTVFSVLLLCVLVATPIAAEYGAWSYNSGPWMCYPGQAFQVPALPNCRPVLKLQCNGSQVPEAVLRDCCQQLAEISEWCRCGALYSMLDSMYKEHDVQEGQVGTTGAFPRCRREVVKLTAASITAVCRLPIIVDASGDGAYVCKGVATYPDA >SECCE6Rv1G0382920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:48508467:48508946:-1 gene:SECCE6Rv1G0382920 transcript:SECCE6Rv1G0382920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGIYIPNDKFYIGDAGYACRPSVLPPFRKTMYHLNEFSGRNYPRTAQKLFNLRHSSLRVSVERAFGALKNRFRILDWKSLHPYSTQVKLVLACYILHNWILQRGFDEHVLEEEEVEPGDIVSSDHGVEAFDNDAWKNKRLEWTEAMWLNRGQCRI >SECCE2Rv1G0104050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:598978116:598984802:-1 gene:SECCE2Rv1G0104050 transcript:SECCE2Rv1G0104050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein [Source:Projected from Arabidopsis thaliana (AT1G33980) TAIR;Acc:AT1G33980] MKDPAHRTKVVLRRLPPAIAQQAVVDQVDARFAGRYDWACFRPGNASQKNHRYSRLYLNFKSPEDVVEFAEFFNGHVFVNEKGAQFKALVEYAPSQQVPKSNIKKDARQGTITKDPEYLEFLELISKPTEHLPSAEIQLERKEAERAAAGKEPPVVTPLMVYVRQQRAAKSMAQRSVSSRLSRKVAGVVTSSSSPSKRSSERRRTSTSTYVVRDNAKEKPTYILAPKRDDHTHREKIIAGTSDATSGGPSGSAQVIDGKKDKIVLLKGRARVDSNISDSSTPQQSVPPSRNTPPSTSRQDQRNEASGRIIKTILSNKEGRHAIASQHEQEGHIISAEKDKRPPRAPNSRSTVKDQTVENVEKNHYDDKHNHLHGSGPIGEKIERHARNRDRPDRGVWAPRRYDKSASGGGTQASSSEFPLMQSHSMDNFPQQVDGHGERKIDTRSPGGRGGLVENGNRHANRRGPPRGPKEMEIPPITSDGKPSKRGPASYVAHERQVWVQKSSSGS >SECCE2Rv1G0081180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:136809912:136811264:-1 gene:SECCE2Rv1G0081180 transcript:SECCE2Rv1G0081180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNSYEEQRRRQMEENKRKLDELRLHRLSAAVRDAAVKPMPTKELKLRYLRPPPPPTRRSGRVASLAKQPDYRDKKAPRRELPPAPVYATDEARTYTFTKAKELKNQLSSDQPSFINPVSNYSATRGLSIPLQFRERYLPSSDEMIFLVDEEDVEFQMIYLAKSGVIGAGWNLFAIGHKLADGDCLVFQLVQRTKFKVYIIRASSYYKKDHC >SECCE7Rv1G0462190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:44005250:44008636:1 gene:SECCE7Rv1G0462190 transcript:SECCE7Rv1G0462190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAFTKSLLLYNKILIRRLKSLITRPPPAPTSAMERLPPSHRRESSTAPLDALPAPETTDGDNNNGGRPGGDTIVCEVEGGLLMSSSTFPYFMLVALEAGGLLRGLLLLLLYPLLRLLTDELAMKAMVMVTFAGLRKDAFGRLGMAVMPKLFLQDVSAEVFHAVTSAPAAAGGARRRRRCVCVSGMPRAMVEPFLKEYLAIDAVIAPELRELGGYYLGLVEDEGEAVRRMDVEEVIGAKGGAVVGIGGLGCSFQHIFQKYCKEVYVPTESTRRRRGALHPRRYPKPLVFHDGRTAFRPTPAATLAMFAWLPLGAPLAVLRTAVFLLLPFSLSVPLLAALGMHNRMIAPSSPKDAGGNLFACNHRSLMDPLCVSAAAGRRDLAAATYSISRLSEMLAPIPTFRLTRDRAADRAAMQAQLARRGLVVCPEGTTCREPFLLRFSPLFAELAAGGVDVVPVALHLAVDMFHGTTAGGRKMLDPLYLLMNPVPSYLVQFLDPVDCSGQEARAVANEVQRRLAEALGYERTGLTRRDKYLILAGNEGVVGAAAAAAHDGGTKN >SECCE7Rv1G0486420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:410671141:410679268:1 gene:SECCE7Rv1G0486420 transcript:SECCE7Rv1G0486420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acclimation of photosynthesis to environment [Source:Projected from Arabidopsis thaliana (AT5G38660) UniProtKB/TrEMBL;Acc:A0A219HZL6] MSVQCTANSLRALAALPAPPPSRRLARNAFLMPCHPSRTGALVRAADSSPPAAPASSSGAADDKAVIPDDEFTLAKVSFGVIGLGIGGSLLSYGFGAYFNLLPGSEWSALMLTYGFPLTIIGMALKYAELKPVPCITYSDAFALREKCATPILKQVRSDVTRYRYGDEQHLDEALKRIFQYGLGGGIPRRSAPILQKIQEVTDDGKYCLVLEFEAKSLELSDFEKRQAKFTSFFGPGIKAEIGKGGGNLYEVRLISETTEEE >SECCE5Rv1G0310370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:168053260:168057139:-1 gene:SECCE5Rv1G0310370 transcript:SECCE5Rv1G0310370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIQEPLHFKPEEHKVVVAENEKMVDVEDKEVTMEGLCSVAAYDQWTPLSVPGQRPKPRYKHGAAVVQEKMYVFGGNHNGRYLGDIQVLDFKSLSWSKLEAKIQSESAEPVFVAPCAGHSLIPSGNKILSLAGHTREHTESLSVKEFDPQTCTWSILRTYGKSPSSRGGQSVTRVGDTLVVFGGEGDGRSLLNDLHVLDLETMTWDEFESIGTPPSPRSEHAAACYADRYLFIFGGGSHSTCFSDLYLLDMQTMEWSRPEQHGIIPEPRAGHAGVTVGDNWVITGGGNSKKGVPETLVLNMSTLVWSVVTSFEGRAPPTSEGSSLALHTINGEDFLLSFGGYSGRYSNEVYALKTSLRPSVSSSRIDELETNGMTPLSAEVNSSRGPIFEIEELREDKNNKGGDISKTLVQAVKREKNQVEEKLEQEKLQSFHLKKELADVENKNAELTKELQSVRDQLSDEAARASKLEDEVSEIQQRLQKMETLEKEFELLRSERGGGSDKSGSGSNKRPGSVGFRRWYGDEER >SECCE3Rv1G0204810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:897307809:897313330:1 gene:SECCE3Rv1G0204810 transcript:SECCE3Rv1G0204810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLAEMAKEEVAMLIGVSDGVKDMSIKLGDLKNFLADADRKNITDESLQGWVGELKRAMYLATDIIDLCQLKAMEQGQTKDRGCLNPLLFCMRNPLHAHDIGTRIKALNKDLDSICERGNKFKFAKLEAYQDLETTRSHATDRKTGSLMERSGTVGEKIEEDTRALVKLLTREVEGDKSNRLMVVAIVGVGGIGKTTLAKKIFNDEAIKGKFSKWIWLSITQDFTDVELLSKSIIAAEGDLPGGSAARDKDLLVRALMNTIKENKFFLVLDDLWGVDAWNKHLMTPFSYGGCGSRVLITTRHETVVQSMKAVHHHHIDKLGPEDAWSLLKSEVLTTEEKGHEVDVLKDLGLQIIAKCDGLPLAIKVMGGLLCNKEKSRRDWEDILHDDIWSVSRITDDLNTAIYLSYQDLSPYLKQCFLHFSLKPKKVVLRVDEIVSMWICEGLVQGDSNSLEEEGKKNYKELILRNLIEIDPTFPSQLICNMHDVIRSFAQFMARDEILVAHNGDAAKRALRSSNFLRLSIETKGVGSDEVEWRYLREQKLLRTLILTANLKIEHGDSLTNFPRLRLLHIESASIAALVECVHQLKHLRYLTLKRTDMCRLXXXXENIHEMKFLQHICLEDCESFVKLPDGIIKLQGLRFLDIYGTRVNSIPRGFQALTNLRLLSGFPAYIDGDWCSLEELGSLSQLNYLSVKCLKNVSSALLAAKAKVNAKKDLKTLILNCGVKVEDGLVQGGVSESGSEEQIIEAVVDVLCPQPCIEHIEIEGYFGRRLPRWMASTAMVPLESLKIVVLQQLPCCTKLPDGLCRLPYLEWIKVAGAPVINCVGPEFVQQYNHLHRPSSQLAATFPKLQQLDFSGMKEWEEWVWETEVKAMPLLEELRITSCRLGCMPPGLMSHAVALKKLQIWNVQGLHSLENFVSVVELDLGNIPELALISNIPKLQKLKISYCRKLKTLKDMDALRRLQLRVSRWENQLPVYLQTVNPSHLLLTCNLSVLTSMAEGESSADWDKFSHIKQVEAYAEDGGDEKKWHVLYTSESSNIQTNIHQGRLVEEED >SECCE2Rv1G0083850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172092805:172097032:1 gene:SECCE2Rv1G0083850 transcript:SECCE2Rv1G0083850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein WDR12 homolog [Source:Projected from Arabidopsis thaliana (AT5G15550) UniProtKB/TrEMBL;Acc:A0A178UL95] MDADTSRQVRVRFVTKLPPPLRAPPTAIAVPAELSRMGLSEIVNSLLLSAEPDHQAQPFDFIVDGELVRMPLHQFLLAKGISAERVLELEYIKAVAPRKQEPPLPHDDWVSAVDGSNPSFILTGCYDGLARIWKDAAECTQVLEGHSGAITSASFINKGVETDGSLHVVTGSKDRSLRLFKFDTSVTIGSSKRIGAYKILPGHTSAIQSIAVDPSRNMICSASWDTTIKLWAVEGSEEDGDTVSVKKRRMNSDASGPEESQLEGSASSTLLGHTQCVSSVAWPEQRTIYSASWDHSVRQWDAQTGRETWNMFCGKALNCLDCGGEGSSLIAAGGSDPVLRVWDPRKPGTTAPIFQFSSHSSWISACKWHPSSWFHLISSSFDGKVMLWDLRTAWPLASVDSHKDKVLCADWWKGDSVISGGADSKLCISSGVEIA >SECCE6Rv1G0415560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:642509768:642513784:1 gene:SECCE6Rv1G0415560 transcript:SECCE6Rv1G0415560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYRSGLLLNLPTAMPRTTTHITSLLVTLGFLVEILLLPHCSHALSADSSALQQVPARCREDQAAALLGVKRSFNFSSVYLSATTTLSSWREGTDCCGWEGVGCNNVTGRVTALVLPERNLYIGDFHASLSRLTSLRYLNLASNWFTSSQLLMYGFESLTQLTYLNLSHCKIVSPVPVNISRLTNLVTLDLGNTGMEFDLHPSTIMANHSKLKELHLDGGGITSTTPEFFKALASHFPLLQILRLGWCGLSGPIHPSLSSLHSLSVIYLGANSLTGPVPDLFTPSNFPFLRELVLSGNNFEPGTFPLGITGLKNLMVLDLSEMNLMGPLPDSFGNLMSLTELHISANSFSGRLPWALSNLTNLTILDCYHSGLSGQIPWLTSLTRLESVSLANNNFTGPVPLDGHMYPHLREVDLSNNSLLGTVPASLFTQPALQTLQLEANQLSGAIDEFQNPSTTLTLVDLSYNQLTGAIPTSFSYLTALQTLQLDHNNFTGTLDLNPLLRLRNLTQISASNNPLLSASGDGNEVDAYSNSSLSTLDLACCSLTRLPLVLRYLPELQDLDLSSNQIHGEIPDWIWRNMSSLNLSHNHFTTVGQPQNYVTIRFYIDLSFNMLGGAVPLPLGAYDLDFSNNKFSSIHPSSFLQQFEVALSVNLANNELSGTIPYSECQWGYRPLQILNLSGNNLSGLVPPYLLKGCHELAVLNLRGNRLNGTWPDDIDESCNLRLIDLHGNHIQGRLPRSLARCQYLLALDIGGNRFMDSFPVWLGQLQELQILVLRYNNFHGPLSIPSLVRNNSTAGYFSSVQIIDLAGNDFSGDLPPDFFKSFKSMVWDPKGVAEYDNVVYVEDGRSIYQVAVDVAMKQQYMRVANVRTELVVIDLSSNRFSGFIPKSIGNLTVLHVVNLSRNTFNGEIPRELGQLARVESLDLSWNHLTGEIPQELATVTTLEWLNLSYNDLSGRIPTGSQFSTFTSSSFQGGNKGLYGCPLLVKCNLTFVTSPPSSLPPPMREGSPFDDIMLWLLVGVGFGVGFALTIVLQVVCSGRRKKMARNAR >SECCE4Rv1G0244850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:521776122:521779195:1 gene:SECCE4Rv1G0244850 transcript:SECCE4Rv1G0244850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSAVVAAVLLQLLSAVATAAGCSTRCGNISIPYPFGIEPDCHHDGFNLTCDYSYRPPRLFLGDGTVEVLEISIPNSTVRINSTNIMPMSHDIDGASRPNTSRYHTWGGLRERGPFFVAPDRNKFLVLSCNNIQVILMGEDNSTINACATYCPSLGNSDAQPQLIRYLLLHGECSGLGCCDGGIPKGYTSYHIQLQPSNDSSSDAKSSVYIAEEGSYNISKLMSEPRGVALPALLDWVISNSSCQKQNPVAPGCRSSNSFCQNYTSYAYNGYQCRCSAGYRGNPYILDGCQDIDECEHKEAHSCHGVCENAPGTFYCRCPDGTYGNPSIEGGCIKITNYSAGLITGIVISGISILLLALSAPFFTRMVKLRKAKKMREKLFNQNHGLLLQQLISQKADIGERMMFTLMDIEKATNNFDKSREVGGGGHGVVYKGILDLHVVAIKKSKIVVQREIDEFINEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHVEGPKSLSWDDRSRIALEIARALAYLHSATSIPIFHRDIKSSNILLDESLTAKVSDFGASRYIPIDQTAVMTAVQGTFGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTRKKPYVYRSDDDASLVAHFVSSLKKGDLADIVDPQVMEEEDDGELQEVAMLAAMCTRLEGESRPTMKEVEMALENLRARKKPAPYNSASMRYDGDQIVTQYKSIEDLLAKKDMPVEGDAEESSRQYTMEEEILLSARYPR >SECCE6Rv1G0442110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814210923:814212101:1 gene:SECCE6Rv1G0442110 transcript:SECCE6Rv1G0442110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGVSIVDGDKECSCDTSAVHAGSDSGYHLLMVRGYPRTEEGLPTGDSITTGIFMVGGHYWYIHYYPNGLTPDCADYISLYVALVYDNDDAERGLAVEARFSFSLVDHVEKQNPMYICEASKTCTFSAGATSWGRNRFLRRDALERSSDLKGGCFTVRCDIMVVCQDSKIEDLSGIHHHFNNLLQTKVGADVTFEVGGERFAAHRCVLAARSKVFMAQLFGPMKEGTTTSTVIQIKDMEAKVFRALLIFVYTDVFPLPLREEDGMWEDEMSVVVMEEAKEVAAVQDKMRVQCLQHLFVAADRYDLQRLKFICEQQLSEHIGVTSVMSTLALAEQHHCQGLKEACFKFIQVQSPSCLQTVMSTNGWDHVYTAYPSVFKEFIANLASNQRK >SECCE5Rv1G0343530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638665593:638666843:-1 gene:SECCE5Rv1G0343530 transcript:SECCE5Rv1G0343530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 4 [Source:Projected from Arabidopsis thaliana (AT5G02850) UniProtKB/TrEMBL;Acc:A0A178UPY5] MMQSHMPSPARLGVTASSPSLPPNQSPANPTSSPPQQANPPSASAAPSAAGAAVPTLITSPALLPLLPPLPRAQSLLHLISSLASNLFELSPNRAAWISSYRGSLPNFLASSSASAAPTPLPAPVSTTKEAMSMLTSLQTQLFETVAELQETLDLQDARAKLAREARAKDGSMLAFAKKLREAHHVLDRLVDDYADYRRDPKRPRGAAAVDEPEPVSHGDFGASLHSKLKLDDILTYAHRISYTTFAPPEHGAGLPLRGALPPAPQDNELRMSQLYQFADLDVGVPKKPLETKEGVTAEMESMPLFELPEEEPPRPSMLPITVPPGWQKGLPAILPDIPLPPPGWRPGDPIELGGIIPAVKPDEQRPSVPIPVGVQPMVPRAQEPIQVAAVNLDIVSSSSDEYSSDVGSSDEDDED >SECCE7Rv1G0480840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:274875182:274876906:-1 gene:SECCE7Rv1G0480840 transcript:SECCE7Rv1G0480840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNDASRGGEIVEVVVGEPASPSAAMRLMDFIPIYIPTVEKGALSRGARKSRFLDFLRARPSKDWFLRSTFVGRLRRRSQVTAGEEEDGRDSDGNAGGCRPRKRRRRFRVPFVRKIMWAKLWTHAVSWFRRPANFAMIIWLAFVAAGLLLLFLLMTGMLNDAIPEDGRRKKWTEVINQILNALFTIMCLYQHPRIFHHLWLLLRWRPDGDREAIRKVYCKEGAPVPPRDRTHMLVVVALLHITCLAQYFCCALFWSYSCTDRPNWALNIGYGFGTGLPVIAALYLAYSPLGRKQASDPDAEPSSLGEDANGHGGAPVNNDGRDVESRIYNRSVVVSRPEWSGELCDCCDDGTVCALSATCTFCVFGWNMERLGFGNMYVHAFTFILLCVAPLLIFSVTALNVYDDDIRDAVVAVGVLLCFCGFLYGGYWRTQMRKRYKLPAGGGGRRAAVGDCAKWLFCWSCALAQEVRTANFYDFDDDRFVGHGARNEEGRAVLVPLPREASTSTHSRSLSCPPKIDAVAALSVAGTGALDEQMVDIVMERSATYNGYVESRDRHHAMRPPLPPLIKMDREQ >SECCE6Rv1G0429790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737864658:737867186:-1 gene:SECCE6Rv1G0429790 transcript:SECCE6Rv1G0429790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRGFSPLFVQALLAGVFLSSLPSGVQSIGVCYGIIANNLPPPREVVQLYRSKGITNMRIYSVQLLALDALRGSGISLMLGTTNNDVAALAGSLSAATSWVQAHVKPYHSAGVTIRYIAVGNEVMGGAAQRILAAMRNLNKALAAAGLGGAIKVSTAVRFDVLTNSYPPSAAVFAQPYMVDIARHLASTNAPLLANVYPYFAYSSNPRDIKLNYATFQPGATPVRDAGNGLVYTNLFSAMVDAMYAALEKAGAPGVRVVVSESGWPSAGGLAATPENARAYNQGLINHVAHGTPKKPGHMEAYVFAMFNENQKPGAETERHFGLFYPNKRPVYPINFKGARSAAANHTNSHSHGFDGH >SECCE1Rv1G0048630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636808125:636810045:1 gene:SECCE1Rv1G0048630 transcript:SECCE1Rv1G0048630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMSCFVVVLALCLLVSMALMAGHYYGRAELVAGPGCSRLVRANSLFVQDITVRTAEEEGSGANGLVLYGLAEIPDLLDDVPAVWSEARRVVLPANSHKEWVYFLNSGSQIEVNYSVEPGTGPTNPLRITIAQAGKVGFAQWSEEPSVHGAGAVKQSINSSGDYYITVGNLRDQDTTVALDFRISAVLYDTSGADYACTPSPGSSGCTYRLPILGENVAVLSSGLKQGVKVELSYGPRWVVYFVGSAILAVALLLLYEVLSVLLGFCCAVAAAQRETTATTTSLLESKEEEEGGSRGSSFESVSSHDGEEGDEEEGRRLCVVCCDARRDCFFMPCGHSATCHACGTKIVEGDAASCPFCRRKLKKVRRIFCV >SECCEUnv1G0560550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:348251209:348251466:-1 gene:SECCEUnv1G0560550 transcript:SECCEUnv1G0560550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLLAFMAAKGFVQVFQVSAPLLWPLNLWLPLPRNLPEVCVVVCSALASHVAWLRRAYARRRSRSRDDDDDELHRQALVDAAY >SECCE4Rv1G0229930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:155748233:155749829:1 gene:SECCE4Rv1G0229930 transcript:SECCE4Rv1G0229930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEHFVLVHGEGHGGWCWFKLRWLLEGSGYRVTCIDLAGGGVDPTDPNTVRSFEQYDRPLLGLISALPEGEKVILIGHGIGGLSVIHAMHEFVERIKQAIFVAAAMLPFGLQTDEDKKDGLPSLPENEIELTFGAGADDPPTTVALRLEFQRDRLSQQSPEEDSILASMLMRPWPASAIGTASFEGDDERLNRVKRVFIKTQRDHMLDPQQQDSMIKKWPPSELLVIDTDHSPFFSAPEQLFNLIVKSL >SECCE5Rv1G0322160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:416621615:416623044:-1 gene:SECCE5Rv1G0322160 transcript:SECCE5Rv1G0322160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPAPAAETAASTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPTDADWYYIRAASMARKIYLRQGIGIGGFQKIYGGRQRNGSRPPHFCKSSGAVSRNILQELQKMGIIDVDPKGGRLITSQGRRDLDQVAGTVPAEF >SECCE1Rv1G0041700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:573471870:573472667:1 gene:SECCE1Rv1G0041700 transcript:SECCE1Rv1G0041700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRRLAGAVAAAAPLRRSLCTAAPRPPWAMVNSQAALDASGAPSQSARALVDLNTTPCVSHLSVPAHLVAPHGDDMGSLVGIVRGASSDGLLLLDFIDARHRPLWPHEFAAARGGVEPEGKLFVCNPLSGQLARLPAPAMDAPKMGRTSFGLLTQSQGSHGPPDRYVVAQLSKSSRGGGECRRVVRRFLSDTGEWNERPLVAEFEMDHARSMMINHEVLAFGDRLWWLDVAWGACSVDPFSDRPERRFAELPPSRLQRATRF >SECCEUnv1G0561260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:352940149:352941568:-1 gene:SECCEUnv1G0561260 transcript:SECCEUnv1G0561260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNKERSRVLVIGGTGHIGKQIVAASARRGHPTSVLIRDVAPSDPAKMQLLKSFIDSGVALIKGDLFDHGSLVNAIKGADIVISAVGPRQLAEQTRIVMAIKEAGNVKRFLPSEFGSDVERAHTVDPAVTLFAGKVSLRRLIEAEGIPHTYVCCNAFAETYLPSIGDVTAVGAGPASDKITILGDGDAKAVFVVEEDIAAYTVRAMDDPRTLNKILYMRPPANILSHNELIAMWERKVGRTFHIVRIPEVDLLKMIKEAAFPLNILLSLALSIFIRGDQANFEIEPSIGVEATELYPDLRYTTVDEYLDRLL >SECCE7Rv1G0498310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:637735486:637737093:-1 gene:SECCE7Rv1G0498310 transcript:SECCE7Rv1G0498310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVVPLAAAMAAAPRARFPGAPDPFPFRATRLRFCLSPRGVACALRRPSKYKNKIKTEVVVAEDDIDGGDEDDDDEGGLEALFKQLEEDLKNDDLSVEDDDDDEISEEDMARFEQVLAEAMGDIDVADESAVDLVPGSEVVRNDEVADPVEKPELKSWQLRRLARALNIGRRKTSIKNLAGELGLDRGLVIELLRNPPPELLLMSDSLPDEASSKPETKEIEPSPVTDEVEVDEIEATETKPQMDLPVHVMSTEWSAQKRLKKAQLETLEKVYFKSKRPTNTMISSIVQVTSLPRKTIIKWFEDRREQDGVPDRRAAYMRSLSETMAS >SECCEUnv1G0536440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63954524:63954772:-1 gene:SECCEUnv1G0536440 transcript:SECCEUnv1G0536440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLLAFVAAKGFLQVFQASAPLLWPLNLWLPLLRNLPQAYVVVCGALAAHVAWLRRAYARRGSRSRDDDEAHRQSMVDIA >SECCE7Rv1G0521210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873166931:873171466:1 gene:SECCE7Rv1G0521210 transcript:SECCE7Rv1G0521210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLSKWQHKRMHEKLARGKERGLLRHEKQLYLARLRSEIRASHLPGANASPADHASSRAHIRALADRFLRPGAEDLWNDDDGSLRRARLPPHQHEQPARSLPSGARMVDWKQVESGEKPKPPRGGGDWKDWEELDSGDPTAGRGAGNELRLLAAFNQRSGYGTAAPWWWQWSPGSGSPSQRKEASFSFFGPKRRYSVMPPCSPCRESGAALMPLVARQLAEAGDGRKGAPLALFYTQERLYSAAATRQFGQKWRPDSSDEDEEVMPAARDLRLAKFVASREDESEDDEPGETSAIRRKWSTAALRNCDMKRDRRPLKSYEEESDDDITGRIQELREEIRNREVLGAERRRYESRGESVFTNKRFDECGISPLTVKALTDAGYIQTTVVQEAALPVCLEGKDVLVKAKTGTGKSVAFLLPAIESVLNAMKSHTNHRVSPIFALVLCPTRELAVQVTAEANVLVKYHHGVGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENRSSFSVRLMGLKLLVLDEADHLLDLGFRKDIEKIADSLPRQRQTLLFSATVPKEVRRVSQMVLNKDHVFVDTVGLGAVETPTKVQQQYLVVPHELHFHMVHRLLREHIDQEVDYKVIVFCTTAMVTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRDSNRLILVTSDVSTRGVNYPDVTLVIQAGVPPGREHYIHRLGRTGREGKSGKGILLIAPWEEYFLKEIHDLPIQKAPVPQIDQEMKQKVDDSIKIVDMSIKEAAYHAWLGYYNSIADISRDKVMLADLASRFGVSIGMEKPPTLFRKTALKMGLKGVPGIRIRK >SECCE4Rv1G0268530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:743110479:743116876:-1 gene:SECCE4Rv1G0268530 transcript:SECCE4Rv1G0268530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAALRRAARSAVRKLASAPSPARTIVLPERLLSSQASPEHRPRPGVSASELGQYPPERIRNFSIIAHVDHGKSTLADRLLELTGTIQKGHGAQYLDKLQVEKERGITVKAQTATMFYKHTVENPESLVTDTSSYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLRQVLPAVIERIPCPPGNCGSPVRMLLLDSYYDEYKGVICHVAIVDGAMRKGDKISSAATGRTYEVFDVGIMHPELTPTGVLYTGQVGYVITGMRSTKEARIGDTLHQAKTIVEPLPGFKPVRHMVFSGVYPADGSDFEALSHAIEKLTCNDASVSVAKETSTALGMGFRCGFLGLLHMDVFHQRLEQEYGAQVISTIPTVPYIFEYGDESKVQIENPAALSFNAGKRITACWEPTVIATIIIPSEYVGPVIMLCSERRGEQQEYTFIDSHRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQKSDLVKMDILLNGQPVDAMATIIHNQKAQKVGRELVDKLKKFIERQMFEITIQAAIGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSSSK >SECCE1Rv1G0043880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:597009470:597011430:-1 gene:SECCE1Rv1G0043880 transcript:SECCE1Rv1G0043880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTADGSLLALSVIVLVTVMSTLLLAPVAANDIDALSAMRSGLKDPNGALETWDPQLVDPCTWFHIICDDNKRVTRIDLGRKNLSGPLAPELGQLDRLEYLEIYGNRLTGPIPKELVRLSNLKNADFSGNDLCGPIPTSGPFRHIPRSSFANNPRLGRKC >SECCE7Rv1G0462030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:42155092:42161042:1 gene:SECCE7Rv1G0462030 transcript:SECCE7Rv1G0462030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGETATVQFSRCDEVPDFEFAFNSKAFSNIVLEVEVIAADDGGGRSLPDSASQEEADEGQSIDSSSTMAGKPVSAVNALHINSAILAARSPFFQKLFTNGMKESDESHPRIKIADSEENSLMELLRFMYSGKLTTIEPTLLLDILMAADKFEVPACMRYCSQLLISRPMTTESALLYLDHPCSILMADEVQSLVRAAKGFLANKYKDFDKFQDELMNISLVGIEAIFSSSDLHVLCEDVVYYFLLKWARVRYYYSEEERRKVLSYRLLPLVRFSNMSCDALQKALTCEDVDIDHEYLTKHIAKVLLQKAYPNQMEGALAANVTTCWQFAERAYDFKLVRVVEFNQPCPQVTVYMDLKHDECSRLFPSGGIGSQMFHLAGHKFCLLANCNMVEQATSYSFALSLHTFDEPAGSICLDIEFAARTKPLGKFVSKYGYKNTMTGDWSLECGDLFGMPWSTFIADDSLFIAGVLHLRADLTLVEGPELRT >SECCE5Rv1G0301110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29098420:29099020:-1 gene:SECCE5Rv1G0301110 transcript:SECCE5Rv1G0301110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKKLVTAAEKSIPAAVQRASGWALRTACFNLGHAVAYALLQSSIAVRCVQWTDAKAARESALCLGMLRCALLQAAAAALALQLPCRRRWARRALAYLALVVVFVGHCVYVAAVRLLLAADCPAYVLLRILCTAYIAIFLGGDLLCFLGLLLGGED >SECCE6Rv1G0423910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697977562:697977984:-1 gene:SECCE6Rv1G0423910 transcript:SECCE6Rv1G0423910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGGGRGKPKGSKSVSRSVKAGLQFPVGRVARHLKVGRYAKRVGAGAPVYLCAVLEYLAAEALELAGNAARDNKKTRISPRHIQLAVRNDEELSRLLGGVTIAAGGVLPNIHSVLLPKKVGKAAGTGGSASQSQEF >SECCE4Rv1G0276560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798310501:798311676:1 gene:SECCE4Rv1G0276560 transcript:SECCE4Rv1G0276560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFHRTAQEAATHGKVVPTLTLAKACAPKRTRMCNTDLATAEAAAPKINPPKSELLVRPPEVSYSIRSPRCLHFLPTASESKVILADHANRVLRFYTIDGCSYIDTMPSLHGYKHSPLAISVPPTNLHLRDGEDNGDLYIIDSVLHPNKAEVRPQFEALVWRGITTSLVSHRFWHCDILPLPPWITHHRNAFVYGHALVGDTICFSICGSEGDGTYCFHMATREWSKAGDWLMPFDGKADYVPELGLLFGVSEKLPCVADLSGVVRGEEPPPDQMRIWAHDDLPEEWQPSGLFKPRVISLGSGRFMVVDFLDAMEFDKDCNEMVTVKQFALFTGMEVAYNNNGKSDDGKNNSSSNNNGSKGKGVIGGLGMIKHKSGRYMFSNQQRIEEVL >SECCEUnv1G0562400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:365707773:365710064:-1 gene:SECCEUnv1G0562400 transcript:SECCEUnv1G0562400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQISSIVGLLKIIGVILSVGGTMLISLYKGKTLHLRDPILVHHHKEHRVAKVACNHLRGTILLVGSSFTFACWYLIQSKVLKVYPYKYWSSMITCLVGGFQTLLVGILLRRDKNAWKLGWDLNLVTIMYSGALATAGRYSLNSWVVAKRGPTYPPMFNPLSLVFTILLDSIFIGDEITVGSLLGTTMVIVGIYIFLWAKSKEVRDK >SECCE4Rv1G0286310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849109497:849110891:1 gene:SECCE4Rv1G0286310 transcript:SECCE4Rv1G0286310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHTRRRRSSAAPLEEEDLLSEILLRLPPLPSSLHRPFLVCERWRGIVSDARFLRRFRLHHRRNPPLLGCFVQGISPVHFEATLEAPNRVPQARFPFPIDAVHTYVILGCRHGLMLIFLWRRNQLLVWDPATGDRHHLDVPPGFDNEETKIKGAVLRAAGVVHHFQVVLVGNSDIQLTQAVASVYSSETGVWSNLVSTPLPTDNPDVVTRVYHDMCSVMIGNSLYWFLIGNFCGILEFNLDTQSLSVIRAPVDVDINTCSVTVMRAEGGGLGFLFLSDYCAQAWKWKTDCDGVASWVMARTVALDKLLSMNSEEGSQSPRILGFAEDNDVVLLWTFIGVFKVQFETLQFKKLLESYPFYRWFHYYPFEAVYTADAGIM >SECCE7Rv1G0455460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6422698:6429712:-1 gene:SECCE7Rv1G0455460 transcript:SECCE7Rv1G0455460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGQQDLDANIVRWGLHHLLDGGGGGCVQRPQSPTTDYAPPPPQPQRARALLDGYDMPAPAPAPAAEVRVDAVENDEVIAHALQEELAQVAMAEASGAEGGGEPDRRATVLAQQWFRPEVVSHLPSAPPYVEEAEGISSCSSPEEGSNARDGQGCSIELVDDFSALDGEVGKRLNDMVPVPHVPKTNGDIPSFDEAFSDHRRLLDRLVLYGLVELKVNGDGNCQFRALSDQFYRTPEHHRFVRQQVVNQLQSHPEIYAGYVPMDYREYLKKMPKNGEWGDHVTLQAAADLYGVKIFILTSFRDTCYIEILPVVQKSNRVICLSFWAEVHYNSIYPEGELPVVENRKKRWWHLEF >SECCE4Rv1G0265720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727260751:727264544:1 gene:SECCE4Rv1G0265720 transcript:SECCE4Rv1G0265720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEHTDLLEDILCGLPPRAPAVSRSVCKAWRATVDRRRLLRVDLLPLSLDAVIYNIDKIDAPKLFARRATTRYITSRMDYLDNRPGYAEVTGEIADYCNGLLLVDTDKVVNPATRQWASLPPLPCACFGREMSCGRCYSNRYLVYEPTVSPHYEVFYVPRVPRNVPADVACSTEWPPSLYVMHVFSSVTNCWRERSFRREGDAAGTMADMNSDFNSDGKLYYSAHWQGSLYVPLRHENGDFAMRYYYYTTHNYTRTYHISFGSLFRLGKSKKGVYCVQDIDGRCTFKIWLLSESHAGAIDWVLKNEICFETAWRTYPCTLDSGPWISQSLGQKESLLEEDVNLEVMILNGIPMMKMMLPLTTVDWPKKGSPGAPAHFFFWCLGFHPYKEIALFHDDYRSATFAYYLNSSKVRYLGIMEHQYSDVATSFTYTPCWTMHAKL >SECCEUnv1G0527380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1170843:1171460:1 gene:SECCEUnv1G0527380 transcript:SECCEUnv1G0527380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVPTSIVFSVIVFLLLSNAITTQAGGTGGGKPKATSLVVEACKNASGESQDPNVTKEFCVSTLQSDKRSAEAKDLPSLVLVSIDILKGRVTEAGVKVKKMLQNAKKGTMAMYALSICELQYENVVSTLNICQALIKDHQGDKAILHSLRLPHCVDITGDTSIECRTSLEDVPGVEALQSDNWSLCTLFNLNAALVAPYDVRD >SECCE6Rv1G0442990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:820188965:820189351:1 gene:SECCE6Rv1G0442990 transcript:SECCE6Rv1G0442990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPNLQTIKIRGCWGLRRLPVVGARSRDMKKLTVEIQKDVWDTLEWDEEVAPGHFEAPLHSRYYKKKLSRVSILR >SECCE3Rv1G0207580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:922646433:922648217:-1 gene:SECCE3Rv1G0207580 transcript:SECCE3Rv1G0207580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMAEFECLGSLGPIIAVTNEFLREQTPHNENEYEEQVRRLYDPASYAIPVRPPLSAAASLFALPPNDDVDRVSRLPDALLRDVVSRLPVKDAARTAALSHRWRGVWRSTPLVLHDVDLLPDTSAVSRVLDAHPGPFRCVYLTSTRSQGFRGLLARWLRLLAAKGIQELVLVNARWPLDYVLPASLLGLTTLTRLYLGMWRFPDTAGLPRATCFRNLRDLGLYNVLVERRDLDFILDRSPVLETLCLQGNVLKLRIRLVSQSLRCVQIIGCFIEEIFVLDAPNLERFIYSDAWHPVGNCTTTVKIGHAPKLHLLGYLALDPRKHVLDVGNTIIKAGIGMSPSTMLPGVKILALEVRFAVRNDVKMIPNVLRCFPNVETLHIMSGKDDQSTGKLNLKFWHKSGIIECIRSRIKLLVVRDFKGGRSEMAFLKFFFQTALVLEEVVILLPDDPTDRTYRKVMSLRHIERASEASVLCASQSVPEGFVRSIERASNFSLGDPFANYCPIGLHRLGYHHEE >SECCE2Rv1G0125740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:843002606:843019814:-1 gene:SECCE2Rv1G0125740 transcript:SECCE2Rv1G0125740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLIGPLVGRLQELALSQARALVAVNKDIRRLRDKLMFLQAFLREADAKRHLFSDEITRVWLQQTRDAVFDAEDAVDHYYLQVDMSRYPWWARPSMRYVAIFTTQVSMRHKLSAKVKDINSRLEDIIENKDRYKMELNNTKSELTWKASTSISYAHRNMDDLLPPPVPIHEDYQKKLENVLLKPTQHEKLQSQHHHPVVISVFGKSGVGKTTLVREVYDKIAKKKIFPVHAMESFAPYMTATNIMWQIVQKLTKDDENYTRSDVDKFFKDQLRGRKYLLVIDGEVSSTDWKNILHNLKVGAEGSRIVHITQHKPEEPPVSYHHEIIKLESLGQKTAIELFHKRLLHIEVQDEKLHDHHQEIHNITEGLPLGIVLLSGLVQMKEFPSEWNNVFDYLKSNQSKRLHRILSVCFDDLPHELKCCFLYFAALPANIKIESHRLVCMWMAEGFLRPRAGKTMEKVGYIYLNELIVRNLVKPVHMDEEDTSSAGSIFVTIQNKVHEFLQHQAQEASFVEVHSGDDIPTLTSARRLSLQNSTDKYAVLASSLPKLRSIFSHFEQDSKDPKEHQTYLCCSPQLRKISKWKRNKDIKSHIQGLLHRSEFLRVINLQGIEIGKGLPDEIGNVVHVQYLGITSCSLEEIPRSIGRLTSLQTLDVRETKVRELPSSFWMIKTLRHVFGSFLKFPKKTGRLKHLQTLDSIQLDTLEQDLVGTLGEMIHLEYLRLGYSPESNVVSLANALNKLENLRTLIIKGDNIPSSVFTSYTHRRLKFVVLEGKLDLPSKLDGVFFLPNLITLSLVKTSISQEFIDKLAELPFLATLSLYSTSCKDDHLVFSPGGFRCLKKLVIDVEELKRVEANNALPKLKELDIHSHYGEYYCLFENADHKKNFMVDLKKEIVERKTSIIRRLFG >SECCE5Rv1G0337240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:590082457:590089290:1 gene:SECCE5Rv1G0337240 transcript:SECCE5Rv1G0337240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MVMAQKTKEAEITEQDSLLLTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNTNGEEVAMNMSRTGSKKNSATFKSNAAEVTPDQMRSSACKMIRTLVSLMRTLDQMPEERTIIMKLLYYDDATPEDYEPPFFKGCAENEAVNIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDANDANSDDDKMSVGHESDQDDFTDTEVRPSEVDRYVVAPNDGNGKGQSGTNSEDETQDAAHEEELTAQVRAWICSRDMGTVNASDVLSNYPDISLEMVEDILERLLKDGLLSRAGKDGYAVNKITDPKTPYIKEEVAMHNVSPTEGTKNNSGDLMYMKALYHALPMDYVTIAKLQGKLDGEANQSTVRKLMDKMVQDGYVKNSGNRRLGKAVIHSEVTNRKLLEIKKILEVDITEDMAIDTDARPAEFDRRDHQMADQEMKDGSTNGRFQSVGSDLTRTRELPEQQQNNKDPSRTPTSNREPATSLESGVLGQRIRKPLAGEESMCTLDKRARKTSMVKEPILQQVKRQKA >SECCE1Rv1G0056290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:686163037:686172857:1 gene:SECCE1Rv1G0056290 transcript:SECCE1Rv1G0056290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCSHCDDDCPYVKDPDNGFTCCGMCGKVIDQDMYTDEPTFVKDSSGQSRLSGHIIGIAKGGSLSRERTEEKGRDEIWQIVHGLHVSGGDDIICTAHNFYKLALDNNFTRGRRTTHVAASCLYIACRRSEKPYLLIDFSDYLHISVYVLGAVFLQLCQVLLLGEHPIVQKLVDPSLFIHRFTERLLGKRDNAVSDTALRIVASMKRDWMQTGRKPSGLCGAALYIAALSHGYMYTKADIAAVVHVCEATLFKRLIEFENTGSGSLTIEDFLAKADEEPVSKCLAKSGEVLCEHKDKGAEHFSHGLCEECYDNFTELSGGLEGGADPPAFQRAEKQRLDAAKRAKEAAVDEAICESHNSDFEDNIMIPGKKSGGKSSTITSSQIANDFVGFKDSEVEGENGKEGSDAENLSDIDDAEVDGYLHNEEETQNKKIIWEEMNKEYLEEQAAKEALAAELAARGVSVGEGRQKKRKRNEDKNCTPAETPAEATYNMLKRKGLGSKVNVEAVSGLYKVEDEDGKANGKDDMHFAEHYEEDTGYGETFDASYDYGHDADYNNDGYVDDGGGGAYEDYHDADY >SECCE4Rv1G0232380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:197335029:197335550:-1 gene:SECCE4Rv1G0232380 transcript:SECCE4Rv1G0232380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWFNMSSSTYRSPVLIGLLVVMSVALVLLLHHCVLVSCCNGERIRRRSRRRGATARQQEEQPEESVGELSSSSRVQLVAKPVVCRYRKDEEWSEPTCPVCLADLADGEVVRVLPECLHYFHADCIDTWLRAGNTSCPMCRAETTPTPSPSPAGSLHHQLSLDISLEDILIRT >SECCE5Rv1G0368040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826189041:826194457:-1 gene:SECCE5Rv1G0368040 transcript:SECCE5Rv1G0368040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCECLVVEKVSEDHAGGGGGEAAGSGGSCAGERRGGRAPRSEAMFPIYVMGSSRARAVLLDPSAAGDPIWEAVKAEARSEAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNDVDIRRSIRLDAQAFKGRDPSCAQYSWALLYLKGYHSLQSYRIAHVLWNQGRKVLALALQSRISEVFAVDIHPAAKIGEGILLDHGTGLVIGETAVVGNWVSLMQGVTLGGTGKEHGDRHPKIGQGALLGAGATILGNITVGEGAMIAAGSLVLKHVPPHSMAVGNPAKVVGYTEKEDPSLTMKHDARRDYFEHVAGSFPDGRSNGNVVK >SECCE2Rv1G0111730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:703120573:703120839:1 gene:SECCE2Rv1G0111730 transcript:SECCE2Rv1G0111730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDAGGALQLLAVLLLLLASELATFSCGHRVPRADVAAWKRGATPTGRTASTTTTKVTRGGGAAAAAAAALGDSKRLVPQGPNPLHN >SECCE3Rv1G0184050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:658932793:658933197:1 gene:SECCE3Rv1G0184050 transcript:SECCE3Rv1G0184050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSKNPDDPGQLPLPCASGCGFFGSPETGGLCSKCFRDSLRRAEAQAQLQAAAATSKEAEEEGASRAKPKGRCASCGRKVGLMGFECRCGGVFCGEHRYSDRHDCCYDYRGAGRDAISQANPVVRADKVDKF >SECCE7Rv1G0515460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839648879:839651087:-1 gene:SECCE7Rv1G0515460 transcript:SECCE7Rv1G0515460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKPGAPVHEPLLAAARPGKDAAADGDDGARQGLAAAEVKRLVRLGGPIIASCILQNVVNMVSVMVVGHLGELPLAGASLATSLANVTGYSLLTGMATAMDTLCGQAYGARMYHRLGVYKQRAMVVLSLACVPIVLIWANTARILVFLGQDPAISAVAGEYARWMIPSLVVYVPLQCHIRFLQSQSIVLPVTASSGATALCHPLVCWLLVYKAGLGSKGAALSNAVSYGVNLVILSLYVRLSSTCKNTWSGFSREAFRELRQFTALAMPSAMMICLEWWSFEILVLLSGLLPNPQLETSVLSICLNTGALLYMVPLGLSSSISTRVSNELGAGHPEAAKLATRVVMYMALSVGLVLALTMVLLRNVWGYLYSDEQEIVAYIARMLPILGISYLIDGLHSSLSGVLTGSGKQNIGAAVNLGAFYLLGIPLAAMLAFVFHLNGMGLWLGIVSGSFTKLVLLTFIAWCIDWEKEALKAQDRFLGSAHLLPVA >SECCE4Rv1G0215070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:3122462:3125324:-1 gene:SECCE4Rv1G0215070 transcript:SECCE4Rv1G0215070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRAQLNALLSNMFATGMVDEQFQQLQSLQEEGGSASGFVAEVATLFIDDADRIIADIAALLDQPVVDFDKVDAHVHQLKGSSSSVGAQKVKLACMHFRQFYEAKSKEGCLMALALVRSEFCDVRNKFQTMMQLEQQIEACSPK >SECCE6Rv1G0412960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:619189258:619190764:-1 gene:SECCE6Rv1G0412960 transcript:SECCE6Rv1G0412960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSKGFFGRCAMAAEGAGVGGGVWGGNGHGNGGGMELDGNNAHCGAAIPTTEAPEGSSSLPALPPPGHGGDPGGADDGAEGAEAASGAAGRRKRRRARSVKNIEEAESQRMTHIAVERNRRKQMNEYLGVLRSLMPASYVHRGDQASIIGGAINYVKELEQLVQSLEAHRHTRLRDDCPISGDVSAAVVPFADFFTFPQYTMSVRHAAEPPAATAEAADVLADDGGGNAADDDTTSGSKQSAVADIEVTIVESHASLKVLSRRRPRQLLRIVAGLQGHRLAVLHLNATSAGHMALYCLNLKVEDDCRLSSVDDIAAAVHCIVETIEREEEERQRQSCGS >SECCE3Rv1G0192600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:761020671:761025495:1 gene:SECCE3Rv1G0192600 transcript:SECCE3Rv1G0192600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAIESAVSGGALIGRSAGVPRMKRKTPSELRGEQYKRHTSEKIADDQLFASAPFDRPSNGLRNMEQQKIPKYINTRVTEVFPVKKSRNVGKENCKDALLNNEKVPKFADTVTTSHSVSSFLRSDSVKSDSSVPSLVEAAKPSFRKAEKYSENALRSVSELHIGDDKQPASNKFDMEKVMKGFGARDASGASNAPNVQVGDLPLKSSEVCPSKIKVPGKRAPLDLTLKTTLQFVSSSSVKWCHNLSIAGPITQSYRGGSQNSRCSRPGSNKEFLFSRALQSWVYPQSLLPASIISAMLSSNARGENEFLLKRYQDWEDSFQNLYYMLRKNQLNIFYVYTAQFVALFIRGNCLEKQSCNAYLSQSTRGIRSLLRKHGVRFSMPLCNAEVEQVTDDDLMEFSKIQTLNLGQTLHIDALSEVDNTTESLLSFTGNKSVHGLYDVLLNYKSFLNSLSATDVPVLYSPVPFQNGCLHIPEVICREMRKADTGLASSTGLDEEPGSAFAPPPGNMCYSMEIKDVVIPPWVASGICAAMSSDTDHFDLTIGTEPSSMGLNAAFTSIGVSGQSKAPSESSPEGCEAIGIPSSVLVRSLHSASLRRLSYNHGEYLAHTTV >SECCEUnv1G0563670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:376292975:376294954:1 gene:SECCEUnv1G0563670 transcript:SECCEUnv1G0563670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYFVVIDDVWDVDTWDVIKHAFPITNPGSILITTTRINDVAESCRSTFNGDIYRIRPLNLVHSRELFHRRLLYSNEDLPSHLEKVSDEILKKCDGLPLAIIAICGLLANKGRREDLWNQVKDSIGRALERNPGIERMMEILSLSYFDLPHHLKTCLLYLSIFPEDSIIEKGCLIRRWVAEGFIHAEGRYTIYEIGEMCFNELLNRSLIQHVKSNKYGKVKSCRIHDTILDFIISKSVEENFVTLAGVPNITVGTKGRIRRLSFQFDNQGISTPPKGLVLSHVRSLTVFRNSLEIPSLCEYRCLRVLHFKGCEHLKNHRLADIGRLFQLRYLNLVMTKISELPEQIGHVRSLEMLDLRGTRVRELPSSIVNLEKLVHLFIDEFVQFPDGVEKMKALEILKRVSIFKQPFNFPQKLASLHNLKKLVLDFEGDSATGDTMGVEEDCKKATPSSLRNLGTQNLHSLTIWKGSNFLRQEPLCPMPFMIQKLITYRSYIPHVPKWMSCLVNLQQLRLEVKAVGHDDLCILGALPNLLILDIYGITKFEDRSLIISGEMGFQCLTKFHYFIPNEGMDPLFAAGSMPSLQILVITFDAGKTLSLTTSGSVFDIGIRNLPRLVTLKCKAFGWRTRGAIEAAEAALESAARTHPNHPIVLFNDAWSM >SECCE2Rv1G0122340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:815305055:815311659:-1 gene:SECCE2Rv1G0122340 transcript:SECCE2Rv1G0122340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSHSRTRSSVLREPLRQGALRALLAPWAPPPVHPQGRAAEHACTPPSTEFSSPGRHRPPPLDWTGLGSRTFSSGVGMSLTQYGSTNHTLTSHRRSYWTLCPQHHSRGPPARIATKEAQHSVNDPFEVQRRTFGSAAEPIQRNPDFSVLNSDDVSYFKSILGDNGVVQDKDRVAVANVDWMGKYKGASQLLLLPKSTNEVSKVLAYCDSRRLAVVPQGGNTGLVGGSVPVHDEVIVNLGGMDKIIAFDKVNGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCQIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLANGTVLDMLTTLRKDNTGYDLKHLFVGSEGSLGIVTKVSILTPAKLPSTNVAFLSCNDYMSCQKLLLAARRSLGEIISAFEFMDRQCIDLAMTHLEGVHNPLPLSPYKFYVLIETTGSDESYDKAKLEAFLLRSMEDGLIADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRSRVGDIAEVLGYGHLGDGNLHLNILSSKYSDNILAQIEPFVYEWTAGNRGSISAEHGLGLMKAEKIHYSKSPEAVQLMASIKKLMDPNSILNPYKVLPQSALSPEQGS >SECCE1Rv1G0033540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:468462892:468464166:1 gene:SECCE1Rv1G0033540 transcript:SECCE1Rv1G0033540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAQTSRKRVADKQINKDHPEPDDDSSEQETGTFKKASEEVMATRKIVKVRRQQPSAAPSSNPFSAIRFTPSDSIAQASITVSEPPPSDVSNGKSSVLTEAPSQLVESDGKAEVTVDGTGEDKVAVEEPKEDNSKTSEIEGKTNDGDAEEKKAVDEAGGEDKVSKDDDEKNDEAESGTKDGSSEQKDADNKGQSSSSTPLFSFTNLSSGQNAFTGLAGTGFSGSSFSFGSGSKDSSNAPLFGLKSDGSSFPSFNIGAPNNGSSVPSLATAADAPKKFAMSEGPVETGEENEEAVFTADSAIYEYLNGGWKERGKGELKLNIPVSGGERSRLVMRAKGNYRLILNASLYEDMTLKDMDKKGVTFACINSIGESPSGLTTFALKFKDTGIREDFKAAVETHKAKKASDTPKTTGGSPKASDV >SECCE2Rv1G0117930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:777965406:777968792:-1 gene:SECCE2Rv1G0117930 transcript:SECCE2Rv1G0117930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Control of lateral leaf growth, panicle numbe [Source: Projected from Oryza sativa (Os04g0615000)] MFSDLFVLIFRAIASPVHYICQLFGTMKPSDDRMQFSGLTQSEESSLDVEGHCSHHEAFPCSPSMQPVASGCVHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPILPGKLPKGQQANSLLDLMTIRAFHSKILKRFSLGTAVGFRITKGVLTETPAILVFVARKVHKKWLNPNQCLPAILAGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVNKLCGSDEYIGSGSQVASQDTFGTLGAIVRRRTNNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTIVREVGEIGDVKIIDLQCPIKSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFFTDLLVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRIKLTSDYGPENWTTGVDLGRLLDRLELDLIINDESLKDAVQEQRKAFVAAINSAIGESSAVAVTAPEATPAEKVEEIFEPLGIQIQQLPRHDMTSATTEGEGAANTPSDMEERQFISNFVGMSPVRRDHDARRSIANLNNPSEEELAMSLHLGDREPKRLRLNTESNLDLEKQPHPDPEPSLDLEERPRADPEMMSLDLEKQPRPDPEPSLDLEKRLPADPEPSIDLEK >SECCEUnv1G0531860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17917340:17918316:1 gene:SECCEUnv1G0531860 transcript:SECCEUnv1G0531860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARVVALVLLAVGSGLLFVAADTTTAPSPQPFVWQKAHATFYGGADASDTMGGACGYGNLFSEGYGIRTAALSTVLFNDGATCGQCYKIACDRKRADPLFCKPGVTVTVTATNFCPPNDALPNDNGGWCNTPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCVKRGGVRFKINGHDYFNLVLVSNVAAAGSIMSMDVKTNDSEDWMPMARNWGANWHSLAKLTGKMLSFRLTNTDGHTLVFNDIVPKGWTFGQSFVSKLQF >SECCE7Rv1G0471220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:128732781:128734721:1 gene:SECCE7Rv1G0471220 transcript:SECCE7Rv1G0471220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFTARRARAPRLSDPVDPGPDPLADAPLDPHGLAARRRRRGCRARRQLDAAGDVRQHLHTGPPPPRRRGSYTDRILSYIDNSNIGDSAATRNRLDRLMFRTNERLPGAVLQAQARVLERLRGVSIGSSTSRPSITLDEFSATDVFRIIDFGSREAPYEANWPSSSSVQPSSGSDEENLENTSIGSTTSNKSPGLSKSAFLRLQIEIFEAKKDDNRETSPECSICLDGFYDGDELIRLRCGHRFHSTCLEPWVRKCADCPYCRTNIRSRPR >SECCEUnv1G0552400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:249566799:249567750:-1 gene:SECCEUnv1G0552400 transcript:SECCEUnv1G0552400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFATLEHILTHISFSTISIVITIHLITLLIRELGGLRDSSEKGMIVTFFSITGFLVSRWTSSGHFPLSNLYESLIFLSWALYILHTIPKIQNSKNDLSTITTPSTILTQGFATSGLLTEMHQSTILVPALQSQWLMMHVSMMLLSYATLLCGSLLSAAILIIRFRNNFHFFSKKKKNVLNKTFCFSDIKYFYAKRSALKRTSVPSFPNYYKYQLTERLDSWSYRVISLGFTLLTVETWAFITWTIFAIYLHSRTNPNWKGTNSALIASIGFLIIWICYFGINLLGIGLHSYGSFTLTPK >SECCE6Rv1G0395480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:329351257:329356287:-1 gene:SECCE6Rv1G0395480 transcript:SECCE6Rv1G0395480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGYSLKKKKNRIPTFPPDPHHFSSLLSSSLAPMACSRLVHLFLLILFVPSLRAAPNTTAVVSRIAFGSCANQSAPQPVWEAIMGFDPQVFIWLGDNVYGDNKRPFRVFGRDRTVGPWRNVPRFYPATEEELRGKYELAKANPGYAKLRERARVIGTWDDHDYGVNDAGKEYSGKMFSQRLLLDFLDEDEDSPRRKQAGVYASYMFGPEGKRVKVIMFDTRYHRDPLLSDGTILGEPQWQWLERELRGPQSEMTIIGSSIQVVSNLSATTGPLFYVESWARFPRERERLFRLIDTSKRNGVLFISGDVHFGEIARFDCGAQYPLYDITSSGLTQSVENSVPAVFQPVMRLLAWLTPTPMRVFSPNCRHKSCSYGQPNFGAIEIDWNAVTPRVKIELRDLQGNSVDGVEFPISELKPSNAHANKKGGHSFEAHCSLETELPWLVRYRLALLFFGTIAVFIVALVLFGIACCSATKMFTRKCKMA >SECCE2Rv1G0110090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:686915139:686915737:-1 gene:SECCE2Rv1G0110090 transcript:SECCE2Rv1G0110090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENAASTNSITVNVRVYMHCDACERSVRRTIKKIDGVETVEVDRDENKVTVTGDFKAEKVLRKLKKKTGKKAEILLPDPEEENQEEEKQEPEDDAYAPYGHRAPDMDAVLGNEFQRPPRWDLHYFDDENTEACRIM >SECCE4Rv1G0259650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:689071895:689074876:1 gene:SECCE4Rv1G0259650 transcript:SECCE4Rv1G0259650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G20250) UniProtKB/TrEMBL;Acc:F4K470] MARAALISSSRSAGAAASPPSSSPSPRARIYTRASSSSSRPPLLAPPSRPSPLRLLPNPQSGPHRCSFRTLAAATGSLSGRRSAREEKEKEEMTIESSVRLAGGELSVRGRTVLSGVPDAVSASPAAARGPVDGVFLGADFPGLASRHVVSLGAMRGMRFMACFRFKMWWMAQRMGDKGGDVPHETQFLLVESRAPGAGEEEVSYVVFLPLVEGAFRASLQGGGAGGDELQLCVESGDAGTLASSFDRALFVGAADSDPFAAISGAVAAVRSCLGTFRPRAEKRLPAIVDYFGWCTWDAFYQDVTQEGVEAGLQSLVAGGAPPKFVIIDDGWQSVGTDKQSPDMDSVGEVGNGKSPPLPRLTGIKENSKFQSGEDPATATGIETLVRAAKEKYGLKYVYVWHAITGYWGGVRPGAAGMEAYRSSMQFPKISPGVAENEPNMKTDVLTLQGLGLVHPQAVHRFYDELHAYLAAAGVDGVKVDVQCVLETLGAGHGGRVQLTKEYHRALDASVAKNFPDNGIIACMSHNTDALYCSKQTAVIRASDDFFPREAVSHTIHIAAVAYNSVFLGEFMLPDWDMFHSLHPAGDYHGSARAISGGPVYVSDAPGKHDFELLRKMVLPDGTVLRARLPGRPTKDCLFADPARDGATLLKIWNMNRFTGVLGVYNCQGAAWSSAEKKNVFHQEAGAGALTCGVRSRDVHLIAEAATDGGAGWSGDCAVYRHGAGDLVVLPDGAALPVSLKVLEHDVLTVSPIKDLVAGFRFAPVGLVDMFNGGAAVEGLSYSLLADGEVSSGEAVGLVRMEVRGRGRFGAYSSVRPRSCTLGSAPLEFSYDASSGMVILELESMPLPEERVHKIAIEL >SECCE5Rv1G0367820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:824527591:824532464:1 gene:SECCE5Rv1G0367820 transcript:SECCE5Rv1G0367820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCRSSLRAVTHPHPDKPPGPGAGAAPMESRPSFSAGAHRAAPPAPAVAAAGSSSEAGFAEFSLAELRAATGGFAAENIVSESGDKAPNFVYRGRLEQQGQGQGRRGAIAVKKFSKMAWPDPKQFAEEARGVGKLRHRRLANLIGYCCDGDERLLVAEFMPNDTLAKHVFHWENQTIEWAMRLRVAYHIAEALDYCSNEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRTGRVTPESVIFSFGTVLLDLLSGKRIPPSHALDMMRGNNIQMLMDSHLEGNYSTEEATALVDLASQCLQYEARDRPNTKKLVTILEPLQKKLEVPSYEMLGIPKHEEEVPPPPPPAPQPPQHPLSPMAEACSRMDLTAIQQILVSTHYRDDEGSNELSFQEWTQQMRDMLDARKRGDLAFRDKDFKTAIECYTQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLDMQSDAKDMLSEASQLEEKKQKNSR >SECCE1Rv1G0031140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:439153777:439155032:1 gene:SECCE1Rv1G0031140 transcript:SECCE1Rv1G0031140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAALAALLLAVAVGGAAAQSVGSVITQSMYASMLPNRDNSLCPARGFYTYDAFIAAANTFPGFGTTGSTDDVKREVAAFFGQTSHETTGGTRGAADQFQWGYCFKEEINKATSPPYYGRGPIQLTGRSNYDLAGRAIGKDLVSNPDLVSTDAVVSFRTAMWFWMTAQGNKPSSHDVALRRWTPTAADNAAGRVPGYGVITNIINGGLECGMGRNDANVDRIGYYTRYCGMLGTATGGNLDCYTQRNFAS >SECCE5Rv1G0307670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:117918013:117918963:1 gene:SECCE5Rv1G0307670 transcript:SECCE5Rv1G0307670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSKKLARVDTAEIKSQLVRKLGHQRSELYFHSLKKFLSFQLGKTEFDKICVATLGKENIKLHNFLVQSILGNAYMSLGPPPSRQTPTGNSQTSAVTNGTLASGVPLARRVRPVANRDKRFADKPSPLGKSPLGHPGAAEFVSVEDGEEVDQARGSPVCVQSQSPIRAPLGIPPKAQNSQPSISYPSEICYNNGELPGSEDLSKLLENKLKAEGLSMSIECADLLNSGLNVYISQMLKSCLGVAKARGKTMSMPEADRSASAAINGVRNNATASDLGCSYQASLVDLCTAVQSNARLLGCDYARQYEKIASHLDS >SECCE5Rv1G0321710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:408896433:408899928:-1 gene:SECCE5Rv1G0321710 transcript:SECCE5Rv1G0321710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILGEQRKTLFLLCFIAVCGVVAKENGKEGSSNGGKHHRAISKTIQTEDGDIFDCIDIKSQPAFDHPLLRNHSIQMEPSSHPIGLDGNSMLPDTTLESSTHLVSCPLGTIPIWRSEQHYPIVDIKELTRKIYARNITQNNKNNTASLAGLSRGQDIYGTTVSINVYDPEVYGTQDKSGGLTTIISGYSFDREHTNAVGVGWFVWQSNGGDKAARFHIFYDNGQKQCFDLKCPGFIHTSPNIPLGGKLSPISKYDGPQAHMNTFVYQDTNSNWWVKFGKESTVVGYWPGELFGYLKSKGTVGYWGGLVEGPTIKYKPPPMGSGHPASEGDSKAAYVKNIKIVTRDHQLVTPKSHEFGVVVENPKCYSVAHKSDQDGGVHANWGGSGNCSL >SECCE3Rv1G0186510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:686203469:686210020:-1 gene:SECCE3Rv1G0186510 transcript:SECCE3Rv1G0186510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MKPKAAAAAAGEKGRGVDPSLPRFKCQECHRALVVVGVESFPDRLPAHANSGMHASSVQGSIMGASRMDSSYVVLSKQNRSQGPGIPPRPPSAAARHVEPNQSTRAIEGSYIMLPPAAASIYKTSTSEGGGAHLSPTNVNSTSPSPGNNSGFHSSVTVLKRAFEIASSQTQVEQPLCLECMRVLSDKMDKEIEDVNTDIKAYDACLQRLEQESYNVLSETDFLKEKEKIEEEEKKLKAAIEEAEKQYSEVSSEMKDLETKSKQFEELEERYWHEFNSFQFQLTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFPKFQYRIKIHPMGSYPRVTDINNNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFSEFAISLDKENNVPSDKSLKLPYKIDGDKVGSYTIFLSFNKLENWTKALKYMLCNLKWVLYWFIGNTSFAPPSASLYLAQSPNKKG >SECCE2Rv1G0081240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:137428589:137430547:1 gene:SECCE2Rv1G0081240 transcript:SECCE2Rv1G0081240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g23330 [Source:Projected from Arabidopsis thaliana (AT3G23330) UniProtKB/Swiss-Prot;Acc:Q9LW63] MQPGPLAAVAVAAASAPFPPSWAHQVRAAATQGDFHDAIALFLRMRAADAPAAPRSAVPASLPAALKCCSALGLPALGASLHALALRSGAFADRFTANALLNLYCKLPAALCRSPRTDDAAGESPAAALESMRKVFDEMPEKDPVSWNTVVFGYAEKGMHQEALGLLREMWIDGCKPNSFTLSSVLPIFAKCSDVRRGMEVHAFATRNGFVDDLFVGSSLIDMYANCTRTDYSVKVFDNLPCRDPILWNSMLAGCAQNGSVLEALRIFRRMLHSGVRPLPRTFSSLIPACGNLASLLLGKQLHAYVIFGGFDGNMFISSSLIDMYCKCGNVSIARHIFDRMQSPDTVSWTAMIMGHALHGPAKEALLLFDRMELGNVKPNHITFLAVLTACSHAGLVDEGRKYFNRMSDHYGIVPSLEHYGALADIFGRAGELEEAYNFISKMQMKTTASVWSTLLRACKVHKNTVLAEKVAKKIFELEPRSMGSHVILSNTYSCSGRWNEAAHLRRSMRKKGMKKEPACSWIELKNKRHVFVAHDKSHPWYERIIGALNVFSEQMARQGYVPNTEDVFQDLEEEQKSGVLCGHSEKLAMVFGILNTPPGTTVRVMKNLRICVDCHTVTKFISKIAEREIVMRDANRFHHFKDGNCSCGDFW >SECCE3Rv1G0200600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:854976515:854977498:-1 gene:SECCE3Rv1G0200600 transcript:SECCE3Rv1G0200600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLSLCLVALSTLLAIWFLRLSGRNSKRATATKQLPPGPWTLPIIGSLHHVVSLLPHRQITELSRQHGPLMHLMLGELPTVIVSSAEVAALAMKTNDLAFASRPHTVTTEIFGCGGKDIGFAPYGDHWRQMRKICIVELLSSKQMKRMEGIRAEEVGNLLRSISAAASTGATINVSEKMSALSNDVVTRAVFGGKFAQQSEYLRELSNALALLSSFCLVDVFPSSRLVRWLTKGERRMKTSCASMHRIIADILDERKATRAAAGYCSKDDEDLLDVLLRLQEEDSLAFPLTTEIIAAVLFVSIFFKLLGRKLFFYYKYRRRHTRT >SECCE3Rv1G0185250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:673279658:673280740:-1 gene:SECCE3Rv1G0185250 transcript:SECCE3Rv1G0185250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMALDGVTHRTLEVNGIKIHVAEIGDGAAGTVLLLHGFLELWCSWHHQLRSLSERGYRCLAPDLRGYGDSAAPVSPSSYTAFHIVGDLVGLLDALSLPQVFVVGQGWGALLAWHLCTFRPERVRALVNMSVAFMPRNPAVKPLELFRRLYGDGYYLLRLQEPGVMEAEFAQMDTKFIFKKLLTTRDTGAISMSKEWWGPTDEDIPLPPWVSEDYVGHLAAKFDETGFAGAMNFYRCLDLNWELTAPWTAAKVTVPTKFMAGESAMSYNYTGVQEYIHKGGLKGDVPGLEEVAVIAGGAHYIHLEKAEEVSEHIYEFFKKF >SECCE7Rv1G0473060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:153582745:153583272:1 gene:SECCE7Rv1G0473060 transcript:SECCE7Rv1G0473060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHFYECYFDLSGPILYPVLGSITPLFIPNSSVRPIRLIGLCVSLINFLYPHVPRIQFEPSMAKSQFVESLRWLPYENIRLYMGIDGLSLFFVILTTFLIPICILVGWCGMRSFGKEYITSFIIREFLMIAVSCMLDPLLFYVLSESVPIPMLCGAEHLLFARIKLFLCMGLVQ >SECCE5Rv1G0327750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:496173971:496177868:1 gene:SECCE5Rv1G0327750 transcript:SECCE5Rv1G0327750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHLCGPKVASAAMAKPALADGLRVPASSSARPVPTGRRLRGLVARAATVVSPKYTSVKPLGDRVLVKTKTTEDKTAGGILLPTTAQSRPQAGEVVAVGEGRSLGSNIVEISVPVGAKVIYSKYAGTELEFNDTTHLIMKEDDIIGILDTDDVKDLKPLSDRILIKVAESEEETAGGLLLTQASKEKPSVGTVVAVGPGGLGDDGSRTPLSITPGSSVLYSKYAGSEFKGSEGEYIVLRSSDVIAVLSS >SECCE3Rv1G0214060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963849361:963855376:-1 gene:SECCE3Rv1G0214060 transcript:SECCE3Rv1G0214060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPGPLSPRHLLPLLLLLLLLYHPAAAATTDADALLALKSSLDASNRLPWHPSTATALCTTWPGVRQCDPAGRVTKLVLENLNLTGPLTAALLAPLSQLRVLSLKANALSGPVPDGLAAALPNLKLLYLASNALSGPLPASLARLHRATVLVLSSNRLSGRIPRELARVPRLTSLLLDGNVLTGPVPALPQTTLRALDVSGNRLSGQIPGVLAKRFNASAFQGNAGLCGAPLAVPCVAAVPGPMSLSPATAAFAPLPPPGGSGGSSGRRRKAAIIAGSTVAGAVVLAFLVAAAVMVSRRGRGRDKRVAGDVDKGGGLGTPEEEDAQQHHQQHRSANAALPPTTNAAAAAAGGGREFSWEREGIGRLVFCGGAASAYSLEELLRASAETLGRGEAGSTYKAVMETGFIVTVKRMRCGDAGVGGGALEFGRRAEELGRVRHPNVVALRAYFQAKEERLLVYDYYPNGSLFSLVHGSRPSSKGKPLHWTSCMKIAEDIAAGLLHLHAAAIVHGNLKPSNVLLGADFESCLTDYGLVPALHAAGADASSASLLYRAPETRSSSSSFTAASDVYSFGVLLLELLTGRAPFPDLLLDQRGGADEVTAWVRAAREEEMSTESGGESAASGAAGPAEEKLGALVGVAAACVAADPGARPATAEALRMVREARAEAMSSSNSSDRSPARWSDAVIVGAAPGPPTD >SECCE2Rv1G0125260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:839913393:839915144:1 gene:SECCE2Rv1G0125260 transcript:SECCE2Rv1G0125260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMAYRLLFGFLLPLLLQSSLVLCNPSELKVGFYQYTCPYVEVIVRDEMARIISHVPSLAAPLLRMHFHDCFVNGCDGSILLDSIPGLPSEKESEPNLSLRGFGTIDLVKAKLEQACPGVVSCADILALVARDVVLLTHGPYWNVPTGRRDGLRSVKDDALNNLPPPFSDATLNLNQFFIPKGLDAKDQVVLLGGHTLGTSHCSSFSDRLYNFSGTLMADPALDRWYVPRLKSKCRPGDTTTLVEMDPGSFRTFDASYYRHVARGRALFTSDETLMLDTFTRDYVRRQAAVAAAGGYPAEFFADFAASMVKMGNMQVLTGAQGEVRRRCGAVNQMGM >SECCE2Rv1G0088700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:247495377:247499539:1 gene:SECCE2Rv1G0088700 transcript:SECCE2Rv1G0088700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATSTALALALLIFLLCRHVHVDAATTLSQGQSLAGKANETLVSANGVFSLSFFSPRGGDGSRVYLGVMYTKAAEQTVPWVANRDAPVSAAASSYSATVTDSGDLRVIEGERVVWHTDTKSSVGNFTLTIQDTGNLVLAGGSGAQAVQLWQSFDYPADTFLPGMNITLARRDGVVVRQTLFRSWRSPADPAPGNFTLGQDPLGSAQLYIWRRGEDGKNTTHWRSGQWAKTTFVGIPWRPLNLYGFQLNGDPSQSNGVSYTFHTFNSSQYRFVLQPNGTETCYQLVDATGAWEVVWSQPTMPCQSYNTCGPNAECSAADHCACLQGFEPKSEAEYSDGVWAQGCVRSSQLTCSERNMSMSGGDAFAVLAGVKLPDLAAWESAVSSADACRQWCLANCTCNAYSYSGGTGCLTWARELVDVYQFPGGHFPNGQGFDLHIKVPASLLDSGSKRRTRVIVSVVIVLAVVLAACAFLLWKCRRRIREKLGFGGGKKRTGAALMLRPATMKAKHDFSGPKQPDQEVAENGDGCELPMFTLEILAAATGGFSEANKLGEGGFGLVYKGSLPGGEEVAVKRLSRSSGQGCEEFKNEVILISKLQHRNLVRILGCCIHGHEKMLVYEYMPNKSLDAFLFDPARRALLDWKTRLHIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDQDMNPKISDFGMARIFGGDKNQENTNRVVGTLGYMSPEYAMEGLFSVRSDVYSFGILILEIITGQKNSSFHHMEGSLNIVGYAWQMWNSDKGEQLIDPLIRASTSASASREALRCVHMALLCVQDHAGDRPDIPYVVLALGSDSSVLPMPRPPTFTLQCTSSDRDRFRGKADESYSACDLTVTMVQGR >SECCE1Rv1G0011630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:80291170:80292394:-1 gene:SECCE1Rv1G0011630 transcript:SECCE1Rv1G0011630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDKEYYKACMGMEALPMSPAGLSAVTTEAVATASEDEGDLKRGPWTAEEDMLLVDYISKHGEGRWNSLARCAGLRRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILDLHSRWGNRWSKIAQRLPGRTDNEVKNYWRTRVQKHAKQLHCDVNSDRFRDVVRQVWMPRLLERIQAESSSSAAAAAAGVGVPALTRAMSSPAGASQYHYVDHASSGEPSRPVAVTMSPDTSSTLRSSLSPADASHGTHFPAWGAATATANVDGSMMQCSSGEGGAIGADHYVIHGDGLSGSWSELLAATEIPDFEFGNFDDNLWSLEDIYC >SECCE4Rv1G0255870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:651825531:651826745:-1 gene:SECCE4Rv1G0255870 transcript:SECCE4Rv1G0255870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELDEEIYMEQPDGFVVPGQEGKVSKLLKSLYGLKQAPKQWHEKFERTLTSEGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLDLIKEVKDFLSRCFEMKDLGVADVILNIKLLRDDNGGITLLQSHYVEKIKATSGYLFTLGGGAVSWKSCKQTILTRSTMEAELAALDTATVEAEWLRELLMDLPVVEKPIPAILMNCDNQTVIIKVNSSKDNMKSSRHVKRRLKSVRKLKNSGVITVDYIQTTMNLADPFTKGLSRNVIDNASREMGLRPMV >SECCE4Rv1G0281800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826903795:826909242:1 gene:SECCE4Rv1G0281800 transcript:SECCE4Rv1G0281800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNVEITRKAVLRPSPDSAWGGAQMVPITVFDRASTDGYIPTVFAWSTPAPTNGTLKDGLLATVARFPHLVGRFAVDDHGRKCLHLNNAGVLVIEATVATALAHDASAHIAELYPKAEKAVFQVQLTRYTCGGLVIGMASHHQVADGQSMSGFSTSWSTAVRTNSAVLPSPFLDRGATDNPRSPPLPVFDHGSIEFKGEHSSSRSYRVLPLGRIKKLAVHFPGEFVAELKARVGAPCSTFQCLLAHAWKKVTAARDLAPDDFTQVRVAVNCRGRAKPPVPMDFFGNMVLWAFPRMRVRDLLSSSYPAVVGVIRDAVACVDDEYIQSFVDFGEAQRDVKLASTAATLGMAFYFCPDLEVDSWLGFGFHNLDFGGGPPCAFLPPDLRIDGVMILVPSCAAKGGAHLFVALDNEHVEAFKQICYSIE >SECCE5Rv1G0308020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:123564451:123565533:1 gene:SECCE5Rv1G0308020 transcript:SECCE5Rv1G0308020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRAGSSGSGSGDEFGRAVARAAVAQALEAAGFDCTHRSAVDALVDVLLRYLTHLGRAAAFHANLAGRALANEYDIIQSLEEIGTDFDGFVGAGTSGRCLVGSGVVKDLMAFVDSKDEVPFTRPLPKFPIPRALQPTPSFAVAERETGMRHVPEWLPAFPDPHTYVRTEVWSEQAAKDRVDMVEQVRQRRKAEKSLLSLQQRLALAGADGFRPAVSEDSVAKGKEIQPAGSKRNPFLEPALPHGDKEVSEVDIPSERKKLSVLDAFAPAIQGANAMELDSGTGWDQNQNQKSIVPKVRAPVRLKIGVDKKPLAVALNSNSMDLREDPSFLKEEQKDDRKRRAGMILRASMDNPQELPQL >SECCE7Rv1G0522700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878987032:878987337:-1 gene:SECCE7Rv1G0522700 transcript:SECCE7Rv1G0522700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVMELQSAAGGSPTGRSVGGCKALVYLPVNEPMRALERRLGSLGWERYHENGEIIQLHRREGGVDLIALPCDFAQFRSTHMYDIVVKNRRHFKVVDL >SECCE6Rv1G0444900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:832358827:832361257:-1 gene:SECCE6Rv1G0444900 transcript:SECCE6Rv1G0444900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISALPSPSSSQPLLLSRPPTPSALRLPHRHILLPVRSRPHRAFTPTPTPTSTSTRCCSSSSASYNGWADLPAADGPLGLPFLRITQSLAAAPYGPPLLLLLLPVAALSLSRLPPAPLLAAVFAAGFATARHLAPAPSGQRLAALLADLDARLLSLKDRLLANDDGDRARPVLESLDRARDALLECAAGPAQGDAALGEVAREVAGYVGGWAKAALRELGFASPRKKPAKEVAAADAAAAAAADAKSNSGSGVAHAQQGGGEHMPADSAAVASARPLDMLPFDGEDAANGLEDAGFSSESGQEDDRLQRLVSKHRYGRGSRVRSDGRFGEGGRFAAESAESSLLERTLEIRDRSYRVKIERRDGGESRASGARGMPSDEFVGSAASSVDEQPLTDDDDEGGAALGSDAEEFSRNVKEAAEVLRKARECMMARDDEEAADALLYRSAGLLSTAVALRPTSLVAVGQLGNTYLLHGELKLKISRELRTLLANSGAYINGGERVSRSRKLDRRILNRESISSALVGVCEECESLLVEAGRSYRTAVSIDSGDVKALYNWGLALIFRGQLLADIGPEAAVDADRVYLAAIDKFDAMLSKSNTYAPEALYRWGSALQQRSQLRSRNNKEKIRLLEQAKSLFEDVLYVEGNNKMVREALSSCISELNYHGRWLQ >SECCE6Rv1G0437160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782586747:782590954:1 gene:SECCE6Rv1G0437160 transcript:SECCE6Rv1G0437160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVATMVVGPLLSIVKDKVSSYLLDQYKVMEGMEEQHRVLKRKLPAILDVIDDAEQTASHRAGAKAWLEEVKRVAYEANGLFDEFNYEALRREARKNGHFGKLGFNAVKLLTTHNRFTFRDRMGKKLCRIVQAIEVLVAEMNAFGFKYQQQAPESMRWRQMDHVIFDPKKIISRSRSRDTKNIIGTLLGQANSADLSVVPIVGVGGLGKTTLAQLIYNEPEIQKHFELLIWVCVSDSFDVDSLAKRIVAFHLEKDVVEAVPSKKSPLDSLQDVLSGHRYLLVLDDVWNRESDKWEKLKDRLTHGANGSVVLTTTRDEGVAKLMGTVKPYNLAALEDNFINEIIETRAFSLQKEEERPAVLVNMVDEIVKRCRGSPLAATALGSVLRTKTSEEEWKAISSRSNICTEESGILPILKLSYNDLSSQMKQCFAFCAVFPKDYEIDVDKLIQLWIAHGFIQDHKEVSPETIGNRIFSELASSSFFVDVKQGKATSYERMVGGSYYKRTCKIHDLMHDVALSTMENECGFAPEEPNQIEWLPDTARHLLLSCEKPEIVLNDSLARKSPAIQTLLCDSYMEHPLHHLSKYSTLKALQLHTRRSPFPLKSKHLHHLRYLDLSRSDFEALPEDISILYNLQTLKVSGCQELRRLPRQMKYMTALRHLYSHDCPKMSSMPGDLRKLMSLQTLTCFVAGRTNSECSNVGELQHLNLGGQLELNQLENVTEEDAKAANIGKKKELRELTLKWTIGSRDDARVLECVKPHDGLQSLRIESYGGTTFPTWMAMSRNMVEIHLSYCKNLQWLFSSCGASFSFPNLKEFTLRGLECLEGWWESSNMEQEKEVVFPQLEKLHILDCAKLTTLPEATLLGESYGRMERSAFPMLKVLELRYLRSFERWDAVEGPQGAEIMFPQLEELYVAHCGKIKASSGQQKVCPKLTIKAESPKLRVLEMQGSEEEMFLWIARNVTSLTNLKLQNCEGSETTTATAADNSLTQAMSAIEKWNHHDFPLADMELIGFKSGLTELCAWFVQLQRLCINDCAALVHWPEKEFQSLVSLRSLNIMSCKQLVGYVAEPSTTTSESSSQLLPRLESLKIYGCTSMVEVFRLPASVRKMMIRDCAKLRSIFGRRLQQGQPSASSIVQGSPHVYSVEFPCLEEIDIRGCDSLTGVLDLPPSLKHMSVYRCCGLMSVESCSGEFPSLEGLSIGLCKTLSSLPDGPRAYPSLRVLKVYDCPGMKRLPACLQQRLSSLEGVTLDAHHQGPILSKPKTWINGICRG >SECCE1Rv1G0003680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14893298:14893531:1 gene:SECCE1Rv1G0003680 transcript:SECCE1Rv1G0003680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGQSVARGCPTGEEKKTSWPELVGKSIEEAREIILKDMPEADIVVLPTGSAVTMDLRSNRVRIFVDTVATTPHIG >SECCE7Rv1G0466650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:80449560:80449847:-1 gene:SECCE7Rv1G0466650 transcript:SECCE7Rv1G0466650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVTVPMEFKLRNNTDCSWRVAVKLMNDRVTLDQGWATYAAVHQIKISYMVTFKLLTLDTLKVIIFDDNGIEVVNKCGKHNEAFAARG >SECCE6Rv1G0387540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:115712353:115715320:1 gene:SECCE6Rv1G0387540 transcript:SECCE6Rv1G0387540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLAEADLKGKKVFVRADLNVPLDDAQKITDDTRIRASIPTIKYLLEKGAKVILASHLGRPKGVTPKFSLKPLVPRLSELLGLEVVMAPDCIGEEVEKLAAALPDGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLRPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSSKIGVIESLLAKVDILILGGGMIFTFYKAQGLAVGKSLVEEDKLELATSLIETAKSKGVKLLLPTDVVVADKFAADAESKIVPATAIPDGWMGLDVGPDSIKTFAEALDTTKTVIWNGPMGVFEFEKFAAGTDAIAKQLAELTGKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKPLPGVLALDEA >SECCE2Rv1G0090140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:270595398:270597638:-1 gene:SECCE2Rv1G0090140 transcript:SECCE2Rv1G0090140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRTAVAAAQRSSCLSLWRTILPHVGPARPYSATTSTSSPHRHRRSRCRTPLVTEGSLTASTAPRPFPDYSPPRPDSPADDVLARRLAAALLASPSPGSLPPLPFIPLLRPLHLLLALPLLASHPNITSLLVPLLLLFPSRPHPHPHLIQCFAIAAHVAVREPGTARAILVRAIRFPSPHRHFVEQFIFTYKAFSSDPASFDLLLLCLPSAQLLRRLRQYGLSPSAESCNAVLSHLPLDEAIALFRELPDKNVCSHNILLKALLSAGRLKDARQHFDEMSSLPDVVTYGTMVHGYCDHGELENAVKLLDEMAAKGLESNATVYTSVIALLCNKGQVSDALRVVEDMTMHGVVLDAVVFTTVMSGFCSKGDLAAARRLFEEMQKRGLAADGVTYTALINGLCRAGELKEADRVLQEMVDKGLDVDVVTYTVLIDGYCKRGNMVEAFRVHNEMVQRRVAPNVVTYTALSDGLCKQGDVRAANELLHEMCNKGLELNVYTYNSLVNGLCKFGNLEQAMRIMTEMEAAGLRPDVYTYTTLIDTLCKSGEFDRAHSMLQEMLDKGIKPSIATYNVLMNGFCMSGRVEGGKKLLEWMLEKNVRPNVLTYNSLMKQYCIDKNMKSTTEIYKGMHSQQVAPNENTYNILIKGHCKARNMKEALYFHHEMIEKGFRLTTSSYSALIRLLNKKKKFSEARGLFDEMRKEGLTAEPDVYSFYIDISFNEDNLESTVTLCDELVETSHVKSKAGRN >SECCE5Rv1G0338840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:603470025:603471333:-1 gene:SECCE5Rv1G0338840 transcript:SECCE5Rv1G0338840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEET [Source:Projected from Arabidopsis thaliana (AT5G51720) UniProtKB/TrEMBL;Acc:A0A178UGQ5] MATLFCAAAATSCRLTFPLAAPAPAPGLGRGRARRGTVAVRAETDTAAAAGSGINPAIRKEEAKVVDTVLAGELSKPLTPYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLVKK >SECCE5Rv1G0335830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:579855116:579855379:-1 gene:SECCE5Rv1G0335830 transcript:SECCE5Rv1G0335830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRSSSRGGANPEWSKKENKLFEEALAYYGEGTPDRWLKVSRAMGGTKTADEVRRHYEILDGDIKLIESGRVPFPKYNTQGAWN >SECCE6Rv1G0407900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:566444988:566447592:-1 gene:SECCE6Rv1G0407900 transcript:SECCE6Rv1G0407900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANEGANGEEDVYDPMKDPARKPRRSNDPGWKYGYWHNPPSRESVVCNLCGKVTTGGIKRHKEHLAGCGGDAIGCPKATTEIRREMGAYLEQNRRNKGVDYDDNNNEVVEVNEDGTNAPITKPSSGTAAKRNKRAFVAKMQGRSKSTVASNNNSKPIVAMLRRTPEELVDERRSGCSQSTMESSTKTKEEREYVSIQWALFFYECGIPFNAASSRQFEIAIEASCQYGSGYKPPSAYELREPLLNACVKETNTLRKQHEAAWKQYGCTLMSDGWSDKRGCHLINFLVNSPEGTFFLESVDASSESHSAQMIADLLEKRIMEIGKENVVQVVTDNGANYKAAGHLLELRFPTLYWSPCACHCLDLMLEDIGKLKAFKKPIARARRVTTFIYRHGRILSLMRKMTKGLDLVRPATTRFATAFLTLKSLLKHKAALRSMFTCAAWVGSKVAKTHAGLNVQDIVLSAEWWHSIEDCLRASTPLLRVLRVADGDEKPAMPEITALMLYAKERINQSFPQQNKQPLLKKILGIVENRWETQMNHPLYGAALYLNPGKFFPIVKRNDDALVGELRSCFNDVLAKTVLDANIRSKIDQQAVLYENHQGVFASSLATENIEKKGPLEWWCSYGGRAIEIQRFAKRIVSLCASSSGCERNWSTFEFIHTKKRNRLQWKRLNDCVFVSYNRKMMHRFQKRREKAATGDNSFDPLVYEDFDWGNEWVDPTIPLPQGARGCPDDISWEDVDVAVGASANLQGRNLPRTSTTLQRGPSSVHVQFKRQRKRPTATRPTLLEEDWEEEDLEQEQHSSNPSRNEEEED >SECCE6Rv1G0406030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:539263335:539265179:1 gene:SECCE6Rv1G0406030 transcript:SECCE6Rv1G0406030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLVLPQPQHQAATPRTTAPAPPTTAQALEQAPCCATVSVRPVRGLDEVRKAHAMNFKLGLDRSPPHLRPLLAACALGEWPGSMKYAAAIFAALDEPEAFDYNTLMRGHVVGGRDPAAALRLYVDMLHEGVEPDGYTFPFVLKACAQLAALRQGSQLQGHAVKLGFLERDEHAQNSLISFYGKCGEPELARRAFEQMEAGERTAASWSALLAAYTKAGRWADCLESFGAMARDGWRPDESSMVSALSACAHLGAYDVGRSVHCALLRNTVTLNTFMETSLVDMYAKCGCIEKATAVFDGMDGKKNEWTYSAMVSGMALHGDGRKALQVFDAMISEGHKPDEAVYVGVLNACSRSGLLDEGLRCFDRMRLERKVAPNAQHYGCMVDLMARAGRLDEARALIGSMPTGPTDSAWRSLLNACRIHGDIELAERALRELASLAAVNAGDYIILADMHARAKNWDEAAALRTEAAEKGLAQAPGFSAVEVHGKMHRFTSQDRSHPRTADIYEMLYQMEWQLRFEGYKPDTSEVALDADDEEKRSAVAAHSQKLAMAFGLLSTPEGTPVRVVTNLRMSKECHAYSALISEIFGRVVVVRDRNRFHRFRRGTCSCGNYW >SECCE7Rv1G0509930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:785061956:785067070:-1 gene:SECCE7Rv1G0509930 transcript:SECCE7Rv1G0509930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGQHPASSCSKEHQKIYRDWFALADSDGDGRITGPDAIRFFAMSSLPRADLKQVWAIADSKRLGYLGFGEFITAMQLVSLAQAGNEISQDSLQREDLISFNPPVMEGLDAQLAKSKHLAKRADQDMDGFPQAQGPSTNHWFNSKSSKKIPLTAVTSVIDGLKRLYIEKLKPLEVTYKFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTLAVQADMPFSGLTTFGTSFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYEFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQIDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVKESAVGPIGKELFEKEQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYLIGHLKNQMPTMMGKAKAQQKLIDNLEGEFAKVQREHHLPAGDFPYVDHFREALGGYSIDKFEKVKPKMIQAVDDMLGYDIPELLKNFRNPYE >SECCE4Rv1G0292630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883294569:883295866:-1 gene:SECCE4Rv1G0292630 transcript:SECCE4Rv1G0292630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLRNSRSVFSRLITLRRYCNPTKPSPAPRSCSGVLDSIRGFFFRPAKPAASYHRVPAFFSRSQPSIHRARGWYRDPWKIAGASGAAVLLHHATKFTLCAAYSHMETVPYTNRTHRVMFSPKLEREVGDECLEEIKKERSKDVLGPSDPKTVRVRRIADDIIRGIQEVFPAGGLGDDEGKAAVRPQTGHLDDLQWEVIVIRDKRANALSLGGGKIVVFTGLLNFLETDAEIAVIIAHEAAHVVARHCMELTKLTPSIVNKLLPFQRRKELEADLIGMMLLAAAGFDPRVAPKVYEKSLESTVLDDYIGNHPSGKKRARVLSQGDAMKEALELYSKQVCAGKGADRRFPYGGRVSDTLMSE >SECCE7Rv1G0473500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:158494404:158502126:-1 gene:SECCE7Rv1G0473500 transcript:SECCE7Rv1G0473500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAALLLPLLAAALLHAAAAAGGGNGSCVRSCGGMELPYPFGFSSGCTVRLGCDAGTGAAFLGGALQLGLLVRNVTPRALILNMQPDCARSFNASVAALFSDSYAPASWDTLVVSSCSSSDAARVKNCSSEYINRTSSNCTANESIRCILPPPRSNITSGHHFLNKSEVLRSNCTGLVSAVSYSDAQGPSLLLGALELDWWVPGRCRCDARANCTQFPATKTRPEAFQCECLEGLQGDGFVDGAGCQEVSKSKCNHSNYLSRDCGKIILVGLIMAGIIFGAMMMGIGCLVCHLLKRRSASIRSQQSTKRLLSEADCTVPLYSYREIERATSGFSEDHRLGTGAYGTVYAGRLSDNRLVAVKRIKHRDNADGGLDSVMNEVKLVSSVSHRHLVRLLGCCIERGQQILVYEFMPNGTLAQHLQRERGRPAVPWTARLRVAAETAKAIAYLHSEVHPPIYHRDIKSSNILLDHGYNSKVADFGLSRMGMTSVDSSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKAVDFSRGPSEVNLAQLAVEKIGRGCVDDIVDPFLDPHRDAWTLTSIHKVAELAFRCLAFHSEIRPSMAEVADELEQIQVSGWAPSTDDAAFMSTTSSLCSSAPSRGTDKGRGEALSTSAPAMAVAARETEKGAVGSSPVSVQERWFSDRSSPSSNSLLGNGSSLH >SECCE6Rv1G0409340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:586049207:586049581:-1 gene:SECCE6Rv1G0409340 transcript:SECCE6Rv1G0409340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVEIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAAHVRELEQKLERSELQAEVMQEELAAMKMKTEESEAARDKELELLRKKSQKQEEQLAHLMALFRAKVD >SECCE7Rv1G0484740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:345527657:345528118:-1 gene:SECCE7Rv1G0484740 transcript:SECCE7Rv1G0484740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARAPPGEVNLDLRLVHPMAAAARRPQTQTPVAADRTFSCTYCQRKFYSSQALGGHQNAHKLERSLAKRSRELSAASQVAIASTSVASSPPPSDLISWYTPGSGDSGQAAGSAAAAAAVVSWIADGGRRRYVHRVHAAGNGEDDIDLSLKL >SECCE3Rv1G0168560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:223621365:223623319:-1 gene:SECCE3Rv1G0168560 transcript:SECCE3Rv1G0168560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRQKLMAGVLVAVAVALMATTAEGYVSQKTWGAIRRANRAGPFVGLVVPNTYEMVPVLESPSFVASKSIPNMDIQGRRFRFGTIEGQSVVMVMTGLSMLNAGLTTQMLLSLFRVKGIVHWGIAGNANEDLQIGDVTIPEYWAHVSLWNWQRFGDGKNNELSLEAAGDYTRKYGFLNFSDYTVGQSNPELSANHLNSIWYQPEEIFPASGKPEERQHAFWVPASKRYYALAAKLEGMELPACVNATTCLPRAPRVTRVSKGCSANVFLDNAEYRQFLRKQFGCTPVEMESAAVALVAHTQGVPFLTIRSLSDLAGGGSALGNEASTFIDIAAKNAVEVMLKFVPLLGKGGDEMEDGAAADV >SECCE6Rv1G0405980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:538988132:538989259:1 gene:SECCE6Rv1G0405980 transcript:SECCE6Rv1G0405980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPVPILAAYRDWANLPELPLEEVLRGLLPCVRSLYAFAGTCRPWRRLLRSSAADLIRPRVPPLLLLLCPDFRLVPFSPLVAPQPISYPLPAPAEGATLLSASRGHLILLRRGSFNSLHLVDALTGAERRALQLPSPHFPYQYAALTPSHLLLFHSMHAFFSLPFPEHPSPNNARPDWTKHALPRAASFVTAVLEFRGRVLGLTDRAQILEFNLDAPAPNRTVRLLPTAGLPAATTFDRWEFGPHLVAAGDRLLLVLFMVEPNPSPLALRAPRVNKIGVYALDFAHMMWEEVDNIGAYTLFVDIAGRSTVACMDVGNCGVEENRVYVAVPSCPAWRRPLPPGWEAPLNGEGIGLNSRRRPPLPSPISVHPPLFF >SECCE7Rv1G0461870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:40989295:40992386:1 gene:SECCE7Rv1G0461870 transcript:SECCE7Rv1G0461870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRGIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYQMTPESLEMYKGDRDGDEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDVLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEAKMMERLWGENFFDPATKKWTSKNTGTATCKRGFVQFCYEPIKQIIATCMNDQKDKLWPMLKKLGVTMKNDEKDLMGKALMKRVMQTWLPASRALLEMMIFHLPSPSKAQRYRVENLYEGPLDDIYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGRVATGMKVRIMGPNFVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVALVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCSIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHTDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSSTLRAATSGQAFPQCVFDHWDIMSSDPLEAGSQSATLVTEIRKRKGLKEQMTPLSDFEDKL >SECCE6Rv1G0378580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9432733:9433533:1 gene:SECCE6Rv1G0378580 transcript:SECCE6Rv1G0378580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTMSLSSSTFAGKAVKNLPSSALFGEARVTMRKTAAKAKQVSSGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILTIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGYFVQAIVTGKGPLENLADHIADPVNNNAWAFATNFVPGK >SECCE2Rv1G0126890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:849345404:849345685:-1 gene:SECCE2Rv1G0126890 transcript:SECCE2Rv1G0126890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAARLAIIPQEILNIENEKLELEQSLDPIRDPMFLSFVDPVVWIHNPVVWIENHTLDVRNKIRILETRKRALLSEQQSLIVKAALYGDRRN >SECCEUnv1G0538340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72641039:72651130:-1 gene:SECCEUnv1G0538340 transcript:SECCEUnv1G0538340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPMPTPAITADSPNQFRGKLRLPRFAMPTHYKLHFHPNLVSSTFSGVVSINVFVLAPTRFLVLNVVELTIDHASIHFKHLAPTDVVFFKDDQIMVLGFRKDLPLGEGVLRMHFNGTLSDQMRGFHRGKYEYKGEMAYMAYTKFESVHARRCFPCWDEPDFKAKFKLSLEVHSDLVALSNMPVLGETVDGSIKNVHFEESPLMSTYLVAMVVGLFEFVEGVTSQGTKVRVYTEVGKTKQGQFALDIGVKSLDLYNDYFDTPYALPKLDMIGIPNFPGGMENFGLVTFEEGGLLFDETSKTFTKLRRIAVSVAHELAHQWCGNLVTMEWWDNIWLSEGFATWMSYEAIDTFFPQWNIWMEFLEGTIRTLRLDSVTGSHPIEVEIHHTNEIDGIFDDIIYFKGASVLRMLQNYLGAKRFQEALASYVKKFAYSNAKTEDLWTVMEEETGEPLRYLMTPWTKEPGYHVINVKHEGEHIQLEQAQFDLDGSSRASLWDVPIALRCSSSTEKFILKHKHDKLDLRCKRQKDGNIWIKFNVNETGFYRVKYDKEITATLSYALEANKFSSMEKIGILDNSLVLSIFYEHTLASLLQIAYGCREEADYNVLPHILDITTSISQIIFDATPNLGADVKQLPIKILLSPTLKLGWDPKDAEGDLLVGLRETLLVSLVKLGHDKTINEGVKRFDILKHDHNSTILSPHARKAAYLSVMKRASSSDRSGYDDLRQFYNDIGYGEEKLRILGVLSSCPDMDIVLESLNLIFTDEVPNQNAVVVLNGITVEAREIAWSWLKENWDHILRVVPRKELWLSIIDNIVPLFTSSDKVEEIIKFFTNNPEPNLQDALQNKLRMVHTNMRWIEGIQSEPMLEQTVHELLHKT >SECCE1Rv1G0022900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:290323855:290325318:1 gene:SECCE1Rv1G0022900 transcript:SECCE1Rv1G0022900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08305 [Source:Projected from Arabidopsis thaliana (AT5G08305) UniProtKB/Swiss-Prot;Acc:P0C8Q7] MRPPLLPPHLLRRLDGRTLSTPLLDPLIRTTSSSPSTPHHSFSLYLLLLRSALRPSHLTFPFLGRAAARLASPRLALSLHAHPLRLGLLPWDLYVANSLVHMYAACALPDLARRLFDEIPRPNLVSWNALLDGYAKCHDLLSARCVFDRMPQRDVVSWSAMIDGCVKCGEHREALALFEMMEATRAGNGVRANDVTMVSVIGACAHLGDLGRGRQMHRYLQERGFLLNLRLATSLVDMYGKCGAISEALEVFRAVPVTSTDVLIWNAVIGGLAVHGMSMESVEIFQEMQHSGVVPDEITYLGLLSACVHGGLVGEAWRLFHSLEAQGLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMEPNVSVLGALLNACHLHGWVELGEVVGRQLVQLQPDHDGRYIGLANIYAIARRWREAKKARKVMEERGVKKIPGFSEIDVDGRLHRFIAHDKAHSGSREIYALLNLITVEMKMKDDAAIPEYFCTYR >SECCE5Rv1G0328900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:510731291:510733212:1 gene:SECCE5Rv1G0328900 transcript:SECCE5Rv1G0328900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSWWLPVPRARRRPSLVSGHPLPDLSRGMAGGGWRAWAERYKPCVAMVLVQLFYSLVDMALKTAYGLGMRPIVFVAYRQGIAAAALLLASLATRGLTLRPMAVGSRAFSLLFLASLASATGQYFYFMGLQLASPSMARATTNLAPGITFAIAAVIGLEKVDLRSSRSIAKIVGTVVCLAGAMLMAFFKGPKLLGALLLPATDDWVRGGIYLMGNAFCFSIWYILQVPVCKSYLDPLSLATWMCFLATLQCAVMAFFLEANYIEIWKLASIWELPCILYGGVFASGANFFMQSWCISVKGPLYSAIFTPLSAVMTTILSTLFLHEELHIGSVLGAIVIILGLYVVLWGKADDASSEGLAIRSDDSESILEQDCMGVKVESGTNLSEPLLLSENADADASTRQ >SECCE6Rv1G0400350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:450527272:450530961:1 gene:SECCE6Rv1G0400350 transcript:SECCE6Rv1G0400350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3G53570 protein [Source:Projected from Arabidopsis thaliana (AT3G53570) UniProtKB/TrEMBL;Acc:B9DH08] MEAQWLAEYPHQAADNRPRKRPRLAWDAAPQLFPPPKAIPMLYCGQELINGNFTTAFLPPPPIYYAGPPRNLSPPWRPDDKDGHYVFTLGENLTPRYRILSKMGEGTFGQVLECWDLENQESVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVREFARQILESVTFMHDLRLIHTDLKPENILLVSPDTIRVHDYKIPIRPPKDGSVFKNLPKSSAIKLIDFGSTTFDHQDHNYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMEKVLGPLPKHMIARADRRAEKYFRRGLRLDWPEGAASRESMKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDERLKARQALQHPFFTRCHRRCGY >SECCE3Rv1G0153380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:53433408:53433983:-1 gene:SECCE3Rv1G0153380 transcript:SECCE3Rv1G0153380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTSSSMLGGALLLLLALSSAISVHGGSSSNAAPRSPLDDLCIGLGGWYVTPNMCVSALCVDPSCRSARGLPEIAVLATKLTVTNATVAKASTESALARAKDAKARKVMQSCLQLYAGIIPRLQWAARSVAAGRYSGVPEVMEAAYRDVSNRCLDLAGREVALPKEDEKFYWMAYVVHAVLAEVQRRIG >SECCE6Rv1G0427750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720629464:720630729:1 gene:SECCE6Rv1G0427750 transcript:SECCE6Rv1G0427750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPLQVLAVSLAVLAWPASCQRLPVLAPVTKDPATRLYTIPFHYGANVVVDSAGPLVWTTCAPDHLPAAFPCKSATCRLANKYHAPSCSESAADKLCDRSHKVCRAFPYNPVTGACAAGDLIHTRFVANTTNGINPVSQVNVRAVAACAPSKLLESLPQGASGVAGLAGSDLALPAQVASEQKVPNKFLLCLPRGLSADPGVAVFGGGPLHFMAQPERDYTKELAYTPLVSKKGNPAHYISIKSIAVANARVPVPSQALATGGAVLCTRSPFTLLRSDVYRPFVDAFAKALVKQGAQGGPVARAVKPVAPFELCYDTKSLANTRIGYLVPGVTLTVGSGKNWTMDGLSSMVEVKQGTACLAFVQMQGVKAGDGSAPAVLIGGFQMENTVLEFDMKKKRLGFARLPFFTQCSQFNFTRTG >SECCE7Rv1G0513330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:820991412:820994041:-1 gene:SECCE7Rv1G0513330 transcript:SECCE7Rv1G0513330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVGSGEKHLEDCTVANALGTWVFSVAGALLAIPVGIKRKSFAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLEAQNLATNASVEGESLTDSFANVDK >SECCE3Rv1G0198380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:831226637:831228598:-1 gene:SECCE3Rv1G0198380 transcript:SECCE3Rv1G0198380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g46790, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46790) UniProtKB/Swiss-Prot;Acc:Q9STF3] MFASAPAGSLHLSHPAFGARRPRLARCRSSLSSSGAAPPPANANHLIQTLCASGRLSRAAALLQGLPAPTQRTYESLLQAAARAGDAALAAAVHRRLEADPVFRSDPFLSTRLIDAYAVLGELPAARQVFDEAPDKNIFVWNALLKALALADHGDEALARLADMGRLGVPVDSYSYTLGLKACIAASASHAPASARVREVHAHAIRRGYALHMHVATTLIDCYAKLGIVGYAENVFAAMPERNVVSWSAMIACYAKNERPADAIELFKDMLASDADLVPNSITIVSVLNACAGVNALGHGKLLHAYILRRGFDSLVSVLNALMAMYMRCGCLEVGRHIFNWIGRRRDVVSWNSLISGYGMHGFGREALQVFEEMIRVGLSPSIVTFISVLGACSHAGLVDEGKKLFESMMDYSVKPRAEHYACMVDLLGRAGQLDEAVELIRSMHIEPSPQVWGALLGACRIHGHVEYAEMACSRLFDLEPRNAGNYVLLADIYSRAKLQDQVAVLKELLEEHGLEKVPGCSWMEVNKKIHSFTSVDNKNPPVEQLQALIGEFVAQMKNEGYVPDTDIVMYDIEEEEKERILLGHSEKLAVAFGLINTRSGEVIRITKNLRLCEDCHSVTKFISKFTEREIIVKDVNRFHRFRDGICSCGDYW >SECCE4Rv1G0220210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:36266474:36274382:-1 gene:SECCE4Rv1G0220210 transcript:SECCE4Rv1G0220210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGKKLINNPDDVVTEFIEGLVETYPGLQYLDGFPEIKVVLRADAVGGAYDKVAVISGGGSGHEPAHAGFVGPGMLTAAVSGDVFASPPVDSILAAIRAVTGTMGCLLIIKNYTGDRLNFGLAAEQAKSEGYKIEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAADAGLSLADVAAEAKHASEAVGTMGVALSVCTLPGQVTSDRLGPEQIELGLGIHGEPGAAVVELQTVDVVVEHVLKQILSQETQYLPITRGSNAVLLINGLGATPVMELMIAARKAVPELQLEYGIAVDRVYTGTFMTSLDMAGLSITIMRSDENILQRLDAPTKAPAWPVGSEGNRPPAKFPVPVPPSPSMKDDEILSERQELSKQGCMLEAAIEAAAKELIDLKDNLNDWDSKVGDGDCGTTMYRGAAAILEDMKTRYHMNDAAGTVNEIGSTIRKVMGGTSGILYDILCKAAYASLKQNKNITAYEWADALEASIAAVSKYGGASAGYRTMLDALIPASTVLKQSLKAGDDPVTAFMASAEAASAGAESTKQMQAKAGRSSYISPDHLVSIPDPGAMAAAAWYRAAALSVKNKLHASES >SECCEUnv1G0567640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:416966896:416968205:1 gene:SECCEUnv1G0567640 transcript:SECCEUnv1G0567640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRNDKDGGENITVDLYPFIREYKGGRVKRFLRSRFMAATEDPAANRGVATRDVTIDNCTGLSARLFLPSDAAAAGERLPVIMYVHGGSFCTESAFGRTYNNYVRSLAAQTGALVVSVEYRLAPEHPVPAAYDDAWAALQWVASLSDPWLSSYADPERTFLAGDSAGGNIVYNTAVRAAGRGTNIVNIKGLVIAVRDGVAMITPDFLDRLWPYVTAGQLDNDDPWINPLDEEIASLMCRRVLVAVAEKDSLSGRGRRLAASMRDLIWADDQNAVTLVESKAEDHGFHLYNPLRATSKKLMESIMQFINQRPTLPLPAAFPPERHELHLHACQGKDQTCSSAIRPILGVPTRPYVDVFGYGVAQKIPHVLVAIGGHERRSSPKTRRYGLSLGHSITSHMRFPLPATTARGGGCVRFHKFII >SECCE4Rv1G0248550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:572944368:572944937:-1 gene:SECCE4Rv1G0248550 transcript:SECCE4Rv1G0248550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEFNRSSLAERRIFLAHYQTITYSQTSCGTNRFHLPSHGKPFSFRLALSGILVIGSVGTGRSYLVKYLTKNYDFPFIKVRGLLIPQERKHLFILSYTRGFYLEKTMFHTKGFGSITTSSSALDLVALSNEALSISIPHKKSILEKNTIRLALHRQTWGLRAKVRSARDHGTLFYQIGGALVQNRLTK >SECCE2Rv1G0083590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:168545234:168548865:1 gene:SECCE2Rv1G0083590 transcript:SECCE2Rv1G0083590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTGNFGRPIHPDVIGNAFAQQYYRVLVSSPEHVHKFYHDESILGRPDSDGPLTSITTTYAINQHFLSTDMKGCLIQLDNVDTQSSHGDGVLILVTGSITMLDTVKHRFVQSFFLAPQENGGYFVLNDVLRGVPEMPLADTNEALVDHVNGNTQIAPFPAEPETSVKESMDPELPSEGNISTNGEVINPSAETIPVDAEVINPSAENISINDEVMDPSVESISSKEKVVNSFGNENSQIKNDAIKTPEVAPTPASAQKDVIKKTYASIVKATNESIPPAPITKPKPKPKPRPNPTVKRAENVEKSSSVPAKTMHAADTAPPNDQNISDDQGYSIFVKNLPWNATIEMVEAEFSKFGSIKPRGIQVVHRQIDGFCFGFVEFESEKSMNAAIEVFKVRFGTYVSYVEEKRTPKRVVNGVTHSEYNGNARGSRVLPDRGGYHGDNFRGQGAGFVNNGNYRDGDNFRGQGASFGNNNNYRDGENFRGRGAGFVNNGNNYRDGNNMRNDNRNQNEYSGHGRGPQGNDYRQNDSRQNRNDYRQDGNGYRQNGDDFRQNRDGYRPNGDGFRQNRDGYRHNGDDYRQNRDGYRNNGDDYRQNGNGHHQPRPVHNGNGNGRPGRFNGPKQIAVTA >SECCE1Rv1G0048540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636184217:636187423:-1 gene:SECCE1Rv1G0048540 transcript:SECCE1Rv1G0048540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRRNPNHGGKRKDEQPWLAAGIRPANFLPGLAIGFLLGLLLDLSSSWRPRFSLPSAPAPRGSKRAAAGSSAAPVPGEELKMVLVVRQDLKMGAGKIASQCAHAATGLYADLLASNRVLLRQWEQFGQAKIVLTCKNQQEMNRIKETAEHRGIPTFIVADAGRTQVVAGSKTVLAVGPGRKADIDSVTGKLRLL >SECCE5Rv1G0376970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:874954852:874956653:-1 gene:SECCE5Rv1G0376970 transcript:SECCE5Rv1G0376970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSSLLWLLVRLASLVAIPLPGIFISVSVQASPGDAHPHYRTCVGECQNTGIIGSNVISQCQSRENDSISAATSWYTQEALRVQWKQLNCMTDCRYYCMMRREEERRLGGLSPVQYHGKWPFKRVSVFQEPLSAALSALNLLMHFTGWLSFFLLVKYRLPLRPQTKRTYYEYTGLWHIYAILSINAWIWSSVFHTRDIDLTEKLDYSSAVAVLGYSLILTLLRIFNVKEGPARVMVAAPILAFVTTHILYLNFYELDYGWNMKVCVAMGVVQIVAWAIWAGVTHHPSRLKLWVVVFGGALAMLLEVFDFPPYKGYADAHSLWHASTVPLTYLWWSFIKDDAEFRTSTLTLVKKAR >SECCE5Rv1G0362550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:787078688:787080271:1 gene:SECCE5Rv1G0362550 transcript:SECCE5Rv1G0362550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSSPLPPLRIVICPYLAFGHLLPYLELAERLALRGHSVSYVSTPRNLARLPPLRPAAAPRVDLVALPLPRVDGLPDGAESTNDVPAADRELHWKAFDGLAAPFEEFLSAACVDEATRPHWIIADCFHHWAAAAALDHKVPCAALLTTAAMLAAVPRPPLENPEADPAAAAVFEKAAAARRAVPSYERKAMTRFVTGHGTSSGMSSLQRCILTEKRCTLTAIRSCAEWEPESFPLLATLLGKPVLPLGLLPPSPDGGRRATGTNGEDHATVRWLDAQPPGSVLYVALGSEVPLREEQVRELALGLELAGTRFLWALRKPIGADDDPLPPGFEDRTHDRGLVATGWVPQMSILAHAAVGGFLTHCGRNSLIEGLLFGHPLVMLPIFGDQGPNARQMEAKKVGLQVARDKDDGSFDRHGVASAVQAVMVKGEERSGFVANALKMQAVVADKELQDRYIDEFVQHLRSYGAAGADPILPHPSPSPNGSLHE >SECCE4Rv1G0252690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:617171648:617173825:1 gene:SECCE4Rv1G0252690 transcript:SECCE4Rv1G0252690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIFGKKGKSFSGPDSPHRSAARVRFKSASLNCVDSPKKQNDDTCKYRVFVGTWNVGGKNPNDGLNLQDFLQVDESSDIYVLGFQEIVPLTAGNVLVLEDNEPAARWLALIHQALNHPQEQPDSDEPPQQPPEPGPADGARQQNRRRDAMATRSSSGNLFFQTPSLKVLSNSYRVDSALVKTCNCSPEASLLRRRATEVRESVYRAAETPPASTAAEAASTSAGGWDDDGAAGTPGQCEPSAADGGGMSYCLIASKQMVGLFLSVWVKKELVEHVGHLRVDCVGRGIMRWLGNKGCIAMSMTLHHTSLCFVCSHLASGEKEGDEVRRNADVAEILKSAHFPRACKSPSAQRVLPERILEHDKMIWLGDLNYRVSLSYEETRTLLEENDWDALLEKDQLMIEREAGRVFGGWKEGKISFAPTYKYTQNSDAYAGETVKSKKKRRTPAWCDRILWNGDGIEQLQYLRGESRFSDHRPVWGVFAVEVEAGGGRMRNCYSMSARIGHDKPGSPQRHGSSVEPSS >SECCE6Rv1G0447770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:851507697:851509689:-1 gene:SECCE6Rv1G0447770 transcript:SECCE6Rv1G0447770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWIWQAHPQHQLLLLINRDEFHSRPTKAVGWWGEGSMKILGGRDVLGGGTWMGSTKDGRLAFLTNVLEPDAMPGARTRGDLPLRFLQGNKSPLEVATEVAKEADEYNGFNLILADLTRNVMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAIRLGKNFREFIRKNGDDEVEAKDIAERLMTDTTRADKDRLPNTGCDPNWEHGLSSIFIEVQTDEGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVHYQIE >SECCE2Rv1G0108580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:665517567:665520385:-1 gene:SECCE2Rv1G0108580 transcript:SECCE2Rv1G0108580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEGETSRLFRIRRTLMQMLRDRGYLVLDADVDLTMGEFVGRYGDPVRREDLVINRAKKDSPDDQIYVFFPNEPKPGTKVVTSYAEKMMQENVPNGILVVQQALTAFARNKLVETPKFRLQVFQEGELLINIKNHDLVPEHVLLTQEQKKTLLEKYTVKETQLPRIQVSDPIARYYGMRRGQVVKITRPSETAGKYVTYRYVV >SECCE5Rv1G0305270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:69042736:69045972:1 gene:SECCE5Rv1G0305270 transcript:SECCE5Rv1G0305270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CNX2 [Source:Projected from Arabidopsis thaliana (AT2G31955) UniProtKB/TrEMBL;Acc:A0A178W0P6] MHPAASHVASTLRRRLLSASRRPPCLPPPTSPPVSCGAVTEERDPWSSPATPSSCSPTVPPPAAAPAPRPLSTPVAGKWPHHQLHRLNFPDHQVDPMRVGLRSLTSTSASTRVTYGHRGSNAYSTSCATKPEVLPVETPSSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPKSELLSHDEIIRIANLFVTSGVDKIRLTGGEPTVRKDLEDICLHLSGLKGLKTLAITTNGIVLSKKLPRLKECGLNALNISLDTLIPAKFEFMTRRKGHSKVMESIDTAIQLGYDPVKINCVVMRGMNDDEICDFVELTRHKPINVRFIEFMPFDGNVWNVKKLVPYAEILDKVRQRFKGVERLQDHPSDTAKNFKIDGHAGTISFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLREPIHSGIDDAGLKEIISAAVKRKKAKHAGMFDIAKTANRPMIHIGG >SECCE6Rv1G0419470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:669975033:669982922:-1 gene:SECCE6Rv1G0419470 transcript:SECCE6Rv1G0419470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSTLPFAPSTPAPASFRPRGAHFAPSPAGRSVGVGLPLACAAPRKNRGRRQRGGGLVVFAAADYYATLGVPRSATIKDIKAAYRKLARQYHPDVNKEPGATDKFKEISSAYEVLSDDKKRALYDQYGEAGVKSAVGGNAGAYTSNPFDLFETFFGASMGGGGGGGGFSGMDQSAFRTRRRSTAAQGEDIRYDVILGFSEAIFGTEKDIILSHLETCDACSGSGSKVGSKAKICSTCGGRGQVMRTEQTPFGLFSQVSICPTCVGEGEVISEYCRKCSGEGRVRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGGPPGDLFVCLDVEEPSDIKRDGINLYSTVSISYVEAILGTVEKVRTVEGTSELRIPPGTQPGDVLVLAKQGVPSLNRPSIRGDHLFTVKVSIPKRISGREKELLEELASLKNGGFARAPVKPKPVHKENGSRAAPEVSDQPDDGEVDWLKKLSDFAGSIVNGASKWLKDNL >SECCE6Rv1G0437780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786265883:786268291:-1 gene:SECCE6Rv1G0437780 transcript:SECCE6Rv1G0437780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMGQQHMGNATSVASPLHQLRDVWKSPRGTVLQIEALALVAIFLSFFLAVFGSCRRWSNNFLIQKGFMAANALFLSLGTYSIGLMQSSPVKSEMYPIWAVSLLALLCCVDSAAASGLDNRSQLWKILYQLCLYFGYVLLMSITTISSDIGNIAICVLSAVTFIKGFHRSMALVLPSSMRNMIREFPGNSIKKCSFGDPNEGRELTVDYKLDVMIGHRRKVNMDDIASIRCEGNNKMIESQLNSCKDVCLSFSLSHLLHRRFLGLSSVQPIKASGTSPAQRLVKNCEHALKVVEIELAFLHDILYTSNTFLQYYEAKSASIWAFASVIGICFVGAVAVIPGARTSRRASPDTIFVDTTIVDFVITGVVLVSLALLQVLQLLSCWTSNWARVSFACDYVRKEEVVLSRGMRLRASLLNINWYDYPWQNKLGQHSVIDESFWIRVNGCLSRCHQLFCCGFLGNFCGWICSYNAVQEAMYILCACFSILARGCFDLLGLQYISRELKEMLRGSCTGSPIDLHPDVKTSIGHFVDKLIKSNEISSWASSKVKNGRRGFPNFSGSLGSDVMSDNAADQVSDMIYVSCILIWHVATCYCELAQQCDRSNGSGHHVTMEKGDPLVATNLSKYCAYLVASAPRLLPGRSETIKLVYGQVREEAARVVKGSSSPAAGDKLQAITKCISPDTSPNLYLGRSSTGVGYYIYRMGVKLGEGLQSMDAVERWEVLADFWVKALVYAAPSDKVEEHMQHLSQGGELITHLWAILYHAGIHRWQLNQPASNGINMGWDYIFRIRSWEDLEHSHMVR >SECCE5Rv1G0369990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:839254704:839256503:-1 gene:SECCE5Rv1G0369990 transcript:SECCE5Rv1G0369990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLPLPLAHGEGLWARPWRWAKTAFFLVSMLASLLLVCAPPLLIVLLDLLLPPALLSNFLRAGHHASSAHTLLGQARGFHFRSSLVDLPAVSAARSLLILCAYTACGGGAAYLWVAAACSVGSLFYVLAKAVAVFGVPADGAAGLELHGKGQLAAVEAMFLMSLALAAAHLAMAYRASSREKRRLHVVYRIDIEAVRLKGTHTPKSLKQCIV >SECCE2Rv1G0108720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:667402772:667406078:-1 gene:SECCE2Rv1G0108720 transcript:SECCE2Rv1G0108720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRRAAAVALRASLRRACSSHAAHSGDLLPGLVDPPTPRPGPRLSHHDFAFIRDPAPAPPAAALPPPEAVLISKAVRAYGADFGGKAERFLRRYREFLTDSVVVAVLRAVRSPELCVRFFLWAERQVGYSHTGACYDALAEVLGFEDRARTAERLLREIGEDDRDVLGRLLNVLVRRCCRQGLWSEALEELGRLKDLGYRPSAVTYNALVQVLASAGQVEMGFRVQKEMSASGFCMDRSTVGSFAQALCKEGRWGDALDMLEREDFKLDTVLCTQMISGLMEASLFNESISFLHRMRCNSYIPNVVTYRTLLSGFLKKKQLGWCKRIINMMMTEGCNPNPSLFNSLVHTYCNAEDYAYAYKLFDRMNNCGCPPGYVVYNIFIGSICGREELPNLELLDLAEKVYEEMLVASCVLNKVNTANFARCLCGVGKFEKAFQIMKEMMRKGFVPDASTYTKVITFLCQANRVDKAFLLFQEMKNVGVNPDVYTYTILIDSFCKAGLIEQAQGWFDEMRSAGCSPNEVTYTALLHAYLKSKQLSQANIIFHRMVDDSCYPNAVTYSALIDGLCKAGEIQKACEVYAKLTGTSDSKESDFYFEGKDTGTISPNVVTYGALVDGLCKAQKVADAHELLDAMLSSGCEPNQIVYDALIDGFCKVGEIDRAQEVFLRMTKCGYLPSVHTYTSLIDRMFKDGRLDLAMKVLSQMLKDSCNPNVVTYTAMVDGLCKAGETEKALNLLSLMEKKGCSPNVVTYTALIDGLGKAGKVDAGLKLFMQMKTKGCAPNYVTYRLLINHCCAAGLLDDAHLLLDEMKQTHWPKYLQGYRSTVQGFSKKFLASLDLLEEMESHDTAPIAPVYGVLIDSFSKAGRLKTALELHKEMMEVSSSLNMASKDMHTSIIQALCLSSRVEEAIALYSEMTRKGIVPDLSAFVYLVKGLIEMNKWNEALQLCYGICQEGVNWQGNKFCDGG >SECCE2Rv1G0118740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785381223:785382767:1 gene:SECCE2Rv1G0118740 transcript:SECCE2Rv1G0118740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPYEYQYQLAVADYWEGRPTMEMEPNVWVPALPLQMTVAAPASNTDLVVSSSSEQQELKLVEEKTTEYQHEWNNPIEEFEKAARAFEDDLQKMETKMHLFPPSMEDLSKHSKPKVVSIGPYHHGSSEAIRQMESTKYAAACHFIKESRCSVEEVYGAVFAVAGQARSYYDADKLRELGVGDDDFKPMMFYDGCFLLQYMLFLCRENGDEEKPTAEVDQSLYNVFSSIDRRIFSDIVLLENQLPWVVVEKLKDFMPPPGLDMETVLGRVKHSLQARRHLKFDAPKLDDSYVPPHLLGYLRFYIVGSTDDTSRTPVPEITLSEKVKKLSMSVSVIELAEMGIKLRADDATAELKKMRITKGWFTGKLFLPPVSLDDANARFLVNMAALELCVTPDFRAVDVDDTCSTVCSYLSLLGMVTDNDDDVQELRKKLILQGGGGLTNEDALELFTGLEKYLRPGNHYDNIIIEIENYRSHRRLWIKVYRFCYRNRTAIIATVSAIAGLAAFLETLKSFR >SECCE7Rv1G0523640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883045367:883050523:1 gene:SECCE7Rv1G0523640 transcript:SECCE7Rv1G0523640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVSDQSFAMESDDEEHQMGGSEDEEESDGSSSCGSPRVVEAAGGGGRPGSYNNHQWPQSYRQSMDIYSSVQSPSLSGFIGTPTLSRLSSSFLATSFRGKPPPEVVKPLLPTAAVPTTDNDDDDYVGAPKSSHQYLPPSRKASSLFKIPEDQKPPAGGGGHDVGPYRQCSYTQGVMNGVNVLCGVGILSTPYAVRQGGWLGLAILAVLAVLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTPGRIVISIILYMELYACCVEYLILESDNLSKLFPNAHLNIGGFSLDAHVLFAIFTTLVVMPTTWLRDLSCLSFISAGGVVASIVIVSCLFWAGLVDHVGVNKSEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKKSNQFNAVLFTCIALSTVLFAGAAVMGYIMFGETTESQFTLNMPPNLMSSKIAVWTTVTNPITKYALTMTPLALSLEELLPPNRQTYGNIIMLRSALVLSSLGVALSVPFFGLVMSLVGSLLTMFVAYILPCACFLAILRSTVTWYQIVLCVFIIVVGLCCAGVGTYSSLSKIIQNYQ >SECCEUnv1G0545910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:147904261:147908388:-1 gene:SECCEUnv1G0545910 transcript:SECCEUnv1G0545910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDAAACAPQVDGHAPRDVDEETRALIAPDAGSLPAAPPSAVEANFARYFVADYLNPGHDQFVYRHPNGLCVVGLAPSHVALKEEGGITAIDFNVGKTGRSEIKVTGKRKRNAQHLQENSALCKVCTNDKTFVVRCCVKGQLLEINDRLIKQPDMLNTSADREGYIAIFMQKPGDWLKVKDKFLSFEDYKNLRGIC >SECCE1Rv1G0056000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:684582188:684582643:-1 gene:SECCE1Rv1G0056000 transcript:SECCE1Rv1G0056000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADKKPAAEKTVEKAAEKTPAGKKPKAEKRLPAGKTAGAGGEAKTRGRKKGSKAKKGVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE1Rv1G0024130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:320068830:320072852:-1 gene:SECCE1Rv1G0024130 transcript:SECCE1Rv1G0024130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGRGRLRNFVRRMAMECLCSGEQLKGADDTIGSSDSTFTKDFSASGYSSRNGEVEQYLDNGNIEEAELSLREGVCLNYEEARALLGRLEYQRGHVEAALRVFDGIEISSLVPKMKISIARKAHRRKTRSQWDAPPMPLHAVSLLMEAIYLKARALHDLGKFKDAAQECRMILDIVEAAVPEGLPAGFGKGCKLNEIICKAVEFLPELWKLAGFSLEAISEYRRSLLNNWNLDGETIAKIQKEFAVFLLYSGCEARPPNLHSQLDGSFVPRNNMEEATLLLMILLRKFNLRRVERDPTVMHHLSFALSMSGQLKPLAVQFEELLPGLLDKREWSYNVALCYLAEKDDSTALNLLKRILKFGQDSDNLKELLLASKVCVEKGAHAEGAAYARRAITNIQGRCKQLTGVADLLLGVSLSNQARYAISDTERASWQCEALEVLGSAQKNMHGQDCRIMYNLSLENADQRKLDAAVFYAKKLVKLEAGSELRSWLLLARILSAQKLFADAETVVDAALDQTGKWNQGDLLRTKARIQAAQGQLRDAVGTYTQLLALIQLRTKSFGAGISLAKDGENDKSLETETWYDLALLYLGMSQWRDAEVCVSKIRTTNCYSALAWHATGKLCEAKDLPREALGAYFRALDFDGKHVPSLISTATILRQLGDRPLPSVRCFLTDALQLDRTNHMAWFNLGLLYKEEGGRSAAEAAECFQAAALLEETAPVEPFR >SECCE2Rv1G0079570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:121238859:121240599:1 gene:SECCE2Rv1G0079570 transcript:SECCE2Rv1G0079570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59440) UniProtKB/TrEMBL;Acc:F4KJ62] MTALVRLAGRAASWSRGAGIAAPRGPGLLPQRRAAFQGARMENGGGKGGRGALIVLEGLDRSGKSSQCARLLSFLQGKGCATEGWRFPDRDTSVGKMISAYLANESQLDDRTIHLLFSANRWEKRSLMESKLLGGTTLVVDRYSYSGVAFSAAKGLDIGWCKAPEVGLLAPDLVIYLDVQPEKAAERGGYGGERYERVEFQKRVAEHYHSLRDSTWKVVDGSLPMETVEEQLRELAMNCISECQDKQLTNLPW >SECCE6Rv1G0379020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11713528:11719570:1 gene:SECCE6Rv1G0379020 transcript:SECCE6Rv1G0379020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGATAASAARALRSRMLGDPIYHPSTAILPIPSARAACSAPSAASPAPIVAEAAAASVAVSSGARSASDVLRHYGSCYWELSKARLSALVVATSGAGYVLGSGSIVDIAGLCYTCTGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRISPVHASMWATTVGVAGTALLACKANDLAAGLAASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAAASEVSLNSMILPAALYFWQLPHFMALAYLCRDDYLAGGYRMLSFADPTGKRTAWVSLRNCLYMLPLGLFAYNWGLTSEWFSFEASLLTTGLTIGALSFVLHPTQKSARRMFHGSLLYLPALMAGLILHRLPNEQKQHNNIHQTSEIAGVLRGAEPWDEEERARQRLEELKHYRRAQSRPPVAYASVAPFPFLPVPVYVSPEAHEF >SECCE3Rv1G0194690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:784189529:784193639:-1 gene:SECCE3Rv1G0194690 transcript:SECCE3Rv1G0194690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRAQPQMEMGASASFLCLLLSLWVQDAAATGLGFTRSDFPREFVFGSGTSAYQYEGAVAEDGRSPSGWDTFTHAGKMRDKSTGDVAADGYHKYMEDVKLMSETGLEAYRFSISWSRLIPNGRGAVNPKGLEYYNNLIDELVNHGIQVHITLHHLDLPQVLEDEYGAWLNPRIIEDFTAYADVCFREFGDRVASWTTVNEPNIGILASYDVAIFPPGRCSDPFGATKCTAGDSSVEPYIAAHNTLLAHASVVSLYRKKYQAMQKGVVGISIYSFWSYPLTNSIVDLDATRRCNDFFFGWILDPLVFGDYPQVMKKNVGSRLPPFTEVQSELIKGSLDFIGINHYYSIYVNDRPLETGVRDYSADMSVSLRGSRIDPPSSQGLPIDVPSDPKGLQLQLEYLKETYGNLPVYVQENGMGSADDGLDDADRVSYLSSYMESTLNAMRNGANVRGYFAWAFMDLFELLSGYQSRYGLHRVDFADERRPRQARLSARWYSGFLRHNGTTALVSRTVENQELSVVS >SECCE5Rv1G0319920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:380037917:380038318:-1 gene:SECCE5Rv1G0319920 transcript:SECCE5Rv1G0319920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPDKWGNPHGIKCAKETLPVLNYTEPLDLNHDMRLYDMVASTARSMKKVPVTLIDITRMSDYRKDAHTSVYSVRRGYLLTPKQKNDPENFADCIHWCLPGVPDVWNTVLYTRIFSKSPPSPPPALALPPPQ >SECCE2Rv1G0105800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:625488796:625491013:-1 gene:SECCE2Rv1G0105800 transcript:SECCE2Rv1G0105800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESSIRRPLFGGAISTAFPVRFQDVSNIREVPDHQEVLVDPARDESLIFELLDLKGEVEDGGSALWFLRDVANEQDAGDNLVVEQSGTIELAGLRSGEAPAVAGTAIGKLAVSKGRQGREAQNIVRLYLANIRLKNAATDVVITAYEPLLINPLSESAQAVAAGPAVPAEQAGCLPMSEVFRLAVMNFDVHDWNLFNGSG >SECCE2Rv1G0115930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:758981559:758986199:1 gene:SECCE2Rv1G0115930 transcript:SECCE2Rv1G0115930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGSGLNLLSAALGFGMTAVFVAFVCARFICCRARGADDGASTPVDFDVDFPADLERPVEDAHSGLEPLVIAAIPIMKYSEALHSKDDAQCSICLGEYTEKELLRIIPTCRHNFHRACLDLWLQKQTTCPMCRVSLKELPSGKAAITPSCSNPQVRPCTENSVNPAPDWLLPVHRPHRGQQNSSDTQGSVEVIIEIRQ >SECCE5Rv1G0370470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:842235632:842236018:1 gene:SECCE5Rv1G0370470 transcript:SECCE5Rv1G0370470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTVEIHRVGAEIFQGDDAMCKKKCVEDMEEFGYNRAAGFMWLVQRKKTEHTFKKVKQTVLYAGEVTAIVEPGKLKKIVGVKTKELFLWLIVVEVYVDSVTPGKVTFKTGTGLSETFYAAAFALGE >SECCE6Rv1G0409530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:589839566:589842366:-1 gene:SECCE6Rv1G0409530 transcript:SECCE6Rv1G0409530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTEPRWRMNSSFSPPSRGWDCMYSSDGLPHRTPDAPHDHPPYVSSLSSHSKGSRSAFGSDQYLNHHHSVSDGALSYFGSPVDSLQAPRWTPSLQRFDLGEFSTPAGGPKPESSDLQSSERHLTAVSSFSSASPFSETSQLASSGKRPASHLPRNHLGRRSFMSTPVYPLVFRNPVSEAEASGVAEASNAGRTTPSDDSLASPVWRRSLASPELKFHDTLSELRKMEASPEPNTSSRREGFRWSSASSYDFGYDGDAIDISDHISVDSQRSPTSSVSLLKCGLCERFLRQKSPWSSNRIVRNTNMPVAAVLPCRHVFHADCLEESTPKSEAHEPPCPLCTRAPDSEGCVSFSEPLHAALRSARRNHGIRFSSGDAGGSSSSANPSRNDNVLKRNQSALAPARSGSLFRNRFKKQFPFKGRLGKDLFGGRVFRKVGSSSSSGGQKDDRRQPPPASKTDRSME >SECCE1Rv1G0033370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:466698859:466701442:1 gene:SECCE1Rv1G0033370 transcript:SECCE1Rv1G0033370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNDAPVSPRSKLVLSCFEELLDFAVADVASECHRIARLGLDRSVDAEEEELRLWAARVAGDHPGAEDGATRGAGGGGGNKGAPDVFGQTHPAIAADVVDCMNCGRPVVAGRFAPHLEKCMGKGRKARAKTTRSSTAGRNRNSNGEEHSNHTFQES >SECCE5Rv1G0299760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19977837:19978984:1 gene:SECCE5Rv1G0299760 transcript:SECCE5Rv1G0299760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERWCGGIGSAEDMQAKVLKKQEAAAKRERAMAYALTHQRQAGSRKLKAADVQGPEADENQWGRNWVERWVAVRPWENRLLDGNAKENVPIGDDKEAEENGDRDANKPKGKAAVSGIQSNGSSQTKDAKHKKSHSDASGSSSGQSAAAPPTASLGSSKLKPKPSDETSEEVSPQPTDPAPRSPSNPKERPAAQVSAPTKKRLSLPNNATASGGARKGQASSSEKTRSVGSKNTAKGASKSEPKQRQSPGSATAKRVQAQA >SECCE2Rv1G0071640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:46515783:46519157:1 gene:SECCE2Rv1G0071640 transcript:SECCE2Rv1G0071640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAEGEARPLVVVGGGAKVKAMGPRLSLSLSPDALDLIAKREALLSEWASEPSPSPPLPASSSPWSLLSRCLPSSQPQPEQFDRFEHNWNSSMMMMPIPSEVAAAAAQDHQLVMECLRHYNLNHPENEYVPAPGKVTRYSSPHNGSCWTHGNFIASPKHSGSFSLLPARPTLFFYELVTKDGFEGVVSCTPLDEPVTEAYSLFGLHLGWGTRRDGSSDCLCNTCNRLVDSEVPSVGKAFPCGHYRAECVCQMCYLQSEVLHPSAEKFAFGK >SECCE2Rv1G0138460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921678452:921682655:-1 gene:SECCE2Rv1G0138460 transcript:SECCE2Rv1G0138460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRYPSAAATGGDDADHPGGGGGGTASLGIIRHDRRCRDLAFLVLFAAFWVAMIVNSSFAFNQGNPLRLTYELDYKGNVCGDRHGDPDVHELEVRYWLDPNQVYQSGVKGSKANLADAKAICLMECPTPAPDGLNFVCDYPEGDVRLSVDDWINRDYNYFDVLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQYIARASNVSLTHWQQMGGVNIEQNMLIDKTIHKAIDSRSAVLKRYVADIGKSWPVLIVCGALLPLFLSVIWLLMIRYFVAAMTWITVVLFNALIVSVTMFCYIKAGWLGNDPLTVVIGESDPYVHISGREISHLHVATVLMTVIMIIAFLSSIAIVRRILIATPVLKVAAKVIGEVQALIVFPVVPYFILAIFYMFWFSATLHLFSSGQVVRNDCSTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFMACSATVVAGSVASYYWARGEISHDIPFFSVVSSLKRLMRYNLGSAAIGSLVVSGVEWVRFILECLRRKLKLVGSAGESCFGKVSSSSSQCCRGCIDWTLMSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVLVTWGLGYTVAKLFFAVVEMSIDTIILSFCQDAEEHQGTAQYAPPLLMETLDEQGELQRLTQGP >SECCE7Rv1G0483190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:318269724:318275253:-1 gene:SECCE7Rv1G0483190 transcript:SECCE7Rv1G0483190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADAPQPARSGSGVTACSTSTAPGRMNGGANANANNNAAAAAMEERFADVCKRKLVLDESTTRQAMQLFKETKIILLSSMSSLGSGSPEEIERSLSACVLYCVSKLGNAGKAKEDRGITLRQILRAFDLKIVDFFKEMPQFCIKVGFILTGLYGSDWEKRLELQELQANLVHLCSLGRHYRRAYQELFLLNDGKPANNSSELNVQQASEYYDFGWLLFLVLRNQTSSTVKNLLTSTTELVSVLAVLIIHIPVRLRNFSIEDSSCFAKKSDKGVNLIASLCERYLTSEDELSKALQKTNILIQDILKKKPCSDVSECQQGSLSFIDPEGLTFFKNFLEEDSLKSSLQVLEKEYVNGLDTKGELDARMFANDEDSLLGSGSLSGGALKLPGTKRKYDDVMASPTKSTASRAPMSPPRFCPSPNGNSFCNSKMAPFTPVSTAMTTAKWLRSTISPLPSKPSGELLRFFSACDKDVTDDITCRAAIILGAIFTGSSFGERMCTSLRNTNGMDAIWTEQRKMEALKLYYRVLESMCRAESQILSGSNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIESFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPTLSAEINRLGLLAEPMPSLDAIAVHHDISLGGLPPLPFHKQPDKDEVRSPKRACTERRNVLVDNSFRSPVKDVIKSKFLPPLQSAFASPTRPNPAAGGETCAETGIGVFLSKITKLAAIRIKCLCERLQLSQQILERVYSLVQQIISQQTALFFNRHIDQIILCCIYGVAKISQLALTFKEIIFSYRKQSQCKPQVFRSVYVNWPSRSRSGKIGEDHVDIITFYNEVFIPTVKPLLVDLGPGTSPNRNNEPKSGGDAAPFPESPRLSRFPNLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTHAFQSPSKDLNAINTRLNSGKKVNGRLNFDVVSDLVVARSLSDQNGGSAAAMAVFGTKTPVKGEQPEP >SECCE3Rv1G0155430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:65484034:65486622:1 gene:SECCE3Rv1G0155430 transcript:SECCE3Rv1G0155430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARGGRLFLVLAVLFLAMLLLISGCAAEGEDEEGSPVPAEEPEAPMEDKEKAALYAAIGSFVGKAWNGSGLFPDPCGQTPIQGVSCDLFNGLWYPTVVSIGPVLDNSLQCAPDARFSPHLFHLRRLKRLTFYACFPPANPTTIPATSWDKLSGTLETLEFRSNPGLAGAIPASLGRLASLQSLVLVDNNLTGAVPPELGGLAKLRRLVLSGNGLSGPVPATLGGLKGLLKMDLSNNRLDGRIPPELAVLESLTLLDLRNNSLTGGLPEFVLGMPALQDLLLSSNPLLGGTLMQRGWERMASLATLDLSNVGLAGSIPESMAAMPRLRFLALDHNRLSGTVPAKLAALPSIGAMYLNGNNLTGALEFSARFYQRMGSRFASWDNPGLCTAETAGGAPTGVAVCKDAQDPPRVGVRDRMDAGGGKPEASSSLPASSSSFGLSGPKVAGLWCLVMVMVL >SECCE6Rv1G0452900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879618684:879620958:-1 gene:SECCE6Rv1G0452900 transcript:SECCE6Rv1G0452900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFAHYVGHMLAQLAADEVGTMLGVSGKIDKMGDKLRDLNNFLADADRRNITDVTVKEWVGQLKRAMYEATDILDLCQLKAMEHGSSTTLDAGCFNPLLFCMRNPSHAHEIGTRIKKLNKRLDYIKERSAAFNFVNLGSYEDHRSNVRISHHGNPSRETVGDFDRSAIVGDKIEEDTRALVAQIMQPGKDVKNDIMVVAIVGVGGIGKTTLAQKVFNDEAIQGDFSKKIWLSVNQNFSEVELLRRAIIGASGNSQLAGNAKDALHRALMRALKDHKTLLVMDDVWDNGAWEGVLKIPLANAAASGSRVLITTRDERVAQGVTAIRPYHHIDTLAPDDAWSLLKKQVCSSEIDEDHLNKL >SECCE6Rv1G0435280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:770579452:770582637:-1 gene:SECCE6Rv1G0435280 transcript:SECCE6Rv1G0435280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g71190 [Source:Projected from Arabidopsis thaliana (AT1G71190) UniProtKB/TrEMBL;Acc:Q9C989] MDGEWWRKKWVAWAAAAAIFVVLMLVTPAIPQDEDYHDFADQRDLFLGIPNTLNVLSNIPFLFVGLAGLILCHYKNYFRLCSQGELWSWTLFFAGVTSVGVGSSYYHLYPNDATLVWDRLPMTIAFTSIVAIFIIERVDDRAGTKSLAPLVIAGALSILYWSFFDDLRPYAVIQFVPCIVIPVMAIVIPPMYTHSSYWLWAAGFYLLAKVEEAADKPIYRWTHEIVSGHTLKHLCAAMVPVFLTLMLAKRTIEPDRVSLLQMWKISWRERGSQDSNTVDVKYDYAAVSTTSEQQ >SECCE1Rv1G0009020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:51664508:51668705:-1 gene:SECCE1Rv1G0009020 transcript:SECCE1Rv1G0009020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGREPGISRPALLFLLNTLPLLVLLTFSSAAPPAASSPAAVHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNNYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDNEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSMKGENSYSHHLDSDIGVSVVDRFTFHTLAFFEKLNMYSNASLNSLFNSYDPSLLLSTAYYRMDLYKRALNEVPVTNFFGSVMKTIHTDSAYTGFLAAHDAETPLPIRNDILDDVMLQNEASTRRSNIEEIKEAQLSTHGWTELLLEQLEGKDSDVVVMYGLGTMGILLAISTWLSM >SECCE7Rv1G0473310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:156020408:156023659:-1 gene:SECCE7Rv1G0473310 transcript:SECCE7Rv1G0473310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSYSNLLDLATGAADQGPAPAALGALRRRLPSVVTTPGLMEDSPASPSTPSPAPRPRTIVVANHLPIRAHRPASPEEPWTFSWDEDSLLRHLQKSSSSPSMEFIYIGCLREDVPVPDQDAVAQALLESYNCVPAFLPADTAARYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRLLWQAYVSANKVFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLPYESKRGHICLEYYGRTVSIKILPVGVYMEQLNAVLALPETEAKVAELMETYTGSGKVVMLGVDDMDIFKGISLKLLAMEELLGQHPEWRGRLVLVQVANPARGRGKDVAGVQEETYAMVKRINEAFGAPGYEPVVLIDQPLQFYERVAYYVIAEVCLVTAVRDGMNLIPYEYVASRQGNDKLDRILRLCKPEEKKSMLVVSEFIGCSPSLSGAIRVNPWNIEAVADAMESALVLPEKEKNLRHDKHYRYVEKHDVGYWANSFLQDLERTCKDHSNRRCWGIGFGLRFRVVSLDLSFRKLAMEHIVQAYRRSKTRAILLDYDGTLMPQAINKSPTAKSVQILDSLCQDTRNAVFLCSGFKRCTLDEWFPAENLGMAAEHGYFMRLKRDAEWETCIPPADCSWMQIARPVMELYTETTDGSIIEERDTVLVWNYEDADPDFGSCQAKELVDHLESVLTNEPVSVKSTGHSVEAKPQGVSKGVVARRMLAALQERGMCPDFVLCIGDDRSDEDMFQFITSASCGDSLASTAEVFACTVGRKPSKAKYYLDDTAEVVRLMQGLAYVSEEFALQNPALGEEDPEDLWCVGELQ >SECCE4Rv1G0251070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:598966193:598967623:1 gene:SECCE4Rv1G0251070 transcript:SECCE4Rv1G0251070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAATPSSGHQGSGAPHLVFVPSAGMGHLLPFTRFITAIADMGVDISVVTALPTVSEAEADHFAGLFAAFPAIRRIDFNLLPFHASAFADTEPFFLRWESLRRSAHLLGPLIAGAAPRASAIITDVTLASEVIPVAKNELKLACHVLFTSGATVLAFLAYFPVYLDGANADHLVGDVDVPGVRRLPLDYCPRVLRNPDGLFTKQFIVNGREIAKADGILINTFDALEPEALTALRGGKVVPGFPPVFAVGPLKSTTPSERRSDKAGSPIAWLGEQQARSVVYVAFGNCNAAALKQIREIGAGLEASGCRFLWVVKTTILDRDDTAELRDVVGDGFLGRVQGRGLVTKEWVDQEAVLKHPAVGLFLSHAGWGSVTEAAAYGVPMLAWPTLGDHKIIATVIRSGGFGAWMEHWSWQEEDSEDSSVVSGAEIGEKVNEVMGDEAVSASAARMREEAAKAVAPGGSSYRSMHEFLAMLS >SECCE7Rv1G0457710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18569311:18577445:1 gene:SECCE7Rv1G0457710 transcript:SECCE7Rv1G0457710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALBINO3-like protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G24490) UniProtKB/Swiss-Prot;Acc:Q9FYL3] MAAPSPHLHLLLARHPQAPPLRPSPSRPPPSVLLPRLARRGRAALLRPVAALGPGIEDVGQLFSRVEALLYTVADAAVAAAPAAEGGAKEAAAAGDWFAGITGSMETVLKVLKDGLSTLHVPYPYGFAIILLTVLIKGATFPLTKKQVESALAMRSLQPQVKAIQERHAGDQERIQLETAHLYKLSGVDPLAGCLPTLVTIPVWIGLYKALSNVANEGLLTEGFFWIPSLAGPTTIAARESGQGISWLFPFTDGHPPLGWSDTTAYLVLPILLVISQYISAQIMQSSQSNDPSQQGAQAAVKFLPLLIGYFALSVPSGLSLYWLTNNVLSSAQQVWLQKLGGAKNPVQEYIEKLAREESTNVGKYEPADKSEVLPKAGKPQPGQVPKPSEPQRGGRFKKLMEEESRRKQLLEQTKQTEEASIAVDEKQSFDGSAPDSKDEQSESHENEPIPASSNGGLSHDTHEATPNRSTEEGTIQEATDSHSSVSNAASSPADDRLRGQDEDGEDAE >SECCE3Rv1G0184140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:659930906:659935148:1 gene:SECCE3Rv1G0184140 transcript:SECCE3Rv1G0184140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLLGKKSTKSNSTKAKDLPAEAANSNGYTAGKEPESADNSPLISEPVLVSSHNVSEISSLPNGRAIENMVRVGSDTQISPEKLREELAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVSTLRATWLIVKFQALVRGRNVRFSSAAMQLPVKFGQHKYGGDKSSDAWKEKLSSHPYVRKLLSSPVLVQALHVQYDETNPNSAQNWLERWTISCIWRPVSKPKIVVDGKPQVRRASYAMETHSAKLKRNVRKSSAATVDTQTNTVEPEKWKRNTRKFNGSPADSVPDSQLSELEKVKRNLKKAANSMAEASKISTKADVSKVPNSIADELKILGSMAEPSKKSSILNGISDHQDSECEKALESTRDALFPLGTQDSHSGNLLENSDIDKSVPDIKYDLEAPFLGDKVNEPTIVAQADEVMPLQNLDNGYDIIESKEETRSKEEPLPNGSLKTKRRSSFSNSEYPESGTKNTPVPSRKPSYMAPTESLKAKLRGPPRLDSDLPVDKNGFTRRQSLPSAANNRGIKTEWRR >SECCE3Rv1G0196200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:806565731:806569906:-1 gene:SECCE3Rv1G0196200 transcript:SECCE3Rv1G0196200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAESPATENHPADQHLETLALDSSSTASTDPLLRPPPSPSPPSARAAAANGDPFVDENSEDDSPPILPAPDSPVISREPSPESYQYQITVSDPKKHDEAATGAAGVIPGSGSYFSYLVTTRTADGSEFRVRRRFRDVVVLADRLAATHRGLFVPARPDKSIVEGQVMQRHDFVNQRCVAVQRYLCRIAAHPTVARSDDFHTFLTDLSGIPTSEGESPRFNPSITAASPTAVTTPTTPPKGGRDFFGMFKDLKQTVANGLMAVRPPPVEEETDAKFLFHKAKLEQLEQQLAATSPQAEALVKAHEDYRKTTAHLGMTFVKLAKFEKDQSTCSSHRTRAVNINNFANAVVKVSRSQTKLDTEIVKHLDTIHKYLETMTSVHNAFTDRSNALLHIQSLSSDLFALHNRVAKLESVSSRGIDQERTRYQKVEELKETIRTTEDAKSHARKEYELIKENNMNEIKRFNKEIRQDLVEMMKGFVTSQVAQSDNIASIWEKLAEDTKGYAERRS >SECCE3Rv1G0173190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:319216481:319227149:-1 gene:SECCE3Rv1G0173190 transcript:SECCE3Rv1G0173190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G19180) UniProtKB/Swiss-Prot;Acc:O65041] MASPDAAAPPPTEPKRWRDLDMLLSRPGNLVHSTFEPSPGLRDLIGSVVEVLVVGAGGLGCELLKDLALSGFKNLHVIDMDTIDVSNLNRQFLFRIQDIGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKELEFYNQFQIIVLGLDSIEARSYINSVACGFLEYDSDDKPVQETVKPMVDGGTEGFKGHARVIIPGTTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHSGKPFDADDTEHMQWIYSEALKRAELFGISGVTYSLTQGVVKNIIPAIASTNAIISAACALEALKLVSGCSKSVSNYLTYNGLVGTHIKVTEFVRDTDCLVCGPGILIELDTSLTLTEFIKMLEEHPKLLMSKASVTHGGNNLYMQSPEVLEQMTRQNLSIPMFDLLKGTPFATVHVSGMAESNGKKVSSLRKLRVAFKGVEEASKMDTTESS >SECCE7Rv1G0481780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:290053011:290055448:1 gene:SECCE7Rv1G0481780 transcript:SECCE7Rv1G0481780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILSGGGGLAALRSARAVKETTGIVGLDVVPEARDVLIGLYTRTLKEIEAVPKDEGYRKAVESFTRYRLQICQEEEDWKRIEDRLGCGQVEELIEEAEDELKLISRMIEWDPWGVPDDYECEVIEDDTPIPKHVPQHRPVALPEEFFKTLDAMKSDPALQGDSPPQVKS >SECCE6Rv1G0427560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:719865323:719866448:-1 gene:SECCE6Rv1G0427560 transcript:SECCE6Rv1G0427560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAATPAPALSCGKVVIMDLGCSSGPNAVALVSIALEATHSHFLQLQQPPPEVCILLNDLPYNDFNVVAKSLVALRKINEPVVVTGVVPGSFYERLFPGGSLHLICSSNSLNWLSKAPQDLTINQIPAYHIDEHVRRERLTVVAGAYARQFKKDFTLFLELRARELVPKGRMVVSLAGRRSDELLNESSHVWGTVAQILAIMASEGAIDKAKFDSFYIPVYGPSLKELREIIQEDGSFSVTEMQAHEPTSGMDHACLTPNMIANSLRAIYEPIIDQHFGSSGEVMDEFVRTAEKYLSLQGGSQVKQTKNPIVILVVSLTKA >SECCE6Rv1G0415330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:641032281:641033922:1 gene:SECCE6Rv1G0415330 transcript:SECCE6Rv1G0415330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G70330) UniProtKB/Swiss-Prot;Acc:Q8VXY7] MAGGDEEATTALLPPPAGSAEAERPPPPPADRLGVGYLIFFTLGAGFLLPWNAYITAVDYFSYLYPGAPVDRVFSVSYMLSCLLPLLLIVLVFPKSSAPARINTGLTLFTLALLVVPVMDAVYVKGTPGLYGAFDVTVAATVLCGVADALVQGGVIGFAGELPERYMQAVVAGTAASGVLVSALRVITKASFPQDPNGLRQSAILYFMVGMVVMIICIVCYNVARRLPVVVYYKNIKQRAQKAEVGGGMTGPAWRSTLWSIVGTVKWYGIGVVFIYAVTLSIFPGFITEDVHSEALKDWYPIMLISAYNVFDLIGKCLPAIYLLQNSNVAVAGSFARLLFYPLFYGCLHGPSFFRTEVPVTVLTCLLGLTNGYLTSVLMILAPKAVPIHHSETAGIVIVLFLVVGLVLGSFVAWFWVI >SECCEUnv1G0545920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:147909145:147910891:-1 gene:SECCEUnv1G0545920 transcript:SECCEUnv1G0545920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETEMERRAHARVGLLGNPSDVYGGRAVSFAVAGLWATVRLRPSDHLLVQPHPRHDLVAFPSLHALVERLDGGGYYGGARLLLAICRVFHNHCKQSGIALEDKNFALSYDTNIPRQAGLSGSSAIVCAALSCLLDFYGVRDKIGVEVRPNLILDAEKELGIVAGLQDRVAQVYGGLVYMDFSQEHMDKLGHGVYTPLDVDLLPPLYLIYAENPSDSGKVHSSVRQRWLDGDEFIISSMKEVAQLAYDGHNVLLQKDYSELARLMNRNFDLRRKMFGDDALGEVNIKMVEVARSVGAASKFTGSGGAVVALCPDGDAQAELLKTACQEAGFVVEQIEVVPSALTQEELASLSSSHQ >SECCE5Rv1G0367830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:824557781:824559968:-1 gene:SECCE5Rv1G0367830 transcript:SECCE5Rv1G0367830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFRLLPLAGAALLLLGFGVASGQQQQAGGVPSTVTREAFDSMLGGRNQSGCEGGAFYTYDAFLAAANASALRGFGTTGDDATRRRELAAFFGQTSYVTTGYCYVKAVNRASAPYYGRGPIQLTTEDNYRQAGRALGLDLLRNPDLVSTDPVVAFKTAIWFWMTAPAPSPSCHAVMTGGWTPSAQDRDAGLLPGYGMTTYILTGGTECNGTSQAAQDRVNNYYKKYCDILRVGYGDNIFCQNDELSQPPSSPPSRAVLIGVSSSISFLIIIGSFICFLLWRRRRRRKQAEIREEAMEHGSEEEGNFWDVDQAMEDDFEKGSGPKRFRYKDLVVATGNFRYDNKLGQGGFGSVYRGFLDELNLQVAIKRVSKGSKQGRKEYASEVRVISRLRHKNLVQLIGWCHEGGDLLLVYELMPNGSLDRHLYGANNAVLPWSVRHEILLGLGSALLYLHQDWDQCVLHRDIKPSNIMLDASFKAKLGDFGLAKLVQHGRGSLTTDFAGTMGYMDPECMTTGRTNPESDVYSFGVVLLETACGRRPVVVGQREENTVHLAQWVWDLYGKGRILDAADERLGGEFDAPEMERVMIVGLWCSQLDFKMRPSIRQAVNVLRSEAPLPSLPATMPVAYYMPPVGTRSFTSSSVTSGSSCGTCTSSVAAIARPPPSSQD >SECCE5Rv1G0336740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:586348202:586350827:-1 gene:SECCE5Rv1G0336740 transcript:SECCE5Rv1G0336740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ureidopropionase [Source:Projected from Arabidopsis thaliana (AT5G64370) UniProtKB/Swiss-Prot;Acc:Q8H183] MESSNGKPPQGEEEGKAAGSIGGHESLHRLLESNLSPELFKEASRLLLGLNCAHPLEAISLPGATTDLAEAHNFDVQAFRFNADKEHMRQPRIVRVGLIQNSIAVPTTCHFADQKKSIMEKVKPIIDAAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQELAQKYNMVIVSPILERDINHGETIWNTVVVIGNNGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLMISDMDLNLCRQIKDKWAFRMTARYDMYANLLSEYLKPDFKPQVIADPLINKRA >SECCE5Rv1G0298080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:9518507:9521735:-1 gene:SECCE5Rv1G0298080 transcript:SECCE5Rv1G0298080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRPTAEHQESQAMALPSATAGHQEESQPMPPDLGERRGLFSCLFSECDAPPFNKSNLDADLLELLLNLHEEAFNRLPLHDMPVEAADQLAVSMRKGGLCLGLLDPVTNIILNTVALLPRDFGDMAKPDRRRSKRLAAGRVRPGDYSSWNTSWVLPSSGRVTCGPSTRRPTWYSIALGSRQALIRFMVGYFGCLSEEQAARYLHWAGADLALAVQLVEHDLHAAAVSPPDPASQRTQAAFKYAASCGQRHPAPDDLMRLQASPLPEQCLRDSAHLLEKGGRKLTVDDVIAIMDLLRYQAGAPLDLQFSLRPSGRELLVYCRDLKADQGRLDISNTTNSDGFKMFTIKVERHGHHFAALRSPHEHRSMISSCLQKVVKTAKAHFGSAVMICSGDACEYTGSLRMRLHDMIHGFYLKVFTMLPSTWLHLIPHILFAGHCYGPMDPVSNIIINSICHHILRPLTSSADCKVEVYDILDTLSMLRVEVRSLEGLIALVRASSESQCSTQRAMEHLSCKRCDLYQETHTSLQFADAAAAARHPQHAELGSFFASLAPDRLIDLRRLLATGADGRISSESLGEIISTFKQSALPLVAPESPNAAELCNEAKETLLQKRSCYNEMKLFIRAELAQVLKKYAFEHPLEPKYEPSVICGLVAAPRSLDRPSYHVNFVAASESDNQLFFAEINEPFPDPPKPNFCCPLPLTFAGRCYYGEGTARKIVYPDSSELLEGNIDITDYGTYEADGLLDPDFILDFRRDAQFAEDLRKYCEEQKADEYYELI >SECCE7Rv1G0454910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:4827079:4829562:-1 gene:SECCE7Rv1G0454910 transcript:SECCE7Rv1G0454910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLFANSEGNILIERFHGVPAEERLHWRSFLVKLGAENLKGAKSEELLVASHKSVSIVYTMIGDVCLYIVGKDEYDELALSEVIFAVTSAVKDVCAKPPTERLFLDKYGRICLCLDEIVWQGLLENTEKDRVRRLIRLKPPVEP >SECCE6Rv1G0383780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:57247274:57249307:1 gene:SECCE6Rv1G0383780 transcript:SECCE6Rv1G0383780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKKLVLTRLLLVGFIINLAARTAGAAGDEDGHQFVYSGFAGSRLLLDGAASVTESGLLELTNGTLRLKGHAIYRTPLRLRGRSFSASFVFGILSAYPDVSANGIALFVAPTTNFSGAMAAQFLGLLNGSNNGNATNRVFAVELDTMQNNEFRDLSDNHVGVDINSLVSVNSTDAGYYDDDGSGEFHNLTLISHEAMQVWVDYEGGTGRINVTLAPLKMRRPARPLLSVVHNLSAVIPDTAYIGFSSSTGLVSSRHYILGWSFAVDGPAPAIDIAKLPKLPREFPKPRSKAMEVILPIATAALVLFVGTALVLLRRRQLRYRELREDWEVEFGPHRFSYKDLFRATEGFKNKNLLGVGGFGKVYRGVLPVSKCEIAVKRVSHSSKQGMKEFVAEIVSIGRMQHPNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLHSQPPEADKRSSTLNWAQRLQIIKGIASGLIYLHEEWEKVVVHRDIKASNVLLDSGMNGRLGDFGLAMLYDHTADPETSHVVGTIGYLAPEIGRTSKATILTDVFAFGIFVLEVTCGQKPIMQDSKDDQLMLADWVVEHWNRGSLAEAVDTKLQGDYDVDEACVVLKVGLLCSHPFPEARPSMRQVLQYLNGDMPVPELVPAHLSLQMLAFMQNEGFDSYIMSYPSSVESVNSLTSLVQER >SECCE4Rv1G0216660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12167103:12168750:1 gene:SECCE4Rv1G0216660 transcript:SECCE4Rv1G0216660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSFPLVAGAVVAGVISGNVIFGPPLQKYWAEKQQQDQAAKEGQTGST >SECCE7Rv1G0459170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:24558919:24562777:1 gene:SECCE7Rv1G0459170 transcript:SECCE7Rv1G0459170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNANELCFLESMLSDGNAEPTKLSYALLKSVTRNFSRMIGRGGFGVVYLGVLPNKRKVAVKKLSILQDLSNNAFVDEIKCLKKAQHNNVVRLLGYCAVAHGELVEVNGTHVIAEETQRLLCFEYVPNGDLQDYIKEKCHGDEWKIRYQMIKGICKGLQYLHKQRISHLDLKPENVLLDAKMEPKITDFGLSRFLAEGKSIMMTKQVFGTLGSIAPELIDSGEISFKSDIYGLGIIITKLLMRDNNYDFINWHESLEVGCPQEKMCVELARRCVQYDQHKRPTMNEIILELNETENTIQEEPPVIQKPRNNPSSSQSQV >SECCE4Rv1G0241730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:460671927:460678030:1 gene:SECCE4Rv1G0241730 transcript:SECCE4Rv1G0241730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSRQTHTRKKKRTSRVTERKASEYPTCFPPEPQTPRRQSRLWTVMSAAAVDGPPSSQQPPRPTVESILQLASRDPSATVPLLPALPPDALADLLCSLSAASPPNHLSLLPALLSLSPNPSAASAAFSSLLNAPSWPSPTLLAVASLLRDLPASFRSRVPDCLSKVLSLFPNADVQDLPALAYQLLLLASKPLHPRLVLAGLLRFFGARVRAPPSIARQVEGTVLMHVAFAVKQDPALAREVVAAVKANVAGVLNGFAVAVLLSVARVRRFNDGAVGVLRDAATTSRRDYRMSRRCKWLPDRLKEESVEAAHCVEKALLKAVAESIGGREHVVPSIVQVGFLLLEVSNGDPGEEDGPDEGVMSTEEIGVNMLKSLFETHEIARTEIIEQCKFRILSAKPQQSAPVLRLLGCLVRSHPFPMLEYIAHLKELLDYFSFMNDKISTGLINCILPLTKFNRDLKDYIILVVRKAMFKREDAVRIAATNAIVELIVTESEYRKNEANPFQDSSSQPSSSQQPEIHREVGVELFQELSGLLRRCLSQQARVKTVLYEGLIRIVTSDPDVANDVLDFLWPHFLNYYIENAECPLKMDSCFKVENAKVCMVEPLDCLLSCVSCILLAQQNSKCHRPRDAYWKCFGFAPSQDNEVGRSSSRDLFMKGLSNIQKYLRKCLTEDQRGQTQEAGSAYSSLEIVQCHNVFMPGIVEVFVDFAASKLAEAADEPKEKLEKEILELIDAHSGFERKTSKSREKVARRRGDSRGATDRQTNEPKENSNASLLKLHEKRFFFMNSSLYELVVMCAKQCHADKYDKGSQCPSQSKLNQSSSLLSFVLKACLEMFRSLAAKASDLAIGNLRRTRYEDVKNLVQPIVKLIWWLMLDSEQENGGFKKNTTQGKKTFENQKDQLYLALTCFKELFKLSVSEDRSSDIIDLLISSAPSNIEDMMEADQLLDNDTTVTENPEKRGAHVFLNILKMLYARALSQSLSRESEAVSELIFGISRKLHPDQRHLVGHWAAGLCRKNTVESPTTAQEMVKLAVHLMTTPDDMILVHEMTTELNKLIASGDEESVDSSETFLIVNCKTKNSLVAVFLHMVESSLIELEWGLGKLKAMLTLGYGSSNVDEDQPADERTQRMFLEEALYSRSTSVVHVLSSFTHMSLKDSQAEQFLKLTAKFYKLLARISKSQIAPKGYKQFIPGLKFQKMAEVTCRMLTAPLYNFVFTLQESEQPHKKGILAKIKRESKCIPDLIFQVEDYEKYLIQLSKLTKVNLLRHAKRSVARDFRIQPKEKAAEEEREGDSPPASAASPESDPEEDAEEGPDVPADENLQASAQHDDAVHDSESDGEEEEVLVHRKRANANQIVHDSESDGEEDEVLARRKRGKTNQMVQDSESDGEEEEMLARRKRAKTNQIVQDSESDEDAEGE >SECCE2Rv1G0064090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:1315582:1324962:-1 gene:SECCE2Rv1G0064090 transcript:SECCE2Rv1G0064090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMS19 nucleotide excision repair protein homolog [Source:Projected from Arabidopsis thaliana (AT5G48120) UniProtKB/Swiss-Prot;Acc:Q0WVF8] MAKVPAGEWVPHVEAFVDVSRSPAEHSAGVDALAALVNKDKLTLFDLVSKMDMYLTTTDHIVRSRGILLLGQIMSHISFKQLDVNAIITLSDFFISRLSDWQALRGALVGCLALLHRKSSVGTIMVADVKRLVEAFIADVQVQSLAAADRKMCFEIFGWILDHYPEAVKTMDDELLYWICQSIDEEKDPECLKLSFHVVEVVMKLFPDPSGFADQFASDLFEILSKYFPVYFTHGAGDNLGVTRDELSRALMHAFCASPYFEPFAIPLLLDKLSSSLPLAKLDSLKYLDNCIRCYGADRMVTHASAIWFKLKEVLFSLSSDQLLSSGPPKDAESNKNQIISEAEHCLKTAVTYIHSSDRDIFINLILLDEDIVNNIHSVTTEEKSIGSSLQALGSVVSILAGSSTYLCTRVFQAHFKRLVDILGNSAGFDSQHLSIANGSSPAAINYGALYLSVQMLSSCREVAVASREGIPSEESWWLILEEKLDQIIYLFGKLLTTDSQPTQSAVRKECLSFAVKGLLILATIPEQCSLLLENAYEDILLMLTLVITSKYENAHLWRLSLKTLSSIGSSAVGLHASKREMVYNKIVVDKILRLAESCDASMPLNLRLEACFEVGTASVNSMLRVARSLEETVITNISQVNGSIECADYVTCLIDCYSSRLLPWFFTSGGLNELALGFALRLWDEIGDLVTLDRIRSQGLLDSLMMGMKLLVGVCTEEQQSLIVQKACGIVSSMLSLPVNGLTHHLMSVDELVPAHSVQDTALVCMLSSVIVGLRPQTPVPEMMMMINLFSVFLLNGHIPAAHALASIFNKYLQNSEFSHEIKLDKILDVILGGCFSIVLPSSNLKMSRSSTATSDDANFSDSLPGSIGSKVDILCGLAWIGKGLLMRGDEKVKEISMFLLRCLSSDASLAAAAADAFHVMMGDSEVCLNKKFHARIKFLYKQRFFSILMPIFLSKIKETSELTTILVIYRAFGHIISNAPVSAVITEANQILLVMVDILAKLSVDIQDKDLVYSLLLVLSGMLMDEKGKECILENIHMIISVLAQLVSYPHMMVVRETALQCFVAMSSFPHSKVYRMRPQVLRAAVKALDDKKRAVRQEAVRCRQTWQSSFA >SECCE7Rv1G0475480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:188687722:188690744:1 gene:SECCE7Rv1G0475480 transcript:SECCE7Rv1G0475480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDAAVSAGTAALQNPPRKEKYEEDDEDDVPLAFSRSKKKASAVSAAKVKKEEEEEDDDEGNIPLARSRAKKTSNGGAKKEKKDEYEEEEDEYEEEEEDDDDDEDFADDYTPVSRGKKGNEKEKSTSNSKVKASKVKKEETGSDDECNPKSQKRSPAAGKPKISKIKKPKDEYDTKEEKKIKKEVGIKKDEKEGAAVVKKERKVYELPGQKHDPPPERDPLRTFYESLYEQVPDSEMAAFWLMEWGLLPLDVATEVFAKKLGKKQALKLRSPVKTPSTQRRASSPTKKVLLLGDKKTNSGTKGKITSQKKRASSDTDDDEDDDFVKTNSATKGKTTAQKRKKASSDTDDDDDDDFVMPKRPKKQKISS >SECCE2Rv1G0064750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5009237:5010406:1 gene:SECCE2Rv1G0064750 transcript:SECCE2Rv1G0064750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEHLMSVVNPSSGYILQRGLERRGDGTMDEMKELQKQRTERMKEAYQSAESRDGAIRCPIPCKSSRPYREYDFKSAQDLSDFMVSKASPPYFMGSPPVRASNPLVNDRQFRAWKVQSVDESLGIPIPTRGYRVGYNKRGGSATED >SECCE6Rv1G0410260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:596272392:596272880:1 gene:SECCE6Rv1G0410260 transcript:SECCE6Rv1G0410260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCNDRGRTAAAARIMESGAKYQPCNTAATQTAGRVPADAQQPPPRRTRRKHSASASRRSSTTVVATDVSNFRAMVQELTGFPPAAIFRPLPRRAHAASHSLAAAAHGCGGALHGSRSDAPTTAGGVPALVQPLTHPPGVFDGLPDLGSPEFDAWPDLSFE >SECCE3Rv1G0158830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:91215062:91220471:1 gene:SECCE3Rv1G0158830 transcript:SECCE3Rv1G0158830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDADPGTGSGGGGFEWDADSQLYYHASTGFYHDPVAGWYYSSTDGQYYIYENGDYVLWTSDAGKEPNVQSPCDEASQSFLESSSGPEPDIPHQPPSEWLEETLINMYLSGYSNIEVNTENLLGESQISEEGKSDEVEKKLSNGASDNASDSLNDATLQQIEDKMQIENFTAAHESLGEEEENWLSQYGQVERVNDELPLFPSVDLWDWHIVTEPVSKGQPMTRLVGRLTRGSSKLHPSLPARGGLLRTAPVREVHLDLVRVSSGKLYRLRNPSRNYLASLSAYDSSNPTKDWAFPDLYANPNNNSDKQSTACCLPDVAHESSTGEGVSAASGKEHKINTYRDRAAERRKLHRGLGIGPGQKQSNGMSSDEYEEAIEDMDSLGAGPIDMNLCSSGLKSAKRIMENMGWKEGEALGKSRQGIVEPIQPTFNKHGAGLGWSQTR >SECCE1Rv1G0052340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:660729869:660731251:-1 gene:SECCE1Rv1G0052340 transcript:SECCE1Rv1G0052340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQWTRVRTLGRGASGAEVFLASDDESGELFAVKSATAACASALKREEGLMSCLRSPRVISCIGGRGARDGSYLLFLEFAPGGSLADRVASNGPLDERAVRGYAADVAAGLAYIHGAGMVHGDVKARNVVIGADGRAKLTDFGCARRAGSGVPIIGGTPAFMAPEVARGEEQGPVADVWALGCTVVEMATGRAPWTGMDGNALAALHRIGYTETVPEVPQWLSADAKDFLARCLVRQASDRCTAAQLLEHPFLSSASVDAKPQAVESKSKWVSPKSTLDAAFWESESESEEAEHEPSSDPAERRIKALACPASALPDWESDSGWIDVLRAPTEAPYAVSEDTINLGDEAITDAEATGGESGALGSSIVEAEYNSESVLVGAWETYDDSVGNSSRLHQSPTFGDLAFHHKLSCTELLLCNRITNAIDFVLAQALCIILLSVSYRAHASSSPMATRWIRR >SECCE7Rv1G0480900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:275388618:275390309:-1 gene:SECCE7Rv1G0480900 transcript:SECCE7Rv1G0480900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGAIIGASTVLVVAVVAAVCVVSFKEASNEKDSTELTTSVKSIKSFCQPVDYKVACEKTLEETAGNATTTTELAKAIFKATSERIEKAMRESTLLNELKHDPRTSGALKNCKEMLHYAIDDLKTTFDQLGGFEMTNFKHAMDDLKTWLSSALTYQETCLDGFANTTTNASAKMRKALNVSQELTENILSIVDEFGDTIANLDLSIFSRRLLGHDGAPRWMSDAKRRLLEVSPSEPDFKPDMTVAADGSGDYTTINEALAKVPLKSEETYVMHVKEGTYKEYVSVARNVTNLVMIGDGAGKTVITGDKNFMMNITTKDTATMEAIGNGFFMRGITVENTAGAKNHQAVALRVQSDQSVFYECQFDGYQDTLYTHTSRQYYRDCTVSGTIDFIFGNAQVVFQNCLLQVRKCMENQQNIITAQGRKERHSAGGIVIHNCTVEPHPEFKDHIGRLRTFLGRPWKEHSRTLYIQSEIGDLVDPQGWLPWLGDFALSTCYYAEVENRGTGADMSNRVTWRGVKHVTYEQAQEKYTVERFIQGQLWISKYGVPFIPGLLPQEQAGRLH >SECCE2Rv1G0137560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918467491:918473898:1 gene:SECCE2Rv1G0137560 transcript:SECCE2Rv1G0137560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAATLDPAARDGDDGDGEEDGEEFHESLDRILSSSCSSTSASDDDADLLLHRHRRRGSQHQHQHQGAPDPAYDVWISEPTSVSERRRVLLNRLGLSASGSLQPASPGRRSISVPSPLSPPPRSRSPSPPLASPPPEESAGPAEEDTLPRSVGHGKPPLARNQSIGGGGEQCRIRNLDDGTEFELGEVHEEVVREVGTGRQLTLEEFELCLGRSPIVHELMRRATTAGASSSASDHAGAPASSKPRRKSGGWLRGIRHLAGTVAYGGRRGSTEVRDREKEKKEREARRLSSATDDSPDGSTTLSAGRVKVRQYGKTCKELTGMFMTQELAAHSGSVWCINFSLDGRYLASAGEDRVIHVWEVSEGERKGELLGEGAVSKESGGGGSPFVAVAGNGSPDVAMLSLNSADKGFVEKKRRPRVQSSRKSVGSDHLVVPECVFGFRDKPFCSLLGHAADVLDLSWSKSQYLLSSSMDKTVRLWDITSSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVHDRKIEDWNDLHEMVTAACYSPDGQVAMVGSHKGSCHLFDTTEKKLQYKSQIDLRIRKKKSGQKKITGFQFAPGSSLEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYVWRHDNSSHPSRSRSAVDVTNSYEHFHCRDVTVAITWPGAEARGSLGCRSSRHSDSDGAVNSVPETPIQIKEQGSNGTAHSHRYTESPVCEGGASTSTSNHPVEAASPSLPDDQLPSAKSSPGHSSSDLCIGAMDVQRRSAWGLVIVTAGQGGEIRVFQNFGFPVQV >SECCE1Rv1G0010700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:65016682:65018395:1 gene:SECCE1Rv1G0010700 transcript:SECCE1Rv1G0010700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTGSLDHGGFAFTPPPFITSFTELLSGCGAGDMERFNRGGRAGAPKFKSAQPPSLPISPPFSCFSIPAGLSPAELLDSPVLLNYSHILASPTTGAIPAQRQRYDWQASADLNTFQQQDELCPGDRGLFGFSFHAVKSNAKSPTPQVAVEVSNKSSSSGNNNKQVEDGYNWRKYGQKQVKGSENPRSYYKCTYNNCSMKKKVERSLADGRITEIVYKGAHDHPKPLSTRRNSSSVCAAAVVAEDHANGSEHSGPTPANSSVTFGDDEADNGLQLSDGAEPETKRRKEHGDNNEGSSGGTGGGCGKAVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKCNPNPRSYYKCTTVGCPVRKHVERAAHDNRAVITTYEGKHNHDMPVGRGAGASRALPTSSSSDSSVVIWPAAVQAPYTLEMLTNPAAGHRGYAAGGAFQSTKDEPRDDMFVESLFC >SECCE7Rv1G0460970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:34352074:34355510:1 gene:SECCE7Rv1G0460970 transcript:SECCE7Rv1G0460970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPRRRDSPPAIPDELFEEIFLRLPPDDPACLHRASLVCKAWSHTVSSPRFRRRLHELHGTPPLLGFLHNWKDDLIPRFISTTASSFPLAAPDRRTWRALDCRHGRALFFPQGSGGPEMLLWVPITGAQQRIPVPAEFNCDNYSTAAVLCAADGCAHRDCFGGPFRVVFLFADLIDEETQVTSASIYSSETGAWGMLTSVQCNIDFSLEFTESYSVLVDRSALYFMSDGNANCAYVVEYDLASHDLTLFGLPDTCYRHTYNLVLVEDSGIGVIQYLDARLKLWRRDASAETGWVLNRVMCLENFPPMDALFHAQYRVQVLGFAEEANVIFVNTNVAGLFTIELRSGHVRKVCSNRGFRSLTPVVSFYTPVHRGKHQNLPASKPSGEAGGGDGGEEEKTVDQAQQLLDKGSNATKEVHFINTFEYVSHNLEIRVPCYGEGALEGAGMLNKPGCALLPKDSSADVPKSAPNLCLCFHLGFPGVCECTIRRDDAGNSSTSESNVDDAPPLQKDCGPQVNMASFACSSEQQQEEEERKQ >SECCE2Rv1G0082290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:149832235:149837121:1 gene:SECCE2Rv1G0082290 transcript:SECCE2Rv1G0082290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLTDRARRAVAASLRGATRPAAPSPAPAPAAALSRPSPSAPSAMAAYMARAMSTSAAGTPPVSLDTINPKVLEFKYAVRGEIVTHAQNLEQELHKNPESLPFDEILYCNIGNPHSLGQQPVTFFREVLSLCDHPALLDKSETHALYSSDAIERAWQILEKIPGRATGAYSHSQGVKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLIRSEKDGILCPLPQYPLYSASIALHGGSFVPYFLDEETGWGLEVDELKKQVDEARSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVEDKQFHSFKKIARSMGYTDDDLPLVSFQSVSKGYYGECGKRGGYMEVTGFNADVREQIYKVASVNLCSNISGQILSSLIMNPPKVGDESYESFMVERDGIISSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRLHLPQKAIGAAQAAGAAPDAYYALRLLQATGIVVVPGSGFGQAPGTYHFRCTILPQEDKIPAIISRFTEFHEKFMDEFRDVSRDEAPTGTTTESGGD >SECCE2Rv1G0066690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:15088108:15089233:1 gene:SECCE2Rv1G0066690 transcript:SECCE2Rv1G0066690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLRHFLGFFLGAAITAAFVVLLLPSPSPCSCSSGTRTPPPADHKLASPDQAALKRLDTAVNNAAREEDDEKLVELLRSAAMDDNTIIMTFTNEAWTAPGSLLDVFLESFRIGVRTEPLLKHLVIVAVDGKAFEGCQRVHPLCYRLTAAVDFAGEKLYNSPDYLDMMWARNKFQARVLALGFGFVFTDVDIVWFRNPLLRIPVGADIALNCDWFSGDNPYDLNKTANGGFLHAKPRARTLAFFKDWYEARARYPGEHDQFVFDQVKHELAARHGVTVQFIDTQYLSGRCEPRMDFRKLCTFHANCIIGLQYKLEYLTGVLDEWKRFKAQEELLGTNSTALTY >SECCE7Rv1G0504980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:729062267:729065626:1 gene:SECCE7Rv1G0504980 transcript:SECCE7Rv1G0504980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKRDRRIADRNSPGTTEEKQDICVLLDHIHGFYKAALDRLPTGAIPSLAPRLFKAGMPFGFLDPVSNIIVNTVAYAPAPAPRSDDEDEEEARAPEWILSKIITDTSDRLVFKLPLCRHRSRGMTLARRSLDGLVNFLTTRFPYLYVREALSYLLLARADLRAAVRLIERDRNKRRSASSIISRTTMVALECAAVCARHPKPNVLVKASLIMASRLSDVTTLLAGQDPLSPAALKSLAELLKQEPASLDDLPNLSLDHLCGKENKKNKKRKRSQQTSEFIEGSRPQKTRLGPQLTFRSTLSLKLLLLGKIHGHYLQALAKLPRDGLRKRLHCSLLKGGYCYGPRDPVSNIIVNTIWYGSTFPTPQKFELQFKVDMVCTRMLARMECCSFYGLVTFLRACAPTITEHDAIWYLFRSDADVHKAIRKLKKHCHAVCDNYQDAYRQAAVVSWHVDPDALVKFVTSSLNMESDKLSAILQGTLTSDAVECLAMALPPTKSEEQAQHLNKVTSSSQALSKKQKRFISEFQKKFRRDQNFFVKKVKAALSDYSRQEGVHYKLHTICGVNPRVPDGPSVALFKKRFQFEYFHVNFLATTNGPNSAATAPELFFAQCSNSVKVMRDRPSWCTRVSDSCIDKARCFSCEFNGAKIVHPYDGMYSGRYEDFILTARGKHGTPNELVISSCHSHSDMMYTMTEDFIYFDPNTDSKIAEMNPISNVARALREWKGRIL >SECCE3Rv1G0186010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679970981:679971280:1 gene:SECCE3Rv1G0186010 transcript:SECCE3Rv1G0186010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCTVLFLAAMLAASGAVVRGASIAVAAARSECDPWALRPCAPVILWSAPPSSACCAKLREQRRCLCRYARDPDLGRYINSQTSKEVAAACRVRVPMC >SECCE7Rv1G0495030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:593485552:593486881:-1 gene:SECCE7Rv1G0495030 transcript:SECCE7Rv1G0495030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SOMBRERO [Source:Projected from Arabidopsis thaliana (AT1G79580) UniProtKB/Swiss-Prot;Acc:Q9MA17] MHPSGGALSVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLGSGARRIGMRKTLVFYVGRAPHGKKTDWIMHEYRLDEDNVEVQEDGWVVCRVFTKKSYTRGMNPAEMAGYDDDELLHPFPVPASAAGAMQMTTDQKHIMHNPGHLMQQHGQQQHYDFPSFDASMQLPQLMSAEHEQQTLSSFLPGAPAAVAMSSHELECSQNLMKLTSSGTSGMLHHAGGGGGGDPRFPGTDWSILDKLLASHQNLDQLFQGKVAAAAAAAASMAPYQQQLMEQLGGGSTSSMQRMPLQYLGCEAADLLRFSK >SECCE6Rv1G0439200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795544798:795546702:-1 gene:SECCE6Rv1G0439200 transcript:SECCE6Rv1G0439200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVLTPAALPWSYLACGLLGLALLWPTTQLLDRLWWRPRRLERALRAKGLRGTSYRFLLGDMSDYGRQNKEAWSKPLPLRCHDIGAHVMPFLYSTVQQHGRRCISWFGPVPKVSITDPNLVREIMSNKFGHIHKVKFPALAKLLAIGVATHEGEKWVKHRRILNPAFHVEKLKIMLPAFSLCCEELVNKWTRSLGPDGSCEVDASLELQSLTGDVISRTAFGSSYLEGRRIFQLQSEQVGRFMAAIHKIMIPGYMSFPTKNNRRMRQINNEIESTLRGLIGKRMQAMQEGESTYDDLLGLLLESNKTDMDENGQSIPGMSIEDVIEECKLFYFAGMETTSILLTWTMVVLSMHPEWQDHAREEVLGLFGKHKLDYEGLNRLKTVTMILYEVLRLYPPASAFTRQTYKEIEIGGVTYPPGVIFEMSVLHIHHDKDIWGDDVHQFRPDRFAEGISKASKEPGAFFPFGWGPRICIGQNFALLEAKMALCMILQRFEFELAPSYTHAPHTVMMLRPMHGAQIKLRPISS >SECCE5Rv1G0318920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:369258143:369264891:-1 gene:SECCE5Rv1G0318920 transcript:SECCE5Rv1G0318920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRHELKPMKKQDSNNYISTLFPHILVWKETYGSVFLYSSGGREILHVSQPDMVKDIDHWTPSELGKPNYLKKTRKALLGGGLFTVNGDEWAYQRKTMAPEFFMDKIKGMIQLIEDATAPLLEAWENILDSAGGSTEIVVDDYVRNISADVIARACFGSSFAKGEEIFCMLRKLQTAISQQDAFVGLSALWKHLPTKSNREIRNLVEKVRLLILELAKANVNENGAENAATHNGLLRAIVNGAHGGTAEDFIVGNCKTIYFAGHETTAVTAIWCLMLLAKHPKWQERARAEALEVCHGRSTLDVDALHQLKILTMVIQETLRLYPPASLMMREALTDIKIGGLDVPRGTIVQVTRSMLHLDKEAWGLDAEEFRPGRFANGVAGACKPAHLYTPFGLGPRTCIGQNLAMTELKLVLARLLSRFAFSPSPTYRHAPVFRLTIEPGFGMPLVVKKL >SECCE3Rv1G0204450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:894857321:894858851:1 gene:SECCE3Rv1G0204450 transcript:SECCE3Rv1G0204450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVVATYYYPPMEVAAAELGHTAGSKLDDDGRNKRTGTMWTASSHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYFTSSLLADCYRSGDQSTGKRNYTYMDAVNANLSGIKVKICGVLQYANIIGVAIGYTIAASISMLAIKRANCFHGNGHADPCKVSSVPYMIIFGVAQVFFSQIPDFDQISWLSMLAAAMSFTYSSIGLGLGIVQVIANGGMKGSMTGISIGTVTPMQKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESTVMKRATMVSVAVTTVFYMLCGCMGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVIHLVGAYQVYCQPLFAFVEKWAAKRWPESTFITGEVEVPLFRTYKVNLFRATWRTAFVVATTVVSMMLPFFNDVVGFLGALGFWPLTVFFPIEMYVVQKKVPKWSTRWVCLQMLSVGCLAISLAAAAGSIAGIKSDLKVYHPFKS >SECCE2Rv1G0078310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:106838117:106838998:-1 gene:SECCE2Rv1G0078310 transcript:SECCE2Rv1G0078310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVLQLRSSDGKVLVAPAWDYRPAAAQALPLEMPVPSRALERVLQYWTKHSLAKATGESRESLARWDADFQRRLEEDGLAKEAASAAQELRRHGVDHGGRPHRHAATAASDVAAPAKAARADPVRAWCPLVHHLKGVNHGERSHAPAMPGAFHASAARPGPTTTLPAAAGADSVDVRCAIRARGRQMAEDEESACHHCKRPASKAAAPVKKVSRPVGSKVIPAVVSRPITQLPVTAVAPVVKKMTPAVSTLRARRGKGELSCKVPKQIRVTAAAPMKQPIPWLRPVVLRPC >SECCEUnv1G0535960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:61719940:61720308:-1 gene:SECCEUnv1G0535960 transcript:SECCEUnv1G0535960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSMASVTELGAMISDACQEAEKLPGALISCGVVEAAAALCLIISSAPRGLFLHHGKAPYYLYYGILVAVAIFGLFEASAGFWVSGDVVGRRAVGKTIMWVSIVPLASVLAIGGLVILNVK >SECCE3Rv1G0145710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8228149:8232815:-1 gene:SECCE3Rv1G0145710 transcript:SECCE3Rv1G0145710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 50 [Source:Projected from Arabidopsis thaliana (AT1G61770) UniProtKB/Swiss-Prot;Acc:Q8GUN6] MAAAAPLPALLLVLLVAALLLPAADAIYCDEDDCYDLLGVKQDANSSEIKKAYYKLSLKHHPDKNPDPESRALFVKVANAYEILKDEETREKYDYAVAHPEEFFYNTAQYYRAYYGYKTDTRFVLIGLLLIMSAFQYINQLTSYSQAIESVKQTPAYRNRLKALEFERTGGISSKKKGNKPMDKKVEDELRNEVDLQIQGVQKPSVWNLCGVQLILLPYLIGKLLIWQICWFWRYRVKKSPYAWEDACYLTRTSLRMPANTWQNIDEFTKEDLVMKRLWEKANMERHIAEARRGSKQRRR >SECCE1Rv1G0013820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108597504:108598265:1 gene:SECCE1Rv1G0013820 transcript:SECCE1Rv1G0013820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVKVYGWAVSPFVARPLLCLEEAGVDYELVPMKREAGDHLLPDFLARNPFGQVPVLEDGDLTLFESRAIARHVLRKYKPGLLVGDGSPESAAMVDVWLEVEAHQYNPSAGAITIQCLLVPFLGGVRDQAVVDENVVKLRNVLEVYEARLSASKYLAGESVSLADLSHFPLMHYFMQTEYASLVEERPHVKAWWEELKARPAARKVTEFMSPDFGLGNKAEQ >SECCE4Rv1G0259760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:690237256:690237957:-1 gene:SECCE4Rv1G0259760 transcript:SECCE4Rv1G0259760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLLGGLCALFSLASSSSRGAKKCCDAGEKESSHHGEQEAKASKAEETAKEARPAPADREADLGIVFSTFDHDGDGFITAGELEESLKRLGIAVSAAEAAAMVARVDANSDGLIDIHEFRELYDSIPKKRKTSLLPPSAGAGAGAEGEEDEDEEGEEMDLKEAFDVFDGNKDGLISAEELGTVLGSLGLRGRPAAAECRDMIRLVDADGDGMVNFEEFKRMMAVVKA >SECCE3Rv1G0160290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:103928876:103930444:-1 gene:SECCE3Rv1G0160290 transcript:SECCE3Rv1G0160290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMPPQPHAVCLPYPAQGHVAPMLNVARLLHARGFHVTFVNSEYNHARLLRIRGTAAMAGAPGFRFATIPDGMEAPSDGGDDDVTQDIPSLCRSTTETCLGPFRRLLAELNAAADRPPVTCVVSDLIMGFSMDAAKELGLPYVQLWTASAVSYLGYRHYRLLIDRGIAPLKDMKQLTDGYLDMPVENVPGLRSMRLRDFPTFIRTTDPDEFMVGYAIKETERAAGASAVILNTFGELEGEAVEAIEGLLDDGNNKPKVYTVGPLTLLAPRSTSSTSISSLSLWKEQEECLQWLHGKEPASVVYVNFGSIVVMTNEQLVEFAWGLANSGRHFLWVIRRDLVRGDAAVLPPEFLTETAGRGLMASWCPQQEVLNHPAVGAFLTHSGWNSTLESMCGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDSNVQREAVVGLITELMDGEKGKQMRKRAEEWREKAIMAANPGGSSHRNFDELVRNVLLPKNDNGAHGVARD >SECCE1Rv1G0027990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:391533421:391533723:1 gene:SECCE1Rv1G0027990 transcript:SECCE1Rv1G0027990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFFKLFAVLAIMAALSDTNVPSPVGVCARTPGRAPPSPTPSALRGRVKPINPAPPPPPPPPAEMGRVRPLRSPPPPPSPNRQRSVGSTQFPPPPLRCH >SECCE6Rv1G0418440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:663001268:663002488:-1 gene:SECCE6Rv1G0418440 transcript:SECCE6Rv1G0418440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIGKFVTDCLSYLLHLLPPFLPFHRRAEPSSPVAASGGAPPPYPYESRERPIPRRRRSSAAGGEPSRSAIVADTTSGHHLLTINGYSCTNVPTGQKISSRPFQLGGHRWRIDYYPNGITSAVADYVSLSLILAEDVKAAVKAKHSFCLAGEQVARLRSAPVVTYSSRGCCYFNRYSTFIRREDLLKSKNLKNDSFTVRCDIVVVHGYRAEEAYVPVPPCDLRGDLGKLLETEKGADVVFEVEGETVAAHRCMLAARSPVFAAQLFGPMKEGKADGGIVRVEDMKVEVFKALLRFAYTGLLPKIMRKEEEDATYQHLLVAVDMYGMDRLKLICEEKLCQYIDAGTVATILVLAEQHHCEGLKKVCFDFLATPENLRATMASDGLQHLSASCSSLMAELMARSLEH >SECCE1Rv1G0052840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:664860233:664869450:-1 gene:SECCE1Rv1G0052840 transcript:SECCE1Rv1G0052840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQGWRKAYGAIKDTTTVSLANINSDFKDLDVAIVKATNHVECPPKERHLRKIAAATSIARPRADIAYCIHALSRRLSKTRNWIVALKTLVVVHRLLREGDPTFREELLNFTQRGRILQLSNFKDDSSPVAWDCSAWVRTYGQFLEERLECFRILKYDVEAERLSKQGQGPEKGHSRTRDLDSQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMARHEALKALEIYRRAGQQAGNLSDFYENCRGLELARNFQFPTLREPPQTFLVTMEEYVRDAPRMVPVREPLEFPERLLLTYKPEESEEVSEPDPVEEERPPVEEPIPVQPIPEVVSPPTKTVVADTGDLLGLNDPSPGVSAIEESNALALAIVTSDASTSTTGSTAWQDKGFDPTGWELALVTAPSNTNSSATDSQLGGGLDKLILDSLYDEGAYRQSQQQQLYGSSAPNPFMTNDPFAMSNHVAAPSSVQMAAMSQQQQQIPPMIHQNPFGPPIQPQHPHAGPAAVNPFLDSGFGAFPAANNSQQQANPFGGSLL >SECCE3Rv1G0210790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:945778020:945783944:1 gene:SECCE3Rv1G0210790 transcript:SECCE3Rv1G0210790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTMAATMFCAVVLAALAAAAGGDGAVVEHTFVVHEMNQTHLCNTTKIYVVNGQLPGPTIDITDGDTVVVHVVNRLPHGLTIHWHGVRQMRSCWSDGAGFVTECPIPPGGEHVYRFNVTGQVGTLWWHAHVTCLRATVAGAFIIRPKGGRYPFPTPAKDVPIIIGEWWQLDLVELDRRMHDGNFDDNPLSATINGKLGDLSNCSGKPEDSFVLDVVRGRTYLLRIVNTALFSEYYFKVAGHTFTVVGADGYYLTPYKTDMVTVAPGEAIDVLMAADAPPAHYHMVALANQPPEPDPQIPGFVSRGLVRYAGSSQNNNGLPVPTPLMPSQHNTMPSYYFHSNLTGLAHPDRHRVPMHVDERLFFTLGLGSICRGTNKTCQRGRSPETIVVATINNVSFRHPTNASLLERYYDGRTSGLYTEDLPDHPPHPYNYTDRALIPPGPLEKALEPTFKATKLRRFRYNTSVEIIFQSTALLQSDSNPMHLHGYDFFVLATGIGNYNPKTDPKKFNYHNPQLRNTVQVPRTGWAAVRFVTDNPGMWYLHCHFEFHIIMGMATAFIVENGPTPETSLPPPPPEFKRCGANGLTQP >SECCE5Rv1G0320020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:380893805:380895868:1 gene:SECCE5Rv1G0320020 transcript:SECCE5Rv1G0320020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRNPLVVGNIVGDIIDHFDASAVLRLFYNNREMTSGSGLRPSQVARQPTVQIGGSRGRDETALYTLVMVDPDAPSPSNPSKREYLHWLVMDIPEGGDVSHGTEVVAYESPQPKAGIHRLAFIVFRQTVRQAIYAPGWRPNFNTRDFAACYCLGAPVAAAYFNCQREGGCGGRRSS >SECCE4Rv1G0253070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:621648719:621649506:-1 gene:SECCE4Rv1G0253070 transcript:SECCE4Rv1G0253070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVARRGAALPSLLKRLLAKPSASGAPVSFALRPAAVAAARRPYSTQGKEGRLCDDSSSSGESGSEYEDTDSDDRRRARDFFVPSFSQDVLDQFGAHTSLGRLLSALEDAAAPTGLSSTAGSSRLGRWVSKVDDDAVYLKVPMPGLGKEHVKVWAEPNSLVIKGEGKKEPWDGDDDSAVPRYSRRIKLPADAFKMDKIKAEMKNGVLRVTVPRLKEEERKDVFQVKIE >SECCE2Rv1G0124430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:832630602:832631656:1 gene:SECCE2Rv1G0124430 transcript:SECCE2Rv1G0124430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSTSEDHGQLPKTSSTCLTESVTAVHDFEVADYRMLDGIGVGNTFAQATSAWSYLGYTKFVAKAKLKASSQANNGFLIIRCVLTVIKESRTEVKRNIVLCQMWKDGQGADVTFSVGGQSFKAHRCLLAARSLVFKAELLGPMKEKETHCIKIDDIDPEIFEALLHFIYHKEGEIAKLQHLLVASDRYGLDRLNVMCESKLSECIDVETVATTLVLAEQHHCKDLKEACVEFMAPRNVLQAVMATDGFKHLVASCPLVMKELLDMVSRGG >SECCE1Rv1G0049670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642696265:642699588:-1 gene:SECCE1Rv1G0049670 transcript:SECCE1Rv1G0049670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDDKAPRSLSPMGGRDRDRELLIPVSGGGGGGSAPRAGAGDDDDLDRTVASPSASAALSSTGREAFHKVVRSWASKKFMTGCVILFPIAITFYFTWWFIHFVDGFFSPIYAQLGINIFGLGFITSVTFIFFVGVFMSSWVGASVLGIGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEAVIIRHPRIGEYAFGFITSSVSLQSYSGQEDLYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQVLSTLDPHPHLHAIHTDRGGASRS >SECCE7Rv1G0477290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:216739025:216742193:-1 gene:SECCE7Rv1G0477290 transcript:SECCE7Rv1G0477290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQHASRGRRTLEEIRQKRAAERMQQQTPAATASQGDPHGNQRAAAELLARVQQLENGNLELERENQMLMSKFAEKEVEKDSLVNRLNDLEKNVVPSLKKALNDISLEKDAAVVAKEDALAQLRSMKKRLREAEEEQYRAEEDSASLRAQLNTLQQQVMSNSYSGYAVGTSSEQTLAMEKEIQDLQAQLKQESLLRQQEQQKLAEESLLRQQDQQRLAEEQSRTTSLAAEKREMEEKIAALAKKSSEEASEFAARKAFSMQDREKLENQLHDMALMVERLEGSRQKLLMEIDSQSLEIENLFEENSVLSTSYQEAMSATMQWENQVKDCLKQNEELRLHLEKLRIEQTNLLKTNNTSVQLDGQIETSIPPELVTENLSLKDELVKEQSRSEGLSAEIMKLSAQLRKAVQAQNNLTRLYRPVLKDIEGNLMKMKQETYATIL >SECCE6Rv1G0418530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:663384832:663387245:-1 gene:SECCE6Rv1G0418530 transcript:SECCE6Rv1G0418530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSMLTQYDIEEVQEHCSYLFSQQEIVSLYERFCQLDRSAKGFVSEDEFLSIPEFSTNPLSQRLLRMVDGLNFKEFVSFLSTFSARASLQQKIELIFKVYDIDGKGKVSFKDLVEVLRDLTGSSMSEKQREQVLTKVLEEAGYTQDSTLALEDFVTIIDHPGLKMEVEVPID >SECCE6Rv1G0443620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:823711452:823712663:1 gene:SECCE6Rv1G0443620 transcript:SECCE6Rv1G0443620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLMSIPDHLKAEIFVRLPKLEDLARTAATCVTFRRVLADGSFRRRFRLLHAPPLLGILDRDGFHPALPPHPSAPAACALAHSADFSLSFLPSHRRWAVQDVRDGRLLLAANPDPQVFMELVVCDPLHPRHILLPQIPHDLAASLEFPVHMVHRCQLLIASPRAGDNEAAAAMEEAARAFIVVMIAHCETGPAAFVFSSSTRQWRAAASEGWDDLFPNQGESTIISSMHRKLVGCHYAYGCFYLESTMNNKLLVFDTGRMEFSILDVPRETSNMSGLAIVEAGEGRLGMLDIHDETLGGKCDLCYYIRGNKGESSSQWKIDKTISLPCDYQYYIQASTERYLLLRKLGPLQSISSSLEVEYVSMDVKTLQLERVCGVSFGFSLASARIYTSFPPSLSAPTI >SECCE3Rv1G0183980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:658133258:658133707:-1 gene:SECCE3Rv1G0183980 transcript:SECCE3Rv1G0183980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRAVVLAYSLLASALLCRRAAASPAFIVGKLVMGGVELRRPMIDTMTANQSAPLQLSTAASDGNVDGLHPNWFSLLAEMTSPLDRNHPLAEQGIVLDHLLVFLVLLVVSIFR >SECCE7Rv1G0495600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:599645841:599646314:-1 gene:SECCE7Rv1G0495600 transcript:SECCE7Rv1G0495600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIEEHRSGADVHTELCEARAREFLVELGLPDGLLPLPSLVEVGYNRATGFVWLRQSQSGGLTHTFDAIGKQVWYAPEVTAVVERGRMHSMTGVKSKEMLIWVTISEIVISPSGSKIVFRTPAGLGRAFPVSAFQLSPPATEGEAKAAEEAAAN >SECCE4Rv1G0257050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:662591052:662592347:-1 gene:SECCE4Rv1G0257050 transcript:SECCE4Rv1G0257050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQVLSTKMVVPAEATPGGAVWLSNLDLAARRGYTPTVYFYRPNGEHAGFFAADAVKDSLARALVAFYPLAGRLGLDGAGRVQIDCTGEGAVFVTARSDHYELEELMNEFVPCGEMRDLFVPPTPAPNPPCVLVLAQVTYLRCGGVVLGLALHHSVVDARSAALFVETWASVARGATKEDAPVPPCFDHKLVAARQERAVLYDHPEYKPEAAPAHAATVASTYASALITVSKQQVSALRARCAGASTFRAVVALVWQCACRARELPLDAETRLYSMIDMRPRLAPPLPQGYFGNAVIRTSTVATVGEVVSNPVDFAARRARAATSQGDDYARSLVDYLDGVDVMNLPRSGISRAHLRAISWMGMSLSDADFGWGAPAFMGPALMYYSGFVYVMNAAGKEGALTLALSLEPESMAEFRKVFADELARLEV >SECCE7Rv1G0514980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:837448795:837452261:1 gene:SECCE7Rv1G0514980 transcript:SECCE7Rv1G0514980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCAEALVRAVVAFFDAVLVDCFLSWFRRRPGPDSPASARRDPLVPRDRLGEALPASDEEKGFAESTGSNEQLADGDDTDDELRREARYLKLCGTISQTPAELRNVSYESNSESANESDDMSINALAMGGTSVSGFNSSECFKCEEDLITELEISQDKSLDSVPRSVLRDKSPFWSMQNRLSDCNGSPFPTPLVLRDDMQTPGTIYTSQAGSTMSRKRVRTRKQFVYPVLRPIENKLQQMESPEDSSPLLPSSTPKRTNLGADYIKKLQQTSSNSVAKVEFSKSLPFSYPGENASYQEKGSPPSQESKCQTRSPNLLDDGDLSKSNSDEKHAAMSLTHWLKPSSTVSEDQAVVTSSASDQLHDENTLFTESPVFTAASGMDADVENPTPRFPKAWDGNGIPNTTTKYKEDQKVSWHATPFEQRLLRVLSDEQPNAPRKLVRGDLFHVEEES >SECCE5Rv1G0323990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:441227751:441238432:1 gene:SECCE5Rv1G0323990 transcript:SECCE5Rv1G0323990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAVVDRLLRRLASDARRSELPSGVDEHVMHLWRTLSGLQDVLSSVERYFRARTEVQDWMAKINQIVYDTEHLLDEFEDQNGIGSERTGCITKATSLCSSCPFFLYDTRVNRMKILGKRLDLLATDSVVFSLTQHPKCDLEQSDIQEEFYRSAIVGRDSDKAKIKELILQNDTETLSIIPIVGLVGLGKTTLAKLIFYDQGEEWNLDLRIWIDLNRNFDLTNIAADIISQANGSKGPSEVNTNIQIHENLQLLKNRLQKTLHDKCCLIVLDGLCSTDKGQLDELKEMLRHTNKRIKVLVTTSSEITAELMHTSPPYKLFPLPEDDCWTIFSEKAFGDGGTVNARLKKIGKQIVKRCDGIPSLAHFLGSVVHNQVMDVWLAAMDEPIWKLESTYSLKVKVFSSLNQIYYDMPSALKLCFLYLSVYPKGSIIDKEKLIRQWIALDIIGSKHGTLPSYMQGEMYIQDLLSIHFLQVRKTHSVNGMEIGTSPTTLYMHNFVHEFARHVACNDVVIMDDREMDDDAKEISFQYALLTYYKGQSTLCSALLTRARALHFQNAEAMQLHREAFELLKHLRVLNLSGSCIEEIPASIGHLKHLRYLDISGLKVQTLPYTVSTLINLEALDLSNTSLKELPSFIGSLEKLKYLNLQGCDILQNLPATLGHLQTLEHLRLSCCYDVGELADSLRNLQDLRFIDLSSCTELPQLPPSFGNLMKLEDLNLSSCFNLKHLPESFGNLYFLRSLNMSSCYELEQLPGSFCNLVKLEALILRRCHRLQNLPPSFGDIENLQILDLTGCEALHVSVGMLTTSLKYLNLQQCLKLRTRPNCFNNFTGLKFLNLSQCIPTIDCLQSLGYLFNLEYLNLSQNPLVIPVSFVRLQKLHTLDLTGCALEHPSHSLPQMFLDIIHKMTGLKFLLTKDPLIVACLPPYIRCSVRIDENWHITTDELVIPDLTRGSRGLTIAERANLKNRAELRFLKLEWTNTYHPAVRGVDEDLGEEVLEKLQPHQSLEHFELVGYSGFAWPTWMMNNMVTLLPNLVRLHLLCLGNCKDLPPLGQLINLRHLHIEDMPNLVNLGMGLSGGTHPFKKLIHLKLVNLLNLEELHILLLTNNGNQQFMFPALEQLSVLSCPNLMFKPSLPKCRKYGIKDSNRILSCGEPLGPLSSPSPANIMITGCRISSSCLQWLESLQTIEKILIDACVGDDGEAVTSFKLLGVKCIQESSSSKIPNEKRNQSSSGTRILNELTTQDDAYTNTRHVVDVDSSGWQSSGESSLKSLQQNPATTGLGTSIIRKLFPKFNTSSGQSVERITSSAVSMPSVETSPTSHQLSRSKMPAEIPGVRSLNLSLKQVQKATRRFSPSLKLSGSESDSWAVYKGILPNNQIVVVRRAKKDSVRVAKVRKEVQLLAAINHWSLVRSLRFIDKGNQFIVISEYVPKGSLRQHLLGQHKILDLDQRILIAVDVAIALTYLHLSAGETIICYDLKTNKILLTESYRAKLGSFELSRSGTMDHVIGTYGYIDPQYIRYGELTAKSDVYAFGVVLLEIISSRGPRMWIQDVGDWGIFDNQADRRQSPDITAWALERFKAGHASEILDDRLADHVDEEFLGGWLSLASWCTRFEVDDRPRIEEVGERLWEIWKDHRNRTGEPYEYERSWEEFVEVEGIPRVRGVDSRDKSSGHAEFPAEGKVTKHQYSPDVSSPQLQMTQYDASPIGSDITLSPPLSPR >SECCE1Rv1G0042920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:585684800:585685174:-1 gene:SECCE1Rv1G0042920 transcript:SECCE1Rv1G0042920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSVVYMIVVLFIGCLLMVGQCRPEPESTYEDGLGNATMAGSSLNERKVTVKFCVLRDCKTKGEYWGLKGCYCCLSVPSVPCFPHRLECKQNCPPV >SECCE2Rv1G0128620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:863223509:863223967:-1 gene:SECCE2Rv1G0128620 transcript:SECCE2Rv1G0128620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVIDTVQSLAWLRRAVRRWRSRAAAAVRPSKDVLELDAAVPAGHVAVRVEGRGNGVGESSSRRFVVPVAQLSHPAFSELLQQAEEEYGFPSASGPLALPCDEDHLRDVLRRVSSSNSVERGSFRRRGVMAAPHDDWRPQLKGDATKKLVS >SECCE6Rv1G0386020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:88985068:88985819:1 gene:SECCE6Rv1G0386020 transcript:SECCE6Rv1G0386020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPVQQRLIFAGKQLDEGRTLADYNIQKESTLHLVLRLRGGSRGGRPISIPPNLLQLALKHNEKRMICRKCYARLPPRATNCRKKKCGHSNELRPKKKFV >SECCE4Rv1G0219320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:29872252:29880352:1 gene:SECCE4Rv1G0219320 transcript:SECCE4Rv1G0219320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CASP [Source:Projected from Arabidopsis thaliana (AT3G18480) UniProtKB/Swiss-Prot;Acc:Q9LS42] MDPSPAAAERDRSPPPPPPPPPSSSASPLAVVCSFWKDFDLEKERSGLDEQGLKIAENQETSQKNRRRLAENTRDFKKASPDGKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMADQDQKLSELETENRKMKLELEEYRAESAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSLAEDSQKTLEALKDRERALQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRTAKEAEVSLLMDEVERAQSRLVSLEREKGDLRSQLQTTNEDTSNNSDYMDPSDILESSLNAKEKIISELNAELRNVESTLSSEKEMHVNEVKKLTALLSEKETAITELKKELQERPTTRLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKSSLLEEAEKKIAELTSKVEEQQKLILKLEDDILKGCSSTDRRSSLVNDWDLQEIGSNEVSEGTDPRNASPDQDQSSMLKVICNQRDRFRTRLRETEEELRRLKEKYEMLAVELEKTKADNVQLYGKIRYVQDYSHEKIVSRGPKKYAEDIESGSSDVETKYKKMYEDDINPFAAFSRKEKDQRYKELGIRDKITLSSGRFLLGNKFARTFIFFYTIGLHLLVFTLLYRMSALSYLSITPAHDEIILDAGNQTLSHMP >SECCE1Rv1G0043510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:593186865:593189010:-1 gene:SECCE1Rv1G0043510 transcript:SECCE1Rv1G0043510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSEPPAESKPTQMDLEDQADADGKGKDEELADSIGGLSIAPGRTNFRKKPVIVLVIGMAGTGKTTFMHRLVCHMQASTNMRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKEYGLGPNGGILTSLNLFSTKFDEVISVIESRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTNPVTFMSNMMYACSILYKTRLPLVLTFNKIDVAKHEFALEWMQDFEAFQTALDSDSSYSSSFTRSLSLVLDEFYKNLRSVGVSAVSGTGVDAFFEAIEASAKEYMETYRADLDKRIVEKERLEAERRNENMERLRRDMETSKGQTVVLSTGLRDRIPSSEMMGDVDEEEEEEAVEDFRFCEIDDDDDEDEDEEEGEDDEVTHFGL >SECCE4Rv1G0253760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:629477446:629482119:1 gene:SECCE4Rv1G0253760 transcript:SECCE4Rv1G0253760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDLASRRLRQQVALTSDHDFAFQLQLNEAIQASLRAPTPNRPSSRAAAATATPTASCSCRSCQPVSALWSSEVAFAELARQEKNRRDAQAFRAAHAQANTSARVASRGALLARELADIPGLFERPLDPGRSPSSRVFYKGLSNKEGAGGWWVVPGPRVAVLAVAVYDPQGKVVRTIQKRVERFVGGRMELEVLALMEGIKAALELGIRWVNIVSDFKALHKYMLGTWRPTKNKSEHLVNEALSLMRKFNHCEFSLIPRGQVGYATKLATDLVGTKKREACKRETCTICLEDTDVSKIHAVEGCAHRFCLSCMKEHVRVKLHDGTLPACPQDGCTTKLTVEGSKMFLSPQLLETMAQRIREAQIPPTQKVYCPYSRCSALMSSSEAIHPLQEPAAGAETLGKCVKCRRLFCVKCKVPWHYGMSCVDYKRRYPHALQSLAQQRSWRQCVKCKHLIELAEGCYHITCVCGYEFCYTCGKEWKDKKATCSCLLWDERNIIRDRGGRR >SECCE2Rv1G0102590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:579806133:579807785:1 gene:SECCE2Rv1G0102590 transcript:SECCE2Rv1G0102590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g37570 [Source:Projected from Arabidopsis thaliana (AT5G37570) UniProtKB/Swiss-Prot;Acc:Q9FHR3] MTAGRPSPPVATLLGRCRTIRCLAQLHARIVRLGLHNHHALLARFTAACDSLASPSVADSFLSALPSHAVPLSLRNAVLASHSRHSPLHAALAQFNIVRRTACPDAFSFPSLLRACSRVPCKPTGSALHAAAIRLGLDADLFVRTALIQFYGSCSSAGASRMLFDSMVIPSEVSWTAIIMVYVHCGDIVSARELFDRMPHRNVVHWNAMVDGYVKCGDLESARRLFEEMPLRTAAAHTSLIGGYAKVGNMQVAEMLFDKLHDRDVFSWSAMISGYAQNGYPGEALRVFNEFREKDICPDELVIVALMTACSQLGNIMLAKRIEGYIATYSIDTNNAHVLAGLINMNAKCGNMERATVLFESMPVRDVFSYCSMMQGHCLHGSANKAVELFSRMLLEGLSPDNAVFTVVLTACNQAGLVEEGKKYFAMMKNEYLIMPSGDHYACLVSLLGRSGMVRDAYELIKSMPGKPHPGSWGALLRGCILQGDIELGKVAAKRLFEIEPDNAGNYVTLSNIYANIDRWADVSEVRAEMAGKGLTKIAGRTVVLQKGIC >SECCE6Rv1G0416010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:645903391:645911474:1 gene:SECCE6Rv1G0416010 transcript:SECCE6Rv1G0416010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDT3 [Source:Projected from Arabidopsis thaliana (AT1G79690) UniProtKB/TrEMBL;Acc:A0A178WDC8] MAAPAPEERLDVLTAAGDKTGVSKPRSEVHRDGDYHRAVHVWIYCETTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLSSARRELQEELGIKLPVDAFELIFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVKYMHRDEYKSCLAAESGEYVPYDVNGQYGQLFSIIEERYKDNTESRSLTLQKQISRYAPIHLEPELTTLSEGDKEALGYILKASMVIDDIFYEQVWNSNTMLRDWLKAHADSSSLDSLKWAYYSINKSPWSLLDENKAFLSTADSAVKLLTDATKPISGWKGLEYRAAFPLDKPRGANFYPADMNKMEFDLWKSGLTDKEQKDATGFFTVIKRPDALLTTSVAQSDGPNQTNTSDDLFIVPYSKEYKASLEKAAELLLKASDCSDCPSLKNLLRTKANAFLSNDYYESDIAWMELDSNIDVTIGPYETYEDGLFSYKATFEAFVGVRDNVATSQVKLFGDQLEDLEKNLPLDNIFKSDNVSAAPIRVMNLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKNILKPIADACIREEQKEYVDFEPYYTHIVCHECCHGIGPHSITLPGGKKSTVRMELQECHSALEEAKADIVGLWALNFLINKGLLPKSLSKSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYDKGAFILHSDGKFSIDFTKVEEAVESLGREIMTIQAKGDKPAAQSLLQSRATLTQPLRVALEKIEHMQVPVDIAPIFGTASKLLANN >SECCE1Rv1G0022380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:284525891:284526241:1 gene:SECCE1Rv1G0022380 transcript:SECCE1Rv1G0022380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISGPRSLPDEQVRIASTKMDGIGLKKAIQLRYRLGISGNIKKNELTKYQIDQIEQMIAQDHVVHWELKRGERADIEQLISISRYRGIRHQDGSPLRGQQTHTNARTARMQIRK >SECCE7Rv1G0472200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:138098825:138099559:1 gene:SECCE7Rv1G0472200 transcript:SECCE7Rv1G0472200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALSARLGVGASRMIVKAVGGFGGTGKASSFSRTLKAVSDSNGGVGRRGFGQIRRFSGGAMARWPEQITKDPSQQGECYLAAYCAGLILCSGAPFGLKYIADRYAEKRKPRYGEFRDDAHQFGDEYFGDQWPGADDRKLAELGKEIALLGGALNKWNRGIGELSVGLSRCDPQPEIARLRKQTRHPGTPDEAFEIRRKCDYVAEEKAAELSRWRAAADEKLAELNREIADLLRTIRKENEL >SECCE4Rv1G0267000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734149622:734151561:-1 gene:SECCE4Rv1G0267000 transcript:SECCE4Rv1G0267000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAEKLHVLVACALLLLLVVGCQASPLQIGFYHDRCPQAEGVVKGIMMEAISQNPGNGAAMIRMLFHDCFVEGCDASVLLDPTPFSPTPEKLSPPNNPSLRGFELIDAIKDALEAACPDVVSCADIIAFAARDASCILSVGKVDFEVPSGRRDGTFSNASEPLKFLVPPTSNLSDLVDSFVVKGLDAEDLVILSGAHTIGRSHCSAFVPDRLNAPSDINGGLAAFLRDQCPADAAPGGNDPTVMQDVVTPNDLDRQYYNNVLSHTVLFTSDAALLTSEETARMVVDNANIPGWWEDRFEKVMVKMAGIEVKTGNQGQIRKNCRAINYY >SECCE5Rv1G0348700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:675496536:675497390:1 gene:SECCE5Rv1G0348700 transcript:SECCE5Rv1G0348700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGAKKSRVYQTWTPEMDSALLVVLFEHHNNGDHAQNGWKPHVYTACIKNVKDACNIDITKENITGRIKTFDKQYDIISKMLAQSGFGWDWEKNMVSVESDEVWSRYVEANKDARTYRNKVVHNWESISTIYSKDHATGVGARTGNECAQEPQDTPAVEGTPEVPPKRQRTGDAILSMMGKMQMSFDDVLKTTEPILMPKVTPPTEILAALKKVQGLEDKELLRAYGKLIKDERMFEALMALPEDLRKPWLLTLE >SECCE3Rv1G0204480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:895013884:895014552:-1 gene:SECCE3Rv1G0204480 transcript:SECCE3Rv1G0204480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQEGEEYKVLIDQTSKDAGEPHQDADDDDTDDTSSFILVMNLVLSGTARLNVLLPTATILTFAIFAPLVTDDGKCARVNRVLTGAFVLLCAASCVFFTLTDSFRSATGRLRYGVATPTGIATFCAGGGSRRKAPREPERYRLRWSDLFHTALSLVAFVTFAASHHDIVRCYYPGASRKVVNTVPLVVGFVVSLLFVTFPSKRRGIGYPFLLRTDLVYLRR >SECCE6Rv1G0452640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:878735241:878735751:-1 gene:SECCE6Rv1G0452640 transcript:SECCE6Rv1G0452640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEGKKKIIKLKSSDGKEFEVEEVVAIESHMIRHMIEDDYTDNGLSLRNVNSKILSKVIEYCNKHVQAKAANTSDFGGGARASDATSAVPAEPAEDLTNWDANFIKLDKATIFELMLV >SECCE3Rv1G0196880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:812914298:812915762:-1 gene:SECCE3Rv1G0196880 transcript:SECCE3Rv1G0196880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDSGSPRGGGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEEYVDPLKIYLQKYRDMEGDSKLTSKSGEGSVKKDIIGAHSGATSSNAQAMVQHGAYAQGMGYMQPQYHNGDT >SECCEUnv1G0562140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:362374563:362376269:1 gene:SECCEUnv1G0562140 transcript:SECCEUnv1G0562140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGATLFFVSLASLVILATWLSRKVVPSSKTRRPPGPWRLPLIGNLHQIVGSLPQVVFHDMSKKHGPVMYLRLGQVDTVVVSSPSAAEEVLREKDLSFASRPNLLVAAICFYGNIDIAMSPYGAYWRTLRKICTVELLSDRKVRHFLPVRDNETMSLVSNVREACSGGKPFNLGGMLMSCSNSIIAKAVFGEKCSSELQEQFLSAMDVVLKLSGALCIGDLFPSLRVVDVLTGLTARIWRARRQQDKALDKMISQSKMRPGDHLLGLLLRIRDEGELDFPMEMDNVKAIIMDMFTAGTETTSSAAEWAMSELIRNPEVMAKAQAEVRQTFHDKNTQDHEEYVAELHYTKMVIKEAMRLYPVVPMLIPHVCRETCDLGGFQVAKGTRVMVNTWALGRNPEYWHKPEEFRPERFKDDMATNQSLRFDYLPFGGGRRKCPGDTFGLAALHLMVARLLYYFDWSLPDGVKPSELDMEIRVGMTLRRKNQLHLVATPYKACS >SECCE5Rv1G0356190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:737660001:737667223:-1 gene:SECCE5Rv1G0356190 transcript:SECCE5Rv1G0356190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETITNVSEYQAIAKQKLPKMAYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSTIDMTTSVLGMKISMPIMISPTAFQKMAHPEGEYATARAASAAGTVMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFVLPPGLTLKNFEGLNLGTMDQANDSGLASYVAGQIDRTLSWKDVKWLQSITTMPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAQGRIPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFALAAEGEAGVSNVLRMMREEFEITMALGGCTKLSDITRSHIVTEGDRLGRPLPRM >SECCE4Rv1G0270040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:752336885:752340371:1 gene:SECCE4Rv1G0270040 transcript:SECCE4Rv1G0270040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKAGGGGGEGALALCKERLRRIRRAIDSRDALSAAHLSYARSLRGVGTALRQYAEAANLSPESSLSISEAGRSPSRSSMASPSPSRAAEADTTTGGSPSPMLTTHRGGDRLASPSSSAARVHFMKAGGTAPLTFTVDPSAAEFVGQESPVSAFVPRPPPPPLLSSEEMCASSWDFFDAAGAARANNVDGLTLNFDRLKGLRESWEAEPVTSTEEPANRSTRMYSESEIADDNTAPKQETKATQRGISKASGLVAASDEGASSEQQVVPKVEEGDPKRESHAETEDPSEFITHRAKDFVSSMKEIETRFIRAAEAGNEVSRMLETKKIRLDISAKVPGSPGKPTARFVSALRVCCNRQNLLNQETAQHVSNVVTWKRSVSSLSSSSKSPLTTSMIQDDVDDSNNDFIEQFAMVSGSHSSTLDRLHAWERKLYDEIKASENVRKTYDEKCNLLRHQFTRGLNAELIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDGELQPQLVELIQGLIRMWKAMLECHHKQFITISLAYHVKTSTSVQQGEHHRQAAMHLCNEMECFSSTFRIWVTAQKSYVHALNEWLQKCILQPPQERRRRKRQLSFPPRQAVSPPIFILCRDWLDMMELLPTDELCKSIKDVMQLLRDSFEHQADQTKLRREPHSRSESQECVMLENNEQESSGGVPLVEGLQSKLTVVLDWLTKFSEASLKRHEELKLNYEEARDKYKTVEPIAHPV >SECCE1Rv1G0029490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:414915613:414923232:-1 gene:SECCE1Rv1G0029490 transcript:SECCE1Rv1G0029490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASGDSDQVLVEANGSTRTLVLNRPKQLNALSTAMVTGLLKCFTSYEEENAVKLLIVKGKGRAFCAGGDVAEVAQSINNDTWKYGADFFRTEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVATDNTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGARLDGAEMLACGLATHFVPLNRMSLLEESLKKVDTSDPFAICGIIDQFSQQPSVKGSSAMNRMEIINRCFSKGTVEEIIYALEQEAPSVADKLVTAAIQSLRKASPTSLKISLASIREGRLQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILVDKDRNPKWMPPTLDQVHDGVVAKYFSKVDDPQWEDLNLPTRSSIGRRIVPKL >SECCE6Rv1G0407920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:566464595:566466175:1 gene:SECCE6Rv1G0407920 transcript:SECCE6Rv1G0407920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGLPLLLLLAALLVGLASASPFISDGVFQASAGSTTGRTLLQAKRDCPVNFEFQNYTVITSRCKGPKYPPKDCCDSFKEFACPFNTYINDESNDCASTMFSYINLYGKYPPGLFAHECREGKLGLSCEGVPQKDVVASGVQRAQSSSLALITLMCGLVALFFQ >SECCE5Rv1G0310160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:165076459:165080185:1 gene:SECCE5Rv1G0310160 transcript:SECCE5Rv1G0310160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEASPPPAVAVPAVEAAAGENSPSPARSEELLPVAEKISELNESQSELLGRLRGLKEDLQSWRSNLDTQVQKYKTEISDIKTALNSEIDQLKSDFQELRTTLKKQQEDVTISLKNLGLEDATETDGNKGSGEENTSEGALANMGDLKLEDSTETDGNKGTGEENTSXXXXXXXXXXLLEDSTETDGNKGTGEENTSEGALANMGDLKLEDNPENNDESSRVEEEKNQEAPEEDGTTGKEGTKMEDDTAGIEGTKTEDDTAGIEGTKTEDDKAGIEGTKTENPSDE >SECCE3Rv1G0153960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:58085152:58086669:-1 gene:SECCE3Rv1G0153960 transcript:SECCE3Rv1G0153960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLPMPSKGQGGSGCIKGPHCVANITSQCPSELKAPGGCNSACTVFQEQNNNMYCCYGTPCESNKYSAFFARMCPEAISYSSDAVTETSFSCPFDTNYQVIFCPPFNLTSSPPRPPNSADLRPLHKGPSVGTFVSVAIAGAIVSIVTFIFFIIYRRRTRRRQEMEEEEAEFSQLQGTPMRFTFQQLEAATEQFKNKLGEGGFGSVFEGQLGKERIAVKRLDRAGQGKREFLAEVQTIGSIHHINLVRLFGFCAEKSHRLIVYEYMPKGSLDRWIYGQHENSAPPLEWRVRCKIIADIAKGLSYLHEDCMKRIAHLDVKPQNILLDDDFNAKLSDFGLCKLIDRDMSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGVVVMEIVSGRKNLDTSLSEESIHLITLLEEKVKNNHLEDLIDKSSNDMQAHERDVIQMMKLAMWCLQIDCKGRPKMSEVVKVLEGTMDAESNIDHNFVATNEPNFGIAGNVNSSAPPVASDVSGPR >SECCE2Rv1G0139280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:926275011:926275646:1 gene:SECCE2Rv1G0139280 transcript:SECCE2Rv1G0139280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSRAASCLCCPFKCLACGLFSCLCSILVSLLVTAGVLALILYFIFRPQMIAATVDSASLTQFALGTPASPALLQYNLTLAMTVRNPNKRVGLYYDGVEALALFDGQRFGFAPLDPFFQGHQASTELKPAFGGQQVLEGDVTQSNLRTQLAGGAVEVEVKLNAKLRVKVWAFKVPGPRARISCPLSLPAPAAAGAGAPAFKPTECKVWF >SECCE3Rv1G0208290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:929585522:929585776:-1 gene:SECCE3Rv1G0208290 transcript:SECCE3Rv1G0208290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGIGGTADGAPHPSTDWGPIIVAVILFVVLSPGLLFQLPARTRVVELGNMATSAIAILVHAVIFFCILTLVVVAIGVHVYAA >SECCE4Rv1G0221530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:49830729:49841837:1 gene:SECCE4Rv1G0221530 transcript:SECCE4Rv1G0221530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGRRGRVLWLVRLEIVLILVCSGGAGANSDDGKASLSLEGADAGDKDVYLTHSCIHDEILHQRRRAGRKEYSVMPQVYHVPREKVERVRGRQLLGVSSWRAPRSNVKKPIRIYLNYDAVGHSPDRDCKNVGDIVKLGEPPVPSAPGTPICSPHGDPPLVGDCWYNCTFEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRDQVTVQALDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFSGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFAISPCNSWKGAYRCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKGGQSSLADYCTYFVAYSDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGVWKSCPESGGPVQFPGFNGDLICPAYHELCNTAPVQISGQCPKSCSFNGDCIAGTCHCFPGFHDHDCSRRSCPDKCSGHGICKANGICECENGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSTILPSLSMCHDVLVRDSDGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSSSWL >SECCE1Rv1G0048220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:633128173:633128931:1 gene:SECCE1Rv1G0048220 transcript:SECCE1Rv1G0048220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHRRHFADPHVLLKAQYNSSSNHICDICRSKLAGLMGYRCSACDFDIHEACADYFKQTISFFAHPWHTLTLSRMPSSCDGWACDLCTEGCPPGDLVYRCTDCLFDVHPLCTLLPQTIRSPLHPWHDLRLVPSAGTCVCGCEELSVWNYVCSCPFRVNIACASGAPSGGRQSTNSGHHASGSHVSTTGQVSCASQTSSSSSSSSQSLAVRRSRRSSVAKFLLKTSFHVAVNAATGGLASPVLEVLSAAFS >SECCE2Rv1G0127200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:851697874:851700114:1 gene:SECCE2Rv1G0127200 transcript:SECCE2Rv1G0127200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKLSLLFSLLTLLLLAVVTAGDDLGTYVIHVQPQENRLLGTTDDDRKAWHQSFLPEHGRLLHSYHHVVSGFAARLTRRELEAVSAMPGFVAAVPDVIYHVQTTHTPQFLGLDTALGARNLSVGSGEGVIIGVLDTGVFPDHPSFSGFGMMPPPAKWKGRCDFNGSACNGHKLIGARTFITSGNGSAPGAAPVPPIDGHGHGTHTSSTAAGSVVPGAQVLGQGSGNASGIAPRAHLAMYKVCDENGHCAGVDVLAGIDAAVSDGCDVISMSLGFPQRPFYNDSIAVGTFAAAEKGIFVSMAAGNAGPGNSTILNEAPWMLTVAASTMDRLISAKVILGDNLSFDGESLYQPDNSVTAPLFYAGTNSTASAQFCDNGSLDGFDVKNKIVLCDSGNNYPVDMGAEVLRAGGAGMILANEFFQGYITSPVAHVLPASRVSYAAGVEIKNYINSTPNPTASISFRGTILGTSPAPVITYFSSRGPSVHSPGILKPDITGPGVNVIAAWPFQVGPPSIDHRPTFNVLSGTSMSTPHLAGIAALIKSKHPDWSPAAIKSAIMTTADVTDRSGTPILDEQHKAADLFAMGAGHVNPEKAVDPGFVFDAAVEDYIGYLCGMYTNQQVSVIAGRAVDCSTVKVIREYQLNYPSISLRFPQTWSSALFEVDRIVKNVGEVPATYYHHVDMPADSPVSVGVFPSSLLFTKADQVQKLQIFVWATNSSATAVQGALRWVSEKHTVRIPISVTFAAH >SECCE2Rv1G0081100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:135912011:135916610:-1 gene:SECCE2Rv1G0081100 transcript:SECCE2Rv1G0081100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial disproportionating enzyme1, alpha-1,4-D-glucanotransferase, Storage starch synthesis in rice endosper [Source: Projected from Oryza sativa (Os07g0627000)] MALARTVPLPQLASASPLPRQCRCRLLLPAMPQARGLPARGISCRAVTTTPAAVAAVGVGEDLPEGYEQMMPTVEASQRRRAGVLLHPTSLRGPHGIGDLGDQALAFLDWLHGAGCTLWQVLPLVPPGRKSGEDGSPYSGQDANCGSTLLISLEELVKDGLLMENELPDPLNMEYVEFDTVANLKEPLIAKAAERLLQSPGELRRQYDEFKKNPDVSGWLDDAALFAAIDNSIDAVSWSEWPEPLKDRHPGALKDIYENQKDFIENFMAQQFLFEKQWKRVRSHAQKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKSMEADGFAWWVKRIKRALDLYDEFRIDHFRGLAGFWAVPSGSEVAMLGSWRAGPRNAFFDALFKAVGRTNIIAEDLGVITEDVVELRKSIGAPGMAVLQFAFGGGPGNPHLPHNHELNQVVYTGTHDNDTAVGWWQSLPEEEKQTVFKYLPQVSNSDVSWALIATALSSIARTSMVTMQDILSLDSSARMNTPATQKGNWKWRIPSCVSFDSLSLEEAKLKELLTLYNRL >SECCE1Rv1G0024000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:317286532:317291191:1 gene:SECCE1Rv1G0024000 transcript:SECCE1Rv1G0024000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIISSSSGEPIAAAAAIELARQTSAMAPSTQRDSQQQQQQAMENNEGAYAQDGSVDLRGNPVLRSKRGGWTACAFIVVYELFERMAYYGIASNLVMYMTNRLHQGTVEASNNVTNWSGTVFLTPLIGAVVADAYLGRYWTFVAGSAIYLMGMILLTLSVTVPALKPPSCDGATCPRPSALQLGVYFGGLYTIALGHGGTKPNISTIGADQFDDFDPAEKLHKLSFFNWWMFTIFLGILFSSTVLVYLQDNVSWTVGYGIPTLGLLVSVVIFLAGTPMYRHKAPQGSPFTSMGRVIAAAVWKRGMALPGDAKELHELELEHYTSRRRFRMDATDSMRFLNKAAVKVNPGDADGGWAPPRFPPWTLCTVTQVEETKQIAQLVPLLFTLVVPCTLVAQANTLFVKQGATLDRRLGAFLVPPASLGAFITLTMLICVALYDRVFVPAVRRRTKNPRGITLLQRIGAGMLLQVVTMAITAGIENRRLSFARGHGPDAAGVLPLTIFVLLPQFVLLGAADAFLIVGQVEFFYDQAPESMKSLGTALSLTAYGAGNVLSSAILSLVGRVTAERGSPWVTNNLNASRLDYYYALLTVLAAVNMSVFLALSGRYKYRSESRETIDVDVQGGPVRLHSEPAPVGL >SECCE4Rv1G0240650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448093139:448093668:-1 gene:SECCE4Rv1G0240650 transcript:SECCE4Rv1G0240650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLSTFCFEILRRVALWRAHSIYDFTFMDVDKILPFCSTLGRHSLNVNGKVQKRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSQIGQPFKLPAESMSRQETTW >SECCE3Rv1G0145170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5911180:5911734:1 gene:SECCE3Rv1G0145170 transcript:SECCE3Rv1G0145170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATTVAMALVVLAAVCSDVLPVADADASLISRKCKKTKTPEICVAMLRADSRTAGAMNLYDLASNALLIAIDTVYNNSRAIMDLFEGKEGTPEGGALAACKDAYLEADNELELQARVALDFLHYANASRIILLARDAGDRCENAFKAINKKSPLADMDRQMTERCGVTADLMDLLASKRSE >SECCE7Rv1G0475960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:195509010:195509680:-1 gene:SECCE7Rv1G0475960 transcript:SECCE7Rv1G0475960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNNGAMSGQVYPPPPPAMVPQPQPHGQVEANNWAGNDANTLLVVATLITTLTYQLGCSVPGGYWQDTLLADGKQKPHEAGDPIMRDEHPQRYWVFMAASWMGFLASMVMTLSLLVRLPVDSRQIRWSFAVAYSSLVLTFIVSQAKTHISIDIAVWLVTVVFLWLMISVRPDHRARILRFFCCNREN >SECCE6Rv1G0414180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:630435813:630437339:-1 gene:SECCE6Rv1G0414180 transcript:SECCE6Rv1G0414180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLSLRRSLAVPPVAAGSGDDGSQGMMTRARRRRLCLLAESSERERLGDLGASDESATMKTTEARQVHYGPEEWRDWANLLPLDLVEEISGRLLSLDVAEYLRFRAVCRPWRGLTADPRTAGLLDSRFRPRNWMVLSMNHEAEPHRRLLNLATAASLGVHLPALSTHCHIGAADGLLILFHKPTKAIHLLDPLTKTVTKFPAVCNIVVTVPPYSEGYIPKLLQNPLRFVPMAINGACFDDSTSPPTLILCLRAGVATIIFAKPGDEHWTLVSPGRTSHPMYDSHRKVLFHTLLSLGGRCYISSPEGSVYLLELQPLPRLVEVVDQRCFAEKDYVWFNHITSFLVRGTGGRMLMVRYWRGMERFGGVEAYNRKELFTVHGITSRIELLEVDIAGRRLVPVRSLGQNAVFVGLTHCLHISTETFPSISADSVYLGCRQQYTYGFSIYHINKKKNHRRVAAKHKFVYDMTKGYTPDARPYNLDEYLVHYVGHRHSLGKSCMNHINSCFI >SECCE5Rv1G0350170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:688769711:688770064:-1 gene:SECCE5Rv1G0350170 transcript:SECCE5Rv1G0350170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGLYINSKKFGGVVKPCMLEMTAFLNCLALNKQIDEKCTRQKELLITCTQSQKGRPKNAAKTINYHLQRLGRDKFR >SECCE6Rv1G0415000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:638548673:638549200:1 gene:SECCE6Rv1G0415000 transcript:SECCE6Rv1G0415000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEYTSRRWLAAVAAIVLLSCAPAPAAAAGRKLLQIQISLAQQFVVPQSHMRAIHGQRPLKWSNGLADQAERWAARFKGNCAAASAAMPGGVNVFRGFGEAGKAWQPSDAVAAWAEQANYFDFASGGCAAGKLCAQFKQVMSKGNTDVGCATVQCADGTTLMTCHYSPLGNILG >SECCE1Rv1G0035140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:496021546:496022118:-1 gene:SECCE1Rv1G0035140 transcript:SECCE1Rv1G0035140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMRALFSRSRSGGRASRSSSKSSSMPPSPARSPAATAREDEMERVFRKFDANGDGRISRAELAALFESVGHAVTDDEVTRMMEEADADGDGYISLAEFAAINAAPDAAVEEDLRHAFRVFDADGNGVISPAELARVLRGLGEAATVAQCRRMIEGVDRNGDGLVSFDEFKLMMASGGGFGIAQGNIRA >SECCE1Rv1G0028980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:408962377:408963168:1 gene:SECCE1Rv1G0028980 transcript:SECCE1Rv1G0028980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAADDLKLLGMWASPYVLRVRLALSIKGISYEYAEEDLQHKSELLLRTNPVHNKVPVLIHGGKPVCESLVILQYIDEAFCEAGPALLPADPYERAMARFWAAFIEDKLVKAMNQASWSKTEVEKAEGNKQATAALKTLEAALGNISKGKPFFGGDSAGYVDIALGGLLAGVRAMEAMLGVKAFDPVTMPLLAAWADHFGALDAVVAVMPDVSRLVELFITMHAQIAAEAA >SECCE7Rv1G0477370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:217685198:217690900:-1 gene:SECCE7Rv1G0477370 transcript:SECCE7Rv1G0477370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVETSGSSQPGAPGRWSLHGKTALVTGGTRGIGRAVVEELAALGAAVHTCSRKEAELGERLKEWEARGFRVTTSVCDLSVREQRERLIGEVAERFGGKLNILVNNVGTNIRKPTTEISAEDYSFLMATNLESAYHLCQLAHPLLKASGLGSIVFVSSVCGLVAVFSGSLYAMTKGAINQLTKNLACEWAKDGIRTNSVAPWYITTSLTEGLLANKEFEASVVSRTPIGRVGEPGEVSSLVAFLCMPGSTYITGQTISVDGGMTVNGFYPA >SECCE7Rv1G0494700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:587268252:587268491:1 gene:SECCE7Rv1G0494700 transcript:SECCE7Rv1G0494700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLSPRYQGLSTYEKEYLAIVVAIDQWRPYLQHSEFVIFTDQKSLIHLEEQCLTTPWQQRAFTKLLGLRYIIRYKKG >SECCE5Rv1G0319730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:378371878:378374424:-1 gene:SECCE5Rv1G0319730 transcript:SECCE5Rv1G0319730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 34 [Source:Projected from Arabidopsis thaliana (AT2G38320) UniProtKB/Swiss-Prot;Acc:O80919] MMMKVSPRVSVHVCLCSTRHGKECPHYNKRGDSATADSPEKMKPEQATHNKMITATSSPSAVVGLRGVVSSLVAFFIVVSTVSLLFDRGHESQVQLAVQHRHQEVKVAAAGRHEAQVQWTDELMGEAVKGSGEECNWSLGRWVYDNTSQPLYSGLNCSFIFDEVACEKYGRNDTRYQYWRWQPDGCDLPRFNATKLLEKLRNKRMVFVGDSINRNQWVSMVCMVEASIPEGQKMRVYNGSLISFTAFEYNATIDFYWSPLILESNSDNPIIHRVEYRIIRAEKIEKHARAWGNADVIVFNSYLWWRKQKPDMKMKVMYGSFEDGDAKLDEVEMVEGFEIALKKLTEWVGANVNNKTKIYFAGSSPTHTWASDWGGDDRNKCLNESDPIQKVGYKGATTDYSMMDKAKQIFRPLEQKGINVQILNFTQLTDYRIDAHPTVFRRQFTPLTAEQIANPSSYADCTHWCLPGVPDVWNHFLYSYLVQK >SECCE6Rv1G0441270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810018993:810021077:-1 gene:SECCE6Rv1G0441270 transcript:SECCE6Rv1G0441270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAACRRAVSSTLRGPPIESLAARAAAPTGDPFVDLLDANFNRPAPPPPPKTRTENNSATFAASGDPCLDFFFHVVPGTPASSVSSLLASAWAAEPTTALRLACNLRGVRGTGKADREGFYAAALWMHGSHPATLALNARPVADFGYLKDLPEILHRIIHGGVSTRKPGKQARLAAEGGGLVARARARPAYARFARFRSRTPRRGRRIELSGLAGRNKKRTRRARIAASQRRDLEEAAQAAVARRKKRADAAATAVQRYSRDQNYRLLHDMTAEVFADLLAEDLKKLAAGNMDLSLAGKWCPSVDSCYDRSTLICEAIARRLFPKGSARDLPEDLPDAHYAYRVRERLRKEAYVPLRHALKLPEIFMSAGQWAQVVYTRVSSVAMKNYKEHFVYYDKARFARYLADVEAGKVKIAAGALLPHEILTSAYVDPEVANLQWRRTVDDLLALGKLNNCLAVCDVSGSMSGLPMDVCVSLGLLLSELCDEPWRHRVITFSMRPQLHHVVGDTLWEKSLFIQRMEWQMNTDFQAVFDQLLHVAVAGKLPPESMVKKVFVFSDMEFDQASARPWETDYEAITRKYTEAGYADAVPQIVFWNLRDSRSVPVTSEQKGVALVSGFSQNMLKLFLGPEEEEHKVDEGEELQKGDGNLKEEEEELKELKKGKEVTMTPRSVMEKAIAGPLYEKLVVFD >SECCE2Rv1G0080720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:132000354:132000728:1 gene:SECCE2Rv1G0080720 transcript:SECCE2Rv1G0080720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGVALLALLVAAAAVACLPSPASAGELASMLLSRAAACDGVIGECGVDEDEEMGMGAGEALRRSLARKPTARYISYAALRADQIPCDKRGASYYTNCGSMQQANPYTRGCSAITRCARNMN >SECCE2Rv1G0105870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:626150046:626150354:1 gene:SECCE2Rv1G0105870 transcript:SECCE2Rv1G0105870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLALALLVLVALSAATSRPGVLAARSPGVLLAPTSSRGPEIPRRLVEAGGNGAPAASLDASGKPAAAAGSSPPPTVFDADRMSKRRVRRGSDPIHNKC >SECCE6Rv1G0414260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:630974199:630976081:1 gene:SECCE6Rv1G0414260 transcript:SECCE6Rv1G0414260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNFSLFILVLCILHLTHGILGGRPHAANQEELGVSGGNLKPINLKMDGLDPPPANVSTFPNYGVRTSYDAAYKTHVARDYYGIEATIDVYGLSLRQDQHSGAALIIKNSKAFDRNMIVVGWHAYPWQYGGNSDTRFFTYWTSDNQGWTGCYDLTCPGYVPEKGAIVPGTKLSPVSNPNGAKQTINLKVFKDKATGDWLVHYGFGSKPKLIGRFPKTLFTTLADKADEIQARGFVLTQASPLAPMGSGFRPSNVKAASFSNIMFLDQDGKRFGVPQDFTPYTTNDKVYSVSQISPNGGFTYGGPSE >SECCE6Rv1G0413510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:623965277:623965522:-1 gene:SECCE6Rv1G0413510 transcript:SECCE6Rv1G0413510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWMQYAATRRQRAATACLFLTGAALIAAAGRLSYANIEPQRAKAAQRRKVLEDFIARKRAAVAGPGPGADSHPQPDPPQT >SECCE6Rv1G0452240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:875836020:875844087:-1 gene:SECCE6Rv1G0452240 transcript:SECCE6Rv1G0452240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWILSLCFTAISTATVLAFCFLKLSGGKADAQKKQLPPGPWPLPIIGSLHHVIGVLPHRTMMRLSRRHGPLMLLRLGEVSTVVVSTAEAAELVMKTHDLVFVDRPRSPTTDIASSGGKDIVFAPYGDHWRQMRKICVVQLLSSTQVNRMQGIRAEEVGSLLRDVVAAAPAGATINVSEKVMALTNDIVTRAVFGGKFARQREFLREMHKVFKLVDGFCLADLFPSSRLVRWLSNGERDMKRCHGLVHRIIAEVVGNRKAARASGAGGSIPGNEDLLDVLLRLQQEDSLEFPLTTETMGAVLFDVFAGATETTGNTLAWAISELMHNPHTLAKAQHEVREVLGKGRSVITNSDLGELHYMRMIIKEALRLHPPGPLIPRMAREDCTVMGYDIPKGTNVYINIFAISRDPRYWINPEEFMPERFDNNNVNYKGTHFQFIPFGAGRRQCPGIQFSSSITEMALANLLYHFDWMLPDGTNLASFDMSEKFGFAVSKKYDLKLRAIPHVWSNAMTSK >SECCE6Rv1G0399250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:434206792:434210356:-1 gene:SECCE6Rv1G0399250 transcript:SECCE6Rv1G0399250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECETGLVGSLNGEGLCMSAPRSDPLNWVKTAEELTGSHLDEVKKMVAQFREPLVTIEGASLGIAQVAAVAAGAGVARVALDESARGRVKESSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGSKVTAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLSVLAEVLSAVFCEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKQAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKIMSSTFLVALCQAIDLRHIEENMKTAVRNCVMQVAKKTLSMNNMGGLHIARFCEKDLLTAIDREAVFAYADDPCSPNYPLMQKLRAVLVEHALANGDGERALETSIFAKVAEFEQNIRAALPKEVEAARASVENGTPLAPNRIKDCRSYPLYQFVREVCGTEYLTGEKTRSPGEELNKVLVAMNERKHIDPLLECLKEWNGEPLPLC >SECCE5Rv1G0366530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:813592779:813595839:1 gene:SECCE5Rv1G0366530 transcript:SECCE5Rv1G0366530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTQVKRTQVINQKEAALGLSEDASWHAKFRGSAYVFVGGVPFDLTEGDLLAVFAQYGEVVDVNLVRDKATGKSKGFAFVAYEDQRSTVLAVDNLNGAKVLGRIIRVDHVEKYKKKEEEDEEELQKKREERGVCYAFQKGECNRGDACKYSHDEQRNANTGWGSKEDDPKWEHDRHRGPQNKGESRGVCYAFQKGECSRGDSCRFSHDEQVAVQGRGVCYAFQKGECSRGASCRFSHDEQRNANTDRGSREDSNARRQHDHDPPKSHKNFPDRNKEEARSGDRVGHSSRSELYRDRDYRLRHGDRDTKDSDRNRHEKSPERSRGDRQRGDDGGREERSDTKDRDRNGHEKSPERSRRDRQRGADRGREDRSDIKDRDRNGYEKSPERPRGDRQRGDDRGREDRSENKRSRQDRDSGGRYERRGNEEEERYRK >SECCE1Rv1G0004670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:20192213:20194412:-1 gene:SECCE1Rv1G0004670 transcript:SECCE1Rv1G0004670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin ligase, XA21-mediated disease resistanc [Source: Projected from Oryza sativa (Os05g0112000)] MGHGVSCARTGDEHDFFRAAQLGDLDALGALLAADASLARRATLYDRLSPLHIAAANGRLEALSMFLDRGAQPDAVDRHKQTALMLAAMHGKIGCVLKLLQAGANILMFDSVHARTCLHHAAYYGHVDCLDAILSTARTTPVAASWGFARFVNVRDDHGATPLHLAARQGRAGCVQVLLENGAIVSALTGSYGFPGSTSLHLAARSGDLDCIRKLLAWGADRLQRDSAGRIPYVVAHKRNHGACAALLNPSSAEPMVWPSPLKFISELDPEAKALLEAALMEANREREKKILKDAKCSPQSPLQYDDHIDDDMFSEVSDTELCCICFDQACTIEVQDCGHQMCAPCTLALCCHNKPNPATLTLPSPACPFCRGGISRLVVAQTRTTDGDPDRPASPQLAHRRSRRSHNLSEGSSSFKGLSSAISKIARGSSRMAESDSAAAMDKPEHDP >SECCE1Rv1G0046660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:619294942:619297854:1 gene:SECCE1Rv1G0046660 transcript:SECCE1Rv1G0046660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g09850 [Source:Projected from Arabidopsis thaliana (AT1G09850) UniProtKB/TrEMBL;Acc:Q0WVJ5] MGLVHCLPPLLLLLLLSASASAAAAVGGEKGVSASAYEAQFEAWCAEHGKAYATPGERAARLAAFADNAAFVAAHNGAANNGPGSPSYTLALNAFADLTHDEFRAARLGRLAVGPGPLGAPSPSDGGFEGRVGAVPAALDWRQSGAVTKVKDQGSCGACWSFSATGAMEGINKITTGSLLSLSEQELIDCDRSYNAGCGGGLMTYAYKFVIKNGGIDTEDDYPFREADGTCNKNKLKRHVVTIDGYKEVPPSKEDLLLQAVAQQPISVGICGSARAFQLYSQGIFDGPCPTSLDHAVLIVGYSSEGGKDYWIVKNSWGERWGMKGYMHLHRNTGSSSGICGVNMMASFPTKTSPNPPPSPGPGPTKCSVFTSCPEGSTCCCSWRALGFCLSWSCCELDNAVCCSDNRSCCPHDYPICDTARGRCLKGNGNFSSIEGIKRKQAFSKVPSWNGLLELLDQ >SECCE5Rv1G0349510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:684306575:684308278:1 gene:SECCE5Rv1G0349510 transcript:SECCE5Rv1G0349510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPKRDANYVPLSPVTFLPRAAAFYADRTSLVCGGSAFTWRQTHDRCLRLAAALQGFAVSRNDVVSILAPNTPALYEMHFAVPMAGAVINAINTRLDAAGVAAILRHAAPKLLFVDYQYIRVATDALKSIAMDAALPLLVVIDDIDAPTGARVGELEYEDLLARGDPTRHPPRVVEDEWDAVALNYTSGTTSAPKGVVYSHRGAYLSTVGLLLHWGVAHDPVYLWSLPMFHCNGWTFTWGVAARGGVNVCVRTPTADAMYSAIADHGVTHMCAAPVLFNILLESRRERLPRRVEVLTGGAAPPAPLLERMEQLGFHVTHAYGMTEATGPAMVCEWRERWDALPPPKRALLKARQGVSALSLADADVKDLKTMQSVPRDGATLGEIVLRGSSVMKGYYKNPEATASAFRGRWFLTGDVGVVHPDGYVEIKDRSKDVIISGGENISSVEVEAALYGHPAVLEAAVVAMPHPHWGETPCAFVALKKQFDSGAAETSEEELVSFCRSKMAHFMVPRKVVFVDDLPKNATGKVQKLALRERARGLEPRDSDKKRAEPARPAIVLTDVSRL >SECCE7Rv1G0507660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:763912444:763922845:-1 gene:SECCE7Rv1G0507660 transcript:SECCE7Rv1G0507660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQFLALSSSSAAARPRARRAAPPRVAAAASASGEPARRLVAEFDPAIPLASAVTPPSGWYTDPGFLRLELDRVFLRGWQAVGHIGQVKNPNDFFTGSLGNVEFVICRDANGKLQAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGSLLKATRISGIKNFNKNDFGLLPIKVATWGPFVLARFDDSSQDTVDDVVGDEWLGSASDLLSRSGINTSLPHVCRREYIIECNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETLTYERVSIQRCESAPAEQEDIDRLGTKATYAFVYPNFMINRYGPWMDTNLAVPLDATRCKVIFDYFLDESLLDDQDFIDRSLKDSKQVQVEDIALCEGVQRGLGSPAYGVGRYAPSVEMAMHHFHCLLHTNISG >SECCE1Rv1G0001310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4457435:4460315:1 gene:SECCE1Rv1G0001310 transcript:SECCE1Rv1G0001310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLHYAVATKCIGFSARRASRSAAALSLRKRRLGSDSSVSCMKKHLSSHGESFPSYAVAMDRKNHIAGSAPSSEPNGIGITEFLGGKNFLITGGTGFLAKVIIEKILRTTPDVGKIYVLIKAKDSNAALKRLQNEIVGTELFKCLEQLHGKDYHHFVAKKLVAVAGNVREANMGIEPELADEIAKKVDIIINSAANTTFDERYDIAMDVNTMGPFRLMSFARRFSKLKLFLHVSTAYVNGRRQGVVLEKPFSLGDTITKELGYSDSSGLQNTVLDIEAEIKLAFCSRHNSNDAASFSQEMKDLGIERARLHGWQDTYVFTKAMGEMVLDSMRGDIPVVTIRPSVIEGTWSEPVPGWIEGIRMLDPIMMYYAKGRLSGFPADGDCVIDVVPADMVVNATLAAVAKHAQALGAAPEMHIYHVGSSTANPLNWRDMFTFFFQHFTRYPFNLASGQPIKVAPMRLFGSMLQFDCNLERDMLLWGAGETLSPRARMLFSKYAEKIIHLARMYQPYSFYGCRFDNANTEALFAEMSAEEKAQFHFDVRSIEWKEYFTNVHIPGFLKHVMKGRGTSSIVENN >SECCE3Rv1G0197150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:816370696:816372036:-1 gene:SECCE3Rv1G0197150 transcript:SECCE3Rv1G0197150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAARLLLLLAVAGAVLRPAAAEIKQESFKDDSRGTILFEKFGFSHRGSVSIALAGARAASKLAKPEPSQLGFFLLSDEALFEAIYEQPPPTDLNPNPDPNTGCVLASRYVIPLFTFADLDAGGNFNKTFPVSHPDEYSLFFANCAPETAVTMEVRTDMYNTNPDGSKDYLSVGQAPVPTIYAFFALGYVVFLAGWLYLTLYHNRLSAHRIHHLMSCLLLARMLYCISAAEDQHYVRIAGSSHGWDVMFYLFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMVVIPLQVAANIAAAVVGETGQYLQEWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVVVIGYLYFTRIIVYALKTITNYKYRWVSVAAEEVATMAFYMFMFYMFKPAERNQYFALDDDEEEAAEMALREEEFEL >SECCE6Rv1G0417380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:657435342:657435695:1 gene:SECCE6Rv1G0417380 transcript:SECCE6Rv1G0417380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKQKLAKKQRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >SECCE7Rv1G0468420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:97867233:97876884:1 gene:SECCE7Rv1G0468420 transcript:SECCE7Rv1G0468420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVEMRRIENKTTRQVTFSKRRNGLLKKAYELSLLCDAEVALIIFSGGGRLFEFSSSSCMYKILERYRTCNYNSPEATPQEENEINYQEYLKLKTRLEYLESSQRNILGEDLGPLSMRELEQIEKQIDISLEHIRTRKNKVLLDELYDLKSKEQELLDQNKDLRKKLQDTSIAENALHMSWQHGGHSSSSGPATETTWPGLLQRPEHDSSLQIGYHQVSMDLQNTGGMAPQHLDGHLGSSAGWI >SECCEUnv1G0570380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:469961901:469962197:1 gene:SECCEUnv1G0570380 transcript:SECCEUnv1G0570380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTDGESPLRGLSPSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE1Rv1G0001720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6192139:6193152:-1 gene:SECCE1Rv1G0001720 transcript:SECCE1Rv1G0001720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASPLLLLSFLLVASMAVADQCHDDDHAALVAIDTALGSPYHFASWTPDSACCDWYDVDCDADTGRVVGLRVYQDTNMSGAIPDAIGNLTFLETLTLHHLPAISGAIPDSIAALSNLSELTISYTGVSGPIPSFLGMLTSLTLLDLSYNSLTGAIPPSLADLISLSSINLRRNGLSGAIPSLLLSKSPDGAYLQLSHNNLSGAIPTEFAAVNFSYVDLSRNALSGDAACLFGTDKSLQHLDVSRNALNFDLSTMDFPEQLTYADLSHNAIHGAIPTQVATLTGLQQFNVSFNRLCGAVPTGGNMSRFDRYSYLHNKCLCGAPLTACRQRPVGYLH >SECCE4Rv1G0225700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:98955534:98956912:-1 gene:SECCE4Rv1G0225700 transcript:SECCE4Rv1G0225700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEGNGQSVLSTLPKELPLDFLRTITNQFSEDRVLGAGAFGTVYTGIMPDGQNIAVKKLAENAPLPRDKAFTNEVQNIMALQHDNIVKLVGFCHEGQKKVVLNNGRYIVADVFESLLCYEYLPKGSLLKNHFDRSNSMAWDTRFKIIKGICNGLLFLHRIPIIHMDLKPENILLDDNMNPKIADFGLSRLFGQEQTRANTQNVVGSYGYIAPEYLYRGEISTQSDIYSLGLLIIETTTGEKNQPKQNEPSARDFIENVRQNWTDGRIASRYSMLKANDLQEVRVCIKIGLECVDIDRKKRPSIENIVQRLDGRCAN >SECCE1Rv1G0033340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:466526314:466529118:-1 gene:SECCE1Rv1G0033340 transcript:SECCE1Rv1G0033340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSRAAAFPHVSLPSPRPRPAAVSLRPPPPPRSVRLDHVIPADAAELGLAGGGLLAAAIEHLEREPAAAADDKAPLADLSPRELQLVLVYFAQEGRDAYCALEVFDWLRRADRVDGETMELMTAIACGWIERLVGAGGDVSDVSALLGEMDCVGLRPGFSLVEKAVALYWDRSERARAVEFVRDVLRRGGVAAGGEYDGERGGPVGYLAWKIMMDGDYRDAVKLVIEFKESGLKPEVYSYLIGLTALVKEQKEFSKALRKLNLSVKDGSIAKLDTESMRNIEKYQSKLLGDGVLLSNWAVQEGSSEVLGLVHERLLSLYTCAGCGLEAERQLWELKLVGREPDTQLYDVVLAICASQGEAGAVRRLLAGVESTSAGRRKKSMSWLLRGYLKGGFYLDASETLLRMLDMGLSPDYLDRAAVLTALQRKIQESGNLESYMKLCKRLSETDLIAPCVVYLYVRKFKLWMMRML >SECCE3Rv1G0209740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:940377760:940379160:1 gene:SECCE3Rv1G0209740 transcript:SECCE3Rv1G0209740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVVLCLVSPLLLAGAVLGNPGYGGLFPQFYDHSCPKAKEIVHSIMAQAVAKETRMAASLVRLHFHDCFVKGCDASVLLDNSTNIVSEKGSNPNRNSIRGFEVVDEIKVALETACPGTVSCADILALAARDSTILVGGPYWEVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRLGLNLVDVVALSGGHTIGLSRCTSFRQRLYNQSGNGLADSTLDVSFAAQLRQGCPRSGGDNNLFPLDAVSSTKFDNFYFKNILAGRGLLSSDEVLLTKSAETAALVKAYANDVHLFFQHFAQSMVNMGNISPLTGSKGEIRKNCRRLNNYH >SECCE3Rv1G0166840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:194137600:194142052:-1 gene:SECCE3Rv1G0166840 transcript:SECCE3Rv1G0166840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARPDHRRHQSPFLRDLSSPISSSFRMPPASVRREAQASTPPPPPPLLSLDDLSRHSPSPPPHTPPQAAMSPSPPPARGGLFSTPLRSNGSPSPAAWWSPSREEKARDSSPVDGVVHQQQSPATASGQQPQQQQQVALITLPPPREVARPEMPRDSVLSTGRVDEEEWVTVFGFLPADTNFVLREFEKCGLVLRHVPGPRDANWMHILYQSRHDAQKALAKHGQQLNSVLIIGVKHVDPWQRPYLNENTDDTYNGGMSVPFPPQAVAPSGFATRNALAPLPSSSMQNGNESNRGASGAIASPAKSVLSKVMDVMFGL >SECCE7Rv1G0461030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:35135313:35139234:1 gene:SECCE7Rv1G0461030 transcript:SECCE7Rv1G0461030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPPPPAALPDDVVEEILLRLPPDDPGCLFRASLVCKAWRSAVSHPHFRRRFIDLHRHRPPPVLGFLHNWEDERIPDFVPTTASPFSLPAPDRRFWRPLDCRHGRALFLSDQRQETQELLLWEPITGARQGIPVPAAFRSQWPTAAVFCTADGCDHRDCAGGPFGVVFVFTVDISDDVDVVTSACLYSSETGTWGELTSREYEFTTDFEHHSSVLVGRSLLYFLTDGGMFLEYNLDSGELAAFRPPPDYYGSDCERFNLMLAEDGGLGAVEGIDFELLLWKREASDGTDARWVLSRIIGLHSFGGGTPAPVLGFAEGANAIFVKTIGSLFMIKLQSEQGKRVCGNHGFGNLIPVVSFYTPHSRLQVPDGEHHDPAQRLNLLRSGQQGVSEETSLELAQVLFDKGCKAINEKDFANAADCFRHALEIRVRHYGGLAPECASTFYRYGVALLCKAQEATKRSGNVSKRAPNEESITPTTNKDDAGSSEASGSSVEHAPPSRKGDYEEGANLHGKDQKDGNMIGDGDDSDLDLAWKMLNTARVIVAKSPDKTTEKVIILNSLAEISMRREDRHSSINYCFEALAILEHLVRPDHFRIFQQNYRICLAFELASKVGDAIPYCAKAISACKSRIRKLKIAKETLLADKDLSASAAKGRSEKSTLEDEISYLARMLPRFQKKLEQLEQAMSTPSDIMKRVVSQASHEQNVNHTMARTASSTSSQMAGSNNSFHSPTMPTAAARGSTGSSVTDFEVVGRDMKRANDKPISDEPSPKRLAADDSSSVNEM >SECCE1Rv1G0061040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:713467927:713468504:1 gene:SECCE1Rv1G0061040 transcript:SECCE1Rv1G0061040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMDKAKGFVADKIAHMPKPEASLDKVTFKGMTREAITVHSHVNVTNPYSHRIPICDIAFNLKCGGKEVASGTIPDPGWIEDSGEITKLEVPAKVPYDFLISLMKDLGRDWDIDYELLVKLTIDLPIIGNFTIPLETAGEFKLPTLSDFFGGAAKTQEATA >SECCE5Rv1G0326980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:488189805:488195493:-1 gene:SECCE5Rv1G0326980 transcript:SECCE5Rv1G0326980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSRQLGGGGGGLPPLHEPPEDEDVVDAGVGVGGAATPSTRQAIKALTAQIKDMALKASGAYRHCKPCAGSSAGASGRHHPYHHRGGSGFRGSDAASGSDRFHYAYRRAAGGGSSGEATPSMSARTDFPAGDEEEEEEEEGMSSGGGGGKEDNAKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNHQSVPLPATPKSEDESSKEDSPVTPPLDKERLPRSLQRPTSGGGAMGYSSSDSLEHHSNHYCNGLHPHHEHQCYDSVGLASTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDQPGVYITIRALPGGIRELRRVRFSREKFSEMHARLWWEENRARIHEQYL >SECCE2Rv1G0103310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:589086891:589089276:-1 gene:SECCE2Rv1G0103310 transcript:SECCE2Rv1G0103310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETVLLVVAFAAAAALLCSCPAAVSARKVGETCALSRNCDAGLHCETCVVDGNVRPRCTRVTPVDPQSKDRELPFNRYAWLTTHNSFARLGTQSQTGTAIVTAFNQQDTIVEQLNSGVRGLMLDMYDFRNDIWLCHSYGGACRNFTAFTPAVNVLREIEAFLRRNPSEVITIFIEDYVEAPMGLTRVFSASGLTPYLFPVWRMPKNGGDWPLLSDMVRDNHRLLVFTSRAAKEAAEGFAHEWGYVVENQYGTKGMVKGSCPNRAESAAMNDLSRSLVLVNYFRDLPNYPEACKDNSAQLLGMLDTCHAASGDRWANFIAVDFYKRSDGGGAAAATDKANGGLVCGCGSIAACNSNGTCSPRRRGSTPKGIFNKTSDAASWQPPAMLQWRQLMLPATFIALLLCL >SECCE1Rv1G0017510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:156268258:156270894:-1 gene:SECCE1Rv1G0017510 transcript:SECCE1Rv1G0017510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDGGKCSADVLQGSYFMARLMVVIGLMASVLALSGLFHSGLRRLGQPSIISHILAGVVVGPTVLGRMVNLRQLGMEDAGMALDDAIYFLRVVFMFFTGLEMDLCYLRRYLRASLVLACGGSALCLLLAAVGGPFFYGLLHPGKETFYPDGIYASTALFMLVLTSTASPVLIRIVTELKLTGSETGQLAIGTAFANDIASLTAVSIMDVDWTTYDKDGKARPPPGGQQVQPIAKLIIFLFVSLNVWIAIRLVVWLVRLLNRAKKGRQYISMSVLCLMLLFMVNTAQRLYLFGYSPSMTAFLIGLATPRDGPTARSLIDHLTYPVHQIIMPLCFGVTGAKLDFTKISRFTATQLVIVVAFTTLLGTTGRVAGTVAAGRMIGIPARETLVLGLLLNVKGYADILAINLGDKAGIWGEEAQSLLLLSSIINTLMAGPASAAIVRQQRRAFQYRSHCLQDLKLDQELRVLLCVHGAGSVHAMLTLAEISKGNTPVAIYLLHLIELMTARKYAITHFYHPKGGADDDNGRWSHTREIDEVAAAVDRFTNDTVVAVRQMTAISSLVSMDADVCNGVEDTRASLVIVPFHKEQRYDRRMVCRREGRRELNQRILQRAPCTVGVLVERHLGTTAVAERQSTADGSQSEAVDPEAEGSAPVHNVVALFLGGPDDREAVAYATRLAAHPSVSVTVSRFLPEGASMDATTEVRSMMSTSTDDWGGAGDDDHITMMVVDEEGMADEEFMADFYARFVEPGHVSYTERYVRNGVGMVESLWSMVGMYSLFIVGKGGGGGTAAAEMTKGMGGLNEESPELGPIGDFLSSDDLLGYGASLLVLRRHNVQNKTQ >SECCE5Rv1G0298420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11230852:11231556:1 gene:SECCE5Rv1G0298420 transcript:SECCE5Rv1G0298420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESTSGSSSVAGDGGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLHIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKGVPAGAEIPNRPTGQRPATAPQADPNNHFPNANPNPWFMGGGGVPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHGHAFHGGHAHAGAPRHAPPGQQQQQADVYLKALLILVGFLVIASLVTF >SECCE4Rv1G0265180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724919330:724919740:1 gene:SECCE4Rv1G0265180 transcript:SECCE4Rv1G0265180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE7Rv1G0466910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82401167:82402129:-1 gene:SECCE7Rv1G0466910 transcript:SECCE7Rv1G0466910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 2F [Source:Projected from Arabidopsis thaliana (AT3G57600) UniProtKB/Swiss-Prot;Acc:Q9SVX5] MESYVRKRSWKKGPTRGKGGPQNAACEYRGVRQRTWGKWVAEIREPNKRARIWLGSFATAEEAALAYDEAARRLYGPDAFLNLPHLRAAASAAGATAQQRMIRWLPAAAAGAARGPAVPAYGLLNLNAQHNVHVIHQRLQELKGSSSPAKPPQQQSRRTPPPPPPPPPASSPSSTVTTGAMPPSAACFQAQLEHAMAMTAAAAESAPPCDGFGGGRPQLDLKEFLQQIGVLGEDDGGAAGKHHGPEGVSEDDGEVADAFGFGGGNSNAAEFDWDALAADMSDIAAGGHGGGSGGALGVNGAFHMDDLDQFGCVPIPVWDI >SECCE5Rv1G0351700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:701800416:701802146:1 gene:SECCE5Rv1G0351700 transcript:SECCE5Rv1G0351700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDSYYFHDDAHFFAGCGVPCSPDLPFADLIASLSEPLPEMPSQSAFREYRGVGLELPGTARGGTGNGNNIHRRMMDVLGRMVPSTEEEERPHQQQQQAAGAVESSRGFRHMMRERQRREKLSQSYADLYAMVSSRSKQDKNSIVQSAAVYIHELKVAKEQLQRRNDELKAKILGHDAQQQCVKVQFEVDDPSSSIDSMIGALRRLKSMNVKTRGIHSTLSGQRLTTEMNVETTIAACEVEKAVEEALQEVERNQLPDSEAPPFPGSRSGWPQTSHVQNVF >SECCE1Rv1G0050050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644387891:644389370:1 gene:SECCE1Rv1G0050050 transcript:SECCE1Rv1G0050050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os05g0530400)] MEMEMEGGSQSQPQGASLSPAPFLIKTYEMVEDPATNRVVSWGPGGGSFVVWNPPDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEEFIRGHMHLLKNIHRRKPVHSHSPQSQVNGPLAESERREYEDEISRLKHENSLLVAELQRQAQQQCGLGWLMQALEERLMAMEQRQVDVVASVRDIQQRRRGGVHPGQETMLELEPTDHFSKKRRIPKIGFFVEEPAAAAEEQRVPHLPAMGGETPGMVMVNAEPFEKMELALVSMEKLVQRAGNYTSSEDMYNAAAAAAVAPSTDDPAHAGLQAAPVEAGVNLEPSSPELAKSPGYAVQSPMILFPEIHGDKHKTTAEVDMSSETSSTDTSQDEATAEAETETGVPHEPAVANDVFWERFLTDTPKPLAVEEGNESKDDLKMGLDCYWFGHRNNVAQITEKMGHLASAQQT >SECCE5Rv1G0324830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:456866515:456870120:-1 gene:SECCE5Rv1G0324830 transcript:SECCE5Rv1G0324830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQLRLLRRGYQAAGGGAAVAACKAGAKRKVLEGTPAALGFWMPAEWEPHDQCWIGWPDAQGFWRDAAAPAQKAFANVVDAISRFEPVTVCCNAAQYARVAHLMEHKSNVRVVEMSACLVWLRDLGPSFVVRADGTRSRREIAGVDWQYNAYGGYNDLFGPEDHQLNRRKGREQHITEDNLVARKVLELERIPRFETNFVLEGGSIHVDGEGRTCITTEQCLCHGNRNPHMSKDEIENQLKTHLGVSKVIWLPKGLYGDEMISGHVDNICCFAGPSTVLLSWIEDKSDPQYEHSAAAFDVLSNTTDAKGRKLDIIKIHVPGPLYMTEEETQPFLGSVALGHQRLAESYVNFYIANGGVVAPAFGDKWDEEARKVLERAFPKHEVVMVEGGREIVLGGGNIHCTTQQQPAVCPHPFDADTM >SECCE4Rv1G0291320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876349488:876351011:-1 gene:SECCE4Rv1G0291320 transcript:SECCE4Rv1G0291320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASAHGDMAASKFTLPVDSEHKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLVMLSAPTVFCMAVIDDASGYIAVRFLIGFSLATFVSCQYWMSTMFNSNMGGGATQLIMPLVFHAIQKCGATPFVAWRIAYFVPGMMHIVMGLLVLTMGQDLPDGNLASLQKKGDVAKDKFSKVLWGAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFHLDLRAAGTIAACFGMANIVARPMGGYLSDLGARYFGMPFCIWLGRASALPASVTAMVLFSICAQAACGAVFGVAPFVSRRSLGIISGLTGAGGNVGAGLTQLLFFTSSQYSTGRGLEYMGIMIMACTLPIALVHFPQWGSMFFPASADATEEEYYASEWSEEEKSKGLHIAGQKFAENSRSERGRRNVILATSATPPNNTPQHV >SECCE7Rv1G0479530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:254705723:254719009:1 gene:SECCE7Rv1G0479530 transcript:SECCE7Rv1G0479530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASPGGVSDHQYVSVKLESARLAALDLAPYLFGSHPVAGSWDPSKALPMERAAAAIWELSCVLPSRHETMDFKLLLKPKADSSECIVEEGPNRPLGCGSNQVEMTDAVFKLTEKDALECKVWVETEMLSPFDLAASWKAHQENLQPTRVRGTYDVIMNTETEARDENDFASSLELDLEKYVVPTTPNMGSGVVYAANLTENPRSLLDTGISSNNDTTKVILHNSIDAPPNHYANTKKDVDGRHTPSQEEQKAVFVDRGVGSPRFARPTNETISASNIKQDSEAKLGMPAAEGAVAAAAVADQMYGPKEDRKLVIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQTADFFRGDNKEGVEARNEVAALAMEDMLSWMEEGGQVGICDATNSTRSRRNMLMKMAEGKCKIIFVETICNDQDVLERNIRLKVQQSPDYAEQTDFEAGVRDFKERLAYYEKVYEPVNEGSYIKMIDMVSGNGGQLQINNISGYLPGRIVFFLVNCHLTPRPILLTRHGESLDNVRGRIGGDSSLSEAGEVYSRKLASFVEKRLKSERTASIWTSTLQRTILTAHPIIGFPKIQWRALDEINAGVCDGMTYDEVKKNKPEEYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVIAHQAVLRSLYAYFADKPLEEVPKIEIPLHTIIEIKMGVTGVEEKRYKLMDAVNPTVEV >SECCE1Rv1G0046690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:619491316:619491718:1 gene:SECCE1Rv1G0046690 transcript:SECCE1Rv1G0046690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRAALCSLLLLVIFLHGNPTFISADDAEVCKYRNPYVPFCKGWSCKAECWVEAKIFNARLQEHRCIRGGIKGTCYCLFCGNHMRFLHLH >SECCE1Rv1G0057450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693497318:693498538:1 gene:SECCE1Rv1G0057450 transcript:SECCE1Rv1G0057450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKKRSKPHPPWSDLPLDIGGLVFSLLPRYADRTAFALVCPQWRHAARREVLTLPLPVLALPDGTFYSIFYPNLYSFPGRGFAGYESVCDSWLVFPRDDGCFLVDPFSRASFMLPALSSVRLRPPNAVAKWSLQDGSQVADPYTTWMHINTSEKLHISKLLLCSPNLVAALVGIGHTSQILMCQPGASSWSVRAYDQCKGFEDMAFYRGKLYAIANDENLLVVNICQDHSTGDPQVSRIGQIIKGEPWYPAVSEDNTMCCKKLYLVESHGVLLMARRAILCRVPGPGAVPSEVVAGMSGFEVFKADFEHSQWVKVSTVGDDQLLFLGRRCSRAMSVSQYGFPGDYIFFLDDDEENRMDYCYDRENTSFGAYGMGTNSVHFPHPHISWKCCDEMRLAAWLFPRDP >SECCE3Rv1G0162600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:134220231:134222198:-1 gene:SECCE3Rv1G0162600 transcript:SECCE3Rv1G0162600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADANAGPELRRSSSIDRIPAEARRILHRLAGELWGADVDPAALVVSQLQGALTNEVFRITWPGGEGDPRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLGRFPQGRVEEFINARTLSAPDLRDPEISGLIARKLREFHELDMPGPKDISLWQRLRRWLEEARSRCSTEEARELRLETLGDEIAELENVLSRVDQRVVFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMAADYHSDTPHVMDFTKYPDVDEQRRFLEAYLSSSGENTSDAEVETLLGLIAKYSLTSHLFWGLWGIVSEHVNKNIDFEYKEYARHRFDQYWDTKPRILQAFNPTS >SECCE5Rv1G0321320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:401488412:401489005:-1 gene:SECCE5Rv1G0321320 transcript:SECCE5Rv1G0321320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLRSTSVPSSPRSGKADVDQQLHSLNTATVSPLSTIGVVCVGLRRLGDIYDYINELTCFPSSQILLCKTQQRVAVEQELERSLVLLDLCNVVQVSFSELKASVKDMQLVIKRADDAALQVKIQSWFHQIKKAQKTLKKNSKKSSSADLESCRVVKLLAEAREVAVTMIGSSMELLSKQIATPNSNKWALVSRAF >SECCE7Rv1G0496560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:613838659:613839249:-1 gene:SECCE7Rv1G0496560 transcript:SECCE7Rv1G0496560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPPRLLPLVEQATKTLPPLGKKTKGRQRRENRRVEKKESRQVTFSKRKSGLWKKAAELALLCRASLAIVVFSEAGKAFALGSPSTDAVLGCADVDGDSLAPVPAADDVEWEALEALCRETKAMSVEVAAEVKRMNNVGKKVVEVQTQAGKRFWWEADVEALGEAELPIFARALQRLRDNVRRHADKMPSAPQPQ >SECCE2Rv1G0098500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:484796550:484839496:1 gene:SECCE2Rv1G0098500 transcript:SECCE2Rv1G0098500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADLLQRCLEAGGRDFLLHHPSSPPSPTSASAAAASSSSSSILQSLPLHVSFDRGYYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMENYRTGAGADEGSDIDSIDFDALACNLQDLVKGKNTLMPLVDFQEKKRTGWRQLNISSSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNESPDGQKFYSFDKSKSETENFIEMYLRPPFASEEIKIDDWIKVTQCGIRYYLSLGDQRIVDKFFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTSRTVKRDQLLIAAETIDSLNESFLVLKGPSRKIVAAEATNLGIKGPWITKSYLEMILESKGVPRVNTPPPVSSTLLTDSQEKKIAVPKPIRVLTDRVANLDDFVQPWTRSPPKKFDQEPSLAKWQFIPDSSSRSNIQLAPLPDSYDLDRGLLLSVQAIQAVLETKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIALLTKNIKEIRNTHKAKVPCFDFEKFKRNGFKEFQVSEECGVVIFEGVYTLHPSIRKSLDLWIAVVGGVHSHLIARIQRDKNRAGFSISQNEIMTTVFPLFQQYIEPHLVDAHLKIQNDFDPVLSPESSPFVLKSTKQVSYQDILKVLDASKVCSSVQNFTDVYLRLPGIPSHGQLTEGECIRVRICEGRFAVLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYQAVAYIEASAVIYQDGKILIEVDHLQGVTNPFIQIKGTNKEIVSSAASSLSLDGSYTTKSYLQIILESLPVDDNVTAGIHNQQAARLQELVEFIQSQGGSFNSDLSSPIRENSSTDGVLDDLQSRIKRLERWNTINMVLWTILLSALVGYSLYQKRRH >SECCE2Rv1G0109550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:678247903:678249877:-1 gene:SECCE2Rv1G0109550 transcript:SECCE2Rv1G0109550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGSSSGAPGEGEEDGMTDGSFHSPEWHAARLASLNKTHTLTWEEFKKKQKDEELKRGEVEADKDKMMREYRAQLDAERAQKLGRGRDVVKSKSSSSKKEKKEKDAKKRIKKRRKHRSSSESSSSSESSSSDDEDRGSRKSRSRSRSKRSKKDKKHRSRSKHAGSDSEEEGPVRLSKFFGNAKN >SECCE7Rv1G0458160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:20213887:20215668:-1 gene:SECCE7Rv1G0458160 transcript:SECCE7Rv1G0458160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLISKVPDAWLGAIVSFLPAKDGCRTQALSRRWRHIWRSAPLSLDDGVPEKTVSGVLSGHLGPVRRVTFTNIAHRQYRYHPRTGHQIIDKNGDPRLDDWFRSRGIAYVEELQLVYSYRSYGCDVLPLSVFRRAPALRVATFGCCRLPGNLTVDFPVLQQLTLCNVTLTEEALNAMLAGCPKLKSLLLDNTVGSTRLRITSPALRSIGFCARQDTEDDPYSRIVKVQELVIEDAPCLERLLPLNPDYGPSTIRVIRAPKLEILGPLSKAVSQLHLGTTIFQEMIAVSLTTTMHTVKVLALHHVGPDLDAVLDLLRCFPCLQSLYIVNVKNARKYSSLDDPIECLEHHLKKMSMKVYYGKGPEIHFARFFVLNAKVLDRMEFGIIEKSILGGNKEEWMANQSKQLQVEDRASHDARFSFKKFSWNTWNYNKRIHDLSMADPFDASFLDGYLAL >SECCE2Rv1G0139530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:927765612:927767549:-1 gene:SECCE2Rv1G0139530 transcript:SECCE2Rv1G0139530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESSSKLGGSVHDFVVKDVRGNDVELSRYKGKVVLIVNVASRCGLANSNYTELGQLYEKYREKGLEILAFPCNQFAGQEPDSDEKIVEFACNRFQAEFPIFRKVDVNGNNAAPLYKFLKSERGGLFGERIKWNFTKFLVDKEGHVMNRYAPTWSPLGIENDIKKLLEV >SECCE6Rv1G0445620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:839028118:839032295:-1 gene:SECCE6Rv1G0445620 transcript:SECCE6Rv1G0445620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGGERQGQEQEQEDEDLADLILSWSIQDVVNQDLFRDKVSRIPDRFSGLRSYLDSFKAPLLEEIREEMRSNLLDAQTNCSKVVEIRPLYLGMPFYLVTIREACPPFMSDIVVLSAAMPLRPSHFASGNDGASSYCLAQVLNVNNDGSSFVVRASERVDDCVNSYKFVVSLLSFIPYERIWRCLRNRANDYVSVKRDDSLLKAISGEAAEDSMQMQQLSTSLTGCTDVPLSVPGRLSAFGLNESQTGAILSCVSAVQRGGGGGGASSKVSLIWGPPGTGKTKTITVLLLSAMKMKWRVLTCAPTNTAVCQVASRLLALRRQHPDPDACAPGRRCHGHGDLLLFGNRQRMHIADDLNHIFLDTRVKLLSECFSPGTGWRRCLLSLEAFLHDEIAMIKREDGTEPVVLKYYSFPASHFHRIFDELSNCLKSIMSHVSTLEKNYSNIALLSKMLHDFSKLPGVHKQVSTSSRQLKRQCHGLIMGYHSEQTVGAMREKMPAILDVTRELLRDLNLPVTKEFSEIKKFCIESASVIFCTVSGSSKLEGKKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDERQLPAMVQSKVSDKALLGRSLFERLGLLGHKKHLLNMQYRMHPSISIFPNLSFYDRQILNGSNVSETKHQRSYLPGAMFGPYSFINIDACNGAGQVVSVGVICPYTAQVEAIKEKIGDVKAMRPLVLRINTVDGFQGSEEDMIILSTVRSNRTGSGGFLSNRRRANVALTRARHCLWILGNAATLSGSASIWRELVQDAVERRCIFDWDDGKCLSPAVSHRARLIRLVRGGASSASASGMRRAGVKPCDELRFSAIR >SECCE2Rv1G0118990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:787229833:787235064:-1 gene:SECCE2Rv1G0118990 transcript:SECCE2Rv1G0118990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRQDSPEGLEVQCAGCGETLEVDPGLTEFICPDCATPQSLPPELMPPPPPRRKALPLPRAAADVRGARLPCGSCGALLSVPVGLARCACPVCGAELAVDTARLRHYLLSSATAEGAVPVVPLGDPSAPPILQAQQVRQEHPNFGIHAGLLWTEPDNRPNCMEQVRTNHPNQFIPEQADLSNPGSTIERGEVHDVSGEVHDVNGIFTKRTNMRSVGPGIVSPERRHEEPQNHDRHQAQVQCSTTRINCTTGRSTAPQTVSIEKRQLITPDQTIQQAQKQASCRAICTEKAHAEDVDGVIHVQEKQQQPVSQANHTEELCTQAANKIIARDSNRRRVGYTACSDAAFAERRKVHEANEVIKQVQRQQSDSVVHMESENQVIHVEKEHSKSVSCRTPKQKKKGLTAASNPGLQLRRSKRLTKDSPASLDQEPVQNEFLESQEGTSISHLPATIRVSEPTESDPDLQHAGSPEQSEPSESDPDWQHAGSPEQSLSDSLDIDRIINNIKPSPPPRCEMHEPSSNKLDSPHLTTPPSNSDLNLSDPEEFARNYIPPEVRKALAELRSNSLFEHTMSQASYGETSVLDLTDSEGDGPCSPTLQNVGTKRNHRRLRCGLKLSLEVWTLPKGVRIPVSLNTSGEPVGKEAGTLSNFLCAIARDGVLTPLTYHDWRRVPERNKNIIWCIVKLKFDIAPVGELWIMKSLGKRWRSWKSFLKLQHYDAHETEEERLADRNPRVLKEQWQFLVAYWSTEKAKAASARSKACQSNVVAHHTAGTKSFARIIEEEKQKRLNKDGPSVEDLFIMTHTPKNGKPMKKATADAIARLRKQVESSGGDSAAQDSSSKVPRGKAVLQASFKEAMEAKRRAEDEASALKEKMMAMEESQRKMQEDLANMKSAVSAIHKTAPTGDLQGQQMHTKMPISKNSEDEPTGGPSFPPGLAKNPNPPPPMRRSKRAKRS >SECCEUnv1G0539760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77318769:77322386:-1 gene:SECCEUnv1G0539760 transcript:SECCEUnv1G0539760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MASACPRPLFLSFPKSPAPPPAVPAKHHPSATTSLSVSTRARAAPSSAPSPSPSPSPPADGVGPAAPTRGDVYLGRQLAAAGAPARAPEEDAERRRRRKEKRRALAKKAPSGLACCYGCGAPLHTGEEGSPGHVERATYDLKKRHNQLKTVLCGRCKLLSHGHMVTAVGGHGGYPGGKQFVTAEELREKLSYLRHEKALIVKLVDIVDFNGSFLARIRDFAGANPIVLVITKVDLLPRDTDLNCVGDWVVESVVRKKLNVLSVHLTSSKSLVGITGVISEIQQEKKARDVYILGSANVGKSAFISAMLKTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLKGRCFPANDTDVGLSGNTLFWGGLVRIDVVKALPRTRLTFYGPKKLSINMVPTTEADEFYKREVGVTLTPPTGQERAEGWCGLQGVRELQIKYEELDRPASDIAISGLGWIAVEPHGVPSSDPDSSAEEEGGGSGELHLRVHVPKPVEIFVRAPLPVGKAASQWYRYQELTEVEEELRPKWHY >SECCE5Rv1G0335710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:578902606:578907103:1 gene:SECCE5Rv1G0335710 transcript:SECCE5Rv1G0335710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHALVSIAVLLAVCAASGALAQTVQVWSLCSAANYTAGSTYGASVRGVLRDVVATAGRSRDGYATVFRSQQVKDGAPYGLALCYADAGPPEVCRLCLRMAAGNVTLACPRAASATMLYNNCLLRYADPAAGGRELARPEPDTVQRFSFYNGNMTSAGEADRYGAALNRLMDRLAPAAAEAGANGRTRPLAAFGQTNITADESLYGFAQCVAGLSPAGCRLCLERIAASLPMTKGGRAYSLTCYTRFEVVPFYMPPNTTRIVVAPAPSSSSTSSLQPAADSSSSRASKRRKLGIIAAVSAVAIIILVTTCSIIALKIHRSGRSPFPSLTGKDYNNRRDNIKEILDNYGSLAPRRYKFSHLKKITKSFGEKLGEGSYGMVYKGTLPNGRHVAVKFLHDTTGNGEEFVNEVISIRRTSHVNVVTLLGFCLEGSKRALIYDYMPNGSLDKFIYTDNSKETLGWEKLYEIAMGIARGLEYLHRGCNTRIIHFDIKPQNILLDQDFVPKIADFGLAKLCNPKESYLLSMDGMRGTVGFIAPEVFSRRFGVVSTKSDVYSFGMVLLEMVGGRRNLRASVERESEVYFPDWAHNHLTQFGSLQPFDLGLDGPEEIAKKMATIGLWCIQILPASRPTMSKVLEMFEKSSDELEIPPKQLIYSPIQDDTDELQNESRVSMTLAELASEASS >SECCE2Rv1G0104710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:610117111:610117512:-1 gene:SECCE2Rv1G0104710 transcript:SECCE2Rv1G0104710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAPRPPRRAATLTLLLPFLLLSSSPPLSFAASPPPSSPAPLHAPPRRVASDSDGAPAPPHHPHHHHHHHRHHPPPPPPHWRRLNFGERLGIAFAGVAIAMQVVLGAFLALRAWQLRRLDRAEVSSSTPLT >SECCE4Rv1G0286510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849479913:849482507:1 gene:SECCE4Rv1G0286510 transcript:SECCE4Rv1G0286510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTVAKLALLLVTAVFSVAAHARQLQPADAAGASCLPRERDALLAFKQAGNDTYDFLASWQRRHKDCCRWWGVTCSNETGHVIELDIGGTYLHGKISPSLLSLEHLEYLNLNSNNLLGPDGTSFFPEFLCSLSNLRHLDLSATPFTGRLPAQLANLSNLEYLDLSHTPLSGRVSPQFFNLSMLEYLDLSYTSMSGILPPQLGNLSKLRHLGLGFMQDIHTSDISWLTHLHFLEYVDMSDINLSSADVFLVANTIPSLKALIIVNCSLPNANQSLTHVNLTKLEELDLSGNYLGHQIETCWFWNLTSIKNLALDSTYLYGPFPDALGGMISLQRLAFNNNSNSATMTVDLKNLCDLDTLLLDGSLASGNMTDLMTKLPQCSSTKLRFLSSNDNNMAGMLPDMLGHFTSLKYLFLYNNSITGAIPTGLVNCTSLHSVSLGLNQLSGQIPTLPGSLTKVDLSMNSLSGPLPSDFGAPDLTVLSLSSNYITGHVPRPICKLQNLVFLDLSRNRFVGEFPRCSSMSNLAFLYLSNNHFSGNFPPLLQKCSELAFLDLAMNKFDGALPVWIQDLVNLRFLQLNNNMFYGDIPANITSLVLLQQFSLASNNISGSIPSSLSKLTGMTLKHLPRLESRWSENRFQRLPVDILSVVMKQQELKFSGNAVIDMVSIDLSINHLTGAIPDEITSLNGLLSLNLSWNDLSQKIPVKIGDMKSLESLDLSRNNISGEIPTSFSELTYLSSLHLSYNNLSGRIPTGRQLDTLYAEDPSMYNGNSRLCGLPLQRHCLGNSPPEHGNQQRSENAYDPVMFFYIGITSGFVVGLWLVFCALLFKRAWRYAYFRVFDELCDKVYVFVVVTWGRINTKATAG >SECCE7Rv1G0495060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:593823290:593826170:1 gene:SECCE7Rv1G0495060 transcript:SECCE7Rv1G0495060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFAASASLRLSALLCILLCSEVWVLQCGAAIGINYGQVGNNLPTPTQVVSLLSSLRVGKVRIYDVNPQVLAAFAGTGIELIVTVPNDLVQPMAASAGQAMQWATANIKPYFPATRVTGIAVGNEVFTDDDEALKASLVPAMRNLHAALAQLGMDGYVHVSTASSLGVLANSYPPSQGAFTPECAPLMLPFLRFLAETNAPFWINAYPYFAYKADPANVSLSYALSDPYHVGAVDPYTHLQYTSMLYAQVDAVTFAAARLGYGGIPVFVSETGWPSKGDADEVGATVENARAYNRNLLVRQVGNEGTPLRPRQRLEVYLFALFNEDMKPGPTSERNYGLYQPDGRMVYNVGLAQQQTTSAASLSLAASSAPPARDVRKDLTSLCILSALAILFTSQSFLLG >SECCE2Rv1G0087450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:226036763:226037758:1 gene:SECCE2Rv1G0087450 transcript:SECCE2Rv1G0087450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLLCHPTLTLLPLLFAAAIAGVLCAPVYRPEYLVDGNQLVDMQYHMGPVVSGAPTNLFLIWYGRWDAPAQAVLRDFLASLSVPAPFPAVSDWWARTPRLYTDQSGANVTATFAVAGEHSDAGYSHGASLKRIDMQSIIRTAVVAYPDPLPLDPYNGVYLVLSSPDVQVEEFCRAMCGFHYFTFASVVGVTVPYAWVGNSGSQCPGRCAYPFAAPEYGASGQGVLRPPNGDPGVDGMVIVLGHELAELATNPLVNAWYAGDTPTAPTEIADICLGVYGDGGGAGGFVGNVSHAADGSSYNVNGVNGRRFLVQWLWNPVLGACYGPNSSK >SECCE2Rv1G0075930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:86634573:86635220:-1 gene:SECCE2Rv1G0075930 transcript:SECCE2Rv1G0075930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFVDLWIQLEQFQLLPDIDDDISWKFEANGEYSAASAYRIQFLGSMTTTMNKTIWKVWAPPKVKFFSWLAIQNRIWTADRLEKRGWENCGLCTLCRRENETTAHLFFRCRFTLRVWRLTKEWLGLGALETQQWSAEHNVKSWWTNMSKPNTANRKAMASLTMLVGWVIWNERNTRVFRKKSTPPFYILKLIQDEAKLWVTAGARHLSIIMPRE >SECCE1Rv1G0062420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719501184:719502614:-1 gene:SECCE1Rv1G0062420 transcript:SECCE1Rv1G0062420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSSLDMSFMASSRQTRTTWCSRCGASISAPPGARSVRCALCHTMTRVERRPQHNGGGGLHQAVGFIKGLLFGSPSQPPPRPPASSSGSMRAGDPYRLPASYPIARGKKRALLVGISYSFTKYELKGTVNDVNCMAYLLCQRFGFPGDGILALTQEEKDPCRWPTKDNIRLAMRWLVEGCTSGDSLVFHFSGHGVQKLDNNGDEVDGYDEALCPQDFEDRGVILDDEINETIVRPLGAGVKLHAIIDTCHSGTILDLPYLCRISRTGYWQWENHNRQPDAQKGTNGGLAVSFSGCGDSQTSTESDRTAFSGSTSTGAMTYSFIKAVESEPGTTYGRLLSAMRATIRDNGGDSGIPGPIGSFFRRVITFSSAQEPQLCASEAFDIYRKPFIL >SECCEUnv1G0530880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:14674689:14675296:1 gene:SECCEUnv1G0530880 transcript:SECCEUnv1G0530880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSPNQVSSAEAILLGALSSGVNAPTWIVLQITFLLLAFCFTAMLYLAFFSSDFVIVGHVLLLITIGAVLFVLLNRFLAETGFVPVEQQMQEIGIHKPEATEKDKSN >SECCE4Rv1G0254360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:635483844:635484517:1 gene:SECCE4Rv1G0254360 transcript:SECCE4Rv1G0254360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDHQQGGSDSQQQLQERPKRQRRHTPEQVQKLEESYKKFEHPNEIQCAQLGRELGLETKQVRSWFQNHRTQMRIEYERMENNFLRWEVMSLRSEIMAMREALKNSDICPNCVAKDCIDQERNRENARLKAGLLRCTTSFQDEP >SECCE4Rv1G0279740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:816482994:816486002:-1 gene:SECCE4Rv1G0279740 transcript:SECCE4Rv1G0279740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVATAYTMAGENEEDGSTVFRPGTAASSPVRTVVALALWLGAIHFNLLLLLASVFVFPGRIAALVLGTQLFFMFAPVSSTSGWGQNVARFICKHAVGYFPITLHVEDYDAFDPNTAYVFGYEPHCAMPLGLWVLAAPMGFMPLPKMKILASSAAFYTPFQRRIWTWLGLVPASRKNFSNYLGAGYSCAVVPGGLREMLYMDHEPDSEVAFIRSRKGFVRIAIQTGCPLVPVFCFGQDRLYNWWRPGSNLLVKIAGVLKAPAIVFWGKFGTFIPFGLPMHVFVGRPIGVTKNKQPTMDEINEVHQKFVMALQELFNKHKYKVGCPNLQLQVI >SECCE3Rv1G0210470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943894982:943895584:-1 gene:SECCE3Rv1G0210470 transcript:SECCE3Rv1G0210470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLAAAASPLSSDDQRSQQVRQQTYTVWMKSLVFNGNGCAVYGPDGAVAFRVDNYGCRGGRDVVFMDRARNALIRIRRKGFGMFRRWEVCRCAHNGGQEEEATPWFSVRRAEKGGAAVAMHGGTAMCYTIDGCSARKSEYRVGGVDGTVVAEVARKQTAAGVVLGEDVLTLTMAPEVDHLLVLGLVVVCGLINRSL >SECCE7Rv1G0522590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878590595:878591686:1 gene:SECCE7Rv1G0522590 transcript:SECCE7Rv1G0522590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHGIMRPRRLKSTRATGEEGQELGSYEAACCADPELRSFDAALRRRASLAVSAAASGVEVRSMSLGSLREVTGCLVEMNQEVVRVVLASKHDVWGCPELFALVEDYFDASLHTLDFLAALDKALRRARDSQLVLHLALQVQDPAVRPLGALRRFKEAAGEPFTDEFFAAFQAALRRQKRRLDGRLRSLRVWRRVTGVVFATTFAAILVCSVVAAAIAAPPVAAALAAAASLPVGSAGKWVDAMLKRYRDVLRGHKDVVGAMQVGTFVAIEDLDSIRALVGRLEVQIGSMVDCAELAERDEEAARLAVEEVKKKLEAFMKSVDDLGQQADRCSRDIRQARTVVLQRIIHPR >SECCEUnv1G0531920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:18034522:18034977:1 gene:SECCEUnv1G0531920 transcript:SECCEUnv1G0531920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRSNMFDPFADLWADPFDTFRSIIPAISGGNSETAAFANARMDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKNDKWHRVERSSGKFVRRFRLPEDAKVEEVKAGLENGVLAVTVPKAQVKKPEVKAIEISG >SECCE6Rv1G0444450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:828145768:828147372:1 gene:SECCE6Rv1G0444450 transcript:SECCE6Rv1G0444450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSGPRQSSTQEPDASGDGADRISALPDDLLLLVLARLPCAAAAARTGVLSRRWLGLWHGLRQIVFRGVALPSLEEALGRVTQSAVSLLKIRVPVKQQRGPVPTEQRTDSAGVVPINSLLRAAARLEPEKIDFRLPSGLIDRPLAVDLPCFHRATSIALDLSPITVAVPAGADFPTLEKLSLARCSTDLDALLSCCPRLRTLRLSTSGDIRVNSPSLQELVVRCETRVTRHVDIVAPALKHLAISSTAVALSISVLAPMVEKVSWHCLYLGPRIVFGLWSLNKVRLQSAERQGEPSSSLCIYACAEIEKHMVASFSGLELHLTARGHAFGGLVFHLLGMNRIRTATRRLKVVLERSTVKEVCPLYCPCESNWRSQAISLDALEEVEFNGFDGADHEFDFLELILGCAPTLKRMIVKLSEETSASNDGCTKIDKIFKACSSVECDVYHSSGEYIFGMHY >SECCE3Rv1G0170810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:258000799:258003302:1 gene:SECCE3Rv1G0170810 transcript:SECCE3Rv1G0170810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVAPSGHKNNSGTSMGVEKLPDQMNDLKIRDDKEVEATIINGKGTETGHIIVTTTGGKNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYTYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDAGTRLPNGRFLPPLFNFKPNELKGIPADVAAKLIPEHARKQSSHA >SECCE6Rv1G0389940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:167149856:167151240:1 gene:SECCE6Rv1G0389940 transcript:SECCE6Rv1G0389940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWDCRSCQHLNFSRRDLCQRCGEPRSAADRGSIGGALGGDYANFGGRGGGGSSFGTGFGAGSDVRPGDWYCTCGAHNFASRSSCFKCAAFKEEAAVNGGAGGFDGDMSRSRGFGFGAVGGMGGGMGAGAAGGRASRPGWKSGDWICTRSGCNEHNFASRQECFRCNAPRDSGSATPYENFLH >SECCE7Rv1G0482090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:300237817:300242045:-1 gene:SECCE7Rv1G0482090 transcript:SECCE7Rv1G0482090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G09420) UniProtKB/TrEMBL;Acc:F4I0Y8] MVTTVLAVTASAAPAPPLLGAARFSPVAGPAASFRPQVCGLRCWIAAKMKLQKALRSHGWQVQRKLEIRGDGQMPDCLEVASLTGKITRQNVQPADETGGEIANTSSVMSDNSITDKSSMHLGLNHSEADRSVLEEDLVLFDNFGNQPESVPSLCIAVIGATGELARSKVFPALFALYYSGFLPQNVAIFGYSRKTLADEDLRSMIEANLTCRVDHHENCEEKLNEFLKRTYYVDAGHDNKDGMAKLNSKMAQIEGTCAANRIFYLAVPQEALLDVALPLSDIAQTKHGWNRIIIEKPFGFTSLSSQRVTQSLLSRFEEKQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRKYIRNVQVVFSEETSTETQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRKVDIEDVVLGQLKDTSVKVDRYTKSMTPTYFAAAMYIDNARWDGVPFLIKTGMGLMENRAEIRIQFHHVPGNIYRERFGHDIDLDTNELVLRDLPEEAILLKVNNKVPGLGLQLDASELNLLYRDRYDVEVPDSYEHLLLDVLDGDSHLFMRSDELAAAWSVLAPILHEIDQKRVAPELYEAGDKGPINAYYLAAKHGVRWDDGC >SECCE5Rv1G0343380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637863361:637865356:-1 gene:SECCE5Rv1G0343380 transcript:SECCE5Rv1G0343380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAQEKKLMLRSSDGEEFVVEETVAMESRTIKHMVEDDCANDIIPIPNVKAEILAMLIKYCKQHVQKRGAEATDSTAKASEQDLETFDKEFIDVKQRILFDLILAANYLDIKGLADLGCQKVTDIIEGMTPEEIRKTLNIKKDFTKEEEDELRRKNSWAFE >SECCE2Rv1G0091290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:311640303:311669527:-1 gene:SECCE2Rv1G0091290 transcript:SECCE2Rv1G0091290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G28340) UniProtKB/Swiss-Prot;Acc:F4HWL3] MHKRFLMWSLLLAVSTSSALLGLLAADLSKEPFTIRISCGSFDDVHTAPTNTLWYRDFGYTGGRFANATRPSFIVPPLKTLRYFPLSDGPENCYYINNVPNGHYQVRLFFALVADPNLDSEPIFDVSVEGTLFSSLLSGWSGDDEKTFAEALVFVQDSSLSICFHSTGHGDPSILSIEVLQIDDNAYKFGSLWGKGTVLRTTKRLACGSGKPAFDEDLNGIHWGGDRFWSGLKTLSSSSDDQPISTENVIAETLLAPNFYPQSMYQSAIVGTDRQPTLSFEMDVTPNKNYSVWLHFVEIENGITAEEQRVFDVLINGDTAFKDIDIIRMAGERFTALVLNKTVAVSGTTLKITLQPVEGTHAIVSAIEVFEIIPAEMKTLTQEVTALRTLKGSLGLPLRFGWNGDPCVPQQHPWSGVDCQFDNTKRQWVIDGLGLDNQGLRGVIPSDVSKLQHLQSINFSGNSIKGNIPFSLGTIPGLRVLDLSFNELNGSIPESLGQLTSLQTLNLNGNDLSGRVPASLGGRPLHRVRFNFTDNAGLCGIPGLRECGPHLSVAAKIGMAFGVLVAFLFLVVFAACWWKRRQNIIRGQKLAAAREAPYAKSRTQFTRDVQMSKHHHPHESPRGGNNESSPHLLS >SECCE3Rv1G0202680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:876226568:876228060:1 gene:SECCE3Rv1G0202680 transcript:SECCE3Rv1G0202680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRELPPPLRSIRITGDGRCLFRSVAYGACIRRGKQSPSDSAQKELADELRAKVADEFIKRRGDTEWFLEGNFESYVRKMRKPHAWGGEPELLMCSHVLGMPITVHMYTKGADNPRIIAEYGQEYGKDNPVRVLYDGYGHYDALQPSLERSVANRRMTRYVSFFYYFSRAAA >SECCE4Rv1G0278660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:809987196:809991667:-1 gene:SECCE4Rv1G0278660 transcript:SECCE4Rv1G0278660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSGVSQVANIAQLAGVDAYGLITMVVEAARTVRRNRETCQLLARRVKMIGDLLQQLESTQLMQHTETRNPVEQLEETLRHTYMLIKSCQYGSYLYSCFMGGKQADQLHQVQNEIAFYLQLFPLVGFVDTSRTWARLLHTAHLLGTENTMDILHAVHHSEHNNRTEALKATQLEDQGTPPCTKSKEEQKASQVMNMEELVNLIGVGKGVELPYFSFSQILAATDNLSLQNLLGNGGFGCIYKGKLPDGLDIAIKRHSTSSHQGLSEFQAEIEVIPNLRHKNIISLLGFCVQGKEKILIYEYMSNNSLAAIISDESKRKLLSWSKRLQIIKGIADGLVYLHLHSQMCIVHRDLKASNVLLDPEMNAKISDFGLAKKLAPDAIVKDLVYGTYGYADPEYIATGMISQKADVYSFGIVALEIICGKMSRSYMVKAEKSSSPGSLPDHAHKYRKSPRKLVDPLLRTSKSERAHIAECVKVALLCVHGRAECRPSMSEVVAMLCGVGNGRSSPAYCCH >SECCE7Rv1G0484800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:347418045:347430279:-1 gene:SECCE7Rv1G0484800 transcript:SECCE7Rv1G0484800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSWDAIDWNQIEDPRPRRSSQGMEEFLLEDEEVYAQGHGVVLLNTDEAGIVSVTNFRLLFVSQATKSIIELGTIPLSTIEKLNDDVKLQSLPRQYDKKQPRELLQVIGKDMRVIVFAFRPRTKQKNEVFDALRRYTKPSQLWELYAFSCDPSTVDKKSDPKMRLVKEYHRLLSEGSEFEVEIFSFRNNWRLTTVNSSYSLCSTYPSQLIVPKSISDEDLWQASTFRAGRRLPIISWCNPVSGAVLARSSQPLVGLMMNFRNNADEKLVSALCTQNIDVNLPPRKLYIVDARPRANALANGAKGGGSESSSNYPKSEVLFLGIQNIHTMRDSLSRLRDYVDAHGSISSNGSSSAVSLVGDRRNRGSTWGGGSLNSMTQFSSMLGEWLNHIQNILVGASWIAAQIAQESASVLVHCSDGWDRTTQLIALACLLLDPYYRTFDGFQALVEKDWLAFGHPFAERMGIPTVSENGGSQYELLRQPSLGNLTSSPSRSSLGTPGSSSNTSVQSQTSNNSSPILLQWLDCIAQLLRLYPAAFQFSSKFLVDFMDCVLSCRFGNFLCNSEREREQSGVTSSCHCMWTYLADLRASGGSFHEHINPFYDRERYWRPLVPPAAALAPTLWPQFYLRWTCPPESQGGGLESHGHSMRKKYEASVKSKEMAESRARDIKMKMESMLTDLQRERRASSSALAMAQRARRENVAIKRAIQTIGCTVNFSTNENQVDKSEEMSYSFRREADTVSQQDDNADLSVSISAIEDSLVSETPSNQVCESLCPFRSREGCRWPHAACAQLGSQFVGLKANFDAFDRLSVKDCYFPKE >SECCE3Rv1G0170620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:256000400:256001090:1 gene:SECCE3Rv1G0170620 transcript:SECCE3Rv1G0170620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIVIRADLIGKKCTSGILSIVSKLEGIKSMVVDEDKCTLTVVGTVDPVCVVHQLRKSCFAASIVSVEDDKPKEKKSPCQEACEKAWKEKYEKACKERCEKACKEPCCDDCGKGTPYGGYGYRCTPGCYSSPCGLPSCHYYSSGYGYGYGVRAPPLGYTWYE >SECCE5Rv1G0337730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:594009988:594012514:1 gene:SECCE5Rv1G0337730 transcript:SECCE5Rv1G0337730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGRSRPQPRPAPRSWSFSDMDFSDPKRKSRYLSKIIMVALLTAMCVVMLTQPPCHRKAPSVFSIHELGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNIGAVKVLQNLFPDPGRLQFIHADLGDPKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVVLEAMATHNVKTLIYSSTCATYGEPEKMPITEETPQFPINPYGKAKKMAEDIILDFSKSRKSDMSVMILRYFNVIGSDPEGRLGEAPPPELREHGRISGACFDAALGIIPGLKVKGTDYETADGTCVRDYIDVTDLVDAHVKALNKAERGKVGIYNVGTGRGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSNPARINRELNWTAQHTELQESLRVAWTWQKKHRSGYADSGRYIF >SECCEUnv1G0538660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:73860022:73861446:1 gene:SECCEUnv1G0538660 transcript:SECCEUnv1G0538660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGLIANWNSIHDYLAAGLSASGHNFELRGPPGTSVRYLITCSPANVRHVFTTNFANYVKGEELAAVLGLLGGTIVTADGESWRRQRDTIHRVMVKPRLLASITRCCHDKVAEGLVPLLSYMADARATFDMEDLLGRLVLDITVIAVFGWDPCRLAASMPPMHVAAALDTLMEVAMRRHILPVSCWKTMKWLNVGQERKLAEAEAVLYGFVEKSIQRKMAGDGTSTEDDILSHYVDDPNPDPEFLNRGREPTDFLIRTFINFMVAMRDPMGSALSWLIYNLATHPHAMLAIREELAPIAATRRKSIGGVVVFEPDETKDLVYQRAAMFESLRLYPIAPMERKEVVADDVLPSGHKVRAGSTILISTYSMGRLEQVWGEDCREYRPERWLSSNAHGSGHTLRHVPSHEFVAFNTGPRACLGKNISVALVTSIVATVAWNFDVEVLDSHTVKPKLSVILQMKNGLMAKVKKRSVG >SECCE6Rv1G0424730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702270347:702277581:-1 gene:SECCE6Rv1G0424730 transcript:SECCE6Rv1G0424730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLANIRKKLKRLKKGKDEDDAVDAPAVPDGGEAVAVAAGPEDLQGGGDVEDMGEGVADEGGDLEAAASVVPGEDGLRGPGGDLGLGDSLSALFGKPGRKPRQQASKDEEAEDVEAADSQAEEALNGGSGGLASDEVAKGTKKRRRRRTKAEMEEMRAAVAAENYAKAMAGDGSPRRSKAKPKAAYSDRRSRAAARRAVGELPVGELPAPGPSPDSERKLDEKEEAVDKEEAADDGLSLGETLLQDVETGRIVEDGSRNSSDGASHCVEVPARADNCIALNPCSGGEPAEKASHSAANVSADGVSDARTCSETLAEDVNGNAVFSQDKPPAPTIKRKPGRKPKEAPKKPVGMKDLPSVSADAKPVEIAESDASSKQRRSKNKFLLVRSTTVQSNSSLDNKAGSTGDVKYGNIKPNAAVPTEESLDQPAAYGVRGSRAAKDSRASHNMAASAKEIDIIDVAVPSNFEDMQNASKVKRLARNTRKRKHGDMAYEGDVDWETLMHDQGLFSNPSAGFADQSVKPKDKIKVLEVLENRGVAAVSAGLMAESVSPMEKIKFKDVLKRKGGLKDYLECRNMILSCWNKDVKHLLDLADCGLPNAPMEDDSPRQTLIRDVYCFLDQNGYINAGIASAKATKGHETLCSEAVEVAKLNELPKMEPVRVEGDIVAVSLQNKVHDYGSLGPLAEELKENNAPDAHCDAQELIPHLQCTEQAFEEKNLDVSTEGGDALLPPINITSVGNTEGPSLDKPEAAVIEHPGNNCEVNHRVESGGHCKKIIIVGAGPAGLTAARHLRRQGFAVTVLEARGRIGGRVYTDRTSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLKSACPLYDVVTGNKVPDELDDALESEYNGLLDEMEQLFEQNGESALGLSLEDGLEYTLRKKRAAHVVSSVGHDDQLTSMSNRGGVDISRSASTEKELAHCGEDDKTDVLSPLERRVMNWHFAHLEYGCAAMLKSVSLPYWNQDDVYGGFGGPHCMIKGGYGAVLESLAEGLDVQLNHVVTEVMYKPNESDASGISGKTVKVATSNGAEFVGDALLITVPLGCLKAHTIKFSPSLPDWKTSSIDRLGFGVLNKIVLEFPEVFWDENVDYFGATAEQTDLRGQCFMFWNLKKTVGAPVIIALLVGKAAIDGQSISSDAHVSNAMVVLRKLFTDAAVPDPVASVVTNWGLDPFSRGAYSYVAVGASGQDYDIIGRPVANCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVHSGKDYVAQVEALQTYQVQSDSERNEVRDMSNRLEARELSTALCKNSSDASYAVASKESVLQEMFFSAQTTPGRLHLAKELLKLPPDALKSFAGSKEGLTRLNSWILDSLGKNATQLLRHCVRLLLLVSTDLVAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSMWIEVFRKEKASNGAGALKLLRRLPSVESSKTKSKDLHPGMRTSHVAKEAGAQRVRSAGSHSPHRTGKKPDKVVKLSTIMATKSDGSSLPSQKQHHDPEPNTDQGMVMSEEEAAAFAAAEAARAAAIAAAQAYASAEAVISKPRELPKIPSFGDFARRDHHLDESDTRKKMTSDKHGRLECISEIDSKNGKTKNSSAEHANCPDVDSSKMTVDNCTQRSYSNEKACLINIRDNSAESGAVDSRFTRAWVDTDAVSIDGVKHPLAIERWQAQAMEADKEFYSRIRIPVEEDSGSQKQTCKSSASQVAESKPASEGQSRGVEHIKQGLIAFISTLLMPLYKSKKIDREAYKTMMRKAVTKIIDACTEGEKLMTANEFLDFRRKTKIQTFVDKLVERHWHVAPAPKS >SECCEUnv1G0563840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:378393437:378393986:-1 gene:SECCEUnv1G0563840 transcript:SECCEUnv1G0563840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGERKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSTAAAEKEATKSPKKKAATTKSPKKKTAATKE >SECCE7Rv1G0461190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:36286754:36287053:-1 gene:SECCE7Rv1G0461190 transcript:SECCE7Rv1G0461190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSDIMKRVVSQASHEQNVNNTMARTASSTSSQMAGSNNSVHSPTMSPTAARGSTGSSITDFEIVGRDMKRANDKPISNEPSPKRLAADDSPSVNEI >SECCE3Rv1G0157560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:82371507:82373237:-1 gene:SECCE3Rv1G0157560 transcript:SECCE3Rv1G0157560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLSPTLPRQTIHRSRWTPKPPSSPSTATYHQRHRQPQIVGTRSGAISARASSAAAVVQGLDADDFRHPLDKQNTLLLKAIPGLNDIGKALLGPVSEQVMVLQNIGSSVLVSENQLPELHQLMTEAAKILNTEAPDFYIRQNPVPNAYTLAINGKRPFVVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANILTMGAYTVPGLGMVAGFLEEQLFRWLRAAELTCDRAALLVVKDPKVVISVLMKLAGGCPSLSDQLNVDAFLEQARSYDKASSNPVGWYIRNAQTRELSHPLPVMRAREMDEWSRSREYRTLLQKMFR >SECCE1Rv1G0034580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:485397026:485397575:-1 gene:SECCE1Rv1G0034580 transcript:SECCE1Rv1G0034580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIRINTGVLCLMVLLMVSTTLFSCEATGRNIGMEANTGVSGYEEPLVSCAKPSFCNDACKRDGKGGGACRDNKCFCDKN >SECCE7Rv1G0455790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8217365:8219251:1 gene:SECCE7Rv1G0455790 transcript:SECCE7Rv1G0455790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAFLLRRRRRRLLLRPSLHAAFLRLHGALATRTAPPAHCFLRPADDHARAAFHERRPRRDAVAYAATVDLPRAEALFRAAPTSVSTPHPATVMLGVHVKARNVDRARTLFDGMAARGVAAWTCAVSRHPGDEARTLLDATPARISVVSWTAMLQGYARGGMLREAREVFDGMPERNVVTWTVMVKAYADRGHLQEATELFDRMPQRNPYSWSAMVSGFLRAGKVDEAVRLFERMPDRNVVSWTTMVTGLAQNGRVSMAREFFDRMPEDKDVAAWNAMVTAYANDGQMNEARSLFDSMPAKNLVSWNALIHGYAKGDRKDEIIGLFLLMLRSAVSPDITTLISVLVTSNSTVEVGQIHGLATTRGLLSDTSLGNALVTMYSRSGDLCSAWQAFKMLQEKDAITWTSMMQALANHGRASYALQAFAQMLRHGYKPSSTTFTAVLSACSHAGLVDKGRAMFASIRRAYGLEPTIEHHTCLVDILGRAGHVREAMEVVAAMPPDTRDGAVLRTLLGACMMHNEVDAAREVGEALAKSDGSSGWDSEGCYKVLANVFASGGMWEEMAGVWKAMRGSNARKKPGVSQILVDVTTHTFFSRDQMHPQCAEIYEMLDDTLVPQMMKKDPPTETV >SECCE1Rv1G0052180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:659684979:659690711:1 gene:SECCE1Rv1G0052180 transcript:SECCE1Rv1G0052180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSPIELQYKDWCLYFALKVTLESNFRVQMSQDIKFSVHQLYEISKMVKQQFPKQTSAHRLLIKLKDTGLVSEDDYKAKSMFGVSYYLKKVYTYKLDSLNDIRHALCMLRECGPLLAVIYISQNYDKCTKTGEVYKYDSNFPIGNEKKPITHAITVISFALENGPFLHCQDSQGLAHGEGGYLKVDVTSIKELYAFTIK >SECCE6Rv1G0400900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:459453838:459459916:-1 gene:SECCE6Rv1G0400900 transcript:SECCE6Rv1G0400900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGTLEVLLFGAKGLENTDYLCNMDPYAVLRCRSQEQRSSIASGKGSNPEWNESFVFTVSDHGTELVIKLMDSDSGTSDDFVGEATIPLEAVYTEGSIPPTVYNVVKGEHYCGEIKVGLTFTPEDVRQRGLPEDFGGWKQSH >SECCE5Rv1G0336400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583878963:583879562:1 gene:SECCE5Rv1G0336400 transcript:SECCE5Rv1G0336400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGERFKSYSRFTYYPPCPWPDLVNGLKPHTDNSVITLLLMDKDVGGFQVIKDGHWVDVPVLGNDLLVVVGEGMEIVSNAIFKAPWHRVVTSANKERLSLAMFYQPEPERIIGPPGVLVHEKRPAMFKKCLVQTLADGYWDAFAAGDRTVDFLNVRINAEADAELEGRAVVANN >SECCE1Rv1G0012800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:97210829:97212922:1 gene:SECCE1Rv1G0012800 transcript:SECCE1Rv1G0012800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIPGSGHQMDTYFSRPKIRSRSIRMAAVGVINRSERLKNIGRVFQEDLKNISLKIYDPQDPFLMRMNRLFVFSCIISVAVDPMFFYLPSVTETEFNTCIGFNRILAAGATAVRSAIDFFYLARMVLQFHTAFIAPSSRVFGRGELVINNRDIAQRYLHRFFIVDLLSVLPLPQIQMIKFFLRPKGADLLPIKTALFFIVLTQYVPRLLRFYPIISELKRTTGVFAETAFAGAAFYLLLYMLASHMVGAFWYLLAVERVDDCWREKCAGLKFNRCVKFMYCGGAGATKDGQFTEFMEWRTMIRQVLKQECAPMDNNGTGFNYGIYGNAITSGVTHTQDLIPKILFCLWWGLQNLSTGAQGLETTHYKGEALFAILLALFGLILMALLIGNMQTYLQSMTLRMEEMRLKRRDSEQWMRHRHLPDDLMERVWRHNQYKWMETRGVDEDGLVSCLPKDIRRDVKRHLCLRLVRRVPLFANMDERLLDAICERLKPSLCTESTYVVREGDPVDEMFFIIRGRLESSTTDGGRIGFFNKELLKEGDFCGEELLTWALDPKAAANLPLSTRSVKAMSEVEGFALHADELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWASCFIQAGWRRYQKRKRLEQRRREVEQMYGMASTSSSSQIKTTFLVSRFAKNAMRGVLRRRLLREESLILLPKPPEPDFGRINY >SECCE4Rv1G0232260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:194667469:194670228:1 gene:SECCE4Rv1G0232260 transcript:SECCE4Rv1G0232260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVILLAVAKIGVALGNEAMNQATLQFNNFITQLTELQGSMGRIRRELRLMHEYLCRMDVRNRNNQTYEIWVEEVRMFVHGIEDIVDEYLHLVGQKHDSGWSAYLKKGFKQPNVFFSLNRIASLVKEAEFNLVHLFQAKDRWVSMVDSGCMNDSSYIVERSQHLASTSRSLGEEDLVEVDGNRKKLEQWLAGDELEHSVIVLHGMGGLGKTTLAANVYRKESENFDCHCWVSVSQTYCREDVLKKLIKELFKDKAIVPSNIETMDIISLEEELKNFLDRRKYLIMLDDVWTPEAFHDLSGVVIRNKKGSRVVITTREGNVAGLASQGQVLTLKPLSKDGSWELFCKTAFPRDTKCQCPTELTELAHEVVNKCKGIPLAIVSIGKLLFVRDKTKEELKRIHDQLDWELINNPSLEHVRNILYLSYLYLPTYLKCCFLYCSLFPEDYPFKRKKLIRLWVAEGFVKGRGESTMEEVAEGYLEELVHRNMLQVDKRNPFGRIKSFRMHDIVRELAVDLCRRECFGAVYVEDKYMESLDETDARRLVIQKMKKDAYQSVSGVHRLRSVIALDVNIPSSTLLPLIARKSRYMSVLELSGLPIEKVPDSIGDLFNLRYLGLRGSKVKLLPRSIEKLSSLLTLDLSGSCMKELPRGIGKLKKLRQLFTDKASDRFRRDFWCGSGVCIPKGLENLTSLQTLVSLEAQDESVRQLGELRQLRSLEIWNVKGAYCGHICASLAEMRHLSYLHVNASQDNEVLQLSGLPPNLQRLSFTGRLAEGTLGESPLFQTAGRNLYSLSLSWSQMIQDPLPSLSRLSNLTDLMLTRAYSGKQMTFLAGWFPKLKTLRLRDLPNLEVLETKEGAVVSLEILTLVNLESMVEVPPGIEFLAHVKHISFREITSEFLTSLRQCPRTQGMQWRHTLRLR >SECCE4Rv1G0284050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838150498:838151406:-1 gene:SECCE4Rv1G0284050 transcript:SECCE4Rv1G0284050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTAASGTLRTALSYCVQQVRSYDYHNYLCLLHLPPAMRKAAFTFRAFNVETAKAMDVVSDPRKGLMRLLWWKDMVDKVYAGKTVEHPVALALSSLLSEHKVSKHWLKRSLEARINDGNRDEDAIPENIPELERYAEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVSKQGKIPYIPASVAEECGLLAQEGGRTEVRMGDALPDAVFKVASVAEAHLQKARELAASVPAEAVPVLLPAVPAQVLLDSLRRREFNVFDSRLSSGVHGISPLWYQLKLNWHAWRNKY >SECCE1Rv1G0036730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:511685427:511696362:-1 gene:SECCE1Rv1G0036730 transcript:SECCE1Rv1G0036730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEVNGKSVEGVDLLRRRHWTARLDFWPFLALYALWLLLAVPALDFTDALVILGVLSASHILAFLFTAWSVDFRAFVGHSKVKDIHAANACKVIPAKFLGSKEIVPLHIQKTVASSSTSGETEEIYFDFRKQRFFYSAEKDNFFKLRYPTKDLFGHYIKGTGYGTEAKINTAMDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVLTYRCGKWVKISGTELLPGDIVSIGRSPSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSVAGRGPDETLSIKRDKNHILFGGTKILQHTPDKSVNLRAPDGGCVAFVLRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAIIASGYVLMKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALVRRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVTLESDAELISDANKLPLRIQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAMSRRPGGQPVQIVHRYHFASHLKRMSVIVRIQEKFYAFIKGAPETIQERLVDLPATYVETYKKYTRQGSRVLSLAYKLLPEMPVSEARSLERDQVESDLIFAGFAVFNCPIRSDSAAVLLELEQSSHDLVMITGDQALTACHVASQVNICSKPVLILTRMKTSGFEWVSPDETDRVPYRAEEVKELSESHDLCISGDCFEMLQRTDAVVQVIPHVKVFARVAPEQKELVLTTFKTVGRMTLMCGDGTNDVGALKQAHVGIALLNAEPVQKAGSKSQSSKLESKSGKLKKQKPANESSSQLVPPASSSAKVPSRPLTAAEKQREKLQKMLDEMNDESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQALSAERPHPNIFCAYVFLSILGQFAMHLFFLMSAVNLASKYMPEECIEPDSEFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFKYALYSAVVFFTVITSDMFRDLNDYMKLEPLPEGMRGKLLLWAMLMFCGCYGWERFLRWAFPGKMPAWEKRQKQAVANLDKKQA >SECCE6Rv1G0384540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:64398681:64399037:1 gene:SECCE6Rv1G0384540 transcript:SECCE6Rv1G0384540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRARAAPRMEEDRPSHGRFLRPGALARLRDSRIVARSLRSAAARLPIPSAPPSPAPEQQRQDGVPHFLVGPTRGLCGAARYPLRRRMAAARCVVFLPPPEAFLDAPAPSWGLAAAR >SECCE7Rv1G0471450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:130454071:130455949:1 gene:SECCE7Rv1G0471450 transcript:SECCE7Rv1G0471450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLKKIGLGLTGFGVFFSFLGIVFFFDKGLIAMGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGAGFFLVLIGWPFFGMLLEAYGFVVLFSGFWPTLAVFLQRIPILGWIFQQPFVTSFLDRYRGKRVPV >SECCEUnv1G0554100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:282388695:282392144:1 gene:SECCEUnv1G0554100 transcript:SECCEUnv1G0554100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYLGDLLKQAAEEELGMMLGVSGDIDKMGVKLGDLKNLLADAERRRITDDSVQQWVTELKRAMYEATDILDLCQLKIMERGSSTPDIGCCNPLLFCLRNPRFTHEIGGRIKKLNQTLDSIKERSSAFSFLNLTSYEDRTRVRPSAACRKTDPVLERSGVVGEKIEDDTRTLVEKLTNKNDIDDIMVVAIVGVGGIGKTTLAKKAELLKTAIITAKGKLPDGGAQDKSLLVPALAVAIRDKKFFLVLDDMWGDNEWNNLLKDPFSYGAPGSRVLVTTRHGTVARGLKAVHPYHHVDKLGREDAWSLLTKQILTTEKSEPRIDMLKDIGLQIIEKCDGLPLAIKVMGGLLCQKEKSRHAWGKFLNDAAWSVSQMPEELNYAIYLSYEDLSPCLKQCFLHFSLKPKKVLFEDSEYVGMWIGEGFVHGDSDRLEELGIEYHRELVLRNLIEPDTSYPGQKICSMHDVVRSFAQFVSRNESLVLNSGESTSNTFSMQRYLRLSIETKGVESDTFELRSLQEQKSLRSIILIGNFKIQPGDSLTIFSSLRTLHMESIDCVALLESLHQLKHLRYLAVKKCNGINSLPQDIHKIKLLQHISFEGCKNLVSLPNSIVKLQELRYLDLDGTCVTGVPRGFHVLKKLSTIFGFPAQMDGDWCSLEELGPLSHLRCIRLVGLQNVSAGSFARKARLGEKVHLSMLRLYCSSGFGDDGHKKENVTEKDQRVIEEVFDGLCPPPCIQDIFIQGYYGCQLPRWMRDTSTTLLNSLKILMLSELSCCTQLPDGLCQLPCLEVLQVFWAVAIKRVGPEFVQPHSQRHHPSSQAMVTFPRLQEMLFSRMVEWEEWEWEEEVQAMPALEVLAIKGCKLRCIPPGLATHARSLKKLTIWSVQGLQALEGFASVVQLDLFNLPNLTNISNFPKLQKLEIDCCQKLESLQGMDQLRRLMLTVHYDRPIPSYLQTVKPSHLLLHCGPKALASMALGKSGPEWDKFGHIQHVEVYADGIVEHIEKKWHLLYTSEPYSMVTNIDPQEFE >SECCE1Rv1G0005780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28160112:28160570:-1 gene:SECCE1Rv1G0005780 transcript:SECCE1Rv1G0005780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSPTLALKAATIATMLAMVILPSVGRSPSLGQELVTTPAPASWPARAMRCSECGPHCTETCRVSVPPKCVKYCNVPSCEDCRSAVIGKCRAGCTTGSCNCDGEATRSCYGSCSRSSSCADCMRLTSEQCTSDCNGKCATTCTNKIKNGK >SECCE6Rv1G0378090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:4683686:4686400:1 gene:SECCE6Rv1G0378090 transcript:SECCE6Rv1G0378090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESDAGERGLVPVLGVGGSGRHDDGLKTDGFVRRDHSWYVNSDIPSDLLVKVGDVSFHLHKYPMISRSGKMGRAIYESATSSASAAAALDPDTAVAELDDLPGGADSFELAARFCYGMAVDLTASNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGAVSGRPPRSGAASPRWNNAGGGAGGGSKESSPGRQPVPPADWWFEDVAVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDAPHVGGGGGVDEQWAQVSAAGGLHMIIAGPGGKDDVATSAPAREQRMVVESLISIIPPQRDSVSCGFLLRLLRLAIMLKAAPALVTELEKRVGMQLEQAALPDLLIPSVGRADTAYDVDLVQRLVEHFLVQEQTEQVSSYSPGRGEAHAPPEREYYGSAAGARMPPASAAAAAAASSSSSGLGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARTCDDGLYRAVDSYLKAHPALTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALAGSSALKAAPDGGASAPTRRQLLDATPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHDMDALQKQVTQSPAGEHGAKAGAKAQQQQGPSAWSNGWKKLGRLAKMTGADAAGPGGGHAAAQGEAARKAQRRWRNSIS >SECCE3Rv1G0187280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:696975540:696976231:1 gene:SECCE3Rv1G0187280 transcript:SECCE3Rv1G0187280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCKKWLLLVVGLTAIISSSEAYVFYAGGRDGWVVDPAESYNHWAERNRFQINDTIVFAHGEDEGADTALLVTEPDFDACNTRSPVRRLEDAGGRPEFRFDRSGAFFFISGDEDRCQKGKKLYVVVMAPRSQEWELAPAPGSPQLWDSAPEPAEAPDISPGNEGMSRSSLKAPPPTASAARLDLDVIVLGVVVGVLGALVL >SECCE3Rv1G0194870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:787041607:787042696:-1 gene:SECCE3Rv1G0194870 transcript:SECCE3Rv1G0194870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQDKRYFPWMPGGGAGAGGGGADVPWRQPGIGDCDGGPGGGTARAGADRREDGCGAAPLPGLPPPPQAPRVQGHVVCGHCRGAHGEASCGRADTHCPELDAVVGATKVPCAYRDFGCDQFVVYHGAAEHKRACPWMPCSCPQPGCAFLGPPAALLDHCSADHSRPIIQVCYGRPWTLSLPLAQRWHVVVGQEDRNVFLVSLADLGVAATAVSLLCVRPDGVVVLPSTPHFWCKLSVEHPGGDKDKMGMMASSMSSSPLSAGPPVPGQGMFLAVPHELMSGDMLAITVRIDQVQPPPPSTAVAAVAARPPAPPHARTTTTRRLQ >SECCE7Rv1G0464840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:64582053:64586833:1 gene:SECCE7Rv1G0464840 transcript:SECCE7Rv1G0464840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTLKSRPPHALFAMTTRRRRRRCSSPAAPSIPLAPLRSASSPARVSLAALCCVFLAFALSVSAAAAAADSADESHEDGVCLGFRDVCADGGSFCFSSSEVQALLASDDVIKQPDLGVSRDWGPSRSMCFPMSGGGMVTCSSADAIIAGARDALGREGKDVARYDAGSCQAPLVPDNWMQASHGVPLELDGSTSDVSPNALYSSSSMNVEISPPVLDWGSSNLYAASVASLTVVNLNNDSVLRVYEPFSTDPQFYVYGYQDLVLRPGENASVTFMFLPKLLGSSSAHLVLQTNFGGFIIQAKGMAVGSPYQILPLTRMDVVIGGHLEKNLSIYNPFDDSLYVEEVAVWMSASESTKQSSHVVCQLGPLDEAVELTSLSSNWHTASSTEFGWPVIHIRPSEQWEVLPSESSTVIELKLQPISEGKVFGAIYMKLRNHTTDKVDIVVIPIELEVHTRTYYDSTNLVSVTFERISSCAGNGSIYSLSLRNDATELLKVVSVTGDNRDGPMIFQLKYLNGLILFPDTVTDIALIRYTASVPKGISFDHCNIVVETNSSLGSSIVIPCQDIMRAPISYTTNAVVAESDEPFAESHSEETSANSRTGSLGSIIETEGPHNMKPTIRGAIRADDMVLRNWRSHGTMTGISVLTNHELVFPVVQIGSQFSEWITVHNPSQQHVSMQLVLNSEEIIGQCRTVNDECEHTFSSRSPEIDSTETRFGFSLGSKAITETYLGPLTSAVLGPIVFRPSNRCMWSSMALIRNNLSGLEWLPLRAPGGWQSIALLEGPEAVWKLEFNLGSNLDDNTTLSKSEVPSPSCSQQLSKEIHVKNSGDLPLRVTKVKVSGVDCGLDGFTVNNCKGFSLAPSESRRMLISFKADFSSVKVQRDLELAMTTGIFVIPMTANVPVCMLKQCKRSYFRSIHWKALILFFGTVFLFVVVIVRGAPYSLSANSQDYYTKIADRKDTISKTVKPSFPQGSNKTSRPIREHRKAEEALPEKFPPSTLGSPRKKDDKSNPDKQPNTSVTSASPANPVEDKVSTEATETSGNLTIRVARDKGRRRKRKVGGAGLAAKFEVSSSHSGNSTPSSPLSPSLTPKQGWSFSGASSEPKHKNKLESRLDVEARAPLTGNNKVKNGWPQTAKQQPPPAPPATSVNPLASSTALTTAWRSPLLAASSPIAPHARAPGSNLMKDKAVKRDEGVTALKKEFTYDIWGDHFSGHLLGKAREVAPGKVFTASEGSSYSFFAREPQALVMKQPSAPPDSRGRRSLPSDVASGYAIN >SECCE6Rv1G0395190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:319888423:319893572:1 gene:SECCE6Rv1G0395190 transcript:SECCE6Rv1G0395190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYAVPNASGGGAGSSSQAGGCCS >SECCE1Rv1G0023650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:308977599:308978929:-1 gene:SECCE1Rv1G0023650 transcript:SECCE1Rv1G0023650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIR4 [Source:Projected from Arabidopsis thaliana (AT5G62740) UniProtKB/TrEMBL;Acc:A0A178U8N7] MGKLVAAIGKLLCCVQVDQSTVAIKERFGKFEDVLDPGCHCVPWIIGSRVSGKLTLRLRQMDVRCETKTKDNVFVTIVASIQYRAMEDKANDAYYKLSNPKSQIQSYVFDVIRASIPKLQLDDVFEQKNDIAKSVEQELEKAMFAYGYEIVQTLIVDIEPDEKVKKAMNEINAAARMRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLLTQYFDTMKEIGASSKSSAVFLPHGPGAVADIASQIRNGFLQASTHNA >SECCE4Rv1G0228360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:134740782:134745923:1 gene:SECCE4Rv1G0228360 transcript:SECCE4Rv1G0228360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAISAPKVYKPAAEVDLGPDSDEHYISPNVKAPRVAGLPVKMFAWVLETPVLGPVVLYILKKDNLVNKLVSDADIPEPPLFTPTHIWHDIPEQNVSLAKPGWLPAERVQEAVGCLPGLADPSSPGFRRWTIRDFTRAYSSGEITPVMVARRFLAAVEECSGPDLNMALFISCDPEDIVRQAEESALRYQQGAPLSAMDGVLVAVKDEIDCLPYQTTGGTSWLGKMRPCVADAACVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPYHTGRVSGGSSSGSAAAVCAGLCPVALGADGGGSVRMPAALCGVVGFKPTAGRLSNSGLLPLNWTVGMPGILAATVEDAVIAYAAMVDQSRPSHSQPQLNLPLLTSTQSMPNIRLARYGKWFNDSSDDIRGCCDKALQMLRAHYGWETVDVTVPEVEEMRLAHYVTMGSECSASLATKYLDKLDKSEIGWDVRIALSAYGSFSSRAYLNAQRIRNRQMYFHNKIFETADAIVTPMTGVTAYALQDDALRTGELDYINAAAISRYSIAGNFLGLPAITVTVGYDKGGLPVGLQFIGRPWAEATLLHLAYAMQEACSKSCRKPMVSFDLLNKKD >SECCE2Rv1G0140590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931822125:931824045:-1 gene:SECCE2Rv1G0140590 transcript:SECCE2Rv1G0140590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGSGGDLNNPIPSPTRTTASDGGGEDVVDGRPCLVSHPPFKIRKLGGPGTSSVEAEDQSEAMAARVGHVDTEMTEFKRSEVADDEMNGGKSKQQIKNKTSSISELEIERLCVQMDEMTTIMRYRIKPSAPLCGSSSSNETEEEEDNAQPMIDGIRKELADLQGSMSKLKSQLKPFWHKYPYEEDYVAPEEEKDPKERARKEMDDEQAVFDSYLQGLQSRAEHFGYTTVVSPMHFTHYTPRQIPFDYTTRRITLQIFSFKIANISLDLEWPLLQWPLKVYGVVAARDNVDCKRNALFHRQRDNFQEITKEDPFLCLTGPSRAISADRPLDFEVQLKLKGGAAESEDGVLINSRSHYDGYHTHNGLYTVTFDNCLCTAELSLQKLYQGAVQATFLRVGIVKGSQSPFSYGGRVACYSPPQKDVVRGSECPDTPTQVVLLDSRDCADRKMPIDEEDGYLDLSRHVVSVELRTVSKDSEELEETLEVVIEAYFPAGPVQASVMVRPQYCGISKHKCDLNGSKLKIIIAWSPIINSASARKVIL >SECCE7Rv1G0505980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:743716923:743720476:1 gene:SECCE7Rv1G0505980 transcript:SECCE7Rv1G0505980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGWDINITIFGHDNTVFTLQSFESNFNCFVVLPFQTGEEALDSLKRGVAKDEELDLIVAEVHPGNTEVGTLRLFHHILNELEVPLITMCGYDEAASARMTLGTCFNVVKPLDTETVNFLRMRALQHRSIKNHRSETEDEEQAALNANVYSYNLGRVIWSKELHEKFLQAVEVLGASATARKIHQYMNAKDLNLTIQHVASHLQKHRLRVQRQRLSHYEEGYQHYASIKELSEMISSAYKADSAKPNNHPATTQTQFTHGVASAIWDKYPGMVWPHVEGSSAASTMWYNYPGKPCRQVGGSSARARVCQTNACSPPVLIHGTKSIWDRYEESLKYYNESLSYKREVLPVKSKALDGYGCKIFRKREETSRTAASGKIVINMESDDMQKDTTDDVHAEVTPQEDTMDEVHAAVTLQKDTINEVHAAVTLQKDTMAEAHAAVAPHEMNEALLDGIDNYQPAAENVQSEPFSDWEEVEKFWRNQMGGQGQEQQGLEPVDLLQIDGIDPEELLQADEAWNQALQPANPANVVDNSPMANEPAAGDALAYDSANQSGVADNVL >SECCE7Rv1G0465670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:72433114:72434307:-1 gene:SECCE7Rv1G0465670 transcript:SECCE7Rv1G0465670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSRLFMQWAVSTLEQQDPAGADGGSEKSAGFPCLQALRDSSVLTEAQNSLSSGDDTGGGGGIITAAPDSAVHQGSWSMSSPTSGGPFAPSSMSSTDTSNALSMSWNFGAVSPPQPVSSGGGGTRAPLLSGVSQPTRRASTKGPPHAQDHVMAERKRREKINQRFIELSAVIPGLKKMDKGTILTDATRYVKELQERVRSLEAAGGNHRSVETVVLVRKPCHPVAPDDGEGSARLLLAAGTLATASQLPEIEAKLSEDNVMVRIHCEMDGKGLVARVLAEVEELHLRIVHNDVTPFTASTVIITTMAKSG >SECCE7Rv1G0476600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:207772944:207777389:1 gene:SECCE7Rv1G0476600 transcript:SECCE7Rv1G0476600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGADAFGSSTAPLAWHDFLERMRQPSAAEFVKSIKGFIMTFSNRAPDPERDSTAVQEFLENMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLYNRVFASVPEDVKSDEELFEKISLLQQFIRPENLDIKPEYQNETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLMNASHMSHDNPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRCQSRLVSEAQYFFTNLLSAESFIWNIEAESLSMDERDFQKKMDLARERLLGLSVGSENQENQTNLDVGEHRSQALNASANSDVNLPLKDHVQGPVQDMKRESDVSSKSVERVQSISDLEKKGATELVKDDDLSKIFQEYPFLFARAGDLTVADVDNLLNSYKQLVLKYVALSKGMGVTPETPLVQSKQTAPDLQISEEPENVKNVVNSCDSTEEGSKTHEDIKNEIPDSEVSNISTQQAAVDPSGDQKTVKDESSDQPEHA >SECCE3Rv1G0150000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30090009:30091129:1 gene:SECCE3Rv1G0150000 transcript:SECCE3Rv1G0150000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKGAAAAGPMAMAAMEEEAAELRRGPWTLEEDNLLMSYIACNGEGRWNLLARSSGLKRTGKSCRLRWLNYLKPDIKRGNLTAEEQLVILELHAKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLKVDANSTVFRDAVRCYWMPRLLDKMSMAAAASTAAPPPLMEQHGQGALSSSQSPAIGSDQFCCYPAAGFDPSPSASTSGSTAAAALQPAPVPCFSELNWEDQYCYPSGGDLDGGAGGMELDSAALLGSLGLDGLDLGPAESYLPDPTLLDYLNYSSCTTNAMNMMAMNGGNYSNSYCGDGAMVDNDHHDPTTTATTCHAARKLAGEWGGGI >SECCE2Rv1G0111530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:701672124:701676628:1 gene:SECCE2Rv1G0111530 transcript:SECCE2Rv1G0111530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLGLSLLAMALRLGCCASIAPAPEPSASASEPSVSDDVRALLAIKRAIDDGPRAELSNWNASDPDPCWWSGVWCSDPDKRVVALELSNSSLSGFLAPEIGSLTSLQKLILDHNAFTGSIPREIGKLKNLTVLNLSTNQLEGPIPSETGDMQNITTIDLHANRLSGAIPPELGKLANLKELQLSNNSLTGTIPGSNDSIMVPTNKEDQVGLCQLAQLTDIDLSDNLLTGDVPACLRHIQRSRMVGNCFQNNDTRNRPDSECGNSTDAGKDNNSIDEDKPKRKLQPLWLLILEVITGVSVLTILTLCAIAGLRRRKDRSSRRGVPWTRALSWKENTVISIDDDLLGNVPKISRQELAEACEDFSNIIGSSHETVVYKGTMKDGREIAVVSMSAPVHYWTNYVELYFQKEVVEVARLSHENAAKMVGYCKSSDPFSRMVVFEYPPNGTLYEHLHDVEGCQLSWPRRMKIALSIARVLRYLHTELQPPFAVAALASSSIYLTEDFSPKIIDFERWRGLVGKPLLSSGCVVNGGGGHSNGVVDSRHVRFMDVQANTFAFGVILLELISGRASLSKDTDDLVNWARKHLEQPGEQGKLVDPKLKSVSEESLGIICNVVNLCVDAEPSRRPSMNMIGAILEEGVDTSVRDSSLAWAEAAIS >SECCE5Rv1G0358840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:757527620:757528528:-1 gene:SECCE5Rv1G0358840 transcript:SECCE5Rv1G0358840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGNASTVYLSVVEDVLSKVRKEFITCGAGDAVLNELQALWETKLLHSGAISGNIDRNRAALAGATQPVHDLNVPYEATSEDQYATPTAEMLFPPTPLQTPIQTPLSGGIDTAGIYDIPTGPWDYAPSPIGMGMMNGADPITGRPSPFMQPPSPWMNQRPLGLDVNLAFAYEDPARMMPLTKDFLMTSCGKRKRDEHPSGSFVPQQDGCADEVELHSDATPKPGNDTAGGDEDDDEPPLNEDDDDDDDDIDDFDETQHLVLAQFDKITRTKNRWKCTLKDGIMHLNGRDVLFHKASGEFDF >SECCE1Rv1G0062250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719003349:719008332:-1 gene:SECCE1Rv1G0062250 transcript:SECCE1Rv1G0062250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAADATAPPPETPLTAPRRRGNDGVGGRVEELCCVCHLPADLFRQLAPLRGGNFVHYDCRCEVCERKALRLSVWEFMGALPGKLTSLLLPLFFAVCVIGETVIHLITLQTWRLIFATTFAQVHHLVSLRLSATSIIASVSFFAAFANRFMPFAVAPFARWVKRLETRCRGWWGIDGWQVLALFTVEACLVVLIADMAIACIFGLLPFSLGRIILWCMPSFSFNNLDEVDSYTSTSTTILVGYGFINSVGIFFVGLNTFRQYLAGEHLTIAIHFRKLSGIYFRGILRLIIRANIGVNLLYIYILHPLFIGWLLDMCTSKLFGATMSQRFKFLIAPSFSSMCLHWFIGRNILSLRPRVFVFLRKVLKPGIRIGFIRQNICEPFYVFYFKRLPGLFYDITFIALVILVPTKIAVQLEPEEFPLDITSYFDRPAEGTSFWQGPRYYAEALSGILYLRFLTDNTVLYLEWLVWRVRCYWLFTAGDALWNIVSPRENYDRSDDVNNRRKFVVVQTMPQVVLSWLAVVIFNSAMLLSSISIGRTLVFAIPELLVRAQMKSNDLFAIATGYGIVSVVIAASRDAFVRVAYGGTHLVALEMHLIFFIWIVFIPLWIGLLVDLTLLSPFIGPGNGVPVLDFFCTWSLGRITQIYGTRLAHRYKVRGGLLSLADSIENYWTGDKLKSLSKMGPFATKLVAALGVPYVLAKGVFPRLGYPAAANSMVYHFAWLGCITFYALCYLAKIAFTMLHDSIRGSLWAEQTYRECLYPCSC >SECCE3Rv1G0203070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:880271988:880275175:1 gene:SECCE3Rv1G0203070 transcript:SECCE3Rv1G0203070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRRLPRRGLPPPLLLVLLPILLASTLAGVLAAPAGTARRQLHQPFVPDPSSSAPPSQAPPGPAPPFFPTLQAPPPPPGTPTAPEQPTYPALVLPNPSTPAGSATAAPSDGAGAGGNKSSKSSSKLVPAILLPLLTVAVLGLSIAFFFKRRRTNAGRGVGGGCVGGGESKFLHPERASLFARDEFGGSGRGAPATTAASTAPSAEFLYVGTLAGREDGKSSDTTSSGDEVSRSSGGSPELRPLPPLVGRQCGPAGSRSPGIGGSSPSSGDEEFYSPRGSSKASGSQRTLAAAVQAAVAARDRSRTPSPGSAVSTPSYPSSPGATMSPAPSSPPLLSSPGQSCRRSAKARSESARDVFGMPPTPPPPPPPFAPTLPPPPPPRRKPPSPSPPSSPLVSTPPALRSATDTMSKNPFTQPPAPPTSTRGQPPPPPPPPPPPVGYWEGRVRKPGMSKEVMSPALSPPPQAVNSRSVPPTDAFPGRLQDNAADHGDKSEETTPRPKLKPLHWDKVRASSDRAMVWDQLKSSSFQVNEEMIETLFICNPANAAPKEATKRPALPTPKAENKVLLDPKKAQNIAILLRALNVTKEEVCEALCEGNTQNFGADLLETLLKMAPTKEEEIKLREFKEETSPIKLGPSEKFLKAVLDVPFAFKRVDAMLYIANFESEVKYLKNNFEILEAACDELRNSRLFLKLLEAILKTGNRMNVGTNRGDAHAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRTEGSRLSASNQSTPRTLANPLRDELECKKLGLQVVAGLGNEMSSVKKAAAMDSDVLSSYVAKLAGGIEKITEVLRLNEELNTRDDAWRFHDTMQKFLKKADDEILRVQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLSVLTQVCREVGRINDRTIASSVRHFPVPVNPMMPQLFPRIHALRAGISDDESSVASSP >SECCE3Rv1G0160980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:110367336:110367815:1 gene:SECCE3Rv1G0160980 transcript:SECCE3Rv1G0160980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPDGINIPGGKFYLGDAGYACWSGILPPFRKIMYHLNEFSGRNFPRTAQELFNLTHSSLKVTVERAFGALKNRFKILDHKPFHPYSTQVKIVLACCILHNWILQWGFDEHVPEEEEVEPDDVVSSGHGVEAFDNDACKNKMLEWAEAMWLNRGQCRI >SECCE6Rv1G0401830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:474612906:474613837:1 gene:SECCE6Rv1G0401830 transcript:SECCE6Rv1G0401830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSCGDSFSATSIRAYVAEFIATLLFVFAGVGSAIAYGKLTDDGALDPAGLVAIAIAHAFALFVGVAVAANISGGHLNPAVTFGLAVGGHITILTGIFYWVAQLLGSTAACFLLKFVTHGKAIPTHGVAAGMNEFEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGFVYGDVFIASYQPVADQDYA >SECCE6Rv1G0400710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:457279519:457279836:-1 gene:SECCE6Rv1G0400710 transcript:SECCE6Rv1G0400710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVREGEEPKSAAEIVDEVLKTEVKQSTFLRNVGLQSSRNNSSKATAAMAAHVRDLEQKLERSGLQAEVMQEELAAIKMKAEEAEDARDKELELLRKKS >SECCE2Rv1G0112720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:714087818:714088423:1 gene:SECCE2Rv1G0112720 transcript:SECCE2Rv1G0112720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGNPDSPLSGGGNGGGSSSSITSSISVVSGGTPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHASACPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGSPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQLTGDSSSGSFHGNQHQPPPGPPPAAGC >SECCE3Rv1G0181220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:607852838:607854727:1 gene:SECCE3Rv1G0181220 transcript:SECCE3Rv1G0181220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSHGLGFGDSINSLNSSHYRSSVQHSAQHRDTTLDSTSYSSFSFTNTKALKRKWGTMAGEGTGDALLTLGLGRSPSSSDNSKVSSATACAMSPCSLKEADEESSVDLSLNFELSLGNDVAHFQRKPSAGLVANSPKLDLQLSLSTGSPESAVTCTNMVSPNIHDGLDIPVTYSLPAIIGNGSAPSSWGFEHSVVSSSYASEAAYAFPFSKIPRQENGTLSSPVISSTMPASVKSSVACTSVVTNPQQRNLNTKTCQFPGCGKGARGASGHCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCEFLGCTKSAEGRTDHCIAHGGGRRCSNDGCSRAARGRSGLCIRHGGGKRCQQEKCTKSAEGHSGLCISHGGGRRCQFPECAKGAQGSTKFCKAHGGGKRCTFLGCTKGAEGSTPYCKGHGGGKRCLFEGGGVCPKSVHGGTQYCVAHGGGKRCAISDCTKSARGRTEYCVRHGGGKRCRFDGCVKSAQGSTDFCKAHGGGKRCSWGLPDSSFGIGTEQCDKFARSKTGLCSAHTALVQDHCVHGGGTLGPVIHHFAADVKPDEMEVAAAVKVDPVSMQSESPLPEGRVHGGGLLALLSRGGNHTGPVGNSENGASVMMAWM >SECCE1Rv1G0046900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:620148584:620149105:1 gene:SECCE1Rv1G0046900 transcript:SECCE1Rv1G0046900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERRPSELLQEQQEPFLVEAAMIRRPRRGRGSGGGACFPVAACQRLLRLCNHGFKKRSGGIGGLRSALSKVLCGKAVRSAFRWENLGGRCFTGVSDHEFRRLRRSAGYSGECDACAMEFDDDEGYERHGGARRKTNMEVDSSRQLSPVSVLDLHSDDESPMHSRCKLPDPS >SECCE4Rv1G0262120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:705995749:705996477:-1 gene:SECCE4Rv1G0262120 transcript:SECCE4Rv1G0262120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNGWESLFCQAKNFCETHAIDVPNMDDLVATMGQSVGTKNKVTRLHYYKVSIFNVAIDATIVEMNHRFNEVSTELLDCMSCLNPANNFSKFNVDKLIRLAENYDEDFTEVDRLLLRVDLPRFLMNIRRSDEFDGCRDVSTLARLMVETTKHTPFHLVYHLIELTLILPVATSSVERIFSAMKIIKTDLRNKLSDDWLNDFMVCYCEKEIFRGIHDDQIMIQFQKMRDRKGHLPHEFNVIP >SECCE4Rv1G0270810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:757405382:757405883:-1 gene:SECCE4Rv1G0270810 transcript:SECCE4Rv1G0270810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVHVPAAVGALLGHPVAALSERPAPRLTRLLVNVTVERSLWPVHVLLAADATVADLAHAAVAAYAAEGRRPPLPADDTATDAAARFELHLSKYALDALNPEEKVLDLGSRNFFLCATRSTLRSDHHLRLRSSLTCLDV >SECCE6Rv1G0424710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702179755:702180348:1 gene:SECCE6Rv1G0424710 transcript:SECCE6Rv1G0424710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPISRTNPWSMAHAHHAQGPAGDAGGHRESGGGGGAFAPPSFLALLYLLAGLLAVYLLHAAARRLYACCVPSASPPRQPPPPPARPPCRRVDPAEVAVSLPVRAHDGGGDGDDVCAVCLAELRAAESVKAIPACGHVFHPPCIDRWLQSLAASGAGHASCPLCRRSAADPVADDDDGPLKPPQQQQQHDEEAPAA >SECCE2Rv1G0088990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:250962338:250969708:-1 gene:SECCE2Rv1G0088990 transcript:SECCE2Rv1G0088990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAHKKLSCFLLLVVVAVSLAICSVGQQFVYSSFAGANITLGGAAAVTPTGLLELTDGTLRQKAHAIHPTPFRFREPAARNRTVRSFSASFVFGILCPDANNCGHGIVLFVAPGGYNFSAAFPSQYLGFVNNSTNGAASNHMFGVELDTDQNNEFRDIDGNHVGIDVNGLTSLASAGYFGDDGIFHGLTLASRAAMQVWVDYDGEEKLITVAMAALGTAKPVKPLLSKTYDLSMVLTDTAYVGFSSATGSFNSQHYVLAWSFAMDGPAPAIDIAKLPKLPRFGPKRHPKLAQIIPPVATTAFIFAVGTLAVLLIRRRLKYSELREDWEVEFGPHRFSYKDLFRATGGFKEKNLLGVGGFGRVYKGVLPAPKLEIAVKKVSHDSKQGMKEFIAEVVSIGRLQHRNLAQLHGYCRRKGELLLVYEYMPNGSLDRHLYGDDEKPALDWDKRFRIIKGIASGLLYLHEECEKVIIHRDIKASNVLLDSEMNGRLGDFGLARLYDRGANPQTTHVVGTIGYLAPELGRTSKATPLTDVYAFGIFLLEVTCGQRPIRQNSHGEQLMLVDWVLEHWHRGSLAETLDTKLHTYDAGEACLALKLGLLCSHPLSNSRPGMRQVMRYLNGDVQLPELTLTNESFQILALMQNEGFDSYVMSYPSSLESVTTLSSLVEET >SECCE2Rv1G0123760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:826739206:826742122:-1 gene:SECCE2Rv1G0123760 transcript:SECCE2Rv1G0123760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDRFPVWEAALGAGVAAVFAAGLVGVYLSMPDSDYSFLKLPRNLQELQILTGHLENYTSDYTVQVLIGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLRGVALVVFAASAGASSCYFLSKLIGKPLVFVLWPDKLTFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPFHTFLLATLIGLIPAAYVTVRAGIALGELTSLSDLYDTQSVALLFLIGVVSVTPALLSKDEEQEKAPEIAAGAS >SECCE5Rv1G0315340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:290444485:290449599:-1 gene:SECCE5Rv1G0315340 transcript:SECCE5Rv1G0315340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MAAVLAAHGSRVSASIIAGFGSALRGIPKGFCPRFYNTQASIYNGLVHRRKIPLPLRCSFRSIQARNNHSSSAVVPKDYCETYIQFLRDKRIVPDSDPPSSKDVDLLYRFIDKSNKLMVLTGAGMSTESGIPDYRSPNGAYSSGFKPLTHQEFVRSIRARRRYWARSYAGWRRFRRAQPNTAHYALASLERIGHVHTMVTQNVDRLHHRAGSKPIELHGSVYEVICLDCGTSISRESFQEQVKDLNPKWALAIDSLEEGQPCSSRSFGMQQRPDGDIEIDEKFWEQDFDIPSCSQCGGVLKPDVVMFGDNVPRERADSAKEAARNCDALLVVGSAVMTMSAFRLARLAHEANAPIAAINIGGTRADSIISLKINARCGEILPRVLQMGSLAVPSIS >SECCE3Rv1G0199620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:846791137:846792712:1 gene:SECCE3Rv1G0199620 transcript:SECCE3Rv1G0199620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper (bZIP) transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G36270) TAIR;Acc:AT2G36270] MASEMSKNVKFSEEEVTSHPRVLEGEELTVVAPARQSSIFALTMDELQYSVCEAGRNFGSMNMDEFMSNIWNAEEFQAATGGGLVGMEVVAPVVGAAGGGGDAAGGSNLARQESFSLPPPLCMKTVEEVWAEINSEPRPVHAQPQAARHVPQPPVPPPAGNGGDNDRQGTLGEMTLEQFLVKVGVVRGAGAGGQAPVPVGMVHGQMNPAQQGQQLGPMMYPMAPANGMFQVLADGMGFVPNGYAGMDMVPPPPPQGGVGIASPVSSEGRSAMTQADMMNCMGDGVMVESGGSRKRGAPDDQSGERSVERRHRRMIKNRESAARSRARKQAYTVELEAELNQLKEENARLKAEEKTILLTKKQMLMEKMMEQSKENVNAKKDGALPRRSGSCIW >SECCE7Rv1G0457410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:16869225:16870857:-1 gene:SECCE7Rv1G0457410 transcript:SECCE7Rv1G0457410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHPTSPDLTATKMLRQLLPIFSSSSSSSSSPIYSLARLTRQSSSRRVPLPPASRKTSSSSRSSANTRPHLCIALFLLLILVVTTVFFFPPAIMSSSSSSSAAAASVPFESPRKVVKKVLSLSQSEGDGATVRRSIGRHELPNLDPFLLLDEFSVSKPAGFPDHPHRGFETVTYMLDGAFTHQDFSGHKGTIRTGDVQWMTAGRGIVHSEMPASDGVQKGLQLWINLASKDKMIKPRYQELESKDISKAEKDGVEVRIIAGEAFGVRSPVYTRTPTMYMDYTMQLGSQLHQPIPEGWNAFVYIIDGEGVFGREGAAPASAHHCLVLGAGDGLSVWNRSGTLLRFVLAAGLPLNERVVQQGPFVMNSRAQIQKAMEDYYYGRNGFEKASQWSST >SECCE4Rv1G0242290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:474164135:474164704:1 gene:SECCE4Rv1G0242290 transcript:SECCE4Rv1G0242290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAPAPAKPSLSKKPSPSFRLRNGSLNALRLRRVFDLFDRNGDGEITLDEMAAALDTLGLGADRASLEATVGAYIPAGAAGLGFEDFEGLHRALGDALFGPIVEEEPGKEGEAEDEDMKEAFRVFDENGDGFISAAELQAVLKKLGLAEARNLAAVQEMICNVDRDRDGQVDFGEFKCMMQGITVWGA >SECCE4Rv1G0288430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:860914336:860916417:1 gene:SECCE4Rv1G0288430 transcript:SECCE4Rv1G0288430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDTCDLSEGMSTERAYEAEPVPSLSETITARSLAVSFVLAVTLTVVAMKISLNSGFLPSLSIPACLLGFYLSRLLIRLLDYMEVSHLPFTRQENTVIQTCVAACTTIAFSGGFGTFLLAMGRKSAGDDDSVKYDVNVEEPSLTRMIAFLYLVSFAGIFIIMPFRKVMIIRHQLTFPSGTATAHLINSFNTPQGATQAKMQVSVLFKSLAGSMAWSIFQWFFSAGKDCGFKTFPTFGMEAYRQGFFFDFGMTNVGIGMICPYAITLSMLFGSAVSWGILWPYIETKEGDWYAADLGSGSLSGIKGYKVFIGVSMILADGLFNFISIMFRTSRTMSKQRKQHMSSAGGSVNQPFQHLIGEGPDMEQQKTAKSFDERRRAQVFLRDNIPNMVTIGVYILLAALSTVAVPILYRQLQYYHVALVYLMAPLFTFCNVYGFGLTDMNLSSTYAKIAMLVFGSWVGLKDGGVIAGLVACGIMMCTLSNGGDVMQDLKTGYLTLTSPRAVLISELIGTAFGCLINPTVFWVFYKVYKTGGANGGDVPDVPYARVYRGMAMLSVGQDGLPMHSMLFAKIFFMLALALCMLRELASRCDWRVQAYLPSTVAMALAFFVPPDMSIGMCVGSLVLWLWERTDPVEERMLSSPVASGLICGDGLGSLVASLLTLTKATAPICIKFLSRGDNEKLDAFLAKMPAT >SECCE7Rv1G0490770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:504351474:504355568:1 gene:SECCE7Rv1G0490770 transcript:SECCE7Rv1G0490770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSASFLEIQPSELAFPFELMKQSSCSMQLANKTDHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVTMQAQREAPPDLQCKDKFLVQSVAAENGAATQDISAAMFNKEPGKVVDECKLRVIYVPTSSPSPFSEESEQGSSARSLENGTPNSTLPQSVFRSSGEASKEKSSEATSMISKLTEEKMSAVQQNQKLRQELDVLRKESSKSNSGFSITFLIVVGILGIIAGFILKKT >SECCE3Rv1G0163520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:148817125:148819782:1 gene:SECCE3Rv1G0163520 transcript:SECCE3Rv1G0163520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFIGKLSWKSLKSGPGGGGGGGSPTAKSNPMSPRENGALGKPPASPRSPSGAAGAEGRSREDAFIEKVTICCTVYDFTDRGKDSPEKERKRQVLMSLVDSIGAAEEPLTEAMMSACVRMFAVNLFRVFPPKVRSGTTTSETEEDEPFFDPSWYHLQVVYELLLRFVTSPFVDPKVARKYVDSSFISKLLDLFDSDDPRERDCLKTVLHRIYGKFMGNRPFIRKAVSNIFYRFVSEADHHNGISELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKTVGVYLPQLTYCITQFIEKEPKLAGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELIDMAEFQKCMVPLFRRIATCLNSSHFQVAERALFLWNNEHLFDMISQNRQVIVPIVYPALERNARLHWNQSVLNVTMNVRKMFFDMDERLLLACQSNFQADEEKRAAAEERRRLTWEQLERNADPAFQAANAPPSSAPPRVPTVT >SECCE6Rv1G0377610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:2738203:2741388:-1 gene:SECCE6Rv1G0377610 transcript:SECCE6Rv1G0377610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANPDMTSTLTALLLELTGELKKEASVQQLITDINELLLDKRYLIVVDGICQKETWDVIKHAFPMTSCGIIITTSPCEDIAELCRSWFNGHIYHVRPLGMVHSRPLFHQRLFNSDDDCHPHLEEVSDKILGKCDDLPLAILATSGLLANTERTEHLWNHMADSIGCALETSDIVERMMKILSLCYFDLHPRLKTCLLYLSMFPKGTTIRMKDLIWRWTAEGFFHGEQGNAAHELGERCFNELLNRSLIQPVKIDQYGKVKSCQVHDTVMDYITSKSAEDNFVTLVGVPCPTIDQTQKKVRRFSLQATEEGYSFPGVNLELSHARSLKVFGLLWEIPSLDEFKHLRVVDFGGFSILGDDHLAYIGRLFQLRYLNLSWTRVCELPEEIGHLSCLQMLDLTATGVSELPSSIVNLEKLAHLLIGEFVKFPEGGIEKMQALETLKQVRAFKQPIQFLQDFGKLQNLWKLNIDLTNYQEDTEDTKECIKAIASSLYELGTHNLRSLTIWNCDYSLLMEEWCPTPVGLQKLKAWRSTFPRVPDWMGSLVNLQQLRFDLERVGYEDLCILGGLPSLHTLNLVGKEMSEGKLTVSGEMGFPMLRDFSYGMYPGVGIDMMFEAGSMPKLEKLTIDFTPSTNECLFRTGGLTGSGPFDFGIENLPSSLRTVVCECKSFDGDCTLEATRAALERAVSTHPSCPALEFGIY >SECCE6Rv1G0445580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:838364009:838370293:-1 gene:SECCE6Rv1G0445580 transcript:SECCE6Rv1G0445580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRPRLPGFGDEGGGGGRAGGQGRGAGGRGRGAFGFYPQQGGRGGGGGSYQPRGAAQQWRPAAPAPAHPPQAHANGNGGPVAATIAPELRQANTDDAAPAPPVPAPPPPEALEAVAEQLDDVTDQFDALSMDGGDDGDSVSVASTGRELAVSRAPIPRPDSSCKFPHRPGSGRAGTRCLVKANHFLAELPDKDLHQYDVAITPETSRVSGRAVMGELVRLHRASYLGGRLPAYDGRKSMYTAGPLPFTSKEFHVTVLEEDDGSGQERRERTFKVVIRYAARADLRRLEQYIAGRQAEAPQEALQVLDIVLRELPTARYAPYGRSFFSPDLGRRRSLGDGVESWRGFYQTIRPTQMGLSLNIDMSATSFFEPLPVLDFVGQLLNADIHSRSLSDAERVKIKKALRGVKVEVTHRGNIRRKYRISGLTPQTTRELSFPVDQGGTVKSVVQYFQETYGFAIQHINLPCLTVGNQQRPNYLPMEVCKIVEGQRYSKRLNQGQIRALLEETCQRPHDRERDIVQMVNHNSYHDDPYAKEFGIKISERLASVEARILPAPRLKYSETGREKDCLPRVGQWNMMNKKMVNGARVRSWLCVNFARNVQDSMATGFCRELARMCQASGMDFALEPVLPVIYVRPDQVERGLKARFHDAMTALGPQRKEIELLIGILPDSNGSLYGDLKRVCEIDLGLISQCCLTKQVFKMNKQILANISLKINVKVGGRNTVLADALTRRIPLVTDKPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQTHRQELIEDLYNVTHDPQRGTIHGGMVRELLISFKRTTGEKPERIIFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPLVTFVVVQKRHHTRLFAHNHNDQSTVDKSGNILPGTVIDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSISSARKSGSSTSRSTRAAGAGVVRPLPALKDSVKKVMFYC >SECCE3Rv1G0181240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:607993438:607997766:-1 gene:SECCE3Rv1G0181240 transcript:SECCE3Rv1G0181240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G14930) UniProtKB/Swiss-Prot;Acc:Q93ZB6] MTSPTTIATATATAAAFLSAAPASSSSTHRRRSRLPAISASLSSEEPLLIRAARGEDGLPRPPAWMMRQAGRYMASYQALSKRHPSFRERSETTDLIVEITLQPWHAFAPDGVILFSDILTPLPAIGVPFDISESKGPVIQSPVRTEEQVRELVPIDLDKLQFVGESLKILRSEIDGKAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTAPNVLRGLLSHLAEAISDYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIRQIVAKIKTECPHIPLVLYINGNGGLLERMKDIGVDVIGLDWTVDMADGRRRVGDGISVQGNVDPAYLFSPLPVLTDEIHRVVKAAGPKGHILNLGHGVLQRTPEEAVAHFFDVTRSLRYDTLFQGHLTEELQPVA >SECCE7Rv1G0455300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6140409:6155698:1 gene:SECCE7Rv1G0455300 transcript:SECCE7Rv1G0455300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFALGLTKSAVEGTLSRVKSAIEEEDKQRVRVQDDLVFITGEFEMMQSFLGVANAERANNPVVRTWVKQLRDLAFDVEDCVEFVIHLDKPSRWDWVRRFASSVICIARPPLPLDVAVADIKRLKTRVEDVSQRNTRYNLISDSGSSSSSNSAVTIVSTPAVELKPTSTASLFHTLCEVWEEAGRKRRGTDDLKKLIMREGRDLEVISLWGSQEVAHLGTTHVIREAYNDPQIRQEFSIRARVKLLHPFNLEEFLKSLRTQFNLAASAHRQRSSGRSHIQTQATDIVCETDHQLMQHLMEHRYLVILEDVCTAAEWDDIREYLPDTKNGSRIVVSAQQLGLAISCLRRSCQVAELRKFSHGQSLCAFINKVPDCRSDMSEFCWQLRCGGVISVWGHRKSTTIIDSVYTSITHKSKAFDNDGVEFEKHSWVEVPSPFILDVFSRRLLLSFHGDDFVAQDVAAVGRMGHQGVVQRCHRFLREYKCLVVINGLKNNNDWDSIKSTFLTVPVNGCILVITHPSVRDSGVPARYCVDHKEDRAINIQYLESDSMLRHLIKSSRVYGIGGKEACRRGRLFSNRREEARIWTKQFGHVVPEKKHSTLQFNLQWSGGFSLWGTDGAGKSAIVRKAYYYQLLLHHNDNIFRSNPGYDIYKLPLAKLSWVDVPHPFNLMDFAWRLLLDFHSDDLQAKETVAVSMMEGQDDPIEGCLKFIGEGSCFIVINGLRSTDDWDSIRDAFSWSEASKNYIVIITNEESVATHCIGSGKYEKFNVKGVEAEPALRWLTKDCGYYGNGSAEASHFFSNRREEAVDWALTSELASELFQYDVRSFYTHVGLLSISGISGVGKSVLGKYIYYKMMFNSEESSTRFTSFSWVNVPQPFNLMEFCWQLLLDFHSDDLEAKETAIIGMIDCPRDQIEECREFLHKECFVVIDGLCSTHDWDLIRDALSPEITQGCILVIANETNVATYCIPDKRQMLSTMCLEPNAALLLFEKVISCGMQLSPRKIELSKLIMAKCGGLPKVIVAIGEHYIASRMDIQILENLNINFMGTLETMPEFCSLRGLFGWMQSYFDACSDALKPCIFYLSVFPVVNIRRGRLLRRWIAEGYSRAKFGITAEKDGEILFHELVQLSIIQEPPGHTGYRRSLFSDPGSKVDNVFQVNGFFHEYIISRQLEDNLVFALEGHCDLNSQRVGQHLTIRSSWDRDEIVFKSMDLSRLRSLTVFGEWRSFFISTAADSINMRLLRVLDLEDTTSGVTNDVLEQIGKLLPRLKFLSVRGCQDITCMPDSLGCLRQLQTLDVSHTKITTLPCAIINLVKLQYLCAGTTLEPLDQDRENTSNALRRWRRKRLYAGTTLEPLDQDRENTSSALRQWRRKRLCAGTTLEPLDQDRENRSSTLTQWRRKTRALVQSYSCSWSSKKKNKQFDNGSSVDIPTVGLGNLTVLHSLGIVNVSGAGGNAILKEMKKLTQLHNLWVSGINRKNWDSLCSVISGHGHLESLSVRLDYEYEQEGGLCCLDDISELPNTLKFFELYWGTVRASPPWILRHQLFQNMLCRDDHLDLLSYIELTMSTQEDIDSLKRLGNKSEFRYLCVKPIQDSELRYGWWDEDSMFLADFEGPVLKIECNSYKLALVFGPRLPKYVEKLEVHCSCTESFLKLSGLEELKNLEEVCLKGCYSDELRQYLQQKVDCLEAPSTSSTRAQDNRKPVLKLEPQQSAPSTPCASLKRLFI >SECCE4Rv1G0287240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853402249:853404671:-1 gene:SECCE4Rv1G0287240 transcript:SECCE4Rv1G0287240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVCGDPGMARVAADYRQSSPGDESGLQEPNSGTINSKTSSGATTSFMASVGSDGTNGGTNQGCFLEGQILETPNLRKFTFLEIQTATRNFRPDSVIGVGGFGWVYKAWVDEKTMNPTTSGAGMAVAIMQGNEEWKKFLIVHLQSDIKLLGRFSHPNLVKLLGYCWEGKELFLVYEFIAQGLSLEDHLFGGRCAPLSWEQRLKIAIGAARGLEFLHASEKKAMYRDFKASKILLDAHYNAKLSDFGLAKLGSTGNSQMTIMPTRTHGYAAPEYVITGLLYEQSDVYGFGVMMLEMLSGQRARDPNRPKGQMSIIDCAKSLVDRRKVARLMEPRLKGQYNSKQALQAVHVALSCIATEPRSRPSMKVVLEALEQI >SECCE2Rv1G0096110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:432658792:432666648:-1 gene:SECCE2Rv1G0096110 transcript:SECCE2Rv1G0096110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQILLAAAAVCLLLLPAAYAVTDVEYCNKGKNYPVKVSGVEIVPDPVERGVPATFKISATTDKNITEGKLVIDVKYWIFNVYSETDDICTKTQCPATSDFELSHSQTLPSITPPGSYTIQMKMLGKHDEELSCISFGFSIGFLAPVALS >SECCE4Rv1G0253900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:630601277:630601567:1 gene:SECCE4Rv1G0253900 transcript:SECCE4Rv1G0253900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYRGLPQGELTAAEFWAWLGQFDADHDGRISREELQRAVRSLNLWFASWKARRGVRAADANRDGAVGGEEAGRLFAFAQRQLGGKITQLGAY >SECCE5Rv1G0343430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638114873:638116180:-1 gene:SECCE5Rv1G0343430 transcript:SECCE5Rv1G0343430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAPATTAVAVPRMKLGSQGLEVSALGLGCMGMSAYYGPPKPEPDMIALIYHAVAAGITLLDTSDIYGPHTNELLLGKRAIRGDPAYVRAECEGSLEHLGVGCIDLYYQHRIDVSAPIEVTMGELKKQVKEGKIKYIGLSEASASTIQRAHAVHPIPTVQLEWSLWSRDVKEDIIPTCRELGIGIVAYSPLGRGFFSSGPKLVDTLSEQDFRKDLPRFQAENLEKNTMVFERVSAMAARKGCTASQLALAWVHHEGNNVCPIPGTTKVENFN >SECCE2Rv1G0138660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:922688239:922690512:1 gene:SECCE2Rv1G0138660 transcript:SECCE2Rv1G0138660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARKNAGVLALFDVDGTLTAARKEVTPEMLDFMKRLRENVTVGVVGGSDLVKISEQLGKSVITDYDYVFSENGLVAHKDGKLIGTQSLKTHLGDDQLKEFINFTLHYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDDFEKYDKVHNVRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFKEIHFFGDKTYKGGNDHEIFESDRTVGHTVTSPNDTVQQCKSIFLSE >SECCE6Rv1G0438780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:792487070:792494486:1 gene:SECCE6Rv1G0438780 transcript:SECCE6Rv1G0438780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMRASGAAASWVVGRMGTDAHLYDDPEDAAIPALLDSRFDGDKVDALKRLLALIAQGVDVAHLFPQVVKNVAAQSLEVKKLVYLYLLHYAETRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSPYVRKCAAYALCKLYDLLPEENTTLEEIVDVLLGDSSFGVVGAAAVAFKSVCPNCLALIAKHFRRLCETLPDIEEWYQITLIEILLRYVIAKHGLVKDSVMFAAELSLETQAGRDSVPVSNISSTQAETVVKGGSGTMPNIMLFRHYIEEYSGAFDRDDDKFSFPSVTTSTNDDVIILLKCTSPLLWSQNSAVILAAASVHWIMAPAEEVKRIVGPILFTLRSSPDATYVMLGNILVFAKTAPLLFASYYEDFFICASDPYQTRALKLEILTTIAIESSIPAILEEFQDYIKDPNRRFVADTIAAIALCALKLPSITSSCLEGLLALVLYELSITNSLHLNEEDAVLVQAILSIKEIVKIDAASHEKVIIRLVRCLDTVKEPAARSLIIWIFGEYSSIGNLIPKIAPVVLKYLAWSFAAEVLETKLQILNASAKVIIHSAEEKLDEFKRIVAYVIQLAACDMNYDVRDRARFLSGLLPCCANENDSSCRSQNVDVIKELADHIFGGKLPPPPNSDSNYRIYLPGSLSQVVLHAAPGYAPLPKPQSMILIHKTVEPTRGVADSSEGTDSDAESGSSKDESGSVYDSESEAGSDSNDDVHRQKENQEAPLIHMYDGNVDQAYAGQAVDENLASLISTDLTELMSKSALESWLDEAPAAPLVQDSVQTSCARVSFTTRSFERKPKLHMLLDPSDSDGLRVLYSFSSEVSPKSRLLVCVDLFVENVTTEQLADITIKSEEASGSKGGMDQTSEGSASIPTLVPVEEIQSLAPEQTAKMLLQVHFHHHLLPLKLSVLCNGKSHPAKLHPDIAYFVRPLPMDLNAFLCKENQLRGMFEYARRCTFKDHLQKHEQIEESTDHNTDKNLMVAQTLASKVLSNANVHLVSMDMPVTFSIDDASGLCWRFSSEILSTSNPCLITVVADGHTSEPLDLTVKVNCEDTTFGLNLLNRVVAIIE >SECCE3Rv1G0146940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12950954:12951442:1 gene:SECCE3Rv1G0146940 transcript:SECCE3Rv1G0146940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALCLPAMVRPASSGPGRQLYGSPQRHAPVLRSRRPFPCHGLLALGRRTRRCGAFGQDHYGGALVDEGMAVLRRRIREARMAETNYEAPAGWADWEKRYYPAYVSDVSALAGALQLLAMGTRPGVAAAVAAMLLAGVPVSALAILHLLGQAVGSVLHHVS >SECCE6Rv1G0437320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:783155022:783155559:1 gene:SECCE6Rv1G0437320 transcript:SECCE6Rv1G0437320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIFRCSYYRSKQPEPGEEDEESAAGEYEYVDLEDGQGKRGGGGEKPESGGAVDSDGPLTIDLVGTILIGTSCVMVGCFFLGGSFLQAGLAGPDVALLVILGALLLAIGAAALAGLALRGYTVSSWSRVSD >SECCE2Rv1G0101720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:568219018:568220101:-1 gene:SECCE2Rv1G0101720 transcript:SECCE2Rv1G0101720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQARFLSHAFPHDLNAYRSMDAAAPGASLSQSHLLDEHAGCAPAVACMGNNTVLSDLPRSELTCNDNYGFVPRKRARMAGDEPAGLADLARQRFVLEQAAAMHGLMLPCDAQSRAVGSGSASTSGRMANAAGLNTLLYNQGVEMDALIRLETERIRAGLEEARRRHARAVLATVERAAAGRLQAVEAELERARYRNGELEERLRQMTAEGQAWLGVAKSHEAVAAGLRSTLDQLLQPPCVVTGVVEGDADDAQSCCFETPVCDNADDAASKAVGAAPSCKACGQADACVLLLPCRHLSLCGACEPAVDTCPVCAATKNASLHVLLS >SECCE6Rv1G0436530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778141176:778142738:1 gene:SECCE6Rv1G0436530 transcript:SECCE6Rv1G0436530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHMVAALFNSPYALTTFAFLLAVAVLVSSKRSRNAARQGELRLPPSPPGLPVVGHLHLLGTLPHRSLRALAASYGPVMHLRLGRVPTVVACSAAAAEEAMRTRDLDFASRPRLLMVDRFYYGTGGIGFAPYGEHWRQARRVCVTHMLNARRVASLGRVRAQEAAALVDRVRRHAGAVVNLSDNLIVYSNTVISRCTFGDTDYGVEGGGGGGGARLRKVFAEIEELLGTVPMGETVPWLRWVDVVTGLERKTRRVFEEMDGLLERVIADHRQRRRAGAATGEEGDFVDVMLDAEELDTGSIKGIILDMLAAATDSTFTLLEWAMAELINHPHEMRKLQDEVRAAVGPGAGAGAVVTEEHLPRLPYLKAVVKEALRLHPPTPLLLPRETVEDTRLLGYDVPAGTRVLIHAWAIGRDPATWGSRAEEFAPERFLGYDLKMGQDFGFLPFGAGRRGCPGVGFAMLSNELALASLVCNFDWELPGGRTPPVDMSELHGLSVRLKAPLLLVAKPWSPVSADGVE >SECCE5Rv1G0313830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:264571203:264571780:-1 gene:SECCE5Rv1G0313830 transcript:SECCE5Rv1G0313830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKWENASLDKVKEKDVKIPPCWCGDVCKVKVSTDRKKSWTKGRRYFVCPNYAHDRALPTNAYDLPSSPPPLCKYFTWIDHEVPEDVKKDQYQDCLRRQRRFEESFRRSLEEERCQKERLERKKREEERARQEKLAREKERARKLAKARKAQEEDEARDKKGKCPRMTQ >SECCE3Rv1G0191520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:748874300:748879662:-1 gene:SECCE3Rv1G0191520 transcript:SECCE3Rv1G0191520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAPSAATALLRRALSTSPRSRSPAAATAVASSSAVNSILLRSLKEHYLEVAKMTPPPKISPPKPYTIVKGALEQTSGPVLRRSYGEAGEEISISVARLSNIMPPGADSDSDASDGAGGVSGSISQLFLHVDISRPESSKSLQFLCGLYPDAVGIHSVCLRSKTVPSGAVAVDVAMKGGGEYQGRIFQELDEKVRDAFHFYIEARGINEKLFPFLQAWLYVKDHRNLIRWFKSVGAVISEPKPE >SECCE7Rv1G0502120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:693149018:693154906:1 gene:SECCE7Rv1G0502120 transcript:SECCE7Rv1G0502120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKSLASTVGKAECAGSARQIAGPTMKLLVRVVEARGLLAVHLNGSSDPFVKLQLGKRRAKTAVVKKSLAPVWDEEFSFLVGDAAEELAVSVLNEDKYFTNDLLGKVKVPLSKVMEAEDLSLGTAWYQLQPKSKKSKKKERGEICLRISLSTRSHVSEESHQLPHPTSDGMASSSGRSIGNKDGALSTSNSYIDLSAVASLDRSSQGSVERLGDGAVDQPPGTSIDQALTEPGTAACNDAMANTSSVVEVLSRYFFGKPVDTNVPSVVASDAESVAEQSEEPKVCSVDRESPDNGTPSESNLDELLKIMESKDQGCEMPAKLANGVLVDESYVIAPAGLNSLLFSPNSDFWPAVAELQGTSGFQIEPWKIDSNDGCLRRTLSYIKAASKLVKACKATEEQKYLKAAGNSFAVLSIVSTPDVPCGTCFKIEILYCITPGPQLSSEEQTAHLNVSWRINFVQSTMIKGMIENGAKQGMSEGFAQFSEVLSQRFKVAELDDANSNKTKILASLQAHKEPSWRLIVRFLGNFTFIFSVIIGLYVIVHLHLSRPKVMNGLEYFGIDLPDSIGEVVVCAVLILQGQTILKVIKRFLNAWKQRGSDHGVKAHGDGWLLTVALIEGTGILSAGSSQLFDLYAVFTCNTKRKTSSIKFHTSNPKWNEIFEFDAMDDPPSRMDVAIHDSNQLDEAPICHAEVNFLKSNLSDLMDIWVPLDGKCDPASNPKLHLRIFLNNSRGTEVVLNYLAKMGNEVGKKINLRSAQTNLAFRKLFNLPPEEFLIDDFTCHLKRKMPLQGRIFFSPRIIGFYSNIFGHKTKFFFLWEDVDDIQVIPPTLSIGSPSLMVILRKDRGSEAKHGAKATDHHGRLKFHFQSFVSFNDAHRIIMGIWKMRSPGQEQKGEVIEESEPKELQAEECGSLFTHEDVKMSEIFSSVLSVDVESLMEMFSGGQLEHKVMQKTGCLDYSSTEWELVSRNIYKRQISYKFDKALSRYGGEASTTQQKYALVNQDGWVIEEVMTLQGVLLGDYFNLQLKYHMVNIPSKPNTCNVQVLLGIAWLKSTKQQKKITKNIMSNTSNRLKELYSEVEKNLTSRNGTLFNAAIDP >SECCE2Rv1G0084230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:173372817:173381955:-1 gene:SECCE2Rv1G0084230 transcript:SECCE2Rv1G0084230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAQLQSIVPTELEQRIFGRLLDVVHHQGLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGKEFCEKITEYHEKVIGREQKKPVIIPCNPSQSKHLETARIRLSDISDISIDFVNLRSEKYAESSRIPTVEDGTPEEDAFRRDLTINSLFYNINTKSVEDLTGRGLEDLKKGLIVTPLPAKFTFLDDPLRVLRAIRFAARFSFTLAENLKEAASDEKVKLNLGSKISRERIGQEVDHMMSDKYPVDAMCHICDLGLFYVVFAFPEKTVPPVLDKHDWLCVSHIEAAWNLVQSIGCSVFRGGSGSMSQDEQRRLCMYSALFTPVRNMLYTEKSKKELVVRYIIRNSLKFEGSRSDAETIVQIHAASDKFADLINFLESNGNLETVKENPNDEYLEIPTDMVARVLAGLPLLGIKYLWRLALLISTLSYPEVGSANGSFSQQDELNRRKEIYIRVERLITNLDLEGVWKKIKPLLDGNHIMGVLDIGKGPLIKEWKERLVKWQLAHPKGTAEECREWMKQSKCLKKEM >SECCE4Rv1G0224220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:78151264:78156557:1 gene:SECCE4Rv1G0224220 transcript:SECCE4Rv1G0224220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase kappa [Source:Projected from Arabidopsis thaliana (AT1G49980) UniProtKB/Swiss-Prot;Acc:Q6JDV7] MSSAAGIPSDGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQKKEAITKLKIEHLRAQCAKLTDNDISHFQKVAEKKILELEASRDLSKIWLHTDMDAFYAAVETLENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPDLVFVRPNFERYSHYSGLARKVFQRYDPNFFATSLDEAYLDITEVCIERGITGEEVASELRDAVHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDRDAVTTFISTLPIRKIGGIGKVTEQMLHQVLGISTCQEMLQKAAFLCALFSEGSTDFFLSVGLGLGGTETPEHRLRKSMSCERTFSATNDSRLLFEKLDNLAENLADDMQKECLKGRTLTLKLKTAAFEVRTRAATAQNYISSKEDILIYAKKLLKAEMPLSLRLMGLRMSHFSGEKDDSTSPTQKTLDRFFHSSDINSNTNGTNGASCIDVSGGHNDCNDATTKDECSIHDAEKDVSIDQQSSHDENSSVPEGASSVNYDNAAASTSLKVTQTEKFDELGDLTSSKACASSSKPGQHFWVDGYICSLCGFELPPCFEEERQEHSDFHLAEMLQQEEAVDNTARLSKERLAERPCSTSTTPTPKKKLKSSKEGKHIPIDSFFLKCNKNS >SECCE5Rv1G0308660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:135467834:135472362:-1 gene:SECCE5Rv1G0308660 transcript:SECCE5Rv1G0308660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMSTVAGAALLLAAAVVCSSAEGRRRAVPAVYVFGDSLVDVGNNDFLPPPAPRAGFPCGVDLPRAIPGGRTGRFTNGYNLADIIAQRVGFDMSPLAYLSLTPQASLDLLSGRVGANYASGGSGILDDTGNGTITLREQIKMFADTKATMIEANKLGNNRVNRLLSQSLFLISTAGNDFMAFSDGRATRSHASAYITKMVTTYLKHIKELYRLGARRLGLLDALPIGCLPGCRTSFGHDDACDAVANSLARQFNALLRLEMASAKAASMPGMEYSIASIYSIFTDMITNPELDNGRLEATSACCGGGRLNAEVDCTASSNLCTDRDGYVFWDKVHGTQAAYQRAVAAMFDGAASTKYTEPVSFGQLITGKQAATPVAVLDKLERPYVDLEAEI >SECCE2Rv1G0123030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:820861426:820862952:1 gene:SECCE2Rv1G0123030 transcript:SECCE2Rv1G0123030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSTVLPCLLLLAIVSLAAADGDGNNSTDSAGQVRLSCGASASATDSDGRAWDGDSASKFSPSTNAGVAARASSYQDPSLPSSVPYMTARVFASSHTYTFLARPGRVFLRLYFYPADYGNHSASGALFSVTAGGVTLLRDFNASQTALALDAAYLVREFSVNVNSTGRLEVTFTPSSRASSHYAFVNGIEIVPTPDVFTKPVPTFANGGRPDPMPIRADTAFQTMYRLNVGGTAVPPADDSGRFYRAWDTDVPYIFGAAAGVSYEKDSNVTIRYPPSVPPRIAPESVYASARSMGPTAQINLNYNLTWILPVDAGFYYLLRFHFCEIQYPITRVNQRSFFIYINNQTAQEQMDVIAWSGGIGVPVYTDYLVVTTGSGQTDMWVALHPDLSSRPEYYDAILNGLEVFKLQTYGSNNLAGANPPIPQKELYHGESKTKSAVPAAVGGAVAGGLLAVLIGCLCACAICKRGRKSASAVVCEPEAVPQQPVHGLPSPTKSSALFKSGQT >SECCE4Rv1G0223330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:68832866:68833414:1 gene:SECCE4Rv1G0223330 transcript:SECCE4Rv1G0223330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CITRX, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06730) UniProtKB/Swiss-Prot;Acc:Q9M7X9] MASFPLASAARSLPTLPSHIPAAAATRSVSIPASPTAKTTASCSLSIRSRRPTVRRNAAETYVPGSGKYIAPDYLVKKVSARELEELVRGERKVPLIVDFYATWCGPCVLMAQDIEMLAVEYEDSALFVKVDTDEEYEFARDMQVRGLPTLYFFSPDQGKDAIRTEGLIPIDMVRNIIENEL >SECCE5Rv1G0340130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:612761439:612762702:-1 gene:SECCE5Rv1G0340130 transcript:SECCE5Rv1G0340130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLVAALAPSPPPSSRPEPRRPPPPAAHLARGVALAVAAATVAAAAASPPALAALAEPANALSLPTWAVHVSSVAEWVTAMALVWDYGERTGLKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNITMCIAAYRIYKGSQENTNSNSP >SECCE2Rv1G0099810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:527261469:527264296:-1 gene:SECCE2Rv1G0099810 transcript:SECCE2Rv1G0099810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEEETLRALEEEDDATMNELDGEPMDGLDEEEEADSPATMKVGEEKEIGKQGLKKKLVKEGEGWERPETGDEVEVHYTGTLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDQGIKTMKKGENAVLTIPPELAYGEAGSPPTIPPNATLQFDVELLSWASVKDICKDGGIFKKVLVEGQKWENPKDLDEVTVKYEARLEDGSVVSKSDGIEFAVKDGYFCPALSKAVKTMKKGEKVLLIVKPQYGFGEQGRPASDVEGAVPPNSTLHIDLELVSWKTVTLIGDDKRILKKVLKEGEGYERPNDGAVVRVRLIGKLDDGTVFTKKGHEGDESFEFKTDEEQVVEGLDTTVLTMKKGEVASARIPPEHAFGSTETKLDLAVVPPNSTVFYEVELVSFDKEKESWDLKNNAEKIEAAAKKKDEGNVWFKMGKYAKASKRYEKAAKYIEYDSSFSEDEKKQSKAVKISIKLNNAACKLKLKDYKEAEKLCTKVLELESTNVKALYRRAQAYTELVDLELAELDIKKALEIDPDNREVKVAYKALKDKLREYNKRDAKFYGNMFAKWRKLEHTENAAGKPDAQPMAIDSTA >SECCEUnv1G0555310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:298333181:298334059:1 gene:SECCEUnv1G0555310 transcript:SECCEUnv1G0555310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMLKYDSTHGRFNGTVEVKDGHLVVNGKTIRVTAERDPANLKWNEVNVDVVAEATGLFLDDATARKHITAGAKKVVLTGPSKDNTPMFVMGVNHKEYAGQEIVSNASCTTNCLAPLAKVINDNFGIVEALMTTVHATTATQKTVDGPSHKDWRGGRGASQNIIPSSTGAAKAVGKVIPALNGKLTGMAFRVPTPNVSVVDLTARLEKPASYKEICAVIKAASEAGDLKGVLGYTEDDVVSTDFNGEKLTSVFDAKAGIALNDNFVKLVSWYDNETGYSNKVLDLIAHVSK >SECCE7Rv1G0461050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:35394549:35398665:-1 gene:SECCE7Rv1G0461050 transcript:SECCE7Rv1G0461050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRRRVLPPALPDDLVEEILLRLPPDDPPCLLRASLVCKTWTGIVSHTAFRRRLHELHRHRAAPVLGFLHDWDDERIPRFIPTTASSFTLAAPEWRSWRPIDCRHGRALFLSKCSGARELLVWEPITGAQQRVQVPAAASESDMPAAAVFCAADGCNHHKCLGGPFCVVFLFGVIFFTDDSDEEQYVTSACVYSSETGAWGELTSLPGEFGNFDYFSSVLVRRSLLYFMSDAKSIQEYDLARHSLAVFSHPNGGDFGDGVRINLMLAEDGGLGVSEGLVQHLKLWSREVGDGADHRWVLNRVINLKILLPNDALVDATSSVQVLGYAEEANVIFINTVAGLFSIELQSERARRVCDYHDVCNLIPVVSFYTPHSPLERAQALFNKGCKAVEERDFTNAINCFRQALKIRVQHYGELAPECASTFYRYGHALLCKAPRVANPSKCASNEESGKITPTTNKDDAGSSEASGSSVEHVPPARKSDSEEGANLNGKDLEDANTTGDGDDSGLDLAWKMLDTARAIVAKSPDKTMEKVNIFCALAQVSKKTGDRDNTIGYYLKALAMLEHLVRPDHPRIIKINVHICVAFELASKVGDAIQYCAKAISVCKSRIQNLKHAKEALLADKEVCTSAAEGRSGKFTPEEEIAFLTRFLALLRKKLENLELAMSAPKPLHG >SECCE1Rv1G0006930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:37064495:37066339:-1 gene:SECCE1Rv1G0006930 transcript:SECCE1Rv1G0006930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPVSGAPNAVHQAASPDDATPELRYLGWKTMPFVIGNETFEKLGSIGTAANLMMYLTSVFHMTNVDAAMALNAFSGTTNLATVLGAFASDLYLGRYATVAIGCIATFIGMIILTLTAGVPTLHPPPHAGATRLQLAVLLLAFVFIVAGAGGIRPCNLAFGADQFDPRTEHGRRGINSFFNWYYFTFTIAVCVSSTAIIYVQSNVSWWLGFAIPAALMLVSCALFFAGARLYVRVRPEGSPLAGAIRVAAAAFRNRHAPAPDDPGKSLFRAPHASSLVSRLPYTDQFRSLDKAAVVVLKSEVGLDGIPKDPWRLCSMQQVEETKCMLRVLPVWATCIVHYIAFAQTNTYVVLQAAQSDRHCRAGGGFEAPPGSFTIFPMLALTIWIPLYDRLVVPWMKRLTGRDEGITLLQRMGVGMALSSVAMIISGAVEQRRRVLARGKVVSRQSAFWLVPQLAVLGLSEAFNQVSQMEFYYKEFPENMRSVAGSLLFSGLALSNYLSGLLVSVVHRATGGGDEGWLAEDLNRGRLDWFYFLIAAIGVVDLVVFLVCADWYRYKVPADAHDHQQIALGNP >SECCE4Rv1G0291510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876852152:876854582:1 gene:SECCE4Rv1G0291510 transcript:SECCE4Rv1G0291510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFVKRARTENMNDVQPEIEVEQMTENVNDAQPEIEVEPPAPNVANEFTPNAIERDPGKRKQICEYPPDIQDQVRRAYIEGSISKSWYKRYEWIEYSEWKNAAYCFYCFLFKQPGRAGHFGYEVFTKEGFTDWKHASKGFKDHIGGHGSKHNSCIKHYDDYIIIKGKESKEMYKIRLTCSVSCSRYLIAQGLAFRGHDESATSLNKGNFREMIHLEKLRMNKNCTMTSGDIQKELAMCCAHEVRKKIMPEFLNDTWKVVENFLALYHVKDTTSESLKDALYGILDHYKLSISRIQGQGYDGASNMRGGFNNFSCSSIHDFFEYISLIVTTTTTSCKRRDALNEAQHQDILDRLESGEISTGRGLHQSSTLARPGDTRWGSHHTTLLRFDQMWSSVLKVLSTVDEYGRGPSQAVGLIENMESFKFVFILKLMLMLFGITNEHSKVLQKKDLNIVLAMELVNDVKARLATFRESGWDNLFSDVEEFCGANSILVPNMDEVIPVRGRSRREGRTITNLHHYRADFFYVAIDKICVEMDHRFSEGANCVLDCFSFLDPKDSFSKFNVDKLARLAEIYHEDFSNDDRGTIREQLLTYITQVKRHASFSTCDDLQSLAVKMVETKKKLVFPLVYKLIELALILPVSTASVERAFSAMKIIKNKLRSKINNEGFNDLMICYTERELFK >SECCE7Rv1G0515870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:841688438:841689217:-1 gene:SECCE7Rv1G0515870 transcript:SECCE7Rv1G0515870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPATGDVVAGGSQVYPASAYPPAATIAVAPVIIPAGSQPAPPFPANPAQLNAQNQLIYEQAQQFHQQLQQQQQRQLQQFWAERLSEVDQATDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDVYDFLVDIIPRDEMREEGAGLPRAGQPPLFGSPADAPYPYYYPQQVPGAVMGYGGQQVPPGHLPYVWQDPQEQQQQGPHAEQQQSESG >SECCE4Rv1G0242830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:490141472:490148241:-1 gene:SECCE4Rv1G0242830 transcript:SECCE4Rv1G0242830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Moco containing protein (Moco containing protein(OsMCP)) [Source: Projected from Oryza sativa (Os08g0530400)] MPGLTAPSDYAEEPPRHPALRINSKEPFNAEPHRSALVSSYITPVDFFYKRNHGPIPKVDDISRYSVSISGLVNKHIQLSMDDIRMLPKYNVTATLQCAGNKRTAMSKVRKVRGVGWDVSALGNATWGGAKLSDVLELVGIHKLSSVTSLGGKHVEFVSVDRCKEEKGGPYKASIPLKQATDPDADVLLAYEMNGETINRDHGYPLRVVVPGVIGARSVKWLDSINIIKEECQGFFMQKDYKMFPPTVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYAVSGGGRGIERVDISVDGGKTWVEAHRYQKSNVPYVSDGAQSDKWAWVLFEATLDIPSNAEIVAKAVDSAANIQPEKVEDIWNLRGILNTSWHRIKIQNSSCVSRSKM >SECCE4Rv1G0263790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718126031:718129147:1 gene:SECCE4Rv1G0263790 transcript:SECCE4Rv1G0263790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGAMVPVLRKLGELLAGEYNLQKRVKKGVQSLLTELEMMHAVLRKVGEVPPDQLEEPVRIWVGKVRDLSCDMEDAVDDFLVRVDEGSSSKPANMRNRVEKFLKKTTKLFGKGKALHRIRDAIEEAQDLAKELAELRKRYELDLHSTSNRATVDPRVLALHKNVDEFVGVDRTRDELIKTLISVDGSSKEQLKTISIVGVGGLGKTTLTKAIYEKIKAQFECAAFVPVGQNADIKKVFKDLLYGLNKEKFNDIHNNTAKDENLLIKEISEFLMDKRYLIVIDDIWGKDIWKYIDCALYKNKLHSRVITTTRNVSVSEACLSSSNGMIHRMKPLSDEDSQILFHRRIFQSEEKCPEDLQVVSREILKKCGGVPLAIITIASLLASNQRVKQKYEWMHLHNSIGSIVTQGDIVKDMNRILSLSYYDLPSHLKPCLLYLSIFPEDFEIKRDWLIWRWLAEGFIQCDKEEARLFEIGEGYFNELMNGGTVVTCRIHDMVLDLICSLSSAENFICILNNAECHAPNLQRKFRRLSLHNIKAKVQNHQFDSTRLSKVRTFAVFSPVACDWLPSLSSFQFLRVLDLGNCVSHESSSGLNLKYVGKLIHLRYLGLKGADVHELRVDLSKLQLLQILDIQGTRINELPASIVQLRNLICLSVDGGLRLPKGMRSLMSLEVLERVGLSSSPHIVKELRHLTEVRTLSINCDNMDEDLIDILIKSLGNLHNLQNLHIDDGGRLIDRMCESWVPPPNLRSFDSWDPFLFFSSLCRLPKWVNSRSLPHLSSLAIDVEELQGDDIQIIGMLPALRSLRLAAKRVMGRLVVRADAFPSARCCKFIGFLTWPCLFPPGAMPRVQHLEFWVSAWSIASGEVDCGMGHLPSLEYVEVDLRIENFTDEEMETAKAWLRRAGEAHRKRPTIQIYST >SECCE1Rv1G0050950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:650420634:650424756:1 gene:SECCE1Rv1G0050950 transcript:SECCE1Rv1G0050950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLSLLPAASVSARTRRAKRRAPAAGLRIPVLLSARAPSPLRSLPSSFDVVEGGGGEELHLLDKPFPSPSAPEEDEPEPEAAPAPSTAEALAPYLNFFQVKGADASEGAPEAAAAGKHASDSEDGAASAGRGVIYYDPKPGDLVVGVVVGGDARALDVDVGAGGEPALMLTKEAAPASSEEFAYLACDLASGGAGATEFAAEGRVGVAAGGVGARVGRTGKEKCAPVVGVGTVVFAEVLGRTLGGRPLLSSRRLFRRVAWHRVRQIKQLNVPIKVKISEWNAGGLLSRIEGLRAFLPKPQMMTRPRNFTDLKNNVGRQMHVCITKIDEGTNELIMSEKEAWAMLYLKEGALLEGTVRKLFPYGAQISIGETNRSGLLHVSKITHGQLRSASDVLIVGERVKALVIKSTTPDRIALSIRDLESEPGLFLSNKEKVFAEAEEMARRYREQMRQTPRSGEAPDDGDEDTVPFEDEAESYANWKWLKFIKSDGADCNPSSTEPSGL >SECCEUnv1G0527640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1522808:1523131:1 gene:SECCEUnv1G0527640 transcript:SECCEUnv1G0527640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKKNPREAKVTFEGLVTEALPNGMFRVRLENDTIILGYISGKIRSSSIRILMGDRVKIEVSRYDSSKGRIIYRLPHKDSKRIEDSKDSEDLKDSEDLKDTKDSKD >SECCE3Rv1G0211200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:948068680:948070629:-1 gene:SECCE3Rv1G0211200 transcript:SECCE3Rv1G0211200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDRLSDLPDDLLLRILHYAPAKEAASTVALSRRWRSPLWCYSGAVNLETVVEEYVPGGWGYEDKRKEFDEALFFSRRDAFVSAAEAALDAADVPITRLTLRFNFMDSYGYTAMKFLQYDRDEPGSPDRGRSGSPVPEGSRSSDRDGSRSPEPEGSGSSDRDGSQSPDSEGSQSSDQDWSTDGEGSLSPDRDWSQPPDPDVLGKLLSHETVRSVEELRLVADVCRCDTYAYDDDDDKISSSSGSKGILSLSLYSLPSLALRVLELTGCKNFVTLPRAVKLTFPRLQSLGLHHCTVQLDALQGLIDAAVALTAVYLEFVKIAETKGDMILCCPTATVIVLEGCNWKENDDPWWVHNKPEEPMVIDAPRLRRFRYKGLLRPFSLSPQPLDLTQGDLHFVLRRGRDGKKVWCHDGEDPRRGELDLEVFWQFLQSFSTVKDLKLRLNALEHIAVLSKAMRLKLLPTFRGLERLELQGLHRPQGMTAAVAIANLLRCCPVLRDLRIKLTLACRDEVYRSFERLFQRRKFRSDRDKSIHLVNCSRNSPNASYNNTRCDKVSEIPALSRPSFDCLQSSMKRVGLQFRLDNANCFGVKLVKFFAENAMVLEEMSIDGGNEKLDEHMTRKVERWICNSSIKRKPGASSFVTVPIKR >SECCE2Rv1G0085800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:196861386:196867757:1 gene:SECCE2Rv1G0085800 transcript:SECCE2Rv1G0085800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPNPSSGDLSSGAGSSPDKPYPADRRVAALAGAGARYKAMSPARLPISREPCLTIPAGFSPSALLDSPVLLTNFKVEPSPTTGSLSMAAIMHKSAHPDMLPSPRDKSVRNSHEDGGSRDFEFKPHLNSSSQSLAPAMYDLKKHEHSMHNQSMNPSSSSSNMVNENRPPCSRESSLAVNVSAPNQPAGMVGLTDSMPAEVGTSEPQQMNSSDNAMQEPQSENVADKSADDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERAVDGMITEVVYKGRHNHPKPQPNRRLAGGAVPLNQGEERYDGAAVADDKSSNALSNLANPVHSPGMVEPVPASVSDDDIDAGGGRPYPGDDATEEEDLESKRRKMESASIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSTGCPVRKHVERASHDPKSVITTYEGKHNHEVPAARNATHEMSAPPMKNVVHQINSNMPSSIGGMMRACEARNFTNQYSQAAETDTVSLDLGVGISPNHSDATNQMQSSGPDQMQYQMQSMASMYGNMRHPSMAVPMVQGNSAGRMYGSREEKSNEGFTFRATPMDHSANLCYSSAGNLVMGP >SECCE5Rv1G0299550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:18841713:18845788:1 gene:SECCE5Rv1G0299550 transcript:SECCE5Rv1G0299550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMRWPWPPAARKFSVRLVVRRAEGLPAPATDADAEARMAVELKWKGPKARWKGLRVCRNRTRLEAPAPAAAESSAAAAAVAWDEEFEDVVTLTAGSHRKPAAAFHPWDLSFSVLNDSNKGPKGELILGTASLNLADYTSAAEEEIEIILPLSVPNGTPESSPSLHLTLSLVELRLPQQSPDAAQRSVACVPLSPSSGDSIPSGKDEHSVIKAGLRKVKIITDLVSTRRSKKAKREDDSSDKYVHSDGAEYPCVIDSDDDLDDRQRDDDLGGSTVRKSFSYGSLQSVNVAGGLFYAHARIDGEHEDWIYYSHRKSDAGYHVEKEPSSTSEENSSLVIRRKRSILPWRKVKLPKKGEPLLKNKNGEEGGDDIDYDRRLLTSSDESVFGGSSGSVSTMESVFGDDNFVVGNWESKEVLSRDGHLKLSTQVFFASIDQRSERAAGESACTALVAVIADWFQANQELMPIRSQFDSLIREGSLEWRKLCENETYRERFPDKHFDLETVLHAKIRPLTVAPNKSFVGFFQPESAEDGSGFDFLDGAMSFDNIWEEISQAAEASSTEKPTLYVVSWNDHFFVLKVEADAYYIIDTLGERLYEGCNQAYILKFDDSTTIHKEPAEKKEDTPDSSAHHKDSAAETSSTEPDSGTDDAEESELVLKGKEACKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTEACPREIALPAPAPAIEAPFEFCWPDPPPAMEFPMAPAVAVV >SECCE6Rv1G0440420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:804396636:804397715:-1 gene:SECCE6Rv1G0440420 transcript:SECCE6Rv1G0440420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGSSDYHIVPTRDIHRFLFRARIAPPEDDLLASTVVAGCKCQVFYAALGKAPTSSSLLALTLRPGHLVVQGAAKMSAHMVLLDKAGSPAPSVGTVGFECAFSPKLTERASYVLMVERDDLRENCVVDDYIEVLCSVDISWEKNWTPPAPPPPGLGHDLALMFGKQDLTDVSFDVSGKSFSAHRLVLAARSPVFRAELYGPMVESKMTSIAIQDMEASTFGSFLHYMYHGSLPDSVEKDVASAMAEYQHILVAADRYGVEELKKICEDKLCDTGVTVDSVVSMLELSEGHVCPKLKAQCLDFLADGDNFKMVATSGEYLHLMLSFPTLMLEARERFKIAHEKQNILNPPPQKKTRVN >SECCE3Rv1G0162670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:135618834:135620447:1 gene:SECCE3Rv1G0162670 transcript:SECCE3Rv1G0162670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDGGAGLGARGPAGAMLGMEMHLVHQPQQQIHAASSFQQPPEHLHHANGGGGGFHVQHHQAMPMRQQHPPPSYAAYVAPPSRAVKAHEEEEMGNGVGNGGGVVQQPGAGAGAAGCPWSRMKWTDAMVRLLISVVYNAGDDGEGVSAGGKAAASHSHGKASASAHGGHGPQAAAQQKKGKWKSVSRAMMENGFAVSPQQCEDKFNDLNKRYKRVVDLLGRGTACKVVENPALLDAMGELPAKAKEEARKLLSSKHLFFREMCTYHNPPGAAAAASHGTDDGAACFHHPQTAAAPGAANSSAGHQVVAMVNSSTRSDDDSEDDVLSSKEAEEEEDDDYDLDDVEEKPPGAKHHGGRVDDSNAFHNYGGHKNKRRRGERSAAAAGEDGEEDGNNKPARRRPSTVQQLQRDLAAAEAGGDHQQLRQWMRRRALELEKQQLAYEVREYALEKQRHKWEQFKATKEWDMENERLRIERRRVDGQRMLLVLQQKKFDLDLAEAYNNYSSSVDHLPSAQTPPHGAAFQQQPGSSPSTTGHHN >SECCEUnv1G0527720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1727305:1730806:1 gene:SECCEUnv1G0527720 transcript:SECCEUnv1G0527720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYLGDLLKQAAEEELGMMLGVSGDIDKMGVKLGDLKNLLADAERRRITDDSVQQWVTELKRAMYEATDILDLCQLKIMERGSSLPDMGCCNPLLFCLRHPRFSHEIGGRIKKLNQTLDSIKERSAAFSFLNLTSYEDRTRVRPSAASRKTDPVLEWSGVVGDKIEDDTRTLVEKLTNKNDIDDIMVVAIVGVGGIGKTTLAKKVFNDEAIQHRFNTKIWLSVTKEFSEAELLKTAIIAAKGKLPDGGAQDKSLLVPALAVAIRDKKFFLVLDDMWGDNEWNNLLKDPFSYGAPGSRVLVTTRHGTVARGLKAVHPYHHVDKLGPEDAWSLLTKQILTTEKSEPTIDMLKDIGLQIIEKCDGLPLAIKVMGGLLCQKEKSRHAWGKLLNDAAWSVSQMPEELNYAIYLSYEDLPPCLKQCFLHFSLKPKKVLFEDIEYVGMWIGEGFVHGDSDRLEELGIEYHKELVLRNLIEPDTSYPGQKICSMHDVVRSFAQFVSRNESLVLNSGESTSNTFSMQRYLRLSIETKGVESDTFELRSLEEQKSLRSIILIGNFKIQPGDSLTIFSSLRTLHMEFIDCVALLESLHQLKHLRYLAVKNCTGINSLPQDIHKIKLLLHVSFCGCQNLLELPDSIVKLQELRFLDIDRTYVTDVPRGFGALEHLRTIYGFPAQMDGDWCSLEELGPLSQLRCIRLLGLQNVSASSFARKARLGEKVHLSMLRLHCSRGFGDDGQKKENVTEKDQRVIEEVFDGLCPPPCIQDIYIQGYYGCQLPRWMRDTSTTLLKSLKILMLSELSCCTQLPDGLCQLPCLEVLQVFWAVAIKRVGPEFVQPYSHCHHPSSRVVVAFSRLHELVLNGLVEWEEWEWEEEVHAMPVLEELLIQSCKLRCIPPGLATHARSLKKLTIWSVQGLQALEGFASVVQLDLFKLPKLTNISNFPKLQKLEIDRCQKLESLQGMEQLRRLVLTVYYNRPLPSYLQAVKPSHLLLDCGPEALACMALGKSSPEWDKFGHIQHVEAYADDVGEHIEKKWHLFYTSEPYSMVTNIDPQEWSWSETECEDVEDVEDIQDQ >SECCE4Rv1G0224180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:77796855:77799115:-1 gene:SECCE4Rv1G0224180 transcript:SECCE4Rv1G0224180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLAAAARSPAAAAWRRLLHHGRGIGGGEEAESMAYRMSMLRAPPVARKKDIVSSNSCSLIGRLNAPVRLHRNSSEEDPKAYTFLCVTPASSSSSTSANFQVTLQLKGDLANVCLKHLKYNDLVHVSGFLNSYHKVSGTGEKYVCYKIHVKELNYVHDSKKPRNDKDSVDPASTPSADTQALEEIKYRERLRLWQVFFASPYEWWDNREYKPYASCPDFKHKDTREQLWLHPDDPPWVRKQLELIDQQKAESGRRDGRGRLTNPKWNAQDFNYSDESHDDEQDTRRQANG >SECCE6Rv1G0434070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763634802:763641271:-1 gene:SECCE6Rv1G0434070 transcript:SECCE6Rv1G0434070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSDDDSDSEFDEGLQDDLDLVRRSCIIAGADPDAASAQVSSYLTVPATATAVAATDGLSDEEEDEDLALVRTIRENLHLNKASPSSPRPICIWPPSDTEDDEEDDLETLRAIQRRFSHYHSGTSTGPPENMKNEASKGGDDEFIAHQPGEEDVQNKNTKALTKTGFPKAALLLVDALKKNRACQKFIRRKMINIEAKIEVNKDLRDRVKCLMDYQLSCRRSFSNSLCQKVDPRVRLISSKKQSTQSAKNKCKMSALLLGPAENPHVEKYKVVLKQFPMSLQKHLWSDIEKDRLAKGIKQQYQETLIKDSMKNGSSSGDFSAVDMAYALTNTLGNFEATPEILRSVLPLVNWDYIAAMYLPGRSGAECESRWLNYDDPLINHNAWTACEEKKLILTVQEKGMHNWINIAVALGTQRTPFQCLARYQRSLNPHILKRAWTKEEDVQLVAAIRTFGCNWQLVSANLVGRVGNQCSNRWRKTLNPERKRVGRWSEDEDKRLMVSVKLFRSGSWNKIAQFVPGRTQSQCSERWCNVLDPDIDHGEWRPEEDSKLLASVHEVGPCWSKIAGAMIPHRTDSMCLRRWKRLCQDELPLVIAANQVKKTIFQTNFVDRETERPAICPSDFPSLVYSKVDRRDENIASDQVKKPRKRSRRSCVDNVPSGDPSKSSADVAAVNTTMRKSMKKLSGGGAEHQTGEDITVSDGVKNSSRGYSKARKRKVTTDSDVVVQKRMRGSISVDDESTLDILGGPISLDNEAPTNQERGLTSVGKEGTAKKRTRGSASVCNEGAVKKKMRGSVSVGDGRAVKNRMRGSVTVDNQGNTTKRKRVSRKSAKGNSTTDGGVSACELDLPSVPSEASAERGIDTGNTNKMKRKSTPRPKQINMTEGTVDEYSWLADCISFDRGSGTSRNNNSVMPSNNAMQSDGP >SECCE4Rv1G0221170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:46392038:46394059:1 gene:SECCE4Rv1G0221170 transcript:SECCE4Rv1G0221170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metallopeptidase EGY3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17870) UniProtKB/Swiss-Prot;Acc:Q9LMU1] MASTSLVASIVCSSGSSTSRSAALPRSFWKNHHRPPLRPLRRSSLVRCSLQEQDQAKENRSVSSIAVAPDEQKVEDGKVSSHHAGVGGGGDHQEGGDDGEDSEKKSRDEQQEVDWRSDEEFKRFMGNPSIEAAIKLEKKRADRKLRELDREPDASPVAGLLRGFIKGTLQREKQRLEEAEQTFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPIEEVRPKLEKKIADAAGTEVTLWFMEERNDDDITKQVCMVQPKAEIQLQLEVTRLSTPWGYLSAVALAVTTFGTIALMSGFFLKPGASFDDYVSDVLPLFGGFLSILGVSEIATRLTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSVVLAVSAFIADGSFNGGENALFIRPAFFYNNPLLSFVQQVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPIGRLEGGRVAQALFGRRTAALLSFGTSLLLGAGAVSGSVLCLAWGLFATFIRGGEEIPAQDEITPLRSERYAWGFVLAVVCLLTLFPNGGGTYSSSFLGEPFFRGGI >SECCE4Rv1G0253690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:628923654:628932369:1 gene:SECCE4Rv1G0253690 transcript:SECCE4Rv1G0253690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLASILPAACSTSAPALLLRAFPRLRLRRPLASPPRMSSSSAAASPAPADAAGGGRPTAAPFGSWRSPITADVVSGASKRLGDIALAGDGRLLWIEGRPEEKGRMVIVKEDDKPVDIIPQEFAARTLAQEYGGGAFAVQDNVVVFSNYKDQRLYKQPIGTGSLPVPLTPDYGAPDVSYADGVFDPHFSRYITVMEDRRTSSLNPATTIVSINLSNGDVHEPKVLISGNDFYASPRIDPTKKRMAWIEWGHPNMPWDKSELWVGYFSESGDLTERVCVAGSNPMLVESPAEPKWSPKGELFFVTDRGSGFWNIYKWVEHTNEVVPMYTLDAEFTRPLWVFGINSYDFLGKSNHIVFTYRQLGKSYLGVLDCDSGSVSLLDIPFTDLSNVVTGNDYFYIEGASGSIPTSIAKVTLDGNKTKVISFSIVWSSSPDVMQYRSFFSTPEFIEFPTSSPGQKAYAYFYPPLNPMFQGLPDEKPPLLVKTHGGPTAETRGVLDLSVQYWTSRGWAFLDVNYGGSTGYGREYRERLLKKWGIVDVDDCCSCARFLVENGKVDEQRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDITLLRAETHKFESRYMDNLVGSESAYYERSPINFVNKFTCPVILFQGLDDKVVPPDQARKIYKALKESGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARTVGKFEVADDITPIKIENFD >SECCE4Rv1G0243790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:506335462:506339362:-1 gene:SECCE4Rv1G0243790 transcript:SECCE4Rv1G0243790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFVSDPTDPVTTSPKTQRQDEEGLGTDPSFNSLTTESVGASPDAPWEGGAAATQADDEAESGAPGSPSPSRPSPSISWRRDPLRAACFQRLAASAEARRLREAKLLLESKPPAGKAEDPDEPFWPPKSRHWKRYMELKTMGSSPAIPVPNPEESSLSHIKSQDMLADKVVDQRDDSDTENSSGSASYQVVPYKNAQGENNLPALSKKRKFIISTYSVQCSTCQKWRVVPSKLKYEQIRQNIMQVPFSCKYVHDWKPQVKCHDPTDISEDNGMVWAIDKHGIPQTPLGWERNITVRSEQGTRFADVYYISPAGRKVRSMKDVERYLEDNPDYAARLQLSQFSFKVPKPRNCPRQSELIEPTEEPPPVHEDPVHNYMPVLHGEANRVTHIPVTLALQVPVMLSKKRKLNQ >SECCE2Rv1G0080310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:128987840:128988523:1 gene:SECCE2Rv1G0080310 transcript:SECCE2Rv1G0080310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVSSNQPAGGSMDSGDIHVQMPVTGEECPPQETRPRKGKSPVDKTLSGASDLLKLLPTGTVLAFQALAPSFTNHGVCHTANRYLVLALIGACALSCVLLSFTDSLVGRDGKLYYGIATFRSFHPFNFAGAAAERDAMFNDLGRFRVTGLDFVHAVFSAVVFLAVAFSDASIQSCLFPDAGADVRELLVNLPLGAGFLSSVVFMIFPTTRKSVGYTDMTPHSHSQ >SECCE4Rv1G0216320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10418959:10419789:1 gene:SECCE4Rv1G0216320 transcript:SECCE4Rv1G0216320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEFGAIRSASRPAASLFIVPRSHGRPQLQLLLGSSPSIEFSRRRPPRTLPSVVAMAATNGAGAEPVHEPPHKVAKMGPLLKVKKLSDKAVLPSRGSALAAGYDLSSAVETVVPARGKALVATDLSIAIPEGTYARIAPRSGLALKHAIDVGAGVIDADYRGPVGVVLFNHSEADFAVKPGDRVAQMIIQVIATPEVAEVEDLDATVRGEGGFGSTGV >SECCE2Rv1G0093210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:373007146:373007520:-1 gene:SECCE2Rv1G0093210 transcript:SECCE2Rv1G0093210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPLPEGEEPKSAVEIVEEVLTTEVKQNTFLRNVGLQSSRNNSGKATAKVAAHVRDLEQKLERSELQAEVMQEELAAIKMKAEESEAAHDKELELLRKMYQEQEEQLDHLMALFGAKAV >SECCE6Rv1G0380080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:19387029:19388335:-1 gene:SECCE6Rv1G0380080 transcript:SECCE6Rv1G0380080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPRGLLVLGVCAVLVAAVLADASPASSMVVGLAKCADCTRKNMKAEAAFTGLQVAVKCKNAHGEYETKAVGAVDKSGAFGVPLGADLLREDGELKQDCFAQLHSAPDHPCPGQEPSMIVRQSAEGAEKKSFVAVPGEVHYSSKECASAFLCHPFHKKHLFHKKPALVIPHLKKKPIVIPHLHKKPIVIPHFHKKPAPVPVPEYKPPTPTPVYKHPTPVPEYKPPTPVYPHPAPIYNPPAAHEKTALNPETDPQLFKKLLHKKPIVIPHFHKKPVPVPEYKPPTPTPVYTHPTPVPEHKPPTPVYTHPTPIYHPPADEKMVQDPETDPQLFKKLLPFIKKNPFFKKFPPAKEDTKP >SECCE7Rv1G0494570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:585381974:585393115:-1 gene:SECCE7Rv1G0494570 transcript:SECCE7Rv1G0494570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFALALVLYLGLDLPEASPSQSYAADPDTAVEISYGSVIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDANSYWIVRPQPDTSAKQGHAITPGTIVRLQHMRTRKWLHSHLHASPITGNLEVSCFGGEGESDTGDYWMLEIEGGGKTWRQNQRIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNVWLAAEGVYFPVSQAK >SECCE2Rv1G0134920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:903971523:903985500:1 gene:SECCE2Rv1G0134920 transcript:SECCE2Rv1G0134920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGGGVSGLAAAHELLSTNCGGGGVRVTLYEQDESLGGQAKTVAVDDGAGGCAKLDLGFMVFNQVTYPHMMEWLEGLGVEMERSDMSFSVSTQSKGSGGGCEWGNGNGILGLLAQKSNILKPSFWRMVCEIMKFKNDALTYLQDHEHNPDLDRNETLGQFIQLHGYSLSFQEAYLIPVCTGMWSCSSQDVLSLSAIFVLSFCRNNDLLQLFRHTQFPTVKPCSQSYVNKVKGELESMGCRIKTSCRVKSVSSLDGSGGFRVLEKDGSEETYDSVILGVDAPNALEVLGAEATHHERRILGACQYVHRDIYLHCDQNLMPRSSSAWSAWNFLGTTSRGFSVTYWLNQIQKIESVRPFLVTLNPPCVPDHVLLKWNTSLPVPSVAAAKAYLHLDQIQGKRGIWFCGAYQGHGFHEDGLKSGKAAAQGLLGKKCDLLVNPKKMIPSWTEGAARLLVARFFNQYISIGNLILVEEGGSVFTFGKACEKCPVKSVIRVHDPLFYWKVAIEGSIGLAEAYIDGCFSVLDKRESLLNLMLILIANRDERRNRRIARKGFWWSPFHIIAQLAYAKYFLRHASRKNTATQTRRNISRHYDLSNDFFSLFLDKSMTYSCAVFKMENESLEAAQQRKLSLLIEKAKIKRGHHVLDIGSGWGSLAIQAVKQTGCKYTGVTLSAEQHKYAERKVREAGLEDHITFLLCDYRKIPPSKYDAIISCGMIEHVGHEYMDEFFACCESYLAEDGILVLQFISIAEERYDQYRKRPDFIKEYIFPGGCIPSLARVMSAMTTSSRFSIEHVENIGPNYYTTLMHWRDNFMANKDQVLKLGFDEKFIRIWEFYLIYSAAGFKSRAVGDYQVVFSRPGNRRLGLP >SECCE7Rv1G0508680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:773634769:773636118:1 gene:SECCE7Rv1G0508680 transcript:SECCE7Rv1G0508680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHINILHRFGRSTVGTTSTMQRASSGGDMATELEKQGSDQGETSSSSARVRLLEREVAVAKQTAARMLESLIHRTKELEQAKVALEEAKLEAATLRQGAGAAGPAQGQWSVMDLMFGGVDEEINGLRARLRSALAAEERSRTAADDLAAALSAVTMEAKQVKAWLSDAQAELERANAKVGRLEGLLRTAEADLWSTTEQLDGVMSEWQEAAAAWRAREKALLGRAHAAEEDAAGARRENAELAELHRAADHENGGLRRALERAVEEANAANESLEFAGSENSKLRDAIAEKERAMESLRLENESLKASEAAAQGRAMDLSDQLMAATQKAAAGGGEKAAGLLLEKWKADAQGKLSAAAFLDSGRVMAASRKDRMFASISNIAELRSAAAAAAMDDYDYEFDHFDDGRQYGGLEHAMKHKKRRSVLRKFGDFFRRRSLHKSDFAPVLAG >SECCE1Rv1G0004810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:21067476:21068838:1 gene:SECCE1Rv1G0004810 transcript:SECCE1Rv1G0004810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPPSFLDSSSWNDNQHAHHQQVAAASCGGGAGDGGCNNHELLQPSIMQQGTLTEGGDGGGGGQVVGPAKPMSMSERARLARVPLPEQGLKCPRCDSANTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKQAAAAAGAPAANGAASGGSTTSSTTSACTTMSNPTPALPAMLQNGGGGNLSGLLPPLLRLADFDAMSLGSTFSGMGKPAPVDSAAGYYLGGGGGGTVAGLEQWRVQQMQGFPFFQAMADQQHTLGPAAAPAMAMPGMFHYLGLGNGGDGRGGHEDDGGDQQFHHAMPSKREGYPRSGTIAMYGGDHHLTAGGGYTSSYSNTATGNHLL >SECCE7Rv1G0457280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:15489643:15493826:-1 gene:SECCE7Rv1G0457280 transcript:SECCE7Rv1G0457280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13020) UniProtKB/TrEMBL;Acc:F4JS69] MERYKVIREIGDGTCGNVFRAYNIETNEIVAVKKMKRKFYHWEECISLREVKALQKLNHPNIVQLKEVTMENHELFFIFEHMDCNLYDVIRERSAPFSEEEIRKFMLQILQGLVYMHNNGYFHRDLKPENLLVTNGIVKIADFGLAREVCSSPPYTDYVSTRWYRAPEVLLQASAYTPSIDMWAIGAILAELFTLSPLFPGESETDQIYKICAVLGTPDHSLWPEGMNLPRSSSFQFFQIPPRNLWELIPNASLEALDLIKQLCSWDPRRRPTAEQALQHPFFNVRKWVPRPLHDASYPKMNEPRATPRLELDLWCFGKESDDLDLTLSLKPSSVSDLGKHVPERREEENLLYPGYGNPPVQPGLWPLMSSSHRPLGEAPAMPSWQQAYMADSQATALPAAAAGGGGGGGFPGSSPFGLFPLQPNLMEKRSLAPAPIRQVNFF >SECCEUnv1G0557640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:325799023:325799514:-1 gene:SECCEUnv1G0557640 transcript:SECCEUnv1G0557640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLKSSDGEEFQVEEAVAMESQTIHHMIEDDCADNGISLPNVDSKILSKVIKYCKKHVQVSPKLADSTDASSSTSTAAAAPAEDLKSFDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTTADMIKGKTPEEICKTFNIKNDFTPEEDEEIRRENQWAFE >SECCE7Rv1G0521940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:875325099:875326982:-1 gene:SECCE7Rv1G0521940 transcript:SECCE7Rv1G0521940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRSRHRGSINHLSSGSGSLATSLLRRVRASSSMKSATSLLLGAALATACFLLYTSVGRDLGAGTPPAPRWAPEEGGVRADRPKQKQEAVVKEEDERASMDVVARSDGGGGRDGSSSEQKKQQQQKKKKIVMPSNQRQDKPQDLADLLRRAANADRTVLMTALNEAWAAPGSFLDLFLESFKHGENTAHLVQHLLIVAMDKKAFDRCNAVHPFCYWLRVEGMDFAAEQKYMKGDYLEMMWERNRFQQTILELGYTFLFTDVDILWFRDPFPRIPPAAQVVMSSDFFVGDPDSPGNYPNGGLLYARSCAGSIGFYEHWQASRARFPGMHEQYVFDRIVKEGVPGRLGAKVQFLDTARFGGFCQHGEDLRRVVTMHANCCVGLDNKLFDLRNVLEDWKTYKKRVAAGNSEYFSWRVPGRCIH >SECCE4Rv1G0222510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:59854788:59863134:1 gene:SECCE4Rv1G0222510 transcript:SECCE4Rv1G0222510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNASCVFSGEAVDKSSGTADLINVSVMASDEGNGVTARKSLCLPEYPRVARLRQRRLMGYLWCQDFSDSYKELMNKTRAYMDLPHLRGLIEVGRWADAVEYVNRFLPPATCYKSLHAITLRHFLMMLHALADAAAIGKGDKLLPKNYLKLNHKRSVSHAQLRLRSICSDAMACVVNSSRECRDSMNWQRMQIKASSLVHRLAHRVPELRGLLSLPYTGFNPSHVLPIASGLRSQRRYVKQKNTGSEKQKAVIRALKRRRMSSEIESDDEARELLADLLDETIRSGIQKLSSDPSRPLENEGAQFFQPMSGTLTEAKTSGPLTNAGDQMQRKKDHQGKQIGTDGHTRSAPAINKDLLAQGQKGISIANYKRLLDETIRSGIQKLSSDPSRPLENEGAQFFQPMSGTLTEAKTSGPLTNAGAQFIQPIPRTFTEAKTSGPSTNAGTSKHEEEAGYHTITARQEDSDGRKHSRDELATAEQDRDSKRQRSNGAPGEATLVLQGYCAVENWNAKPSGGETTAP >SECCE2Rv1G0113940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:727379858:727389462:1 gene:SECCE2Rv1G0113940 transcript:SECCE2Rv1G0113940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPRAPGSAANSIAAEMGAQGIPARGSSPPPPVTTKHDASGTGEAQSCPVRSPAPEGSNRPVEKNSPEEIPWYDKLEGIDEQYRRFLSCVRFVNGHQIVVVPEGGLAAVPPRVVAKSDGAGQKDGEEAASRATSGKNGCRVGTKEKGKGKVAAISTGGPAQKSRVDDSTAHPQKMCEQGQKEKNNGGGNGAKKMKVASKGKTKGVAMTIGGPALMPGVRDSTMHHSVVEEEGKASKDLPLETMNAVKRKRQLTYVQNVEFHTTLEKEKVVMTTTGEPALKSRVRDSTTHPQKVQKEKNGRKNGSKKMKVVEEEGQPSKELPVETLNIVKRKRKSSDVQNAESRTASELHGVVWPTHIMERPDSEFKERLMRLLRKPFSQGEYDTLLDKATTRLPATMKRHTRGGVKYYNSKHERQPSYFDNYPELAKQVCVESTSKPNQLALLRGFFFWVENIVHHDQFRPWRDDFKKYKVTMIEIE >SECCE5Rv1G0340740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:618105749:618107119:-1 gene:SECCE5Rv1G0340740 transcript:SECCE5Rv1G0340740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNTYAVHLSSETHKIEAWCESDEALAKQLQEDEDLLATREFAGSVSLEPSSPAVEYRPANNAAQVATEDNVDPDNMSYEQLQAIGEEVGTQSRGLSDDLISYLEPFRNKCNFFSCKKNTDECVICKSKYKSLQKLIRLPCSHCYHADCITRWLKINKACPVCNEEVFG >SECCEUnv1G0528010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3114447:3115619:1 gene:SECCEUnv1G0528010 transcript:SECCEUnv1G0528010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFKPQQADDDEVSIKRLGSRRKSLRSSSTKSGVGVGVSLAASRQPSTRPSNITSCSARAFTYDQLAAATGNFRADCLLGEGGFGRVYRGRLDDGQLVAVKQLDLEGMQGDREFVVEVLMLSLLHHDNLVSLVGYCSHGHQRLLVYEYMALGSLADHLLLLDDRLATPTATARAALSWETRMRVALGAARGLEYLHETANPAVIYRDLKSSNVLLDDAFCPKLSDFGLARLCSTSSSAAGPGPADRSPRVMGTYGYCAPEYIRTGRLSVKSDVYSFGVLLLELITGRRAVDSARPAPEQVLVTWAAPKFKDSKRYRELADPLLRGDFPERDLNQAVAVAAMCLQDQASARPCMSDAAVTLSFLAEAAAASAAQPLPVPPPQLQADE >SECCE1Rv1G0029430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:414228230:414231877:1 gene:SECCE1Rv1G0029430 transcript:SECCE1Rv1G0029430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATADVVEELTRVYRELPPRPAVDEVEAAAAVLASADAEEEARLADVAREEAARLREAEGVPGELLAVLREARRAAVRLRALQQRKEAAHVVELERRFKVLDGLIQRASRVVSPSGEGSSGSAAAGGGGVEMEEVAEEMDARRNDVAAAAAAVGEIERANRGVGFGLDTKAVSSLLRNGSTGSDMVDQKLSLIQVASLIESSAKRGTTELNLRGKLVDQIEWLPVSLGKLQDVTELDISENRIMALPSTVGSLRYLTKLDIHSNQLINLPDTFGELSSLIDLDLHANQLKSLPASFGNLTSLANLDLSSNQFKILPDCIGKLMNLRRLIAETNELEELPYTIGSCISLVELRLDFNQLKALPEGIGKLEKLEILTLHYNRIKGLPTTIGSLTRLRELDVSFNEVEGIPESICFATSLVKLNVSRNFADLRALPRSIGNLEMLEELDISSNQIRMLPDSFEFLAKLRVFHADETPLEVPPREVIKLGAQAVVQYVADMVASRGAIQKKTDGTSFWAWFRSLFGCCKKDEELGLVPA >SECCE7Rv1G0506020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:745192000:745192461:1 gene:SECCE7Rv1G0506020 transcript:SECCE7Rv1G0506020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGLALLLLLLAFVSHGTWCEAAPRRAMAGASLQPHEIQGKRVMEMVSPRKLGHTGGGGPGGGASGAGRHTGTGGGAANTRPHNTKNGGAMALPALVTSVLTLVFTTVILLSALSF >SECCE6Rv1G0388160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:130606882:130608275:-1 gene:SECCE6Rv1G0388160 transcript:SECCE6Rv1G0388160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASAPRDDAAIPPFVATPREEEEEKRDAVIARLTKRITYSRELLLAVGSLEACKLLPADADLAKAKHPDVAALWVLSRTLAARSAGIAPGGLGDPEWPPRNREPEAFEAGAGSKSKPCVRFFSTAGCRFGSNCHFIHDIPGGSQAVAETSVPSGPAPTPPNTALAMAKISVDASLAGAVIGRGGATIREISRTSGARLRIRDHELDAGLKNVELEGTPDQIKHASAMVWEHLPVPGGGQYNGVKTRLCAHFARGSCTYGDGCRFAHSESELRRPAHAARDPCGW >SECCE5Rv1G0349760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:686529812:686530279:1 gene:SECCE5Rv1G0349760 transcript:SECCE5Rv1G0349760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAEARHQLLLHGVSLDLRLSTATHQHGGARRASPAAPAALANAGREAFACNYCHRKFLSSQALGGHQNAHKLERTLAKRSRSVPSATSATATASSSSAVHSWLHAGGGELWGYSASDSATMAPLMYMGLGWASAAAAAAGEAEPEMDLSLKL >SECCE2Rv1G0086180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:204048399:204052830:-1 gene:SECCE2Rv1G0086180 transcript:SECCE2Rv1G0086180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRRASQMLCSAASRLLHSRGYAAAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEALEAELDLVVCITEGIPQHDMVKVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGMGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIRESKTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALREAGVTVVESPAKIGSTMFEIFKQRGMVE >SECCEUnv1G0565020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:389291483:389292754:1 gene:SECCEUnv1G0565020 transcript:SECCEUnv1G0565020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAAMAVSLPDDLLLEILVRIKDAEVLFRCATACKQWRRLVADLSFLRRRWPEDMCHSSSFVGFFTREDVHVGGQAGPFPVGEPCFVPTQRSALGPCCRTLSSFMTPAHAGLLKHAVPLVSRRGLVVMRLKARNTHGYADSTSLQLAVWNLLTGTCDMLPPLKSKSALSSYVRNGYAILTGVDCIPKDEPVLSSNSSFFEVVIIGFDHIDRKYNLHVFSSDKASWSLRTNCFDGTVQLDNRDIFSDATVCRGMAHWVVLHCSIEVCFYVINLNVRTMHFSMTKLPLEVNSHFLMCYPCLTLAANGKLSLLLVQRSGQGHQLVIWEQENQQNVGVTSDWLCTRTIELKQQKETQVSKPYVFKEKCGTLLISDNRESTYTVDLKTGKMEMVVAWPHGRSILPWSIMPLEIDWPAIFISRLTR >SECCE5Rv1G0375740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869376105:869378509:1 gene:SECCE5Rv1G0375740 transcript:SECCE5Rv1G0375740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGADAAPLEFPSAEWRRVYDRLQGLLPRVEALAADRARLEEAVRLSDAREKSLHARLLQAEASRERWKAAYTELPSGTNPKLTELQERDLVDSEAREEALPDVDNPQLKETGQKKRRKLTNEETSQKKRTKLTNEETSKKKRTKLTNEMHLERCTMQIFVKINCSIFRKKRKTHCLKTITLEVMRSNTIYNVKEKIQDKEGIPAVQQRLMFGSELLVDSCSLEDYNIEEESTLTLDLVPQGMHIFIRGRSGKIMTMGVEGEDSVYSVKAKFFDETGIPPGRQRLFFAGKQLEDGRTLADYDVQNESTLLVAFRGITRRSGQMRISVRTVTGKKVIERAMKRRQAVDNIRAWIYSELCILPEEQQLSGEDGAPLAEVIRRSCTVVLQIRPPGGW >SECCE4Rv1G0292500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882666018:882668180:1 gene:SECCE4Rv1G0292500 transcript:SECCE4Rv1G0292500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLYDEQHLSVRVPKDPGLYVASGGVIPQQWAVANLTCHEAHENRTGYACVRMNSICLGVNSQQEYVGYRCKCLAGFDRNPYIADGCKDVDECNIPGACNGICHNTAGSHYCTQCPSNTLYDTTIKMCTSTKKQNLVLGIAIGIGVGFGILLLMSIVILLIHRWKKHIKKKLRRKYFLQNQGLLLEQLISSDENASDNTKIFSLPELEKATNNFDATRIVGRGGHALVYKGILSDQRVVAIKKSKVTEQVEISQFINEVAVLSQVNHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHASTTSNSVLSWDDCLKIAAEAAGALYYIHSAASVSIFHRDVKSTNILLDGNYTAKVSDFGASRLVPIDQTHVVTNIQGTFGYLDPEYYHTGMLNEKSDVYGFGVVLVELLLRKKPIFTSDSGLTQNLSNYFLWEMREKPLAEIVATQVLEEATNEEINDVANLAETCLKLRGEERPTMKEVEMKLQYVRSKRLRSCQVVVRNEDMQPLFSGQSQDTLPMSTTPSGIADRVNIASQRNQNCYSLEQEFMASATLPR >SECCE7Rv1G0467040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82997029:82999197:-1 gene:SECCE7Rv1G0467040 transcript:SECCE7Rv1G0467040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLDTEWIRKIFAASSQPPPLPPRDAAAPPPAWFLLEPLGYIADHENATSAEASSSTGHTVRVTVCAADPLGLSHVCVHCPGLTDADFSDRPTVVCSEKDLLVLSVVFIFGAYAKEGLKEYFVYRAGPGRPSLHLLPGPFPRVLTKSDVALVPRDDGAHFLIAVLCFTLGRWVYDLHVFSSTTWAWSVKEVQGDVSPGARAEVSHIIASKVILLGEGTVGWVDLWRGIVVCNVLEEMPVLRFIPLPPLMPGHREGPKSSPWPIRNVSCSDGLIKYVEIEKHQRHDPDERPFDDIDTLYEADCLKKPKVMGWKAMTWYRRFSCDHWSKGCVAYDKEILVDQPMHSVLLPDLTDDNAGELTLKDMLASYPVSSLAGHCDDVVYMLCESKSGSKKSWLITVDLKKKILVELAPFPLEGYYSPAHPSELSNYLNVAPAEEATSEEP >SECCE3Rv1G0194630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:783204290:783207391:-1 gene:SECCE3Rv1G0194630 transcript:SECCE3Rv1G0194630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKMASQPGRGAGGGGDAGTSQRGQVQSLARQGSLYSLTLDEVQNHLGEPLQSMNLDELLRTVFPDDMEPDGATTSQYVPSSSLLRQGSITMPTELSKKTVDEVWKGIQDAPKRSVQGSGRRKRERQPTLGEMTLEDFLVQAGVVTQGFLNDTSDAGNLGLVGTGATAAGAADLTSGAQWLGHYQQQIAAAAIDTHQHGQQIVPAAYMPIQFVPQPLNVVVPGATLGSAYSDGQSTSPISPVSDSQTPGRKRGVSGDVPNKFVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLKKQKELNMMLCAVPLPEPKYQLRRTCSAAF >SECCE7Rv1G0494410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:582798524:582803402:-1 gene:SECCE7Rv1G0494410 transcript:SECCE7Rv1G0494410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVADEARIKAFEDGVASSGVLRSQVGLVVGKLSASSDRALVYSLLPTPPTDAGEPACSLRAAAPSAGKAKPKAGKGGAGASNDAPPTLQFDVDWVAEHARQVSRMLLGGMSVIGIYIWSSEGSFKATSTAVFSQVIRVVSQSVPWYGSDFDERLLLHLSYSPRRWACRICEMASGSLRPCDLKYSKLLTSLQTFRCTYNFETRLTVVQAEPFKKVISKAISHLTKEVQNAKALIDGDLFSDDMTITSEGAHEVDLLVPFKNNLPAGECSLEGVAGLLLFAGSVSALAYLGPKESILEAISDLKVDIIASLRSRLDIILDEADDDPTANDMENSPSQKVTQVNFHELREPYGFSFPRRILIPWLAGSYICDYLQQSETTKDAMERCKEVISLETEVENSILEPETAASTATLEPFWDVVPGASSRAHAGPSPKDGRPEQATGSGRTQGNSFGILAALFVLLVAVLVGFMFAFPAKSKT >SECCEUnv1G0530390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:12802832:12803841:-1 gene:SECCEUnv1G0530390 transcript:SECCEUnv1G0530390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51545) UniProtKB/Swiss-Prot;Acc:F4KDA6] MATAAASSLSAASFLSPAPSRPRPLFRALAASGSGGGKKKPSKSKNSGKGKGKALEPPPDVVVRRAPAGSASVFEQQRTEAGFNPGSGGNRLTEDEVRQRQATESAFLFAWLGLGGIILFQGLALAASGFLPTEWDSFLVKYLYPWFTPTVLLFLGGTTGYGVFKYFEGEKSKG >SECCE5Rv1G0304360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:58180586:58187815:1 gene:SECCE5Rv1G0304360 transcript:SECCE5Rv1G0304360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-kinase VPS34 [Source:Projected from Arabidopsis thaliana (AT1G60490) UniProtKB/Swiss-Prot;Acc:P42339] MAAAAVGISRTSAAGAGSSNSSEFRFFLSCDISLPLTFRVLQAPIPPPAQDGLDKKVSELFVECKLYIDGIQFGLPVNTRLESSGPPYCWNELITLCTKYRDLTSLAQLAFTVWDVSSGEGKSVVGGATIFLFNSKKQLKTGKQKLQLWPQKEADGRVPTTTPGKVPKNERGEIERLERLVNKYERGQIQHVDWLDRLAFSAIDKVKEKECERLENSFPSLVVEFCSFEHRVVFQESGANFYAPTPVSLSNELVTVWDPELGRTNPSEHKQLKLARSLTRGIIDKDLKPSSNERKSLQRIIKYPPTRTILPDEKQLVWKFRFSLMSEKKALTKFLRSVDWSDIQEAKQAIELIGKWETIDVADALELLSSDFNSEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLAHFLVNRALSNIEIASFLRWYVVVELHDHAYAKRYYSTYDMLEDEMMKMVAREDGDEDGFRLWQSLSRQTELTAQLCSIMKDVRNTRGNAEKKIEKLRQLLSGVHSELTHFDEPIRSPLAPTLLLTGVVPQESSIFKSSLIPLRLTFKTANGGTSKMIFKKGDDLRQDQLVIQMVSLMDRLLKLENMDLHLTPYQVLATGQDEGMVEFIPSSPLAQIISEHRSITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYIMGVGDRHLDNLLIRDDGCLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGTESQYYTRFKSYCCEAYNILRNNSSLILNLFTLMERSSIPDISTDENATLKVQEKFRLDLDDEEAIHFFQGLINDSVSALFPQMVETIHRWAQYWR >SECCE3Rv1G0188190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:710584659:710586398:-1 gene:SECCE3Rv1G0188190 transcript:SECCE3Rv1G0188190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain protein RD22 [Source:Projected from Arabidopsis thaliana (AT5G25610) UniProtKB/Swiss-Prot;Acc:Q08298] MDRLVAAGILGFLLIASVGSHAHAARAPEQYWKSALPNTPMPSSLSQLLNNQAGGTSVNVGSGGVHVDAGHGMPGGTTVDVGKGGVGVNVKPGSAKPGGTTVGVGKGGVGVNVKPGYGKPGGTTVGVGKGGVDVNVKPGSGKPSGTTVGVGKGGVGVNVKPGYGKPGGTTPGYGKPGGTTVGVGKGGVGVNVKPGYGKPGGTTVGVGKGGVGVNVKPGYGKPGRKPRGKPVHVNVSPFMYNYAATETQLHDDPSAALFFLEKDLHAGKKMNVHFMATPGAGEKFLPRSEADAIPFSSEKVPEILTRFSVAPDSAEAAEMKQTLRECEATAAKGEKKSCATSLESMVDFATSSLGTSHVRAASTVVGKEGSPEQEYTMTGVRRAAGADQHQLVACHAEPYAYAVFACHLTQATRAYTVSMVGKDGTAVEAVAVCHADTAGWNPRHVAFQVLKVKPGGAPVCHFLPQDHVVWTRSG >SECCE4Rv1G0221080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:45744746:45746011:1 gene:SECCE4Rv1G0221080 transcript:SECCE4Rv1G0221080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVVRRARSRSSPGRFDSTRRTRPRVEEEERPAGRGEEGKADAVSALPDDMLLEVFRRLPPPTGAVRCGAVCRRWRRVVSRAPGLPAPPRHFGFFRNHGPSALPPFVPTAGVPLGLGFLPVSPACGVILVDCRGHRLLLRELGGHLATKLNLLVCNPLEKTFVRLPPLPTVGHTVFCHTIVPGEGAEFRVLVVLFGATSPNFYVLIYSSVSSAWEVAMGALKRPLKPHRGPTAVVGDVVYMLPADDNPKYILAVNASKMTMSGVPLPNAEMPLYTGNNWIGKTEDGSLCFFVIREPLLLAKWVLEAPRTWTPQEPVTLRPLMTPATVGDLHSMKLSARIGDQLSNGCKLVSFGGFCEGTGTLFFIMADWVVLLDLKTLKMERLWHNDDERRPLGDLYPYEMVAWPPALKDLEKPPVEL >SECCE6Rv1G0446020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842504082:842505970:1 gene:SECCE6Rv1G0446020 transcript:SECCE6Rv1G0446020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLATATIHHPATITMSRRLRLSPAPPMDDDDLLGEILLRLPPLPSALPRASLVCKRWRRLVLDPAFLRRFRAHHRRPPVLGFFSTFGYPAFTPALDPPDRIPAARFSFLPEQGGHYQFLGCRHGRALLVKRPPQPFLLLWDPVTGDQTRLEVPPELYGDGTVILTGALLCSAGDRGHAHGDCRRPGHFRVALIATVRRSHSHLLGTVYSSATSEWGEIVSIPFIRSMSRPSAAMRYFQPVITPTILIGNSIYWSYVLGRACIIEFDLDRQRPAVIEPPPDAYAHDDTVFAVMPAEDGGLGLIVVSGFRAQLWKWKAGVRRGDATWVLGRTVELDKLLPLGAAGKRKPLALVGFDEENNVTLVRTSCGIFMVHLRSMTFKRLCNSGNAKDILIYNAFPSFYAAGNGIDDRHDEGEALDDM >SECCE3Rv1G0171840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:278306193:278309356:-1 gene:SECCE3Rv1G0171840 transcript:SECCE3Rv1G0171840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQMDSGIKLFGRVIPLVPDAAPGPPEAEATAGSEHPPPPPPESEVEPEADNKEQHKETEDKGDSEMKVDAPEEKDDGGMKGDELRERKDDEMEVDAPQAKQNAETASLSTLDHKKDNQAQISNAEEKAASDLKEENEKKSNDESGQDKVLKKPDKIIPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKNSALHYRQLLMAPDCLLGSRVDISDTVNPEVLASLPSIPTQSASRNETVLKFGPEVPLCESMVSVLNIEEQNVTNAGSVPRDETREDNSCTSSTTSNNGLPANAVPPGQNGAPVYCNGVGPVPQYYLGAPFMYPWSMGWNNLPVMVPGGSMPESASPSESCSTSSAPWMNSPMMPGSRLPAPPFPYPLVPPALWGCLPSWPAAAWNTPWIGTNGCISPSGSSNSSCSGNGSPTLGKHSRDSSNPQKDDKEEKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPGVFKPFQFKGESKGQPTDARPAHALQANPAAFSRSQSFQESS >SECCE2Rv1G0109530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:678104511:678105089:-1 gene:SECCE2Rv1G0109530 transcript:SECCE2Rv1G0109530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVIAPPAGDSASPAHRRARRAFLVSNYMILGAASGCGFLTLSLRLVPSVDGFLLILLHALTVAAAVAGCAVIAAPDPPRGRVYTAHMSATVVVSILQGAAAVLAFSRTSEFLVDGLRSYVREEDGAVILRMIGGLGIAIFCLEWVALALAFVLRYYAYVDRECGGNPMRRSAKVGGEDGAGNWPWPFQV >SECCE4Rv1G0269890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:750415075:750415449:-1 gene:SECCE4Rv1G0269890 transcript:SECCE4Rv1G0269890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDVLVPEGEEPKSATVIVDEVLKTEVKKSTFLKNVGLQSSRNNSGKATAAMAAHAHDLEQKLERSELQAEVMQEELAAIKMKAEEAEATREQEFELLHKKSQEQDEKLAHLMALFGAKVV >SECCE6Rv1G0443880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:825382036:825383478:1 gene:SECCE6Rv1G0443880 transcript:SECCE6Rv1G0443880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPHVVILTSSGLGHVLPVSELAKRLAVHHGFTVTIITYASLSSPGHSSPLASLPPGVSVATLPEVSIDDLPADAHLVTRILTVISRALPQLRDLLRSLLDSPAGITAFMTDMLCPAALAVGKEMGLPGYVFYTSSLMSLLSLLYTPELSRTTTCECGDLPEPVMLPGCVPLHGADLVEPVQNRSDPVYQLMIDLGRNYLLAEGFIVNTMDALEHETLEAFKELSDKGVYPPAYAVGPFTQRRCPNSDEVKHSCLRWLDNQPDGSVLYVSFGSGGALSTEQTAELAAGLEASGQRFLWVVHHPNDKDSSADYLGTAATDADPLSYLPEGFVERTNGTGLLVPLWAPQVEILNHVAVGGFMSHGGWNSTLESVAAGVPMVAWPLYAEQRLNAVMLSSERVGLALWERPPLGKDGAVVPREKVAALVRELMEGEKGAAARKKASHLRYEAETASAPGGPQDQALAVVASMVSLHRKSHGD >SECCE5Rv1G0333660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:559933775:559936725:-1 gene:SECCE5Rv1G0333660 transcript:SECCE5Rv1G0333660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHAVAAVLQRAGATVIQQVASLGQVPAKVEALKSELKRMQCFLRDADARMERGESEMLSQLVSEVRDVAYSIEIIIDTANILVRDSSRPPSFFGAISKGACYPVHCKRLYSIGKRIDQVTARVGAIFREFAKYNISSITSLNETRYSIDEHETLRARRLTLPDFGDEVDVIGFDSEINQVKDELLDSENKDLTVVSLVGPGGAGKSTIARKVYNLVAKQHFNSCVWICISQQITVYGALKDIVRGAMGNQDFDVQGTMSEMEIIKKIHSFLKDKTYLVVLDDIWRVEDWDMLQAAFPDVKNGSRIVLTTRNSAVSNHPNARKIIQQVKLLNDDESIELFNRKAFPSYVVHGRDDLHSFRELGKVLALKCNGLPLAIVVMGGFLSKNLRITEWRRMVASVNWDAMKNEGDIRAILDLSYYDLSSNLKACFLYITSFPEDYAVPVGLLTKLWISEGFIPNMRGCSLAETALGYVEELAQRCLILIEKRSSRCIRTVKVHDVLRDWGIGRARREGFLKDCSSRNEVETSYSNEMRAYRVVLYDSVCVKVGVVMPNLHTLLIFNAARLERNFFSFRGLNYLRVLYFDGMRGRWQLPTEIGSMVHLRYLGLKGGTYVFHAAVSNLTNLHTFDARDAIVEALPIDLLSISTLKHVHIYKVESWSVWKTTMQSNLKSLFIFLAANTPKQWEGAIDRMEENPSWCFGKHYRSVKQLEIAGACEDEFGVPNDLHLPDLHLLPHNLRRLKISCPNLLNDEDPMPILGSWLTFLNVLEIGVKSYTGATMTCPSGGFPDLHNLVLHDLDIEEWILEDGAMPKLRILTLCKCTKLKELPEGLQHLKELKKLKVIAMPELDQVLCYLLHKAGREVVLRSSEEDFEHVQIPKYDI >SECCE2Rv1G0122190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:814107362:814108396:1 gene:SECCE2Rv1G0122190 transcript:SECCE2Rv1G0122190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVSSIARMLRGEAGKGGRGGAGKAPEMVTMDLLGGCGGDAGGADEVVDLEVKVPAGWERRLDLLSGKTFLTPHRHQAAQDGHQDLNLPPTASTAAAAVTTTCAAVCTLDMVRNALQRAAAARSARSPDASSSSSASTSSSSPSLGKRSRSPPSSTASPAANPAMRAAACPSCLTYVLIAEADPRCPRCASKVPPLPTKPTAHNSAKKPKIDLNAAADETE >SECCE2Rv1G0087800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:232580236:232582362:1 gene:SECCE2Rv1G0087800 transcript:SECCE2Rv1G0087800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSRIARGSQLSQSLSRIASEGGAPTPAASALRNAAALGPQSRHAASSFHSLACVGLADKRAVGAAGHLYGPSRGISATPARLLPAAAEPVAAECSDTEDPAAAMAALPDLGPTGLKNKPRVVVLGSGWAACRFLKDVDTSAYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQPALATRPGSYFFLANCTGIDTRKHEVYCTVAAGDEQLPTNPYRFRVAYDKLVIASGAEPLTFNIKGVQENAIFLREVNEAQQIRRKLLTNLMLSENPGLSEAEKKRLLHCVVVGGGPTGVEFSGELSDFIMRDVRDRYAHVKDYVKVTLIEANEILSSFDVGLRQYATNHLSKYGVKLVKGVVKEVLPTEIVLSDGTHVPYGLLVWSTGVGPSEFVKSLDLPKSPGGRIGIDEYLRVPSVEDVYALGDCAGFLESTKRPVLPALAQVAEREGKYLAQHFKKLAAQNGGGRAYCGKRADPGEPFVYKHIGSMASVGRYKALVDLRENKDAKGVSMAGFLSWVMWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNTRIG >SECCE6Rv1G0447560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850830739:850831374:-1 gene:SECCE6Rv1G0447560 transcript:SECCE6Rv1G0447560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGDHIVAVGRDVVVSEPPMSTAAPEESRTAMSTIISVVVCVLFLLLFFCGYMNRHCRHDDGGAALEGGGARASSGRGMRGLDQSVLATFPIMPWSDLHKKRWAMVDGEEEERCPVCLTAFENGHNLRLLPHCSHVFHRECIDPWLQRRATCPLCRANLERPLPADAVAIAIPAEGSDDDMGDGKEEEAMELEMLRAERREVRMLPAGS >SECCE3Rv1G0214170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:964874104:964876893:1 gene:SECCE3Rv1G0214170 transcript:SECCE3Rv1G0214170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLLLPASSGTIRLRCSTPLPVFFPARRSRSSSRPYVGLRFAAACVNGSNGAAFPGPGDGGANNMPKNRRDILLEYVKGVQPEFMELFVKRAPTQVVDAMRHTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEEKGSQDYAPGTQKKVSGEVIRWNKTTGAEKIDAVKYIELLEAEIDELSRQVARKSSHGSNELLEYLKTLEPQNLKELASSAGEDVVFAMNAFIKRLLAVSDPAQMKTAVSETSGAQLANLLFWLMMVGYSMRNIEVRFDMERVVGAAPKIGGELPPGPGPAAGDSTKTQ >SECCE1Rv1G0012340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:91353378:91361927:-1 gene:SECCE1Rv1G0012340 transcript:SECCE1Rv1G0012340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVTRTSKSLVAPSSLMPAGETLRLSSLDRVPGLRHLVLSLHAFDGATRVDGAVGEGEAAASCPAKVVREALGKALVDYYPFAGRFLEPEEEGGEVRVACTGEGAWFVEATAACSLEDVKHLDHPMVIPKEELLPEPSPDVPALDMPLMMQVTEFTCGGFVVGLISVHTIADGLGAGQFINAVADYARGLPKPRVSPVWARDLIPDPPRMPAPPPKLELLDLREFTVDLTPDHIAKAKSDFFMSMGQRCSAFDVCVAKTWQSRTRALRLADDHPVHACFFANTRHLMLAGAAEGFYGNCFYPVTVTCSSGEVAAWEVVDVVRAVRDAKARLAGEVARWAVGGFAQDPYELRFTYDSLFVSDWTRLGFLEADYGWGAPTHVVPFSYHPCMAVAVIGAPPAPKIGARVMTMCVEESHLPEFRDQMNAFAAAK >SECCE2Rv1G0120190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:797410029:797414000:1 gene:SECCE2Rv1G0120190 transcript:SECCE2Rv1G0120190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G-protein signaling, Chilling toleranc [Source: Projected from Oryza sativa (Os04g0600800)] MGWGVVVYEGTVVGASLVGLGWAGLWFLNRRLYKEYEERRALVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRQRACLAAALFLTIFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIDEMDIKALERQLMQSMESSIAKKKKIILSKMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKNLEAEVQGLEELSKQLFLEIYELRQAKIAAAYSRTWRGHFQNLLGYALSVYCVYKMLKALQSVVFKAAGSVDPVTMTITIFLRHFDIGIDVTLLSQYISLLFIGMLVGISIRGFLANVMKFFFAFSRVGTGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTSRQADKHPID >SECCE1Rv1G0033200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:463763514:463763801:-1 gene:SECCE1Rv1G0033200 transcript:SECCE1Rv1G0033200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIKSEVPQPSSSSAKPASTSCRKNNSGNTSFVMQLRDHFHEFIHASMDEHRTCLTNTVKKMFAMSKAVAERTAGAKEAGAESVLPLKSEVSQ >SECCE4Rv1G0216030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8524216:8524503:1 gene:SECCE4Rv1G0216030 transcript:SECCE4Rv1G0216030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWKKNGGDSSASTGSSPCHDDERQKVPRGHVPMVTGCGERVVVPVRLLGHPCIAELLDMAAQQYGYGQPGVLRIPCDAGHFRRVVDGALHRAD >SECCE4Rv1G0276160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797157010:797158278:1 gene:SECCE4Rv1G0276160 transcript:SECCE4Rv1G0276160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCYHRRSESDYEFINFVLPTLEDASESSSSKKPMHTSKLSGAWRVQEILTGHESLCKRTFRMEVDIFRALVDKLREKKLLADSRVLAVEEQVAIFLYALSKNATNETLQDWFQHSAETISRRFGLVLDAITRLTHIYIRPPSLNPHPILSKPQFYPFFQNCIGAIDGTHIPMFLSPGQQEPYRNRKQTLSQNVMVACDFDLKFVHVHAGWEGSASDARVLQDALNHGFHVPHGKFYLVDAGYANTPQFLAPYRGTRYHLKEQGEVRQRPQNYKELFNLRHAQLRNHIERIIGILKMRFPILKVAAHYSVDKQIDISVACCVLHNFIRLHRGDMEWPTDAPMEIDPNQIVDVPNGDHDYHGDIHAFNYSRQAGNQMRDHIAQGMWNQYVSRRA >SECCE5Rv1G0299740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19962176:19963900:1 gene:SECCE5Rv1G0299740 transcript:SECCE5Rv1G0299740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYLTSRITAEKHAHVKHTSKNRNGRPSVKFHDLPEDVLCTILSKLPAKEAVRTSVLSTDWRCTWTASPRLSFSGDDVSKNAKRKHQHTQMFIDRVNAVLTKHSGRAVDQLEIKFVFDTKLVDHLDNWIRFAMSAHTKSLAFDLAPPDNFHKYGDHYRFPFEQFTDNKSVSCLACLQLSFVRFEPPPADFIGFPNLRKLHLHVLKTTRQDLESVLCSCVNLEWLTLLRCHLKDQMKVVRRPLSQLRYLEVVHCDITKIEFNAPMLSTFVYDGPYIPITLHHAAKLENATIRFLGAVFQHCIASLLNGLPDVHNMSLHLGMQELEARWMLNSPRVFSHLRHVQILLIIHYEEYDKILYLVSFLRVAPFIEKLEVHFHDIGTLWFATDGPLRQEMPPCEDKFVNLKDVRVTGFRGARGQAEFLMHVVENAPAIEVVTVDTAQRLTDAWDPDEPIPRLDSAALDMVRAPLLKTLPPNAKLSLI >SECCE4Rv1G0284800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841076306:841083656:-1 gene:SECCE4Rv1G0284800 transcript:SECCE4Rv1G0284800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASTGGGDGGGGGGGASSPAAPRAMKRELAFALQSLSEITASPGRTRSGRSLVASPALPSSPAKRRKPPRSDPQPTPPPDLVSPPTPPLDAEAPKAAPHHDADALLPPPEEPALDAEVLPEVPPLDAELLPLAATHHAADGLPLDALVPAEVASQDAAPAPPEAASQDAPLEPPLDAEVLPKVSHNAAELLPLAAEVLPEVSHNTTEAPSLAAVVLPEALAAEMLPEVSHNAAEAHAVANQDAAEVHAVANQDAAAEASPKASHSDDIVMVDAEAQLNAQGDADLMGPCAFPASTEKKKEEEGDGSNAAVPPQHQMDGLPAPVTEAESAGVAATQDAGVEPSAAAAHAMPDAEEGTSMELDAPSALLESTAGSNEPSMLDNALADPPVAAEDAATPASTAMDTEDAATPVSTAKIIKPKRRFTRSLLGLDRETAASEIIGDASLDLSATPGRRFTRSLLKPKVDAPPASSLVVPEEPIDTTPETPPSVTKMEMKMSKKVACLSKHPGNTRDLLSTGLLEGMPVMYIIPNSKKPVLKGVIAGCNIRCFCAKCGGSKAITTYFFELHAGSSKKHPAEYIYLANGNSLRDVLRACENSPLDSLDKTIQSCIDPMLTRTRTNCLNCNGELPSQTEEQFLCHQCCPESNQPQDPTSPLPCSKSSSSLTPSSKESLLKRMSASKGASTGKVTTKDTGLHKLVFKVLLDGTEVTYYVDGQKIIDGYIKDQRIYCNHCNKVVSPSAFEAHAGEGSRRKPYDNIYTSNGVSLHELSMSISKDMQLSERETDDLCRECGLGGDIFPCKMCPRSFHPACVGLPGFPSEEWFCDNCTILVQKEKALAANKNAKAAGRQAGVDSIEQILKRAIRIVPICDDLGGCALCKKKDFNNAVFDERTVILCDQCEKEYHVGCLRSEWQVDLKELPEGEWFCCDSCSEIRSSLDKMISEGAQPLPESDLDIIRKKHESKGLIMDSNTEIRWQLVAGRSATEDGNSLLSSAVPVIHQSFDPIIEAHTGRDLIPEMVHGRRPKEGMPGQDYSGMYCAVLTVGSTVVSAALLRVMGGDVAELPLVATSMDLQGLGYFQVLFSCIERLLVSLKVKHFMLPAAHEAEAIWMKKFGFSKIPQDQMEAYLNGGHLTVFHGTLNLYKAVPLLES >SECCE6Rv1G0380470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:23103111:23109662:1 gene:SECCE6Rv1G0380470 transcript:SECCE6Rv1G0380470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-linked N-acetylglucosamine transferase, Negative regulator of gibberellin (GA) signaling, Brassinosteroid (BR) synthesi [Source: Projected from Oryza sativa (Os08g0559300)] MQPGMESLQGKQSNGAVPDCNGAAAPPAKQQLPEGADALRYANILRSRNKFADALQLYSTVLDKDGTNVEALIGKGICLQAQSLPRQALDCFTEAVKVDPKNACALTHCGMIYKDEGHLVEAAEAYQKARSADPSYKAAAEFLAIVLTDLGTSLKLAGNTEDGIQKYCEALEVDSHYAPAYYNLGVVYSEMMQFDVALTCYEKAALERPLYAEAYCNMGVIYKNRGELDAAIACYDRCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAILANPTYAEAYNNLGVLYRDAGSITLSVQAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYDAHREWGKRFMKLYAQYTSWDNTKVADRPLVIGYVSPDFFTHSVSYFVEAPLTHHDYTNCKVVVYSGVVKADAKTLRFKDKVLKKGGVWRDIYGIDEKKVATLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPAIDYRITDSLADSPNTNQKHVEELVRLPESFLCYTPSPEAGPVCPTPAISNGFITFGSFNNLAKITPKVMQVWARILCAVPNSRLVVKCKPFCCDSIRQKFLSTLEELGLESLRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLTKVGLGRLVAKTEDEYVSLALDLASDVSALEELRKSLRELMIKSPVCDGESFTRGLESAYRSMWHRYCDGDSPALRRLEVLAGEDLDKTAVKLADLKAQRANATAEEDNQSPIKKVDAMSKGGEPQIMANDVSSPEGIQALVTAKVQPQITVNGVSSPHSPSGRCEANGHSSR >SECCE3Rv1G0144670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5112994:5121981:1 gene:SECCE3Rv1G0144670 transcript:SECCE3Rv1G0144670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGEVIQAVTFANSVLSKVADVITRDKSMKGAVKRGLNAIKLEMKMVISEINLNEENNPRATHESKVVLLKELAYDIEDFIDRMWVPGASGSLRSLFGLDPRPEILQKIDHFKDSIQKVRTWQPDAGSSKGEDSAATWSCPSATPPNPYSQDLDHEATFRSICKHRCELQGLLSASQGQELKVISIVGCRGVGKTSLATAVYGDCRAEYDCVAWVVASECRDLEDLLTKLLKEAQRTAKPTSTAAQGSISDTEQTSLRNFLSDKRYFVVIDDVDRLEVCEAIKREFPKDGHSSRIIVTTSMHSVAAECSWGSHVYTMQCLDKDESEEVFWESVGQENQTPALRRASEGIITKCGGLPLALISAANYLRRRGRTENQVAGGLTTEHCKSAACTLGDKILKGHDEFLEINRALLQCYNNLPDHDHQSCLLYASVFPRGRPINSKVLLRRWMSEAHGTVSNEESVKSCLEAFIERCIVEPVEIKNARVARCKVHSIMLEFIIHKAVSKKFVALVDKDELLSNNGTIANVRVRRLSVQDSTKEGVDDAVCTATARGIDLSVMRSLTIFGSPLLDLKDCELLRVLDLEGCKGVNNDNVLKAICKQRFLKYLSLRDTDVDHLHQKIKHLVHLETLDIRDTSVQVVPIEVIRLPLLAHLFGRFELPHGITKEISKQSKLQTLAGVVVTEADKSFENIILHGGKLRKVKICQATSYSSNSRNSPLKERFTGSKALQILSIDSSDLSKELISFLKAPCAITSIKLRGQLDRLPATPTLRELSDLNRLLLISTGLSIEHLSVLQSLPCLEYLKLEEDGHGFRGRSFVVKSGGFPSLRRLCFEAPARLPQVRIEQGSMKSLTILDLLCPDEPVIPEPRLGSRHCCFLQMETRLGVEGISYLENLKEVILHHSMRESEVHAWKEEAIRHSNKPSVKRQPQP >SECCE1Rv1G0017650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:159636803:159639871:1 gene:SECCE1Rv1G0017650 transcript:SECCE1Rv1G0017650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding protein family [Source:Projected from Arabidopsis thaliana (AT1G52080) UniProtKB/TrEMBL;Acc:Q9SAU9] MPKAGDCSGGGGRRDLPLLFLRVGAAITLSIAGLFFSRLRWQRRPRPRHLLLPPPSEPEDARGMKGGGGGLKDELRILKNEDSKAKIISGNSVHTTTTTTTTTTTASVSLPPKCRNIDDDDDEGFLLPEFNEMVMEEFGGDVGNIASSPAARVREDASNEHEIFKLRDLVRSLQEREKTLEIQLLELYGLQEQGAAVRELENQLKINNVESQLYSLKIESLQYENQRLQTQLSESSKLTSQLEMTKSKCKLLKKKLRLDAEQAKEKITSLQNIVDSFQCKEIIEGEVDGEAEKKLKRLEELENEARELRAANSRLQQENSHLSRRLELTRLPPVPKTNNSMEVKAASEQVDGLKQENEKLSKEVEQLRTDRFADVEELVYLKWINACLRHELKNKGTPGAQTTARDLSNTLSPKSEQTAKQLIMEYANVGADERSLSSIEFGSEYASSRASSSGEPDDTSIDISSMTTPRNPKKKEKKKFFSKLRKLVLGKDKEKNSFPTLERRVSISSCSFDDFTGRESHDSYSSFLTEGAVSANQQHDDRSCRTPSFGSQRYSTEAGDGRYQRHGVKKNATFGSARFSEHGSQFDSGEATIPEDVEIHKFAEALITSRTGSTPSRRTLSFS >SECCE1Rv1G0015550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:125883333:125885929:-1 gene:SECCE1Rv1G0015550 transcript:SECCE1Rv1G0015550.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKRVAIVGAGVSGLAACKHLLERGCRPVVFEADTVLGGVWAHPPECTALQTPRPMYQYSDFPWPESVTEVFPDHRQVMDYLDAYARHFGVLDCVKFGHRVLGMEYVGVSEEKLAAWEEWGGSGEAFGSGSGEWRLKVASGDDHVETHIVDFVVLCIGRFSGVPNIPEFPPGRGPEAFDGQVIHSMDYSKMGTMKAKEMIAGKRVIVVGYLKSALDIAAECAEVNGTEQPCTMIVRTKHWIIPDYYAWGVHISKLYLNRFSELLIHKPGEGFLLSLLATTLTPLRWIFSKFAESYYSIPMKKYDMVPDHSLFEALVTCLIAITPKDHYKRLEEGSIVLKKSKTFSFCKEGVLLEGESSPTKSDIVIFGTGFKGDQKIKDMFTSEYFQKIAVGSASATVPLYRECIHPKIPQLAVIGYSESLANLYTTELRVKWLTHFMDGGFRLPSVQAMQRDVLEWDKFMKRYSRGYFRRSCIGILNIWYNDQLCKDMGCNPRRKKGFFAELSEVYGPGDYVNLHPK >SECCE1Rv1G0041970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:575586422:575587751:-1 gene:SECCE1Rv1G0041970 transcript:SECCE1Rv1G0041970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVEEILTRLPPDEPGNLLRASLVCKPWRGLLSSQGFRRRYGDFHRTPPMLGFLHDWPKTGAEFFPTTDFLARGPDHKHQYSVKDCRHGRVLLSYKDDNQPIFVVWDPMTGGQMVLCRPEMSGASSWQASVLCAADSCSHVDCHSGPFVVVFVTLDEQEVVVTASAYSSETSTWCSPALTAIAPFEEEINFYGSPSVLVGDALYFLLFREQDDGVTEDSILNLKYDLGKSCLSEILVPEEEVERASNNPILMVGEDGRLGIAHLFFYGLSVRWRELDPDGVASWTRRMDIDVETILFPLGNISIPPELVGSVEGTGIIFVISKVGTYMFDLKSQSSKRLSSKLYQHPNVGSSLFPYVSFYYPPAAAGVVAESSSEAGHGNDET >SECCE4Rv1G0251810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:607818076:607829828:-1 gene:SECCE4Rv1G0251810 transcript:SECCE4Rv1G0251810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVNIIVGSHVWAEDPDVAWVEGEVVKINGEEAEIQATSGKKIVSNLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLKNLATRYELNEIYTYTGNILIAVNPFQRLQHLYDSHMMQQYKGAPFGELSPHVFAVADVAYRAMIHEGRSNSILVSGESGAGKTETTKMLMQYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPQEDLDKYKLGNPRTYHYLNKSNCYDLVGVSDAREYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNLEFAKGKEVDSSVLKDDKSKFHLETAAELLMCDPGALTDALCKRVMVTPEEVIKRSLDPYNATISRDGLAKTIYSRLFDWLVDKINSSIGQDANSKCLIGVLDIYGFESFKSNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQKHKRFVKPKLSRTDFAISHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASRCSFIAGLFPPPAEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPDAIEGNCDEKVACKRILEKKGLVGFQIGKTKVFLRAGQMAELDARRTEVLSVAAKTIQGKMRTHIMRKKFVSLRKASVCFQAVWRGTLACKLYDRMRREAASVKIQKSQRRHQARRSYKRQLSSVLVIQTALRAMAARNEFRHKNRSKAAVTIQARYRCHRAHLYHKKLKAAAIVAQCRWRGRIARKELKKLKMEARETGALKQAKDKLEKTVEELTWRVQLEKRMRTDLEEAKAQELSKLQSSMDALQAKLDETNAVLLKEREAAKKAMAEAAPVVKETEVLVQDTEKVHTLEAEVDELKTSLQSEKQRADELEKKRSEEAQANEEKQKKIEETEVKIRQFQDYLRRLEEKLSNVESENKVLRQQAVSMAPAKILSGRSKSNLQRNAESGHVSVSDSKITPESAVIASPKKEYDIDDKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLALINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASMMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNILKVNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSSIPFSVDDISKSMQQIDISDIEPPPLIRENSGFVFLLPPPE >SECCE7Rv1G0480880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:275380590:275385685:1 gene:SECCE7Rv1G0480880 transcript:SECCE7Rv1G0480880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPIRDLLTSFSPSSDFLALSSGDGRIKVWDAVRGHLQTEFADIPAVEVGATPETKRGHLALDYTCMKWVQLSTKKKRKAGNSLLVLGTGSGDVLALDVAAGQWKWRITDCHPGGVTAVAYSRHGRSVYTAGADGMVCKIDASDGSVAGKFKSSSKAISALAVSSDGTVLATAAGQLRTFDASDNKKIQKFSGHPVSVRNMIFSNNGKYVLSSGVGERYVAIWKLGSGKSQSSSCILSMEHPAIFVDCICSGTNATEGEVDVLAISEVGICYFWSGNNMDDLRNKKPTKIALSESSLSRMNQDFTIFAAKLQAIDGPNSAHVLLAYGSVVKPSFDKLLVCYGKDVNLGVSEDGVLLPTVQPTMHQKGQSKTKVTITALDRANAEDAILPLPKLHTQEKKRKHGVTKPSGVKLAIDSDLGTTSRLTEKRVPVQRIENDSICIEDLMRKYGVIDQSLAGHPDMATKILTDLFSSSGITIDANLPSKKIRAHLRSLKPGDACTLLENLVSAWRSRSGSAELVLRWIYCLLVIHGRFIPSEKSRKLISDLEKMCAERYTATEDLLKLSGRFQLIMAQVDKDVIDVSAKATVQSDEEEEDEIDEMVYGEDVDLSENSDDDAE >SECCE6Rv1G0424820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702450197:702456350:-1 gene:SECCE6Rv1G0424820 transcript:SECCE6Rv1G0424820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGARATAAALLLVAAALAGVAAGGDIVHQDDDAPKIPGCSNDFMLVKVQTWVKNRETDEFVGVGARFGPIIESKEKHANRTGLLIADPFDCCTPLKEKVAGEVLLVQRGDCKFTTKAKVAEDAGASAIIILNNRHELYKMVCDQNETDLDINIPAVLLPKDAGTILQGLLSLGKVSVQLYSPDRPLVDTAEVFLWLMAVGTILGASYWSAWSAREALIEQEKLLKDGHESSVNIEAEGSTGMVDITMTSAMLFIVVASLFLVMLYKLMSHWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAGSFVKVPFFGAVSYLTLAVCPFCIVFAVLWAVYRRMPYAWIGQDVLGIALIVTVIQIVRIPNLKVGSVLLGCSFLYDIFWVFISKMLFHESVMIVVARGDNTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWAARKTLQSGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGTFISLGKKRGELRNLWMKGQPPRVCTHSHHPLKGSADSASSHVISS >SECCE3Rv1G0193910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:775674171:775674665:1 gene:SECCE3Rv1G0193910 transcript:SECCE3Rv1G0193910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVVFAVLLLLPMLTATSVAADQGMLSNSPGEWCWPGMGHPMYPFPRCRALVKSQCAGGQVVESVQKDCCRQIAAINDEWCICAALGSMRETIYKELGVGLAEGKATVAEVFPGCRAEVMDRAVASLPGVCNLVVPNGGSVCYWLRYYQPPRQMSGSSSRM >SECCE3Rv1G0153480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:53721222:53723228:1 gene:SECCE3Rv1G0153480 transcript:SECCE3Rv1G0153480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLRLLPRRVSALLVHSKDRCKKAAPPAIAAGAAHRRAPPPHRFLPPRLPPRPRTEAWPRRDAAPHGSRAQPRCIVLARRRGGAAHSRVTTTSLASRPHSVVSDTIMYGLADVGFAPYGECWRQARKLVTTHMLSVKKVQSFRSAAAEEVSMVMAKINQATAADAAVYMSELLHTFANDMACRIVSGKFFLKDGQSKLFQELIKDTSRLLGGFNLEEYFPSVGKVGVLMKAVCAKAERVRNRWADLLDKVIDNRVSKRNSTSDHKDDDFVDIMLSVQPEYDLTKEHMKALLTDVIFGAIDTSANALEFTLAELMRKPHLMVKLQYEVRGIVPQGQVIISETDMNNMTYLRAVIKESLPPLAPLLAPHLAMADCIIDGYKVPAGTRVVGNAWAIGRDPSSWEYAEEFIPERFTDEGSSMHVNFKGNDFQFLPFGAGRRMCPGMNLGISNVELMLANLVCHFDWQLPLGVEGKDIDMTEVFGLTVRRKEKLLLIPKSRM >SECCE5Rv1G0319840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:379435991:379438732:1 gene:SECCE5Rv1G0319840 transcript:SECCE5Rv1G0319840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASKYPQHYDDDEDDDDEFEDDDDDDEPSLPLPAGSEEDRLEAVLRRLTADEVRIRVHDVAIRGCARTRRAAAEAAVGTDLARAGTVPELLRAASAAGERLRRLGAFESVSITLDTAPPGVPADARGGAVVVLVDVTEARGRAAGGLGVFANTETRSCSVEGSLRFKNLFGYCETWDASGLLGLDRTMELSVGAIIPRIGPIPTPLMARVSFLSEDWLKSSLREHLMGVSVGLLSTMNHNLAYNLSWRTIIDPARLSSSSIREQLEHSLLSSIKYTYKIDQRDSSIRPTRGYAFLSSSQVGGLAPDSKNTRFVRQEFDLRVAMPLGVWNGALNAGVAAGVIHPLATGSTSSISSLPERFYLGGNRSLVCRLGGPSSLLGFKSRGLGPIDSQTCAPKNSENAAPISPELDALGGDIAVTAFADLSFDLPLKPLRELGIHGHAFVSAGNHAKFTERDIRRFSVTEFLKTFRSSAGFGVVLPTRLFRIEMNYCHILKQFDNDRAKTGIQFNFSSP >SECCE7Rv1G0509950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:785315404:785316393:1 gene:SECCE7Rv1G0509950 transcript:SECCE7Rv1G0509950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSSSSSGGKQPSHSASAIVGRSLVSGSHDVKIDGYSVIKGLGHGNYITSEQFVIGGRRWRLQYYPNGYSGFPDWIAIYLCLDPADVNTITVQVQISLLDQDGNPVPAYTIASNSWTFSRQCGHRGFHQFIRKNDLEQSAYLKDDTFTFRCVITMAMEIYTEPVPKSVVPAPSPSSNMHQRFGQLLSTGDGADVMFEVGDETFPAHRCVLAASSSVFKAELLGPMTERTDASVRLDGIEAKVFKAMLHYVYTDSLPHIDAGEVMPMAQHLLVVADMYNLVDLKLKCEDTLRGHFDTSTVATIPSVPKFLSSICLNTDVSSHVLVLD >SECCE6Rv1G0387910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:126113436:126120207:1 gene:SECCE6Rv1G0387910 transcript:SECCE6Rv1G0387910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) UniProtKB/TrEMBL;Acc:A0A178VUN0] MSMLRRALLLGHRLRRGFSSGADLPPPRPSASRRVVVTGLGAVTPLGRGVGSTWDRLVAGDCAVRALAAEDLRLAGDAAGRTLEQLPSRVAAAVPRGKGEAEFDEEAWTKDSRSISGFIAYALCAADEALRDANWLPSESEKKERTGVSIGGGIGSISDILDASQLITENRLRRLSPFFIPKILINMASGHVSMKYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFSRLRALSTKYNSLPQASSRPFDCGRDGFVIGEGCGVMVLEALDHAMERGAKIYAEVRGYGMSGDAHHITQPQNDGRGAILAMERALEQSGLQADQIDYLNAHATSTPLGDAVEATAIKSVFGHHATSGGLALSSTKGAIGHLLGAAGSVEAIFTVLAVHHGVAPPTLNLEQPDPLFEGAFMPLTTAKKMPIRAAISNSFGFGGTNASLLFSCPP >SECCE4Rv1G0250690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:595862757:595863855:-1 gene:SECCE4Rv1G0250690 transcript:SECCE4Rv1G0250690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSLLLPLALLVLAASSAAVAQLEIGFYSKTCPDAEKIVGEEMAKIIAAAPSLAGPLLRLHFHDCFVRGCDASVLLESTDGNAKLEAACPGIVSCADVLTLMSRDAVVLAKGPFWPVALGRRDGRVSSATEASNELPPASGDVPLLAKIFASKGLDLKDLVVLSGAHTLGTAHCPSFADRLYNTTGENGTYGYVDRSLDSEYAGKLRLKCKSVDDRAMLSEMDPGSFKTFDTSYYRHVAKRRGLFRSDSALLFDDTTRDYVQRIATGKFDGEFFRDFSESMIKMGDVGVLTGAEGEIRKKCYAPN >SECCE7Rv1G0468000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:94490213:94495530:1 gene:SECCE7Rv1G0468000 transcript:SECCE7Rv1G0468000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWAAAALLCLAVLAAAAVGSVLAAAVEGAVTYDRRAVLINGQRRILFSGSIHYPRSTPEMWEGLIQKAKDGGLDVIQTYVFWNGHEPTPGNYNFEGRYDLVKFIKTAQKAGLFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKAAMQGFTEKIVGMMKSEELFASQGGPIILSQIENEYGPEEKEFGAAGKSYSDWAAKMAVGLDTGVPWVMCKQEDAPDPVINACNGFYCDAFTPNTPYKPTMWTEAWTGWFTEFGGTIRKRPAEDLSFAVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLAREPKYGHLKELHRAIKLCEPALVSVDPTVTSLGSMQEAHVYRSPSGCAAFLANYNSNSHAKVVFDNVHYSLPPWSISILPDCKTVVYNTATVGVQTSQMQMWSDGASSMMWERYDEEVGSLAAAPLLTTTGLLEQLNVTRDTSDYLWYMTSVDVSPSEKFLQGGKPLSLSVQSAGHALHIFINGQLQGSASGTREDKRISYKGNVNLRAGSNKISLLSVACGLPNIGVHYETWNTGVNGPVVLHGLDEGSRDLTWQTWTYQVGLKGEQMNLNSLEGASSVEWMQGSLIAQNQMPLSWYRAYFDTPSGDEPLALDMGSMGKGQIWINGQSIGRYSLAYATGDCKDYSYTGSFRATKCQAGCGQPTQRWYHVPKSWLQPSRNLLVVFEELGGDTSKISLVKRSVSSVCADVSEFHPSIKNWQTESSGEAKPELRRSKVHLRCAPGQSISAIKFASFGTPSGTCGSFEQGECHSTKSQTVLEKCIGKQRCIVAISPDNFGGDPCPNVMKRVAVEAVCSPGT >SECCE5Rv1G0371220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846536997:846540941:-1 gene:SECCE5Rv1G0371220 transcript:SECCE5Rv1G0371220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPLTLRDFLEMACESSCSDGFRSYPRRLLPCPVSHSDGAASATQEKLKAETAPVRLLIEADLRRSPSRTLSSLLFPRSPRSLAAISRLSRSLSRRLVFWRRHRDDDGGSERDSLGLPSPVVSSCSASEYAESEAEAPNRPPVDVAEEKPASKPSPSPSPSCSSGSVDADVGPAAAGAKHKDQQTDGGDAVGSTEEEKQQLSPVSVMDFPFHHHHDDDDGTSDAGTCSPATSFQHCLPDDPERSSKAPAQELLLHKIRRLDGLAHALGPVDLEARFGTESDRQSAESDDTHTQTSNSSSTSADHGAQMTWSPRCRSTERDAERERDVPRLLLARLLKDDDDTAATTPSVATERLLLDFFAEGLDRHSSSSPGSVAGTVRSSDEELSLVRSAQEWVRGAGTRWGAEDVLFAGEAALADMERGRRWVCVAEEEREVGADLEGLVIDALVAELVRDLALARR >SECCE4Rv1G0219990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:34355807:34358773:-1 gene:SECCE4Rv1G0219990 transcript:SECCE4Rv1G0219990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRALMRLAAPHPPPAGAAAEESPPQQKHPSPSFSSPSSSSASAPARPSAASLPPPQGRVYPLRDFPGSEAAALCGAFRDNARWLLARWPPVAAASSSPGPAARRAFLSDDRTGAVVPVVAVEVLAASSPAPLCDLCRCAGWSHHWVSKRSYHFIIPADADWDQHFGTDALLGRNDHLLHGLIHCNGFGHLVTLRGRDGGSAFLSGHDIMDIWDRLCSALRVRAVSLVDFSRKHSMDLRLLLGVANGETWFTRWGYCLGRRCFNMSTSAYATALESLASLHVDHLRSRHVRRVVTIYRRLSNKPLITVREFLRCLLDWKHHEAPLSPPPVKPSSRLPFLLPRPCTMKRSPPCKRFEDVIDLLHCRWSKKRMLNAAEVVVEKLLEHGNDAEMTRQAVRDAARVEIGDTGLLDFVIKSLGDTVVGNHIVRRLPNTVTRVFTFSLEEWGEPVQMDVEVQNTRPAAPWPSTVDVERDLRAVYRAMVEALSGAAQAVLDCKHWVKCWGLGDESDDQLRFLVEWRPQPWEAAELTRPLPSGEIVVVPVHTSIGELIIEAEHALRDTYCFFEEFQAETLDGITGEKWDPVVLGGAESGDTIGVHGHGADMETGLRCEGGLDMWEVRCVCGAQDDDGERMVACDACDVWHHTRCVGIADSDAVPPLFLCILCGGALLAAGPILEEALTLAK >SECCE2Rv1G0112870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:716181259:716183520:-1 gene:SECCE2Rv1G0112870 transcript:SECCE2Rv1G0112870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENAHIAANGDGLCVAQPARADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVIMEGASLTIAMVAAVAAGSDTRVELDESARGRVKESSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAAATRAAMLVRVNTLLQGYSGIRFEILETVATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSMATAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILSLLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDLYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVKNAVKSCVKTVARKTQCTDNNGHLHNARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAEHDVETSVFAKLATFEQELRAVLPKEVEAARSVVESGTAAQQNRIAECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFIAMNQGKHIDALLECLKEWNGQPLPIC >SECCE3Rv1G0152300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:46102084:46106305:1 gene:SECCE3Rv1G0152300 transcript:SECCE3Rv1G0152300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVGGRFQGGALPWRRPLPRSRLASPPASSSGGGPGDSEKATPLLVERYRDGAAKRYLLDGDSKLQVHWEKHDESSMNTVEDEKANSSIPRAVSDFVLPAGFPESVSDDYLQYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFTGTSAAAAAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFDLTTPLYPGYFLPLASLGNLAKAVGRGFRDPSNRVIQNHFAKSGNLGEIAAKEEVWEVGAQLVGLSIGVLILDTPGIQSSYLTLTLTWLGVRLLHLWFRYQSLVVLKFRTVNLKRARILVRSHVANHTVPGYVACNEGENILTWERFLQPRISFGVPMERMLGGEESTHMDMVNMLLKLYKNEKYILCVEQLGSEDPTYLVAFKEAATSMSVLRSLWQAHYLHQNRLKQDDVVAWLEESLTALEDGFADFIKQMEEAGWDQSQIFLKVPKEPVLVLEHLDQEV >SECCE4Rv1G0234520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:290027587:290032843:-1 gene:SECCE4Rv1G0234520 transcript:SECCE4Rv1G0234520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNDMEIVLSIMKKEGYNDIVPDAESAEIIFINTCAIRGNAEQKVWQRLDYFWFLKRQWKANVAGGRSKSLRPPKIVVLGCMAERLKEKILDSDKMVDVVCGSDAYKDLPRLLREVDYGQKGINTLLSLEETYADITPVRISDNLVTAFVSIMRGCNNMCSFCIIPFTRGRERSHPVSSFVREVGELWDAGVKELMLLGQNVNSYNDTSEVEELEPGKNWQLSEGFSSRCRVKNMGLRFADLLDQLSVEYPEMRFRFTSPHPKDFPDELLYLMRDRHNICKLIHLPAQSGRTEVLERMKRGYTREAYLELVQKIRNVIPDVGLSSDFISGFCGETEDDHADTLSLVRAVGYDMAYMFAYSMREKTHAHRNNEDDVPNDVKQRRLAELINTFRGTTRKIYDSQIGTTQVVLVEGPNKRAPETELFGKTDRGHRVSFTSLPVPHTSEGDGACKLVVGDFVEVKILRSSTTSLSGQPIARTSLGMYCKNHASDADVGA >SECCE3Rv1G0187270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:696970012:696971124:1 gene:SECCE3Rv1G0187270 transcript:SECCE3Rv1G0187270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPNSSPSALHSASQMAGTARRPGKPPPPSHAAKRLALRLTTPLASLALLLCAAAGVLLYSKTIPASQIVGRSKESSTASTAPSSPTVESVEGARAIWELPAAPARGVLFVAHGCRCRPENFWPQSQRCPGCVGLPEDVAITTRALRRRFAVLAVASAGDCWSLGKEVGAAKRVIQSWTAKNGLGGLPVVALGASSGGYFVSRLAAKMSLAAVVMMISEGVFDLAGPPPGYPPSMFLHMPKDYKTAALVGRNVKMLKSNGVEVRELQSLELPLTPTLLSDRIPGLDHGLSERIWKVFCEEGFVDERGYMRKDGRATPWKDAVVNKGFWEEVSQWAEHIQEELNLAYGYHEMTSLQTDEMFNWIEEHLS >SECCE5Rv1G0377050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:875470747:875471328:-1 gene:SECCE5Rv1G0377050 transcript:SECCE5Rv1G0377050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSGSAPHRDDSSTDGRRLYNPYQDLNIPTAYRNLYDLPTSPEFLFQEEALVQRRSWGENLTYYTGVGYLSGAVAGAALGLREAAHAAERGDTAKIRANRLLNSCGSTGRRVGNRLGIIGLLYAGMESGMVTARDGQDDWINSVVAGLGTGALFRAAQGPRSAAVAGAVGGVLAAAAMAAKQAAKRYAPAF >SECCE2Rv1G0138860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:923655546:923660125:-1 gene:SECCE2Rv1G0138860 transcript:SECCE2Rv1G0138860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLASAALNPTTHLGLRSHARPSSENLCLRSAASSQKSKGRCNLIVRSQADSQPAELIGPVTTKLKPWQIPRRDWFSPEFIFGASTSAYQIEGAWNQDGKGESTWDHFCHKYPERISDRTNGDVAADSYHLYEEDVKALKAMGMDAYRFSISWSRILPHGTGKVNQAGIDYYNKLINSLIHHDIVPYVTIWHWDTPQALEDKYGGFLDRRIVNDYKHFAEVCFQSFGDRVKHWFTFNEPHTYCCFSYGTGEHAPGRCSPGMDCAVPEGDSLKEPYTAGHNILLAHAEAVELFKTHYNKHEDAKIGMAFDVMGYEPYQDSFLDKQARERSIDYNLGWFLEPVVRGDYPFSMRSLIGDRLPMFTDIEQAKLASSCDIMGLNYYTSRFFEHIDISSNVAPKLNIDDAYSGSEIVGCDGNTIGPKTGTYWIYMYPKGLRDLLLIMKEKYGNPPSSSLRMVRIADVDGGETMPDPLDDWKRLDYLQRHISVVKDAIDQGADVRGHFTWGLIDNFEWGSGYSSRFGLVYIDKNDGLKRKLKKSAKWFGKFNTVPKRLIGTTKPTGKGHV >SECCE6Rv1G0449960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:865172763:865182235:1 gene:SECCE6Rv1G0449960 transcript:SECCE6Rv1G0449960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAVGLSKTVVAEALTKVQLAIDEDTKLRQKAQRDLVTITLEFEMMNSFLGVANEDRATNKLVMTWVRHVRELAYDLEDCVEFVVHLDDKRIFWRRLLPECIVGPLPLDEAVTEIEELKGRAKELSECYSRYSHICEPASKFVMLQQQQVSSSATGATSSNMLADARDAARRQHGGFGDLTQLITTSKDDDNDSKLQVISVWGTGGSLGTTSVIRKTYNDPEICKNFACRVWVKVMHPFDPREFVRRFMAQVYANSCDKQGVDISVHVLRKMNSWQERLLTEFMQEVNTKTYLVVLENLTDMADWDAVRTFLPDVENGSRIIVSTQNFEVASLCIGHSYQPLELQQFPSDHSVCAFFSEGAQNDGYKEESRMMPEVYQSSSYGEISSSTREAGQNWMKTYPLVGRESQMSELCSYAANARVSNSPVISVWGIAGIGKSALVRNLYFDRMLDSKQFTKYCWVDVSRPFNLRDFCRSLLRDFRSQKDPIEECRKFLQVNQCLVVIDELESEEEWDLIKAVLVSRDSASVIIVITTEATVATYCTNNEDQVCNVKGLEEAAAFHLFREKVRRTKSTSHLITHKSDELDELIFKCGGLPKVIVALAELLATQTVKLMDNMHSLNHKFMHHLETNQEYDSLKGLFSWMHSYFRNCPDSLKPCIFYLSIFPRGYNIRRRRLVRRWIAEGYSKDSDEISAEEKAEQFFSNLLQLSIIQQLPKVVTTVFDDTKMISCQVNGFIREYIVSRRMEENLVFELGHKCVLTTQHTGRHLIILKSWDRDRIVFDSIDFSRLRSLTVFGEWESFFISKRMRLLRVLDLEDATGVKDDDLRKMLKLLRRLKFLSLRGRTKIRCLPNSLGDLRQLQSLDVRYTSIVTLPGTITKLKKLQYIRAGTTIPASTPDASTSLLPEFRRCRRLVGVVVPSRIGELTALHTLGVVNIGASGGKDIVKELNKLTQLRKLGVSGINKHNCEHFFADPGHVHLESLLVRLDKDSESCLDGISLLWKNLRRLKLHGLQNKLPLLAGHLSNLRKLDVEMDTLEKNDIELLAELPELCILRVRVKQLEGGKLHFYVEMYGVQLDTFKQVKILQIGCSSSPSHVEFGSNSMQNLEVLKVDCYTASYQLTGLNCLPELKQVLLKGGTDDIKKDLETQLRDGHPKTAPAVEHEK >SECCE4Rv1G0266270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:730401205:730401399:-1 gene:SECCE4Rv1G0266270 transcript:SECCE4Rv1G0266270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMPNILSLTCICFNSVLYPTSFFFAKLPEAYAIFNPIVDIMPVIPLFFFLLAFVWQAAVSFR >SECCE4Rv1G0289910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:869035710:869036227:1 gene:SECCE4Rv1G0289910 transcript:SECCE4Rv1G0289910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATTIAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE7Rv1G0466130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:76752465:76752831:1 gene:SECCE7Rv1G0466130 transcript:SECCE7Rv1G0466130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSFPPLAKKYVIQMLYVSAPMPAAAMQEWVLDEYASKHKVAIDRLLQLRVFVEVRDR >SECCE5Rv1G0362740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:788148823:788149884:-1 gene:SECCE5Rv1G0362740 transcript:SECCE5Rv1G0362740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCKTVSICTPVEEAQGTHVFEILGYSKHKGMGHGVHSHIRSGVFSVGGHDWIIFFFPDGYTEYDLDYISAFLVLWDYDTKVRASCDIRLVDQYTGVSSSVHKTGPRIFNSGDSTKFAPQNTCFIRHNEIEGSPYLRDDRLTIECVVTVFHKPHVTETKSFPKIGMPPADMTEDVAKLLEEKKGFDVSFIVGGETIEAHRFVLAMRSPVFKAELYGSMKEASPGQCITIKDMQPTVFKALLRFIYTDSLPSGVDTEMVRLLLVVADRYAMDRLKLICQSILCEDLNKDTVAITLALADQHNCHQLKDACLEFIELSNFMDALVATQRLKDIKKTCPSFIVDELEKRTKRRKV >SECCE5Rv1G0355670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:733424280:733426795:1 gene:SECCE5Rv1G0355670 transcript:SECCE5Rv1G0355670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDQKDSDFYKQQAKLLFKNLSKGQHEASRMSIETGSYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTYIQKTKKLYLDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSDTRIYADKAKDLNRQAFIRKYAPVAIVIGVVIILFWAKNKIW >SECCE2Rv1G0103810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:595488292:595488639:1 gene:SECCE2Rv1G0103810 transcript:SECCE2Rv1G0103810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVGVSSLRARGLVALLQIMALLVCVVMMSSSYTCHGAGRSLMGVSVGEEKVPHLEIPAKCFVPPCH >SECCE3Rv1G0147320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15111943:15113577:1 gene:SECCE3Rv1G0147320 transcript:SECCE3Rv1G0147320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRINTLLQGYSGIRFEILEAITKLINKGVHPRIPLRGSITASGDVVPLAYIAGVLTGRPNAQAVTHDGRMVDAVEALKIAGIDGGFFKLNPKEGLAIVNGTAVGSALAAMVLFDCNILAILSEILAAVFCEVMYGKLEYTDHLIHKLKHHPGSIEAAAIMEHILVGSSFMVHAKMVNAMDPLLKPKQDRYALRTAPQWLVPQIEIIRAATKSIEREVNSVNDNPIIDVQRGKALHCGNFQGTPIGVSMDNTRLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGLKGIEIAMASYCSELQYLANPVTTHVQSAEQHNQGVNSLGLISARKTAEAVDMLKLMSSTYMVALCQAVDLRHLERNIKINVNRCVADVAKKVLNSSSIEEKLMSAADRVDVFSYADDPCNTNYPLMHKLRSVLLEHALASRSNDKQILSKISKLEAELGLVLPQEIEAARVAVEKGTAPIPNMIKGSRSFPLYRLVREELGCVFLTGEKLLAPGEECDKVFVGISQGKLIDPMLECLKEWNGEPLPID >SECCE3Rv1G0190420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:738579283:738581207:-1 gene:SECCE3Rv1G0190420 transcript:SECCE3Rv1G0190420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAMEEATLPVPGARTKGGLRTIPFIISNEIFEKVATYGLHANMIIYLTKRYHMTPATGTMVLYLWTAMTNFLPIFGAVLSDVFLGRFRVIALGSVVSLSGMCLLLVTAILPVYKKNPGCDPAKPGGCTVLPCQLPLLFTSFLLMSLGAGGIRPCTLAFGADQLDRRDNSPKNVRRLQTFFNWYYTVLGLSLVVAATVIVYIQEHKGWVIGFAVPVVLMFIALTLFLVGSPLYLKAEPDRSVLVSIVQVLVASYKNRRELLPPDTAEASCFHNKTGSRPRVPTKKLMSMNRACVLRNPSKELNGDGSACDPWRLCTVQQVEDAKAVIRVLPIWSTGIMPGVIVAQVMFPVLQADTMERQVGTMIIPAASFGVFSIVTLTVWVALYDRVLVRPLSRLTGHARGLSLRQRMGAGLAVCVVAMAVAARTEGLRRAAANAEGVQNNEQAVVHMSAMRLVPQHCLIGLAEAMNLIGQIEFYYSEFPKTMSSIGVSLLALGLGFGAVLGSAIVGIMNSATGRDGRDSWLSSNLNRGHYDYYYLVLAALSVANLVYFVWCGWTYGEEGQNRVMALAAEEEEEEETKQEQHK >SECCE3Rv1G0192460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:758503642:758504494:-1 gene:SECCE3Rv1G0192460 transcript:SECCE3Rv1G0192460.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSLLLLTTLLAFAFKASVAQWTPAFATFYGGSDASDTMGGACGYGNLYNAGYGVNSAALSTALFNNGASCGMCFTITCDASKTPSCKQGTSITITATNFCPPNYALASDNGGWCNPPRQHFDMSQPAWETIAVYQAGIVPVNYRRVPCQRSGGMRFTINGNDYFELVTVSNVGGSGVVSQMWIKGSKTDWIVMSRNWGANWQSNAYLNGQSLSFRVQTDDGRVVTADNVAPYNWWFGGTYTSWQQF >SECCE5Rv1G0297560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:3963962:3965314:1 gene:SECCE5Rv1G0297560 transcript:SECCE5Rv1G0297560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESRMDWKPTVMMMAVVIMYAVLNVLTKMAFNDGMHTTIFIVLRLLVAALFLSPIAYFKERKSRPKLTMEIFVYLFLSALLGASLIQWLFFLGLRYTTATFASAFNNTTPMFTFLLALAFKVEKIDVASRSGAAKLTGTAVGLAGATVLALYHGPTLMGAPSGDHLSAAATNGGARRWAVGSAALLGFSACWSLWFILQSKIGTKYPALYSGTAWMFLLSFFQMAAVGAATEKMSLQVWVPHTVLQAVTVVFAGVGSSGLGFLVMSWCVERRGPVFTTAFMPLIQIFTVGIDVTVLHEQLHLGSVVGSAVVVVGLYLVLWGKSNEASIESKLPPPSHSKPDWDEETENCSSRIVQSV >SECCE4Rv1G0218010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19735993:19739361:1 gene:SECCE4Rv1G0218010 transcript:SECCE4Rv1G0218010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLGHRYKECRPQRNSSCPPKLLVGKDVLKELEERRSSPSVVAKLMGIDVLPPTYVAHKRHQQFKDVFEVSEELPETFTKEMSYHFPKGLPSLKKSAMKLKKLMPSKSPYCDGTFNNDVEYNNGLDHLNPLEIDNPLFEKCPRDVNYSPNNQHEKGTAGTFRKYPVGLANSSLKDIKNRSRGNYGDFNNIVVLEPGLGNRHDPENSFSMSSLSHDNWNSRRDRKKKLSESVVMSNERVSQHLLDTVDVARIKSERYLTSDAIKSLSKGEEPSFDQFNTVDMNSTGSLQMYSGGDINSRQNNKSSSSSLPGKSFRKYDEGDVGSRTLAQMFALSDSERVKKNLNPHAQIQHNKLDQGKGHNKEGCFIVLPKYGSPLSLHTSLDRSSSCEGSPNSEIFPDPSVSYNNGKVTFDSFLAKRRLKQIASGRQNNLRNASVVKSLALEQQRPASPSLDDFRCHSWRPSDNVSTSDCINERLLFATDEGLVHEPAETVPSAFQLQLSREQKVSATPLQCHDYESISISNHDDLAKSRKGLEEFEQPSPVSILQPPTDEDSCCSGFFKNDLQDMPSVETRIDHRRFQDEPEVSTISSDDGNDSSYKSLEAFQVEEDRDFSYLLDILISSGMIVSTDWQLLCKSWHSSSFPIGPQVFERLESKYAQITSWPKPERRLMFDLANSVLSDVLAPCTNIHPWVSSTRQCRPIWGPEGPVEKVWQMMVRQQEELAVAHPDDKVLDPNWLELGDDIYTVGKHISMMLHAELLEEVILEFLSLSGSGAACM >SECCE1Rv1G0016410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:138756523:138759426:1 gene:SECCE1Rv1G0016410 transcript:SECCE1Rv1G0016410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18e [Source:Projected from Arabidopsis thaliana (AT5G05150) UniProtKB/Swiss-Prot;Acc:Q9FHK8] MTSQVSPSQGAGGSDVEDEDVELLSVNWNQDHSCFSAATTNGLRMFSCKPFKEQLRRVHKDGGFRIVEMLFRTCIFGLVDQGSDKQHQQNKLAIWDDRHNQNIGDFSFKSSIRAVKLSKDYFVVALEHEISVYSFKTMKLIHLIDTTSNPKGLCCLSHHADTSVMACPGTRQGIVRVEHFGSKETQFITAHDSYISCMTLTVDGLLLATASVRGTLIRIFNTMDGACLQEVRRGVDKAEIYSIALSPNLQWLAVSSDKGTMHIFSLRVRPRGKDASNGQSAIAGRQMDRSYSSGSVGSNASSSLSFMKGILPKYFSSEWSFAQFRLPEVTRYITAFGDETTVMMIGLDGSFYRCSFDPVNGGKMVLDEFIRFMKPSMSRSRTPNT >SECCE5Rv1G0353240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:715135833:715140117:1 gene:SECCE5Rv1G0353240 transcript:SECCE5Rv1G0353240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) UniProtKB/Swiss-Prot;Acc:P14714] MSSSRSNNRPACSRGSSARSKHSERVVAQTPVDARLHAEFEGSQRHFDYSSSVSALNRSGASTSSAVSAYLQNMQRGRYIQPFGCLLAIHPESFALLAYSENAAEMLDLTPHAVPTIDQRDALAVGADVRTLFRSQSAVALHKAAVFGEVNLLNPILVHARTSGKPFYAILHRIDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVIAECRRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCAASPVKLIQDDNLSQPISLCGSTMRAPHGCHAQYMANMGSIASLVMSITINEDEDEDGDTGSDQQPKGRKLWGLVVCHHTSPRFVPFPLRYACEFLLQVFGIQLNKEVELASQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALCYQNQIMVLGSTPSEGEIKKIVAWLLECHDGSTGLSTDSLLEAGYPGASALGEVVCGMAAIKISSKGFIFWFRSHTAKEIKWGGAKHEPGDADDNGRRMHPRSSFRAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANDNNARTIVEAPSDDIKKIQGLLELRIVTNEMVRLIETATAPILAVDIVGNINGWNNKVAEITGLPTTEAIGMLLVDLVEGDSVEVVKQMLNSALQGTEEQNLEIKLKTFHQQESKGPVVLMVNACCSRDLSDKVVGVCFVAQDLTGHKMIMDKYTRIQGDYVAIVKNPNELIPPIFMINDLGSCLEWNEAMQKITGIKREDAIDKLLIGEVFTLHDYGCRVKDQATITKLSILMNTVISGQEPEKLAFGFFNTDGKYMESLLTANKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAATHSFKELTYIRQELKNPLNGMQFTRKLLEPSDLTEEQRQLFASNVLCQEQLKKILHDNDLEGIEQCYMEMNTVEFNLEEALNTVLMQGMSLSKEKQISLDRDWPVEVSSMYLYGDNLRLQQVLADYLACTLQFTRPAEGPIVLQVIPKKEHIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHGPGVSREGLGLHISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLNSKRSKPSTSKSNF >SECCE1Rv1G0046170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614743313:614751361:-1 gene:SECCE1Rv1G0046170 transcript:SECCE1Rv1G0046170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNGFEDDDVNPFAGGSVPPASNSRLSPLSHEPAGFYNVDIPMDSTKDVKKKEKELQAMEAELNKREKELKRKEEAASRAGIVIEEKNWPPFFPLIHHNISNEIPIHLQKMQYLAFSSFLGIALCLFFNIIATTTAWVKGEGVMVWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLFYMIHIVFCVWSAVSPPFPFKGNSLTGILPAIDVITKSLIVGIFYFVGFGLFCLESLLSIAVIQQVYMYFRGSGKSQELKQQAARGALSSAF >SECCE1Rv1G0002920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:11475912:11479482:1 gene:SECCE1Rv1G0002920 transcript:SECCE1Rv1G0002920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVALGAMIPLIKKLGSLLVDEYNLEKHVKKGVKCLITELEMMHAILRKIGAKPPEQFDEEVLIWAGKVRDLSYNMEDAVDAFIVRGEEDGHDGGSTNMRNRIKKFLRKTTKLFTKGKALHQISDTIEEAQRLAKELGELRQRYMIEAHDNGGGDAIDPRLKSVYKDVTELVGIDHVRDELIEKLRDCDERSKDHLRTMSIVGFGGLGKTTLAKAVYDKIKVEFGSVAFVSVSRNPDMTKICKKILFELDKIKYATINEAARDEGQLLDDLRIFLQGRRYLIVIDDIWDEEAWEFIKCALFDNCLGSRVMTTTRIGSISKACCSSGDDIIYQMNPLTDDDSKRLFYKKILPQGSNRPVELELVCREILKKCGGVPLAIITIASIFASNGQDLQIKPKYHWDNILGSIGRGLTEGGSAKEMHRILSFSYYDLPSHLKTCLLYLSIFPEDFEIRKDRLIWMWIAEGFVQGGEQETGLFELGESYFNELANRNLIQLVDVDAAGSAEACRVHDMVLDLLFSLSSEQNFATILGGTHQSNLISHSKSRRLSFQNSMSEPTNHRVDAKSMPQVRSVVLYGTNIDLIQTISCFQVLRVLDLEGCDLGRNHHNIDLRHIENLLHLRYLGLRGTSVRELPVEIGKLQLLEILDLASTAAVIPSSVVRLRRLICLNAANSVLPAGIGDLTSLEELTGKLVDVKELGQLVKLRVLLVYWNGNDESMCNYLVVSPGKLRKMQNLTISGVGDARLDVSWDGWVPTPQLRYLWFEGCTTTLPRWVNSSSFPLLSSMSIEVDRVRPEVDIQILGELPALRFLWLWVNKSQHTRVETFVIGANAFPCLRECRFHEFLTGPSMFPRGAMPKLEILRFSARASDIASGDLDVCMGHLPSLQQVGVFLWLEKGSFPDTCKEEADVVLRHAADTHANRPTLFTCPGPCKDDDDDDAATMRASPSPLSSWLTSAPPYLLPSPPPPRMPPPAKSKAAVRLSSPASSPSTPSPGAPRWSPS >SECCEUnv1G0530220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:11789759:11791680:1 gene:SECCEUnv1G0530220 transcript:SECCEUnv1G0530220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFFASKFRDTRVWNLDSDLEAGKKLLAKQIGMNTIVSIQRAPKVRGELRGADEDSYTPHRVPIGPYHHNCSSSWIEKEKLRYVGFMQSLSERYNGGGLNGLVEELEPRAREWYGDGVDHMTPEELARMLLHDGCYLLGWLGNYPDAPQTSCNEHNTVFRDTLYLIENQVPFFVLDKIHARATGGSSCLLHYMATYIQSLLHAQLYISPGKQRLMEQPWHLLHLVHQYFRPANLPKSTDPQQTGRTGRWRRATAYRLQAKVKFMPRDFTAEVTSVLDVRLEGGTLWIPRLQVCRDTWTLLRNLMALEEQMPKRPVTAYCIFMSQVACTVEDVRLLVDAKIVEHFEGSDENAAQGFADLCKGVVMDVDNIDRNYLKPIWHDLEKRHKSRAHNFWGGHSQRVAIALALLVVVVLLACVVTQTFYVIIGSRQQTKH >SECCE6Rv1G0401010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:460932481:460937698:-1 gene:SECCE6Rv1G0401010 transcript:SECCE6Rv1G0401010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDEVPAEEEAEDTEELFYESLDRILSSSASSTSASDDDDGDHPRRRRGYDAAPLDLWTSQPAPIQERRHRLLQLMGLAGDPSLARFQMGRSASYDDVGPPPASPVSLSRSDGAAKRPTGCGRFRSASSDASDASATLEAVEEDPSCLIRNLDDGSEFVVREEFGLREVGTGRQLTVEEFELFIGRSPIVQELMRRQSVTNSTPNSNSQSGASTPMDRSSSGSSNGGARSSRHTSWLRTIRSAAGSMVTYSRDRRGDDKDTSSEKGGRHSSSATEDSQDGVARHGPDRVKVRHNGKSYKELSGLFMNQQIHGHKGSIWSIKFSPDGRYLATAGQDCVIHVWEVLQSGMMKEEREVEDNGTCNPCAAMVCDESPEPTFASVATEGSHWEKKLPAKDLHSRRSGGSDQLMVPEHVFALSEKPVITFAGHSKDVLDLCWSKSQYLISSSMDKTVRLWHMSSTYCMKSFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSVPKREIVDWVDLHEMVTAACYSPDGQTAFIGSHKGNCHVYDTSDNMLSYKKQIDLQLKKKRSSRKKITGFQFIPGSSSKVIVTSADSRIRIVDDFQLLHKFKGFQNTSSQISACSAANGRYIISASEDSRVYIWRYSDDSKPSRMKNIVPVTNTHENFRCERVTVAVAWPCAGARMTWRANSRKQVNLDCVAGNGHILGSEPAKEDEIPAVQDQSNNLCNNGATWPEELMSKTKQSPKSNTTHPGDVDQAPSPPAWGLVIVTAGHDGQIRTYQNFGFPSITSI >SECCE6Rv1G0379820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:17197956:17198878:1 gene:SECCE6Rv1G0379820 transcript:SECCE6Rv1G0379820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLFAQYLCFLDCFDRSAESRAMERSFQLNPHATPFVPASKSPFAESLKGKKDPEKQVDETEKNETADKSAGYQLPESLSFDDYAESLGKINISTESSSKGEAAEASHAGNHLAVVESLSQMFPDVSADFILEALKANEFDAVLTIDMLSDLCEADDNGHSAEVSGKPEHHGPSST >SECCE6Rv1G0381970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:36712027:36712248:-1 gene:SECCE6Rv1G0381970 transcript:SECCE6Rv1G0381970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQTVEDSSKPRPKRTGAGSLLKPLNSEYGKVAPGWGTTPFMGVAMALFAIFLSIILEIYNSSILLDGILTN >SECCE7Rv1G0491760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:536669404:536684551:-1 gene:SECCE7Rv1G0491760 transcript:SECCE7Rv1G0491760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSCGLNSGPGRPFNDRRWLLPFLASLLVSVTLFLAAACGLFSPPYLAGDDAFLVDVVSFTDWDDGGSPSQRDSGQSVEPEAKDRVLDDNDNPDNAAVNSDDSDAEPPRLAYLLEGTKGDGLRMRRVLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDPMFSQVGNVRVIAKGNLVTYKGPTMVACTLHAVAILLKEGLEWDWFINLSASDYPLMTQDDILHVFSSLPRNLNFIEHMQISGWKRIQRAKPIVLDPGLYLSKKFDLSTTPERRELPTSFKLYTGSAWIMLTKSFLEYCIWGWDNLPRTLLMYYVNFISSPEGYFHTVICNSDEFQGTAVGHDLHYIAWDYPAKQHPLTLSMKDFNNMVKSGAPFARKFPKEDKVLDRIDRELLHRSEGRFTPGAWCNGSFEGGADPCLSRQEDSVLEPGLGAERLRGLMKKVLSWDYRNGSCSTLSYDQTKRDWYVPRSKG >SECCE5Rv1G0313070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:253323427:253363138:-1 gene:SECCE5Rv1G0313070 transcript:SECCE5Rv1G0313070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMLPLAPSPPYPKSPRLCHKPIPARSLCRCDATRRDFAVYTASAAASAAAAVSAAAATAADEALPSVSQSPTPHPPNGGVTSLLVGIANTRSWSQYYGSGFSIRVPPSFDDILEPEDFSAAMTYYGDKAKVRAYAARFASPDRSELVSVVIKPSNQLKITFLEAKDITELGSLKEASKLFVPGGAKIYSARTVKVKDQEGIRTYYFYEFRFDKQHVALMATVDSGKTYIAAATAPETRWETDGVTLRSAAVSMSLA >SECCE6Rv1G0419820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:673112404:673125655:-1 gene:SECCE6Rv1G0419820 transcript:SECCE6Rv1G0419820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGQQFKIREVPPIVQELVAGGVEEPPGQYVVPEQDRPAAAALSEMPEPIPVVDFSRLSANSPDELAKLQSASHSWDLFLVNLFSDFLRGHFDLSPGRAVGHGMEPSFLAEVLKAVTVREFFKLPLEEKQKYSNIVDGKKMSSDGYGSDMVVVENQVLDWNDRLSLLVEPESERAYALWPTQPPSFRDILCEYTVQGRSVANLILQNLAKLLNLQEEYLTTMLGEKSLTHAMINYYPRCPKSDHVLGLKPHTDASMITVNFINADVSGLQLQKNDIWYNVPIVPNALVVNIGDVMEIVSNEFFKSLVHRVVTNVEKERLSLVLFYVLDPEAEIELVQELVDDKRPRRYKMMKSKDYLEKFFDTYAIGKLAIDSMNI >SECCE3Rv1G0157230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80357380:80359479:1 gene:SECCE3Rv1G0157230 transcript:SECCE3Rv1G0157230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILNLMRGTGDDQFEPWPILGFRALSLSGQARWKAVHQNVIANGRFQLLLSMAICIAASERIRGTPIYKKGIPGGRFTLFSILTCGLVSDYICRRLIISEKGIPSDPFESLPEDVLCAILSKLPLDEAVRTSAVSRKWRFLWTVCPNLSFDGITMCGREQDVRKFIDGVNAVLAQCHGGVFDELAIKFDFDTMLVDHLNNWARFVVSSRIKFLTFDLAPEHFGGRYDRYLFPFQLLDCGSISRLQKIHLSFGCLQPPTGFSGFPNLRKLDLNLVNVTGKDLQDMLSNCCNLEWLSIVRCHLYDELKVNGPLPHLLYLHFSYCEITKIALHAVKLTNFVYKGKSVCIDLGKSSRLESADISFYGVTLEDAATQLANVFTHVQFLTFDTSYEPPQIPCLMRNPCKFSQLRHLKLMLLFESDVDTPHLVSFLTSAPFIEILEMDLMVSPSPYTGHVSMEGLLDRPYKHLKNVCMTAFRGSRGQLEFLLHIVGNAPALGLLTIDHTYRQVKRVWRDAAKEAKFVDSVHRTARRYLKGKISPECSLRLV >SECCE6Rv1G0404720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:523495725:523496798:1 gene:SECCE6Rv1G0404720 transcript:SECCE6Rv1G0404720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYPLRVIVSKALGKCNGRERWMEDQRMDYAMAYPPGPHPETHCPPVARTVTFSGTNSVHMIPPNPPPQQQQQQHPEPQPQAPPPQQQAPPPQHEPQPQPEQPAPAPEQGASPAEQQPRQPKRGKKKPPRRVRFGPEPPPPQNQPPQQQEHQEQQPEHAPNSNPGNGAPGHHGQPGRGPPGYLRYTPSPLPRWEATPRRHEYFSGEYRYSYPTPVREGIYSMATDANRLTTIFSEENPNACAIV >SECCE6Rv1G0401090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:462539676:462542322:1 gene:SECCE6Rv1G0401090 transcript:SECCE6Rv1G0401090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASSSSPPAAITCRAAVAWGPGQALVMEDVEVAPPGPMEIRVKVVSTSICRSDVTQWQSTAQPDLFPRIFGHEASGVVESVGEGVTEFQVGDHALTVFIGECMSCKHCVSGKSNMCQKLGLERKGVMHSDQTTRFSIGGKPVYHYCAVSSFSEYAVVHSGCAVKVSPTMPMDRICLLSCGASAGLGAAWNVADVSKGSSVVIFGLGTVGLSVAQGAKLRGASKIIGVDTNPDKQEKGKAFGVTDFINPAELNEPIQQVVKRLTDGGADYSFECVGDTGVVSTALQSCSDGWGLTVTLGVPKAKPEVSAHYGLLLSGRTLKGSLFGGWRPKSDIPLLVEKYTNKEIQIDGLVTHDMPFSDINKALELMLQNRCLRCVIHMGK >SECCE4Rv1G0281050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:824952436:824953629:1 gene:SECCE4Rv1G0281050 transcript:SECCE4Rv1G0281050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKDGSLERNTKPRLDDHPGSTAAVAASMLTEDIILEILSRLPARSVHRFKCVSVLWRDLIADPANRKKLPQTLAGFLYTTVSKERRHHFTSVSGDGAAPFDPSLPYLHPSKDESITQVDACNGLLLYRRYKKSKASPWKGDDFRFVVCNPVTGRWLELPPQSQSPANRNTAGLAFDPAVSSHFHVLHFDKTIPEAYITGVSIYSSRTRAWSHRDCGMVEKVKLFFDSTCVFVGGTMYLSGSLYFSNNYVLVAVDMEGKVWKTIRMPYSPRFGTIGSSQGCLHYAVASVDDNNKILVSEMALWCLKDRDSKGLVLKHVARIDMLMSMTGLEYRVVQIHPHCDTIFLLSCGGDTLASYDMRHHKVGCILDLEKRNTQQFLPYAPLFSESLADADGQ >SECCE2Rv1G0104870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:612152832:612158732:1 gene:SECCE2Rv1G0104870 transcript:SECCE2Rv1G0104870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEPSLKPEWLVRGVTTPTVAATGFRPGTSPRADDQDRGASSRNRSSGRDRERSSQQSSSRRGSGPSVSRRHDRDGTVKSRGYASFGRSNRDRGCDKDSDFRDWESRSGLAGDPLRDGFGPFNSCRPESDTLNRIRPKLDTLNRASGVSLDNGNLSRKDAGGMSFEREFPHLSSEDNNGKHDVVRVPSPGIGSPIQSIPLVTAPDGWNSVLAEVPGLSEPSNTQTSSASSRAGSSQQLVLSNCGTALSMAETVMQTPLKISTTPQLSIDAQKIEERTMRQCILRPLTPSANKNSVSTLSDRLKTKGARAGDSNGSIKTAPQLSAQSSNNSVRTPVKSEVAKPSQPGSFQVLTREQNGAANTSKDSTNNPLSPVLGRSSSVEPLKKPLVNQKLKGVINGLPLHLQQGPPGERKSIAKDKHKFFELLRSKSLNGSSTGIESSSSLIDEQKNPCLDLSLFNAGIKCIETGSSSCEDANSCDGSQRHLSDNEETKASLEPRDVFYSGFHGVAAVKDTNSLSDHADAENGSMAPQADKAEATLAIMAADINDGSAKADSSNGDAGLLFVPIVAGEEESYPTEDEPSPEEMAFLKSLGWKEDEVVPPLKQEEIADCLRRNVRLQQKLEECRG >SECCE2Rv1G0110370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:689323051:689327745:-1 gene:SECCE2Rv1G0110370 transcript:SECCE2Rv1G0110370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPATLPDELLEEILLRLPPDDPGCLFRASLVCKPWRSRLAGPAFSRLYREFHGTPPLLGFFENDETVLCWFSPLSPTSPFFPVHPGKCSLFVLDSRHGLVLSNTIGPDGEPLCLIVWDPVGHRQWRLSLPDFVDWPTVPDCAAAVLCAAHGCDHLDCPGDPFRVVYVGTDDDGVAQACVYSSESRAWSPVTSCEHPELPLHVRLSRPNALVGDAVYFLCTEENVVLRYDLFSQELSMIHWPAMHKWKCGYILMPTEDGVLGCANLQESRLQLWSMEAGPDGAVKWAICRVVDLQNLLPSTPRYVISCTDGFRVFFVRTNLGIFTVELNSGRVKKVSSSSEVIPYTRFYTPDLVGGITPPSTMASSSENVETTQDEHHVGDEKEKCVEKGEDDFDLEENGWEEEAEEEEWGWDGEKAANELFDKGSKAIEEEQFVHAIRCFHDVLESRTLYYGRLSPLCISTYYKYGLTLLYKARADIAPYQDLVKGDDTGSSIASGSNVKEDDTGKDLDLAWKMFHIAREISENCPWMPMAKVDTYCALAEVSMEREDIDYSLRARFKALAILEHLVEPDHCRIVLLNFHIFLAFMSASKIGDALPYAIKVVSLYKSRVRKLRKALEDLLAVKGEKAHAAEVCSEELSLDNEIDVLNNILTALENKLKDLAQAILTPNSEASGTHNVVYAAPKDASLTLEISGPSNSMSTAATIETRSTGTDLETVGQGMKQASAKLISAAPSCPEKLLEDSSPVKGDSRNKSDLHPAARKDDASLSE >SECCE5Rv1G0359580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:762772504:762773240:-1 gene:SECCE5Rv1G0359580 transcript:SECCE5Rv1G0359580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIDAELRLGPPGSGGGDAAFAGGAQKKRPSSTVAAAATSEASGTDDHDAAPASKVQVVGWPPVGAYRKSTFQSAAKESKVAGEAGKRGGLYVKVSMDGAPYLRKVDLRTYGGYGELRAALDALFGCFSSPDNAQFAMAYEDKDGDLMLAGDVPWDMFISSCKRLRIMRSSEAR >SECCE3Rv1G0203750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:885022266:885026022:1 gene:SECCE3Rv1G0203750 transcript:SECCE3Rv1G0203750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLQQHCSLLQISTSHLPTLLRPPRNPRRSQLSPPNAARTTTSSITPSPAAPILARNAAAAPWRGELLLLLPAAAASWPLPALAAEAEGGGGSKVSLESIVLAIDDFNNRNPFFVAGVVFVWLVVLPLAQDYFKKYKAVGALDAFRKLRDVPEAQLLDIRRGNSVRFMAPPNLRLVEKSTVQVEFDEEDEKRFLGKVLARFPDPANTVVCVLDNFDGNSMKVAELLFNNGFKEAYAIKGGLRGPDGWQAIQENYLPPSVHVFPREKKSKTLTHTDVSTEGTDDQPEGNGELLTTPSSTLVNTSNGTKDGHEEPNGSTLAAKHSRRPLSPYANYPDLKPPSSPTPSKPGRAEGNGELPTSIGSSLIKTSDATKDSHGEPNGSTLATKQSGRRPLSPYANYPDLKPPSSPTPSKPGRPDENKELLTSPGSSLDNTSHATKNGREELNGSTLATKHPRRPLSPYANYPDLKPPSSPTPSKPGR >SECCE2Rv1G0080580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:131040615:131040902:-1 gene:SECCE2Rv1G0080580 transcript:SECCE2Rv1G0080580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPPAFLPQLVQLVQSVSIAPTPQPPSAPVEGTGGQVMVLNDASSLLPQLMGTPRGEGAGGRIHRQLAGPKRPGPPREGRGGGGGAIHASSS >SECCE1Rv1G0026230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:367840767:367843873:1 gene:SECCE1Rv1G0026230 transcript:SECCE1Rv1G0026230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCKSSCIVQDFRKTHVPDLEWRIHNFSSLVETGAKSVTSSAFHCSRYNWYLRLSPVHKEAGSETPYVALRLVPSRKSMVLGHTVHAVFELSIYNHSKGMYCGCKASYNFDFRNTYSKQHCLIPLQDLLNSSAFLVDDKCVFAVEILKIDVSSPKRKAVVLQKKATTVQNLFVQKKGFVKGTYTWTMNNFLELDLKYFVRSPTFEVGGQKWYIGMYPRGDLYSTDCLSLYLYLDASDELCLESKKVVVMTLSILDQKNGKHLTVTSGLWVFTGGHGFGWADFLRLGKLKDPSGGYVVGSSCIVKSDLTIIGSSNDG >SECCE3Rv1G0209030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936067384:936071556:1 gene:SECCE3Rv1G0209030 transcript:SECCE3Rv1G0209030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVSSLLVVIRSEAQLLRGVGDDVQFINEEMESMKSFLEHLARWAPLGSGERNQQVRTWMNQVRLLAQDCSNCIDLYLSRGNPDVYRARGGLRGYLWWVSWFRRKLVVQHRAAEQLRLLKVRAREIGARRLRYGVEVPGNSGKWESPPKAAPLLAAAGGIDDDDDDEEEEEEEDGDCHSARRAFTEPRTLDDHVRGKLREWMNGVPANAGESLSLAVVAPYTYQDLLPLVQNVWLLSQNPEGGYHRIVVVDIPAVHLDFMPLRPKEVLFYILWELKLAKSNPQEQGTEGQEDLDSWEVYQRKWQIYREKKRAIAHLDIKENIKEIYEKLDKIKSVIQGRLAQGDGLPKGDKLQGDFDHLDLDVLLQLLLQAASRQDQKGQNKDMHRLPVWDNSNIIVKKLKEHMEAEENEEKLEEEEAAKHMGMEEGEESVAKHMEEEGGAKHMEEGGEEITIHSEEEEGGEPGKHMEEGRREATIHSEEEEEEEEEEEEEEEEEEEEEEEEEGIQLYKAQYAHILRKVFPSSSSSSKPLQAQDKSLDKQATKTTTATLGEDQIKQMIHDILRELQQGKYDKSEGTGEPGAPDQNPETVSEKIFACFMDQMMEQMKREFKEQVKIKGLVDVIKNNLNPHPFRDNKCECPLFILKVDELMDVSTCEDIRNALSLLNCSADLMIVTAAKEIQLAKEYCYPPWEPIDYSLVGLYHDTVLNLTSEQENEDSYNPQIFHDILHLCEPHEFCMKIFTHAFYANSKRSNEELLKLHNTLRNLPKTSFNSITKVMFKFSYNDLPKEYKSCLLHLAIFSPGQKIRRSTLIARWVTEGLAYKEDWPSSVRQANRCFDTLIGRCLVYPADIGTTGNVKSCVVGDLIHGFITTIARKQHIVETRLSHHLARHFSIFNDLQLRSSDRIDKFFEGLSKSSEVSLLKVLDLEGCQCFLGKNQRYLKDICSKMLLLKYLSLRKTNITRLPSEINNLHELEVLDIRETRMPAHATTNILLLKLKRLLAGHIDLNSSNFRYGVRIPRRIGKMVNMEVLSEVKAWQSNDLKDIGKLWQLRKLGVVIEDKDDHLRNLLQTISDLHECLCSLSITAIPIATPHEGTPSSAELPYGIASMLKNHFKILESLSIRGTTQQGRLLPLFITGDKNKLVKVTLCHTLLSQDDLKVLGKLPKLRCVRLQHIVCTEHMLNFKDGEFRCLKLLVVEGSDLTNITFEDGAASELEKMVLSITSICSISGVDRLLKLKELELNSSFCGSLLDDAKQIAKLTLRGTLLEQDALQTLTKKPNIRSLVLLDKSFGGSQNEITLIKDEFLWLNLLVVDCSAITKIVFTSGSAPRLEKIVWSSSTSLSGIDKLPRLKELEFKGDKVPDEVREAIDKHKNKPSLTAPETQD >SECCE7Rv1G0495490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:598823788:598824354:1 gene:SECCE7Rv1G0495490 transcript:SECCE7Rv1G0495490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPGFPGFSLPPPTWSYRPSMPPPPPYRYWYKPPSNGSSEGRTVAGIFGGLVACLLVGIAVCSLCRRHRNSRARATAAAAATRAQNLTESSSVRVDVRQLHRACAVSPTAGLPAFTYSLSVKHNVSGGGEEAATCSVCLGAMQLGETVRLLPACLHLYHAECIDPWLDAHSTCPVCRSDTDPAAA >SECCE3Rv1G0144530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:4605708:4607180:1 gene:SECCE3Rv1G0144530 transcript:SECCE3Rv1G0144530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASGVVLRGGGAAEAEAEAGRPPHVAMLVTPGMGHLIPLAELAKRLAARHGATATLITFASTASATQRAFLASLPRAVASVSLPPVDLSDLPPDAAIETLMSEECVRIVPALTAVLSGLKETTRLVAFVADLFGADSFDAAAAAGVARRYLFFPTNLHVLTLILHLPELDASMPGEFRDLAEPVRLPGCVPIPGPDILSPLQDKSNPCYRWMVHHGRRYRDAEAILVNSFDAVEPDAARALRAPEPGRPPVYNIGPLIKTDAAIAEKDAPRAACLDWLDRQPPRSVIFVSFGSGGSLPAEQMRELALGLELSGQRFLWVVRSPSDEGAVNANYYDAESKRDPLPYLPQGFVERTKEVGLLVPSWAPQIKVLAHEATGGFLVHCGWNSVLESLVHGVPMVAWPLFAEQRQNAVVLSEGVGAAIRVPDTKRKEEIAAAVREVMAGEGKGVEVRAKVAELQKAAAEGLREGGAATTALDEVVRRWTRGGDD >SECCE1Rv1G0046520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:618034088:618034570:1 gene:SECCE1Rv1G0046520 transcript:SECCE1Rv1G0046520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSGALFLKAATVAVVLVMLVVPSLGRCHASPSPAPPPTTSTPPPPAPLPPTPAPAPGPISSNDCYSQCYFSCDASIPSKCIALCNVEVPCNSCKTSAIEECRTSKNCTDSCDECNDAPNFKCAFYCTTRNCIGCHYGMGQMCNKACREECSAPKCVP >SECCE7Rv1G0466460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:79357098:79357412:1 gene:SECCE7Rv1G0466460 transcript:SECCE7Rv1G0466460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLASATRPDISFAVSKLSRFVSKPGDDHWCALERVMRYLKGTVSYGIRYTGYARVLEGYSDSNWISDADEIKATSDYVFTLGGGAASWKSCKQTILTRSNMEA >SECCE4Rv1G0296350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:902703380:902703880:-1 gene:SECCE4Rv1G0296350 transcript:SECCE4Rv1G0296350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSCRYWQLSGIVCAHAVSAIHQHTNQLHAYVASCYSVEAFKKTYAYCLQPVEGPQGWPESDKPKPLAPGYVKMPGRPRKERKREGTEKPKATKVSRVGTLIRCRKCKCTGHNKTTCPGNAASNGPVTIGQNAASENAASGNAARSFTKSRSNAKASKMSTSKVQ >SECCE2Rv1G0129140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:866943729:866945423:-1 gene:SECCE2Rv1G0129140 transcript:SECCE2Rv1G0129140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSRKKSSPSSLSSSGRRDRISGLPDSVLGHVLSYLPNKEAGRAAALGRRWRDIFCSVHTISFEEKEGEREEDWYTYFLEAEEQRSCSAQLIHTISAALLRRRRRYGSTATGLPVPLRSLRFAFDNYDTWDKVAVDQWLFDVLRHAEKELHLDLRFLMGPTCKHRIGNSRYKDESLFHKDWGYLLPRRLYSCTAIRTLCIGHCKLNLPETINLPFLEVMRLIGILGDDSGQTIQKLILGCPRLTDLTLEANNRLKKVFVLDKHLRRFALRCCHNLMKVSIDASELRSLDYGGAVPQESLLSLHGSPTISSCTIDFCKATPMEPEFVLFRRFLQKVSPSKHLCLHHRGLDTQFFRVFPSFPSLTRLELHGPIRSRDTVDAVRRVLEQTPNLEVLSLNMDDMERRQKEEEGTMYPGWLNRGSEDDDQEQYHQSLDDDQEEEAEEEEEEEEEEEAMYPGWFNRRGVDDDQEQYHQSLDGLVELRVPSRSSFSMRCLRRRVKEINMVNYRCDLQHRVLASLLFRNALVLERMCVAFVKKGPSELQSELTKEIESWLVGKPEKSFK >SECCE6Rv1G0442130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814227079:814228257:-1 gene:SECCE6Rv1G0442130 transcript:SECCE6Rv1G0442130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGVSVVDGDKECSCETSAVHADSDSGYHLLMVRGYQRTEEGVPTGDSITTGIFMVGGHYWYIHYYPNGLTPDCADYISLYVALVYDNDDAERGLAVEARFSFSLVDHVEKQNPMYICEASKTCTFSGGATSWGRNKFLRRDALERSSDLKGGCFTVRCDIMVVCQDSKIEDLSGIHHHFNNLLQTKVGADVTFEVGDERFAAHRCVLAARSKVFMAQLFGPMKEGTTTSTVIQIKDMEAKVFRALLIFIYTDVFPLPLREEDGMWEDEMSVVMEEAKEVKAEVQDELRLQCLQHLFVAADRYDLQRLKFLCEQQLSEHIGVTSVMSTLALAEQHHCQGLKEACFKFIQVQSPSCLQTVMSTNGWDHVYTTYPSVFKEFIANLASNQQK >SECCE5Rv1G0363400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:792867532:792874084:1 gene:SECCE5Rv1G0363400 transcript:SECCE5Rv1G0363400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:Projected from Arabidopsis thaliana (AT1G08520) UniProtKB/TrEMBL;Acc:A0A178W355] MAAMATALSTSLPHLPPRRLPSHPIAAVSLAPRGLRRREAPARLAAVASASEVLDSTNGAVPAPTSPAPSGQQRYGREYFPLAAVVGQDAIKTSLLLGAIDREVGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSIANADPNIPEEWEDHLADQVQYDADGNVKCEIVKAPFVQIPLGVTEDRLIGSVDVEQSVRSGTTVFQPGLLAEAHRGVLYVDEINLLDDGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPLSFDDRIAAVNIATQFQECSKDVFKMVEEETEVAKTQIILAREYLKDVAISTEQLKYLVMEAIRGGCQGHRAELYAARVAKCLAAMEGREKVFAEDLKKAVELVILPRSIISDNPQEQQNQPPPPPPPPPPQNQDNAEDQDEKEEDEEKDEEEKEDDDEENEKQDDQIPEEFIFDAEGGLVDDKLLFFAQQAQRKKGKAGRAKNVIFSEDRGRYIKPMLPKGPVRRLAVDATLRAAAPYQKLRREKSLDKTRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVAIIPFRGDYAEVLLPPSRSIAMARKRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKKSNDPEAAAASDAPRPSTQELKDEILDVSAKIFKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALADLKS >SECCE3Rv1G0158370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:87289732:87293670:-1 gene:SECCE3Rv1G0158370 transcript:SECCE3Rv1G0158370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAGAAAAGGGPPNTKMAGGEKLIIRSDKVRLIDILSMLLLRRPITSYSFVEASDQTTLDVGNESGGIIVPLTEVILKFLAAAYWPAKVFSVVLEFLLNFVALNGGMLGLGIIWNIFRCKLVIPLDREAPNFRTIIGMIDGRTKLKPATLEVAGVGDMRQLQVYDKVVFGEAADLESGGCTVATLLVQQQYLVPEVTVMAAKIAYENHAYIENVVNNIWKFNFVGFYNGWNKFLNQDTTQAFVMTDRAKDASAVVLAFRGTEPFNTKDWSTDVELSWLGLGAMGNVHLGFLKALGLQEEDPKEPKRAFPRKDKGSAPKGKSFAYYQLREVVREQLKKHPAARLIVTGHSLGGALAAIFPALLALHGEKDLLGRLSDVVTYGQPRVGDSTFVDFLSAATKVAARYDRVVYRYDIVPRVPFTAPVAKYSHGGTCVYYDGWYDGKELAGDEPDPNYFDPRYVLSKYGNAVGDLVKGAFLWASAGRDYREGLCSLLYRCGGLIFPGFASHSPRDYVDAVRLGRIALKQI >SECCE2Rv1G0140350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931303430:931304471:-1 gene:SECCE2Rv1G0140350 transcript:SECCE2Rv1G0140350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVLLDFWASPFGQRCRIALAEKGVTYEYSEQNLEQKSELLLRSNPVHKKIPVLLHGGRPVCESLVILTYIDEAWTEVAPLLRGDPYTRAQARFWADYIDNTIVDCQTRLLTTKGEAKEQAKKDMIGALKTLEAELGDKDYFGDEAFGFVDVAFVTLTPWFYTYEKYGDFSVEEHCPKIVAWAARCRGRESVAKALTDPEKVYEIVQEEYGAN >SECCE4Rv1G0232070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193839699:193839998:1 gene:SECCE4Rv1G0232070 transcript:SECCE4Rv1G0232070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILARVLFFSSRSYLGLCLTGKVSELLLVSKEDRDPMGKARKVIRYQRLTLDALQPQFLLLLCNYEQNFLDSNATYPFGVDVTNYASLPMKPTEILSEY >SECCE5Rv1G0298450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11251252:11254594:1 gene:SECCE5Rv1G0298450 transcript:SECCE5Rv1G0298450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGRRRRRGNPATDTEARKKKKTTKRSLALAEDPRLMSAAFESEKAQPQPKLDHAYGDDAAESSSEESTYPPSPLLHRPYIPDELADRPDIRAAFKRAEAEYRADKSCRFVFTLDRHSSLSCLSKDRSLLHIREPAKDAVLLAADSIISLSSYLDDEPLNRCSGLWIQRDDKKNTAVVLTSAHLIRAKEYDEWKNEWTGEYHREAEVIVHLLDDTTAVASLLYLQEHYEFALYEVLVDKPVQLSTFNDNVHSGQDVFRLGRDGSLDLRITHGRVEYKIPIRHERCHYMYFSNDEHHFRDDGGPVIDLEGKVVGMVNNQISETFLPSSILHKCLDSWRKLKCIPRAHLGMTFASIKLLDPICIERMRRKHNIASGLIVEQVSKGSNAEKLGIHRGDIIERFNGEYISNTIELEKMLLDIGGDQFVQEKVLYAEIDVRIQIFRATKLCRRVRNLTVIVSDCGENIIEGTYPITDGL >SECCE2Rv1G0125910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844207368:844209017:1 gene:SECCE2Rv1G0125910 transcript:SECCE2Rv1G0125910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGDGTRRKTACVTGGSGYIASALVKMLLEKGYAVKTTVRNPDDAEKNAHLKTLAALGPLEVFRADLNEEGSFDDAVAGCDYAFLVAAPVALMPENPEEDVIQPAIQGTLNVMRSCVKAGTVKRVVLTSSTAAISSRPLEGDGHVLDEDSWSDVEYLRATKSGTWAYPASKVLAEKAAMAFAEEKGLSLVTVCPVVVVGGAPATKVKTSVPEVLSLLSGDDDMVDNLELIEKASGSIPLVHIEDVCRAEIFAAEEATSGRYIVCTLNTTAVALAHFLAAKYPQYEINDDRIGHLPEEPRVSIWSDKLVKEGFEYKYKNLDEIYDDLVVYGRTLGLLKY >SECCE2Rv1G0087750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:231152113:231156210:1 gene:SECCE2Rv1G0087750 transcript:SECCE2Rv1G0087750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLRLLVLCLMIILFVVYNMASYQRRQTALDEDAPPFDTMMGSDRAAVKVSGTGRATAKVSHGAASTARVGFLPHGIVEPYSDMELKPLWLTRSVQSQESNQKDRCLIAIPAGINQKKSVDALMKKFLAENFTAILFHYDGKVNEWNDLPWSKSVIHIAASNQTKWWFAKRFLHPSVVSMYKYIFLWDEDLEVDNFNPRRYLNIVKSERLEISQPGLDPKLSEIHHPITVRKKTGNFHRRVSRANKDCSREGPPCSGWVEGMAPVFSKSAWQCAWHLIQNDLVHGWGIDYKFGYCAQGDRTKNIGVVDSEFVVHRGVQTLGGSAMTKRTRGKSSHALRQKTAQVQQQTRGRAAGLDMRTKVRRKSRVELRDFQKRWERAAREDRTWVDPFTRSRRKRRNRPAVD >SECCE6Rv1G0421380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683789793:683790299:1 gene:SECCE6Rv1G0421380 transcript:SECCE6Rv1G0421380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCAENIGVPRASGMFKHALHAVAFLCEEEIAARVEYLKSTFMWTDIEVGIAVSKAPTVLTRSKESLQRRSEFLISEVGLQPAYIAEQPAIVCCSLEGRLRPRYYVVTFLKENGLLKCNPSYSTVFRETEKAFRDKFICPHKEAAPHLEEDYDAACKGELLANFRFT >SECCEUnv1G0534900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:48503429:48505187:-1 gene:SECCEUnv1G0534900 transcript:SECCEUnv1G0534900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase family protein [Source: Projected from Oryza sativa (Os03g0320900)] MLLSRRFSSALARSAFLARCPLPPRAPPPPRTAPRRLMSSSSSSGWHHSSRLPPPPPPPPPSFSDKDQLFRGLEAALGTTFSSEPLAPPPEPMILVISGPSGVGKDAVIKRLQEEREEVHFVVTATSRAIRPGEVDGKDYHFVTKEAFLTMIEREELLEYALVYGEYKGIPKQQIRDYMAKGHDIVLRVDIQGAATLREILGESAIFIFLVAESEEALVKRLIHRKTETTDMLLVRIATAREEVRRMKYFDYVVVNAEGKLEDAVKQVESIIDAEKAKVQKRNVQI >SECCE3Rv1G0187910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:706547464:706549955:-1 gene:SECCE3Rv1G0187910 transcript:SECCE3Rv1G0187910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRARGRARRGRGRGRGRCSVSENEMDHHETSAPSSPSTTSDREDNVVFTPEQVHVACYAGPAEPSSSTLLNPKINHRSDAIFGDQALEQLKLRHHKPLKFHERYRPYLRDAGLLGLSQICQRMPQLDKALITALVERWRPETHSFHLASGEMTVTLQDVAMLFALPIDGRPVCSSTDHDYGQMVLDCLGHDPRGQSMPGKSFLHYKWLKKHFYELPEGADDHTVQRHVRAYILSLLCGVLFPDGTGRMSLIYLPLIADLSLVGTYSWGSAALAFLYRALCSAASSHNMKNIGGSLLLLQLWSWEHSHVGRPLARSSSLVEADIPQDLPPIGFRWVGARAQSENATRCLKQYRDELNLQRADQLKWEPYMLIESSSLPPLCTKDADLWITQAPLINFPIVEMYLPERVMRQFGLRQCIPPPFRPTLQTLHRISRRGRERENWEETHHEYIQEWEARRQRIFREAEQYDLSSYDEYLQWYSGATRRYLVPSTGDDAEAGLLSPPDDSSDLQYKAKSPMIRKAVDKLHGMMKKAKTAMASTADTATQALVFEFLHGFEDVLSDLGEIKERGGPEAPPFDSATGSHCGSAAPHHESLLLLEAEQNIICDNQEGEYQEDEDLHMVEQATLGFEPMGGENHRVDSLLLEVHENCDSASLAIVNCEAADFVIPQHTEDVDEAGHAAEMEHGVQVVESMSTCEENNGFDVVPSPPQDSADVKLEGDDVATAEHEDAEEENNSGCNGAPSSHP >SECCE7Rv1G0496940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:618698260:618698493:-1 gene:SECCE7Rv1G0496940 transcript:SECCE7Rv1G0496940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLQAHREIEDATTHDRLWDDLVEHHWQLDVRRIGP >SECCE3Rv1G0190070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:734695732:734696197:-1 gene:SECCE3Rv1G0190070 transcript:SECCE3Rv1G0190070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAARSFLRSGSAASSLRGAAARAAPRVGAAPLPRRLPASAPRVLLRSPVEMTSFCVESLMPMHSATASALMTSLLATPARNGFGWLTEGDFHISMFFFVFFRNLRWPKSRCMFVCCHLL >SECCE3Rv1G0214140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:964302481:964303904:-1 gene:SECCE3Rv1G0214140 transcript:SECCE3Rv1G0214140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAMLPDNIAAEEGGQHHDEKEHRPMFRRVKDKMKKMKNAMAGHGHDHDHEPDTGGGDSNSTDEDEEAAAQEVKRDDPAGMRLGDVGGPASAKEVNRDDAPGVLLADIGAPAAAQEVKREDDAAGMRLSDVGGPASAEEVNRDDAPGVRLGDLGSPVAEDPAVPNARTPMPRGGQDIGEAMAVSDDPKTGGARKTDVDVSKEYEAMPVSDAECTWSYTDSLKKTAAGTTEYGKKLASTVYEKVAGVGTVVASKVTPGFRAGGNAQDDSGATAAPESVTAGAGKRDPDLPQEGTRASYTGTEELKNAATDATGTEGLKNAATGATGTGTEGLTNAAGTEGLKNTATGATGTEELKSAATDATTEGATYTDMIKSAAAGTTEYGKKLASTVYDMIMSAVAGTTEYGMNLARTVYDKVAGVGTAVASKAQQVTTSAGTATHGVARE >SECCE2Rv1G0137380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:917783842:917791401:-1 gene:SECCE2Rv1G0137380 transcript:SECCE2Rv1G0137380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNTKRAYKLQEFVAHASNVNCLKIGRKTSRVLVTGGEDHKVNLWAIGKPNSISSLPGHTSAVESVAFDSTEVFVAAGAASGTVKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDSAVKIWDLTAGKLLHEFKSHEGQIQCIDFHPHEFLLATGSADKTVKFWDLETFELIGSTGPEMTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRCHDTVDVGWSRLSDLNVHEGKLLGCSSNQSCVGIWVVDLTRLEPHATGTSALLNGRSELKALSGGTMPLQNDSGSRANIGRSSALQNSENNLKASTGRLSVSQNSDSAPKEIKPTASSGLVPSTPQRVGTGSSTRTAGNSTFSSGGTTLKRSSLKSNSTSNLHNFSKTDVVPAAVIIPRTSSGAELGTGSRSYAADVPPVLSKASRRTEPATDPRTESADVAPAVVPRTSSRMEMASDSAPDAVSRAGRRLESAADSRKESADAAPAVPRATSRMEMASDSVPVLPKAGRRFESATDSRKESTDEAPVVVPRATSRMEMASDFRRDPSAGRVSPFRIQSRYAEPRKSTNVKVDMDKVDVGSKDTENNDLTCQIFLPRRNGAVQTVISEEPREDAKHGTVYRSGFPGSAESNTSHRNDNYVPRMRKPRDNCYIEVSRAGRTRSIVSNWEGRDQSPSHEEPTTSNSELGAPRGRMYSSRGSSQAAETNIVTSEEDVLSVLIEEHDLFLSSTRSRLTKLQILHQMWQRNDIRGVFSALEKMSDHAVCADMASVLMEKSEAITLDLCTCILPVLADLLESKTDRHVAVSLELVVKLVRTFGPVIHSTVSVGPSSVGVDLEAEQRRERCNLCFVELEKVKNKLPSLMRRKGAASNTAQELSLVFQEIMS >SECCE5Rv1G0362350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:785566400:785567170:1 gene:SECCE5Rv1G0362350 transcript:SECCE5Rv1G0362350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNTEPGPAVTYADLKLCFDPLWSQHFYVFKFETTPNGGYDTEVKVFFSEDSTWSNCLWETSDILGGDSLFLNGVLYVDHLWGHYLVALDAPDTRTQLLNHRILQLPGFPNGPEQRFYCFDGCLCQSSGVLCYAQQELDGCITRIWSLEGSDRWVVKHRLNMNNVFGRDIMLHTNNEGLWYFDYEILAFDLERELVFLADTIADNKIISYSISTGKGSQILDIPRFVDLYRSQLDVPYYGKFPASVLQGAQDKC >SECCE3Rv1G0189350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:724984528:724985787:-1 gene:SECCE3Rv1G0189350 transcript:SECCE3Rv1G0189350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAASRLLLLLIRVVATASFALGLAGRAQLDPQQLLALRALGLGARRAGDPCDADGAVAASCDAGAPFRRVTSLVLTNCSDTTSVSAAALEALAPSLRALAFSDCPAAPPRLLPPEQLAAGLLSFSCTASLGRLSAVWLSRLANLTELTVANTPLATGSPSELAVVISHMDHLNRLTISNANLSGFLPHHWHCPNLTHLDLSGNRIAGAIPDTITHLGGITHLNLSSNVLKGQIPTHIGDLIWLTTVDLSNNSLSGGIPETFSTLPELEVLNLGSNRLNGSIPPFLSEMRGLKELNLENNDFDGVVPFSARFLSRLRVFRAAGNGKLCYNRSELSAEVAVGVAPCDMYGFPVTAPPATAKSEKSTADHDDGGGDGDADGGDDARGGPSAVVLGLAIGLSCLAFVVILVVCLCKVCR >SECCE4Rv1G0269050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:747043373:747064528:1 gene:SECCE4Rv1G0269050 transcript:SECCE4Rv1G0269050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANWSPTDPAAAAGVDPSAPPPTGGDWRAQLQPEARGRIVNKITETLKKHLPESAPDGLNELQKIAVRFEEKIYTVTTSQSDYLRKISLKMLSMETKTQQAPGNAQVIPNQNHSNPGLPPKGSNQAHTSAIRMSKQQARQPNTSSSVQASSLTNIGQNLSGVNQTSTMQNVSDMPQNTMNNGLTQGTSQDIYAAERKMAGRQQQSQQLIYQQQQQMLMQQNSRMQPHIQQQQSLLQPTQMQSSQQSMIQMSTGLHTGQPAVPQTQPMAIQSVTQSGIHQNQLNSVQQSVPSLLYQPQQSVGRQQQQTQPSMHQQPLLQTQQPNIPSQQQQQQSMGQQPNLQQNQLIGQQNGAVEMQQQVRLPVQPNNLLNMQQAQQLLNQQSMPLHQPQQLGSQANISSLQQQQQLLLGTVPNVTNMQRMHMLQTKALQPPQQHTQTPPMSLMQPQSQHNQLQQSQKHLMSQFQSQPNQLQQQLGMQQQSSMQQRLQTSGGMLLQQSNMDHQKQIIQAQRGLQEVSSTSADSTSQTGHAGACDWQEEIYQMIKSLKDQYFAELHELFNKISVKLQHVDSIIPPQKPSEQYGRMKNFKVMLDRILQMLQISKGTIQPAMRDKVPQYEKQIISILSSQRRKPVQPQIQQQFQPPAGQALDSSISQEQLTSQNLQQHDSRTNPQASLSSNSTRLQSSGVAGIQHVPAPPTTNSSAPTQKNGVNIQLQAVSNLEAAQGGNFNSLQHGSVSGALQEGNTGPMQGTMNTQLQTSSSMLSHNSMSTMQPNGNFMHANASSLQQLKQQQQDHHMMQSQQMKRQMFQQYQQKQQMLQQQLPIHQQLQKQQQVQMQVPELHAGNDVNELKVGQGTAMKPGIYQHHLGQHSNYYHQQLKQSGDFPILPPQNLQASSPQISHHSPQVDQHNPLPSQVKTGTPLHSAKSPFVPSPSPPAAPSPIPVDSYKAHSNISSLTNTGQTGHQQTFLAPQTQSIAVNTPGISASPLLAEFTSVDESQANMATQAPIKSSAAERPLGRLLKALRTTQRESLHAAVSDIRSVVSMMDRIAGSAPGNGSRAALGEDLVAMTKCRLQARNLVTDNGSGASKKMKRDTSAMPVNVASAGSVDDSFKQTFSVDTPDVQSTATSRAKRQKIEVNHALLEEIEEINQQLIDTELHVCEDDAESFAATSEGTVIKCTYTAVAVSPSLKSMLASADMSPIMPLRLLVPAGYPKCAPVLLDKFPSEERNSDDLSSEAKSMFGVLLRGLAEPVSLREIARAWDACARRAIAEYARKTGGGSFSSSYGCWETCVGA >SECCE7Rv1G0469070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:105791944:105795940:1 gene:SECCE7Rv1G0469070 transcript:SECCE7Rv1G0469070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISRTAVSPAQPRIHLPGRSPFLTTRRAAPASAGAGSAAPWTRLCAQPPRLGAAVAAAARHDAASAPAPVEEVAAAAAGPPWKLLGSLLPKASTAALVLLMTLTASALHSSIPHPAYASVQPVIKSGGLLSTELLSSGWAGFFAGCLHTLSGPDHLVALAPLSIGRSRLESGLVGALWGCGHDAGQIIFGLLFLLLKDRLHIEVLRIWGTRVVGLTLLMIGATGIREASEVQESGLILEGVDMSGGEPLQQAPAAAPRKKKVGFTTFATGVVHGLQPDALLMVLPALALPSRFAGAAYLGMFLVGTVFSMGSYTAFVGSCSEALKDRIPKITEKLTWAASLVAVCMGLALLVGQFFGFTLY >SECCE7Rv1G0467080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:83283252:83283818:-1 gene:SECCE7Rv1G0467080 transcript:SECCE7Rv1G0467080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKTPTSKSGFFGVRQKPSGNWGVEFSDTGSRWWIGTYPSAQEAAHAYGVAVWRAERPRSHLNFPEIESRAEAEMLVPQGINMKKKKTKTRSVVVSAGKTKEEAMARFAREHPEYVQAEMEYYWKREAEQKKKGPKKEDEAGPSTVIPIESSSEEDCADFSEEEEEGCDDPTKEEFWVQFRSFDEE >SECCEUnv1G0533260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:28003664:28005283:-1 gene:SECCEUnv1G0533260 transcript:SECCEUnv1G0533260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGGRFEKNPTAEKALEAAPSVVSAGGRSGEVHTAQFHIYQPPASLQGWPDDHDTEAALRHLGLLDFARLQLPDGIPRHDLVSDLVASYHRENCRGYAYLWGVRVSVDRKSFLSAAGLQATAEHKVLPPRRRTRSTRSSSTVTSAALQFMEIWILPQFQGRDMLPSNVSAAVRGVKAELAHDVDWGELIWDLVQNEILELPKRDDKNSYFGLHLLRLFWVKHPEVFGLKDKMERLAQVHLDRILPQVVDNVTERIRLEFTRPKKITRFFRVQQPQVVNNLSKPVEYRDEAAAVVQVQQQSIVGDEAAAVVQVQQQSMMGGEAAAVVQVQHQSMVVQSSPLAIVLNNDVSVDKRDSLEAALAEPGHADAHKHGVKHVKQQFQQGNQQKQTCNSNKCSVPSGSIVLNDDAIVGKRDNMEAALVEPGQADAHKHGLKHVEQQFQQGNRQKWTRKRSKRRVPSGSTISSANSSRDIIGIKSEVKRARLENQPTKELHEAEMFIQAIKVLNETLSAETIVHILQDSAVWLPADPGWCSSGGH >SECCE5Rv1G0357700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:748827005:748828280:-1 gene:SECCE5Rv1G0357700 transcript:SECCE5Rv1G0357700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKVKSDGEVVLGAVGDEEEDEDVVLPGYRFHPTDEELVTFYLRRKVARKSLRIEVIREMDIYKHDPWDLPKASTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAAAGGSGSGVSIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAAANASPSMQEAEVWTICRIFRRTITYRKQQTWRPAPASSIAVVAADSSSNTGSFESSSEGGDEYMNCLQAPAPTAAYMPQPHQQFIGKTETVDSGNFFFRDTMHNQQFQGHWNAPPAAPVPPEQKPHNQLSTAVSFHQNDHSLAVTANDFFKVEGYLEEIARMMEVTDPAGFYGYRSHG >SECCE1Rv1G0016400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:138216893:138220936:1 gene:SECCE1Rv1G0016400 transcript:SECCE1Rv1G0016400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPPPQPAHGGYAARFSPAGLIHAPLSALLEYSSGVLRAQAGGGGGGGGGGAQRGEPAAGADGEVSIRIAGPDDAPGAGAGDAGGGQQPADEEAPAARGDEAGAGGGSGRGDSPYQGYDVQRLARWVEHALPFSLLLLGVFIRQHLQGFFVMIWIAAVMFKSNDILRKQTALKGERKMPMLIGIVIVFTIHVFGVYWWYRNDDLVRPLVMLPPKEIPPFWHAIFFIAVNDTMVRQAAMVVKCLLLMYYKNSKGRHYRRQGQMLTVVEYSLLLYRALLPAPVWYRFFLNKEYGSLFSSLTTGLYLTFKVASMVEKVRSLLASVNALSHKDLHYGSHATTEQVLAAGDLCAICQEKMHTPILLQCKHIFCEDCASEWLERERTCPLCRALVKPGDIRSFSDGSTTLFFQLF >SECCE5Rv1G0367250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:820091176:820093261:1 gene:SECCE5Rv1G0367250 transcript:SECCE5Rv1G0367250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWSLLAVAWLPVLQVLLAGVLGACLASTRFDVLTSDARRHINKVVYVVFVPSLVFSSLAGTVTLKDIISWWFMPVNMGIIFLIGATLGWLAVKALRPGAHLQGLVIACCSAGNWGTIPLMIVPAICTEEDSPFGDADTCKSLGLSYVSLSMALGNFFIWTHSYSVMKRSAQLYKKCSEPTTNIRKEEDSGETENGNHVAFLPLSSGEFHEDVESDPVSSSLLSNDAAGSFLHYLRKAKDLLVEILNELWSPPSVAALIGFTVGAIDNLRPLVTEEDGPLRVIQDTAKLLGDAAIPCTVLILGGNLTKGRGRTTMKPLVVVSIIMIRFVIVPACGIGVVKAASELGFLPRSPLYRYVLLLQSTVPPAMSIGTIAQLFDVGEEECSIVFLWTHLVAALALTLWSTVFMSLVS >SECCE2Rv1G0123750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:826735455:826738249:-1 gene:SECCE2Rv1G0123750 transcript:SECCE2Rv1G0123750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLRRRAASSPAASLQLFRCCYHRSSERLLPCRDQEVSYGLNWAIAARGVVVKDKVFYNLEPSELQKSGTTYAECLSGTPLHVKGNVIGGFPDISGSQFAKLLKQVTFHLSSISSLYVQDGAIGSSAECDAKVRVISDNPSAIMSLSNILQKIPDRAISHDTRPMTIYVASSISTNVRNALGSGTQYANGVAVADIERSSLILCGKAFADSAMLKHALTALAAPILSARGGLPVPGWLLSCGGSIVLLFAPVEVIKSCSEIQDVLVSTDSGVVISSKQSSVLFPTKSRAPQLFTKPATVVVVSSDSTDALPLVSKLSPGQAAYHFLAGYQDGKFVPAYNRAPSPFDPLALANSLCLHLKQDNTPTFLINSKCAGKQIDGKDLMRLIELAQSNVMADSSKPEDTRVAELKGKYRSFLSSKFGKCLPEDFSF >SECCE7Rv1G0522300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876722224:876722737:1 gene:SECCE7Rv1G0522300 transcript:SECCE7Rv1G0522300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKQLTSKEEKNGNFGREFVKCESKPEGQIVKKCHHFEWMDDYIQRLQGLGLLDSRGNAIREFNLPHDSAAAAAAARPEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE6Rv1G0399540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:441657035:441657934:1 gene:SECCE6Rv1G0399540 transcript:SECCE6Rv1G0399540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSAVANLSGASPLPAFALRPRSRPNSASPGPNGPAPRRLAVAAPPRAFFSSAPYQPPEPEGYSSVREYGLVPMVVETTSRGERAYDIFSRLLKERIVCIHGPIADETASLVVAQLLFLESENPLKPISLYINSPGGVVTAGLAIYDTMQYIRCPVNTICIGQAASMGSLLLAAGARGERRALPNARVMIHQPSGGAQGQATDIAIQAKEILKLRDRLNKIYAKHTGQNIDKIEQCMERDLFMDPEEAREWGLIDEVIENRPASLMPEGLSAVEPPRHSGGGPNGRGRDRDMEEPSAV >SECCE6Rv1G0423970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:698276795:698279193:1 gene:SECCE6Rv1G0423970 transcript:SECCE6Rv1G0423970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPAVKVALGTAAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFNVITADEAYAAVDLPILGLLFGTMVVSVYLERADMFRHLGRALSWRSQGGKDLLVRTCVVSALASALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGDFVFGILPATLVGVVVNAAILLCLFWRELSDDKCVHVSSHHELPAEVVEEDDVTSHRFSPATMSHPRRGRLPDGSDAAGCDAHCSCDDPVKPASVNGDVSKAAPDGVGIHQRRGNANGRVTAKEEDEYCCFNSTEEKEAAMDEEWKNRLWKTCVYAITFGMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSTFWEFMEPYARIDTPTGVVILALVILLLSNVASNVPTVLLLGARVAASAAAISPAAETNAWLILAWVSTVAGNLSLLGSAANLIVCEQARRSQQFGYTLSFFSHLQFGFPATLIVTGIGLLLIKSN >SECCE2Rv1G0077710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:101123014:101126755:-1 gene:SECCE2Rv1G0077710 transcript:SECCE2Rv1G0077710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSVSPTAPALPSAGGSFFRGYTKLCKGLAVILLLVHLLVQLFPSAVNYLALIPARTIPFAWNLITAGYVEQTIPGVIISIIGLLLFGKLLEPLWGTKELSKFVFIVNFSTSMCVFVTAIAVYYVTQQESYLYTPLSGFYGVLSGLLVGIKQLMPEMELNLFVLKIKGKWIPSLIALISVVVSFFMKDLVSYLPVILFGIYMSWIYLRYFQKRLETGLKGDPSEEFSFSSFFPAILRPVLDPIASIFHRLLCGRSDRADRGQTLETSSLPGSDSTEANRRRERGQRALEQRLAEKLAAVKSTESTSLDASDKV >SECCE3Rv1G0177830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:483456627:483460390:1 gene:SECCE3Rv1G0177830 transcript:SECCE3Rv1G0177830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSAAASPGRKSPAAVLFLCVVTISLLMFILLASYTPRLQPHGRSPHRRLKLHPKNSAAVASSYGAGAVHESGGNRHAAPFDPAIAELERRLEDKEWEREHYRILHGDAEKDDHMKEWEEFLREEEDFINDDDRFNVSDRIRALFPKIDLSPEDGFVSLDELIRWNLDQARADQLHRSAREMELYDKNGNGIVSFTAFRALRQQSHGDGNSLGFPWWKEEHFNASDVNGDGFLNKTEFHDFLNPSDSENPKIINLLCRQESRQRDKDGDGKLNFEEYFHGLHDHIHGYDDENAAISHIGNITIAKERFSKLDKDNDGFISENELEPVLDKLHLSARYYARQQATHAISEADKDHDGRLTLEEMIENPYAFYGSVYFSDDEDYFHEEFR >SECCE5Rv1G0356320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:738701010:738703284:1 gene:SECCE5Rv1G0356320 transcript:SECCE5Rv1G0356320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Accelerated cell death 11 [Source:Projected from Arabidopsis thaliana (AT2G34690) UniProtKB/Swiss-Prot;Acc:O64587] MGSNEADKPLRRIGASFEQLAAVAKQQPAAMAAGDFSRACSNVSVLFGCLGIAFKFAEMDYVAKVNDLVEASKTISTLPSMVELDIQKGTVRQAGSHTRNLLRVKRGIDMVKVLFEQILVTEGNSLKDAASKAYAQVFAPHHGWAIRKAVGAGMYALPSKSQLLKKLNEDENSARAQMQSFVRTSGPVILYVEDLFTSKNLGLDW >SECCEUnv1G0554020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:281179873:281180073:1 gene:SECCEUnv1G0554020 transcript:SECCEUnv1G0554020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVAVSALIPEALGKSEERALILGWAYYLYAFSSYPLRTWLPSVYRRHDNWYTRGASFPVLSY >SECCE3Rv1G0170240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:250470339:250478117:1 gene:SECCE3Rv1G0170240 transcript:SECCE3Rv1G0170240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRVSFRDGRLASRKAEEAAWRRHQAATWLEGMVGPFGLSPSPTEKEFVAALRNGIVLCKAINKIQPGVVPKVVANAPCDSQPSTAFQYFENIRNFLVAVQELKLPSFEASDLEKDNLDAGSVSKIVDCVNSLKSYQERKKCSGTYGPVKYMKSPLAPCSAIHVKTENVTSGSSTPQKCLDLKEIDAEGQSFQNAGPNMEEAIGKLRRIILDCMISCKENLNQDVLKKDPVTLVGAILSNQLENEQFKPLLQLISPEGAAMKNEPNQHIECSNSQNENRLRLLEAQESELLELKTMFQEVKIGFRSLQTQFQDDITELGHNIQGISKAALGYNQAVKENRNLYNMLQEVRGNIRVFCRIRPLKNTKSISSIEHVGNDGSIMVCDPYKPQTTRKIFQFNQIFGPTTTQVESNFITVLVPDINFTLDIRSSSNGLFNLPDAKMCPVQSPSDVMNLMLLGEKHRASGPTAMNNRSSRSHSILTVHVNGKEISGNVSCSCLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTQLLQSSLGGNAKTLMLAHISPEGESYVETLSTLKFAQRASTVELGTAHANKESNDIRELKEQVETLKKALATKEFERSSLKLKENIVTSERRKQLPERTPPRPRRLSLGTTSSEKGSIPGKPPKSPVSAMQFNRDHGTARDKECSIDVHRSVIQMSPTLSEEPVGDENEKIFTADDTVTFYQLPPDGYNQYKQSGLDTLQRTPCRSRYMGVQVSQTEEPSDAKLDKTTTSSVAKRGSHLRRSIQSSIGKLIHGSERRNTPHSAQATPAKITTNANNDGASPITTNARLKRRQSLTGLPPPSSTMSRRSSLGGKSDSSSSDRKAKTPPPMNSAAKTKRWL >SECCE3Rv1G0163890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:153577359:153579970:1 gene:SECCE3Rv1G0163890 transcript:SECCE3Rv1G0163890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCVFYHRLLDYRRPEVQSLAELFGGPGAGAAVEWRMPENHHEDSPFHLVRLPGDERLAAQIANRSLLVKGIYELWGQGATYDELEKAIRLYPDERKLPYLTPESSFKIIVDSFGKAVSFEEQNAIIKRFTYIPFEGRVNLKKPDHKFFVLETDDYGPQNGLPPVSQKTVFFGRLVGAADRHVVPTYELKSRKYIGPTAMDCEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCVLRADNNLPPWRPGLKEIFDAIICDPPYGVRAGGRKSGGRKLLKGIIPPYIVPDDKRENHIPSTAPYSLAECVHDLLLLAARMLVIGGRLVFFYPVLRDDDVADAAKFPEHPCFKLVSSCEQILSLRYSRVLLTMVKVWPYTDEVERIGEERHQEFRENHQKWMEEGNLHSAVFSPAEHDGKPKFDKDSKPKYRGKYV >SECCE2Rv1G0079040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:113884947:113885948:1 gene:SECCE2Rv1G0079040 transcript:SECCE2Rv1G0079040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEDARRPPALPWTVRLQFAALSLAHRPDGSVRRLLFSLGDLHAAARPRPDASGVRSADVTVDASRGLWARVFSPSSAAEAPVPVVVYFHGGGFVLFSAASRPYDAFCRRLCHGLGAVVVSVNYRLAPEHRFPAAYDDGVDVLRHLDANGLPADLAVPVDLSRCFLAGDSAGGNITHHVAQRWSAMTTISSPSSLRLAGVVMIQPFFGGEERTEAEVTLDKVGPSLSMVVTDAYWREFLPEGATRDHPAARVCGELAEAFPPAMVVVGGFDLLKGWQTRYVEALRGKGKPVRLVEYPDAIHGFLAFPEIADSGKLVEDIKLFVDERRPTKS >SECCE3Rv1G0185920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679327000:679327829:1 gene:SECCE3Rv1G0185920 transcript:SECCE3Rv1G0185920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEGGELQLLGAWMSPWVIRVKVALRMKGLSYEYIEQDLQHKSDLLLGSNPVHKKVPVLIHDGRPVCESLVILEYVDEAWAGAGTPLLPADPYDRTVARFWATYVNDTFFPSWRPLFRATTAEQRAEAFENVLPQVETLERAFTECSKGKAFFGGDAVGIVDLALGSFVVWIRAVDELGGTNLLDEARVPGLAAWAERFMAVDAVEEVMPEAGRIMEHYKGFLAKLAAPAGSG >SECCE5Rv1G0297840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:6589099:6594314:1 gene:SECCE5Rv1G0297840 transcript:SECCE5Rv1G0297840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKISRLSPADEPQSAGPRRKSRRLSPSDEPQTSQLTPPGDRRGLFSCLYSGKCDDPPNFSLKLDLLATIPDFYQQAFDRLPIQDMPADAADQLITSMGSYGLSLGLLDPVSNIILNTIALLPRDFLKLPPPPDGTRRSIRLARGSSSISRRETWSGVAAASFLALRRFMVRYFGCLSEEQATRYLHWARGDLIRAVLLVAHDLYDDEFRIPNPASQRTQAALKCAAICASHPAPDMLVRLQASPLPQDWLDAAAPFLKPQGRKLTLSDVDIIVRMLRHQDGAPLDLQVKLLPDTSELAVYCRKFNHGQGQLSTHNKNNVNHGGDFSLVTFKVERHGECLASLSPEFHQGSTYIKSSCLDDAEKARRRGGLVEICCGDACEYTESLRMRLHSTIHAIYLTVFTMLPPSTGLIRDILFAGHCYGPMDPVSNIIVNSIWHSIVYPLPMPEIKEYHIIDTLSMLRVEGRSLEGLIALVRGNLESGCSTQRAMEHLSCRCCDLSGEKHTLQQFSAAAAAARHPQHAALGPFLASLTPDVLNDLRSLMKIGTNGVIPPESLVQIEHFLRQKAVTLDPEPPKVAELCEEAKGTLRRMKSYYGRMKLYLCSELEQLLQKYASEHPLGTEYVLSFICGVVRGSESLERDCYHVNFVAASKSGTAGNQLFFAELNWSYPGEQPKSNFCCPLPLTYTGRCYYGKGTARKIVYPDSADFIKSNHDITRYGTRHTDGMLDVDLMFDFRSDAQFADDMRKYCERQKELLQERDEY >SECCE6Rv1G0385630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:82561394:82563342:1 gene:SECCE6Rv1G0385630 transcript:SECCE6Rv1G0385630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWAYYLCLFLALLLPLLLLKLNSKHRGVRLPPGPWQLPVIGSLHHLAGNPLVHRVMADLARRLDAPLMYLKLGEVPVVVATSPEAAREIMRTHDIVFATRPWSPTMKIMNSEGEGLVFARYGTPWRQLRKICILELLSARRVQSFRHIREDEVGRLVAAVAAVPPGEPVNVSERISLLITDSAVRAMIGDRFKRREEFLQTLEEGVQLATGFSLGDLFPSSWLANFISGTARLAEENHRKNYELMEYAIKQHEEQRAAASANGDVEEGEDLVGALLRIRKEGGLDVPLTMGMVKGVILDLFGAGSETSATTLQWAMSQLMRNPNVMRKAQAEVRDNLQRKPKVTEDDLTNLKYLKLVIKETMRLHPAAPLLLPREAREPCKILGYDVPKGTTVLVNAWAIGRDPKHWEDPEEFKPERFESGTVDFKGTDFEYIPFGAGRRMCPGMTFAQASMEIVLAALLYHFDWELPSGVKPDGLDMTEKMGVTVRRKNDLHLHPVLHVPQI >SECCE5Rv1G0341710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:627070990:627080044:1 gene:SECCE5Rv1G0341710 transcript:SECCE5Rv1G0341710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G32180) UniProtKB/TrEMBL;Acc:A0A178V4D0] MAANNSGSEPPIPEHDDPGLGGVTHEEEAAEAKGKAPAASSSTTTTTTTMNRSGSRPQLDLSGAAIHGTLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHADQPTDDKRKLSTKRRLEIFTGARRSYPVLGGRLHFVKFETGKLNECLDFISSKQLHRGGVDSPSWRSGAQPDNIVIKATGGGAFKYADLFKERLGVSLEKEDEMDCLVAGANFLLKAIRHEAFTHMDGQKEYVQIDQNDLFPYLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTECKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDNKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAKAMFLRHEGFLGALGAFMSYEKHGLDDLSAHHLVERFPMGAPYVGGKIHGPPLGDLNEKISWMEKFVQKGTQITAPVPMGAPATTGMGGFERPTSKGDILRSDASAALNVGVLHLVPSLDVFPLLEDPKTYEPNTIDLDHDEFKYWFTILSDHLPDLVEKAVASEGGTDDAKRRGDAFAHAFSAHLARLTEEPAAYGKFGLANLLELREECLREFQFFDAYVSIKQRENEASLAVLPDLLMELDSMDEEDRLLALIEGVLAANIFDWGSKACVDLYNQGTIIEIYRMSRKKMQRPWRIDDFDTFKSRMLKKDQPYKRALISVDNAGADVVLGMLPLAREFLRRGVEVVLVANSLPALNDITANELPEIVAEAAKHCGILRKAAEAGGLIVDAMAGIEGDTKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDALKLAMVKNQRLAEKLFNGNIYDCICKFEPVS >SECCE6Rv1G0400960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:460123121:460124438:-1 gene:SECCE6Rv1G0400960 transcript:SECCE6Rv1G0400960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILSHVVSDLCIGKPAVRVLPPSTPIAAALATLRAGADPFVFVDAAPPDAKKTAAFSVVKVSVAEILCYVCGDSSNLGDPAAALCRPVSVLTAAVGDHGVTRRVDPHTSLLDAIDVLLANNSHSLVVPLHARTRKKHHHASSVSAGYCVLTQEDIVRHLFGSISLLAPVAALSVSALGLVRRDDVHAVHVDDDALDAIPLLRKSIGHGTAVAVVADDDALVGEICPGVLGSCDDVESVSAAFAALSAGDAMTYIDCYFSPPEFLLRSIRAELTGKGLHAMSDLMDAAYTTDVAALSSSSDEECLPLAPARRARKMSSGSFRWRPTEDVAACHTESSLVAVMAQALAHRVGHVWVVDEVSGALVGVVSCADVLAVLRDHLRPECYADDL >SECCE2Rv1G0135720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908275841:908278766:-1 gene:SECCE2Rv1G0135720 transcript:SECCE2Rv1G0135720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAADSRHQLCCTHHPLMAIGLPSTSHFVLLGILICLLVHHCSAVYDVESTAESGKLLSARLKLVGGSTEFGPDVKSLILTASLETDNRLRVRITDADHRRWEVPQDVIPRPAPKDVLLDSTANPSMPSNSTMSSASSDLTFTIHASPFRFTISRRSTGDTLFETSANLVFKDRYLEVTSALPADRASLYGLGEQKKQTFRLQHNDTFTLWNGDVTWSDQPDLNLYGSHPFYMDVRSGGAAHGVLLLNSNGMDILYGGSYVTYKVIGGVLDFYFFVGPSPLDVVDQYTQLIGRPAPMPYWSFGFHQSRYGYKNVADLDGVVAGYAKARIPLDAIWSDIDYMDNYQDFTLDPVNYPSKQLRPFVDRLHNNGQKYVVTVHPAIKRQTAPHEDLFLKRNGANLVGEVWPGEVYFLDFMSPRSTQYWARKISEFRRTIPVDGLWCDINEPSNFKDWQPLNPLDDPPYRINNSGFHLPINYRTVPVSTVHYNGVSEYDAHNLFGLLQAQATHAGLLRDTARRPFVLSRSTFVGSGRYAAHWAGNNVARWDELAQSINTILNFGLFGIPMMGADICGFNGNTTQELCSRWIQLGAFYPFARAHAEKTTLRRELYVWEPTARSARKALGMRYRLLPYMYTLMYEAHMTGAPIARPLFFSYPQDANTYGVDRQFMLGRGVLVSPVLQPGATTVDAYFPAGRWFSLYDYSLAYTMKVGKRVTLPAPADLANAHLAGGNILLLQHANLTTSASRQSEFHLLVALAENGTASGELFLDDGDSPEMGAVGGSWTLVRFSCDREESKGMVTTKLSSHVVQNSYAPSRAQVIGKVVFMGLQSAPKSFTIYVNSVQLKAAHTKSRTGGVFSVSGLSLAIGQKFEIKLVMSH >SECCE1Rv1G0025950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:363081580:363082126:1 gene:SECCE1Rv1G0025950 transcript:SECCE1Rv1G0025950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGQWAWMAAVAAEELAKLDAAHPGRLGPLKDELRRLVAEPGWDDDDAFALACLDGGAPAACSSPSSSPPSAPADLMFTQESSTNKRKWCGGGGAVGREQGKRRRKNAASGVKDRADMAIDRAKKCLKKIRAIKRSLLAFVTD >SECCE3Rv1G0184950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:669041831:669043445:1 gene:SECCE3Rv1G0184950 transcript:SECCE3Rv1G0184950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAASFAARHHHGHLAAGLPSTPQSGVRTSRSAATISMKAQKKQSSEPGSGKGGGDGRVSGGRRVWRRRKLTKEDDMLRYKLDRIPFLEEKVRKVRENGKLVCLDINQLMLSQENRFAFTMEVAEEANAYLEKHRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPYFIKEEGH >SECCE3Rv1G0194830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:785827459:785828121:-1 gene:SECCE3Rv1G0194830 transcript:SECCE3Rv1G0194830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECRSLIEFLRAFEHHRRAADSASCGARSKRAPSPPSSRRHRHLTALCDHSPMAAVDALVLLAVLAALGFLVAPHVRLLFLEARALLHPAASCLSAAPLVGAAATLAGAALGWGLVGHHVRKCGKPRCRGLKKAVEFDIQLETEECVRGRPTPAARSALLAAAGARPVDLGDAHRELEAELRKMAPPNGRTVLIFRAPCGCPKGRMEVWGAKKVRRIKK >SECCE1Rv1G0034330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:480780227:480780526:1 gene:SECCE1Rv1G0034330 transcript:SECCE1Rv1G0034330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALRKIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEA >SECCE3Rv1G0159760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:99285006:99287471:-1 gene:SECCE3Rv1G0159760 transcript:SECCE3Rv1G0159760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKATQKAAAEENPSPEFCPGIPGDYAVGSIPTVLYVPDFISETEQSQLLHHIYQAPAPKWKILKNRRLQNWGGVVHEKGLLPQALPPWLRKITDRICQWTGLFPSAINHVLINEYHPNQGIMPHQDGPAYFPVVAIISLASPVVLDFTPHGKLRGLEHTSLQNAQSDELQESNGSYKVEGTNEAGPASSSLLLMPCSLLIFKDQAYTDFLHGIQDNELHSLDKVANISQCPQFKHLSYDYSAGKADSSASSEPSGTFHRTTTRVSLTCRLVLKVHNKLFKF >SECCE5Rv1G0367950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:825312880:825318075:1 gene:SECCE5Rv1G0367950 transcript:SECCE5Rv1G0367950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCSSGLVPPPFDLSARRARAIRCCCYARPEPTPQRRLLLLSKASAAASPERVDGSKPAAAVRGRRRVGLTVTPSLPFPSSRSRRQPKQNDFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGEDLDENGYRCRWARMGGQTHDGSTEWKETWWEKSDWTGYKELGAEKSGKNAEGDSWWEKWKEVLHQDEWSNLARLEKSAEKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGSVLKWTDKWAETDLGTRWGDKWEEKFFAGIGSRQGETWHASPGADRWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEADPHYGWADVVGDSSQLLSIQPVERPPGVFPTIDFSSSPPRTEEPPGMPPSSME >SECCE3Rv1G0190920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:744539903:744540517:-1 gene:SECCE3Rv1G0190920 transcript:SECCE3Rv1G0190920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEVAKPGSSKHLSPKGSFKLSLFSCGQCKAATVSPPVSPTGAGARSLSSSAGTSRGRERDRHAELREIFRHFDRDMDGRISGRELREFFASMGDGGAEAALELDAAGSGGGDLMLGFEDFVRIVERKDGEEEEREDLRRAFEAFEAVKGSGRITPRGLQRVLSQLGDDPSVAECEAMIRAYDEDGDGELDFHDFQRMMSHD >SECCE6Rv1G0434770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:767453267:767454013:1 gene:SECCE6Rv1G0434770 transcript:SECCE6Rv1G0434770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETVKVMSTFGSIYGHRPEVALRVKGIPYELLLEDLPNSKSELLLTHNPVHKLVPVLLHGDRSICESLIIVEYIDEAFEGPPLMPADPYERATARFWAQFIDQKFARPFWMSICMAHGDKEEEEEFLKEAKKNLLLLEGQLKGNRFFGGDSIGLVDIAAKISGANLLTDEQFPALCRWAKEYAGDERAKECLPNMDELVAKITAVKKKFLAMADVQK >SECCE6Rv1G0433830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:761853482:761857034:-1 gene:SECCE6Rv1G0433830 transcript:SECCE6Rv1G0433830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGLLTPFPPRARATARTCIVSRFATELLEIRSREPSPSHHVLVVPGNPGIVGFYKDFVEALYENLGGQASVTAIGHISHGQKDYEHGRLFSLHEQIEHKIDFLEQELLHTEQSIIVVGHSIGAYIGLEICKRFQNKIIFFVGLYPFLTLNKNAMKQSAIGYVTRSSLLSKGVSLLVSFIGSLQASVTRSIVKRFLGSSWSAAAIDAGCSHLLQYHTMRNVLFMAKTEFEKLHEEPDWNFIRAKQDQIAFLFGVDDHWGPLTHLEEISRHAPEVALSIEKEGHTHGYCCTEAGSLWVADYVANLIKNKMLVGDS >SECCE5Rv1G0302400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:38512233:38516061:1 gene:SECCE5Rv1G0302400 transcript:SECCE5Rv1G0302400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGNRSSKKPPPPRGKGKGKRPAAAGDDPFFESEPKRRRARADEDIESGDSDDDALALGGAAVGGEDEEEEKEDKETAGEKRMRMTKEYLGRIADAVKRNKEEEEDDDEDDDEDDGLPAGRRVAKLLQKKQLVESGRQRLSLAARVLPPGPQDGFKFIAKHRQPVTAVALSKDSEKGFSASKDGVILHWDVETGKSEKYLWPTEKVLVSHHAKAPLSKKRSQQVLALAVSSDGRYLATGGFDRHIHLWDVRSREHIQAFSGHRGPVSCLAFGLDSSELFSGSYDRSIMQWNAEDRTYMHCLYGHQGEILTTDALSKDRLLTVARDRTMHLWKIPEESQLVFRAPAVSLECCCFIDDKEYLSGSDDGSLELWSVMRKKPTHIIKNAHPALAPSSLDSADEKLPKENGICKPQSLSSAHSWISAVAARKGSDLAASGAANGVVRLWTIQPDSKGMQPLFDLPLDGFVNSLAIAKSGRFIVAGVGREPRLGKWGRVATAKNGVAIHRLSLQDDSEDL >SECCE1Rv1G0016360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:137498761:137498952:-1 gene:SECCE1Rv1G0016360 transcript:SECCE1Rv1G0016360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSGICPRTTCGGTEGTDSASVPHPLRKKLLNHHKIKKYDALCLTLLVIVPCCCGRCSFARV >SECCE4Rv1G0278020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806630446:806630679:-1 gene:SECCE4Rv1G0278020 transcript:SECCE4Rv1G0278020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRIGP >SECCE6Rv1G0404980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:525356515:525366085:1 gene:SECCE6Rv1G0404980 transcript:SECCE6Rv1G0404980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEAAAAARAGAGAADAPHPRSPDPHDLSDDPDYADAASVPSSIHAAMRTDMADIGSEETARMDVVYEKERVTIHPTQYGSGRISGKLRLYLQLGSLFLSWEPNEGVNSFSTSSITAEIEKYRNLYTIQALPLSDVRFIRRHTPTFGLEYIIIVLSSGLAFPPFYFYNGGIRELFATLKQHVFIIRSDDDPNVFLVNDFEDPLQKSLSSLELPGVATVANAMSRQNSFSFTGSVSEVRHGDDVKYGGPSTMSEYGSKQRRKSNDPGRDISFQVLEKFSLVTKFARETTSSLFRENQSGSHAYGRQKQEYGLDNRASDKYTDQLITPDDASLPSDSVESDELLLVWGKKRGNPLSVEEWRAFLDPEGRIMDSKALRKKIFYGGVDHVLRKEVWKFLLGFHEYDSTQAEREYLAAMKREEYEAIKSQWKSISTTQAKRFTKFRKRKGLIDKDVVRTDRSVPYYEGDDNPNVVVLRDILLTYSFYNFDLGYCQGMSDFLSPILYVMEDESEAFWCFASLMERLGGNFNRDQNGMHAQLLGLSKLVELLDPSLHNYFRQNDCLNYFFCFRWVLIQFKREFSFDQVMLLWEVLWTHYFSEHFHLYLCVGILKRYRLRIIGEGMDFDTLLKFINELSGQINIDRAIQDAEALCTVAGQAGADCIPPGTPPSMPIETDGGLYLQEDDVL >SECCE6Rv1G0436040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:775601540:775605200:-1 gene:SECCE6Rv1G0436040 transcript:SECCE6Rv1G0436040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAGKRTSQHRDHDREDRDQKRRPAHTQETSSMDELVVYRILCPDKVIGSVIGKGGKVINSIRQQTNAKVKVVDPYPGADKRVILVYCYVKHRDLKHSDIDVNDDDREPVCAAQNALLKVHGVIVDALAVNCDSDDEEANILVPASQAASVIGKSGSVIKRLRSVSKSFIKVKPKDPSDVTHSCAMSFDNFVQITGDARAVKKALFAVSTIIYKCPSKESIPLETSIDELPPTIILSSELPVYPASSLYSVSDASMPSRHPSLSILGAPSHGSHVPEFTVPTDAHGGLPIYHHSMVPAIPTYSTHKCSGELLLRVACPADKIGLVIGKGGVTIKSIRKESGARIDVDDAKNDKEESIITIASTEATDDVKSAAVEAVLLLQAKINDENEDRMHLRLLVPANVIGCLIGKGGSIINDMRTKSKAIIYISKGTKPRRASSSDELVEVSGEVDKLRDALVQIVLRLREDVLKDSVGKQDSGKDGKLTVATTEPMYSSSFPMPALLPYSQEITPLRYDQRSEVERGSNVFPRSSLYHGYSPRQAVDDGFGLRSSYTSKPYERRAPDMEMFIPPSGLSKVMGKHGTNLDNIRKISGADIEIIESKSSRHEHVAHIFGNPEQRQSAENLIKAFIMST >SECCE3Rv1G0164410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:163092902:163095044:1 gene:SECCE3Rv1G0164410 transcript:SECCE3Rv1G0164410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEITEGVRGLKVEDGEGAAAADAPAAAAAGGEGQRRGANSSSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVELSALGMAIATVVTVAEILKNNGLAVEKKIRTSTVEINDESRGRPFQKAKIEIELGKSEKFDELMASAAADAEEGEEEA >SECCE1Rv1G0045000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:607393867:607394983:1 gene:SECCE1Rv1G0045000 transcript:SECCE1Rv1G0045000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFNIWTLQNKKNQISASAIWVANTEGDDDSTTNTVVAGLEVNPSRYGDSKTHFFTEWTANGYKSTGCGNLECDGFVPVNYAPITPGDAIETTGGKTKVTIKIFKNKADGDWWLHFGYDNRTLTRVGYWPKSIFKSLADHANYIIWGGFTGSYAGDASPAMGNGQWPGEKSAYVRDIKYVNTDGQGDWEPAPGPHGLGAYISHEKCYGLSPYKNDMFFYGGPGGCTK >SECCE4Rv1G0225160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:89438963:89440069:1 gene:SECCE4Rv1G0225160 transcript:SECCE4Rv1G0225160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPKSRVQEWEADHIDLIPGMPDDVAVDCLARVPHGSYRSMRRVCRGWRSAAAAPEFALARAEAGANEDLVFLMQFGNPVAGDDGAPENTPAYGVAVYNVTTGEWHRESSAPPVPMFAQCAAVGTRVAVMGGWDPKTFEPVADVNVLDAATGVWRRGSPMRSARSFFACAEAGGKIYVAGGHDKLKNALKTAEAYDAEADGWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTGRQGGFERDAEWFDPATREWRRLERVRAPPSAAHVVVRGRVWCIEGTAVMEWRGERRGWLEVGPYPPGLKAGTARAVAVGGGERVVVTGAIESEGGGGGHALWVFDVKSKNWTVVRPPPQFAGFVFSLASVRV >SECCE3Rv1G0193820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:775020408:775022143:-1 gene:SECCE3Rv1G0193820 transcript:SECCE3Rv1G0193820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREMIALLVAACSLLSWCSSLSGVEGIGVNYGMLGSNLPSPDKVVALYKANNITDVRIFHPDTNVLEALRGSGLGVVLGTLNSDLAPLASDASYAASWVHSYVQPFAGAVSFRYINAGNEVIPGESAALVLPAMKNLEAALRAAGLSVPVTTAMATSVLGTSYPPSQGAFSEAALPTVGPIVSFLASIGTPLLVNVYPYFAYSSDPSSVPLDYALLSSSAAVAVTDNGMEYANMFDAILDAVYAAVEKAGGGESLELVVSETGWPSGGGGYGASVENAAAYINNLVRHVGRGTPRRPGKAVETYIFAMFNENQKPEGVEQYFGMFQPDMSQVYHVDF >SECCE1Rv1G0003750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14965135:14969104:1 gene:SECCE1Rv1G0003750 transcript:SECCE1Rv1G0003750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGAMGSLLPKLGKLLMDEYKLHKGVKENIEDLRKELESMNAVLVKIDEVPRDQLDRQDKLWADDVRELSYKIEDVVDKFLVRVHHIQPDDTTNKFKGLMKRTAELFKKGKDRNQIGHAMKGIQEELEKVAARRDRNKVGGIAPNTREAVAIDPRLRALYTEATELVGIYGKRDQDLISLLSLEGDDASNKGLKKVSIVGFGGLGKTTLARAVYDKIKGDFDCRAFVPVGRNPDIKKAFRDILIDLGKSHSDVAMLDERQLINKLHEFLENKRYLVIIDDIWDEKLWEGINLAFSNRNNLGSRLITTTRIVSVSNSCCSSANDSIYQMKPLSTDDSRRLFYKRIFPDKSGCPNEFEQVSKDILKKCGGVPLAIITIASALASGQHVKPKREWDILLQSLGSGLTEDNSLEEMRRILSFSYYNLPPHLKTCLLYLCIYPEDSEIYRDRLIWKWVAEGFVRHGDQGTSLFLLGLNYFNQLINRSMIQPIYDNIGQVYACRVHDMVLDLICNLSHEAKFVNLLDATGNSTSSQSNIRRLSLQNKNEDHQAKTLTNIMSMSQVRSITIFPPAVSIMPSLSMFKVLRVLDLSNCYLGKSRSLQFNLKGVGHLIHLRYLGLARTKISELPTDIGNLQFLEVLDLDNNYELDELPSTVFKLRRLIYLNGMFYKVVPTPGVLQNMTSIEVLRGVLVSLNIIAQELGKLSRLRELEISFKDGSLELYESFVKSLCNLRHIESVSINRNSEETSFELMDLLGERWVPPVHLREFVSSMPSQLSALRGWIKRDPSHLSNLSELILYSVKEVQQEDVEVIGGLLSLRHLCIESTHQTQRLLVIRADGFRCMVDFRLDCGSAAQIMFEPGALPRAEVLVFSLGVRVAKEDGNCGFDLGLQGNLLSLRQQVYVWMYCGGARVGEAKEAEAAVRHALKAHPNHPPFYIEMKPRIAEGAHDDNLCEDEKN >SECCE1Rv1G0023880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:315597416:315602679:1 gene:SECCE1Rv1G0023880 transcript:SECCE1Rv1G0023880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin-12 [Source:Projected from Arabidopsis thaliana (AT3G04460) UniProtKB/TrEMBL;Acc:A0A1I9LLU9] MLFQVGGQGARPTFFEMSAAQQLPASLRAALSYSLGVFALRRPFLHKVLDYEDEFFALLMSVLESHSLRTTDGSFSESLYGLRRRPVNVSVNRSNPGAESNVTAYDSALRKRQKTLSVVFLVVLPYFKSKLQSVYNKEREARLQASLWDQGEVRFDEAGFVSDQQGETSQAQVGSTAGEVSHLTRLRTNFVALIGVCYPWIHATHEGLSFAYQLLYLLDATAFYNPGLHVLGLHVCRATGQELMDSSSRISRIRSRELERLRGPPWLKTVQRVLLNCTYTTLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPLPKVAKDGLPLPTDRTLCPLCCQKRNNPSVLSVSGFVFCYSCIFKSVSQHKRCPVTLMPATVEQIRRLFHDL >SECCE2Rv1G0124440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:832673974:832674501:1 gene:SECCE2Rv1G0124440 transcript:SECCE2Rv1G0124440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDGEGADVTFSVRDQLFNAYRCVLAARSRVFKAELFGPLKENTARKVEVDDMEPQIFEALLHFMYTDTMPDDDDEGKIERLQHLLVAADRYGVDRLKVMYEDKLYEGINVETVATTLLLAEQHHCKDLKEACIQFISSPRGILRAVMATNGFKQLVASCPLLMEDILKGVSCA >SECCE4Rv1G0253880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:630590197:630590487:1 gene:SECCE4Rv1G0253880 transcript:SECCE4Rv1G0253880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYRALPQGEVTAEEFWAWLGQFDADHDGRISREELQRALRSLNLWFASWKAREGVQAADANRDGAVGKEEAGRLFAYAQRQLGGKITQLGAY >SECCE7Rv1G0503320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:709900850:709901188:-1 gene:SECCE7Rv1G0503320 transcript:SECCE7Rv1G0503320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVAAYLLATLGDNPSPTKDDVRAILGSVGAEVDEGKLDALFKEVEGKDLAELLAAGREKFAFAPSGGAGAVMGVSPAAAGDAAEEKKEKAQEKKEEEEDEEDLDMFSLFD >SECCE1Rv1G0039930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:554932751:554935818:-1 gene:SECCE1Rv1G0039930 transcript:SECCE1Rv1G0039930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQGERPLYKDASAPVEARVRDLLGRMTLREKAAQMAQIERTVVSPRAMAELAAGSVLNAGGSAPRDRASPADWARMVDDMQRLALSSRLAVPILYGTDAVHGHNNVFGATIFPHNVGLGASRDAELVRRIGEATALEVRATGIHWAFAPCVAVCRDPRWGRCYESYSEDPEIVRSLTAIVTGLQGQPPADHPHGYPFLASVRDNVLACAKHFVGDGGTDKGINEGNAICSPEDLERIHTKPYPDCIAQGVATVMASYSQWNGEPLHASRQLLTDVLKGKLGFEGFVVSDWEGIDRLCDPRGSDYRYCVAQSVNAGMDMIMIPHRFEKFLEDLVFLVETGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLLDLVGCKEHRLLAREAVRKSLVLLKNGKKQGETFLPLAKNAKRILVAGTHADNIGYQCGGWTIAWHGDSGKITLGTSILEAVQESVGVETEVVYEECPTEAIIETGEFSYAIVAVGEVPYAEWLGDRTDLSIPFNGSDLITRVASKVPTLVIVVSGRPLVIEPQVLEKIDALVAAWLPGSEGMGITDCLFGDHDFVGTLPVTWFRSADQLPINVGDANYDPLFPFGYGLKVFRSDEGLA >SECCE2Rv1G0127650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:855361531:855365235:-1 gene:SECCE2Rv1G0127650 transcript:SECCE2Rv1G0127650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAASIASHVPSGMLSAGLAALAALPNPGELVRKAARLEDELRELLRLNGRGAGAGAGAGQGNRAQQTRERFLRAYERLKDELLNDRAFNFDFTEETRQWVAKMLDYNVPGGKLNRGLSVVDSYMLLREGTEVDDEDFYLACVLGWCIEWLQASALVMDDITDNAYTRRDNLCWYKLPTVGLSAINDGVLLKCHVQAIIKRYFKEKFYFMELMELWNEIGLQTAMGQMLDLITTHTGAKDLARYRIQGYRRIVKYKTSYYSFYLPVACALLLNGAKLSDYVELKNVLIEMGVYFQIQDDYLDCFGDPQVIGKVGTDIEDYKCSWLIVQAMELANESEMKILYENYGKSCPECVAAVKNVYKELDLQDIFLEYESRVYKHLVSTIDAEPDRAIREILKIFLKKIYRRKK >SECCE7Rv1G0474470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:172525497:172526210:1 gene:SECCE7Rv1G0474470 transcript:SECCE7Rv1G0474470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPSFPGSDACDGDGSPHGVKKMWTKEEDDLLREQVRRCGGPHNWDSICRGLPGRNSKSCRLRWCQHLDPRVEAVKPFTIEEDMLIVKYQAAYGNRWSTIAEFLSGRTDNAVKNRWNSVLRKRQEHAPSQQGQTRPWAPSAPRHATGPEVTPRCLPLFPGSCEEVSEANTSAPARKCLDLFPLAPGDIRANAAAAAAAAPPSDMACGAGDPLTELRIWPEARVVFDVMPLQAYRM >SECCE1Rv1G0059300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:705116110:705121647:-1 gene:SECCE1Rv1G0059300 transcript:SECCE1Rv1G0059300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERKGDYLGKTVQVVPHITDEIQDWIERVAMNPVDGTDKPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLTVVGEQKTKPTQHSVRGLRGLGLMPDILACRSTQPLEENVKLKLSQFCQVPVSNIVNLHDVTNIWHIPLLLRDQRAHEAILNVLGLWCVGKVPQEPKLSEWTERASRFDKLKSPVRIAMVGKYTGISDSYLSILKALLHASVALDRKLVVDWVPSCDLEDAAAEETPDAYEKAWGLLKGAHGVLVPGGFGDRGVQGKILAAKHARENNVPYLGICLGMQIAVIEYARSVMKLRDANSTEFDPAAKTPCVIFMPEGSKTHMGATMRLGSRRTFFQLNNCKSAKLYANANYVDERHRHRYEVNPDMVSEFEKAGLSFVGRDESGRRMEIIELPTHKFFVGTQFHPEFNSRPGKPSPLFLGLIAASSGQLEHLLQRTSGAVSSPTRCIAGPGAPKAKLRMNGLVSTYFANGSSIHI >SECCE7Rv1G0500030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:661532220:661533281:1 gene:SECCE7Rv1G0500030 transcript:SECCE7Rv1G0500030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRVTTENESANEGYKFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAVEVPSING >SECCEUnv1G0547660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:172315732:172318811:1 gene:SECCEUnv1G0547660 transcript:SECCEUnv1G0547660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNATELPILERRRHSSPRYLPRRHGPQEMASLPIPDELLPEIFLRLSTTCDLVRASAACVSFRRVVADRSFLRRYRKLHAPPLLGFLDFRRVFHPAEPPHPSASAAKAVALAADFTMSFLPAPASDWTISDIRGGLVLLDRRDCAELVVCDPLHRRHLLLPPMPEFDEWVRSVDKPRRFGCHILLVGGDEEASEEAFFRVIYVAFTRHKEAIFVFSSSTGQWRATPSIPQLASSRWHQYVYGCLYGVTGCGEKIQVLDTRSMEFSHMDLPPEARAGGSSHVHIAFVEAGEGTIGMFVLSDQTSDLSYFVRPNNGGSSSHWQLEKTISLDSSQYSFPESSAGTNLFLHHSGSPSPDAGLFSMDVKTFQLERVFGSNYNMLYPWAYNNFPPWLSTPAISSGTREGEEMLEQGAKTLQAEEAMDSPHDERTDNDADVGDQASVSRTGDGAD >SECCE4Rv1G0221820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:52684241:52684583:1 gene:SECCE4Rv1G0221820 transcript:SECCE4Rv1G0221820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMKLSLTFVLLLSALVVFGDAQATCDIIRCIQGGYITCKNYPGQKLNGCACVCAPEDGKGCVLYLDDGSTNKCTKR >SECCE7Rv1G0493590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:571191201:571193750:-1 gene:SECCE7Rv1G0493590 transcript:SECCE7Rv1G0493590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSRRPGLATTTTRLLLLVLLAVSAGAAPPSPRQFSTVAISHTANSTLVCALIIDRVNEDGGGNSKLQCKSMPDGRLTSYPSADIPFNAIAAGRDFLCGLMAPVGGHAAMRWWSFSEEEDARRSRPVGRRIYWGPSLRSMSAGGPHVCGLSDDHDPTCWEWPGLDLPKGLDFSLIALGQDFLCGIIKGDDTAMRCYGGRMPSPQFTADGVAVLFQTVAAGRHHACAVDKLGGLVCWGDGNPTVRRDELPADMMAMALGRNTTCILAGNGTVRCWGVKLPKEYTHTTFVSIEADGDTVCAVMTSNYSVVCWGNDGRFDGRHLVYNNTMPGACAPKSNCTCNIVPDSGRLCGTGGGLAGEELAVCSPCRQPLNASRIVVSNGSTAQLGDEAGDAKKKKALFVGLGVASAGVAAIIIAGLTFYVLASRKSEKRAHPTIHLGESSSMGFCRNSDVEIMVMPARERSALQPARPLGCEEFTLKDLSRLTNGFAEETKIGSGSFGSVYRAKLPDGREVAIKRAERASTGARRRRRFDAERAFRSELRLLSRVNHRNLVSLLGFCEERGERILVFEFMPHGALHDHLHGEDAGSGHSPLFSSWEARLRVALDAARGVEYLHCYAVPPIIHRDIKPSNILLDDDWTAKVSDFGLSLVSGASASASPTTTSGTAGTVGYMDPEYYRLQELTERSDVYSFGVVLLELVTGRKAIHRDQSQEGSGSPRNVIEFAVPAVETGNIDKILDSRVPAPRGHEVEAVARVAKIGAECVRSRGRGRPVMSEVVAELEWAVTLCEECVVRSASGGRNSSSRNGGSDMSRSRSRSESEDRSPYHTREFSFGSGRVAGVGHARSYSTM >SECCE4Rv1G0251390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:601778134:601779864:1 gene:SECCE4Rv1G0251390 transcript:SECCE4Rv1G0251390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGDRLSVLPDDLLLRILHFAPVNEAASTTALSKRWRGLWCSSGAVNLVARVPERTCKFGIDNDISFYSRRDAFVSGARLALEAAGSSAPVTRLSFCVEASHDHNWRFLYQDKNRDSIDVLADLLSLPAARHVEELRITTRERDSGHLVPLIHLHLSSLHTYFYGLSFLSLPSETLRVLDLTSFGGLEPSTGVSFPRLESLRMSLCNVRNKHLQAIIDVSPALATIHLQSLVLEGEIAPHTDATGNVAASLAEPPPLEDDLIIRIDCPAVTALVLDRCRLTKSSYSYGEGAAAVEIHAPKLRRFTYKGVLRQFSLTSQATDLAWADLHIIRERYRRNEDARRDLVTFWGFARNFSNAKELKLRVSLLEQIAVVDAATRTKLLCSFLNLECLEIEGAHGPKEKTAAVAIANLLRCSPMLRDLRINLSEVSGDLDTHHHHGRLSLERKYRHDLDKSIHGFENRRSQPVVSFEEDDTYDHLSDLPALSGRVFPCLQTSLRRVGLQFRMDKTYNNFGVKLIKFFAQNAMLLEEMQIDSGNGKMQDHINCDVERWFANSSNDRKTSFVVLPLERQNHHDI >SECCE7Rv1G0525960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893478032:893479002:-1 gene:SECCE7Rv1G0525960 transcript:SECCE7Rv1G0525960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLRTYTPQESDAEELNQEIYHKYTKVVSSLPCSQALTWHPLYLHDNGWYAPLAPMVSTMVADACFSARPSDIVIATMPKSGTTWIKAMLFSTVHRREHPADGPDHPLNSLGPHECVKLLEYQVYIRDRIPNLDGLPDQIVYAPLVSWRRAARSCTCAVTKFVNKFRARDGLEALSVETAADFFCDGVTLFGPYWDHKVLFFRYEEMIGDPAAHVRKLAQFVGRPFCMGEEEAGAVQAIVRLCSFEHMAGLDVAKHGKTELVLSMAENSWFFRRGQVGDWDKYLSPETAERINAITEARFKGSGLRV >SECCE3Rv1G0165840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:179713006:179717765:1 gene:SECCE3Rv1G0165840 transcript:SECCE3Rv1G0165840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVRVRRPPLLQSKLLCLSLLYLLTTLPLALYVSFSDPSRCLPLPLLLPAARRSPPALFVYPRDYGAHKHALPTPRALCSDPAVFSDYSTVLQEINGIGRNHSATPRASPELRYQNGRMGSFSGNLSIEERRSFFNHTDGAVEIPCGFFKDFPIAQADRLVMESCRGVVVASAILNDHDKIRQPKGLGLHTVNAACFFMFIDDRTRRVLASHGILRDEHAESASEVVGAWSVVTLPQQRLPYEDPAMNGVVVKHLLHRLFPNAVFSVWVDAKMQLTVDPLLLVHSLLVGKGADMAVSRHPFNLHAMEEAIATARWRKWGDVDAIRAQMEAYCSNGLQPWSPSKLPYPSDVPDTAIIIRRQGSASDLFSCLLFNELEAFNPRDQLAFAYVRDQMSPKVSINMFEVEVLEHISVEYRHNLKRGSGGGTKQGIARMASSRGIAGSSCEGYLMKMWGEPSE >SECCE7Rv1G0495010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:593471392:593477036:1 gene:SECCE7Rv1G0495010 transcript:SECCE7Rv1G0495010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIPMIRFPKRHLKVPSTPAAQPADQHATLMSRLGAKVETPSSGEKKNYRFRSDVPSAPSHNAVGGPASQLPKRKPLSEEEIEAIMQGGVF >SECCE7Rv1G0526500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:895731055:895732080:1 gene:SECCE7Rv1G0526500 transcript:SECCE7Rv1G0526500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDAGDGYGHGTPPAALAAIVVKGKRSKRRRVHASAASAVITASAEVIAVTAASAAGEVESSSSSVADGGGWRSGSGADEAASGCVTEEEEDMALCLMLLARGGGGGQGSRAGPSSSSSSVVVVRDDVAESTAGALAAAREGKFRSRRPADGGEGEFVYECRTCGKCFPSFQALGGHRTSHKKPRLPLPPTTSEEKMKLPPQAAEEKTPPPPPSSPSPASGVDRTVLAIPVPATPPKQETATAIGGPSKQQQQQGQGRVHECSICGAEFGSGQALGGHMRRHRPLLPASSAVLVIRKEKSLLELDLNMPAPCEDPAEATFAVKERPSFPAPASALVDCHF >SECCE2Rv1G0081830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:145317394:145319656:1 gene:SECCE2Rv1G0081830 transcript:SECCE2Rv1G0081830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGKVPTLAEEYSLPPKEVPVEKPAEEKSATSTVAEVVPQKDTEASPADETAADVEEKSETPEVKEPEAEETAPAAEESDEAPEETEEKPEIVIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGDDAPECEKFAKYYRSLCPSEWVERWNEQRENGTFPGPL >SECCE7Rv1G0518280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:858405269:858406896:1 gene:SECCE7Rv1G0518280 transcript:SECCE7Rv1G0518280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVVTTVQGAGGRVLLLPFPGMQGHANPMLQLGRRLAYHGLRPTLVLTRYVLSTTPTHPTQCPFPVAAISDGFDAGGIASCADTAEYLRCMEAAGSDTLASLLHAGDDEEPVRVLVYDSHLPWARRVAREAGVAAAAFFTQMCAVDVVYGEVSAGRVELPLADGSALRGRLSVELGPDDVPPFVAAPAWYPAFTESALSQFDGLDQADHVLVNSFRDLEPMEADYMESKWGAKTVGPTLPSFYLEDDRLPSNKTYGFNLVSSTPPCMAWLDKHAPCSVLLASYGTVANLDTTQLEELGHGLCNSGQHFLWVLRSNEADKFPKELHDKCNMKGLIVPFCPQLEVLAHRATGCFMTHCGWNSTTEAIATGVPMIAIPQWADQPTTAKYVESAWGIGLRARRDEEGLVKREEVERCIKEVMGGEKYKRNASKWMQKAKEAMQEGGSSDKNITDFAAKYLSN >SECCE2Rv1G0135490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:907155365:907166869:1 gene:SECCE2Rv1G0135490 transcript:SECCE2Rv1G0135490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWWEERELRILVLASLSAQYVLVYIAVSRKLRIHQVRSVWFRLAHIGGDALAIFALATLFNRQKNGPGCHYARGSRDLELLWAPILVMHLGGQVAITTYRIEDNEQWGRHMLTSLSKVTIALYVLYKSWSADDKRLLAATILLFILVILRSFQKALDLKSSSFDALRSLSEKSVVRSSGDSQERQLDNFIRVAKAVVRRGGSSEDLDLPALPYQLFLDFPSPYSDRVNYMKKFWGLDPKSAYQAIEGVLSTMTSFLYTKDYSADPSMTNFFRTGDYRALYLALMNAPGAVVFRWCTLALAYAMLITSICLVHTSSHRKAHGDEDTRITLVLLYGSLVLELVYPSVQTAFRDKFSSRIRQHSLITGLLIGAPWCYTFWLSKVPEWLPCKDLVDQYFKYKKPSVSCEEITELVRQHVESAWKDYIHDNETYRRFNDARGEWTLGRKKCLRKLGWSIKRPFDKSIILWHLATDLCFQYMPVSPDDRQYARRCKVISNYMMHLLYANPEMLMPGSRKSLFTKAYDELNDIRKDISTEPQDEIEFIQAAIHKCKQGYTHDSFIHDAWELAEFLSGDELDGDDHGEATKRWELIQGVWVEMLCFSAGRCRGYLHAQTLGGGVEYLSYVWVLLTYAGMETFPEKLQRRERQTVDGYYESLAPRGREDPAAATTSASETEDSAHVALHLTYDLED >SECCE1Rv1G0051820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:657163707:657164352:1 gene:SECCE1Rv1G0051820 transcript:SECCE1Rv1G0051820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQNQASYAAGETKARTEEKTGQMMGATKDKAGQATEATKQKAGETAEVTKQKAGEAKDKTAQTAQAAKDRATESKDQTGSFLGEKTEAAKQKAAETAEATKQKASETAQYAQERSSDAAQYTKESAVAGKDKTGSVLQQAGEAVVNAAVGAKDAVANTLGMSGDNTNTAKDSTTEKITRDH >SECCE6Rv1G0437900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786624718:786625215:-1 gene:SECCE6Rv1G0437900 transcript:SECCE6Rv1G0437900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLAAMLLLALASAVIVTAQNGADDMLNAHNEVRAAVGVGPVTWDPIVAAYAQSYAEKRRTDCKLVLSPEVRPYGENLFHAPGTDWKAVDAVIYWASGKQYYDHATNTCSAPSGESCDEYLQLVWRDTKAIGCGAVLCDGNAGVFIICSYSPPPMVGQVPY >SECCE4Rv1G0280140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:818534130:818535662:-1 gene:SECCE4Rv1G0280140 transcript:SECCE4Rv1G0280140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATILPTMALAALLLFLFLRATFRRGQKYNLPPGPKPWPIIGNFDLIGALPHRSIHELSKKYGPLMHLRFGSFPLIIGSSVDMARYFLKTQDILYVDRPKTASGKHTTYNYADMTWSPYGAYWRQARRICLTQLFSPRRLASLEHIRADEVKALMCGLFAVSGHAVHLSRDHMSMVSMNVITRMVMGKQLFMNGMAEGPVPSLKVFRWMLDELFLLNGVLNIGDWIPWLDWMDLQGYVRRMKRIGKMFDAFNEHVLDEHSGERGRREGEAARDMVDVLMEKAEDPALEVQYGRIGVKAFTQDLIAGGTESSAVTVEWAMSELMRRPATFDAATEELDRIVGRDRWVTEKDMPNLPYIGAIVKESMRMHPIVPLLAPRVAREDSTIDGYDIPKGARVLINVWAIGRDPELWDAPEDFIPERFLGSKMGVIGKDLELLPFGSGRRMCPGYNLGLKVVHLSLANLLHGFTWRLPDGVRKEDLSMEEVFGLSTSRKYPLQLVAEPKLADHLYV >SECCE4Rv1G0248320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:570748942:570760019:1 gene:SECCE4Rv1G0248320 transcript:SECCE4Rv1G0248320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRRAFGGRPELRLAYGSRARPLGRAILALLPPPPPPGAPCPVCRGTAFGCLACRRWAHLLRDGDPIAYRRLVTRAVCAVEPARAAPPPPRYTPGNAGHSQAQLVRETIQWILTDRSCRTKNVLCNGIHQGGQANLVSSSSWNILLQRIGDLLMCYILRHTSVFLPIKKSDYFQVTGVPLNIVLHKPIFACTMARKQQSRSTKVKCPTCHELRNAKMKLNITGGNRGNSSDSAFYCSDNTQKCDALQSSASCDAERVIKPNCSSDGCNCSNCFTRKPRKRKRLYSWQRRSKQKQFCNEDKLTELSKLNDSNYSACNLLSDGSAAAVNGQTHSLKCIADNISIGMNNDEFVSQTEEPCNVPVLSLKMSPSSVLDTSPSHDLLCGYSKSGVQCTSPKVGPSSYSQLNSGSICFNCLMLNASKCVSVDSLIPRQAIFYNKEISENVFHRSNLTNKRKGPDALSLLKRIFGIKECCIKFFQCDCHGSSTPNSNCLYHWMLQLVKSLVRNSKRCQYKKLFLKHCSVKSKVAKDGFPSGNIQYSTGGKSVYCGESFAQLEAYSTHQQVVSFVWAVLARIIPQPLLGNPSSKRSLRMNIWKFISLRRFETFQVTDCICELKASEYSWLSKIGFTSCFCSVLLGEETGLSNGTGEQKQNNLLHCWISWLFSDIVIPLINTYFYVTERETKRYDVFYYPKSVWRKLTSNTIASLNAQTFKILFSTSGRAIKHLYRSSRVRFLPKAKDIRPLVNFKAQSKDGVLNKCHLVIKKIRDDNPEMFGSSVFDYDGVYKNLSSYMSSVRRQLKESKIYIVVADVSKAFDCVNHDVLLKIMDDVLKGDEYALRKCTKVIYSRSKNVAYRFDSNVSVSNGNHINDFSIQPSSGGGILVDQGTVSTIRKEELQRVLFEQVKCNILKIGQNFYLQQVGIAQGNKLSPNLCSLYYGHLENSVILNFLHDGNSGDATSEPEFLMMRFIDDFMFISLSKKHALNFFNRMRRGFVYYNTYMNDSKYGYNFNIGDNEQCDNRLYRGDDGVTFIPWSGLLINCENLEIQADYTRYLGITIISTITVKMHSSMKYLGSKLCHYMRPKCHPIFYDSNINSLGTVRLNIYQAFLLCAMKFHCYMRSMPYSSISKPELLHVIKKTFRYMHSLIVSRMQDMELQSNVCPVLKLRRKETNWLGLSAYIRVLQKKQSRYKDLLALMIAEAEGYGHMDHDSDSLCYAVDDSHSSMFWKFKY >SECCE5Rv1G0330480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:530281184:530286247:-1 gene:SECCE5Rv1G0330480 transcript:SECCE5Rv1G0330480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMEGTGCGALLRELQQIWAEVGESEGEKSKVLSEIERECLEVYRRKVDDANRTRVQLHQSVATKEAEVALLMATLGEHKLYLKKDKGYLSLKEQLAAVVPVLDDLKCKKEERIKQYYDIRSQIDKIRSELSEHNDKGGHVNSPADDEHDLSTRKLNSYQAQLSALQKDKSDRLHKVLKYVNEVHSLCGVLGIDFTKTVNGIHPSLHQNGVEQSRNISNGTLEGLASTISNLKAERKSRIDKMRDTMESLCHLWKLMDSSEEEKRQFSKVISVLISPEEGITSAGVLSQETIEKMEAEVERLTELKTKRLKEIVTKRRAELEDICKNAHIEPDLSTAPEQTNALIDSGTIDPSELLANIESQILKAKEESLSRKDIMDRINKWIAACDEEAWLEEYNQDSKRYSSGRGAHVNLRRAEKARILVTKIPAMMDNLINRTFAWENARNKPFLYDGGRLVSVLEEYRLNRKQKEEEKRRYRDQKKLESILLAEKEAIFGSKPSPKRASSLTRKTNGYRSNGSSNGLMTPTPRRSSLGSATPELSTPRSYSSRYNRYFGDSRRLSVSQLNFGDDSLSTFTSISGSEPESPSMG >SECCE4Rv1G0240200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:440729892:440754730:-1 gene:SECCE4Rv1G0240200 transcript:SECCE4Rv1G0240200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASAATTSSWLLWRPFSSTILTRCTNPRLLRTTLCFSHSASAPALGAASLHPTPPPPPPTSCSSDGGGGMRWESTRKKRVVLRVGYVGSEYRGLQKQRDLSADSTIESVLESAIFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWEKDPDGIALANFINSNLPDNIKVFSILPAQRSFDVRRECLYREYFYLLPAEIIGIKNSCSSGEVEEHLIEFNSILKGFEGNHPFHNYTARAKYRKVLAGAHRRAKETSTTAHSIPSEMVADQSTSGDGSTSDIDEEYLNFPAKLDSSAPEDNCKMDNPELPESRVQIQARWLHEPNDNDRLSASHFRDILTCSCGELQSSSGVQFVELTIRGVSFMLHQIRKMVGTAVAVKRGLLPKDIIELSLAKFSRIVLPIAPSEVLILRNNSFCTMNKQGSIVRPGIQSINESEEIKKGVMEFYKAALVPELSKFLDASLPPWQEWVENLDHFTSIPDPQMDEVRTAYRAWKDDYDRVKMARKNIVSG >SECCE6Rv1G0445850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:841659634:841660782:1 gene:SECCE6Rv1G0445850 transcript:SECCE6Rv1G0445850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKERRSGVAYIHNDKDRDLTFYKRRFGLFKRATDISALTRARVAVVLETNNGKMHSFGTPLADPIVDAFLFGAPPVVPSTNEATTARIGSLQNEVAQLDMENMTEEEKNQLSILRMKNIQEENPGMVANLIFTKEQDLSLEDLNKLFSELSRVQKDIRCRLPPLHGRDAKTGGTIVAQDMQVPSVLPAAHLGTTHSLMQSSWPHNLSQLQIPSDPLPSQPEQTLAPLFAMEVPQMFHSAPPSLAPHLDSHVQPIPIQVHEQTPPEELHVQNYESTCNIVQPQQNDAHHDSTSGQNLEASPLLGHSSGNAFSIDDPFNMEQWGYDLSDQSWYNSFLGMDAYLGSSGTDPGQSSMVNGGWVDVPPSSTGQDIDGLTDYGDLL >SECCE2Rv1G0118270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:781725504:781726838:1 gene:SECCE2Rv1G0118270 transcript:SECCE2Rv1G0118270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAARVDAAGELLEDLLLLVFERLPGVQDLLRCAATCKQWLCLIKDPVFLRRIGLWPETARHPSVLVGIFYQNVVLLPPSAIQPLTRIPEPDSPPQFLSLQAGGAHLTFDSFVANDDGFFNFARPLASRRGLLLVRVMLPTEVDRQPREKVHLAVCRPLIDKQGRHLLSQPPFLVNHGFVRGQLTGYALLTDEDHHAVHDLDQQPSFQVVLAYTAANRAMHVYTYCSATASWSTPIECHQVSDLVSCGPFAGVVTCGTAHWLFTDQRSFYTLNINVGTAHVSLTQIPINVHAGEQRRRVPFPCVTGDRKLSFVSIRDDGVLELWAKQGQDDNDHGGAGGWLRSELINLGSADKINLVFFAERRGAMLVEQGGAFFTIDLKSKEKALVDLKGEEMEHVRGTCRFPMHRCSSSWCGGFRCTWGKVSPCTHNRPVLYEVDWVFEAR >SECCE6Rv1G0427070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:717463021:717464111:1 gene:SECCE6Rv1G0427070 transcript:SECCE6Rv1G0427070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAADGGHGGGNHPLRWTSPMSGFMLRRFVELIAGGVKTDKGFKEVHLNQVARNCSEHFGLSITGTQVYNHLRKWRARWVKISKLRDISGSLWDDTNYVISLEEEHYLGHIKDHPKDVEYLNVPLENYVQMLAIFGSGIATGRYAMTSHQALGVPSMVETSPSFVNLEGCGSEFVDGNEPGSSATVGAHGEDGVAAAHGKEPCKDASSSTGKRKRASLMSEEEVLVMSNMSDAVREVAIAIKSTGEAHPELYNSVMELPGFMEDDLLIVLDYLNENANRARSHSFVQMSETRRTRWVMHHLSKVNGGVPVPKEGLPKDGMPVTSDEVPKGGV >SECCE2Rv1G0096430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:439410933:439414598:1 gene:SECCE2Rv1G0096430 transcript:SECCE2Rv1G0096430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALGAQVAAVAPIGPDGRHYRSCSSLKGNNSCSKSWTGKLAWENKTLQPRHTKKVFCMSVQQASKSKGKVAIKPLELENTKEPPLNLYKPKGPYTASIVSVERIVGPKAPGETCHIVIGHGGNVPYWEGQSYGVIPPGENPKKPGAPNTVRLYSIASTRYGDSFDGRTASLCVRRAVYYDPETGKEDPSKKGICSNFLCDSKPGDKIQITGPSGKIMLLPEDDPNATHIMIGTGTGVAPFRGYLRRMFMEDVPSFKFGGLAWLFLGVANTDSLLYDEEFTNYLQQYPENFRYDKALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDDGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWDQKLSQLKKNKQWHVEVY >SECCE2Rv1G0114100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:730008381:730009442:-1 gene:SECCE2Rv1G0114100 transcript:SECCE2Rv1G0114100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like cytoplasmic kinase, Salt tolerance, Oxidative stress toleranc [Source: Projected from Oryza sativa (Os04g0540900)] MFQGCGLFACVSRRGADVRKRGEAGAASSRVAAEPAVWEEEEADGTAARQMAWAEVESATGAFSSRVIGHGGFSTVYLASLSSARLAAVKVHCSSERLHRAFRQELDVLLSLRHPHIVRLLGYCDERDEGVLVFEYAPNGDLHQRLHAGDGAAPLPWSRRVAIAFQVATALEYLHEGSSPAVIHGDIKASNVLLDGNMDAKLCDFGFAHSGVSATAGRGRSSGRAIMGSPGYVDPQLLRTGVANKESDVYSFGVLLLELVTGREAVCRETGRRLTAVVCPTVSEGNVADVMDRSLTGKYNADEASVVAELAMRCVSDAPGLRPSMADVVHVLQEKTTALISAVGSRLDRRIMF >SECCE1Rv1G0039960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:555227964:555229943:1 gene:SECCE1Rv1G0039960 transcript:SECCE1Rv1G0039960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQLEPPRPPPLKPVSTRCDLHPEETFTGFCTACLRERLAGLETSAAVAAAPGRKSTSAIRSLFSRPFAGGGAAPSVSGAAPPDLRRCKSFSCGGRGGDALAAAAAAGADEPQRWSCDVRGRSTLWALFHQDDRERVRDGTAFGAFPASSSAAAAALPAEFHQLPLARPCVPEIFLEDEIVMAESSDEITPVVEPVLVVDTSGEMETEAYGTGEVKAMKDHIDLESSQPKKPPPMDLKEIAGSFRLAASVFSKKWHKWRRNQKLKKEEAAGSKAAAAAMPPSEKPSKPSFLRRSRLRGEAGSEFAGGRRSCDTDPRFSLDAGRMSVDDVGLSWDGPRASWDGYLFGGGAGIGLGRAPPPLSRLPPILSALEDSPAGVVERSDGQIPVEDDSQPEPDGDANTPGGSAQTRDYYMDTSSRRRRSLERSSSVLRRSFEVPDPKPAPTAAAITNATVPPLIGSSEFYHFHHAEDLLDQRFSSNSLIEDFPVTLDAAFPGPAKKPRRLGKAWSLWGFIHRRATGRRSSPSDAADRAFSEPWPELRVRGYGNAGMQRCNSNASARSSFSSNSAGLGSSRRCFVDGNGHGHGQGSVKRRQEDQCVLERTRSVRYSPGHHADNGMLRFYLTPLRSASGRRGTTGLPANGGRHLRSQSFARSMLRLY >SECCE5Rv1G0369660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:836951626:836952409:-1 gene:SECCE5Rv1G0369660 transcript:SECCE5Rv1G0369660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFIVFLYFVSASPWATLAGPTHTCKFELASVAVISCQESAQSPNPSCCEALIHAVDVEPEFELDKGSCCLCKFMAARDIPFDLPSVYRSCHGKDSDIVAAWPPYKKNCNDVCYEDDVDGHTPVPPAPFAKDKQRGKSIGLRAVVAIIIGATLIIVVSLYCVYYKCPRGKAHESPVTSDDESVRGGAGVEMGVIERNLSSRNLL >SECCE7Rv1G0454130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:1511704:1513131:-1 gene:SECCE7Rv1G0454130 transcript:SECCE7Rv1G0454130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVVLIVGAGPAGLATAACLSQFSIPYVIVERENCSVSLWRNRTYDRLKLHLAREFCELPHMPYPADTPTYIPKNTFIKYVDDYIECFTIHPRYLTVVESSTYDFNGKYWSIMTRDMAKCTIVNYRAKFLVVASGENSVENIPVVPGLENFPGVAIHSSCYKSGMDYSGRNVLVIGSGNSGMEIAYDLASHGANTSIVIRSPIHVMTKELIRLGMTLVHYLPLKMIDGLLLMMANVVFGDLSRHGITRPEKGPFVLKSETGRSAVIDVGTIGLIKEDKIKVHGRITKIKGKTIEFEGGKEASFDAIVFATGYKSTTNSWLKNDEDMLNSDGSPKKKFPNHWKGANGLYCAGLGRRGLAGIAMDARNIANDIKRSIDSMCS >SECCE3Rv1G0191010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:745214016:745214651:1 gene:SECCE3Rv1G0191010 transcript:SECCE3Rv1G0191010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKTPHLLPLSLSEAKKKIRDDVPLVCGWALLNAFAIVSGAASGYIADYIHVSCSQSSFILPCIELTDAEETRVIALFIGMLCCAPSQAAAAALALLLPCRHLRARRALAYLALAVTILFHCLYASTVWVFLAADPGYIFGRIYFTAGFCLFVVCDLLSFRALLGGDGWGMQYVPYF >SECCE2Rv1G0111100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:697741434:697743572:-1 gene:SECCE2Rv1G0111100 transcript:SECCE2Rv1G0111100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKAPADAMSTVAEAAPVTAERPVRADLETHLPKPYLARALVVPDVYHPEGESGEGHEHGQKSVLQQHVAFFDLDGDGIVYPWETYRGMRALGFNVIVSFILAIVFNIGFSFPTRPSWIPSPLLPIHIKNIHRGKHGSDSSTYDTEGRFMPVNFEGIFSKYARTAPDKLSFGDIWRMTEGNRLNFDFIGWIVSKGEWILLYVLAKDEEGFLSREAVRRCFDGSLFEFIAQQRREAHDKQH >SECCE4Rv1G0226760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:113695695:113697261:-1 gene:SECCE4Rv1G0226760 transcript:SECCE4Rv1G0226760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRQASYGKGAARMAPMEVSVEAGNGRESDMLDDDGRPRRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWAAGPAIMLLFALVIYYTSTLLAECYRSGDPETGKRNYTYMDAVRSYLPGTKVKLCGIIQYANLVGVAIGYTIAASISMRAIGRADCFHYHDRNGRSGKDSCKSSSNPYMIVFGVVQILFSQIPDFDQIWWLSIVAAVMSFTYSTIGLGLGIAQTVANGGIQGSLTGLSVGPGVTSMQKVWRSLQAFGNIAFAYSYSIILIEIQDTVKAPPPSEAKVMRKATGISVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANAAIVLHLVGAYQVFCQPLFAFVEKWAAARWPDSAFIARELRVGPFAISVFRLTWRTAFVCLTTVVSMLLPFFGDVVGLLGAVAFWPLTVYFPVEMYIVQRGVRRGSTRWVCLKMLSAACLVVSVAAAAGSIADVIGELKEYRPFSG >SECCE7Rv1G0492920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:559555493:559559221:1 gene:SECCE7Rv1G0492920 transcript:SECCE7Rv1G0492920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPHHRAPPGRVPTRCVAALCAACFLLGVCVVNRYWAVPEPPDCPSKANSGRSRAVLSQAQTREVVIALDRTISDIEMRLAAVRAAQMRNQGVSPSDSAADQGNMRPRLLFVMGIMTTFDNRNRRDSLRKTWMPQGERLRKLEKDKGIVMRFVIGRSANPGPDSEVERAMDAEDKEYNDILRLNHVEGQDGLPLKIQMFLSTALSTWDADFYVKVDDDVHVNIGITRSILARHRLKPRVYIGCMKSGPVIANNESKYYEPDHWKFGTAGNNYFRHATRQLYAITRDLATYVSANKHILHKYTNEDVSFGSWLIGLDVEHVDERSLCCGIPPDCEWKAQAGNPCGASFDWNCSGVCNPAERMEEVHRRCWEHREAALPQAQES >SECCE3Rv1G0150220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31364644:31365375:1 gene:SECCE3Rv1G0150220 transcript:SECCE3Rv1G0150220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMKRCIVPSILLMLSLEAALLVAAGRPSTATGAEDVGAILLPSEGKGEAGMVEAAKKMGEEEERPWKCCDLALCTRSFPPMCRCVDQVEQCAATCKSCEPATSDSSRRVCNDWYHGFPGPKCTEAVATAKNKKAEEEERPWKCCDSAQCTRSFPLNCRCLDKVKQCAATCKSCEPATSDSSRRVCNDWYHGLPGAKCRKPVAAGGN >SECCE1Rv1G0048920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:638064556:638065167:-1 gene:SECCE1Rv1G0048920 transcript:SECCE1Rv1G0048920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDIEGHHLASAAILGHDGTVWAQSADFPQFAPAEITGIMKDFDEPGHLAPTGMFVAGAKYMVIQGEPGAVIRGKKGAGGITIKKTGQALVVGVYEEPMTPGQCNMVVERLGDYLVEQGM >SECCE6Rv1G0403900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510824275:510827610:-1 gene:SECCE6Rv1G0403900 transcript:SECCE6Rv1G0403900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSICKFFVNGACFKGDYCQFSHDWNDQPNDVCTYYQNGVCSYGSRCRYEHVEVFSEYTPPSTTAALAPSNSYRSWCPLCEGEIDVSNQTHKSLSACCVHQSTWRFDDEDGILEDGNSLSSALTAQNQAPHPLAHLPICSFAAAGTCPYGEECPQMHGDLCKTCGKQCLHPYRPSEGGVHIKLCKRNNKRLETLKKSEEIECSVCLDRVLSKPTAAEKRFGLLPECDHAFCITCIRKWRSSSLTSSMDIDSTVKACPICRKVSYYVIPSATWYSSKEEKQDIIDGYKAKLKSIDCRYFDFGRDTCPFGGRCFYKHAYTDGRLEEPATVAVLHFHADNGSMEHARNFGLAYLLSRLHL >SECCEUnv1G0557800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:327125366:327126186:1 gene:SECCEUnv1G0557800 transcript:SECCEUnv1G0557800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSILLLVALLALVSWQGAIASDPGSLQDFCVADMHSPVRVNGFVCKNPMEVNADDFFKAANLDKPRVTNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNQPAPNRNKFLSKVLNKGDVFVFPVGLIHFQFNPNPHKPAVAIAALSSQNPGAITIANAVFGSDPPILDDVLAKAFQVEKNTIDYLQAQFWENNHY >SECCE7Rv1G0505690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:739706646:739707812:1 gene:SECCE7Rv1G0505690 transcript:SECCE7Rv1G0505690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTAPSLRLHHHQQARPRRRPPPAYRQFQSPAAASRRHLPAGAGAAVRARGATIRAIDAAQPFDYESRAAGLLEERQRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRSDHSSLAASLGAAYFQDPHDLCECHPDVVLLATSILSAEAVLRSLPLHRLRRSTLFVDVLSVKEFPKNLLLTSLPEGFDILCTHPMFGPESARDGWDGLPFVFDRVRVGDSPARRARANAFLNIFEREGCRMVEMCCAEHDAHAAETQFLTHTVGRMLATLELSSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTDLLNRLESAMDSVKKRLFDGLHEVLRKQLFEGKASPPNTATTSNHTDVHRRQLLLEGKPPSPSVPTPNNVTVPK >SECCE7Rv1G0483800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:328164928:328166569:1 gene:SECCE7Rv1G0483800 transcript:SECCE7Rv1G0483800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPTPSTAASFRPPLPPPPPSFDYRSASLADTRATAAAADPALAGLVESGALVRVPRRRFGPVPAWRPPDFAEPEDVWILGTSHLSAESADEVERVLRAVRPDNVVVELCRSRAGIMYASDDASGEPLLKSNMFSLGGTKFFGAVNRSINLGGQSALALRLLLAVFSSKISSGANRPFGEEFRAARRVSEDIGAQLVLGDRPIEITLERALKSLSWDEKTKLVISLFRGITSSTDMPRDEKAAVSPYELYEKLSSSYPSLLQPLIHERDMFMAWSLKRSKAVNKSKTVVGVIGKGHMNGVVYALISDQGDLRFRDLVGRESSETWASSVVKGLVRDTVIGLALWALYELLQAAAVHIKL >SECCE6Rv1G0423600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:696548477:696550746:-1 gene:SECCE6Rv1G0423600 transcript:SECCE6Rv1G0423600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFESSAETERFLCERLLDAAQPIAERFRALFSLRNLRGDGPRRALLQAARDPSNLLAHEAAFALGQMQDAEAIPALEGVLKDLSLHPIVRHEAAEALGAIGLEKSISLLKESLAADPAVEVQETCELALRRIEGQKNASGAESTTISPYLSVDPALPAKQGLSVEQLRELLLNEQEKMYERYAALFALRNDGGDYAVSAIIESLGVKSALLRHEVAYVLGQLQNKAASDALSGVLQNVEEHPMVRHEAAEALGSIADQESIALLEQFAKDPEPIVAQSCEVALSMLEYERSGKSFEFLFLQTPQVQQES >SECCE4Rv1G0220330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:38058123:38059394:1 gene:SECCE4Rv1G0220330 transcript:SECCE4Rv1G0220330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPSEDERLKELVARYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFSEEEEELLLASHRVHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRLSSNRRSVPAAASTTTAGAEKDESPRNAAAGEKPRLPQANTMAALLHKYRREFAAPFAISHHSSKEGYCSSTNEDTNRSVEFYDFLQVNVSSSDTKCGSSIEEQEENRDDDDQQAEGQVSLIDFMEVGTSRQ >SECCE1Rv1G0052030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:658366304:658373055:1 gene:SECCE1Rv1G0052030 transcript:SECCE1Rv1G0052030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ANTHESIS POMOTING FACTOR 1 [Source:Projected from Arabidopsis thaliana (AT5G14530) UniProtKB/Swiss-Prot;Acc:Q9LYK6] MTATLPQLDDGIVRSMAIGAVFTDYAGKISCLDFHRKEDLLVTSSEDDSIRLYNTTSATLSKTTYHKKHGADRVCFTHHPSSILCSSKYNVESGESLRYLSLYDNRCLRYFKGHKDRIVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPSVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVSDIKFSNDGKSVLLTTTNNHIYVLDAYGGEKKCGFSLEPSRNIATEAAFTPDGQYVISGSGDGTLHAWNINAVQEIACWNSHIGPITALKWAPRRAMFATASTALTFWIPNEPSSS >SECCE5Rv1G0345320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:650524255:650524692:1 gene:SECCE5Rv1G0345320 transcript:SECCE5Rv1G0345320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGWMHAMAILFMGWLTITSECHLATERRSYLNGGDNKTSSQNATQSNLISSLDDSKIGIKFCGNKQCDYGTCYCCAVLKPVPCYPTLDECKSKCPGCNPKCSHELMIELHA >SECCE5Rv1G0309430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:152482781:152484432:1 gene:SECCE5Rv1G0309430 transcript:SECCE5Rv1G0309430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSSSSTQRSSGATMPCSVVKLDDDLLRHEEEAAEEIRRGPWTVEEDLTLVNYIADHGDGRWNSLARAAGLKRTGKSCRLRWLNYLRPDVKRGNFTADEQLLILDLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDANSSKFKDAMKYLWMPRLAGHHLQQASTVGDHLVPGLPTSYMESSGMLTSSSDSFASESCDGARCANASLGEMMHGGDWVQEQNQGFWPESNQTFQLQDSELSGWVQGFSESESLAENFWSLEDIWKMQ >SECCE5Rv1G0330260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:526839828:526842402:1 gene:SECCE5Rv1G0330260 transcript:SECCE5Rv1G0330260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHQAQDLVKELVLRLAPTESGGGGRDAGGALRFAHRLLSSRLAPAVLPDEHAVAEAIKRRLAASGRPDDALAFADLHSKLSARCRPASLWPLLYLLDSLSSHRRGAAATSCLPNLPAAAPAAAGGKPASRVPGTPAGGVVLISKDPDNIREIALREYTELVLDETEVSEAALVRDVLYACQGIDGRYVRYDKGSDAYDLPEGVRVPRSTRTLVRKLCEVGWLFRKVRGFISDNVSRLPSHAATEVGTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGMSGNYLSLRRLVVWLAEPTVRMRLMAVLVDGCRGLRGGGMAGAIHGHAQHGDPMVQDFMGRLLRRVCSPLFEMVRSWVLEGELEDVFGEFFIVGQPVKAESLWREGYLIQSDMLPSFISPVLAQRILRTGKSINFLRVCCDDNGWAEAATEAAAYVGTTTSRGGLGYGQIDALEALVVEAAKRIDQRLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISPFHLAGLLETAIRASDAQYDDRDILDRIKVKMMDHGDGDVGWDVFSLEYDARVPLDTVFTASVMKMYLKIFNFLWKLKRVDHSLTGVWKTMKPNCILSSPFYKEGTSIRAQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEVSWARFSEEMDAAKDLDDLLMGHDKYLTSIVEKSLLGERSLGILRNLFALFDIILQFRSHADRWFERIYELQLRGKVKPKTKSKDTGSWLEGGRKAMIQLAGELFLKMGEDLDSIAKDYTASLDSFISQLPLQQHVDLKFLLFRLDFTEYYSRVSSNK >SECCE3Rv1G0169090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:232227873:232231638:-1 gene:SECCE3Rv1G0169090 transcript:SECCE3Rv1G0169090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPTASRRFSSAMSTSTAKRPAAAEGPRAAAGPAAAQQQAKKRVALGNLTTNVAAAAGGRAGCGKIAVVTAGNARLNSATSAAPVKKGSLPSARNASANRGSSVKSAFTKPAPVTSRHEGSVQKESAPPPRKVPTVVPIAVPAVIPFSSFVSPGHSGDSISTDETMSSCDSMKSPDFEYIDNGDSSLLDSLQRRANENLRISDDRTVEGAKWKKDAVAPMEIDNVCDVDNNYEDPQLCATLASDIYMHLREAETRKRPSTDFLETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFKDEVLDMEASVLNYLKFEMTAPTAKCFLRRFVRAAQVCDEDPPLHLEFLANYVAELSLLEYSLLAYPPSLVAASAIFLSKFILQPAKHPWNSTLAHYTQYKPSELCDCVKALHRLFSVGPGSNLPAIREKYSQHKYKFVGKKLCPTSVPAEFFRDAAC >SECCE5Rv1G0322950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:427965755:427968476:-1 gene:SECCE5Rv1G0322950 transcript:SECCE5Rv1G0322950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAPPRLPSPPSSATAPHLLLYASSAACRLPPSAAAVARSVSVSTTVVDAPAAATEPGSSDSTSATPRRRLILLRHGDSAVGERFTRDHDRPLSKAGRADAISVSDKFHQMGWIPELILCSDATRTKETLQIMQEHVQGLSQALVHFIPSFYSIAAMDGQTAEHLQKAICEYSTDEILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEAAGKSWVEAFSQAGLGGWKLHGIVKP >SECCE1Rv1G0005660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:26994554:26994997:1 gene:SECCE1Rv1G0005660 transcript:SECCE1Rv1G0005660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKLKEVAGKKFGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEASTAIFLPSPSSDELPPSSPVTIAHGGVIPNINPVLLPKSHTAAEKAEKAGAQVPQEGHRGLDRSSAVCCFTLYPAPLM >SECCE1Rv1G0056340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:686457877:686458561:1 gene:SECCE1Rv1G0056340 transcript:SECCE1Rv1G0056340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKPEDGPATGFPMSGGAARTGGGGPGGGYYQAAPGTAAFAMQAQAPLAAWSTGLCDCFDDCGNCCVTCVCPCITFGQIAEIIDRGSTSCGASGALYALIMLLTGCHCVYSCFYRAKMRAHYGLQESPCADCCIHWCCEPCALCQEYRELKKRGFDMNLGWHANMERQGRTPATMPPLMHPGMTR >SECCE7Rv1G0456770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:12755478:12760611:1 gene:SECCE7Rv1G0456770 transcript:SECCE7Rv1G0456770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSSELLLNPVLICRNEAEKCLVETSINSIRISLKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >SECCE5Rv1G0356330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:738704774:738705776:-1 gene:SECCE5Rv1G0356330 transcript:SECCE5Rv1G0356330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVASFPADSVFSFVLISEENAPVEVAAPVAAPTPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQICVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEDSEGLHIVQEYVKSH >SECCE6Rv1G0410050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:594349058:594351210:1 gene:SECCE6Rv1G0410050 transcript:SECCE6Rv1G0410050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSQDVVVSEMGIGAGGAAMPGSGAAGLYACRSAASAGAMSMRQTYLDFAAAAARSASCTWVELEAMRASSPPRSRAGADVDELTAWMRKHPSALGKFEQIASASKGKKIVMFLDYDGTLSPIVANPDAAYMSDAMREAVRDVAKHFPTAIVSGRCRDKVHNFVGLSELYYAGSHGMDIKGPGSNPESVLCQPASEFLPMIDEVYKVLVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNFLAEQVKAVIKDYPMLKLTQGRKVFELRPSIMWDKGKALEFLLESLGFASCSDVLPVYIGDDRTDEDAFKVLRKRGQGVGILVSKCAKETSASYSLQDPAEVMEFLLRLVEWKRRSSAPPPMIRPRASATS >SECCE1Rv1G0017410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:154255367:154256842:-1 gene:SECCE1Rv1G0017410 transcript:SECCE1Rv1G0017410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRVVSDQAAAGDALDGAAAGRPRGPAFFAVPRLLVGLAAAKRGTPDCDSTARSPKSPLEPRVFPALAGSLLGSPRSPRSWDSQRVGLGGLVDTLAEPAADAKNRLLGFQMRPTKLQCLAKSCTSLPKDMPKDCGHAQPGLGEVEAASAGGMSVPCTRFYGDVKSGPDVIVSSGAHLGFSNHSVDRAKFPASGSLPVSIGGPRRYIGSVSAMEVEQSEDYTCIIAHGSNPKTTRIFGDCILEPCPVLMPDWESKEIDVDKEGAELYWLVKGSADATAEESTPGFCSSCNKNHMDGNESCIYRGENAFCGGNCRNQAVLNEEEGENNPAVSSPSSASSTSLFDQDDIFIDGVVVLT >SECCE1Rv1G0058480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:700966859:700969838:-1 gene:SECCE1Rv1G0058480 transcript:SECCE1Rv1G0058480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLALRLAAPPARLPRRRYSTPPCAPGRSGFRAGRRESRRAGAVSTSGSVAYSVIEDEEGEVEEEEMTRPRLELIEKPDRSLALLDEYESEELGAALCANHRSGYVAVLGKPNVGKSTLINQIVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDSMMMKNVQSAIGNADCVVVVADASRLPEKIDDMLEAGVGNKDTKVPVVLVLNKKDMIKPGEIAKKLEWYQKFTDVDDVIPISAKFGHGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFLQYRQEIPYACQVNVTSYKSRPSAKDFIQVEILVERESQRSIILGKDGKAIKTLATASRLDIEDFLQKKVYLEIDVKVKENWRQDERLLKRYGYGGEIQAL >SECCE7Rv1G0507920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:766733383:766734462:-1 gene:SECCE7Rv1G0507920 transcript:SECCE7Rv1G0507920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAASVLAILLASCALASASFDKEFDITWGDGRGKIMNNGQLLTLGLDKVSGSGFQSKHEYLYGKIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTNDFHTYSILWNPKHIIFMVDDMPIRDFRNLEGKGIAFPKNQPMRIYSSLWNADDWATQGGRVKTDWSHAPFSASYRGFKADACVVTAGGRPRCGASIGTEVAPGTGAAGEWYNQELDLTRQQRMRWVQSNYMIYNYCTDPKRVAKGVPAECSM >SECCE2Rv1G0074740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:79282442:79285652:-1 gene:SECCE2Rv1G0074740 transcript:SECCE2Rv1G0074740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSKVEDLWQDFASSDKARKVVELKAFNKFENTSDALSAATLLIDSKPSKGLRKFLQKHCDGETLAVADSKLGNAIKEKLKIDCLHNTAVMELMRGLRNQLTELMSGLAEHDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIHYAKAVKMMGNRVNAVNLDFSEILPEDVEAELKEAAVISMGTEVNDLDLSNIRELCDQVLALSEYRGQLYDYLKSRMNTIAPNLTALVGELVGARLISHGGSLMNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKSALAIRYDALADGDDNSMGLESRIKLETRLRVLEGKELGRSAGSTKGKPKIEAYEKDRKGGGALITPAKTYNSAADLVLGQTTEETPKKSEVASKKRKHQEEEPTVEAAEEDGEQGKEKSKKKKKKKSKDIEETPAAVTDASEKKKKKSKEPKETPAADADGEKKKKKKKSDAEETPMETDVSAKKEKKKKKKHADE >SECCE5Rv1G0353280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:715410407:715412989:1 gene:SECCE5Rv1G0353280 transcript:SECCE5Rv1G0353280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRDPAVPATGRRNPGPQPVPPATPHPPPVVVIDEDDDDADDVAAESEVFIIDDDDDDDVLIARAAACNSKKGNSSSSNVINIDDDDDDDDDEEEEEGGRAGPSMAGAGSPAATTTPVRASPRNRYGLDYVSDSEDSDLSGGLDSDSDGDGSSDCEILGDTGTARKVWEKAASRRTMLHHPPHRKDGRSTTSASSAESSTHYDETPENLFTPVCPLDNDIFKYFSNAFNPAGQSSTNGAKHGTGPSSVPNAQKGPMDNDSHGKETEDHNPARSSDPDMPYNGPVPEKAPERSHHPHLDETLRPEGYTSCSFVSANRVFPAYSSADCKDDSPIFVSTPERMDEKIPEGTSLAKDGRTAHNEAAKQKKNMCFAPDDDDSCMDQLAEDPVFTSRLGGLRQSGEYFNNNAPTDEALGTCSLPQKDLVEDPEKLGQSMIIAGREKHKESDEYKRAQEEEWASRQRQLAIQAEEAKEAKRLRKRKKAEALRLLDMEKRQKQRLEEVRETQRKSEETIQLKEQCRGAVRLELEIIERRYTDMASILRALGIPVEGGEVKAAYKQALLKFHPDRVSRNDIYEQVKAEETFKFISRFKEKLRI >SECCE5Rv1G0351230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:697416162:697417985:-1 gene:SECCE5Rv1G0351230 transcript:SECCE5Rv1G0351230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFVPDWGNMGDISRPLGEDDDLMELLWCNGNVVMQSQGHRKLPPRPEKVPAPVVVQEDEAGLWFPFALADSLDKDIFQDLFCEEPPGVDAGKAGRDGAPVLGDADRRSSQSSAVSAASDLMPPPKSTHVSCSGRQQSMSLADCGDNAGGGVLSDLVQARAGKAAMEEGASSALSAMGASFCGSNQVQVQRAVSEQGRAGHTTAYGGGGAGSALPSAVGSGNANAGGRGHEATVASSSGRSNYSFGVTTTTTGTEPTSTSKRKRALDTEDSESPSEDAESESLALERKPPQKLTTARRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKTLQMQVQMMWMGSGMAPPAVMFPGMQMHQYLPPPPMARMPFMAPPQQGHLPEQYAHFLGVNHHLQPPAHQHFAQGLGYYPLGAKALQQSPALHHVPSANTGGGAPAATANTTPGSAIRPNKR >SECCE5Rv1G0370310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841580006:841581302:1 gene:SECCE5Rv1G0370310 transcript:SECCE5Rv1G0370310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVVVGAAVAAMWLLAGEARGQPQLQVGFYAHSCPQAEVIVRDEVGRAVSAYPGFAAGLLRLHFHDCFVEGCDASVLLDSTANSTAEKDAAPNKSLRGFEVIDAAKKRLEAACAGTVSCADILAFAARDSVVLAGGSPYGVPAGRRDGNVSAASDAQASLPPPTANVAGLTEAFAKNGLSQEDMVTLSGAHTIGVTHCSSFSARLHGYNASTGAGQDPAMDAAKAAELARQCPAGSPNAVPMDAGSPDTFDTGYFRALLANQGVLASDQTLTSDNATAALVAQNAGNVYLFVTRFGDAMVRMGGVRVLTGGDGQIRTNCRVVN >SECCE7Rv1G0455750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8187642:8189697:-1 gene:SECCE7Rv1G0455750 transcript:SECCE7Rv1G0455750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKDFPTKFPGGDSFVNGGFRNWNMKVRFLKHVGEVNSAHCEAEEKYNLFMKPKASIREAMASQTTQYKAQYLARLKWSLECIKFILHQGLAFRGHDEGKDSKNKGNFRELLQWLAGNFEEVNKVVLGNAPQNCQMIDHKIQKQLIGSCAHETTKFVIEGLGDECFAILADESSDAYQQEQLSLCLRFVNKIGEPVERFLGLAEVADTTSLTLKKAIQTLLMKYQLPISKVHGQGYDGASNMKGHVNGLKKLIMGDSPSAYYVHCFAHQLQLTLVAVAKENIDCKWFFGHLAYLLNVLGMSCKKIRMLRVAQSEYMIEALKLGEIEVGQGLNQEMGLARPGDTRWGSHYKTRDQDIVNAIDLLEFTKVQLQVLREDAGWREFLENVTSFCVKHNVRCVDMDGKYRPIQRARSFYKNVMNYHMFHADMFLGVIDRQLQELNNRFDEVNTELLRCMASFSPAKSFSSFNIDDLVKLAGFYPHDFDFEEMHQLPFQLNLYISDVKNDENFTNLRNLPELSMMLVKTGRDLRYDTVYKLLKLVLVLPVATAGVERVFSSMNYIKNKLRSKMGQKYLNDCLVTFVERDFFLQVQDEDIITHFQSIKDRKVNL >SECCE2Rv1G0066810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:15542592:15543845:-1 gene:SECCE2Rv1G0066810 transcript:SECCE2Rv1G0066810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDAVVTKSPAVVVGPSEPGTPTGIISLSSFDKVQIPIPMALLLVFNEPIDDPAETIKKALSQALVPYYPMAGRLVAGADDDAYLSISCTGEGVPFVAASASCALEQAMTASVLKDLTVRYPGELCRLSDALVLMQVTEFTCGGFVVGVTWNHIMADGAGIAQFLQAVGELARGMEAPSVVPVRSGATIPPMPTPVVAALRSQMQVLTEELASLDVTIPSSLISRVKAECGGDCTTFEIVAAALWRSRTRAIFSGSGNADPDAPAMLFFPKNMREKVGAEHGYYGNCFGSQLVVARSGAVAGGEIRDLVKLIKGHADILGLLMDAGAASGKDLGRLMSSPRYHAIAVSSWQKLGLEATDFGGGKPARVMWQPEKAVGFFCVVCPPCKGMDGVNVLSRCVSPEHAEAFLRELAALHI >SECCE5Rv1G0316810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:330761339:330762208:1 gene:SECCE5Rv1G0316810 transcript:SECCE5Rv1G0316810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative phosphatidylethanolamine-binding protein, Rice TFL1/CEN homolog, Control of inflorescence architecture, Repression of flowerin [Source: Projected from Oryza sativa (Os11g0152500)] MSRSVEPLIVGRVIGEVLDTFNPCVKMVTTYNSNKLVFNGHELYPSAVVSKPRVEVQGGDLRSLFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREIISYESPKPNIGIHRFIFVLFKQKRRQTVTVPSFRDHFNTRQFAAENDLGLPVAAVYFNCQRETAARRR >SECCE7Rv1G0500580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:669327340:669339560:1 gene:SECCE7Rv1G0500580 transcript:SECCE7Rv1G0500580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKGPNPYGQQPPYGGQQSYGGQIPGSSGFGAPAAAGARAGQGAPGQYGGPYASVYGTQQVGGVGGKGSNLARPNDDYMAVRGYAQKLDQYGTDYTSERRMYGEHSANLGRRDGLTDLDRRYPDHIPAAHQIHDRVEQGSTMRHQPLLKAQLAPVSDMRQADYFAGRSAPIHQESQEIGTYGRAEADHRNLSILGNVPYGGQQASSLLGGAPRTNIDSLGYGQGSSSSGYGMSLPPGRDYASGKGLLHPSSDSDYRDNILPRARQGISMVDERAIDRIGYRRELDLRDEERRRDLLLEREKELERERERELRDLRDRERERDRERERERERERDRERLRERERERERERQRENERERLRERREKERERNKKHVADSRRERTPPRTPGDRRRSSSVRSEKPLRRLSPRRDAVHRHRSPIKEIKREYLCKVLPFRLVDDERDCLSLTKRYPRLSVIPDFSKLVLNWAKESLNLSLHTPVSLEHAICEDDDKADESALVSSENTSSTKTPETIWNAKVLLMSGMSNGAFADITSMRSTEERVVHLNNILKFAVFKKDRSLLAIGGPWNAALDGGDPFVDCSCLIRTAIRYVKELVQVDLSNCTSWNRFLEVHYNRVGNDGLFSHKEITVLFVPNLSECVPSMDIWRNNWIAYRKSKIEREQLIMKKEKSLGDPKEQKQEEPSEGDGAAKIEKIDADMELKEGDGAAKIEKIDADMELKEGDGAAKIEKIDAEMELKEGDGVAKIEKIDADMVEQGKDGDVNLAGDGGKNPQNVGEQVEKTVGVVEENTPGDASVDRVTEDKKPMKKKIIKKVVKVVRKKPTAEAPVDKLPQVDKNAVAETASKTVEKHIEQKSGDLGKEKVGAGIVQQPEAKKTGKKKVIRRIVKRKVPASATEPTALAAPAEASKQDVDVQPEKIVEGVTDAGNSQTKVEEGLKTPAEDISNQKKEEGLKTPAEDISNQKKEEGLKTPVEDTSNQKKEQELEIKGDILTDDQKANTDKVNQQEVVEQKDPKIDETNGNSDKKKDDNETKDKDQKMDSKKKSPIDTKEKKKSDEPPKHPGFILQAKKSKEYKLRSTSLSLDGLLDYTANDTEESVFELSLFAESFSEMLQYRMGCVILSFLEKLYRQYVVRRNQRKRQREEDLKKEDTISLEKRLKTTDENVTGSTSGKNDETIKEGGEKIIGDNSSASHEQLVKEDDEKMSTDHAAQDEMMKEGEEKIDTDKSAAAHDEPKGDEKMEEEDPEYEEDPDEVEYEGDEDMDDATAEEPAEAQNEDNSIERETKSEEVTAEDVGKRTTENLQLEKAEEDKQSVVEKGDLKEVEEKSVGKEGKISGSQKGDSAKHDVVDKDLLQAFRYFDQNRVGYIKVDDLRCILHNLGKFLSNRDVKDMVQIALAESNSARDGRIIYTKLVKKADL >SECCE2Rv1G0135030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905217167:905218393:1 gene:SECCE2Rv1G0135030 transcript:SECCE2Rv1G0135030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPAALREQSTSSRFAAVVAVCLLLALGTYVLSSPNDDGGETANSFFNIWRYSSSQVCASGDDLEAALCGAADANKTLIVSVVNAAFAGEDGLLDLFLEGLREGEGTAHLLRHVLLVATDLPAFRRCRTLGLVRCYHLPSSVNGSEFSSEQLYMSEGFIRMMWQRIRFLADVLNHGYSFIFTDLDVIWLRNPIPMLNRTGEEDFLISSDKFNGRPLDLANELNTGFFFVAPSNGTVALFGEWYGSWNGTAGRKEQDVLNRMKWGGAFGRLGVRARVLDTARFSCFCQDSKDARQVTTVHANCCRTMRAKVADLKAVLRVFKHLNGTSSKVRWPAHAECHKSWG >SECCE1Rv1G0036580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:510504777:510505253:-1 gene:SECCE1Rv1G0036580 transcript:SECCE1Rv1G0036580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAATATAGSSPPAKPVLLRPHATSSATTTISRRASPSASATVRRRARTRRSKTISGAGDSFSGDDGEGPFGPGSGGSGGGGWNHGFGSGSGQGWDSSEPDVPAPRRSAAEVALGVIYELMCLIALSNCTQFAVRRLAGLLAARVAALRFVVPRVC >SECCE5Rv1G0336080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:581983168:581984859:1 gene:SECCE5Rv1G0336080 transcript:SECCE5Rv1G0336080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRTMRAVQYDKYGGGAEGLKHVEVPVPSPKKGEVLLKMEAASINPIDWKIQKGMLRPFLPGKFPFTPVGDMAGEVVELGSGVTNFKPGDKVISISFPSGGGLAEYAVAPASLTVARPPEVSAVEGACLPAAASSALQLLKLGGVSFDGTSGATGPKNALVTAASGGVGHYAVQLAKLAGLHVTATCGARNVDFVRGLGADEVLDYGTPEGAALRSPSGRMYDVVANCAAGVPWPALKAVLADEGGTVADVTPGVGAALTSILQKATFAKKRLAPLMLAPKREEMEWLVGLARQEKLRTVVDSRYPLSRAQEAWAKSMEGHATGKIVVEMGGAE >SECCE2Rv1G0120040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:796094629:796096601:-1 gene:SECCE2Rv1G0120040 transcript:SECCE2Rv1G0120040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGSDDRVSGLPDDLLRDILLRLRSLPAAARTSILSRRWRHVWTSFPELVIDELHVPGRPPSSFLRAVEGALAAYSASNVDVATLTIAVPDVRLSRIEARRVSSWLRVASERVAGTLSLALPRCEPIAHGQEIELPPCRRATEIALSLAGAFVLRLRPAASFAALTVLTIQSAAMDGRELGAFVSSMCPRLTDLTLRVNLVACSDVSIRSASLRRLEFGAGCAQRLGVAAPMLEVLVASFLHHAHISAPRLAEAKVQRLHRHHFADDVPRRLRRLDITQLYLNAAAPPRVRRFDAVDELRLSALIGMEGYTSFLDDVNNLPVCQTVLSVSLTGDHHGFVPTMLHLLRRSNGIRKLVLESYTQTKDPCSTACPCRLPESYRADNMTLGSLEEIEINTFMGADDQVEFLELLVSRCSATRPINVVLNKSYLVPLPTEEVSQMIRSISRPNLRVGLH >SECCE4Rv1G0222200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55215425:55218262:-1 gene:SECCE4Rv1G0222200 transcript:SECCE4Rv1G0222200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDPWGGTLEISNADSATDDDRSRDLDRGAMMRHQLDETQQSWLLAGPGDQAGKKKKKYVDIGCMVIDRKIFMWTVGLVLGVGLFVGFVMMIVKLVPHKKPPPPPPDQYTLALHKALMFFNAQRSGHLPKHNGVSWRGNSGMKDGLSDITVKKSLVGGFYDAGDAIKFNYPMAWSMTMLSWTVIEYRAKYEAIGELDHVKEIIKWGTDYMLKTFNSSADTIDRIVAQVGVGDTSKGPMPNDHYCWMRPEDIDYKRPVIECHSCSDLAAEMAAALAAASIVFKDSKAYSDKLVHGAKALYKFGRLQRGRYSPNGSDQSLFYNSTSYWDEFVWGGAWMYFATGNTSYLTIATAPGMAKHAGAFWIGSPNYGVFTWDDKLPGSQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPVFNSFNFTKGGLIQLNHGGPQPLQYVVNAAFLASLYADYLDTADTPGWYCGPNFYTTDVLRKFAKSQIDYILGKNPQKMSYVVGYGKKFPKRVHHRGASIPHNGVKYGCKGGFKWRESKKANPNILVGAMVAGPDKHDGFKDIRTNYNYTEPTLAANAGLVAALISLADIDTGRYSIDKNTIFSAVPPMFPTPPPPPSAWKP >SECCE7Rv1G0496390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:611994268:611999038:1 gene:SECCE7Rv1G0496390 transcript:SECCE7Rv1G0496390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDFSRASGGPSYEFAFNSVNFSDRVLRIEIVAGDDAPGAKGAAGEGCSSIADWARHRKRRREDLRRDKECGKYMLEPSKVKIEAEECDTYEETGEEPVAMIEESPPDIGQDGEDGENSDSSWNMECNQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASEESALMELLSFIYSGKLMTNEPTLLLDILMISDKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMAAAVQPLTDAAKEFLANKYKDLTKFQDEVMNIPLAGIEAILCSNDLQVASEDAVYDFVIKWVRAQYPRTEERREILGTRLLPLVRFSHMTCRKLRKVLACSDLDHEQASKSVTDALLYKADAPHRQRALAADVLTCRKYTERAYKYRPLKVVEFDQPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFHCFGLFLGMQEKGSTSVTVDYEFAARTRPSGDFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFIDGVLHLRAELTIKQS >SECCE3Rv1G0156830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:76212339:76221298:-1 gene:SECCE3Rv1G0156830 transcript:SECCE3Rv1G0156830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAISIGKSVLSGALNYAQSAVAEEVALQLGVQRDHSFISDELEMMQGFLMAAHDERDDNMVVKIWVKQVRDVSYVVEDCLLDFAVRLDKQSWWCISRKVLARRYVAKQMKELRAKVEEVSQRNQRYHLIKGSSSKPTSAGGQSTISGVTMSAADDARLKQQKAKMDLVKLINCKDDALRVIALWGTSSSDLGDISILKSAYPMTHKNFDCCAWITLMGPFNQADLIQNIVCQIYVNSFQETGEEEKTTIGNQVLKMMAMTKEDGLADEFKTYLNDKSYLIVLNDINNIEEWDCIKTCFPNNKKGSRIIVSTQQVAVAILCIGAEDEALLRKKLFADQPLYAFYRKVSQEGRNSTEEGSSSYVASTGIDSSAHKNIVTRTETVATLEESRLIGRENEKQEIIKLISNKDQIRVISLWGMGGIGKTTLVRDIYQSQEISSMFAKRACVTVTRPFNSSTLLKSLTMQLGYKNETDLRRCLEGRRYLLVLDDLWSRVEWDAIKQYLPESAASCIIVTTREENIAKYCSKDETNIYRLNHLGSDDACTLFTKKVFKETVNLDEQYPELVEQAKLILKKCRGLPLALVTIGGFLASQPKTAFEWRKLNEHIGAELEMNPELEIIKAVLMKSYDGLPYHLKACFLYLAIFPEDQQIVRRRLVRRWIAEGYSREVRGKSVEEVLDSYFMELISRSMILPSQQSVYSRKGIDSCHVHDLIREIAISKSMEENLVFTLEEGCSLNDQGTVRHLAVGSDWKGGQCEFENIVDFSHVRSLTVFGRWKPFFISDKMRLVRVLDLEATSCLVDHHLQHIGKLVHLRYLSLRGHSHIFHLPNSLGNLKQLQTLDISGTSIVKLPRTITKLTKMQRILASNIGEQLDDDAQVNRRDVCVAFCCSIFPYYVAGGRHLGGVEVPRGIWKLKALHTLRTVDVSVGKAALEDIKRLTQLRKLGVTGINKRNGQELCSAIARISSLESLSLQSHGETGLSGCLDGLSSPPENLQSLKLIGNLVKLPEWIQWLKNLIKLTLARSRISEHDAAINVIGNLPKITILRLNYHSFDGEEIRFSFRRGAFPSLKVLQLGLLGSLDSVGFEDGTAPKLELLQYAGLGSFFFSGLPYLPSLKEFLLFETQLDQTEWVEYMHGQLAKNKNGPVLKRWIL >SECCE7Rv1G0461980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:41666553:41667209:1 gene:SECCE7Rv1G0461980 transcript:SECCE7Rv1G0461980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVPRPVPSQLGHHATTSPHLPMPRPHTAAGTPFHPPKRVVALVRWSRPPLGWCKLNFDGSVKHDGSRRASIGGVIRNSSGHAIVAYAERTGHAGVGVVEARALMRGLELALRMGCRSLVVEGDDLTLVRLLRRESRHTRIPSAMHHEIVRLLSCFRVCRVQHVYREGNQVADTLCHEAYRCPDVWTMDRPLPLAVRAKVKCDRRGVVYERLRPA >SECCE6Rv1G0377810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3391918:3394510:1 gene:SECCE6Rv1G0377810 transcript:SECCE6Rv1G0377810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRELLLRELREAWDEVGEAEEDRREALRALEEDCLAVYRAKVAQVRQQGARLRAEVAAALAELAALRAAVGDDPRSAARTSAGSLREELRAIAPELEEVRRRRDEMRRQFAEVTELIDRLQQEMRPGERPPPPRADADPDDVTMNSLQELRAHLRHLQSEKENRVKKVAELTSSLHASSSVLGMDPREITASLQEAGAGAGDISDGAIERLESAAERLREAKRGRMQRLQDLVVAMLELWSLMDTPPEEQSRFQGVACNVAASEDEITEPGALSAGAIGEVEAEVARLEGLKGHRMKDLLARKRGELREIRLRARIVSAHEEDEDGGGGDEVVSDDGCADAAERSLVLERLDARISEARDEEFSRKEVLERMERWQAALEEESWLEEYNRNENRYNVGKGTHLVLKRAEKARALVSKMPAMAEALTAKVVAWEKDRGTKFVYDGEGLLEMLEGYDNTRKEKEQEKKKQRDQRRLQGQSTVESPVARALQKNLKNVTRTLSMGGSKKMIVSASSSSLSSSRPITPSYLKSSFSPRRSDDGQMVSHDSFE >SECCEUnv1G0541090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93419131:93422394:-1 gene:SECCEUnv1G0541090 transcript:SECCEUnv1G0541090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09280) UniProtKB/Swiss-Prot;Acc:Q94AC1] MDATGALPPTSTQEQQKQDEGGAGDGSYGVLLYYKYAEVPDAPALAAFYESRCRALALVGRVRVGPDGVNATLGGSMAALEKHIEEMSSNSLFDGTDFKLASCEEPVDERVARECGFTSLSVRLVKELVTLCSNPSLTTPDISFAGRHLSAAEFHSVLQSVGTGLDTEAPAGQNDVVVLDARNLYETRIGKFHVPNVETLHPEIRQYSDLPLWIDEHTEKLRGKSIMMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLEQYPDGGYFDGKNFVFDHRISVGSNKDNILGTCLICGSTYDDYSSRCRCSNCRMLVLVCSTCQDSTKEYVCELCLKNGKQCCEISVKQDCQAESELSEPSDIGKLSVSNKNSTSKAPGSNGSEQLKKLRILCLHGFRQNSSNFKGRTSSLAKKLKHIAELVFIDAPHELSFVYQPHPDVCSDKPSPPSGTAKTKFAWLVSPNMSCHTMQDWKVADAPFDPLQYQEQTEGFKESYAYLESIIAQDGNFDGVLGFSQGAAMAALLCRQQQKTCGSPKFRFGIFCSGYPAPVGDFDREPIRLPSFHCFGSGEGHDRQIASRASTELAGMFEEGRCTIVEHDMGHIIPTRPPYIDRMKEFLCNFI >SECCE4Rv1G0231820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:189389108:189389756:1 gene:SECCE4Rv1G0231820 transcript:SECCE4Rv1G0231820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPELGRARDVLRVSADDRARVDALSSAASAYVSAASPHLSPSFYEGFALRRIRVLRLHPGFIHCSYHVPPSLTDSATGCLAAGVVVALVDEIGYAAAISDAQNFKVSVDMSVAFPDLSQARAGDRLSITARVLGHKGAYSGTHVLLTNAVTGNVVAEGRHSIFGNLRKAPLKPAATTTTKSSL >SECCE2Rv1G0107480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:649321018:649323456:1 gene:SECCE2Rv1G0107480 transcript:SECCE2Rv1G0107480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPQSHPILSYVLSRLPTLSKPKPADGADFDIEQPPVHTPSPRSPSVGEFELVERMPGLRHPSVLHAMTRAVADVSAARNALRELGPRPDHELVDSSRAVVAAAAAGDVAPRITEEDLEACRAVVRLEEAHDTYEVLLQEAEGRLEKVYRSAMEGRDLEEAEGKDESAGGDEGVAVQEEVVAVLKQAEEGKPVESVLLVDRQLRHLPEAFGRILGLRVLDVSHNQLQVIPDAIGGLEHLEELRLASNALVSLPDTVGFLSNLKILNVSTNKLRTLPDSISKCRSLVELDASYNGLTYLPTNIGYELINLRKLWVHMNKLRSFPSSICEMQSLYLLDAHFNELCGLPSAIGKLSSLEILNLSSNFSDMKELPFSFGDLLNLREVDLSNNQIHALPDSFGRLDKLEKLNLEQNPLSMPPAEVVKEGVEAVKEYMSKRWLDALLEEEQRSMAAAAAESPQASTPKAWLARSVSWVSDVGGSLVGYVSGGQTKSEKDSILDQQF >SECCE7Rv1G0476800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:211344377:211346001:-1 gene:SECCE7Rv1G0476800 transcript:SECCE7Rv1G0476800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin-like protein [Source:Projected from Arabidopsis thaliana (AT2G29640) UniProtKB/Swiss-Prot;Acc:O82391] MESGARSEARPDEEGPAPAGSSSGSKVYHERQRMQFCLLHALNNLMQEKESFTRAELDGIAENLVLTDPNKERWTPLSLIWKPHHNALTGNYDVNVLIAAVESRKKKVVWHDHRKGASSIDLDAEALVGLMINVPVRRLRGLWTGRHWVAIRSIDGIWFNLDSDLPSAKQFQCKEKLIAFLDSVLSQGGELMIVLQDE >SECCE6Rv1G0415430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:641523491:641526288:1 gene:SECCE6Rv1G0415430 transcript:SECCE6Rv1G0415430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAANSREDLDLTSSSGEEEEEEEDEEYEPGSRKDDPLRATTPASIEFLDAKLRALDLKYKQPDNAARLYLHVGGASADARWVPAERRATYAFVDKAAAEDNDDDYYDDDRRGRRSSSSLSSRPRWVLEVGPGRRVSAPVGQELQLKALPGQRRADFAAAGSVWALRFPNDAAFRRFREQYERCLFENTYGVEATDEGRREVFGADFAAWARPAEADDAVWADAEDDLAPPPAAAAPARDLLEEFEEEAGDGSSIQSLALGALDNSFLVGGAGIQVVKNFRHGVHGKGVSVRISGGRGGSTTTSYSTPKKALLMRGETNMLLMSPGDTSAPHSNGVHHVDIETGKVVTEWRFEKDGTDITMRDIANDSKSAQLEPSGSTFLGLDDNRLCRWDMRDARGRVQTIGNSSDSPVLHWSQGHQFSRGTNFQCFASTGDGSIVVGSIDGKIRLYSKSSMRMAKTVFPGLGSPITHVDVTYDGKWILGTTDTYLVLICTIFKDKDGKEKTGFSGRMGSRIAAPRLLKLSPLDSILAGNDNKFHGGQFSWVTEDGKQEKHLVATVGKFSLIWNFQKVKDSNHHCYRDQEGLKSCYCYKVVLKEESIVDSRFMHENFAGSDSPEAPLVVATPMKVSSFSIANRR >SECCE3Rv1G0196270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:807548908:807550438:1 gene:SECCE3Rv1G0196270 transcript:SECCE3Rv1G0196270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGGDGRGELVCVTGGSGFIGSWLVRLLLDRGYTVHATVQNLQDEGETKHLQALDGADTRLRLFQMDLLDPASVRPAVDGVHGVFHLASPVILQPAQDPENELLLPAVNGALNVLRAAKDSGVKRVVMVSSQTAMCPTAHWPAGKVIDDDCWADVEILKKLELWYNVSKTLAEKAAWDFAGEEGLQLVVLNPGLVLGPTLTPAPTASLRLLMLFLQGQKLDMEPFFVGCVDVRDVAQSLVVLYENPLAQGRHLCMESAVRLVDFHDELANLYPEFPVHRIQEDKQGWVVRSKAPSKKLIDLGVRFTPFVKTVRDTVDCLRSKGEI >SECCE2Rv1G0139590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:928313259:928313612:-1 gene:SECCE2Rv1G0139590 transcript:SECCE2Rv1G0139590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQYYACPHGAPHGLLLAVVVGLLVAWLVFVGDGGEAVTHAIAELLGPMGLLLLPVGLLLLSSHRGPDVFVFGSSPDAPHHVGGSPIGVAPMLVLILGLLYYRSTLFSGGGDDDE >SECCE5Rv1G0375170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867153712:867155177:-1 gene:SECCE5Rv1G0375170 transcript:SECCE5Rv1G0375170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAITSASTTKSSSASPSPIATRQVVVMASSSLSVVLLLCLAAAAAAQLSPTFYDASCPGALATIKSGVAAAVSSDPRMAASLLRLHFHDCFVQGCDASVLLAGNERNAFGNVGSLRGFDVVEKIKADVERACRRTVSCADILAVAARDSVVAVGGPSWTVSLGRRDSDTASETLANRDLPAPSLGVADLITRFAAKGLNHTDMVALSGAHTIGRAQCQNFRTRIYNEANIDSDFAASRKATCSQLTGSSDSNLAPLDDTTPDSFDNAYFVNLKLNKGLLHSDQVLYTVVGGATEDIIDGFASNPDAFNNAFAAAMVKMGNISPLIFPQGLVRRTCSRAN >SECCE7Rv1G0478530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:238570591:238577534:-1 gene:SECCE7Rv1G0478530 transcript:SECCE7Rv1G0478530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQDEAARPDGSGSPGADPDVPVFPFWARTPSDCLAELGVSADRGLSSDDAAARLHKYGPNELERHAPPSVWKLVLEQFNDTLVRILLAAAVVSFVLALYDGAEGGEVRATAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVIGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETSSVNKTSHKIHLEDTDIQGKECMVFAGTTIVNGSAVCVVTGTGMATEIGKIHSQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICILVWLINVKYFLTWEYVDGWPTNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVRLVAIGRWPDTLRNFKVDGTTYDPSDGKIHDWPTLNMDDNLQMIAKIAALCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGYTPSLDSSDLLRCCQWWNNDAKRVGTLEFDRTRKSMGVIVKKTETGKNLLLVKGAVENLLERSAYIQLLDGSVVLLDEGAKALVLSTLREMSGSALRCLGFAYKEDLAEFTTYDGEEHPAHKYLLDPAHYSSIESNLIFCGFVGLRDPPREEVHKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFGPSENISSKSFAGKEFMALPDKKKLLRQTGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGLYVGIATVGIFIIWYTHGSFLGIDLASDGHTLVSYSQLSNWGQCPSWEGFNVSSFTAGARTFNFDDNPCDYFQGGKIKATTLSLSVLVSIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLTQIFGIVPLSFNEWLLVVAVAFPVVLIDEVLKFVGRCLTARARKQLGKRKEE >SECCE4Rv1G0284790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:840919365:840923421:-1 gene:SECCE4Rv1G0284790 transcript:SECCE4Rv1G0284790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein GTS1 [Source:Projected from Arabidopsis thaliana (AT2G47790) UniProtKB/Swiss-Prot;Acc:Q944S2] MEVGNGADSAAMEVEAAAASGSPVPGGVASPARLLGLRNSIQTNFGDDYVFQIASCQEISTLAVSLSTNALKFYAPATGQYLGECTGHTGSIHEIAFSAPSSPQVICSCSGDGTIRAWDTRSFKQISLLNAGPSNEMFSFSFGGTSGNLLAAGSSSKVLLWDWRSSKQVACLEESHMDDVTQVRFAPNQQSKLISAAIDGLICVFDTDGDINEDDHLLTVMNAETSVSKVGFYGNTYQKLWCLTHIETLSTWDWNDGTRELNIENARSQASDKWNLDHLDYFVDCHYSLPDDRLWAIGGTSEGTLGYFPVKNDPAGAIGLAEAVLEGGHAGVIRTICPGGSSLESLGQNKGIFGWTGGEDGRLCCWRSDDVVATKKSWISSTLVSRVDKKTKIRHQPY >SECCE7Rv1G0471640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:132516560:132519393:-1 gene:SECCE7Rv1G0471640 transcript:SECCE7Rv1G0471640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGAADERETYPRPEEMASLPIPDELSAEVFLRIPNPADLLRASAACVSFRRLIADRSFLRRYRKLHAPPLLGFLDHERKVFYPAAPPHPSAPAASAAALAADFSFSFLPAPATDWRVQDVRSGRVLLDRAPQHDIDNRQRLVFPELVVCDPLYRRYLLLPPIPAHLAATVKRPIWIKLHRYCETFLAPPSDIDEEASAAEETSFSVVWMAQCNAKLMAFVFSSSTGQWRAVTISSLSWSDLLPGLVSLTGWALFSGRQYAYGLFYWVTDWREKFLVLDSRRMKFSIAEPPPEIRSLHGVDIAILEAGEGRSRMFVRTEGTKYLNYTTGRNNCGSSIQWLLERTISLDSGYVLIGSTGRHLFLYQRQSSSLDRAFFSLDARTFQLERVFASNSCIPNVHAYSSFPPSLLLIPTVSSDMSSILEASWNFRKHYTAKYFFFRGTVEGIQV >SECCE4Rv1G0265130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724678202:724678976:-1 gene:SECCE4Rv1G0265130 transcript:SECCE4Rv1G0265130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLREGGAGELRGKDGGGDATAAYRYAATDSFAYEDVPPPAQQMDRQPPLVLGEEAGVLGDGRIPASVFERDTSEPGKDWSMMSTESVFGLQVAPSCDFTGYFLAHPELMDIATPPRDSSAAPADVPRPSAASGAPRQFDAIPELGNAAPSYSFAFPNLMEDKRYSSKKAQQSSEMPPTPKTAAPSGETSAPEAEASSKPEAEPEKEAPKGGMFSWMPCCS >SECCE6Rv1G0443530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:823152513:823153721:-1 gene:SECCE6Rv1G0443530 transcript:SECCE6Rv1G0443530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLMGLPGSLLTEILLRLPAPEDLARASAACPAFRRLATDASFLRRFRRLHAPRFLAFIDLDGFQPALPPHPSAPAARALASAADFSFSFLPSHCRWIPMDIRDGRVLLGRDHGKDARPPICRELAVCDPLHRRYVLLPPVPDALVASVERPPPVLRRPSDEPLLLPLSENDAAAEGTAFTVISMVHCDTKLAPFVFCSSTGQWRAAAPMLWSAMPVSPMDPAYLRRHHAYGCFYWDSTNIKRKELLVLNIQRMEFSTAELPSSGWGTLGVAIVEAGEGRLGLFGIRDGTAGGSKHDLCYSVRQNTGKNSGQWQMVKTFSLGPQGLHYLKAATERYVLLICSEAPRLVGLSMVMPDLLEYISVDVKKLQLERVCVKPFGKSLSRTRIYAHFPPSLSSPTV >SECCE1Rv1G0044240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:601268416:601270074:-1 gene:SECCE1Rv1G0044240 transcript:SECCE1Rv1G0044240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARTAIALLLALVVAASFLCDGAHSRHHHHSKRHTARPPTHAPGPSGPRRAPSPRHGSPSAPPPARSPPSAPPMPGAPAPAPSDDDDNVYDVIKDFGAVGDGVTDDTDAIKTAWDTACQDDGEGVVVAAAGHSFLVHTTTFTGPCKGSVTLQIDGTIVAPSEPTTWPANSKRNWLVFYKADGMSLRGSGLIDGKGQKWWDLPCKPHKGGDTHGPCDSPVALRFFMSNNVTVQGLKVQNSPEFHFRFDSCRGVHVDSLSISSPALSPNTDGIHVENTQDVLITNTVVSNGDDCVSIGAGTLNVHIENVTCGPGHGISIGSLGKQGSRACVANITVRNAVIRHSDNGVRIKTWQGGSGSVSAVTFENVRMDAVRNPIIIDQYYCLTKSCENATTAVFVSDITYAGIRGTYDVRGPPIHFGCSDAVPCTNITLSGVELLPASGDTVDSPFCWNVYGNTTTPIVPPVACLIEGVPRNVDDTSSLKCY >SECCE6Rv1G0418400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:662688157:662688798:-1 gene:SECCE6Rv1G0418400 transcript:SECCE6Rv1G0418400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLLWALALAFAMVTTHAQLQYCEKRCGKQADGMECPNNLCCSKDGYCGLGVDYCSAAAGCQSGACYDNKICGAQAGGALCPNNHCCSSGGRCGYGSEYCSGSRGCQSGPCWADLKCGHLANGKQCPSNLCCSQYGYCGLGPEFCGAGCQNGACSTDKPCGNKANGARCTNNYCCSQYGSCGLGKDYCGTRCQSGACYTPSFLANILKCVP >SECCE5Rv1G0348630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:674797875:674804148:1 gene:SECCE5Rv1G0348630 transcript:SECCE5Rv1G0348630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKLHKQPSGRLIESLKMERVRTILTHRYPYPHEHSRHFMIAVIVGWLFLLSSDNLQTLIMKLDKNFKWWSMYACLIGFFYFFSSPFIRKTIKPNYSNFSRWYVAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLVFLIVFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVFYSHCGNRTLSRDKSIDRRTASWVAFSLWRKQNEDNTLISKLLRMHKFKDQICSSWFAPVGSASDYPLLSKWAIYGELASNGSEHSNIISPVYSLWATFIGLYMANYVVERSTGWALTHPLTISEYENLKKLLKPDFEDMVPWYSGTSTDLFKTVFDLMISVTLFVGRFDMRMMQAAMNKTPDESKSSDLLYDHLDGKDELWFDFIADTGDGGNSTYAIARLLAQPSLVVKSDDSRLIFPRGELLLIGGDLAYPNPCSFTYERRFFCPFEYALQPPAWYNSDHIALEKPELPLGVSELRQYKGPQCFMIPGNHDWFDGLNTFMRYVCHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGEHDSVILITHEPNWLLDWYWSDKTGKNVTYLIREYLKGRCKLRMAGDLHHYMRHSCTESKEPVHVQHLLVNGCGGAFLHPTHVFENFKECYGNKYETKAVYPSYEDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILHEDSWDGRVNSFFNATWNAIFEILEHSYVSLAGVLTLLTVSFFFVPTKLSRRRRALLGFLHAAAHITSAVLLMLLMELGIEICIRNHLLATSGYHTLYEWYRQAESEHFPDPTGLRARLEQWTFGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVSVFLYFWVLSTPVVSMVFGSYLYVCINWLHIHFDEAFSSLRIANYKAFTRFHIKKSGDLEVFTLAVDKVPKEWMLDPDWDMEPKEPLQMSHTRRFPSKWRAASGWSDPTSVVRVVDQFVIPRTPVDPLSTDSAS >SECCE6Rv1G0452130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:875381524:875383881:-1 gene:SECCE6Rv1G0452130 transcript:SECCE6Rv1G0452130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 [Source:Projected from Arabidopsis thaliana (AT3G11220) UniProtKB/Swiss-Prot;Acc:Q9C778] MAAAAAAAGTGGQTLGRSSFSRAASSKTASSSSPLTPSGVKLGPNGAAFVSSGIPDLDRILGGGFLLGSVVMVMEDSDAPHHLLLLRAFMAQGVVHKQPLLFAAPMKEPRSFLGALPAPVASSKEDARQRAMGGAAAGDGRASDEGLRIAWQYRKYFGDERNSSAEHRDNKQEFSHDFDLRKPLERHLLNAQHIECFSTQDVDTLQDLQDRCSTFLSKHQRKEGGNLSAGRIAIQSLCAPQCGYFGKDWDMVSFLRSLKAMVRSSNAVAIVTFPYTVLSDSFCKRWQHLADTLLSIKAIPDEDKDLAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTFSLKLRKRRSLVLERLNQAPVDGSSGPSSGGSGSCSSSTQGSQLDF >SECCE3Rv1G0194710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:784463812:784469236:1 gene:SECCE3Rv1G0194710 transcript:SECCE3Rv1G0194710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFTCLSCSGIHREFTHRVKSVSMSKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPDNSKPDRIREFIRTVYVDKKYAGAKSTDKPATDSESVKGNESETRRPDSYHSYSQSPPYDFQYEDRRYGKQVNTLARRPSDRALFDGKLGSLLYSPGRSRDQMHEDRFANESHGSRFSDFSASSTSDFRNDVLSPSSQDTGYSSPSVHHSRNVSTENPQSQRHPNAVSQIESNGGHRSQRTASSGSFGSFDGSSPSNKSVDSGVLPDAPTERPVPSAANRQSGAPSVAHSTQPYALQQSANSSASQIAPQESLRHLPVSAQPQPQPTAFINQDLFDMSTVPQPVAYAPPIDLFAGFNQQTASVPNGHSDVVKEAVHNAVVQKVVTTSSSVSAEAVPTSHPVHQDLFSLSIAQDPATSSSPPSVDLFAGFDQQLPPTSSVHHITPAAPLPANEGWAFFDIPQYGSSTSVSNVQAQVPAALPSVVAKPIDQSTLPNSPPSAIMSQTSLPTMDHWSLNAEEVKIPVSKENSQDWNAFGESTGNMPNNVFAFNDMPQVAPHQFAIPIVPYIGSRTSQDLARGEPERSTPGDIFPGFNVSPGVLAEPSFPAPLQPQLGMVSHAGKSTNPFDMAFESDVEANEMFMDLTSLQATLPDPHVPADYSGNLAEPWMPQNSTVPYIPSASQGGLSYIPGQVQDSHVLNSAQQGQFPPRNPFDE >SECCE2Rv1G0079200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:116344401:116345858:-1 gene:SECCE2Rv1G0079200 transcript:SECCE2Rv1G0079200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPPFLLLLLLFVLVAAAAAASDSEERPRVTPTLIQCHPPQAQAAANASSAKNATAFQANVVSLLRALPAAAAPTGFASLRSAGVLGRDRAFARGLCFGYATPSQCRACLAAAAWKLADGCGARGRRAGVWTDACFVSYADANASSPSYDGFRARVITGADPLATTSSSGLQSLADLAWRMSLVAATSQGMQVAVDWTAATSDYRMNSTVRVLAQCARDRTAAECMWCVRYSARVAETCASFWCPRHSARAGDTCCWGLDAWRDGVVGAVVGFDCYLRFDVAVATATATATAAATAPARVPPLKRLGKLMDDHPTLTVVLGIVSGGLIGAAFYVLIEAIHCCMESKNRAINNVNAPVVPLAGPAAVWVGVEMNPPQQQQ >SECCE1Rv1G0051320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:652805384:652808263:-1 gene:SECCE1Rv1G0051320 transcript:SECCE1Rv1G0051320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKDTLDLSALGSAVPNAAELSAEDKANLVESIKNTLQGLAARHTDVLESLEPKVRKRVEALREIQSQHDEFEAKFFEERAALEAKYQKMYEPLYSKRHDVVNGVVEAEGVTKDDSGEATPDEKEEKGVPDFWLNAMKNHEILAEEIQERDEEALKYLKDIKWYRITEPKGFKLEFHFNTNPFFKNEVLSKTYHMIDEDEPILEKAIGTEIEWYPGKSLTQKVLKKKPKKGSKNTKPITKIENCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQDEDFDGIMDDDEDDDEDDEEDEDEDEDDEEDEDDDDGDKKKGGRVPAGEGQAERPAECKQQ >SECCE7Rv1G0513340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:820994487:820997773:1 gene:SECCE7Rv1G0513340 transcript:SECCE7Rv1G0513340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSVVAELAASFSGIQVTPRRKPASTPPAANFYSPMKKARPRKLVSMCIGVLGQHLEDIINDISEFTAFFPPHIKLAIMSIARRRRLLNDDVLVSLVDSSWKILDISGSEVTDVGLATVAHTCSNLWAVDISRCEKITAAAVSEIICHCPSLEILRCGGCLRSEFTARGCVNLLKPKLNTLEEDSWEELEAVDFGSGAQSLRWLVWPKIDDNSKEILAVECPRVIVNPKPSLLDLGGSKTPSEALAGVPLDHSVVQDIDPKTWAVSAVPRRAAAAPPQPNAPPEIPIAERFRLAYVERDARLAPKRARRERQHRRRAERDYLMNDIDAKSVALASKYLSKG >SECCE5Rv1G0336170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:582782813:582784274:-1 gene:SECCE5Rv1G0336170 transcript:SECCE5Rv1G0336170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRLELYGNKVDSFYVDRWIHIAVTARVEELAIIMPHIPGEEEYNFPCSLLFNGGENSIRYLYIAMCAFRPTAGLGCWTKLTKLLLSNVWIADDELEGLLSNCTAIQHLELKNCSEIVFLKIPLLECLTFLRVSLCINLQFIESDAPNLSTFCLFGGLVSILFGSDVKNIEVSCLKFGPPNIVLFARTELLSCAPDVERLVITSPNEMESTPMLSSKFLHLKYLHISLIGNKAISPAYDYLSLVSFIEASPCLETFIFEVQQPDMKHDSIIGDSSHLRRLPQHSHNNLKSVTIIGFCSAKSLVELACHIIENATSLERLTLDTSHGCRSPGGHSVDKPDRWYYTVSGSLMAAPPDRCLPMWGRGIEESLRVRFAIRRHIEWKVPFGVAFKIVVPCSRCLMLNF >SECCE7Rv1G0488850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:446688263:446739071:-1 gene:SECCE7Rv1G0488850 transcript:SECCE7Rv1G0488850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E/G-like protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04270) UniProtKB/Swiss-Prot;Acc:F4IV66] MAARALVAPPLPPPPTLGTMRAPPRAAAGLSSALTGHRGRHTLCSVQLTESPSGNLQVEANSSHSPTQLMSTGRDDSAITCKGFCTISWSLKADVMDGYIIFITGDPVTLGCWEPDMAVQLNPSVKSSNKWTAVIKVPYGVHFKYNYFVREEKNSSNDIIWRPGPECSLSIPSVSQKNHVILVKDQWMETSVAGISSPPWGSWLMEADSVEDQIAERGKRGSIVKAHSIDVVDGASSVGEHIIMRLWNGTPLDAVSPSISVHDDFAAADKPNAIKIIVNQHEINQPVEEPWVLGPIVSAKNSVAQVKHKKDRRKFLNTEKDSGEITENMPEQDQPVEEPWLFQSWVTANRAGVISKRKVEAKGIMKRLKEFEKPSTASEKDMPASGEPPRVILINSSICSTQRIAVLEDGKLVELLLEPIKNNVHCDSIYLGIITKLVPHMGGAFVDIGILRPSLMSIKQNRDPFVYPQIVKDIKGGSANDSGYNDESLLTYDDDDDDDIADNEFADEENDDDSSTFLAQNVKENEEGLDIVSHSKIKRIDGAEFENVSGWDDEIDDHVEDEYNDDHSPGDRSEICNDIKTLSSIQHALRESNDDTNGCRWSQVRKGTKIMVQVVKEGLGTKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELNKDLDRLLSTWKGITEHAQSAALAAEEGVEGAIPVMLYRSKGQALSIVQDDFNEKVKRLVVDSPRTYHEVTGYLQEVAPELCNRVDLYEKRTPIFDEYKIEKEIDNILCKRVVLQNGGSLIIEQTEALVSIDVNGGHSMFGQGTSQEKAILDVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDSNKRLVYEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCPCCHGIGRVEALDTSFSKIEREICRRLAVSGHKSDPEKPKSWPRFLLRVDHEMCTYLTSGKRTKLGILSSSLKVWVLLKIARGFTRGAFELLPYSDQKDTDEQKEASPESPPPREAGRPKLSVFPIKKWMSRAKRAK >SECCE2Rv1G0090020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:267480408:267484217:1 gene:SECCE2Rv1G0090020 transcript:SECCE2Rv1G0090020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 32 [Source:Projected from Arabidopsis thaliana (AT5G54910) UniProtKB/Swiss-Prot;Acc:Q9FFT9] MRRPQRRAVAKQSRAKDGDEIRLLEAWIDAGKPLPGTKPPPPSEESSAADARVRARDVVAKAAAGDYSDYGACTRFDELPLSKKTRDALRQAGYKEMSEIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPVIEKLYREKWSQEDGVGCIILSPTNDLAGQIWEVVRKVGRHHNFSGGAIVKRTGIEQEKERINSLNILVCTPGRLVQHFDETPNFDCSNLQILVLDEADQILDKNFKSQIDIIISQIPKVRQTLLFSATQTKSVKDLARVSLKNPEYISVHEQASTATPDNLEQCAMIVPLEQKLNMLWSFIKRHLKSKILVFLSSVKQVKFVYEIFKKLRPGIPLKCMHGRMKYEVQQAIVAEFSESTSVLFSTDIFARGLDIGNVDWVVQVDCPESVALYIHRVGRTARYNKKGKSLIFLCPEEERMLGKLKATESKIPINVRKPKVEQLEQISQNVAAVLVKFPNLQQLGKRAFVTYLKSVYLQGDKEVFDLSRFSAESFAAYASSLGLPVTPKIRFVSHKKNVSKKDMKDIDVKQMKHKAEVIEINPQVNRDMLADDGPDDDILYPKKPNTDANINDGLDEILSPKVPGADTHMEPEKIEELSARPLKKKKLKINMHRPVGTRVKYDDEGNAIDPLASLAEEVGPEDVIHKDKILQRYAEMLREMQEDDKEDKAQHKKSLHEKKFEKKMKLKRRRQEETDAVSDDSGSESDRNQNMSSKGKKKYFNNSDDDEGGDAAKGGDLLAQQEALALKLLGKMHD >SECCE2Rv1G0102220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:574924256:574929804:1 gene:SECCE2Rv1G0102220 transcript:SECCE2Rv1G0102220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAESPSPSPSSSSGSDDFAALLDAELDLASAVDSASAGDPSTSTTSSDDEEDDDEDVVADVETVEQSSAKRRKVKVQYEDRETTTRPDEDSIGSFEDAQIKICPPHPGFFGGLCFRCGKRQDEEDVPGVAFGYVHKGLRLGTSEIDRLRGSDLKNLLRERKLILILDLDHTLINSTKLHDISAAENNLGIQAAASKDDPNGSLFTLEGMQMLTKLRPFVRKFLKEASNMFEMYIYTMGDKAYAIEIAKLLDPRNVYFNSKVISNSDCTQRHQKGLDMVLGAESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFSVKSLSELMQDERGSDGALATILDVLKRIHTIFFDSAVETALSSRDVRQVIKRVRQEVLQGCKLVFSRVFPSSSRPQDQFIWKMAEQLGAICSADVDSTITHVVAVDVGTDKARWAVKNNKILVHPRWIEASNFRWHRQQEEDFPVKVKKNEKDKENAAAAAATDPANEKDKEDDVAPAATDPATENDKEDDVAPAATDPANENDKEDDVAPAATDPTNENDKENNVAAAVTDSTNS >SECCE5Rv1G0323300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:433013249:433014134:1 gene:SECCE5Rv1G0323300 transcript:SECCE5Rv1G0323300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSFGSSQGTRSSRSAEDCPDLRAQMEKRRKRRKESNRESARRSRVRKQQHLDDLSSQVDQLKNQSQQMNMVLGMTTQNLVALQAQNSVMQTQKMELESRLCALGEIICCMNSITNTANPAAAMGATASSAYDIFGAGSTWSQPIDLYQCF >SECCE1Rv1G0009770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:57237595:57242939:1 gene:SECCE1Rv1G0009770 transcript:SECCE1Rv1G0009770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQRVHGTAAVEALHQEDNSKCTTDAVPTEEYDPWEPPHPPPHPSLADVTCPIQHMREYMLQLQRFLADARATNIISPDRTPKETLIKYYGIYDRLSAVLQKDSVPLFLRIFENYRESFVSGFVIIPQTLDLIILENALRCANVILEGKSPKLCGVRANPNYMTSFGYFPLHQAAESFSVEMVELLFRYGASANQRTSGNKIIEGLLPLHVAIEDTCQHKYLEDNLLVDENYRKGNVGYIYKLIHLLCLPEMKIFLDTTRLLAAHTDNVVDELWKYIEHGKIVPAAILLLAAQRQFRKLNAFDMIKDRIDDSIFCLIREGCGLQIGKNTKAAKQRKEKEVRFYNALFLVRIILKAGEALDAYIQTHSEASHHEVHGKVSAVLKSYDVGPLGKDICIEDLQCFPYDCGGPDGVLHEHGDTDLTKAATGSPTPEVKEKNAAAKKPRARHLYARDQFFPVWRSVLTARFISKIFPPYTPKKDLPLYTSDGSSEKLRAERHSHILLGMLERNYPRIASKPTPASKYRSRRLFGTAASTVLKMLKRV >SECCE1Rv1G0001020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:3233112:3236730:-1 gene:SECCE1Rv1G0001020 transcript:SECCE1Rv1G0001020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHMWTPLILLQLLLAAAATAATTATTPGCRPSCGGVDIPYPFGIGVGCFRKGFEIECINNSPVLAGTSLRVVKLTLDPDQSQVMLPMGWQCYNASDPGDTNSNWDYGETTMNKDGVYRISNTHNMLVVVGCNTFAYTASKRTEGGTANYAYYTGCMSYCNNSASAQDGLCDGVGCCRVNIPPGLTDNYFNFREFDHSGMMDYSPCDYAFLADRNYTFLRSHLKMDTKRTSSVWLDWAIRGNSSVSGDIPSCKQAANTDQYACVSAHSDCVDSINGPGYNCKCSNGYEGDAYLPNGCTNVDECADPAKYPCYGVCTDTQGSYECNCRLGYRSHDPRTERCTQKFPLAAQISIGVISGILVLALIIFIYVLRKERREARDFYRKNGGLTLKDSANIKIFKRGELKAILKSQNIIGKGAFGEVYKGDIDGALVAIKKPIGDNVHENKQFPNEVIIQSQVMHRNIVRLRGCCLEVDTPMLVYEFISNGSLEDFLHKDKSKLLNLDVRLSILQESAHGLAYMHSQTHATILHGDVKPANILLDEKFTPKISDFGISRLIAKDKEQTANIIGDMTYMDPVYLKTGRLTDRSDVYSFGVVILELISRKKATHSDNNSLVGSFQECHQRGETALKLFDPEIATTGNFEILDKLANIAMECLHLDVDQRPSMTDVAERLLILQRSRKL >SECCE2Rv1G0100770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:547686105:547687737:-1 gene:SECCE2Rv1G0100770 transcript:SECCE2Rv1G0100770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIPADSSTTVTPPVYRRHDSSEESRSISSAGGKDPGVPSSAGENSQAAISAGHPGKLDPESAKACLEVEKAQPRILPLLGKPYFACVVCKSHVQQPFQVVVPRSLAPFLPSKPTPATLTWQGRSWEMRFTGGRHIQRLEAGWRSFALDTALRLGDGCVFELVAGGGESVVFRVQVLRAEIPASIRERAGGYTPSSPIVLD >SECCE3Rv1G0156020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70082528:70084374:1 gene:SECCE3Rv1G0156020 transcript:SECCE3Rv1G0156020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPLLTSLSMENSNSHPCTLLSMDPAGSHPASADSSGGGGAVNGVGAGADRELFIIPRHESVRQGPPDINVPLSADPSPPPALWSLDTFDIFDVGLGTHTHESEVALTLPKSSGNGSAAAVGVGARKCAKRGDSIWGAWFFFNHYFRPALVEKAKEKVTRDASGSITGFDKSDLRLDVFLVQHDMENLYMWVFKERPDNTLGKMQLRSFMNGHSKHGEPSFPFSADKGFSRSHRMQRKHYRGLSNPQCLHGIEIVNSPNLSAVPEADMKRWAELTGRELNFSIPSEASDFESWRNLPSTDFELDRPQPAVSKSVAHTSHNNNHKKALNGSGLNLSTPPSSDDGMDLSPKCHKRRKDFFGHGVEEDCAMANNSCSEREQEVEVHTGEPSWMHDFTGVAKHASGPVTAAKTIYEDDEGYLIMVSMLLSDPHSVKVTWRNTLTHGVVKITCVSTARMPFVKRHDRTFKLTDPSPEHCPPGEFVREIPLATRIPENAKIEAYYDETGTGLEIMVPKHRVGPEEHEVQVLHHYIGGDPPDYSFL >SECCE5Rv1G0332100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:544615625:544628514:-1 gene:SECCE5Rv1G0332100 transcript:SECCE5Rv1G0332100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETAPLSPQQQAYKDGDSEQRAGAAAAKPLYRNPVVVVNFLLMAVGTVCGPLLFRAYFLHGGTRKWLTCLLQTAAWPLLLPPLCVSFFSRRRRRQREESAPLSLMSGRLLAATVGIGLVIGLIDFLYAYGLAYLPVSTSSILVSTQLAFTAVFALVVVRHRFTAFSVNAVVLLVVGAAMLGVNGGGDRPAGVSRAQYYAGFAMTLGSAALYGLVLPLMELSQAQHAARAGAAVTYALVLEIQMVIGITATAFSAVGMLVNNEFHEIPDEARRFDLGEAGYYLMLVSSATAFQCFFVGTIGAIFYGSALLAGVIMTLLISITEVFAVFFFREPFNGTKGVALAISIWGFISYFYGEIRTNEKQSKTSTDKEHLDP >SECCE2Rv1G0089480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:257700871:257701479:-1 gene:SECCE2Rv1G0089480 transcript:SECCE2Rv1G0089480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNYRLPSYHRQSPAIRCLNFLCAVLLTLVLIAGIIFFVLWLSLRPHRPKFTLADFSIPNVNRQSGAANLPVKFAVNEHNPNQKIGIHFEAVYGSVYYDDELIASGPVMYPFYQPPKGDTLVQGELAASGPTPTDPAWQRFASEVGAGSVGLRLVLNSTVRFQVKLWDTKEHHMKADCGFKISGDGSLHQEDRNTPCTLYF >SECCE5Rv1G0300150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:22967885:22969341:-1 gene:SECCE5Rv1G0300150 transcript:SECCE5Rv1G0300150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKKVLQQFLGIVNYARNYIDNLAKLAGPLYAKLRKNGQKYFNSEDIKLVKAIKEKVKDLKPLELPLEDNYFIIETDASKVGWGAILKQKPNKYSPKADEKICRYASGSYKLKTVNNIDREILAVVNAINAFRLYLGFKEFTVRTDCEAICRYYNKINKMRKGLYATRDEYLFFGEENRLKMFQPNTFNFKPKPHIKLDEAQRCILDNFWYQYTLKREEKGYFLSILNSLAEYFNELNKNSPKPEKIEIPKGETLYLIFDENKPGIYLEWENIMIEKLDAKRKGQDLTFKRYYNIDDALLWARKVLGPDYYIDPKAKEYIQIRRGVPASPAPTKGEASSSKNIKKEESPKYKTYQECLLKGLDPLDSEYIDQEMDKRFEEFSKIIKKELKEEILKELRHEMDEKFEEIKKECDQKYDFNLLNDDDDHMDIAGHGQRPE >SECCE3Rv1G0171730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:277470606:277475315:-1 gene:SECCE3Rv1G0171730 transcript:SECCE3Rv1G0171730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPPSAAASAAGGRGPAHHRTRLLLLLLVAVSASAFTAGYVLRGGPAGPCDTRGDPVDVATARAGGAASSPLGFMKSKLVLLVSHELSLSGGPLLLMELAFLLRQVGCQVVWITNQRPDGTNDVSYSLEHKMLNHGVQVLPARGHEAIETALKADLVILNTAVAGKWLDAVLKDNVPQVLPKILWWIHEMRGHYFKLEYVKHLPLVAGAMIDSHITVEYWKTRTHDRLNIQMPQTYAVHLGNSKELTEVAEDNVARRVLREHIRESLGVRSEDLLFAIINSVSRGKGQDLFLQAFHQSLQLIQHQKLKVPKLHAVVVGSDMSAQTKFETQLREFVAKNGIHDRVHFINKTLAVAPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVLDGSTGLLHPAGKEGVTPLAKNMVRLASHVEQRVSMGNKGYARVKERFMEHHMAERIAVVLKEVLHKSQQHPHS >SECCE4Rv1G0224880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:85621871:85626659:1 gene:SECCE4Rv1G0224880 transcript:SECCE4Rv1G0224880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRSLLMLLPLLLLLSHFLSLAAAFQSDELLLHDDDEFEGTRTSSTPSPRPPSTPPVVSSRRRSADATQAAGASESNTVQFTLEHDLGAGLGFAPAGSFSARLKTSAHGSQTLTKLRFTRNELTEDEKNAFKKLLEEDSFYTIRLPSNVLDPTRNDYIYSSIKARCIPRDSLDEHIVIHMDGVNILAVNYGSVGGCQYPRSMKVPSKWTFNSYTILKTADQAPRTPSFVEQLIETESGLGEVMKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANIPEEQAGGQGQAPAQRAPIAAPRRR >SECCE1Rv1G0008450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:47158363:47158871:-1 gene:SECCE1Rv1G0008450 transcript:SECCE1Rv1G0008450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGPLLLFILLAFASHGVWRAAAAAARSAVAEEDAAHHHLRPHHHVTQGKRLLEMQTPRKVGHVTGGAGASGGRRNTGGGATDTRPHNSKNGAMALPAPATSVLALVFTGSTILLSALSF >SECCEUnv1G0539830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77502166:77507768:-1 gene:SECCEUnv1G0539830 transcript:SECCEUnv1G0539830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVTLALSSPTEHGEQLRRRQHSLSELTYARDEDATKLETTRARLASVLKRHEDLKERLSRDSDKLIFERLQKEFEAARVAQTEEISIDDEQWNDGLLATIREKVHIEAERKAMVNLAIPTDSQLQSRTTYRIRNKVIYCLDGARIGIQYETFFAGEPCEIYHCVLESKSFLEKMTVTEHTLPFFLPIREVETDHLSSNAIRFIDHLEEILQSYIDRREQVRLIKELYGNQIGELFYSLPYTLIEFTLEDFECKVTVSIRYSDLILTLPSQARVLAWPLRSAKRISAADRRAQPVPSRLSYAENALKALSLPEAYAEIVLELPRALKLMFYSQESD >SECCE3Rv1G0184770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:666038492:666040822:1 gene:SECCE3Rv1G0184770 transcript:SECCE3Rv1G0184770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIKTLVGLKPAADKERHGGGKGRKWSRLWRTSSGGQRAAASAAASEVSETSSSAAADALSSVVAAVVRAPPKDFRVIRQEWAAVRIQTAFRGFLARRALRALRGIVRLQALVRGRRVRKQLAVTVKCMQALVRVQARARDRRTRLSADGRDSQDTLDDHSSHADPVKEAETGWCDSQGTVDDVRSKIHMRREGAIKRERAIAYALSHQRNSNHNGRPSSPAVSLKNHGANRSNQWSYLDGWMATKPWESRLMEQSHSEQTNSRCSESIDEMNEVSSKLSEASSVKIRRNNVTTRVSAKPPSVVTVCDESAPSTSSVTQMTGNHFATSERRSDCGQGGAPSYMGLTKSAKARLSGSCSTHKPPLQRQGSADTHNYSRGAFSSIDVQSTAGSEVSVTSKRLNGLTLKARGTRRSMDKENDDQSNSFF >SECCE1Rv1G0060350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709999483:710003896:1 gene:SECCE1Rv1G0060350 transcript:SECCE1Rv1G0060350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDESGGEDGSGGRSSSASDLRKPFLHTGSWYRMSAAGGGAMDRLGSSAYGLRDSSISALLCTLIVALGPIQFGFTCGYSSPTQDAIMADLGLTLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFANDSSFLFMGRLLEGFGVGVISYTVPVYIAEIAPQNMRGALGSVNQLSVTIGILLAYTLGMFVPWRILSVLGILPCSILIPGLFFIPESPRWLAKMGKMEDFESSLQVLRGFETDISAEVNEIKRSVASSRRRTTIRFAEIKHKRYSVPLMIGIGLLILQQLSGVNGIFFYAASIFKAAGLKNSNLATCGLGAVQVVATGITTWLTDKAGRRLLLIISAVGMTVSLLVVSVSFFVKDNIDEASHLHSVMSILSLAALVAFVFAFSLGMGAIPWIIMSEILPVNIKSLAGSTATLANWMTSWLITMTASLMLNWSNGGTFAIYAAVSMGTLLFVCLCVPETKGRTLEEIAFSFR >SECCE1Rv1G0033330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:466303351:466306055:-1 gene:SECCE1Rv1G0033330 transcript:SECCE1Rv1G0033330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITQILLAAQSPDGNLRSAAEGNIKQFQEQNLPNFLLSLSVELSNDERPPESRRLAGIILKNSLDAKDSAKKELLTQQWVSLDHSIKLQIKESLLITLGSSVGDARQTSSQVIAKIASIEIPRREWQDLIAKLLSNMTQPGASAPLKQATLEALGYVCEEIPPEHLEQDQVNAVLTAVVQGMNQTELSSEVRLAAVKALYNALDFAESNFANEMERTFIMKVICDTAVSKEVEIRQAAFECLVAIASTYYVHLDPYMQTIFNLTANAVKGDEEPVALQAVEFWSTICEEEIELQEEYEGSDDANSTVNYRFIEKALPSLVPMLLETLLKQEEDQEQDDNAWNISMSGGTCLGLIARTVGDAIVPLVMPFVEANITKPDWRCREAATFAFGSILDGPSLEKLAPLVQAGLDFLLNTMNDPNSQVKDTTAWTLGRVFELLHSPCSANPIISNANLPRIMSVLLESSKDVPNVAEKVCGAIYFLAQGYEDAEAVSSLLTPYLPNVIAALLTAADRGDMTHVRLRASAYEALNEVVRVSNIPETSSIIGQLLQEIMRRLNLTFDHQIFSSGDKEKQSDLQALLCGVLQVIIQKLSSSDAKSIIAQTADQLMLLFLRVFACHSATVHEEAMLAIGALAYATGPDFVKYMPEFFKYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDGIMTVLLKDLSNSQLNRSVKPPIFSCFGDIALAIGDNFEKYLPYAMPMLQGAAELLVVLDQNDEDMVDYGNQLRRGIFEAYSGILQGIKGAKAQLMIPYAGHLLQFTEAVYKDRSRDESVTKAAVAVLGDLADTLGPISKDLFKSHLFHVEFLRECQDLDDEVRETASWTQGMINQAIVS >SECCE1Rv1G0040150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:556974326:556974646:-1 gene:SECCE1Rv1G0040150 transcript:SECCE1Rv1G0040150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSYSPEPEPPFRPREKIVEKQRYFQSVHRPTYLKGRYDVVTSVAIPLALAASSMFLVGRGIYNMSHGIGRKE >SECCE3Rv1G0198950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:839045567:839047937:1 gene:SECCE3Rv1G0198950 transcript:SECCE3Rv1G0198950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRDMLLSQELSAAAAMSAESYGRFERYSMSSAHGFSHFQQAGSARTGFRDTRFLFSGFGMPPSTFIMPEGTHAAAGYGAAAVQVEIPVIRQRPASRNSRATPFKGPWTEEEDELLRGLVDEHGEHKWATISKHLPGRIGKQCRERWTNHVRPGIKKEHIWTEADDMLLIDAHKMHGNRWSTIARCLPGRSENAIKNHWNATKRSLKSKRRFKKKTSQQAAPGQFTLLEEYIRDKMMADENVAPPSPASALGYNGQVVRNAAAMLAISSPPGIGEYLHPDNAAGSSSQARMMDLSAPLPDLNAYPASFPPYSNSNTLLHHGAEPTFPQMFSAQGRMLAACTNLNLFPLPHQHLDGGYYGSETGRSSAGGSSDQDEDVVQMASREFQTSEDETTLDLTGFN >SECCE2Rv1G0136990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:915869328:915870901:1 gene:SECCE2Rv1G0136990 transcript:SECCE2Rv1G0136990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin X, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50320) UniProtKB/Swiss-Prot;Acc:Q8LD49] MASAPSTTASTLAPPLRSPLSFSSSRRLPTAAALPRTAGPLLCRAPARGLALARRARVRCRGAVKLVGEGEFEAEVMQSDLPVLVDFVADWCGPCRLVAPVVDWASEEYEGRLKIVKIDHDANPQIIEKYKVYGLPALILFKNGQEVPGSRREGAINKAKFKDYIEPLLETSNVA >SECCE2Rv1G0071200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:41672966:41681867:-1 gene:SECCE2Rv1G0071200 transcript:SECCE2Rv1G0071200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGPAASPPPPPPPPPPPKSDQAVGDASASPSPPPPPETETAGPAPPPPPPSPPPPKSDQAVGEASASTPPPPPPETETAGPASPPPPPLPPSPKSGQAADNASASPPPPPETDMAGQTPPPPPQNQTPAAETASPPQADTQRQTGTGEVAGISEVTQAPPQETAAATDAEEQLPARPPPITPDEQKQGDTAKDGKDSATANSPRAEEKKPGTSRWPRVRSPVLLLLSLFRLRLGKQSQPIEQPKASPSVPEDELAAQKEEGEGSKRRRHEAEASLSPAGRKEAPPAEPRQTKRRKSLQRTESVRPPEGVVAAAGEADAKRTPMKKKLHNAFVLVKDTLAWYGRHRSPKNTEKPEEDQSAAPKEKGGEIKPSTSSLPEEEAGTKSKQHDKTEETAKEPSTVTQEEKEKEDATEKEKARLKRWGREEVRLEKILEDACTRLTLAEFRKLPGDKQQQCLLTFSVFPLGSKVKKQAVTYWWCTRFKLSSEMRAGADEIFSGLSAGGFLEPIKNGCTRVIYGCRVNPLVHWMVKRMAREKDCADLDEPGGPAFSQPKSEVLCLTAGNRARMQKLREEDGLRPRSKPSQTKAPPPATQAVEGPSQKLGKDDDSHAQLKPSPSKMPNEGIVAPVVLPSQDQQDKTKRDDEMLETAILLIEFERKKVILNIDAHVYRLPEPLLTKLADRLEVLQLGRWGNTDDETYMEVESLESLSAIGKLKNLRYLSVRGLSRLTELPKEVQRLRRLAILDVRGCQNLVKLPSSTVKKLVGLTHLDLTECYMLEHIGRGVAALPELRVFKGFVFGVGKRRRDACRLQHLAKLKKLWKLSVNVTTDANVEQDEMKQLAKLAGLLSLTVTWGERPSILLDNSPKIQKQLQDLLDTWTCLRLPPQLEKLDVRCYPEGTLPLGKWLNGNKKLKKLYVRGGEVNELNIPGDNIIETLRLRYLKEFKLNWTTELLPKLNTNTIKCVEVVDKDYKVMKAETKGKIELNEKEGAEKEKLIPIKEGTEKEKLIPIKKRMNIPVCTVDEHGVWVRDLKEEDAGGQAPGKEEAATGVAQAEKGVDDADKAQKELKEDERPSTLKGAQGENEPQAPAKEDSAATDDIKEVEGGDKNNAIVNKDNDNKREAKDEEHDPMQKGAQVHEPNIGENKVQARDEREELKEALEKKESPTPAVDQTVAPASDGDIKEGVVTDKTDKHKISETKEERQDKPGDAKGQDEPHTKEDMGGDTEEPQTEGEDKGKADEQPVVEERGSDGADTSAHDATSSQPLEPKEEIPAALTPIADTAASPMAIPPALPATTTSVALATVDAKEPGKGGHDEGSIGTAARE >SECCE2Rv1G0118010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:778679581:778680746:-1 gene:SECCE2Rv1G0118010 transcript:SECCE2Rv1G0118010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIHSSRRLDGTLLALLLVLAAATAFASAAAARGDALAARHERWMAKFGREYTDAAEKLRRQEVFAANARHIDAVNRAGNRTYTLGLNQFSDLTNQEFAEKHLGYRHQHDVDSTPVAAANMSKAQFQSTPDSLDWRAQGAVTQVKNQGSCGCCWAFAAVAATEGLVKIATGNLISMSEQQVLDCTGGANSCNGGDINAALSYVASSGGLQPEAAYAYTGQQGACRSSGVSPNSDASVGAPRMVDLHGDEGALQELAARQPVAVPVEADSDFQHYMRGVYTGSSSCGQKLNHGVTVVGYGTDSSGQAYWTVKNQWGTGWGEGGYMRLTRGNGGNCGMATYAYYPTMDSS >SECCE1Rv1G0004370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:17691463:17693049:-1 gene:SECCE1Rv1G0004370 transcript:SECCE1Rv1G0004370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHHRAPLLLLQHHSHDDQKQQPEELGEDGKAMKELRGRLTDYACHHRKHGHDALLLMLAGFAFVSCLLLLLPNSPFSAAMDDLLQLARKPRRCDQEMAPTPPAPCAGVPIGAVCCDRSSPRADLCVMRGDVRTHAASNTLFLLAAARPADERIRPYTRKWESSVMSTIDELRLRALPDPDTEGAARCDVRHDVPAVVFSTGGYTGNVYHEFNDGIIPLYITARRYNRKVVFVMLEYHDWWMTKYGHIVEQLSDFPPIDFSNDTRTHCFPEAVVGLRIHDELAIDTSRMPGNQGIQDFRHLLDDAYRGRINAIIEEENAAPQASPAAAALAKKPLPRSVTEELADDDKPRLVIVSRNGSRAIENEPELARAAARAGFRVTVLRPRPDTELAQMYRVLNGSDVMVGVHGAAMTHFLFMRPGSAFIQVVPLGTDWAAENYYGEPARRLGLHYIPYKILPSESSLFRRYARDDLVLTDPVAVNAKGWQVTKKVYLDGQNVRLDMARFRRRLREAYGHWAAQRRRQQSQPL >SECCE4Rv1G0274860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:788830386:788831567:1 gene:SECCE4Rv1G0274860 transcript:SECCE4Rv1G0274860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSPSKLSGPFVSTPSGVSGLHGWTDLPVDLLHSIIALLGSYVDLSVFVSTCRSWRVAFSSYPSKSILCTVLPPLLVQPNVLDLSPHIPSSNGRHELRNCKLIDLAKQTISLCCQIPRKTFENMWFVGSSYGHLICCLEGNFFIVDVLTGAEFSPPSLPLSSDFDFYYYGTLTARPASPNCHLLVSTHHSLFDWPIGSDSWSQLKLPYSRIDQIVEFNGQFIALDCHWRIYILKLAPQLGLEQITTSRFLDGMAKCPQNRWLVVCDDMLLIIGYYESLLSSKAPVQYKPYRLDMSTRPAKWVEVKKLHNWALFVGRDFRSPSFSCSSPEQWGGWSNWLYYAHNFEPFTIHGLGDEAEAAWEPSTDPNLFYGRNRYKKVQAFWVYPSMFSSDG >SECCE6Rv1G0392810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:268772781:268773623:1 gene:SECCE6Rv1G0392810 transcript:SECCE6Rv1G0392810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHRRLPPPPPHRAQILPARLAGGGGVEFRRKLHFLSAELHLDPFTLLALHPELRSAPLALLHASFRLLLSHGLSAGDASRVFSAFPPLLTSPPEESLRFLSAAAPLPPPLLRAAVVRSPRLLAASIPDTLRPALYFLRHRVSLRRRPLPLAAALLLAFSVDRTLLPKLLFLGKATGLPDPAICTIIRRAPAILSYGIETNLTPKLKFLADGMGMDPAAELTVFPHYFAFSLQGRIRPRHEALRLRGLDMSLQEMLTSSDDEFKERILDATLSGNMQRM >SECCE3Rv1G0186890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:692073042:692073833:1 gene:SECCE3Rv1G0186890 transcript:SECCE3Rv1G0186890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPAEAGAAPRYAGRKRPHELTLAVYDPAAAEQRVNAAGLSLSGALVPFLLPPVDAAPIKAVPLCAVAPRAEPAWIRPYFDLRLDLTVHFIDEKVVTATDLDPQQNRFRLPTAGVLRSLRPILSPEELDAASISREGANAAPRLPRRPPPSPTQEEELQQGPIKRKKRQGKKHGGLPVVVYNVHAGTKALQLTQWESSHGNIIKGEGYLDFINRCCFKETDVVEIWAFKERHFHLFGVDMCRASPLYVVLAKKEQRPAASMG >SECCE5Rv1G0329760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:521256413:521257585:-1 gene:SECCE5Rv1G0329760 transcript:SECCE5Rv1G0329760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLMLVSYIQEHGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMQDAGGNDGGSEGAGAGGVGGGVTKAAAPKGQWERRLQTDIHTARQALRDALSLEPSQPAALAAPALPTPPGSVTTYASSADNIARLLEGWMRPGSSSKGPEASGSTSSTTATTRQQPQCSWDGAASASASHSGGAAGAAAAQTPEGSTETSKLAGAGAGGAPPAFSMLENWLLDDGMGHGEAGLMDDVVPLGDPSEFF >SECCE3Rv1G0161560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:118744293:118745399:1 gene:SECCE3Rv1G0161560 transcript:SECCE3Rv1G0161560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQHSHLDVDRTGKRPRRLPKKHLYLVLDDWHKGFSIHKIDVDALQDTTTDLHHGFPDPAALRLAAPVNRLHMDFAAMGSDIFITTNPCCPHTPTLVFDTETAGLTMGPRPPVRLVDMTTFTLAAGGMLYALTHRHVHEQHSFEAMSWAPMDKDEPWPSPTMRWSWKSVPSPPPFAMDDVITSYALHPDGHTIFMCAHDIRYHHLPKGTFSFDTRNSEWRLHGDWALPFQGQAYYNDGLDAWVGLHKDGYICSCEVASRSSTSAVEPEWKVTKEKLFHKDPERRLAFAKASLTYMGDGSFCLVESVLREGVEFKCAFGDRDGCVLHLSTFGLKYDRRGELQTTRHHTNSYVVSKYLETVSPVVFWM >SECCE4Rv1G0261510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:702069869:702072090:-1 gene:SECCE4Rv1G0261510 transcript:SECCE4Rv1G0261510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAPRVQALADAGVPRLPAQYIQPPDLRAGPSPAACLSVPVVDLSAAASTTDAVGRACTEWGAFHVVNHGAPPGLLDAMRAAGLGFFRAPMAEKLRFGCDPARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPARWPDFVPGYRDTVVKYSDSMKVLAQRLLCIISESLNLPPSYLQEAVGEAYQNITISYYSPCPQPDLALGLQSHSDFGAITLLIQDDVGGLEVLKDGMWIPVHPVPDAILVILSDQTEIITNGRYKSVVHRAIVNADRARLSVATFYDPPKSQKISTAPQLVSKDHPQKYRDVVYGDYVSSWYSKGPDGKRNIDALLMEQ >SECCE6Rv1G0440220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:803229699:803230337:-1 gene:SECCE6Rv1G0440220 transcript:SECCE6Rv1G0440220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGPEFVTASHRAGAPMAAPGHGLINGTAAPHLTWQSPVPYVFGGLAAMLGLIALALLILACSYWKLSGHLDGDRDGQAAGDADVEKGSASGAARPAMDFLEHVVVIMAGDERPTFLAKPVTSRAAEVEVAAASAPEVSARAGDDGQERKVDEQGCEVCSHLGADSATPSRDHHDAASPSSDHGHDGANRSHDNHPHHDHESSSTMALQES >SECCE2Rv1G0106400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:635619248:635632446:1 gene:SECCE2Rv1G0106400 transcript:SECCE2Rv1G0106400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVSAPVSTATVRVSNIPPSAVAKELLAFFNSAVAAAGEAYACEIAAARRGWLSRGDGSVQFDSTATATLAAELASSGRLPRFLGSLLSVSPAPADLLPRAPDHSLRVADARLLVGNRVAEREFEAADTWDSVRVEVIPGKRRIDLYLNHDSQRYRLEVFFEDIRNCYQCSFDGAGAILLQLMYAPRICTTISGPAVYSRFSDDRFHACKEDAKFTWVRALDFTPNHSFGKCSTLALVLDEGAPVSFILNSLPLSGELGELVISSMEFFGPSSKVVPFVDCPSGCSVSYEVLFRLNSLVHMGKIVAKDVNADLFKALEEIPVHISRRIFEKMSKLDFTCYEPLQFIQQEAHSRKRCHDALLSSKTEGEGKLLMCYRIHITPSKIYCLGPEEEVSNYVVKHHKQYASDFARVTFVDEDWSKLFPDAISARTGRGLFSQPLKTGLYHRILSILKEGFSIGPKKYEFLAFSASQLRGSSVWMFASNDSLKAEDIRRWMGNFEDIRSVSKCAARMGQLFSSSRQTLEILHRDVEEIPDIEVTTDGSKYIFSDGIGKISERLAKEMACRIGLDYTNPPSAFQIRYGGYKGVVAVDPDSFRNLSLRPSMKKFESKSRMFNITSTSKSQPCYMNREVISLLSTLGIRDEIFESMQQNDMRELDEMLTNREAALSVLGKIGSAETKTASKILLQGYEPSLEPYLLMILKAHQDNRLTDIRTRCKIHVPKGRVLIGCLDETGELEYGQVYIRITKNSKEQKDNCQPYFAEDNGKDKTAVVVGKVAVSKNPCLHPGDIRVLEAVYDHGLYAKNLVDCVVFPQRGERPHPNECSGGDLDGDLYFITWDEKLIPEKVDSPMDYTAARPRIMDHVVTLEEIQKYFVDYMINDSLGAISTAHLVHADRHPMKARSPVCLQLAALHSMAVDFAKSGAPAEMPRSLRPKEYPDFMERWDKPTYISNGALGKLYRAAASRMQSAPAPSSSAQSSPAFDPDLEVPGFEEFLESAEECYDLYAEKMSTLMSYYGAEHEDEILTGNIRNRLLYLKKDNKRYFEMKDRIIDSVEGLHKEARGWFMSRPKAEASRRASAWYRVAYHPDHRHPGKKQFWSFPWIVCDEVLKIKESSKRRRQQVDGAAA >SECCE1Rv1G0050460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:646928988:646929351:1 gene:SECCE1Rv1G0050460 transcript:SECCE1Rv1G0050460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPAAAGIAMSTVVALLVVVAVACQLPRATGGGQCAAEEQELARRTARYITYAALRADQVPCDKRGRSYYTDCGSMQQANPYRRGCSAITRCARNMN >SECCE7Rv1G0476040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:196168573:196169717:-1 gene:SECCE7Rv1G0476040 transcript:SECCE7Rv1G0476040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQKTSKQTAKLKSLLGLAVSRIAVARRPRLARKSIACSDVSQLLVLGHLDRALHRAEQVIQEDNMLEAFGIIELYCKRLIEQAEQLDKPQECSEELQEAAASIMFAAGWCGDLQELLFARTILADKFGGDFAAAAKEGTGIVDPILVWKLSGNTANMELKKKVTKEIAAENNILVDFSELPEATKDGNDILVDFFELQEATEEGNNNVPNCQELLGEMLCQDDMDGSSESDNDHPRSHGTNTPGLESDEHVHIITNSDGSDDEVTGRRNRRWWSLGCA >SECCE5Rv1G0302660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:40966895:40971544:1 gene:SECCE5Rv1G0302660 transcript:SECCE5Rv1G0302660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVNAAQWAVGKALAPVTDGLLESWAASAELGHNIDALKMELLYAQGMLESVQGKEVRNASLKELMHKLQQLAYGADDMLDELEYFRIHDALNGTYHAADAPGLVLNARHTARDVANKLKFLSSSRAAIRLDSDDDQENDGQQGCLSGVRLCAGHGINSPPPSPTNQGVKEVVDGKCAPKVLSSARNAAHNVGKHFPCSSISVHDDDAQTVMSESRRKFLCGAWPSMARQSDHNKQKQKQKQKQKQKLMFDRVQMSKKMVDTIQQLKHVCAKVSVILNLDFLGSGHNPTQKNAMNRPKTTSEIIEPELYGRDDQKREVVDGIASGKYCANELTVLPIIGPGGIGKTTLTRHIYEEVKGCFQVSIWICVSLDFSANRLAEEIAKRIPKVDGETKNGSDYEHIHQRLEAKRFLLVLDDVWTYHEDEWEKLLEPFRKVGSEGNVVIVTTRIPEVAKMVRTNDCELQLERLDPKSTMLFFEACVFGNMPEPWKEYCGLYDAGSKIVQHLKGSPLAAKTVGRLLRDQLTLDHWTRVLESKEWELQTGDNDIMPALKLSYDFLPFHLKHFFIYCALFPEDYEFERKELVQLWIGLGILHSFDQNKRIEDVGSSYLNELVNFGFFKKNKNEDSRYCYVIHDLLHELATNVSSYECLRICSSNVRSIHIPPSIRHLSIIVDGKDVEDIMTFEDYAWELSALGKRLKFENLRTLMLFGKYHGSFAKIFGHLFGEAKSLRTIVLSGASYSTEDILHNFSKTIHLRYLRIKSDIDRDDIELPSMLFRLYHLEVIDLEKWNGHPITTRDVGNLIKLRHFLVPEDKPELHSDMSEVGKLKLFLELRRFEVGKENTGFEISQLGQLTELGGSLSIHNLEKVQEKDETKRLRPIHKNHLHNITLEWDMNRANRNSTREDKVLESLIPHCNLQNLCIKGHGGMNCPKWLGENLFVKNLESLHLDDVSWKTFPPLGDLRFVNELGKEYRACISSQSFQNLKRLKLVKILKLIKWVGSKTCELMIHLERLTIKDCPELVELPFSCSTCYEPDEKEEDLELAELPFLCSSCYQLEQERDHEICFPKLRQLKIVECPKLGSMPPVPWTCAMRSIKIEKVGSCFKKLTYAKIGDGAQGSSLKIEGTGSTDSLSWNKLSFCNLSDLKELSLSDCPPLSLDYIQMLTSIETLEISGSKLPVNGVSHGKYQFPVEKLNISQTDASGKEVTQLLSHFPKLTDLTIKDCEKITELGVVIVKQQTGPQEQEARQDEDIAAATEGEGEGEGRLLLPTQLEKLDVSACPDLSIDPNPGGAAGGLQRLRSLRSMDTVGCPKLLSSYSSLIFPSSPFPTSLESLNLSSISISGTLQLFVGPSKLQKLGVADSVGLLSVPTCRLLSSSLKSLTLWDCKDVEPITEEALQHLTSLQELMIIFCENMQFLPTGVHRPANLRHLWVQGCSSIRSLLKDGLPNSLREFGISDSPAIKSLPKDSLPSFLQELYISDCPAIKSLPKEGLPSSLQELELKDCPAIKSLPKDGLPSSLRVLRIHGGNSEELKRKCRKLTGTIPIVQV >SECCE4Rv1G0272830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:772089070:772094080:1 gene:SECCE4Rv1G0272830 transcript:SECCE4Rv1G0272830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTSGQGEDAPDLVCVVDCVHGMVDALSCVRWNKHQGAVVELSEHGIVVIVEESGCLQAKVYLKSELFAEYDYGAEGRPRFGLSLGLLVDCLNMFTVPGFASPVEIRYPGPDMQLLLRSVGSPDACIHAEIRTRIPDTVAWDYHFEHAGSTPVTFTVKSAILKESIDDLEWPGSSIQIQFHPDPPSVIFKGEGHGDLEIEFSYYANTDLLIAFQCDQELSYRYKYKFLRATTSNVPSSVLKENRGSKVTIGRGGMLKIQHLVSVARLGTHSHHNFAGGAQQPSRIAFIEFFVKPEEDD >SECCE2Rv1G0106520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:637004117:637007222:1 gene:SECCE2Rv1G0106520 transcript:SECCE2Rv1G0106520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAMAGCPAKMGFRMPAEWEPHEQCWMGWPERPDNWREHAEPARKTFERTAIAISKFEPVTICASAKQYPRVHELMEHQPNIRVVEMSMNDSWFRDTGPTFITREGGSDTGLAEQTIAGIDWEFNAWGGLGGGCFDDWSLDRSIAKKIVEIERIPRFAHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPHMTKLEIENELKDFLGVTKIIWIPLGLHGDEDTNGHVDNLCCFIKPGVILLSWTDDENDPQYEVSVKALSALTQAVDAKGRQIEVVKIHVPGPLYITKEEGEGVLATGHAVPRVPGKRLAASYVNFYPANGGIIAPAFGDKKRDEEARQVLQKAFPDHEVVMVEGAREIVLGGGNIHCITQQQPVRPS >SECCE1Rv1G0063050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:722629949:722631168:-1 gene:SECCE1Rv1G0063050 transcript:SECCE1Rv1G0063050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSQLTNAQGETGTKLRREISSNAEAIGTLHRIVSCKNCKQELQQRAIWILTRLYKDTIGTNISANFDFLKRGNSYCREDFIRLLVNIFTHNNEYSSSIRGYAGEALSEICSLGRISDATIVIQTSGDVVDSFAQVLLHEEDKTCGQAAAEILEHLCTHYTKDDEYLGKLKKAILKVIGKILCCGDVTHNGKDIESLCDGKEEYSDRDKKDEGFQVDALSNMPLNLLSPLLSLCGTVYDMRLIVDLHPQLDASSFLNKLKHIVVEKSDSTVENLSLCKATGNMVISMMKYYSSGGFFKQEHFGSLIEALSATSKKMLDLDYSVVCSSASSSETRSKLDRRTLVSLVREAKELLASRVPSASFSG >SECCE1Rv1G0061750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717164959:717166895:-1 gene:SECCE1Rv1G0061750 transcript:SECCE1Rv1G0061750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVTTPTPSRPLPAGPQRRRYRPTVLAIAGGSKPPRRRAPAAATSCAALVAPEKQSTASAKIQPSPAQVPVPEGTDYDEVAATLETLYKLSPVIVEEDEKRSKKQAATKTKRKQGRKNSAAATTTTTATPRRTVVVRSQRQRRMDLGKRVEMRDLAAGKEDEHRDGERAFEEALLREHAVSTDMGSLDWRRMKIPPVLSAAHSARLFKIMQPMKAILELQENLRSELQSEPTDAEVAEAMNMPVQRLRRLRDVGRAARNKLIKHNLRLVLYAINKYYPDMTGDERFADLCQAGANGLITAIDRFEPKRGFRISTYALFWIRHSVVRAMTLSSFTRFPFAMESERQEINRAREELSFELGRTPTDEETMKKVGLSPARYRDVVRMTRPTYSLHSRNRVTQKELINEVTDVDAIGVDTHKHNRLLRLAIDDLLDSLKPKESVVIRQRFGLDGRGRRTLSEIAGNLRISREMVRKYELKALMKLKHPTRVDYLRRYM >SECCE6Rv1G0383320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:51988855:51990981:-1 gene:SECCE6Rv1G0383320 transcript:SECCE6Rv1G0383320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFTVPPVVLQLFLLLFLASPATACTEQEKHNLLQFLDELLCDGGLATSWHNNGTDCCEWEGISCNGDGAVTRVSLESKGLEGPISPFLNLTSLLRVNLSHNSFSGGLPAELMFSGSIIVLDVSFNRLNGPLLELPPLVTTDRPLQVLNISSNQFSSQFPSATWKVMNSLIALNASNNSFTGNIPSSLCLGSPYLALLDLCYNQLSGNIPNTLGNCSKLKVLKAGNNNLSGILPVETFRATSLEYLSFPNNGLQGELDEADIVKVSNLETLDLGGNHFSGKIPESIALLKRLEELHLGSNNMSGELPSALGNCTNLKIIDLKINNFSGDLSKVNFSALQNLRSLDLMRNNLSGIISESIYSCSNLTALRLSANHFHGEISPRIGNLKHLSFLSLVRNSFTNITKALHALKSCRNISTLLIGTNFMNEAMPQDETIDGFQNLQFLSMHECSLTGRIPTWLSKLTSLKMLVLSNNQLTGPMPSWNNSINHLFHLDVSNNSLSGEIPIAMMEMAMLKSDKPAIYLDPSLPDLPIYMNPSLQYRMGSSWPKVLNLGKNKFTGVIPQEIGHLKALLYLNLSFNNFYGEIPQSICNLMNLQVLDLSNNHLTGAIPVALENLHFLSQFNISNNDLEGSIPAKGQLSTFQTSSFEGNPKLCGSVLINHCSSVEAAAVSIIPAKQCSDKVIFVIAFGVFFGVGVLYDQLVLFRYFS >SECCE3Rv1G0160760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:108153002:108159835:1 gene:SECCE3Rv1G0160760 transcript:SECCE3Rv1G0160760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLACAAVLLLASLLGSTGTDLAPAPGVGSSPVDQGQASSPPGPSSALGPVTLPAAPPASSASPPLQKGAVSPAVPAEPQAAPAPVVVPPKGYTAPPPVESAPPRVESAPPQVESAPPPIVSVSPPVESAPPPVVSAPPPVESVPPPVVSASPPVESAPPPVVSVPPPVVSAPPPVEPAPPPAVSEVVSPGAAPPPQAAAGNATPILPGSPALLPSVQAPTPSMAVKPNLPLAPPASLPSVQAPTPSVAVKPNVPLAPPPSIPSVQAPAPSVAVAVKPNVPLVPPPSLSSVQAPTPSVAVKPNVPLAPPPSVNNQPGTPIGSGNDVPPYPAPEGIFPAVPPSTSVPPEHVKPPISPPIIAHAPQQQAQAPNAEHNNGNTAPPANTSPPASGKNHDIQRAPPPKEPSTAPVHKSPTRGFAPAASPLPHNTNMPTRPRNASTVPHAQPPSLGVAPKPAPTSRSHPPTPTKGERPSFSPSYPPPHAQGPEVSRAQPPQQVGAKRQNHHAPPPMIQGHPNLHVHPPSPPPVSPKGPSNGSKRPPVSPTLPPVPPETEPKAPSTHPIWTLPPPPPNLDCKSLVCPEPLTDPPAGAPCACVLPIKVGIRLSVDLYSFFPLVSDFADEVGSGVNMARRQVRVMGANVAGDQPHKTVVLVHLVPMHVNFDKATALSTFQSLWSKKISLKPSVFGDYEILYVVYPGLPPSPPSAPAGAFGNSRNARAMKPLGVDVGKPKRKVNGSVIAIAVLSTVIALIICTVAAWLLILRFRDSDDMAQGYPHSAIPKISRSSGTCNTLLAGRRSTQSGPSSSLGSSMAAYAGQAKTFKFAEIEKATNGFDDSSILGEGGFGCVYQGTLEDGTTVAVKVLKRFDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLVYELIPNGSVESHLHGADREIAPLDWNARMKIALGAGRALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPAGQESLVAWARPYLTNVVGLRQAVDPLLGPDVPLDNVAKAAAIASMCVQPEVAHRPSMSEVVQALKLVCSEGDEGLGSGSFSQELAARTTAAYDVTGMEAERVLLSEMFGSTPVFTPAADSGSFRMQSSSGPLMTGKNKKFWQRMRNLSRGSMSEHGASPDFETHSQCSNR >SECCE3Rv1G0210690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944847049:944850574:-1 gene:SECCE3Rv1G0210690 transcript:SECCE3Rv1G0210690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLDALASYVTNMLTEMARDEVAMVIGVSSEIDDLAVKLGDLKNFLADADKRNITDESVQRWVEELKRAMYQATDILDLCQLKFSEQGRSEDTDCLTHLHSFMQSKYMGCLNPLLFCMRNPLHAHDIGTRIKALNEKLNDICKRAERFNFIKLEAYPDRRIARSHGIDRKTHPLVERSELVGDKIEDDTRALVDMLIENVADTSDSIMVFAIVGVGGIGKTTLSKKVFNDEAMQSKFAKMIWLSITQEFSELDLLRTAITAAGGNMPGPGGGSEDKALLVPALANSIKDKKFFLVLDDVWGSAAWSNLLKAPFSQGAAGSRILITTRHDAVARGMKAMEPYHHVEKLGSEDAWSLLKKQVLTTEKDEYGIEMLKDVGLKIIEICDGLPLAVKVMGGLLCQREKERRAWQRVLDNAIWSVSQIPEELNYAICLSYEDLPSCLRPCFLHYSLIPGNTILSSHEIVSMWISEGFVHGNLDGLEELGHEYYRQLILRNLIEPIPDTLGQYFCNMHDVIRSFAQFVARDDALIAHKGKNINSRISLQGLLRLSIESEGMGSNEFEWRSLQEQKSLRSLMLIGNLKIQAGDSFATFSSLRMLHIESTNFAALVESLYQLKHLRYLALHKCTDLNRLPENIHKLKFLQHLSLEDCENLVKLPDSIVKLQKLRYLALDGSCINGVNGIPRGFRALTNLRELGGFLACMDGGWCSLEELGPLSKLRSLGLGNLQNVSDASFATQVRLGEKLCLSLLQLDCSSMLGDDGLVRDVVSEEDQGLIQEVFDELCPPSCIEDIRIDGYFGRQLPRWILSTTMTPLNSLRIVKMDNLACCTELPDGLCQIPCLEFLGVCRGPVIKRVGPEFVQSRHHHPAFQRLHDLILHGLLEWEEWEWKEEVQAMPVLERLDIKGCKLRCIPPGLATHARALKKLIIWHVQSLQSVANLASVVELDLYSLPDLTNISNLPKLQKLEIDCCGKLESLQEMSALRRFILTVDYGSKQLPLYLQTMKPSRLLLNCCPEVVASMALGKSGSEWDKFSHIQHVEAYADYKGIEKKWHVFYTSEPYSMETNIDPQGASASSSG >SECCE4Rv1G0220040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:34762402:34768372:-1 gene:SECCE4Rv1G0220040 transcript:SECCE4Rv1G0220040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGVGGGEGAAVMVEEDGKGEEEEGSWGWRARSKLSAALAAVWVVLVVSGVLALHRSLRHGALVKAEEGIVCMCEERARMLQDQFAVSVNHVHALAILVATFHYEKQPPALDQDTFADYTARTSFERPLLSGVAYAQRVMHADRETFERQQGWIIKTMKHEPSPAQDEYAPVIYSQETVSYIEGLDMMSGEEDRENILRSRATGKAVLTRPFKLMSNHLGVVLTFPVYLVDLPPDAKVEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNHSNPLVMYGSEVPLGAPSPSHTCTLDFGDPFRNHHMICRYRSEPHVPWSAITTPSGVFVILMLIGYIIYAAWNRYDSVKEDCRKMEALKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTELKSTQRDFAQTAQICGKALISLINEVLDRAKIEAGKLELESVPFDLRSILDEVVSLFSSKSREKGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLADHSNLATEPKVESVANGINGHIDETSVVSTSVSHNTLSGFEAADSRNSWENFKALLSYDTNDMAYGSDSENVTLVVSVEDTGIGIPIHAQGRVFMPFMQADSSTSRNYGGTGIGLSISKCLVELMSGQINFVSRPNVGSTFTFTAVLQRCERNAINVSKSALLHPLPSSFQGLSTLLVDKRPVRATVTEYHLKRLGIASKSVRTIEQALGALLGRNGTSLTSMPRKQLSMLLVESDSWGLKMDVPLRTRFSEMKQNGWESVFPQVILLSLADSDKMKAEYAVDSVITKPLKASTLAACLFQSLGISITQANNEKKHHGPDSLYGLLLGKNILVVDDNKVNLRVAAGTLKKYGAKVECVESGKHALQLLQVPHKFDLCLMDIQMPEMDGFEATRQIRAIEAKAHGQRDNADNSEAGSTAKKAEWHLPVLAMTADVIQATHEECTKYGMDGYVSKPFEEKQLFKAVQKFLGPSTSS >SECCE3Rv1G0195560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:798920876:798924235:1 gene:SECCE3Rv1G0195560 transcript:SECCE3Rv1G0195560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSGCSSGSNKRTLQQDCSGGSHAQEHTKKKARVGMRTDYTYAPYHDGFQWRKYGQKVIRGNAFPRCYYRCTYHQDHGCSASKHVEQHNSADPPLFRVVYTNDHTCSGAAASASDYMASSMHIQQIADASLRKADTEPERPPRPQLQQPRSGGGYAASIKEEKDAIVSSLLTVIRGSCDVVKSDTAHEGYSSASLASNCYAMSPSVAGGSREGSSSSSVSPMVLPAPDDIGSGLDFMVESHWFEPLDLGWFLE >SECCE6Rv1G0425610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:708170348:708175867:-1 gene:SECCE6Rv1G0425610 transcript:SECCE6Rv1G0425610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVETSMGVVREVLGDSVVDEVDEPIVSYIANVLADQDFDFGPPDGHGIFAALGDLLIDARCVYDREHCLEVCTKLCEKLGSHGIVKPKAAVRSLATPLRMNAGMEEQVAPKKPENIFDAPLLSSRDKAKLERNKRKEDRQREAQYQMHVAEMEALRAGMPPVYVNHSNVGGTTIRDIHMENFSVTVGGRDLIQDVTLTLAFGRHYGLVGRNGTGKTSLLRAMAQHAIDGIPKNCQILHVEQEVTGDDTTALQCVLNADVERVQLMQEEAHLGQLLKDLEYEAESKQSLDKNKGDVDKDSISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQCKNTKSFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDVLHLHGKKLHAYKGDYDTFERTREEHLKNQMKAFETNEKARGHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPILFKNLNFGIDLDSRIAMVGSNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFSQHHVDGLDLTVNPLLYMMRCFPGVPEQKLRSHLGSFGVTGNLALQSMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLIFQGGVLMVSHDEHLITGSVDELWAVTDGKVAPFPGTFKEYKKMLTT >SECCE3Rv1G0168110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:214798027:214801119:1 gene:SECCE3Rv1G0168110 transcript:SECCE3Rv1G0168110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSSLTSVAPESSPAPAAPPGTGTGSNAQVIYVFNRNGVCLLYREWHRPLRTLARNQDQKLMFGLLFSLRSFTAKIDPTSTEHANLGAPLLPGQGCSFHSFKTNTYKLNYMESPSGIKLILLTHPRTGDQRDALKHIYSLYVEYVVKNPLYAPGSPIKCDLFNKHLDQYVKTLI >SECCE7Rv1G0501430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:682838775:682841757:1 gene:SECCE7Rv1G0501430 transcript:SECCE7Rv1G0501430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G29200) UniProtKB/Swiss-Prot;Acc:F4HZX7] METRPERNGGAFLIDRAAEMDGPYVAVVGQTGGSEGRVGATNIGRLRQGKAPRAGVNVSSWHLRVFAVVVGVMGCLLLAASLVMSALHQVQFRNGAISVNFRGLQELKHNFVKKEQSEQIMHERLLQMATLATTKNETDSGNFALWEEPYKQARKWTPCAAKYTLEEEPSEDNNGFILISANGGLNQQRVAVCNAVVVAALLNATLVLPRFLHSSVWKDKSQFGDIYQEDYFVNYMKSDVHIVKDLPPHLQSLDLEAIGSQITDNDISKEAEPSEFIRTALPILQKNGVVHFLGFGNRLGFDSVPADLQRLRCRCNFHALKFAPAIQKLGSLLVQRLRGVSAMQTEMDKQLFGTNMLDGPLGDKRTDDAGGPSKYLALHMRFEEDMVAYSLCEFGGGDEERRELQAFRETHFPALAARLRNTTVSPEELRSQGRCPLTPEEAGLILAALGYDRGTFIYVAGSQIYGGATRLRPLTRLYPNLVTKEDILSSDELAPLKNFSSRLAALDFIACASSDVFAVTDSGSQLSSLVSGHRVYHGRGRAPTLHPNRKRYAQILSEEGGIEWAGFQRRVRTMVDEYKRVRARPRGRTVYRQPRTPGCMCRADGDGSVDF >SECCE4Rv1G0235240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:323441721:323442923:-1 gene:SECCE4Rv1G0235240 transcript:SECCE4Rv1G0235240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSVGAGGSGDGGGEGGTVQAERWLEIAAKLLAARDLVGCKRFAERAVEADPLLPGADELLAVADVLLASQAMLYTGEPDPFAVLQVPSKTTDHGAISRAFRRLALLLQSSNPHPGADVALRIVNDAYELLSDPSRRPLRSAPTNIPSGAPSQPATAAAPAAEAVDFWTACPFCCYVHQYPRELVGRALKCPNESCRRGFVAVEIPTQPTIVPGTEMYHCAWGFFPLGFPNSADLGGNWKPFYKVFPWNNAPSGGGAIGRNYSNHGGGSNDRQPQNGSGRGGSSRGRVKKTTARKKVGAGPKRRSFGGGVESGIDASMLGQDGWAEGEEGEGGQREEVRGININEEAQATDGTGRANVTGVEDLGSFHLDVDPTEDILGNLGNLHNLPFLRVDNLGRML >SECCE7Rv1G0526630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:896174882:896185458:1 gene:SECCE7Rv1G0526630 transcript:SECCE7Rv1G0526630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLQQPRIGNEPSKLPYKLIRQITNDFEKERILGTGGFGTVYKGVFDDGREIAVKVLHNISGVDDKEFHKEFDNLRGLKHPNIVELVGFCHEWEEELAVFEGKQVTAHRLRMALCFEYVKQGSLHRHISDENKGFTWHTRYKIIKGICVGLQYLRDGEHPIMHFDLKPDNILLDESTTPKIADFGLSKLFGEENTKKTISTAGTSGYIPPEYIKHQIISREFDIFSLGAIIVRIMAGHECYNSIVEIPRRKSVNLVQESWRKRLSRTMSRTTVEVYCNQVKRCIELALDCLISNRQERPTIKDIVLSLDETEAIIGDRGMQNDQLCQDDGESTLPSDIRSTTTSNSKSSSENFVAVEPRVMSLSLLKEMTDDFSEVRLIGQGSRGSIYKGFHADGQVIAVEFLNSHGFDETAFHKEIQILMTTHHQNIVQFVGYCRETRSKIVKFDGKHVLAEEIHTLLCFEYLQTSLDQCMSLDVESGLDWQTQYRIIKGICEGLKYLHEGLESPIIHMGLIPSNILLDEKMTPRICDFSSANLIGERNTFVTRRMVGRMGYMPPEYVDRQVISKHYDIYSLGVILLQLMTGKQSYREFHEMSVQMFIDLGIEKWKQRLQKSMDITLVKGYCQQIKKCLEIAVRCLETDRHKRPSIGNIVDTLDEIEASLIPQLDYELLLYVHPLELTFMSSLPLEIPRKKKAPMMSSSCLLHLGNKGNDRVAFMLVANNPSRYLTKEPLCGVVQPRCAYTLTLTMCNNKQQPSLSSLTTDSGANYFTLHSVMVGQYDLDKDTVSAEYEEYFKKTKEKASHDVQEVTLNVICCQADRGISSEPTETTVEIITKPDAQKVLSIDVHPMEPWIMTTHHGGSLRFWNYKTMATLKSIQDVTDEPVCMAKFIAREKWLIAGDCNGWIHVHNYNENEKVESFDAHNSCITTLAVHPLEPFMLSSSEDADHLIKLWNWADDWECTEFHGHAGTVTQLTFDPNISNSFASASLDGTVKIWSIFSGDPCKVITLNLDEHGLYVDYFTRYDQRLMIVGCKDKTPQIWKLETKERVHELEGHTNLISAINLHPELPMLITASLDGTIRIWNSTTYKLENIIDFNLGAVYAFQCLKGSRRIVVGCHQGIGLMEIPVP >SECCE3Rv1G0149920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:29738160:29739955:1 gene:SECCE3Rv1G0149920 transcript:SECCE3Rv1G0149920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT1G68290) UniProtKB/Swiss-Prot;Acc:Q9C9G4] MQGQSQAGTALLLLLAVVVAAALPAPALGWGVHGHLIVCQIAQGRLSDAAAKAVSDLLPSGAGGNLSSLCSWADRVRFRYHWSAPLHFIDVPDNVCSYSYDRDCKDEDGVKGRCVAGAINNYTSQLLTYGSSSLSPSAKSSSSGQYNLTEALLFLSHFMGDIHQPLHVGFASDKGGNTINVHWYRRKSVLHHVWDVNIIETAEKDYYDQDAGEFVDALNKNITGAWSDKVQEWEECAKNQTACPDKYGSESITAACNSAYKGVKEDSTLGDEYFGSRLPVVNLRLAQGGVRLAATLNRIFGQSKA >SECCE3Rv1G0170830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:258008073:258008309:-1 gene:SECCE3Rv1G0170830 transcript:SECCE3Rv1G0170830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLQAIIRGADEGHLGTREVPDEVKQPKMFSPNPLLEHKGCRLDDDDVEEERWLVACLQWPRTNRQSAWMQTI >SECCE7Rv1G0478410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:236797012:236797881:1 gene:SECCE7Rv1G0478410 transcript:SECCE7Rv1G0478410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAMPALYMMVALALTSCALAGRVLNEHPAAPPVEAPLPADPLPGPTEPSADPVVVPVPAPAAALPLPSNAAGAAGVAPAAGVGATANVGAGVAARAGDSPLTFFMHDILGGSLQPSALMVTGVVASADDLASGNTVVPYDSLVQSNGNAVNGGYKNTVSSVNAGGGATPQNLLLGMTTVVDEELAGGHELGAAAVGRAQGFYIASSQDGSSKTVVLTAMFGGEVHGDTLSFFGVHRMAAPESRIAVIGGTGKYETAKGFAAIRTLHPGNQHATDGVEGLLQFDIHLS >SECCE3Rv1G0179570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:544462444:544464194:-1 gene:SECCE3Rv1G0179570 transcript:SECCE3Rv1G0179570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATMAGIMRAILACVPAAPSSTGDGSLSAAFSSTSPGGGGGEDFISRLPDALLADIVSRLPVKEAARTAAISPRWRRLRPSTPLVLDDTDIFDDFAEADDDDNTFGPIDWRALTNTLSRILSGHPGPFRCVHLTTACNYASARGGSVLVRWLHLFADKSIQELVLINFPNWPFKNTLPAEILRVASLRRLYLGLWDKFPDTNDLPSGAHVFPHLAELGFCKTVIKTKDLDRLLQCSPVLEKLALILCYNMPRNVRVRSRSLRCVLFWMSLADALAVIVAPCLERLILWNDCPGAWLGKDFRTRVKIGYAPELKALGYLEPSFHVLEIGNTVIEFGTRPSPTTTVPSVKILAVMVRFGVRKEAKVLPSFLRCFPNVETLHVMSAEADEPAGKLNFKFWHDVGPIECLQSHTKKVVFKNFRGNRSDVVFLRFVVERAQLLQKMVVVLADGDPASEEGVAAKLKTLACSTKRASRDPKFSILVRRGGSDWSFRAASNLSKSDPFDS >SECCE5Rv1G0316970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:333577888:333579228:-1 gene:SECCE5Rv1G0316970 transcript:SECCE5Rv1G0316970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGLGRPGRPARGGNKQMQKTINNVKMTLICGFITILVLRGTVGINLMAFSGDGGGDAAADAKVAEDIERILREIRSDSDPDDEDQLVVVDASSSGNSTAMPVVEEKNYTLGPSITRWNAQRRQWLSQNPGFPSRDARGNPRILLVTGSSPGPCDNPAGDHYLLKSTKNKIDYCRIHGIEIVHNMVHLDRELSGYWSKLPLLRRLMLSHPEVEWVWWMDSDALFTDMGFEIPLSRYEGSNLVIHGYPELLNNQRSWVALNTGSFLLRNCQWSMELLDAWAPMGPKGRVREAAGKVLTASLTGRPAFEADDQSALIHLLLAEKERWMEKVYVENQYYLHGFWAGLVDKYEEMMEKHHPGLGDERWPFITHFVGCKPCGSYGDYPVEQCLTGMERAFNFADNQVLRLYGFRHRSLTNPKVKPVANRTASPLLNKEASLKMDAKIET >SECCE7Rv1G0513580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:823929192:823929497:1 gene:SECCE7Rv1G0513580 transcript:SECCE7Rv1G0513580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQSLVELGKAVVGAVAMEGEAVGALGTEEQARAILRAAKMALEEAAATGEFPPLLSSLERFLAKRRLDQTSTAPVPTVDVQSDGGDSTISAILKDLAIK >SECCE7Rv1G0500270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:665047630:665049529:-1 gene:SECCE7Rv1G0500270 transcript:SECCE7Rv1G0500270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFKETESYYVPYLRAHLHQFAASVSAASCEEGAGDDEECRDEAAALRLKMVAVAAILIAGAVGVAIPIVGRRRRGGGGGEGASSGGGTFVLVKAFAAGVILATGFVHMMHDAEEKFADPCLPATPWRRFPFPGFIAMLAALGTLVMEFVGTRFYERRHGEEAAAAAATAACDDTTALLEDGALAGMAAAAVSGDDEKQDAMHIVGMRAHAAAHQHSHAHGHDACDGGAVYDAHAHAHAHGHGHDHRPGSEERPSQAHHVVVSQILEMGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQFKNFSALLMAFFFAITTPVGITVGAGIASFYNANSPRALVVEGILDSMSSGILIYMALVDLIAADFLSRRMSCNPRLQVCSYVALFVGAIAMSSLAIWA >SECCE4Rv1G0226410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:109809525:109810157:-1 gene:SECCE4Rv1G0226410 transcript:SECCE4Rv1G0226410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAVLDEAQRRRRQSVYEFLDATKPARAQALRWCETAREMRRIDGDMKEAGQLLRDALSCVKDYASVYRTWIAMEMDGGGGVGVARWLFEEWGTVCAKDGNLRKDDDGTTADEYGDYWCAYLAFELRHGDARRARTVAARAVKACPHDASLRDTVELRLSDAIEIEQQRRHRSGLLRTAKKWLSNVEQSRGCSSLVPRPPQGYRRLLSG >SECCE4Rv1G0246930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:551045115:551048110:-1 gene:SECCE4Rv1G0246930 transcript:SECCE4Rv1G0246930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRLHPFPRFSPPGSHPALPRHLTVSSLLELPFQSTVTTLRARTSPLQAAVSRAASGEEQRGMDEDEEEEADLDNALTETRRLVECAMFASVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGVEAGRKTMVATVLLLFTLSGPVKASTYLLMHGVLGLAMGTMWRLETDWIASIIICSIIRAVGACGYVLVSSFLIRENILQLITVNVHASLTYILAAAGVNAIPSMDAIYVIFGTLLLLNCGFFVFILHIVYTIFLTKLGIKPSLRPPRWLGKAAFS >SECCE7Rv1G0467410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:87410048:87412030:-1 gene:SECCE7Rv1G0467410 transcript:SECCE7Rv1G0467410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLRAAAGGGGDCLIKLFGKTIPVPDAGAGANGGDVDKDLQNRGSTMAEPKVQESAPQDSTGSPPQQPEVVDVEDPSAAKNSAADQQDEEQGDTANQKEKLKKPDKILPCPRCSSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSISAASHFLQRIRAALPGDPLCTPVNTNGTVLSFGSDASTLDVSEQMKHMKELTSVTRTENTDAPSVGSSAEGWAKGEESSQMNSRERVAADRSANFAQHPCMNGAAMWPFGCAPSPAYFTSNVAIPFYPAAAAAYWGCMVPGAWNTPWQPQPQPQPQSQCQSSSPPSAASPVSTMSSCFQSRKHPRDGDEERDTKGNGKVWVPKTIRIDDVDEVARSSIWSLIGIKGDKVEKDDGKGCKLARVFDPKDEAKTSSHRGNNSLPFLKGNPAALSRSVNFQERS >SECCE2Rv1G0125800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:843273762:843276540:-1 gene:SECCE2Rv1G0125800 transcript:SECCE2Rv1G0125800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESIDISDVLAHALHPDDNIRSIAEGKLKQLHGLDLPNFLLQLSSHLLREGSPEESRTLAGIILKNSLESRDPTLKDSFKDLPALQWLNLDSSIRSKIKDNLLMALESDSRQPDSRRPSSKVIAKVIARVACIEIRKNQWPDLIGKLVDNMARPSSSLKEATLEVLEYVFEESPYLLRGDQGDLVKASVVNAVKNYAGELSSQVRLAAVKALLNILQDAEFARFKDHAGGNSIVAVVCDAAGSNETEIKEAAFECLIAIASRYHTNLEPYKEIILSLTSQALKGDVESLKVQSIELWSTICQQEVDYQEEEEDLEEQEQEQEQGTSNFIGPLSSFVPLLLETYLKEEEELKQEDISMGAEQEGDDNLEDMDISMSEEQEGDENVEQEGVNLEDIWGQEGDETVEGIYMTCLGLAARTMKDAVVPLVMQFVECNISGPHCHSRKVAIFPLAFILEGPSVKQLAPVVHLLLDMMKDPEEGVRGRAVRTLGLVFELVGANRIIRNEGGLPGIMAILIERSKDVPQVSIEVYRALYFLVRGYGENAKPKSKSCELSPFVKPLIDALLCASELAKENPFRLPACTPAYEALSEIVRVCNIQESEVCRAVGGLMSQIFRRLNIMLNRQGEAVSSVERKNRGLLEVLLCGLLELLIRKLGSSYSRANGPFTLKWSAKCVLLLLCRVLTSDTNSARDGAALAIGALAHAVGGEFVQHMPILLQYFNVKRLSPVYLEVMCDICRVLGKEEEAVPSYDHIMEVLYQGILESTLQPSILSSFGQIALAIGEKFEKYLPPVMEKLRVAAELKSQDKDHGNKVREGIFKAYHGILGGINDPKSGMKVAIALVDFTEGLCKDHGKIKTSAWERKNTSATKAGIDALSQLPSRMGAWSQGVIELMKE >SECCE4Rv1G0291310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876342760:876344283:-1 gene:SECCE4Rv1G0291310 transcript:SECCE4Rv1G0291310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASAHGDMAASKFTLPVDSEHKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLVMLSAPTVFCMAVIDDVSGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIQKCGATPFVAWRIAYFVPGMMHIVMGLLVLTMGQDLPDGNLASLQKKGDVAKDKFSKVLWGAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFHLDLRAAGTIAACFGMANIVARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCIWLGRASALPASVTAMVLFSICAQAACGAVFGVAPFVSRRSLGIISGLTGAGGNVGAGLTQLLFFTSSQYSTGRGLEYMGIMIMACTLPIALVHFPQWGSMFFPASADATEEEYYASEWSEEEKSKGLHIAGQKFAENSRSERGRRNVILATSATPPNNTPQHV >SECCE1Rv1G0014100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:110096484:110098302:1 gene:SECCE1Rv1G0014100 transcript:SECCE1Rv1G0014100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGYTPEGGGGVRAARAGRYPPLASLVVSTIAAFSAVIVIAVLHSAYDDALSRTRTLLGHNLEPTPWHPFPHDKGRPPPRAALRCASYLSCLPPLSQPKPAAAAAANASRPRQCPSYFAAIRRDLAPWRRRDGGGGGITRALLESARYRASMRVTITGGGTRLHVDLYYACVQSRALFTVWSLLQLMRRYPGRVPDVDLMFDCMDRPAINRTEHSGDGAPPPPPLFRYCTTRDHFDIPFPDWSFWGWPETHIEPWSREFRSIKQGSRRVRWPDRVPTAYWKGNPDVASPLRLALLACNDTNLWRAEIMRQNWEEEAKSGYQNSKLSSQCTHRYKIYAEGFAWSVSLKYILSCGSMALLIDPLYQDFFSRGLEPRVNHWPVSAAGMCESIRDAVEWGNAHPEEAERVGKRGQRLMQELGMDAVYDYMLHLLTEYAALLDFRPAPPHTAQEACAGSVLCLADDRQRRFLEASAASPAAAGPCSMPPSDG >SECCE2Rv1G0089830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:263153195:263161395:1 gene:SECCE2Rv1G0089830 transcript:SECCE2Rv1G0089830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWGLGWKRSSEIFHLTLDYGDFADEPDQDPSSPPAPPPQSPTAASPTASSSSSPVATMNGDLGFRIELDWSTSDDEDQVALRLQSQLMVALPPPHDVVCVDLKPADDGDEVGVEMRVVRRREALRSVRVARALGSTQSTGDGAVVLTRLIRSNLAPAPAADGAVAAGVPVLADHWRSVAVLSLCNCGLMVLPVELTRLSFLEKLYIDNNKLSVLPPEVGDLKNLKVLTVDNNMLVSVPVELRQCVLLEELSLEHNKLVRPLLDFRSVPKLRVLRLFGNPLEFLPEILPLHNLRHLTLANIRIDALESLKSVTVEIETENYSYFIAARHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRTNQVAISKEENAVRQLISMISSDNRHVVEQACLALSSLASDISSAMQLIKCDIMKPIEAVLKSFDDEELISVLQVVVTLTFVSDHVAQKMLRKDVLKSLKALCAHKNSEVQRLSLFAVGNLAFCLETRRTLIHSESLRELLIRSTFSQEKRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQMLKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGIKQMSLDQCEEIYTKLGKLVFAEPVPKDEAATWKEKLDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADDEGDLLIESSVKGIPKVFAVSTLVSAMPAQPYIFRNYQYPPGTLEVSPGMSESPSTGAVGTVVSGAPVGIKRGAFMGSCKHHVWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKSRRGGWRYLDTGQVLIESACSVERVEETLDTLIPMLPEMQYFRFNPVDDRCGMELDETDPAVWLKLEAATEEYIQKNLQVFKNVCELLVPRYQEEEKSSGIVKSLSFSRLSSSKSGLSESNPTLGWRRVVLLVEASFNPDFGKKINHTRSLEAFCSQNGIRLTLMNSTSGFGKPTTALPTPITSPLFTGSFPSSPLLYSPEGTQRIGRIDLVPPLSLDGHPAMKSSPPTSPIKSWQPSGHVRSLYDKLQNMPQVGVIHLALQNDSTGSILSWQNDVFVVAEPGELADRFLQCVKTSLSTMLHGSKRKGAYSVSKISCLSELVAEWPSFEIGGIHHRYIGRQTQVMEDNQEIGAYMFRRTVPACHMSPEDVRWMVGAWRERIIVCSGKYGLVHGLVKAFVDSGAKAVISSSVEPPDSQAIAYHGMDVSGSLENGKFVIGDDEADESEPEPVSPISDWEDSDAEKNGEVNKDIDDEEYLAQFICLLYDKLFREGVTVDTALQQALRAHPRLKYSCHLPNVS >SECCE5Rv1G0336540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:584825954:584827081:-1 gene:SECCE5Rv1G0336540 transcript:SECCE5Rv1G0336540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTAVRRAALACRVSRRLLSHSSASSSSHSPASSTLLGHFYHPMCPQDPQAFRLPPAAAPAFQPLTASSPRLSLDFLPDIFDYTLYDSHRGLLLLRRKLQFDTRDFLVCDPVSRRHALLPPPSIFSLNGGEVIGCALLSRDCDAPGDPDGGLRFELLFVALDVDRPRAWVASFRDGWCRWNALPLARGVTIDFDSMRFERMCVHAAGGMYWHVLSSPHALALDAATMEFSFVRAPEMMWGELDGHHKYRMGEMPEDGRLCVASLERQHGLQMCVRGNGDNGWVLERRIPMDEVFDTVPSLPKDPVVRHARLSLGDVDRGRTGRVFINTIGFGNFSYDMNTKKLEYLAAEEDGMTFGHPVFAYFSAPDCCCASA >SECCE2Rv1G0108490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:664637472:664641209:1 gene:SECCE2Rv1G0108490 transcript:SECCE2Rv1G0108490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGAKALPPKHLVALAVVAILGLFLVADYLWASSRSAPSSLWPSRLDISTRPTASPPPSAKETKGNTSTGSMDISATFADLPAPELKWEQMAEAPVPRLDGAAIQIKNLLFVFAGYGTINYVHSHVDIYNFSDNTWGGKFDMPKEMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTARNFVLDTERKEWHDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSLAVKDGKALEQEWRSEIPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEIVYSDVYMLDDEMKWKELPPMPKPDSHIEFAWTNVNNSIIIAGGTTEKHPINKRMTLVGEVFRFNLETLEWSVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPSNPSPKKVVGCMWRTKLHL >SECCE6Rv1G0388870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:143082340:143088490:1 gene:SECCE6Rv1G0388870 transcript:SECCE6Rv1G0388870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELRRDDMAEAAVKPIRDSVAFRFVEHVLQSVRMDPLLVDPYDMDQYNKMVSTLDTSKKKTPDEEALYVTTLKALSEAVSKIDITYHHLLLNNIFTVRIWYLQRDTLDAFLDLITRLAAVADQYLRECLQMLVNNFTPPLVQRNELPRWVISRKKDIFFHLCESLKAISDTVPLAPRILRDIIDRSMPKLFDNKAKMVSFVECMLGLDTDRMGDLIGATLLAKVVDLLTELDVNITWEDIIQGEHDKGIFEMELEDLDEDGLGQAGTKVLFGGNACAEKLDSLMVVVCEHLKSCAERGYLDKEFDILKTIFRASVLRVHRSKFCQFIMFYACSLDPKICGLEFAFFLTDIFLKKEEDPISRMSAVSYVGSYLSRARFISADTVLGIVKKLVDWCDEYCVLQNRGTTAHPNHQMFYAACQAVMYVLCFRLRSIMDYPNLKAQLFQLSFGFILNHRLEPLKVCLPSIVNEFLRQAKDAGLFAASMYSAAEDAIESDLSRAFGGVSRLDTFFPFDPYLLKESDRYIRPNFEFWSMVKTTYNNDDDDELEDLDAPEMNVGSLDDHVEIDINSDDDELEYSMNKMSITPHRSFYHGVAADGDAGLSMPARIRPSASPPSRWAMSGSP >SECCE7Rv1G0517710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:855686392:855696604:-1 gene:SECCE7Rv1G0517710 transcript:SECCE7Rv1G0517710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGLPWWLFTTECSPPPPSSGSFAGGLTFLLLSPCPQRALLGAIDVLFLAASLVLALCRRGAGGASPEKEPLLPSPTPDAPFRATGRHAVALGASAVTAATSTVLLALALLLSPAGTTLWRAAECAFLAAHSAAHAAAAWTVVASAKRASAQPWHLRVFWIATALGAALFSAPAAVRCADGSPLLPDDVLAFAGLLVSLPLAYVAISTTRTARDEEDEQDQNPSAAAATPYVTASFLSRATFSWINPLVTKGHANESLADTDVPPVSLDHRAESAYALLASNWPAAARGWRSPVGVALWLSFWPQFLLIAALGLVQLAAMYVGPSLIDRFVEFIRRGGTPSEGLRLVAILIAGKAVQTLASHHYNFQGQLLGMRIRGALLTALYRKSLRLSTGAARAHGAGAIVNYMQVDASMVSGAMHGLHGLWLMPLQIAVALFLLYAYLGPAVLMTLAVITAVVVVTAFANKLNLAYQFKFFGARDSRVKALTEMLGHMRVIKLQAWEETFGGKVLELRRAEVGWLKKVILFVCATNVVYSSGPIAMTVLVFGTYLAAGGELDAGKVFTATAFFRMLEGPMSNFPQTIVTSMQAFVSLGRLDKFLADAEIDTTAVERLGSSDAGDEVAVKVQCGVFAWDVQGEEGEEKDGGGEEPVLKGIEVEVRKGELAAVVGAVGSGKSSLLSCIMGEMHKVSGKVSVYGSTACVTQTAWIRNGTIQENILFGQPMHPETYKKVIHACCLQKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSTIFKECLKGLLKNKTVLLVTHQVDFLRNVDTVFVMKNGAIIQSGIYGDLLDSCSDFLALVTAHHSSMEASGVQGHMVQNTENSPATVNSKSIKENSSTTAIAPSNEAGSSKLIEEEEKESGQVSWHVYKLYITQAWGWWGVLLILAISVLTEGSRMASNYWLSYETSGGTIFDISMFLGVYASIVSASVVFQFISLLFIAFLGLKSAQAFFGKMFNSVLRAPMSFFDTTPSGRILSRVSADQTKIDTALLFYMGIGVSMCISVVSSIAVTCQVAWPSAIAVLPLLLLNIWYRNRYIATSRGLTRLQGVTNAPVIDHLTETILGAATIRCFGKEDGFYQTNLDRINSNLRMSFHNYAANEWFGFRLELIGTLVLSITAFFMISLPSNFIKKEFVGMSLSYGLSLNSLVYFAISVSCMLENDMVSVERVNQFSTLPSEAAWAVSDCLPSANWLQRGDIDIKDLEVRYRANTPLILKGITISIRSGEKIGVVGRTGSGKSTLVQALFRLVEPAKGQIVIDGVDICTLGLHHLRSRFGVIPQEPALFEGTVRSNIDPIGQYSEAEIWQALECCQLKDTVAAKPEKLDALVADMGENWSVGQRQLLCFGRVILKRSQILFMDEATASVDSQTDAAIQRIIREEFRECTVISIAHRVPTVMDSDRVLVLDAGLVKEFDAPSKLMGRPSLFGAMVQEYANRSSSEQAISS >SECCE4Rv1G0266060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:729277850:729279345:-1 gene:SECCE4Rv1G0266060 transcript:SECCE4Rv1G0266060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCLLLLAIILLTQPTGQSGEEGPAVPALMVFGDSLVDVGNNNYICTIAKANFHPYGRDFKDHVATGRFCNGKLLIDFIAEKVGFNGSPSAYLSPEASGHNLFLGANFASATSGYNDHGTLIKAISVSQQLKYFKDYQAKLAVVAGSSNARSIIWGSLYIICAGSCDFAYNYINPFLNMNQTAEQFSDRLVGMFNNSVTQLYDMGARRIGVFSLPPFGCFPMAITLYGHGRSGCVSRLNNDAQYYNTKLKAAVDSLSKKYHDLKIVVLDMYTPLYNLSTSPVSQGFTEAKRACCGTGTVEASILCKPLLPGTCPSARTYVFWDVWHPLEAANKVIVDSLVDEINNLFA >SECCE6Rv1G0435360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:770846497:770848078:1 gene:SECCE6Rv1G0435360 transcript:SECCE6Rv1G0435360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMEVGIEMERRKRPRKASGQAAKAEAEAAAAAAAAAAARAAEEEAGWKEFERAMANPPTPEELREREERWEKFCSESWERFRDRWIDVWSYGKIPFDAVTQIPCMCYTDADLSHPDFRNILYPEDTLQVVSVQVKDLTGGLQWPLDVYGVVAVRDGVDRLRNVLFCRERDDCQSISEQDSYLTLTGPSRGVVMSHDNSHLEVNLKLKGTTESEDKDLNKFVDSYRLGCFLPFEYTSKLCTVEMQYYTALRSVEATIFVRVFKGQWPHGFRGVLTASTWQKRDIQISLLDLNDDELPVDADGFVQLSRGVICVEKDGKLGMSIFQRGAGGEEGRAIAGIWIAAEDAGEATHYMPVEALDCWMEVTVAWSLFRL >SECCE4Rv1G0222420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:57930947:57931857:-1 gene:SECCE4Rv1G0222420 transcript:SECCE4Rv1G0222420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLIECKAPNLSTLVFCGKPKLLLGEALQMKTLRMSRSGVICYARTELPSIMPNLDTLYLCSSDEVVDTPMLPTRFLCLKHLTIYLISGPSPYDYFSLVSFLEASPSLETLSLDVLKKPMDNETVFGHSPHLRQMTEDQHCHLKNVEITGFSSAKGLVELTCYILKNAVSLEFLTLDTNCGSTSRCFDNGIGRCPSKGNGLREAGRVLRAIRTYIENKVPERVKFTVVEPCSRCHN >SECCE5Rv1G0302860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:42338834:42339250:-1 gene:SECCE5Rv1G0302860 transcript:SECCE5Rv1G0302860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKLKVAGLCLLLLIMPLLLLPGEHGGLCREPSKTYTSLYCETDPCVEHCQVEGFRDGDCVGVDFDTYKIVCFCKRIC >SECCE6Rv1G0378190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:6784585:6788265:1 gene:SECCE6Rv1G0378190 transcript:SECCE6Rv1G0378190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATNYSISNAPFTFKPSAPHKQVPNWRFPTISSSDGGGSIFTISRRNLRTWFHVCAVTGDQSTRDVFSANFPSDYAELIVQAKEATESAFKDGKQLLEIEFPTAGLQSVPGDGEGGIEMTGSMLLIREFCDRFVPAEKVTRTRIFFPEAKEVTFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMADRVRPEDEIFLVAYPYFNVNEMLVVEELYKEAVLNTERKMIIFNGELDRIRSGYYPPFFYPKLGELAKTFLPKLETIYYIHNFKGSKGGALFRCYPGPWKVLRKVGGSFVCLHEQEEMPSLKEVALDILPSA >SECCE5Rv1G0336800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:586626338:586627894:-1 gene:SECCE5Rv1G0336800 transcript:SECCE5Rv1G0336800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHANVPWLAVPPPAYYQDDEPLEHKKPLANNGRGLVLKTHCEFPAIGRGSSRDKFAVLVHARAPADVPRAPLDLVTVLDVSGSMNGGKLALLKQAMAFVIDQLGPADRLSVVSFSDRAARLTCLARMSAAGKASAKLAVNSLVAQSGTSIGEGLRVGAQVLAGRRHKNSVASMILLSDGQDSYLRGKTYMNLVPPLFLLAGSRPGPIHTFGFGTTHDAAALHTIAQATGGTFSFVGNEAAIQNSFAQCIGGLLSVAVQEARIAVTCLHRGVHVQEVKSGCYGNHVDADGRAASIDVGELYDDEERRFLVLVYVPRARPTESVTRLVKVTCAYQDAATGQAANASAPAAVIQRPLELTDLPPPCMEVERERVRLAATQDIAAARDAAEGGQHAGAARILDSRLKAVERSAPGMAGDDPTCEAIKEELRDLSARVGDRREYQQTGRACLLAGMSSHSQQRASAVELLQSASASKARAYLTPKMEEMMETSREQSRKRANSSGAGSSQLNKHIKQDLTDD >SECCE3Rv1G0191080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:745892058:745902275:1 gene:SECCE3Rv1G0191080 transcript:SECCE3Rv1G0191080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISVHPNLSLHHRPLLPQQIAPPPRCPRRFPPGPPQQHTKGKATAAGRHQSGTRTGRRAAPNAAAAAPPPAPLLDDGMGRKGPKGSKANATALTKAALAAMSEQTTVRITTVLEDFRASDAQEHTFEPSLTNQERAAVHAMCKKMGMISKSKGYGERRCLSVYKTKNTQVAGKKEDPNKLGFSEEARQILLDLFTHYPPDDAELNGDAVKNPGDKAAKIRWKTDTAFSRPAMQKHDIEKKVKMLTSKLSDPRQLRKIGEDRSKLPIASFKDHISSTLENHQVVLISGETGCGKTTQVPQYILDHVWGKGESCKIICTQPRRISAISVSERISVERGETVGDTVGYKIRLESKGGKNSSIMFCTNGVLLRVLIGRVTNISNRQRAADDVIMGITHIIVDEIHERDRFSDFMLAILRDLLPMYPHLHLVLMSATIDAERFSQYFNGCPVIEVPGHTYPVKTFYLEDVLSILQSVGDNHLNPETNDLGLDSVLTDDYRSSMDEAISIALVSDEFDPLIELISIEPIPEIFNYQHSETGVTPLMVFARKGQLGDVCMLLSFGVDCSARDHDGKSALDWAQQENQVEAYEVIKKHMDCSPAKLPEENELLKKYLATINPEHIDTVLIERLLRKICTDSNEGAILVFLPGWEDINQTRERILASSFRDSSKFLVLSLHSMIPSSEQKKVFKRAPAGVRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHTSWVSRANARQREGRAGRCQPGTCYHLYSRFRAASLPEFQIPEIKRMPIEELCLQVKLLDPNSKIADFLKKTLDPPVTETVKNAITVLQDLGALTQDEQLTDLGEKLGSLPVHPSTSKMLLFGILMNCLDPALTLACAADYRDPFFLPMAPDERKRAAAAKVELASLYGGYSDQLAVVAAFDCWTCAKEKGQETLFCSKYFVASNTMNMLSSMRKQLHSELAQRGFLPADTSACSLNAKVPGIISAVLVAGAYPMVGRLLPPRKNARRAVVETASGAKVRLHPHSSNFNLSFSKSSGNPLMVFDEITRGDGGMYVKNCSVVGSYPLLLLATEIAVAPPDDVSDEDDEGSSGDEAGKSTLGQQKEEIMSSPDNTVSVVVDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPTLGATMYAIACILSYDGLPGNLAAKHGSGQSSTDASGPAEGRKVGFIPPSDFLMSLLSDVPPNAPHHLKSSNHPGGGSGHIRSSRAPAGRFNQSQQRPGRNSSGPGSSASQSFKRQRDAAR >SECCE4Rv1G0260040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:692016275:692016592:-1 gene:SECCE4Rv1G0260040 transcript:SECCE4Rv1G0260040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKILLATIEECVEAQRRLAEIRSQLAEAWAIADGVEQDMMVEDRPFHDVPPRLQEIERLMEGIADTVVEDLLPSFDRVVAVSKACTEEENSLGLAPPNAGAAI >SECCE6Rv1G0423690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697170134:697171609:-1 gene:SECCE6Rv1G0423690 transcript:SECCE6Rv1G0423690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVVSAIAGDLVNRFISFLIKKHESHANLDKKVKRLQQLLLRVHMVIEEAEGRYITNSRMLLELKRLSEAMYQGYHVLDTIKYRALCSSRDEKEVSSSSNTLSLSNSINCFHTNSTNCFRTTRSTTTICDDLDSVLEKLETMVSNMTEFVLLVGGCERMPRSPYDMYLYIDNFMFGRQVEKQQIMSVLLQENIPPFAPTVLPIISTNRVGKKTLVAHVCDNDRVKSHFSSILRLKGESIHKTEQHGAFFPTRRTLVVVEFTSDIDDASWQKFYSTTRRMGRGSKIIIISRIEGVSRLGTIRPMHINSLSLEEYSYLFKVLAFGSTNPDDHPRLSLVASELAVLMGGSLVVANVCADIFRNNQNLQFWQHILNKYRNFLADNLSVFCEHPKLLIESYRPVDISKLVSSTSAPLRLMPPHNEDDDSTRDLPKVRYGDLIAGSVVPPKEKFELIGWESRIPPYKKYVNVAMFSGEVNVSEHVVSPCKKRIRFS >SECCE7Rv1G0492770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:556763055:556763363:-1 gene:SECCE7Rv1G0492770 transcript:SECCE7Rv1G0492770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLQQLMSRLHLAKGGGGGKGGSAVPRGHFAVYVGEARTRFVIPTAYLRHPSFVALLESAEKEFGFDHHGGGITIPCSESDFVELVGSLSSLSPSSSWRH >SECCE3Rv1G0157850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:83974815:83979111:-1 gene:SECCE3Rv1G0157850 transcript:SECCE3Rv1G0157850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase, Disease resistance respons [Source: Projected from Oryza sativa (Os01g0100900)] MEAAAELAQRLRAAANDRLAGQEPLLLVAAPLLALLAARAVHAAAADVADRGLRTVLITLAMAAVKLVPGVSGYIAAEKSKVVEQLQSGSASSKKNLRTELPAVGDPDGVIGELQALKDKDVRWQGKCSGTVYIAGSESEGHFSLINKAYSMFSHTNPLHQDVFKSVAQLEAEVVAMTAALLGSKDKASGGQICGNMTSGGTESILLAVKTTRDYMQSKKGITKPEIIIAESAHSAYDKAAQYFNIKVRRVPVNKEFLADVKGFRRCINGNTIMMVGSAPGFPHGLIDPIEELGELASQYGICLHVDLCLGGFVLPFARKLGYPIPPFDFSVKGVTSISTDVHKYGLAPKGTSTVLYRNHEIRKHQFVAVTEWTGGLYVSPTMAGSRPGGLIAGAWAAMMSLGLNGYLESTGQIMEVSKKIQKGIDGISGLFVIGKPDMTVVAFGSDVVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHTAIYEEFLKDLEDSMNTVKANPGPISGGMAPIYGAAGKMPDRGTVKELLVEFMDSSC >SECCE2Rv1G0122360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:815424924:815429805:1 gene:SECCE2Rv1G0122360 transcript:SECCE2Rv1G0122360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 6 [Source:Projected from Arabidopsis thaliana (AT1G03000) UniProtKB/Swiss-Prot;Acc:Q8RY16] MVERRPRRKPLVLASTQALFDSLPGERRGPAPPPPEPVRLRAGVLRFPARSSGSGCGGEFGDLASFVALPASALRRLAVVTGTTVLVKNTDNNVGRIVKAVVLDRPSLDESKREHPDSDPVASTSSSDRVMGFLPTRSFPANGFAPLDEDVAYVSPLLAFNLGVHVSCLKLLIQKGEEPFKFCSKVVEDGSASSGTGISLHLELLPCPQVPKHALHLRVSVVRIPDCGVLASLKINSAIGGSDYQDMIDQALNEHFEFDRFLASGDVFCIHNDWNCGMISCLACNKENDRLHPPSMIYFKVTGMEPSNEPILRVNRDETALVLGGSASAPIPPCSFFSASDDSVPLHGEVVEQLASIIAPALCPSNILPRIKFSTFLYGPSGCGKRTVVRHVANHLGMHVVECCCHDLMTSSESGASAALVAAFKEAQKYSPSIILLRHFDAIGNTSPNEGPQSEQSGIAANVESVIKQYTGQRWVMKDSLPARDVVGNSYLVEPECVSSVQVLLVATADNAEGMQQSIRRCFRHEVNMKTINEDQRKNLISETLHGVSTVADESIDDKFVKDISVQTSGFMPRDILALIADAGVSFAHKVAVEKSSNDELENALTASSSTTQKEENHFCKEDIFSSLERAKKRNRAALGTPKVPDVKWEDVGGLEEVKKVILDTIQLPLLYKHLFSSKLPKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSADSGGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYIGVNTEASYRERILKAQTRKYKLHENVSLLSIAQHCPPNFTGADIYALCADAWFHAAKRSVKTLEADPVRNNDASAEEVTVETEDFMTVLGDISPSLSMEELQNYEQLRQKIEGPSR >SECCE3Rv1G0202330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:873170727:873172280:-1 gene:SECCE3Rv1G0202330 transcript:SECCE3Rv1G0202330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLPNSFTTSSWQSHQDASICTNQEPDYDHPYYFGIEEVAVDADEPELGLRAHKATRVDYLSSPYHASWPPAQADLESSRVRKTKQFRDVLETCKQKVEAMEAMEQSSSPVGSGGFEEQGEAVVAVDDVRAGGGGGGADGMRLVQLLVACAEAVACRDRAQAAALLRELQVGAPVHGTAFQRVASCFVQGLADRLALAHPPSLGPASMAFCVPRSSCLDGARGEALAVAYELCPYLRFAHFVANTSILEAFEGESNVHVVDLGMTMGLNRGHQWRALLDSLATRATGKPARVRVTGVGAHVDTMRAAGRELEAYAEELGMTLEFMAVDRTLESLHVDDLGVEVDEAVAINSVLELHCVVKESRGALNSVLQTIRKLSPKAFVLVEQDAGHNGPFFLGRFMEALHYYAALFDALDAALPRYDARRARVEQFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSMPFKMAAKAREWLEENAGGSGYTVAEEKGCLVLGWKGKPVIAASCWKC >SECCE2Rv1G0123160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:821652401:821654306:1 gene:SECCE2Rv1G0123160 transcript:SECCE2Rv1G0123160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQEIQLQIREQESGHDEGEDGGGPKAAERRRPGARGVRWWLMVLLDMLVVLCGQTVATLLGRYYYNSGGNSKWMATLTQSGGSPLLAILLLLTPRDPGGEPRPAAARMAPIYLGLGTLIGFDNLMYSYALQYLPVSTFALVAATQLAFNSVTSRLINAQRFTALIANSVVVLTFSAALLGVGSSSDGTSAADLPRGKYTLGFVLTLSASAVFALILSLFEVTFEKAVGGRTLRWVLRVQMCTNFVAATVAVCGLFASGEWRTIPGEMAAYEDGTARYVATLVGTAVSWQAMSVATLRLITRVSSLFANVTGTVSLPLVPVFAVVLFGDRMTGIMAVWGFLSYVYQHYLDGRRAAAEGGQGGAAECCVCKARAGGEAVLPA >SECCE7Rv1G0501810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:688712202:688715004:1 gene:SECCE7Rv1G0501810 transcript:SECCE7Rv1G0501810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMHLLGVTCLWILSCALLLGGACSDGLLRINLSKKRLDKETLAAAKSARQETNLLRSDGGPPHSLGLSDDDIVPLDNYLDTQYFGEIGVGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYLHHKYKSTKSTTYKKNGETCTISYGSGSIAGFFSEDSVLVGELVVEKQKFIETTREASPTFIIGKFDGILGLGFPEISVGSAPPIWQSMQEQKLIEKDIFSFWLNRDPDASAGGELVFGGVDKKHYKGKHTYVPVTRKGYWQFDMGDLLIGGDSTGFCAGGCAAIVDSGTSLLAGPTTIVAQVNHAIGAEGIISTECKEVVREYGEMILELLVAQTRPQKVCSQIGLCVFDGSHSVSNQIESVVHKKEKQGSDLLCTACEMTVVWIQNQLRKKQTKELILDYANQLCERLPSPNGESTVDCQQISEMPDLAFTIANKTFTLTPEQYVVKLEQSGQTICISGFMAFDVPPPRGPLWILGDVFMGAYHTVFDFGDSRIGFAKSA >SECCE6Rv1G0452520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:877642498:877643511:-1 gene:SECCE6Rv1G0452520 transcript:SECCE6Rv1G0452520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTDASATAIGELAITSLPLETRFPPFRLRQYGGFWLLEKFLQGVPAVHNVFEPRPSDVLLASFPKCGTTWLKALAFATRNRAEHPLRGLDHPLRCRNPHDIVQYMELQFAQSMGHVVAALPSPRVLATHLPYSLLPRRITAEESGSRIVYICRDPKDAFVSSWFFTKKTVAAAATRARAGEEPPPYTFEEAFELFCDGICVSGPQWRHVLGYWEASRRQPEKVLFLRYEEMLRNPESNVKKLAEFMGCAFSDEEEATGVVQDVVELCSLESLKNMDVNKSGSHGPLAHESFFRKGVAGDWSNHITPAMAERLDKIVKDALQGSGFTFDVSEPSA >SECCE4Rv1G0283670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836139487:836140707:1 gene:SECCE4Rv1G0283670 transcript:SECCE4Rv1G0283670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFAGVSVFNGDKPCSCVTSGVGAGAGANSAYHLLVVKGYSGTKKELPNGESWCTDLFRVGGHEYSIEYFPNGANPSCADFISLDITRFYDEDVEEGVEAKFSFSLVDDVEKQMPTYIRATRKTRDFRRCDPCWGCDKFMRRDALERSASLKYDCFTIRCDIVVCKDNTPDATSTGTEVLLPDIHQHFSDLLQNKVGADVTFEVGGETFAAHRCVLAARSQVFMAQLFGTATSNVIQITDMEAKVFRALLCFIYTDSCPEMEKDSMEEDEMPRVVEQGQAEEVVDKKMSEVAEQTQEEAVEDEMHMQWLHDLFVAAGRYNLQRLKFICEKQLCEHVGVSSVASTLALAEQHRCHGLKKACLKFIRVLSPSRLQTLMATDGWGHIATTYPSVLYELIAMLASNQRK >SECCE5Rv1G0343390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637877390:637878227:1 gene:SECCE5Rv1G0343390 transcript:SECCE5Rv1G0343390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKKLVKEGNIKYIGLSEASTSTIRRARAVHPITAVQLEWSLWSELGIGIVAYSPLGSGFFSSGPKLVDTLSEQDFRKDLPRFQAENLEKNTMVFERVSAMAARKGCTASQLALAWVHHQGNDVYPILGTTKVENFNQNVAALSLKLASEEMAELESYASADVAGDLYHAFLNTWKDSETPPMSSWKAE >SECCE2Rv1G0085640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:194380159:194382285:-1 gene:SECCE2Rv1G0085640 transcript:SECCE2Rv1G0085640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAGNQILSPKQRPCAPRRPGHSMRTIRSALLHPDSPPGPSSRLRAVAAAADEGDSDIENLTDSVIDFRLSELAATAGPAHPAAVAKSSSANAAATEMLELSRDFSDYSSFNSDISGELERLAAAAATPRSDAPDLAAVDLNDLESMDLSADAAPLDRVEPFVLACVQALGPDAAPDARRAAAARIRLLAKHRSDIRELVGVSGAIPALVPLLRSTDPVAQENAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTASAKQNAACALLSLSGIEENRATIGACGAIAPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVVPLVHLIGERGTGTSEKAMVVLASLASIAEGRDAVVEAGGIPALVETIEDGPAREKEFAVVALLQLCSECSSNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGGGCRAGPGGTATSMAR >SECCE3Rv1G0200950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:858885421:858887351:-1 gene:SECCE3Rv1G0200950 transcript:SECCE3Rv1G0200950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRSLLAYAHSQATATMGDAVAGAVDYRGRPAYRAATGGWKSSVFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSQMLPLPVACVADAWLGRYRAIVLASILFVVSMGTLSLSSAFHIFRSGGHVAVFYVALYLVALGEGAHKPCAQAFAADQFDEKDPKENVARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIVISLAVFLIGTPSYRYYTTKEPSPVARVGKALLVLIKSWRSKHRTNPASVKVEAKNSDDDLVEDVRSVFRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGPNFKVPPAALQTFISVTIVAFIPVYDRLFVPLARRYTGRPTGITMLQRVGAGLSLSLVAVVLSALVEMRRLGVARDAGLLDAPKASHLPMTLWWMVPQYVLIGVSDVFAMIGLQEFFYDQVPDAVRSLGLALFLSIFGVGHLLSSFLISVIDRATAKSGASWFSNNLNRAHLDYFYWLLAGLCVLELLAFFFFSRAYVYKKKSDDNSDYRGADADAALV >SECCE4Rv1G0285670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:845975575:845978275:-1 gene:SECCE4Rv1G0285670 transcript:SECCE4Rv1G0285670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADPWARECGEAARLADDVASMVADRAALPQSGPEAMRHASAIRRKITILGTRLDSLEGMLARLPPKSITDKELHKRRDTLSNLKSRAKQMAESFNMSTFANREDLLGQSKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEQTVLSTKHIALAVNEELDLHARLIDDLDDHVDGTNSRLQRVQKRLAVLNKRAKGGCSFMSLLLSTVGIVMLVVIVWLLIKYL >SECCEUnv1G0535280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:54573485:54576454:1 gene:SECCEUnv1G0535280 transcript:SECCEUnv1G0535280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPSVCFVSVKMGWRLVHISCFRQEQDVSTTSDDGTGFKYNEQAEISGDPDLKEEDCGSPNRDDQNYVKGDWFVRMQKIKENLKETISRFWNERWAVPWTGQTITQVMFLWIATFWLVGSWIVPFLAHAAGFSKETLTHRGQALYSLVTDITEGLAGIAILHQCLGRFRPLPPGWFEFKLKGKWHWDVALGCLLFPLVNLLSHINISLVHMSSGPVAGVSSVEQSIVARDPVAMALYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILLSAVAFALAHFNVQRVLPLIFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >SECCE6Rv1G0386430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:96022706:96023015:-1 gene:SECCE6Rv1G0386430 transcript:SECCE6Rv1G0386430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSVVDPLREFAKDSVRLVKRCHKPDRKEFTKVAIRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >SECCEUnv1G0554570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:287019817:287020878:1 gene:SECCEUnv1G0554570 transcript:SECCEUnv1G0554570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYKFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAVEVPAING >SECCE4Rv1G0241360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448905130:448905647:1 gene:SECCE4Rv1G0241360 transcript:SECCE4Rv1G0241360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGHGGGNHPLRWTSPMSGFMLRRFVELIASGVKTEKGFKEVHLNQVAKNCSEHFGLSITGTQVYNHLRKWHARWVTISKLRDISGSLWDDNSYVIMLEEEHYMGHIKDHPKDVEYLNVLLENYVQMLAIFGSGIAT >SECCE2Rv1G0127750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:855923396:855924343:-1 gene:SECCE2Rv1G0127750 transcript:SECCE2Rv1G0127750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLVGLVKVRVTRGVNLAIRDLRSSDPYVVVRMGKQKLKTRVVRKSINPEWNDELTLSIEDPTIPVKLDVFDKDTFFDDPMGNAELDIGPLVEAARMRVQLQGVADGTVVKKLVPNRQNCLAEESAVYLSEGTVKQDVVLRLRNVECGEVELQLQWIDIPGSKGASGF >SECCE2Rv1G0071710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:47202624:47203052:-1 gene:SECCE2Rv1G0071710 transcript:SECCE2Rv1G0071710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKSLLAACVNLEDALKSGEHKDIDGAELFYELIFIQDLVKKSMGPVDILEILMKRPFYPNAIIAYRILLTIPVTVATAERSFSKLKLLKSYLRSTMTQERLNGLATIALENDVLEKINYEDVIEDFISRNARRMTLFNRE >SECCE6Rv1G0443330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:822088513:822093160:-1 gene:SECCE6Rv1G0443330 transcript:SECCE6Rv1G0443330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGGGGGGGGGERMKLLCSLGGRILPRPGDGTLRYAGGDTRIVSVPRGVALQDLLARLADAYGGATGPHFAVKYQLPDEGLDALISVSSPEDLDNMVEEYDKLAVASPKLRVFIFPISEAAAAGDEGADGFDAGLRYLEAVNGIVRKDSIASLSSTQCSDFGPPPPTPAVPSSGGGGGGGGGGGGGGGGSPTAVSPTSTCSYDAARSAFAAPPPPQQLLVDVFSNAAPAPAPVKPQESAAPPQPTPHPHPHPHPHPHPHPHPEAARYRQPLSQLPPLPPVFMNDHREAMQGLNQQPPENATWLQDCNMCVKALPHAHSDPVMNDYANDVRGGAPVEPMPVFMSLRPEDVARIMMGERQIPSQMGAYGYTHMHPVQPDRERMYAPKMEGVTNPMPVDPASFHQHVYVQQQQQLPPQQLQSTYGFNHIPMMPTEMVSPNSVHSDTASSHQQAILHQMPSGHGMAQYPVRQTNPNNPLEGEGSLSGNSRHREDGQVLRDNVPSVAPAAVPTYMANVDRMMDSLRVNPNEPRYPEQRMYAENGLPQNATPQYSQSNTNTFFDVSEPKIAHPIESMPPPSVASPYMHNVQHANVNHMPPQMVSIGGPYPSYVAATIGHVGVPPSAYGVDPAYTKATINPMGEQKDVMPEVYHKEAPHESIPPPNTNAQVPLPTPALTNHAPVEQLQESGLPGQQFSNVHALPPRPKRVASRDNISSKDPHSQNSLLNCKGPDLNIPAEEQPYHKDTHAEQAQFVKGDGITNPDLLGMEDGLAAFEPPPPVLNEGLGNVANKVDGQAHTNEVSKGKPLDWVSGQPVTDEHGRLQIIKNDDLEELQELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKPSEQEKMRNDFWNEASNLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNSKSLDRRKRLIIAMDTAFGMEYLHNKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPQVPDSCDPEWRSLMEQCWATEPSERPSFTQIAVRLRAMAASQKVQS >SECCE1Rv1G0028460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:402072793:402074022:1 gene:SECCE1Rv1G0028460 transcript:SECCE1Rv1G0028460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPRRRSCSSMACGMEWSELPADLVLAIFALLPSDADRVRFRAVCQRWADVAAFWRPRPWLVGSRTDRSGQGAAMSSFWLSQAGRLVPFAAAVPPGLEYLSSSHGYLVLSDPTAVPKAITLVNPVTGRRIPLPPIAFFKKWHDVATVVLSADPGGATEWAAVAAGFPANRIAYYNSATGAWTPLDFSAAGYAGVEHYRGRFYVAFKNQLCVICELECGAPPAVIPLEHVEGDGGGGGGGSDDDELVPGSGRSVALDAHLVECDGQLLLVSVRDETDYNSDNDIGAGDADADDEGSSAGGGSTRVVEVHRVELVGDGALRLVQVEDLGSHALFLGRNRAFALSPADFPACRGNCVYLLDRQGHPDGVVRVVNMESQWARLEETIYPDDGRRGLPSVGWARRGWFFPNY >SECCE2Rv1G0091310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:312935816:312936568:-1 gene:SECCE2Rv1G0091310 transcript:SECCE2Rv1G0091310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPTIIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGVVFVNQLVKFDEKVKVDLDKMLERAKHANEQRYFGLCLFPPNILES >SECCE2Rv1G0069280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27554651:27555758:1 gene:SECCE2Rv1G0069280 transcript:SECCE2Rv1G0069280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSSLAPAASAALLLLGCFTALAGSAAFAAASGGGGGLRLNYYSESCPRAEQIVKEQVRSLYEEHGNTAVSWLRALFHDCTVKSCDASLLLETDAATGLVSEQASPRSFGMRNFKYVDTIKSALERECPGTVSCADILALAARDGAAMLGGPAAIPMRTGRRDATESQYGEVERYIPNHNDTVSAVLSRFAAMGLGAEAVVALLGAHSVGRVHCSNLVARLYPAVDGGIEPAYGAYLRGRCPTADAREDTRDVAYARNDRSTPMVLDNMYHKNLLKGRGLLLVDQRLASDPRTAPFVKRMAADNGYFRETFAAALVRMSENGPLTGGQGEVRKDCRFVNAK >SECCE1Rv1G0026410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:370812829:370816220:1 gene:SECCE1Rv1G0026410 transcript:SECCE1Rv1G0026410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHLPVSSHPAHHLLDALPPSSHHRRLRRRRRFCPPRPRASSSSSSLRCRAAAAAPQPAAAAAPEARTRVFVVSDLHTDYPENMEWVRRLAVRAGPPGAGEGFDALVVAGDVAETRDNFARTMEALRERFDAVFYVPGNHDLWLRREGGRYVDSMEKLTALLDACSELGVDTGPRTIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLEMACKDFHACQWPSDLGSDDEALALYFDKLNDKNNDAIEEVKKKSKQILTFSHFVPRQELCPEKRMLYYPNLPKVIGSDYLERRLRAIHNNAKDGAACHVFGHTHFCWDSVVDGIRYVQAPLAYPRERKRRINGGQGWLPFCVYRDGFNPEIYPAIWSDYYNKNRREPENTQLAPWVAKYFSKYYGPPVFAKQTGSG >SECCE4Rv1G0235340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:328263416:328265086:-1 gene:SECCE4Rv1G0235340 transcript:SECCE4Rv1G0235340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGNILSFLVILAPVPTFYRVYKRKSTESFQSVPYAMALLSAMLWLYYALLTKDLLLLTINTVGCVVESVYVAIYLAYSPKQARAFTAKLVCIMNVALYGAMVCVLQLLVKDSESRVTIAGGIGSAFALAVFVAPLAIIRQVIRTKSVEFLPFWLSFFLTISAVVWFFYGLLMKDFFVATPNVLGLLFGLAQMALHLVYKNPKKKGAVSEVQVPADDEKNQLPLQQQQAGTTEHVVAPIIDDDQVVSGSEDDVGGDMQQSMSVVDIALPPPEEHPTLPPLDHPTPLPPMRMAVEVV >SECCE6Rv1G0435810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772944487:772944903:-1 gene:SECCE6Rv1G0435810 transcript:SECCE6Rv1G0435810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSSSSSSKTEAGGEQHRKEEKHHNHKHMEQLAQLGAVAAGAYALHQKHKAKKDPENARSHRIKEEIATTVAVGSAGFAFHEHHRKKDAKKHGHHH >SECCE7Rv1G0522890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879506146:879506409:1 gene:SECCE7Rv1G0522890 transcript:SECCE7Rv1G0522890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQLKASRIKILAALLVFAMVTQGLAIRVKGTVRNDITDEPVSAKTTLGAGSSATVDNHHAIPRDQYSSHGGEDGSAGSGGDTTKN >SECCE3Rv1G0199360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:844427349:844428932:-1 gene:SECCE3Rv1G0199360 transcript:SECCE3Rv1G0199360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEAALHGAAAEALLHGALSLQPHVAGAFFALAACTVALAALLAVARTRPPWWCDCAVCEAYLTASWAGEFDNLCDWYADLLRRSPSQTVHVHVLRNVLTANPVTVDHMLRARFDNYPKGKPFSAILADLLGRGIFNVDGDAWLFQRKLAAAELASPALRAFASGVVASELRGRLVPLLDSACSNGDDGGKVLDLQDVFRRFAFDCICKISFGLDPGCLELSMPVSAFVDAFDTASMLSARRATAPLQIIWRLKRFFNVGDERKLRESVRLVDGFAAEVTRQRHKLGGAASGSDLLSRFMGSISDEKYLRDIVVSFMLAGRDTVASALTAFFLLLSDHPEVAAAIRDEVSRVTGDDEDDRPSFSKLKDMHYVHAALYESMRLFPPVQFDSKFAAGDDTLPDGTAVAKGTRVTYHAYAMGRMESVWGADCSEFRPERWLRDGQFVPVSPYRYPVFQAGVRVCVGKDLALMEMKAVIVAVVRSFDMEAIERSSRRPKFAPGLTATFAGGLPVRVRRRGRPAGGHSPPT >SECCE4Rv1G0281820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826942906:826944093:1 gene:SECCE4Rv1G0281820 transcript:SECCE4Rv1G0281820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEKEMFERNTKSRLESHPGLTAEAAGLLTDDLILEILSRLPARSLHRFKCVSVSWRDLITDPANRNKLPQTLAGFLYTFPGDHFASVSGDGAAPFDLSLPYLRNNKDDKGITLVDACNGLLLCRRRKKNKATPWKEDDFGFVVCNPITGRWVELPPQPQAPPRRYIHTAGLAFDPAVSSHFHVLHFEETYTGSYITGVNIYSSRKGVWSHRDSGMVEKVTLFSRSKCVFAGGMMYLMGNLEEMNGEYVLVGVDMEGKVWKTIHTPYGRRFGTIGLSQGCLHYVVAFVGDYNAIQITEIALWCLKDRDSKELVLKHTANINKLMSMTGKMYMVVEIHPDCDTIFLVSFRGDTLAAYDMRHQKVGCILNLEKNTRRFLPYVPLFSESLADEDGR >SECCE2Rv1G0085380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:190584751:190591703:1 gene:SECCE2Rv1G0085380 transcript:SECCE2Rv1G0085380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAALRPTEPFPLPSGLSLARRLKLLLSFSRADLSVSPVDEWQLKSALLAFLRNPPLSFSLLQDSDLSVSRLPDLQKRRRDDPVASGILYVRDLSFLRPSNRTGDDEAEKLTPEQEENKYSQWRSSLVEKLDGIELNLKGVMYRMSVEIPASDDFRAMKKSWEDFYASELFSTRNPVRKIAKRPDTILVRGVPSRWFAETRISSKASTLVTHTIFSALGKIRTLNISNDDGLDEKKGETNKGLISGLNCKVWVQFESYDDFHNAMKALCGRSLEKEGSRLKVNYDVTWDREGFFGNAQYEPAHNKLEEIDAPVHGRKKHYTSRIESDHRKRLRD >SECCE3Rv1G0158850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:91464023:91467121:-1 gene:SECCE3Rv1G0158850 transcript:SECCE3Rv1G0158850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCCGKRPRPAVPLLLALLVVSCSSVSASGGGGRGNGTRTTEFRSGDELRAYRSIVARMDKMKKASVKTIQSSDGDVIHCVPAHLQPAFDHPTLRGQKPEAEPEERPKISADAAEEEEGDAVFPQAWSDGGESCPGGTVPIRRTTESDLQRYTGSLRRYGMKPRAAGVRRDSTSDGHEHAVGYVTGDQFYGAKASLNVWPARVASAAEFSLSQIWVISGTFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTSDAYQATGCYNLHCSGFVQTNRRISIGAAISPASAYNGRQFDISLLIWKDPRRGHWWLQLGSGPLVGYWPSSLFSHLGGHANMVQFGGEVVNTRPSGSHTPTQMGSGHFPREGFNRAAYFRNVQVVDWDNNLLPARDLRLVADHPTCYGIQGGYNRAWGNYFYYGGPGRNVHCP >SECCE5Rv1G0377090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:875780086:875790514:-1 gene:SECCE5Rv1G0377090 transcript:SECCE5Rv1G0377090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPATRAEGEPPPFTHEDNRRFLEMLRDKKQMLGVACPKVEVQFQDLTVETHVRIGQRELPTLPNCVLNAAQELASYSHICTTRKRAIKIINGASGTIRPSRMTLLLGAPGSGKTTFLKALAGKLDLSLKRKGKLMYNGDEVNSSTPQHMHAYISQYDLHHAEMTVRETIDFASNMLGTDNEFEMIGEAARRKQDVVNEVDKNIDSFIKATTFGEGRNLTTNYIIKILGLSECTDTLVGDEMRRGISGGQKKRLTIGEMLVGLAKCFFMDDISTGLDSSTTHEIIKVIQQMAHLLDLTVVISLLQPPPETLELFDDIILLCEGQIVYQGPRENATDFFETMGFECPSRKNIADFLQEVTSKMDQKQYWIGDQHRYHYHSIDKFAESFRSSYLPRLVHDKLLQPNSNIVKSGEVKTSSGMSRWNIFKACFSREVLLLRRNSPIHIFTTVQITILALVISTIFLRTNMNHKSVLDANKYVGALFIAVMLVNFNGMIEIAMTIKRLPTFYKQRELLALPGWALLTPNFLLSIPISLMQTGLWTSLTYYVIGYAPSFIRFMKHFLVLFSMHQTSMGMCRLLAAVARTLVMANVLATTTLIAIFIFGGIVISKDDLKQWLQWGYWTSPFTYAQNAISLNEFLDERWATEFHYENANTIGEAILKIRGFLTESHWYWICVSILFGFALVFNILSIFALEFLNSPHKLKVHTNATDTMMKCANKKVVTHDASIPHGVLPFQPLSFTFDAINYYVDMPKEMMKYGVTEKKLQLLQNVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIMVAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLQFSARLRLPSKVTSHQRDMFIHEVMDLVELTGLRNAMVGLAGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARSAAIVMRAVRKTVDTGRTIVCTIHQPSIEIFESFDELLLMKRGGRIIYSGSLGPHSGNMIEYFEAIPGVPRIKEGQNPAAWMLNVSSHTTEYEIGVDYAEIYRRSSLYKENIIIVDDLGKPTPNTEDLYFPPRYWQNFRAQWMACLWKQRCAYWKNPEHNVARFLNTFVQSTMFGVVFWQTGSTIKQQQDIFNILGLIYGTSLFLGFNNCTMLQPVVAVERVVLYREKAAGTYSTLAYAIAQVAIELPYMLVQVFMFAVIIYPMIGFQMSAGKFFEFILYMVLSYMYYTLFGMMTVALTPNVEIASGLVYLIFLFWNVFSGFVVGRLLIPVWWRWAYWANPSAWTVYALMFSQLGDRTELILVPGQPDQTVREFLERYLGLEDVYMNLVTYLHVAIIALFAIVFFISLKYLNFQRR >SECCE4Rv1G0227630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:125270462:125271058:-1 gene:SECCE4Rv1G0227630 transcript:SECCE4Rv1G0227630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFRIRSIAAAVVVVTMLLFQACSEASASSSPQQHKHDLFRKMLSVDASSMPSSVGEGQSAEPLELEECSKELLEVSQNNAPSMAGGMPTYSVEITNTCIDCAVCDVHIACGDFASNDVIDPDKFRRLGFNDCLVNGGGSIEPSFPVSFQYGNSFPYPMIVASASCDCN >SECCE1Rv1G0031590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:444193966:444195510:1 gene:SECCE1Rv1G0031590 transcript:SECCE1Rv1G0031590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAEEEETVFVAVPAEPRAGQSTLSWALRHLYGGAGTTIVVMHVHVPPQMIPIMGVRFHASKLSPEPVRAFRRAERERVDEMLDDYIHQCSEIKVKCEKLVIEKEDAASGLVELIRLHGITKLVIAAAADKHYSRKLDKPVSKTATEIMQRADPSCKIWFVCKEQLVCTRDKETQIAPLAVAAPLLPILGHEVLHLQSPQEEDDIEMVLGFDDELEEARKAVEELMRRALKESCRRQKAEEQMATSLQKAQEHEELYLEEVKKREELEAALARADREIARLRQAIQQKHDRGGSHGEIDA >SECCE6Rv1G0430740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:744494216:744495367:-1 gene:SECCE6Rv1G0430740 transcript:SECCE6Rv1G0430740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSRVLAHFLSSPATTPGSTLRRVISAAALAVSPNPSFAVEDYLVATCGLTRPQALKASPKLAHLKSPTNPDAVLAFLAGLGLSGADVAAAVAKDPQLLCAKVEKTLAPNVAELAGLGLSRPEIARLVPLAGATFRCRSIISGLQYCLPLFGSSENLLRALKSGSVLCSDLERVVKPNVTFLQECGLGACDIAKLYVLRPSPLSISTERLRAAVACAQGLGVPCRSRMFRHALQAVAYLSEEKIAAKVAHLKKVFRWSDAEVGIAFSKAPALLMRAEESLQRRSEFLISEVGLQPAYIAQQSAIVCYSLEGRLRPRYYVVKFLKENGLLRRDPSYSTVFKEAEKVFREKFICSYKEAAPHLEEDYDAACKGELSTNFRFT >SECCE6Rv1G0409880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:592474893:592479585:1 gene:SECCE6Rv1G0409880 transcript:SECCE6Rv1G0409880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLLLLVTLAAAASAAAATRLSTRMVHRLSDEARLAAGARGARWPRHGSGGYYRALVRSDLQRQKRKHQLLSISAAGGIFSLGNDFGWLYYTWVDVGTPNTSFMVALDTGSDLFWVPCDCIECAPLAGYRESLDRDLGIYKPAESTTSRHLPCSHELCSKGSDCSSPKQPCPYSTEYFQENTTSSGLLIEDILHLDSKENHAPVKASVIIGCGRKQSGSYLDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKEDSGRIFFGDQGVSTQQSTPFVPLYGKFQTYAVNVDKSCVGHKCFESTSFEALVDSGTSFTVLPLNVYKAVTVEFDKQVNARRITQEGDSFEYCYGASHLEMVDVPTVTLTFAANKSFQAVNPTIVLKDGEGSITGFCLAVQQSPEAIGIIGQNFLTGYHIVFDKENMKLGWYRSDCHDLDNSTTVPLGPSQHHSPGDPLPSSEQQTSPTVTPPAVAGMAPTSSGGPSNLHKLVTNCCSLLLLLTVSTVFFIS >SECCE6Rv1G0423260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695156655:695158302:-1 gene:SECCE6Rv1G0423260 transcript:SECCE6Rv1G0423260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDVHNLRTESLKQQYDLVKKRTAAQDSYSYGSHVMQYGSLDLNDQHLFLYIGSNPANNNSTFVEDNSLPSFSRAVNQRDADLVYFWHKYQKLAQSSREKNDARKQLLEMMGHRSHIDNSVELIGNLLFGSTDGPVVLKTVRPAGQPLADDWSCLKSTVRAFESQCGSLAQYGMKHMRSFANICNAGILPEAMVKVAAQACTSIPTNPWSATHNGFSA >SECCE6Rv1G0449770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:864011665:864030395:-1 gene:SECCE6Rv1G0449770 transcript:SECCE6Rv1G0449770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVVGLAKSVVEGALTKAQAAIEEEAKLRQSTQRNLVFITGEFEMMHSFLKVADEERLENKVVITWVRQIRELAYDVEDCIELVIHLDKKSRWWWHMVPSWCIALPLPLDEAAGEIEQLKARVEDVSTRNTRYKLIGDTGSKPFIVQQEPVASDAATAATAADMLAEARGATKRQQRFSDLTRLITKKQDYNGLQVISVWGAAGDHGTTSIIRKTYNHPEICRDFPCRSWVKMMHPFSPQQFMRKMMAHFHATSCMDQRATIGKDVFKKMEATQEVLFSEFEELITDKRYLIVLEGLSHMADWDAIRAFLPEMTNGSWIIVSTQQSEIATLCIGHSYQVVELRKFSDQHSVCALYKASQGDGDKDKNPTGPDGGEYEGKEPMASSKKIMLLQEEANQWKDKNDGLVGRESQMTELVKCLTEARVNSLPVMSVWGIAQVGKSALVKKLFYDTVLQLHGGKYDDYYWVDVSHPFNMRDLYLTLLSDFHSDKDPTEECHRLLKKGWCLIVIDDLRSTKDWDMIQAALVSKHLKSVVIVITTDRTIAEYCTNNEDLVFNVKALEADAAFHLFEKQVLKKSSPYPLKDEEARVKYLISKCGGLPKVISAIAVLLATKTNKRMETIHSLNDRFMHHLETDPEYDNLDDLFAWMHSYFRTCPDALKPCIFYLSIFPQDQIIRRRRLVRRWIAEGYSRDSQNESAEENGEKHFSDLLELSIIQQISSSSSVNSSVFSVDVVRMVMCQVNGFIREYVVSRRLEENLVFELGGKSALTTQRTGRHLVILDKWERDGIVFATMDFSRLRSLTVFGVWKSFFISKSMKMLRVLDLENAEALNDGDLDNILEWLRRLKFLSLRGHTEISHLPGSLHHLRQLQTLDVRGTSITTLPGKITKLQKLQYIRAGTMGLKPPVSSNCCSWLPEFCRCHSPTISVEVPTEIGELIELHTLGVINITASGAKKTVKELKKLTQLRKLGVSGINRDNSGNFFSAIKDHAHLESLSVSLDKDTTQGCCFITKDSNSQGCLDDMVSLPCKNLRSLKLYGLEDELPKWEWEQPVKFRKLTKLELDMASLPEDGMRFLARLPKLCILRVKQHQAGDLNFYLMVNEFEADSYKNVKILEISCSSSLRVTFGSWTMKKLEVLKVDCNGGSPSYDFSGLGNLEELKEVVLVNGSKAQVLKQQLELQLAEEHPKEKKPVIKLE >SECCE6Rv1G0441700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811752912:811753160:-1 gene:SECCE6Rv1G0441700 transcript:SECCE6Rv1G0441700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDGRKEGETPAAAAAQRGAALLGVSVQEGLQHAKASVVGAVQQAMAGSEEEAAQAGMRAAKAQVEATDEAEARRKHLAAG >SECCE2Rv1G0098800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:496488080:496498107:-1 gene:SECCE2Rv1G0098800 transcript:SECCE2Rv1G0098800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PRD1 [Source:Projected from Arabidopsis thaliana (AT4G14180) UniProtKB/Swiss-Prot;Acc:O23277] MGMRYSSPPPLLKNPSISRRRSPAKPPQHPLRMESDGEHSPPHACGAGHRASHSLPTSAGGSVCVSCAAALLSSASAPSHHVSHVLASLSLALADPAFLAPLRAAHPRLLAVPLVEALAGAAARRDAALATQASDLAADLASAVGPPAASELVARLAHVLSSGSLVKHFHMLHCLGFLLNSIRGAAAYVGDAVSLFLNLVNNLRLPSDEIRGEILFMLYKLSLLNATPWDNICDNDNVDLPAVGKSLLQLSLEVLLKTQNDAVRLNCIALLLALAKKEAFDILLLGDLSLIKSVEEEESTQTDDVPLSASIVVLFADAVKGSLLSTNLEVQTGTLDLIFHFLSSDANICVVLQTLIDENVADYVFEVLRLSGNNDPLVISSIQVLSLLATSEEMFKEKLAIGFSTLLPVLHYVTEIPFHPVQSKVLRLIWICIANCSGILSLSQEEQIACTLTLILRRNDSGELGMCSETFALVCSILIEIMRSPSAHDIQKLPSLIEEASKHAISLTLPHAYDSAFLVPHSLRLLKEALIFCLEGNTDKISVKKDLEDSVIEICGTYLLHWLERAVVDGNDDETLGEILQIFHIILSSTCHNKQLKFAEMLASSLWFSLSFGFMGLFPTDHVKSVVYLITSSIVDKILGCKYGETIRDAYVYLPSDPTELAYLLGQCSSEDFNLASCQCAILVILYACSFYNERLVADSQLLSSVEQYILLNGGKFPYEIAGSVMLTLLVHLYAFVRGISFGCTIQHSPEAERTLFHVMACKEWDLLFIRVHPIALKWLFQKVELLEPLSFQMLNFCRTFCEDRTVVLLNSSQLVDIKLVAELVFSGETCLSSLLVSLLNQIIKDGTEDEVFSVVNVIAEILVISPCSSSHFTSSGVIDAVGSIYCSPYSSRIKTVCSLLIFNILYSASAMTVYWEDEWLALTMKLLEYFNSSLDYTSSDQEQKILIGIFCLILHHSASKVLIEPAKAIILNRPLVSLTDGIIQEACAKGPSLLQYNQETDFGGFMILILQLVFFSLRSLHAILDPSIDWQEFLQHSDNTQFFSVVGIPCHDLCRLMHFGPYPIKLIASQCLLELLTRISDQRSYLNAELRCSAQYMKSVIAVIEGLVLSQDSRVAENCGSCLSIILGWEKFGSQENMVGRESKWSRLIMEEFAVALTAPGLTSKPFSNQQKIASNIAVSLLKLSQVPEWLTSLFDNSLISSVVGNLSARNVTADIVKLFSELMTKKYLTQEHVVSLHNLFQVCRRQVYERSSSKSELSEQKAEETVARSPYEVCALLFGIVLNQRTASCTLQMEQQNLLREIDLFFQESSQGE >SECCEUnv1G0537700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69726796:69728447:-1 gene:SECCEUnv1G0537700 transcript:SECCEUnv1G0537700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLALLLLLLPVVFLVVIARERSMFSKLNLPPGPAMLPVVGNLHQVGGLPHRRLRELSRRHGPVMLLRLGRVRTVVVSSAEAAKVVMKTQDVECCSRPASPGPKRLSYDLKNLGFAPYGDYWREMRKLFMVELLSMRRVRAAAYAREQQVAKLVSNLSRLAGQPVALNDHIFGLTDGIIGTVAFGNVYGADQFPHKGRFQEVLDEAMEMMASFSAEDFFPGAGGRLVDRLTGLFGRRERVFKELDAFFEVIIEQHLAEPARHNGGDLVDVLIGLWKEQHGTLSFTKDHVKAIILDTFIGAIDTSSVTMLWAMSELIRKPRLLSKVQDEIRATVGSNHLGRVQEHHVPSLTYLKMVVKETLRLHPPATLLVPRETMHPVQIGGYDVPAKTRILVNAWAIGRDPASWTTDSEDFNPDRFQGSDIDFNGAHFQFLPFGAGRRICPGLAMGVMNVEFTLANLLYCFDWALPDGMTPENVSMEEAGALIFHRKTPLVLVPTPHG >SECCE2Rv1G0084020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172421478:172421852:1 gene:SECCE2Rv1G0084020 transcript:SECCE2Rv1G0084020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNTAIFLLGLLLSCVTMSSGARILEEETSPSKGEEHLPELPTLPKVELPPFPEVHLPPKPELPKVELPSFPEVHLPPKPELPTFPEVHVPSKPELPKVELPPKPEMPTIPEFHFPEPKAKP >SECCE6Rv1G0431150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745722592:745723470:-1 gene:SECCE6Rv1G0431150 transcript:SECCE6Rv1G0431150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTVREVLYMYSVARQAYERFLSVCGSLEKAQNAVALLVWLDQGTISAIHHVPAMAPDAVAVVAEEANAVLGCLRHQEPALPPIPLISVLCMQGGVHIEPGFFAFHQDLIVRGVAHFLDGAGKYVFNNRLHVLLRRSETGLMVNPPELMAPYTSQPVVVPEDSRSMFITFSKGNTLHREEIFEYFRQKWGDCVVRVLMEKTKGGHMPMYGRIIFKTEAIVKLVLNGERLVKISIGQREIWLRKYVPRPTNAAA >SECCE3Rv1G0165480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:174821354:174823377:-1 gene:SECCE3Rv1G0165480 transcript:SECCE3Rv1G0165480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRLSVLVAALACCCLVRPSQCGGGSGGGGQNYTSMFSFGDSLTDTGNLLVSSPLSFTIVGRFPYGMTYFHRPTGRCSDGRLVVDFLAQAFGLPLLQPYLSRGKDVRQGVNFAVGGATAMDPPFFEGIGASDKLWTNLSLSVQLDWFEKLKPSLCSSPKNCKKYFSRSLFLVGEIGGNDYNYALFKGKTLDDAKSYVPTVSSAIIDATERLIKAGAMHLVVPGNLPMGCSSAYLTLHPGRSSSDYDSVGCLKTYNDFAQRHNAMVQQKLQALRLKYPKARIMYADYYGAAMSFAKNPKQFGFKQGPLKTCCGGGGPYNFNPKASCGVRGSSVCADPSAYANWDGVHLTEAAYHAIADSILHGPYTSPRLL >SECCE2Rv1G0119820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:794437431:794445193:1 gene:SECCE2Rv1G0119820 transcript:SECCE2Rv1G0119820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Actin filament bundling protein P-115-ABP [Source: Projected from Oryza sativa (Os04g0604000)] MSISMKNLDPAFRGAGQKDGLEIWRIENFKPVPVPTSSYGKFYMGDSYIILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYRELQGNETEKFLSYFRPCIMPQPGGVASGFDHVEVNEQEHVTRLYVCRGKHVVHVKEVPFARSSLNHDDIFILDTKTKIFQFNGSNSCIQERGKALEVVQYIKDTFHEGKCEVAAVEDGKLMADAEAGEFWALFGGFAPLPRKTASEETGEETEAAVKLLCLNQGQLEPIGFESLTHDLLETNKCYLLDCGAEMYVWMGRSTSLQQRKGASEAAEKLLTDDSRTKSHVMKMIEGYETVTFKSKFNEWPPTPDLKLSSEDGRGKVAALLKSQGLDVKGLMKSAPVKEEPESYIDCTGHLQVWRVNGNAKTLLASSEQSKFYTGDCYIFQYTYTGEDKEECLIGTWFGNKSVEEERVSAISLASKMVQAAKFQATMARLYEGKEPIQFFVIFQSLQVFKGGLSSGYKKFIAENGLDDDSYSEAGLALFRIEGSGPENMQAIQVDAVSSSLNSSYCYILHDGNSVFTWIGNLTTSLDQELIERQLDAIKSDLPSRSQKEGRETDKFWELLGGKVKYSNKKIEREQESDPRLFSCILPKDGNLRVKEIYHFTQDDLIAEDVFVLDCHSYIFVWFGQEVDAKVKTQAMDIGEKFLVRDFLRENLSRETTIFTVSEGSEPQFFTRFFTWDSAKSLMHGSSYQRKLAILKGGAIKLLDKPKRRTPAVSGRGAAQDKAQRSRSMSTSPECHRIRGRSPAFAALTSAFEKPSTRNLSTPPPAVKKLFPKSTGPDTSKEAAISELTSSLEGPLKRTIPKSVKASQEAGKAIQEEDGTGGDNEAEDDEGRTVHPYERLITTSDDPAPDIDITKREIYLSAAEFSEKLGMKRTAFYKLPKWKQNKLKTAVQLF >SECCE3Rv1G0162210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:127124144:127126862:1 gene:SECCE3Rv1G0162210 transcript:SECCE3Rv1G0162210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTNFRANRRRSRGRIRISKAENPRDAIAFPSISSIFLPPPLPQNSFLQFLARSPRFQSRKFSPPPGSFKPVFPPWQITLRPASLFRSQITDPNLAVPPMETAGDAAASGGRSIRDLPPLKRFKFVGSNLGSAPCMPLPAKKRGFPPLPEAAPAPACLPAKKRAYAPPLDAISPACLPAKKRVHVRPPPPEDSAPPPSVPSRKPPLVPAKKHVGVPPLPERVVNATPSVTAKKRVQALSPPEYAAAPPPVPVKNRVQVPPRTESFAAATPSAPAKKRVQAPSPLEHAAPPPTVPAKKRVHAPSPLGYAAAPLAVPAKQRVLVPSCPEGVAAAPSVQANKRVPWQSPAEDASALAPVCLPANKRVMSQFIPPSASPPLKSNGALVGAVKETCPQGFVESGAATYPSVVNGAEASKMTNKPDEVRDQVSQKSRRTNTAKRASDLHCKKLSDVISGMQSEVQAEELKKFEQASDLHCKKLSEIVGSMQSEVRAEEPKKFEQTSDLHCKKLSDAVNGTQSEVQVEEFICKKLSDAASGKQSGAQAEELEKFEQTSGLHCNKLSDVVTDKQSEVQAEVLQKFEQTSDLHCKKLSNAVNGEQSEAQAEVLEKFEQTTDLHCKKLSNAVDVKQSEVQAEALKKPERANDPKVAAPAREEDHTKEDEEVAAEGKQDALVEEEDDGILCAVCRSTDGDPSDPIVFCDGCDLMVHATCYGNPLAQSIPDGDWFCSLCSGRSAAGKKGKPARPPCLLCPARGGAMKRTTDGAWAHIACALLVPEVFFQDPDGREAIDCSLVPGRRFTRHCYICESSRGCALECSQPKCDLGFHVSCGLNGGLCIEYREEKGGGVVAGFCREHTKLWEKQQLTGKYKIVSRGQ >SECCE1Rv1G0011850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:82806772:82810540:-1 gene:SECCE1Rv1G0011850 transcript:SECCE1Rv1G0011850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAKPAARTTVNVAGAVYRVQLALLDGAAASNEPLLHASAAILSRADYDDVVTERSIAEACGHPACTSSLPDAANPKAAPRFHISLREHRVYDLEEARKFCSERCLVASAAFAASLPPDRPFGIPPNRLDALVELFEGSGDGPGLGFRTDGGKKEDKGRKVEIVEKETPGPGEVTLQEWIGPSGAIEGYVPRHHPIHEGPMPQAKQGKASRAGQSRSKNVDSGAVVPGEHSMSVSSSSVEAQVGSEDIAKRIDDMVLHENTKGKKKEADKAPSKIFQQDEDNDMLSSCITDSIAKQLEHIVLEEKNGKKKKKSSRASSKSCKSKPARKPAGSNGHEVGFTSTIIMGDPALVKMDQGPLGQYDFSSSILADNQPSSSQYTVIRDSMHAYAEEQHGEFSKAAGLEKNATSDEKVSAALKSSLKAIGSKNRSQSVTWADENGTILEVSKAYDIDSDAKNLSVEDMDRSLRRESAEACAAALIEAAGAISSGTLEVEDAVSKAGITILPDRLHQKQFEKDTVEKDVFETDSDVVKWPTKTVLLDTDMFEVDDSWHDTPPEGFSLTLSAFATMWTTIFGWVSRSSLAYVYMLDDSSVEEMLISNGREYPEKRVSKDSQSSEIKRALASCISNALPALVSNMRMQIPVSKLETTLGYLIETMSLVDALPALRSRQWQLLVLVLLDALSVHRLPALAPVISDSKLVQKILNSAQVSREEYDSIVDLILPFGRSAETPMSS >SECCE6Rv1G0411360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606677438:606679527:1 gene:SECCE6Rv1G0411360 transcript:SECCE6Rv1G0411360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQAQQQQSQSLPPYSLLPGQPPSDPFAAPHPPPNAEGRRPGDDAAQGKTRIKPPGELHPRRADADPPAAAADPAATRPALPPPPQGGNVVAAVGRSSGNGDGGHGHGGGAGAQRQGAPAAAQGHTHANANAHESLENGHCNVPERPVVGAGERLVIPAMPVVPVNVKERVKIGGGGAKKRGRGPPVLMEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRGQRSASRTEPSSWTNGTATLGRTEPSIRKKAAQPSVHVVAAAPTANGRAGAPKLGRTEPASSRKNIDALAMVAAASDLPNLRAAPLAAEVD >SECCE5Rv1G0363570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:794320140:794331574:1 gene:SECCE5Rv1G0363570 transcript:SECCE5Rv1G0363570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASTAPWWLTTRTCDLPSAAATGVLDWAAFLFLSTCSQRLMLSAAAAAFLVAVLCLAVGKVLSRRRDGAVNGNGHNGADKPLLDRGRPRAVRVGAGFVLALAASAGLTAFYGVLLVLSIVMRGEVQEAVFLALQCAAHLAAAALVGHEKRFRAAAHPLTLRLFWLAAAALTALLAGAAAARLASGAGALPDDALAIAALVLSLPLPVVAILGATGITEETEAANDGPSEQEGANDAKEKNTTPYASASWLSRMSWAWMNPLIQRGYRSALDLSDVPTLAPAHRPERMHALFLSHWPSAWARKDNNPVRHALLRCFWPLFVLNGGLALLRLTVMYVGPTLIQSFVSFTSAAERRPLWEGARLVLALLAAKAMEAVCSHQYNFHCQKLGMQIRGALITALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYIYLGPPVTSALVGVFGAMAFVLLGSRRNNRYQFSLSGERDRRMKATNEMLSYMRVIKFQAWEEHFNARIGRFRRLEFGWLTRFMYSISGNIVVLWSAPTVVSALVFGTCVAVGVPLDAGLVFTATSLFKILQEPMRNFPQAMIQASQAMISLQRLDSYMTSPELDEGAVEREPAAASRDGGVAVHARDGVFTWDDEETEAGKEVLRGVDLEIRSGKLAAVVGMVGSGKSSLLGCILGEMRKVSGKVKVCGTTAYVAQTAWIQNGTIEENILFGLPMHRERYKEVIRVCCLEKDMEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSEIFKECVRGALKNKTVVLVTHQVDFLHNADIIYVMKEGTIVQSGKYDELIQRGSDFAALVAAHDSSMELVEGAAPVSDEKGETPAVSRQTSGSGSGRRPSNGEAHGVVAEKASARLIKEEERASGHVSLAVYKQYMTEAWGWWGVALVVAVSMAWQGSVLASDYWLAYETNAENAASFRPALFIEVYAIIAAASVVLVSGRSFLVAFIGLQTANSFFKQILNSILHAPMSFFDTTPSGRILSRASSDQTNVDLFLPFFVWLSVSMYITVISVLIVTCQVAWPSVIAIVPLLILNLWYRGYYLATSRELTRLESITKAPVIHHFSETVQGVMTIRCFRKGDSFFQENLNRVNSSLRMDFHNNGANEWLGVRLELAGSFVLCFTALLMVTLPKSFIQPEFVGLSLSYGLSLNSVLFWAVWMSCFIENKMVSVERIKQFVNIPCEAEWRIKDCLPVANWPTRGDIEVIDLKVRYRHNTPLVLKGITLSIHGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGVDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLEEYSDVEIWQALDRCQLKEAVTLKPEKLDASVVDNGENWSVGQRQLLCLGRVMLKHSKILFMDEATASVDSQTDAVIQRIIREDFAECTIISIAHRIPTVMDCDRVLVVDAGLAKEFDRPAALIERPSLFGALVQEYANRSSDM >SECCE1Rv1G0016260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:136323182:136325911:1 gene:SECCE1Rv1G0016260 transcript:SECCE1Rv1G0016260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEHKGETSSGMYTYKHRGDKGVDIHEIFVKKSRTRVLLSYCGLILLLAIVCRSLLGKEKLCLESVWSVTFGVLVAKCLQYKPVKKDSVVIMPSFGVQLEIHFWSGRVDRRFVPIGKILKPLLNECVTPVTCYWSLALLLRDEEELKLVFQKFRPPVKMLVPIWRALCAFTDSECTSRRSAVSKPNRSEA >SECCE3Rv1G0161370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:116523754:116526927:-1 gene:SECCE3Rv1G0161370 transcript:SECCE3Rv1G0161370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLVADLREKCATPPSLLRDVAEAMADEMCAGLEMEGGSRVKMLLSYVDKLPTGREEGLFYGLDLGGTNFRVLKVQLGGNDKHVISRESREVAIAPHLMSGSSSELFGFIASELAKFVADEEKGTSLSNGKTRELGFTFSFPVRQRSIASGTLVKWTKAFSIEDAVGEDVVADLQTAMKKQGLDMHVAALINDAVGTLAGARYYDEDVVAGVIFGTGTNAAYIEKANAIPKWEGELPNSGEMVINMEWGNFYSCHLPVTEYDQALDNESLNPGEQIYEKLTSGMYLGEIVRRVLLKMSLQSSIFGEIDHTKLKTHFHLRTPHISAMHHDETPDLKIVAEKLEETLEIAGTSFETRKMVVEICDIVARRAARLAAAGLAGILKKLRRDGSVDKRRSVIAIDGGLFEHYAKFSKCLETTLNELLGEESSKFIVVKHADDGSGIGAALIAASQSQYRNVE >SECCE2Rv1G0072750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:61053065:61054740:-1 gene:SECCE2Rv1G0072750 transcript:SECCE2Rv1G0072750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILVVGLLAMFQAASGAPWFWPPGGDDPGGCLSWRVMVEANNAKFWRTVPAPCVGYVWAYMSWGQYGRDVGSAADQIAAYASQAPAAGDGLDAWVLDIDDTCLSNLPYYQAKQFGAYDPAAFKAWASRGTCPGIPAMVRLFWALKGGGFRVFLLSGRDEEALGASTAANLAAAGFAGYDRLILRSAAYRGQSSVVFKSAERRRLAAEGYRIRGNVGDQWSDLQGDCAGDRVFKVPNPMYFVP >SECCE5Rv1G0366280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:812516484:812520748:1 gene:SECCE5Rv1G0366280 transcript:SECCE5Rv1G0366280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLSACWWPPHFKSPRLENGAAGEDGSGVPVFAEYSLDELRAATDGFATDRIVSEHGEKAPNVVYRGTLFSSGATVAIKRFGRSAWPDARQFVEEARAVGLLRSGRLSNLIGCCCEGGERLLVAEFMPHDTLAKHLFHWETKPLSWAMRVRAALYVAQALEYCTLKGRALYHDLHAYRVLFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVIPESVVYSFGTILLDLLSGKHIPPSHALDLIRGRNISVLMDSCLDGHVSSSDGTEMVRLASRCLQYEARDRPNLKAVVSGLASLQKDASAPSHTLLGISQDAVKENAEQVSFSATEKAYATADLEQVHELLENEGYDEDETASFNVSLSSWPGQPSESIQVKKNGDDAFQSKDFTTVLECYSRFIDTGAMESPTMLVRRGFANVVLGRMEDALEDARRAEGISPEWPTAHYLQGMALIGLGMELDGHEKLRIAASLEAQRTGRN >SECCE6Rv1G0386950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:103637233:103641026:-1 gene:SECCE6Rv1G0386950 transcript:SECCE6Rv1G0386950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTAPKSTPLSPPHLLWDWGDAAAPGSSGEAAGRRGKEKRARGEGGGGGGGGAGVRCQVEGCGVELRDAKEYHRKHRVCEAHTKFPRVVVAGQERRFCQQCSRFHALSEFDQKKRSCRRRLSDHNARRRKPQPDAFSFAPARLPSSLMFDDRRQISFVWNKDPLSHSRPFPCSPWDSPSDFKLPQVKEIREVSINGQVHFDKSHLPNAVPALSHDIAELLPMKGPDASVTASKLGGAPDLQRALSLLSASSCGLPDPVQQASCLVQFTGASQNNRGPSPHGGSPQSASCAEGQPMAPSPQFVRFTMDGASSGYESTFFGVNRMN >SECCE6Rv1G0453390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:882645864:882646962:-1 gene:SECCE6Rv1G0453390 transcript:SECCE6Rv1G0453390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYIYWSEYSRFLRLEQENSRLSNEKRELERQLAEKTRTVQVSSTQVFTLGNKVRELERQNTGLSGELSKQRDTLGHKVRELERQNTGLSGELSKQREDTRKAGLLFMNAADRYQEEAKKQIRAMAEELENTRKAGLMLMNSADTYQEAARNQMKKKAEELEDARKAVLALMKAADAYQEEATKKIKDKVQELKVMGAQKAELDARVESLESRLKAALAKNLELEDDYGKVKAENDNLRLEVEKDASAKAFDADKEEILTELEDLKMKVEVTQANKDSMEGENDKLQLDAFTGI >SECCE6Rv1G0412790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:617553042:617554465:1 gene:SECCE6Rv1G0412790 transcript:SECCE6Rv1G0412790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAAAAAAAPAAASYDDEVHSRAALREAFGDSSDSDSDAPRGAASTPPVHGAGRERWRWAAVAGVRGLWLCAAFLSPGEQSRLLAAIQREEWFSDAHNQAMRFGDLPPWAVELSALVREAICVGGVDASVGAGWMNEDEDACPLPSDLLWREPLFDQLIANTYKPGEGICAHVDLMRFDDGIVIVSLESACVMRFSREGAACDTQKPGESECTNVPVYLNPGSLVVMSGDARYHWKHEIDRKPGAQLWNGRELEQDRRTSVTLRKLRASPN >SECCE7Rv1G0497930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:633691921:633695721:-1 gene:SECCE7Rv1G0497930 transcript:SECCE7Rv1G0497930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYRGLLLLLMALLPSAHGAAKAKSKISAAFVFGDSIVDPGNNNGRLTEAKADFPPYGQDFPGGVATGRFSNGKVPGDMLASRLGIKELLPPYLGNDLPLSELLTGVVFASGGSGYDPLTSIPATATSSTGQLELFHEYKERLRALVGEEEMTRVTSEGIYFTVMGANDLANNYFTIPLRRHQYDLPSYVKFLVSSAVNFTTKLNEMGAKKIAFIGIPPIGCCPSQRELGSRECEPMRNQAANLFNSEIEKEIHRLDAEQNVQGSKFIYLDIYYNLLDLIQRPGFYGFKEVTEGCCGSTVLNAAIFIKNHPACPNAYDYIFWDSFHPTEKAYNIVVDKLFQQNMQYLM >SECCE7Rv1G0491940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:541206101:541208128:-1 gene:SECCE7Rv1G0491940 transcript:SECCE7Rv1G0491940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MELQLTPLRSLPLHYRTTTKATATATANTVSACSVESQALKPRPQLPRQAPSHSRRRSSPVHLPSLCAAIERLVAEGRHREACDALRDARAGAPFVALPASTYDALVTAASALREAASAAGVLWHMESSGFEPDQYMWNRVLGMYLVCGMLAEAREVFDGMPTRSRVTWGVMMGGLVDAKRPRGALALFVELWEEVGVGAGPRVVVVAVRAATVLGSVHAGRELHCCVAKMGMCDDQYLPCALIDMYSKCGRIDEARRVFDEIPWRSVVAWNTMLAAYSLHGRSEEALDLYHDMCESGAGLDQFTFSTMLRVFSRLGLLEHAKQIHAGLIQSGLQMDIVGNTALVDLYCKWGQMEDARHVFERMPSRNLISWNALIAGYGYHGMGSKAIEMFERLLAEGIAPNHVTFLGVLNACRFSGFIDKGKRIFQLMTQNPKTKPRAMHYACVIELFGRQGLLDEAYSVIRKSPVTPTANMWGALLTASRMNKNIQLARLAAEQLLAMEPQKVNNYIVLLNLYMNSGKQDEALTVVSTLKRAGLCMSAACSWTTVKKKDHRFFFNDSLNPKSSEIYQRLDTLMKVIKELGYVIEEDELLPDILPDEQKTLKMFHSEKLAVAFGLISTSPSAPLTINQSHRLCHDCHNVIKFVTQVTKREITVRDASRFHHFKLGTCSCGDYW >SECCE7Rv1G0505240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:731949501:731952646:-1 gene:SECCE7Rv1G0505240 transcript:SECCE7Rv1G0505240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGHNDPLLGETTCGSLLQQLQLIWDEVGESDDDRDKMLLQLEQECLDVYRRKVDQASNSRASLLQQLANSKSELTRLLSSLGELSISGVIVPDKTTGTIKEQLAATSPFLEQLCRKKEKRVKEFADVQLQIQTIRGEIAGTLQVGDHLEMPHINEDDLSMKKLNECLFELQALQKEKSDRLHKILDFVSSVHDLCSVLGMDFLATVTEVHPSLNDSVGAHSKSVSDETISRLSKIVTELKEEKVKRLGKIQALASQLTDLWNLMDATVEERQLFDHVTCNMSSTLDRVTVPGVLALDVIDQAEHEVERLDQLKASRMKDIAFKRQTELEDIYAQAHIAIDTSAARDRILSVIDSSMFEPSELLADMENQILKAKEEASSRKDILEKVDRWMLACEEESWLEDYSQDDNRYSATRGAHLNLKRAEKARVLVNKIPAIVDMLVAKTQAWEQEHNTAFAYDGVALLAMLDEYRILRQEKEEEKRRMRDQKKINDQLAAEQEKLFGSKPSPARPQSAKKPPGPRANGGAVNGTPNRRLSVQQQNGVRSASRDGRRESVRPSAPVNYVAIAKDDAASQASST >SECCE5Rv1G0335910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:580496885:580498519:-1 gene:SECCE5Rv1G0335910 transcript:SECCE5Rv1G0335910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPILKTAIVKKRVKHFKRAHSDRYIGLKQSWRRPKGIDSRVRRKFKGCTLMPNIGYGSNKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTQKRKAIVERAAQLDVVVTNKLARLRSQEDE >SECCE5Rv1G0365410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:806960023:806962951:-1 gene:SECCE5Rv1G0365410 transcript:SECCE5Rv1G0365410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQARAARNDLEHMLSDEKEHPKALPLSLLEDITDGFSENHQIGSGGFAVVYKGMLENGIVAVKKLFERFDIPDNKFMEEIRCLMRVKHKNIVRFLGYCANTQGEIVDHAGGVVMADVRQRLLCFEYVPKGSLDEYIKDAPNGHDWIKTYAIINGICEGLHYLHQNHILHLDLKPANILLDANMMPKITDFGLSRCFDEKQTQAITKNMAGTLGYIAPELHWGAIYTITKKLDIYSLGVIIIEMLTGKKWYPSYPDVDNVLESWMNKLGKSQRDTQLKQIRLCTELGIECTDGNQVNRPDTSQIINRLGETENACIMTGVSSLTVPQVTSIVECI >SECCE3Rv1G0168030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:214038784:214039181:-1 gene:SECCE3Rv1G0168030 transcript:SECCE3Rv1G0168030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQQEGKRIQPYATKNVLPSSSSRVSRKTHQKYEETFAHTKNSTKSPTWITLKDYACEDNTKKVHQERPLRSTKYIFEYMTKEFKHVQIVLDKEDKSVDYSKEL >SECCE2Rv1G0069640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:30609981:30611813:-1 gene:SECCE2Rv1G0069640 transcript:SECCE2Rv1G0069640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAVGAASRSTSSSTTASKAEAEHAEKLRLIEEMTSDVDSVQERVLAEILAQNAGTEYLMNCGGPADRAAFRAKVPVVSYDALKPYIQRIANGDRSPVLSTRPVSDFLTSSGTSGGERKLIPNLEDEGSRRRRGLLFSLRAAVINSHFPGIDEGKGLYFLFVKSETKTPGGLPAWPVLTSIYRSEEHSKKPSHINYTSPWAAVLCADTFQSMYAHMMCGLCQRHDVLRIGAVFASSLLRAIRFLQLNWEQLAEDIEAGALTPRVSDASVREAVAGILRRPDPELAQFVRDECRKGEWAGIVTRIWPNTRYLDAIVTGTMAQYISALKYYGGGLPMVSTTYASSECYFGINLRPLCDPSEVLYTIMPHMAYFEFLPVDEPATASQPVDLARVEAGREYELVITTYAGLNRYRVGDVLRVAGFHNAAPQFRFVRRKDVLLSVESDKTDEVELQRAVERATELLRPHGASVAEYTSHACTKHIPGHYVIYWELLTTGAGEVPKETLDGCCLEVEEALNAVYRQGRVADGSIGPLEIRVVRPGTFEELMDYAISDGASMNQYKAGKCPK >SECCE2Rv1G0089710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:261031346:261032167:-1 gene:SECCE2Rv1G0089710 transcript:SECCE2Rv1G0089710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVSSSSLKSVLSCSEAEAQPEESSWTDYFVDFMMSEEEKKRQGASYCTFDQDDEEEGSMISDAASLAPAALPDRYKGLKKLKKKVFKALDHDDSLEDTASSPVNSPKVSALSQLEFSPKRRCNVMDLAKGAGIGNDYGRDGMECEDAGAVMEGVRFLDQSQRGITPCAELKDKGLCLVPLSMLLNYQG >SECCE3Rv1G0189120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721275358:721281963:-1 gene:SECCE3Rv1G0189120 transcript:SECCE3Rv1G0189120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQMDVDGQPSEALEEMDNMENYTSENEICGICRDIVIDRGVLDGCQHWFCYTCIDNWSAITNRCPLCKIEFQNITSTPVYDSTGTGDTIEDDYPLTSGDDDWYEPGESNTLSFPSYYIDAEAVVCLDGGDCIIRSGLVATEDNSALDTSIACDSCDLWYHAICVGFNPETTSEDSWLCPRCVSIEAKNESEVVLKQNISGDSDRTSTDASFSGRVSVSVADDGETALVVSMVGVNSESKDDLLEGSLGLKTAQEAFYCNSHPSYSIDDLSHEVVANACIPRDTDISCSSHNKSSETNLAPMVSSEPTQRSSELTAMRESACILFSAEHGSISNEQPEVPQDGLSYSLLCSSKEAESTGEDAALPRNSNGKSPVIKSAQLSSAASKVARSADVDMINSDAVQKRKNDQNTQLPPMQDRQNTSDMESGGEISHPAKKAKLGVPDQEMYLIANSGVSSSDCHATSIAAEVIASDTSKIATQNKYVPDIMSIVEGESYMRDPGRELAKPVGRRAGDKPGLRMKKILHKDGKESTAVVQKLQQEIREVVRDNGISILEKDNAFDEKLLTAFRSAIGKSMDGPAKKPNLSLARKSLLQKGKIRENLTKKLYASSTGRRRSAWHRDREVDFWKHRCSPGINPEKIETLQSVLQLLKKSSDTGTRKESAEEKKAFLSRLYLADASVVPRKGDIKPLSALDDSKSTNKPAPVTQTIKINSPNSTGKLLSSSTLSKEALIRRENKNGQAPQNKQNQSAGDIKQDKRKWALEILARKNASSIASKDQTEGTDDLSRNYPLLAKLPVDMRPQLTTGRHNKVPMSVRQAQLHRIAEYYLQRANLDVIRRCADTELAIADAVNVENDIHGKSSSKSVYVNLCSQATRQSAKPKPENDASTLTEKAEVSSDLISQQVTTENTNSGSSNVEEALDRAGLLDIPATAGQTDKSELGGVLEQNVSENTVCFNSVEEALKRAGLFDSPPNSPERKSTTAEGNSTIVSGSPISTQQKYTNTDDTYLVNLDSEPSRSLQSSSDSRVRDASPLKDEDDSSVQVLDDANCQNLDKRSSCQQSKCNSEDDQKLEPSGETTDATANETLSVNLAEADKCSLQCEKTSQTDKETVADIPDECTKHVDNSKEMDITVSDLHNKSCHGNNVPKEGEGISQAVKLEPGKEKSSSDNQELNRKHSKGDKSSSHRAESVESLKKRAPDSGNNSASDLSSSIHKKVEMFVKENIRPLCKSGVITVEQYKWAVAKTAEKVMRHHSEAKNANFLIKEGDKVKKLALQYVEAAQQKMS >SECCE6Rv1G0428500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:727877322:727879638:1 gene:SECCE6Rv1G0428500 transcript:SECCE6Rv1G0428500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIMNTAGGKPYPGKLTPYVIFTCVVASTGGLIFGYDIGISGGVTSMDPFLLKFFPEVYWKKQEASKSNQYCRYDNQLLQAFTSSLYLAALIASFFASTVTRVLGRRWSMFAGGFAFLVGAALNGAAQNIAMLIVGRTLLGVGIGFANQSVPLYMSEMAPARLRGMLGTGFNLMITTGILTAELINYGTNKIKGGHGWRFSLGLAAVPAAVITLGSLFLPDTPNSLMERGHPEASRRMLRRIRGTDDIGDEYADLVAAREVSKLVQHPWRNIVLRKYRAQLTMALLIPFFQQLSGINVINFYAPVLFETLGFKGDASLVSSVMTGGVLVLGSLVTMLIVDRLGRRKLFLQGGAQMLVSQVAVGTLIAAKFGTSGVGEMPKGYAAAVVLFICLYVAGFVWSWGALGWLVPSEIFPLEIRSAAQSINVSVNMLFTFVIAQAFLTMLCHMKFGLFYFFAGWGVVMTVFVALFLPETKNVPIEEMVLVWKGHWFWSRFVGDDDIHVQEP >SECCE3Rv1G0159000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:93574926:93575141:1 gene:SECCE3Rv1G0159000 transcript:SECCE3Rv1G0159000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDDTCGKKASWPELVGKSIEEAKKIIMKDRPDVKIIEVFPVGTAVTEDFRLDRVRIFVDTVAEIPHIG >SECCE6Rv1G0415870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:644893054:644895556:1 gene:SECCE6Rv1G0415870 transcript:SECCE6Rv1G0415870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGHCSPLSNAKRKFPPGSPQRPSAKRRKPRLRISSLPNDILSSITSKLSLKEAARTSILSSLWRRVWLCRDYIDLSHHTVLSESDKERSWTSPRGTKLNRRKFIKSVGALLQQHEGVGTEDIRIRHDLCDRYAGHIDRLLNYAIASKAKGLVLELWAVNYGPTTVPYNFPLQVLDIKNSNLRRLELWFVSLNPPAGYRGFQNITKLCLRDVSITNEDVQRLFSEGNHLEYFRITYCEMLTSLRIPHCLNRLKVLLVLSCPLLQDMAIDCGLPALHYRGSLVPMEFAAPLKLRKLSVELLTCHSALGFIFSELPSTLPHLEMLSLTCTQLERVDSVSRLSKFRSLRHLILNWTISTSARGTTDILDLGCLLEAAPFMEKLELHMIMDHFLHKRYCHEDGELRSLPSCPHSHLSLVHISGFIGEKDQLELALHILHNAMVLKSLKIDPWPRTANPLRARVKSEDRLASGRSVALEFLCKDDHRDVVSVLEDAGE >SECCE4Rv1G0244800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:521041649:521050553:1 gene:SECCE4Rv1G0244800 transcript:SECCE4Rv1G0244800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIADEDSSTGIDLICALCDNGGEIASCEGKCLRSFHATEDASEDCKTLGYTRNQFDAMKVFLCKNCEHERYQCFACHRLGSAKTDPPEVFPCASASCGHFYHAKCVAQLLFPENEAKATEYTTRIINGAKFACPIHKCDVCKYGENKEVKELQFAVCRRCPKSYHRRCLPRKIVFDDVIENGVCLFQRAWDGLLPKNRILIYCLKHNIDPKLRTPLRDHIKFPDGPVTKKSSNVNGLRRVKIRRLDDCLPVPSSSSKRPLGTSTCSSSINSIAKRKKEHLAGGTKHPSMQKSVMSVIPISTFPEVDISTATRIYEFAQKASSNITIEDVQKQLVVSSTYTSFMKNTDKVTLGKVERSVEAVKTAVHMLESGADIEEAKDVCSPYDLFQLAKWKNKLNIYLAPFLHGTRYTSYGRHFTKLDKLEKIVDKLQWYVQSGDTVVDFCCGSNDFSTLLKEKLEASEKNCFYKNYDLIQPKNDFNFERRDWMTVQPDELPAGSRLIMGLNPPFGFKASLANQFINKALSFKPKLIILIVPKETERLDKKYPPYELIWEDSNQLAGKSFYLPGSFDADNKQMDQWNLSPPPLSLWSRSDFAQKHNEIAKSKGHLCSRRPCYNDSQRDITGNPYMSTFGDLEMGSEGEACIPDEEMHGERQAEASVIDQLLADTYHDTTSSPGDYWTDTNGRSGQPRNYDTPGGNDPPTHEYFAVRAAESDMSISLSGRSASRNQNQTVSTSDHEPTNDHIASVSAQQPTDPADCDEVTSADAQHGLGDPPSAPENAAGVQYGILEDSPPEEGQLTPAQLNDLSSTDGNAAGVQILEESTLEADAPVAAANLPLAHTFPGLQFASAPTWPGCYAAREVLSRGMGYPTFHQGASYNLLEK >SECCE1Rv1G0050980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:650768206:650770457:-1 gene:SECCE1Rv1G0050980 transcript:SECCE1Rv1G0050980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVNQGWTSSEEEEARSVIAEHNNRRIMYDDKNKRHDNIVDALHELFPSKTRQQVTDLYVNLAVESYMMHLREERHVISGNTCSVFPINLVNNDFGVSGEEIGASSICGINTMGGHVIDGYAIREEESATMDDNGLIFGHALEDTRITEMEEAMFMVDKNKMPMLENSIPSDQPIVPPRQGRFWTIDEHKLFLRGLGVYGRGDWKNISKHFVTTKTHVQVSSHAQKYFRRLHKRTSFGTQRYSINDVGLHDDDPWSANNSSPPSQMLGLTGLNNATSMQAPASSSIVMNNQPQLWPPFIYTYQENQQPVWSEHQMLGSAGVVMDDMGNSAPPCQ >SECCE4Rv1G0264190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:719591232:719593908:1 gene:SECCE4Rv1G0264190 transcript:SECCE4Rv1G0264190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGGGIAGLLFWCCCYCLVAALAAAGGGGGGPRTPRYNAIFNLGDSTSDTGNICPRGRDEPAGVLGIFARIPYGITYFGRPSCRCCDGRLNVDFLAQAFGLPLLPPSRARGEDFRRGANMAMIGGTARDYSGTSMFTGYGVHLDGSMDSQMDALRRLLPSICGTRQSCKEYLAKSLFVFQLGENDYNIQLVNGSTVHEARKSIPSIVNTITSGVEKLITLGAVDIVVSNVAPMGCYPLYLNFFESSDKGDYDEYGCLRNHNALFDRHNSLLKNGLKKLQKKHRHTRIMHADLASHVYQMVQDPKKFGFGIGTILMGCCGKANAPNGFDLLAMCGMDGSSVCHDPGSHLTWDGMHLSDAANKHITEGWLSGLYCHPPILQ >SECCEUnv1G0528680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:5612413:5615506:1 gene:SECCEUnv1G0528680 transcript:SECCEUnv1G0528680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPPPELQFGRTIYIDCSTWISKRVMQRRIAEELKLDHETMALFDKQDEEDDFYGVDQGSRDVIWMVAAIIDQTLRESRFLMVFLNGSDEEVLLSPFGIPEYHCIVIWAFRRWLFTIHDRDKIAEKLRFTSLHISGAYSLPSSHTIAVFCEEAGNIVARYPCMWDMDPTVITVCCLYRLLMQCIFQSQTIFTWTSTHAPNYWMCDGMIEGSRAREICKALHEEISFSECHGYYFLSMYINLKNDPNIPFLVLTDADLSWAKGSPRWVSITLKYKKLMEDTKNLLTRASSIFIQFQGTNSSSGTSNISALPNCLFQGCDNLAVLSLSCCSFSFASPPFLHREKLRFLGLDHCTDLDKTTLEEQNCNTKWTCLHSLCVLDLRYTCWEEILSEEKVDLMTNIMELNIEGVRGWQYTNKLQKRMPYVQRLRIIKPPCQANKTSEDINGSFRDKAKLEILDLSGNNDMENLPTSLSMASKLEVLVLDGCDGLENVVLTNSSLRSFSFDGYGAASHWTSAGELPPESCRPKPPPADVDKKDVKTSKISLEGCAQLEILFLRGLPNLVELDLSGSAIKVLDLRTMVMDVPNLKRLFLVGCEHLRSIRWGSYVETLKLELLCIDTRPWAGRASILHHEAFHLQVHAITADARFARSLKYLIKLAEDYGCYLNISITSSSVSAGVAQPEEPNTGKVAVAELYDDICNEVVPMWDFPQPPTSESDRHIEIGDGSCNVESELSSFGDSLGFLIRKYAQSMHVHDASIEGPAMPGGYMEELTWCRVERCPDLETVFPLGENVNAEAGGSYLETIWASDLLMARSIWTKGSRSGYDFTNLQHLHLHSCPSLEYALPVCKGNLFPSLKTLYIISCCFLVHVFVQTNKENHPTSVEFPKLTTIHLHDLPSLQQICEDAETQAPALESTRIRGCWSLRRLPALKGREPGTRRPTVEIEKDVWDALEWGGMVSRHHPSLYEAPVQSRYYKKRPMPRGTVLR >SECCE3Rv1G0172980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:312186758:312188530:-1 gene:SECCE3Rv1G0172980 transcript:SECCE3Rv1G0172980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPNRRRLYQVWRGSNIFLCGGRLIFGPDAGSLFLSTVLIIGPLVGLCCQCITKINSATSDQKNVLGLPVLVATVLLGLADLAFLLLTSSRDPGIVPRNARPPECGDEQQVVDMTTPSTEWVNAASPHLRVPRSKDVVVNGCVVKVKYCDTCLLYRPPRTSHCSICNNCVQKFDHHCPWVGQCIGLRNYRFFFLFISTSTLLCFYVFALSWLNIAAEREEYGGSLLKSMGGEVLSVVLIVYTFVSVWFVGGLTVFHLYLMSTNQTTYENFRYRYDKKENPYNSGALANIAEVFCTRMAPSLNRFRSWVSEDDDAYGSGGVLSPMSGGLDLEMGRKGVHYSPGGVPSILQGMDYSEMEKMDGVGVHVKDRQAAPEASDLFMISPARQHDVHCGQGERSPATVDDQDAERTLVSSNANSER >SECCE1Rv1G0035230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:497128055:497129472:1 gene:SECCE1Rv1G0035230 transcript:SECCE1Rv1G0035230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGWCCCLQCIHNIPPLNLLFLHFSSAPRTGREGEEGAPTLNSMASISVPDPVPSPTEDAENIRKAVQGWGTDENALIEILGHRTAAQRAEIAVAYEGLYDKPLLRTLQDELSSHFKGAMTLWAMDPAARDAKLAYKALRKKGGDRHAWVLIEVACASSPDHLVAVRKAYCSAYDSSLEEDVAACPLYKDPLKQFLVRLVSSYRYGGEHVDGELARAEAAELHGAVATKKQPLHGDVVRIVTSRSKPQLKATLQHYKQEHGKGGARFLRGIATTSSRRC >SECCE5Rv1G0320150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:382310526:382313363:-1 gene:SECCE5Rv1G0320150 transcript:SECCE5Rv1G0320150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDDLAALHEQAALASSAAVSASDLDFAFQLQVAEAIQASLRANSNPSSSSSAATAAAASSPFQSAPVLESSDVAYALAVHAADLARAEEDRLEAQRLRAAHAQAAATVRIAAHDAVFARELASVSEDQWARDGDNIERPLDPTKPLFRVFFKGLSSKGVVGPRDRDPGVAVLAVAVCDRQGNVVLRIQKPVEASVGGHMTLEVMALTEGLEAALGLGIQSIKIITDYRVLYNHLLGIWRPTQKKIADMIDQVLSVRKKFKQCEVLFVERRQLEYVMKLARESVESQLAKAITVHTGMEMRENCAICLEDTDVSKINAVEGCAHRFCFSCMKEHVKVKLLHGMLPACPQDGCTKQLTVEGSKVFLSPRLLRIMVQRIREAQIPLTQKIYCPYPKCSALMSLSEVIQPMQESCSKYTVADSATLRKCVKCRGSFCISCRVPWHDRMTCSDYKMMHPHAHSGDAKLENLAECRLWRKCVKCQHMIELAEGCYHMTCVCGYEFCYTCGKEWKAKKPTCSCPLWDERNLIRNDIRGNVVRENIQEDEDEYDDDEDDYYVQEGAHYNQGFR >SECCE4Rv1G0219430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:30753218:30763885:-1 gene:SECCE4Rv1G0219430 transcript:SECCE4Rv1G0219430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPDGSNSPRTPTSAPGAASSAASPASSSASSRSDKKKPKSETPKKRDKLFGSAAVAVPSPRASPASSTSSPSASDARKHHLRDAAPAAALSPILASSLGLNKIKTRSGPLPHEGQRMAAALGSSNLARGHSQAGTTSGKKAVSSWADSTSAGSASNRGKGKAAEQPVQPVRGAAGTAVEAEGKSAAKAKSNSFRNHSGDLRTPPQVPATVSAYDACETPKESESPRFKAIMQATSAPRKRNPSDIKSFSHELNSKGVRPFPFLKPRGVYNLKEVLKVIQVRFEKAKEEVNTDLAVFAGDLVSVMEKYADSHPEWKETLEDLLILARSCSVMTPGELWLQCEGIVQDLDDQRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEIVMDQRDKIIQSADNKILTQSGPHATTSRTSKSDARKSYSQEQHNMKWRRSQEIKPVKILLPLDTDIKKEVESPTRERISSWKPFPSPVPKPPKEPTPIKEESPTKKIDTLATIPSGVELTSPVESVSHQPLPSKHQHKTSWGHWSDQPNISEEGSIMCRICEEYIPTNYVENHSAVCAIADRCDQKGVSVDERLVRVAETLEKLVESYTQRDLINSVSSPDAAKVSNPSINEESDGASPKLSDWSRRGSADMIDYLQEADNTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESNDLPQIVELADIARCIANTPLDEESALSQLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLQLCDSVDMDKVDSSSTIMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYLAEVVLALEYLHSMQIVHRDLKPDNLLIAHDGHVKLTDFGLSKVGLINSTDDLSGPAVSGASLYGDDEPQMNELEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELLVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSFEAKDLIDKFLTEDPHQRLGSDGASEVKQHPFFKDVSWDTIARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSENGSLSGSSSCVSNHQDDMGDEHGGGPTDFESGPNVNYSFSNFSFKNLSQLASINYDLLTKGLKDEPPMKPET >SECCE3Rv1G0181410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:612720552:612722911:1 gene:SECCE3Rv1G0181410 transcript:SECCE3Rv1G0181410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVAATTLGVGGDVSSPSSLSLLCGVALLLVLLWAVSRAAETCWLRPRRLSRALRAQGLGGTAYRFPAGDLADNGRLNEEARAKPMPPCHDIVPRVAPHLLNIVKEHGNVCITWFGPILRVVIAEPKLVREILTDKSGHFEKFTNKRLGKLIALGLASYDGEKWAMHRRILNPAFHLEKLKSMLPAFSTCCDELISRWESKLAGSDGAHEVDIWQDFQNLTGDVISRTSFGSSFMEGRRIFQLQAEQAERIIKAFQYMYIPGYLFFPTENNKRMKQINREIEGLLRGIIEKRERAMENDGLSSGNDLLGLMLQSNKESGTSRMRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLGMHPEWQDRAREEVLSVFGKDKPNFDGLSRLKTVTMILYEVLRLYPPAVTLNRKTSKQMQIGGITYPAGVLLELPIIMVHHNPDVWGKDVLEFRPERFAEGISKATKDQPVFFPFGWGPRICIGQNFAMLEAKMALSVILQRFEFQLSPSYTHAPYTVITLHPQHGAQIILKSL >SECCE5Rv1G0333170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:554795416:554798739:1 gene:SECCE5Rv1G0333170 transcript:SECCE5Rv1G0333170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGRGRRCRGAVLLLLVASVLAPLVLYGRFPVSPLPDSTVARGAFDRGDGSGSNLVWPHMAASEVSLAKDLTIERLGEHKNRVLSATDDFQAVEVARSHPSEKKIETWEDPASRDADQVAAVGNDSAQSTQDDIIKEVVSIDRRADGFRDPGDSKEAEEQDGQGTDEKELQDATELEHKDGSGASENNIAGTDTAANLTSSLEKESAADTLSDHASISADLATSASSTGHSITSPDATIRIIKDQLIRAKTYLGVLSSRGNHGTAKELRARMKDIQRALGDATNDGMLPQNVHGKIKAMEQTLGRIKRMHDGCSGAVNRLRTSLHSTEERLQSHRKDANYLAQLAAKSLPKGLHCLPLRLTNEYYSSNSNNKDFPNMEKLENPKLHHYAVFSDNVLAAAVVVNSTLVHAKKPANHVFHIVTDRLNYAAMKMWFLANPLGEAAVQVQNIEEFTWLNSSYSPVLKQLESSSMIDYYFGSGKARPGENPKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDTVVQQDLSALWSIDLKGKVNGAVETCGESFHRFDKYLNFSNPLIASNFNPHACGWAYGMNMFDLSEWRKQNITDVYHTWQNLNEDRLLWKLGTLPAGLVTFWNRTFPLDRSWHLLGLGYNPNVNEKEIRRASVIHYNGNLKPWLEIGLSKYRKYWSRHVNYDQVFIRECNINP >SECCEUnv1G0563330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:374405325:374409019:-1 gene:SECCEUnv1G0563330 transcript:SECCEUnv1G0563330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLHLYTTKTHFNSIQVMFVWGVAGVGKSSLVKNLFCDIILNKQNPHQGMIRNPFSKHGWVDISYPFNLRDFAQTLLLNLHSKSLQSKDTPYHDMMESKNPILECQSILKQHRCLVVIDGLKSTEEWDCIQADLVSVSSKSFIIVITTESRIVKYCHGNKGELVFNVKGLEADSAFQLFKKEVSSEYPLPASLSDGEFVELQELISKCGGLPKVIVEIARSLATKSVGRMDSARSLSKKFMHYLETNQEFDRLQGLFVWMHTKFRTCPDSLKTCIFYLSIFPRDRIIRRRRLVRRWIAEGYSWENHEESTEDNGEKHFLELLDLSIIQQPPTTLGDRRMVLCQVNGFIREYIVSRRMEKNLVIELGGSCTLTTQGTGCHLVILKIWDRNIIVFQSIDFSRLQSLTVFGKWESFFISESMKLLQVLDLEDATGSVEYKDLEKMVKWLHGLKFLSLRGHREIHHLPSSLGHLRQLESLDVRYTSIVSLPDNITKLEKLQYVRAGTTDVPVSSSWFGKQHGLVGVKVPRGIGKLTGMHTLGVINVAASGSKTFVKDLRKLTQLRKLGVCGINKNNSKDFFSAIEGHVHLESLSVQLNKNNNQGYCFKKGNNQGCLDDMISLPCKNLKSLKLHGVEGTLPEWWSSGLGKLAKLDLEMETFTENVINLLGKLTQLCILRVKQLQDGELCFRVMVNNEEDESYRNVKVLQIACGCSSSSLHVTFGSETMKKLELLRIDCSGGSSYQLTGLNYLSELKRVLVKGTNDEEIKRDLETQLVNHPKNPAVKIE >SECCEUnv1G0534200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:36378868:36387145:1 gene:SECCEUnv1G0534200 transcript:SECCEUnv1G0534200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPVIPFPMPNPNANPNPGSNPMAAAGPNPGARLMQLLGSSGSGHLESAVSMPPPSSEFATTQPSPLPAMPSAPPARMLSSTSSKVPRGRLLGGGDKAVHNVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWRIDEGPDAENKPQITGKIEVAIQIVGDAEAYHPRICWHSHKQELLFVGIRNCVLRIDTTKVGRGRDFNAEEPIKCHLDELIDGVRLVGRHDGDVTDLSISQWMTTRLASGSNDGTVKIWDDRKLAPLSILKPHDGQAVYSVAFLTAPERPHHINLITAGPLNREIKIWASTNEEGWLLPSDSETWNCTQTLELVSSLEPKVEEAFFNQVAVLPQASIILLANAKKNAIYAVHVEYGSDPASTRLDYIADFTVAMPILSLTGTHESQPDGEQVVQVYCVQTMAIQQYGLELSLCSPPTSDTTAFGRDPAISRVYDTPLEVAGAESSMGTSFTDSYTVGASSKQSTFDQTAEFDLKPSAPPLTYPEGDGSAHLQSAPLASNTNLTGSGPSVGNRDKDQSAFDYPTSRITEPVALTRQDTPMPKPLADNALTRQDTPMPKPLALARQDTPMPKDNFGKDELRDSHSDVQMLPNPRMMFKVGGNATHLITPSEIISGALSAESNQISKSDGAKIQDDSISSPRVAEVEARHVDASKSNHDLELEAVKEAQVVRENSEKDQNSLEQTVEMISERSVTTDKYSVEESRSPSDRLVPDHTGAAGENVSNKTVEKPGISDSSVSREQSSSYTTEKVFHPQASGQSSPSTSAFNSTESSHEPVSSAYPPIEPSSEVADMLQQLIAMQKDMQKQLGTIVIAPVAKEGKRIETSLGRTMEKSIKASIDALWARFLEENTKREKAERERMQQMTALITSSISKDLPAMLDKLVKREVSSLGPVVARAMTPIIEKSLTSIVADAVQKAVGDKVVNQLDKSVTAKLEATLARQIQMQFHTSVKQTLQDVLRTSFESLLVPAFEQSCKTMFEQVDSAFQKGMSEHAIAIQQQVEAAHTPLVLTLKETITSASSITQNLTSELLDGHRKLLALVTSGNANAQNTNVLQPNNGPITGPPEVEAPLDPMKELGRLLSEHKFDEAFTVALQRSDVSIVSWLCSQVDLRGLCTMAPVPLNQGVLLALLQQLAVDIGTETSRKIQWMTDVAMAINPTDQVIAIHVRPIFEQVYAKLAHHRSLPTTSPLDNSNLRLLMHVINSVLLSYK >SECCE1Rv1G0032420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:455440023:455442041:-1 gene:SECCE1Rv1G0032420 transcript:SECCE1Rv1G0032420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSSTSFLTPLDPSSKLLHRPEDAPPSCVAVPQAPTRLRALRAASRAPPSPMEAPAPVQSEQLHGQVQQANAGGGRPSRGGVPVFVMLPLDTVGPGGQVSRARALAVSLMALRSAGVEGVMVDVWWGVVERDGPGRYDWEGYAELVRMVERAGLRLQMVMSFHQCGGNVGDSCNIPLPPWVLEEVSADPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPVQVYSDYMRSFRDRFSGYLGTVIAEVQVGLGPCGELRYPSYPEANGTWSFPGIGEFQCYDKYMRASLQAAAVAAGHENWGTSGPHDAGEYKQFPEETGFFRRDGTWSTEYGHFFLKWYSGMLLEHGDRVLAAAEAIFGGTGVTLSAKVAGIHWHYRTRSHAAELTAGYYNTRHQDGYEPIAQMLARHGTVLNFTCMEMKDEQQPGHAGCSPELLVQQVRAAARAARVELAGENALERYDEQAFAQVAATAEAAGLSAFTYLRMNRNLFDGDNWRRFVAFVKTMADGGARTALPRCDTGHSDLYVGFVDAAKEQRAPESEAAAAL >SECCEUnv1G0539720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77267640:77268065:1 gene:SECCEUnv1G0539720 transcript:SECCEUnv1G0539720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTAAILAVLAVVLLGMGCPAAATIACSDCTTQCSSSCSTGNFPCTSDCSPAPACVQQCKATGLPQCMSGCTGSCNNGCRGTSCNSYCNSYCSNACSSGVDSGCKSSCTNSQLCNTCKDNYSRQCNACCTTYCKSNCV >SECCE7Rv1G0459730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26934895:26936777:-1 gene:SECCE7Rv1G0459730 transcript:SECCE7Rv1G0459730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFKMLNRGISTAAREEVHVLGTNSAPHYMVEKGLLKKQLLRTSGGIVVAGFAVYAIGKLEDAEVGKFEGLKEVPRDSSTKLSDVKGVDEAKAELENIVHYLQDPNRFNRLGGKLPKGILLVGPPGTGKTMLARAMAAKAGVPFFACSGSAFEETYVGVGAKRVRELFAAARKRAPCIIFIDELDAVGGRRTAKESSSSKQTLNQLLVEMDGFKQNEGIIVIAATNLVESLDDALVRPGRFDRQVYVPLPDVVGRRQILEAHLSKVLKAKGLDLMTIARGTPGFSGADLANLVNDAALTASREGANAVGMDHLEHAKDRIIMGVERKSVVMSDHSRKTTAYHEGGHALVAILTDGADPVHKATIVPRGNALGMVTQLPGEDGELEQSRKQMLAALDVLMGGRVAEELILGEAGITTGPSSDLSQATQLATDMVTKYGMSKRVGLVSYDDGGSGSPAVTMSGSMAALVDEEVKALLDKAYSNAKKILTAHSRELHALANALLEHETLSGDQIKKIVSAKIVSAGRWF >SECCE6Rv1G0380270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:20388292:20388921:-1 gene:SECCE6Rv1G0380270 transcript:SECCE6Rv1G0380270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSAFEERVRQMEDARNQCMARLQAEKEIQAAKSRLLAAKVAAGRRLERRRLLLERRAADLASRALATRAAIDATHARRLVVARDISSTNGEIEEAQRKAEQWDRFYESKRKEMEEFRAMSQQFEAGTRQEVERLRASVSQLQAALQELHSDDAGIRAAEARKADLMAKKAKLDENLAAARQFRALLRQQLQKAFASQVRDQQAAQK >SECCE7Rv1G0465410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:69631573:69634368:-1 gene:SECCE7Rv1G0465410 transcript:SECCE7Rv1G0465410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGCFCALLGGKSKKSKDVRKVPYAKRANGNDWQKVKPVESMDGTVAASIDTDSAQTCRDTKVVAATAAEPACQGRDDDKASPTRDVDLAGGAATDSSGYNSDGGDKDVAGTGTPDVVSELPLPATPTRLERSCSNIETARPGWKAFDLLLPPAKSRSHGDLATLPAGAGSLFASPNGAPGGSSPAPSVRSTCSADRVMLKRRSSSQVLPSRSRKLWWRLFMWSHRNLHRPGAATSSSTLPPAGTVHQVFFSNRQHDGYTSDTLGAGADGNCKNKEVAAVEEEPTRPNQWVAFSAEASSSLDRVSAWVSSLGDGPLIPAEVQEEEEVEEEDEDGNGITETEFEIGEPSGTTKQGHAQAQAQKRRSRAAEEAVQQASSIVQTLNAFSSVAHISGMGLKAVPMISAFSSLRAVNLSGNFIAHISAGSLPKGLHSLDLSRNSIAAIEGLRELTRLRVLSLSYNRIARVGHGLSGCTALRELYLAGNKVSDVEGLHRLLKLAVLDLSFNRVTTTKGLGQLVANYSSLRALNLLGNPVQANVGDDALRKAVSGLLPLLAYLNKQALKPQRAREAAKDSVAKAALGNNRWSSRRRAARRPGQSPGSSSSAKREGSGGRSRSQSGVLARR >SECCE4Rv1G0255330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:645442463:645448131:1 gene:SECCE4Rv1G0255330 transcript:SECCE4Rv1G0255330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTMPPRGAHAADPLWAQAAELERQFEGYKRRLADRRDAAAAAVAAVSNGDGDGAGEKEDEEEEVGRGRRYEAYVRRRDEKLRQGWLARMERKEAEVKALWARLDGGRHRPGDGLAAAAAASPAREQKPRSIEKPASPATPKCIPAMKLPRLRSATASPSPAAPSPRLPSSRRASPPEPPTTPRKENRMPPPSTAGTPRPLKTLSRTRSSLKDTSKEERGSVSSSSSSSVKGESPRPPRFQPPRASFDGGAGGVKVKQATAPAPRSDADAAIAAARSRFHEQVVLAEIKSAAAVSPGPLRIRRSGNGVARASSPSVALGRGQVDFLTRLGNSDRNDVQTSEHLDAEAKNSNNKADGNGDGEVARSADKFGNAEITGDSDTEPSYVYVKRDHEEVRDEEAAEVFEDTMASSPSSETMSSSPSSETMAAASDESGTSVKESSGSLYSNVQSSFSHGSELDASAAGSSRGALSTEELLEADAAMLRMKREEEEEVEEAEHQSIVFLPSTCCASRSEAVPVSGMPQSPMDAVAGLKRFLIFGKKNGNGADGSAVVIERAPPPAADGAASQGPASGDPVNKPRPRWPDVASDDLDNSYVVSPHVRSLQSFVPLSPARSQLKEMMALPAKSPRVPRSFFSFSSFKNRGN >SECCEUnv1G0548600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:190541159:190541431:-1 gene:SECCEUnv1G0548600 transcript:SECCEUnv1G0548600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTVENDSDEKKPALQIKYDKDGDNMKEVELKQKRGLDKGAGGMWEIKGDKPLKGPYSFCYETEKGMRNVLNDDFHVEFKVGTTCKLEE >SECCE7Rv1G0480540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:271171563:271174165:1 gene:SECCE7Rv1G0480540 transcript:SECCE7Rv1G0480540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNVEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEARSCEDKYNKSKLVHSIMRHVAETLEIDLEPIYQRIGWPLYRKYGHAFEAFKLIVADPDAILDVLTYEEKETGPDGQEVTKVVPAVTPEIKETLVQNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKQAMRKAEAAGNTNCPDQGISVLTDAVKACTLEIEKHKGKLVVKEAPRAVSEREDKLLNAQLDTLVEQNAEVAGDDDSEDEEDTGMGDIDLTNSGVHAD >SECCE1Rv1G0007840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42851153:42852688:1 gene:SECCE1Rv1G0007840 transcript:SECCE1Rv1G0007840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPMTFSAFPDDKAAAFKPLNPEDVRAYLHKAVDFISDYYTNVESMPVLPNVKPGYLQDELSASPPTYSAPFDVTMKELTTSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQLLRLPTTFMNRTSTGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSVGVADLPRLTVYAADQTHSTFFKACCLAGFDPANIRSIPTGSETDYGLDPTKLLEIMQADADAGLVPTYVCATVGTTSSNAVDPVGAVADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLYVRDAHRLSDSLETNPEYLKNDATDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEDFVRADDRFEVVVPRNFALVCFRIKATGAMTEEDADEVNRALMENLNKTGKAYLAHTVVGDRFVLRFAVGSSLQEERHVRSAWDLIKKTTSSIMD >SECCE2Rv1G0066520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14559048:14559560:-1 gene:SECCE2Rv1G0066520 transcript:SECCE2Rv1G0066520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHVVLLLTLVLLLGTGDGSLAVGTPSAIIRTTCAAASRRELGYDSCVDALSADPAAAAAKDARELAVVVTNLTVANVTSTVLVLDDLVNNLGDCLRYYRDMNKILEGALGDLAAGRLKAATDKLLNASFVPSDCDILLLEGSAEKNPMSEENNNAGWLSRLAYVIASL >SECCE7Rv1G0460100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:29313929:29316280:1 gene:SECCE7Rv1G0460100 transcript:SECCE7Rv1G0460100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAPTALLAFIVCISFPASGASSERSMSLPGCADKCGDVSIPYPFGIGGHCAATRLNSYFNLTCDGTFDPPRPMVGDHEAIVEVASISLEHGEMRVLSPVNHICFTSNSTSTELADGYDLQRTPFLPSPSRNRFIVIGCNTLGLITGYKDTDSQYVAGCYSYCDGVNNTSDGAPCAGMGCCEAAIPANLTTFGVVFQMNQSKVWGFNPCFYAMVAEVGWYNFRQQDLVGSLGFINDRAQRGAPIVADWAIKNGSCPEEGKDAPNDYACISANSYCMAANNGPGYLCQCSKGYEGNPYLLNGCQDTDECALRKQDPKYEDLYPCRKGVCHNIPGSYLCKCKPGKRSDGTNFGCRSLHSPADKMVIGLSVSATVMMALICMLLMQFQRKRHRREKDEYFKQNGGLKLYDEMRSRQVDTIRILTEKQIKRATDNYNEDRVIGCGGHGMVYRGTLDDQKEVAIKKSKAVNDDWREEFVNEIII >SECCE3Rv1G0168300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:218590176:218593473:1 gene:SECCE3Rv1G0168300 transcript:SECCE3Rv1G0168300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNNKFSNQYKATIGADFLTKEVKIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFEKLNNWREEFLIQASPSDPENFPFVLLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIARNAIKNEPEEDIYLPDTIDMGGAGRQQRSSGCEC >SECCE6Rv1G0452120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:875378561:875380913:1 gene:SECCE6Rv1G0452120 transcript:SECCE6Rv1G0452120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQPADTESFEYMLLEKDPDHYRTVFSGPSQISPWIDPAVLNLKHRIGRGPFGDVWIATHHQRTEDYDRYHEVAVKMLHPVRDDQLQAFSARFDEVFGKCQGLGNVCFLHGISTQNGRLCIAMKFYEGSIGDKTARLKGGRLPLSDVLRYGADLARGVLDLHSRGIFVLNLKPCNFLLDDHDHAVLGDFGIPSLLFGLSLPNPELIQRLGTPNYMAPEQWQPNIRGPISYETDSWGFACSILEMFTGVQPWRGKSPDEIYQLVVLKKERPIFPYNLPPEVENVLSGCFEYDFRNRPLMSDILQAFECAKDVDYDNNGWDSSENARAVAPSRTNWSHFKDKLQIGDKVRSRKLKNSCTPETMEIPDGTIVGLEEDGERDSYILVRVHGIHDPLKVRSSTVERVTYGFAAGDWVRLREEDKKRSQVGILHSIDRNGTVYVGLIGMDTLWKGEYSDLQMAEAYCVGQFVRLRASTSSPRFEWPRKRGGVFATGRISQILSNGCLVVTFPGKFSLGEVCSCLADPSEVEVVSFDKCEGVVKKYEHLEDFHWAVRPLFIAIGFFTAMKLGVFVGKSITRPRSRKVASVSELGADPEKVPQQEVHNSVSTAWLPPPVANMLFGDGAATSG >SECCE6Rv1G0433740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:761372255:761377362:-1 gene:SECCE6Rv1G0433740 transcript:SECCE6Rv1G0433740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDASGRLESILTDSSAPLAGRVWAAGTIELGMLTRLAAPAVVMYMINYLMSMSTQIFSGHLGSLELAAASLGNTGVQMFAYGLMLGMGSAVETLCGQAYGAQKYDMLGTYLQRSAVLLCCTGIPLAVIYAFSEPLLVLLGQSPEIAHAASIFVYGLIPQIFAYAINFPIQKFMQAQSIVLPSAYISTGTLLLHLLLSWVVVYKVGLGLLGASLVLSLSWWVIVAAQFAYIALSPTCRETWTGFTWQAFSGLPAFLKLSAASAVMLCLETWYFQILVLIAGLLPNPEIALDSLSVCMTIAGWVFMISVGFNAAASVRVSNELGAGNPKSAFFSVWVVTALCAAISLGFAIVILCLRNHISYLFTESEIVSDAVADLCPLLATTLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGIVLGFVFNLGVKGIWGGMIGGTTMQTAILLWVTIRTDWSKEVEEAHKRLNKWDDTKKQPLLTTATDNS >SECCE3Rv1G0195420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:797472046:797475260:1 gene:SECCE3Rv1G0195420 transcript:SECCE3Rv1G0195420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDKAAAAAAGEPAEERGGAGDALGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRALTAHQDSIAGMRLGNLYCDPLMVHGGHKITARQRWTPTQMQLQILESIFDQGNGTPSKQKIKDITAELSQHGQISETNVYNWFQNRRARSKRKQAASSLPSNTESEAEGDEDSPTDKKPRSDGSQQQSMAARAHNPERISEMHRQFDSAEREQVRGPMYGSSNDNGPRPSGGLGQMSFYENVMSNPRIDQFFQYRTGESFDMSG >SECCE5Rv1G0347510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:667375563:667379245:-1 gene:SECCE5Rv1G0347510 transcript:SECCE5Rv1G0347510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLALACCSCTTALIILFLPFSASVDRLVPGKPLSPGNTIVSDGGVFALGFFNPSNSTPAKLYLGIWYNDIPELTVVWVANRETPITNNTSSPPMLSLTNTSNLVLSYGNSSGRVLWTTTNVATTLGSSTQTAVLLNTGNLVIRSSNGTMLWQSFDHRTDTLLPGMKLRIKYSTRHTGERLVSWKGPGDPSPGRFSYGSDPDMPLQTFMRDGQSLVFRDTPWTGYQVKSAIKVGTNVSDLIMYLAFVENGDEIYATYSLSDGAPLTRSVITYSGEYQLQSWSSKSSMWDVLWKWPSAECNRYGYCGPYGYCDETSAPIPSCKCLDGFDPTNMEEWTSGRFLAGCQRKEQLHSCSDSFLALPGMKSPDKIELVGRGISTFEECAAECNRNCSCVGYVYRNVSSDGFRGHVMMCLVWSRELVDTGKVGVELGGETLYLRLAGLDAGGGKRRKINAARIVLPILGIGVLVLISISLAWLKCKGKSKKWRKHKNIRLDGMHTSDELGEENPRDQEFTFIRLEEISITTHNFSEAYMIGQGGFGKVYKGLLGGQEVAIKRLSKDSPQGTKEFRNEVILIAKLQHRNLVRLLGCCGEGDEKILIYEYLPNSSLDAILFDDSRKILLAWVTRFSIIKGVARGLLYLHEDSRFTIIHRDLKPGNILLDSDMRPKIADFGMARIFGDHQQNANTQRVVGTYGYMAPEYAMEGTFSTKSDVYSFGVLLLEVVTGIRMNSNSQTMGFPSLTVYAWNMWKEEKTEELPDSSIIDISAEEVLLCVQIGLLCVQENPDDRPLMSSVVFVLENGSTTLAAPSHPAYFARRGAEMDQIGNNIQTSVNSFSLSQIEGR >SECCE1Rv1G0061990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718099823:718101393:1 gene:SECCE1Rv1G0061990 transcript:SECCE1Rv1G0061990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVHINLLLEILRRLSPRSLAVSRCACTAWRAAIDHHRLLRADLLPLSVDAAVYDERSCDEPARLFGRPSTARHITSNLDYLADSWLDVRGTDEFRVKVVNIATRQWAPLPLLPCACSWQAPMCGRCCNNRYIVYDPTISPHYKVLYIPRILADTTCAEWPPTPYVMYVFSSSSRTDRWKETSFVRQGDAAGTADDVNSSSYDPDEHMHYAAYWQGSLYVPSPRVKDDFLLRINLSSDKYQLIKLPKGRVESHFRLGKSKKGVYCVVNTNVRCTFRVWFLHESCGLTDWVLKNEINLEPAFTKQDWHRGPWIPQPPEHIQLLLKSNINLKLADEYNEAVTKDGFDWDSDDEDLVSIADWPKKCDSFIVGPYCLGFHPYKEIVFFNERGVCHCSMVAYHLNSSRIRYLGNMRARCHYSMEEVSFAYTPCWMMDLPGSN >SECCE2Rv1G0085130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:186186080:186190668:1 gene:SECCE2Rv1G0085130 transcript:SECCE2Rv1G0085130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPATGQPPVDAGGGGNGLGRELGHPPMDGVSSLRFSKHSNRLLVSSWDKAVRLLDVDATNALVGTFAHKTPVLDCCFHDNDSSGFSASSDHVVRRLSFSSGRSYRLGTHGGPVRCVEYSDTTGQVITGSWDRTIKCWDGRGVARPKYALIGTHTQPERVYALSLAGHKLVVATAGGHVNVYDLRNMSEPQQRKSFLNSQTRCVECYPNGTGFAMGGTDGRVAMDFFDQSESSLNKRYSFKCHRLTEDGGRRVAYPVNAIAFHTEHGTFATGGCDGFVYTWDGDMKKRLFQSPRYPTSIAALSFSKDNNLLAVASSYTYEKGKIENEPVTKIFIRDVNEVEVKPRHR >SECCE2Rv1G0119080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:788207523:788210012:-1 gene:SECCE2Rv1G0119080 transcript:SECCE2Rv1G0119080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYHESEATVKCHGGDEVAVTFTSTVLPALPLQEHRLPLSNLDLILPPIDVSVFFCYAAGDDYAPGTGSHPTSTLKAALAKVLVAYYPLGGEVVANAAGEPELLCSGRGVDFAEAAVDGVELRELQLGLPDESVERLVPKKKTGVMSVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARGKSIPLPPSFNRSFLAPSSPPPSCCSCTAGTLADRLFVPVSSVPPPPATAAPPTAFNRIYHVAAADVAALQASAGPGRTKLEAFTAHLWQLYSRAATRRQDSCCMGVVVDGRGRLCPDGAMGPYFGNVLTIPYGAFGAADLRDMALADVAEDVHRWVAEAATGEHFQGLVDWVEALRPEPTVARAYIGGGGDDGGEGETASCVVSSGLRLPFGEVDFGWGRPAFASYHFPWPGGAGYVMPMPSARGGGDWVVYVHAAPEVVKVMEQEPTVFRAPVSSDIFG >SECCE6Rv1G0452390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:876890747:876894760:-1 gene:SECCE6Rv1G0452390 transcript:SECCE6Rv1G0452390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLSALPRCVALRSKHDNSYLRSVHDESQGGSFVELSAGEGGVMNPRSRFYLEASKEHEGLVHIRCCYNNKYWAPQQRLLHGSARWTIGTTHELEEDLSKPSSTLFKHIPVTGDDDSTCRFLHSQAGKYVGVLSSPNLSKGAYLHVAREEDDYDNIIHAFTVIDVSNQKQLPTYLAFKSNNGQFLGAEIIDDQNYLQYSKQDIADPTVLQTIFTNDDGIVRIKSNHCGRFWRRSPNWIWADSRDTTHSNRDTLFTVTTGPDYIALRNLGNNKFCKRLTQDGKINCLNADVVSITVEARMQCYEPVVSRDIYDVVFRLDEAKIYTKGVEGLDSQTVENRTTTTNKTKLVFTYTNIMASTWSSTVSMKIGIKASLNAGIPFIVDGAIEVNAEFSGSYTWGNTETEEKHISKEIEVEVPPMKRVTVKAIGSNGVCNVPFSYRQRDVLTNGDEVFQEFTDGIYFGVKTSSITFHTKEEDL >SECCE7Rv1G0515770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:841212573:841213066:1 gene:SECCE7Rv1G0515770 transcript:SECCE7Rv1G0515770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPPGLRPPAPFYPGGYPPPPGWPGYPMPFVPVQGYGAPPGAYPQPGGYPPAGYPQQHGHAPQAGYAVHDKSKKKSSKKGKKGKGGGFGSFLAGAASGAVTVLGAVLAEEEEQSSGKFKWK >SECCE1Rv1G0003790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:15343042:15348249:1 gene:SECCE1Rv1G0003790 transcript:SECCE1Rv1G0003790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKDIGVGAAFNIVTATIFLLIFAFLRLQPINDRVYFPKWYLKGMRESPSSAGAAVTKYVNLNLRSYLKFLSWMPAALKMPEEELIEHAGLDSVVYLRIYLTGLKIFVPITILAFAVLVPVNWTNNTLDDLKVVHSNIDNLSISNIPYGSKRFIAHLVMAYVFTFWTCYVLKNEYERVATMRLRFLASEKRRPDQFTVLVRNIPPDPDESVSELVEHFFLVNHPDHYLKHQTVYNANKLADLVEKKKKMRNWLDYYQNKFERKSKRPTTKTGFLGCFGSEVDAIDHCKSEIEKIGKEEAEERKKVMKDPKSIMPASFVSFRSRWGAAVCAQTQQTSNPTLWLTEWAPEPRDVYWSNLSIPFVSLTVRRLIIGVAFFFLNFFYVIPIAFVQTLANLEGIEKALPFLKPLIESPPIKSFIQGFLPGLALKIFLIVLPTILMYMSQFEGLISQSSLERRTASKYFIFLFFNVFLGSIVTGSALEQLDTYLHASANDIPRIIGVAIPMKATFFITYVMVDGWAGVSLEILRIRAFVLYHLKNFFLVKTEKDREEAMDPGSICFYWSEPRIQLYFLLGLVYAAVTPLLLPFILVFFALGYVVYRHQIINVYHQRYESGAQFWPNVHLRIIVALIASQLLLLGLLSTKGLEEATPVLLVLPILTFWFHKYCTHRYKPAFVRNPLQEAMRKDTLEHAREPNFDLKSYLADSYLHPVFKSDHVDKFYVADDPGAEEVIVATKRQSRRTTPVQSKYDGSDRFSVPESIPER >SECCE7Rv1G0511150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:801731299:801731850:1 gene:SECCE7Rv1G0511150 transcript:SECCE7Rv1G0511150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFICPRCRAGVDRRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEQEEWLDMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLQKKVRKLEDQAQIAIPICNYFWAVVGMVLALVIMLKMYGKA >SECCE6Rv1G0416030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:646170690:646171061:-1 gene:SECCE6Rv1G0416030 transcript:SECCE6Rv1G0416030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIKKTFLIRLFLSFHSSPLYPSSSNSFHSTKEFSIIIRKSKSANCSLIAPAPVAISRFRNVAKPGVEKKGEMLWLQDAISSSNKPRNRKKVGFLVLGLAKEKSPYTRPSNFLRGLSKRFAI >SECCE5Rv1G0317040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:335063053:335063509:-1 gene:SECCE5Rv1G0317040 transcript:SECCE5Rv1G0317040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLCSPPLAALALLLLICFSYGAAAARLLPANTAPYHYQGIGVERAKAADAATTDGLVALKEEGGNGVEAVSPSDATAEEMEAEAEEEACEEGKEGEECMQRRLLHDAHLDYIYTQHKGRP >SECCE5Rv1G0310460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:169831524:169831835:-1 gene:SECCE5Rv1G0310460 transcript:SECCE5Rv1G0310460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASERAVVIFTLSSCCMCHTVARLFCDLGVNALVHELDQDPRGKEIERALLKMLEKGPSVPVVFIGGKLVGGTNRVMSMHLSGELVPMLRNAGALWL >SECCE5Rv1G0349950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:687442658:687443128:-1 gene:SECCE5Rv1G0349950 transcript:SECCE5Rv1G0349950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILLREAPGGLSSLAEKLSAEPDFLERHIPRQRVALRQFKLPKFKISFEIEASDVLKHLGLHLPFSDEADFSEMVDSPTPQGLHVASAFHQAFVEVNEQGTEAAASTAIKMVPRQAKPPSDIDFIADHPFLFLLREDISGVVLFIGHVVNPLLYS >SECCE4Rv1G0291500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876799995:876802874:1 gene:SECCE4Rv1G0291500 transcript:SECCE4Rv1G0291500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIKSMAYQPQVVSLGPFHHGDRDLRPMEEHKCRALRQLLQRAERTFDELVDGLEDVAEQLEGAYMDLDREWRADEGGRERFPAMMIFDGCFLLEVMRCTAADGKQVGDYAHNDPIFSHHGILNMMPYIRRDMLMLENQLPLLLLQNLVEVESGKPPNDDFINQMVLKFLAQSSGPLPPGIGLGIHPLDVFRRSMLTGKCHQIWTPHDIEEDNAIICSALELYEAGIQFKPSKTLSLHDIQFRRGTMSMPIVSVDDSTEYMFLNVMAFERLHVRAGNDVTDYVFFMNNIIDSAKDVALLRSKGIIQKAIGSDQAVAKLFNTISKDVMLEPNSALHVVQRQVNGYFRKRWNIRRANLIHTYFRSPWVFLFLAATIFLLGMTTSRKRAFSPGS >SECCE7Rv1G0504210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:720848716:720850662:-1 gene:SECCE7Rv1G0504210 transcript:SECCE7Rv1G0504210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEAAGGGGIAAVPAPALLCSDLKPFLAALTALTLLAAAWQLRPYHSLLAAPFSLCPDPPPSLAVSGKASSSSSNASSSLRQERERPRPDPNRREFRAVGSAAALFVQMGAYRGGPYTFAVVGLASKPVHVYGKPWFRCEWVPNAGANASASRPMRAANTYHMLPDWGYGRVYTVVVVNCTFSTAPNADNAGGRLVLNAYYGPSPARYERIEALEEAPGSYDEAAFRPPHRYDYLYCGSSLYGDLSAARVREWMAYHARFFGARSHFVFHDAGGVSPAVRAALEPWVRAGRATLQDVRAQADYDGWYHNQFLVVNDCLHRYRHAARWTFFFDVDEYIFLPDGRTLEGVLAELGPYTQFTIEQNPMSSRLCARGTNDSKADYSKQWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVPGELCREIVTVPPKGGLTWSEKTPWYYDDSMKRLANAVQEFEKRTIGNVRL >SECCE7Rv1G0508190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:770544655:770545831:-1 gene:SECCE7Rv1G0508190 transcript:SECCE7Rv1G0508190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGWLASLAAAAVVVVALRAPFAAAQLRPGYYASICPNLETIIRNSVRQSMAQSQISAAATLRLFFHDCAVRGCDASIMMVNSNGDDEWRSPDDQSLKPQGFQTVLDAKAAVDNDPQCRYKVSCADILALAARESVVQSGGPYYQVELGRYDGKVSTKSSVVLPHVDFNLDQLNAFFSGLGLSQTDMIALSGGHTMGAADCSFFQSRIGTDPTMDSGFAAQLRGTCTSSQSSAFLDPTPLGFDNSYYKNLQGGRGLLGSDQVLYTDPRSRGTVNYYASNQGTFFYDFTVAMTKLGRVGIKTAADGEIRRDCRYTN >SECCE5Rv1G0358800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:757212647:757214921:1 gene:SECCE5Rv1G0358800 transcript:SECCE5Rv1G0358800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEKPHAVCLPAPAQGHITPMLKLAKIFHTRGFHVTFVNTEFNHRRLLRSRGPAALNGLPDFRFASMPDGLPPSDEDATQEVGGLCYSIMTTFLPHFMALLGRLRDPSSGVPPVTCLVVDGVMSFGYDAAKEIGVPCVALWTSSACGYMGYRHYRQLIEHGFVPFKDESQVTDKEHLDTVVHGVEGMCDGMRLCDFPNFIRTTDREDVLLNFIMYMAERLSLPDAVLLNTFDELEGPVLDAMRGILPPMYTIGPLHRYASLIVPAGTSIDDLGSNLWREQDGLLEWLDGQSVHSVVYVNYGSITVMTNAELLEFAWGLANSGYPFIWNIRPDLVKGETAVLPPEFLSAISGRSMLTTWCSQEKVIAHEAVGVFLTHSGWNSTLESICAGVPMLSWPFFAEQQTNCRYKCTEWGNGMEIGGEVKRAELEVMEGEKGRAMRKRAGEWKEMAVRATLGVKQAGWRCKKSIVSNQTK >SECCE4Rv1G0268780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744858185:744861355:1 gene:SECCE4Rv1G0268780 transcript:SECCE4Rv1G0268780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLTSLLLSLPQQWRPVLLALVTALSLLLLTRRKGLKLPPGPATVPLLGNLHQLGPLPHRALRDLARVHGPVMQLQLGKAPTVVLSSAQAAWEALKTPDLDCCTRPVSAGTRRLTYDLKNVAFAPYGAYWREVRKLLTVELLSAQRVKAAWYACHEQVEKLISTLNRAEGKPVALDGHILSLSDGIIRTVAFGNIYGGDKFSQNNNFQDALDDRRRRGGSMEMLSSSGSSAEDLFPIAIGRLVDRLTGFIARRERIFLQLDAFFEMVIEQDLDPNRVMPDNGGDLIDVLIDLWKKPRATFIFTKDHVKAIIFSTFVAGIDTNAATIVWAMSELVRKPRVLKKVQDNIRDVVGDNKTVQPDDISKLSYLRMVVKETLRLHPPGPLLLPRETMRHVQISGYDVPAKTKIYVNAWAIGRDPVSWPDEPEDFNPDRFEANEIDFKGEHPELMPFGTGRRICPGMSMAMANLLFNFLWTLPEGMTVDDVNMEEEGRLIFHRNTPLVLVAKPYHHGLEYKACDHNLNRCSYLNTLETFVSA >SECCE4Rv1G0259800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:690420854:690421657:1 gene:SECCE4Rv1G0259800 transcript:SECCE4Rv1G0259800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSWCWPWLSSGTAWFLFFNVVVGAVAVLSWAQGGDAPVARRRRLTRSASSMVMERLRSMSVFAAFHSVPEPDYSSGLTPPASLSQLHHVQQYYTSLQQEGGQEVGHTVKQPEPALPAGERLTAETVAPSTPSAPEAAAAAEVRSAASMSGDEEAEAEKRSEMSRCLGDACTVAAARQRHWPAPAPEPTPATAAAKGATLERPRKPESAKVAETVGRRAHAEIEEKAEVNARAERFIRQFREDLKLERIKSILNQSAAASASAR >SECCE3Rv1G0144590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:4938405:4939452:1 gene:SECCE3Rv1G0144590 transcript:SECCE3Rv1G0144590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDKTNTVGHDGAANGQDLEQARRGQDLPPAAGHATKGLAVGHLIRELVLEGVATFLVVFWSCVAALMQEMHHGLTFPTVCLVVALTVAFVLGWMGPAHLNPAVTLTFAAFGYFPWRKLPLYVATQIAASVLACLSVNAIMTPHDDNFYGTVPRPTGAGARLPFLLELLASAVLMIVISTVATSNASKAVVGIAIGATVGTLGLVIGPVSGGSMNPARSLGPAIVFGRYTSIWIYVTAPVAGMLLGALFNKAVRQSDAIVGFLCGGRGASSKVVVVGRSVAGTPGTN >SECCE3Rv1G0209870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:941073671:941075629:-1 gene:SECCE3Rv1G0209870 transcript:SECCE3Rv1G0209870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMAIRVPKSMRAKRELLKHAPKLVENGKKMLILHGTKTSAVLNSVLADLFHLKRDHAVKYTKKNDSIRPFESGGETSLEFFSLKSDCSLLVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENYKSIESYAYDKKLAPKLGTKPFFAFIGEHFESVEGLKHLKEMLLDHFKGEVVENLNLAGVDRIFVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVLRRHRQAAESLQKEAMKAPGHAKKVKNVTNNPIEGKQGRIYIPDQEVSKLTVTSNIKGLKRERRDAKKHKEHPKKQKVAENPE >SECCE7Rv1G0478710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:240590726:240595987:-1 gene:SECCE7Rv1G0478710 transcript:SECCE7Rv1G0478710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMARLLPDSRSASASASRTSSSLSRDLATAAAAVTTTSSSAMPLAAPFPDLGVPLSDADLRTTAYEVLVAASRATGGRPLIYIPQSAPSSTSARSTSSTSTSTSSSSSSSGLQRSRTSTAASKVKRSLGLRPSASSNAGSAAPRRPETVMELVRVNLRVTEQADSRIRRGLLRIAAGQLGRRAESMILPLEFLQRSKASDFPDPHEYEAWQFRNLKLLEAGLLVHPLIPLSKSDIYAQTLREIISIAYDKSLQNGKSLESMQELCSAVKSLAGRSLGGSSDECHWADGFPFNLHIYQMLVEACFDSENGTVVDEIDEVMGLLKKTWVILGINQMLHNLCFTWALFNHFATSDQVDIELLSAAENQLNVVVKDAKTTEDPDYCDILISILSSITGWTEKRLLAYHETFNASNIVSMQGIVTIGVSAAKILLEDTSQGYPGKRKQKTDVVRGKIETYIRSSLRTAFAQRMDEADSKRSSRNPVPVLAILAKDISDLASKEKNIYSPILKKWHPLASGVAVTTLHSCFGNELKQFMVGRTKFTPDTAQVLNAADKLEKNLVNIAVEDFLDSDDGGKSLIRQMPPYEAENAIAALVKGWMKERVDKLKGWVDQSLQQETWSPKANRQSFAPSSMEMLRMVDEILDAFFQLPISMHSTLVSDLTAGLDGILQYYVSKAKACHGTQSTATPQLPHLTRCDVGSKLFKKKEKPHAPLNRGSQVGSSTGKSEGCDLPELCVQINTLHYIQTEVENLKKKAKKCLRNSELSQDGNGTTDGMNIKFELSQASCQDGIRQLCDATAHKVVFNYLSHVLLDTLYVGGTMSNRVEPLLREIHSTLGVISGIMHNESRDHLITALMKASFDGFLLVLLAGGPARAFTLQDAQIIENDFRALRGLYLANGDGLPHELVDKASSEVKSVLPLLRTDTESLIQRFKQAITERQGSPTKSSFPKPPRVPAQWSANDPNTILRVLCYRYDEAATKFLKKTYKFPKKL >SECCE5Rv1G0325150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:461016447:461016908:1 gene:SECCE5Rv1G0325150 transcript:SECCE5Rv1G0325150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTGSVSGGAVFGRRSHRTVKAAAAASAGVRRPAGRTTDYYKVLSLEHSAGVGEEEVKRAYRRLALQYHPDVCPPSRRAESTELFVELRRAYETLSDPATRVRYDAELRTGRAEARPQAGGFARDVWEAQLCVLRARSERRKKASRYGGRRF >SECCE7Rv1G0514170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:830706091:830708963:1 gene:SECCE7Rv1G0514170 transcript:SECCE7Rv1G0514170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQKPAKRLGGMAEVLAIAGDLGFPAPPAQEDQSTSDKSDDLVRVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQTLRVGPSSLSMTPGGSSDDSKFLTPPQWREGSILNSWSQVDGVNPESDGLDSINQRRLSWPSSINKDL >SECCE2Rv1G0067550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19220421:19225702:1 gene:SECCE2Rv1G0067550 transcript:SECCE2Rv1G0067550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSDMAWWLGLLLGAVPLAALAVWRCNDAWYCAAFALRQRRWHWRRGRHVRLPPGHMGVPFFGETAALSWYFKVARRPDDFIEARKKRYGEGVGMYRSHLFGRPTIVVCDPAANKFVLQSHDNFWLRWPARDLLGLSSMFNAEVSPEHLPDGSALCRGGATLVMFESTLEIFISMKASPLTEKMDKWFVGVLGGLTALPLDLPGTALNHGRKCRRRLHAVFEKELQKRKNNVKRGLAAEEDYDDDLMSGLMKMEDEQGKKLSDEEVLDNIVSLVFGGYESISSAVLWAAYHLAKSPVILAKLRNENAAVTEGKSSNFLTLDDIPKMKYTAKVVEEAIRLANIAPMVHRVAYRDVEYGGYTIPQGWQVVVWLRAMHIDAKYYQDPLIFKPDRWDEPPMAGTNQLFGAGNRTCAGNMLARLQITIMLHHLSLGYEWELLNPDAGVKYIPQPMPVDGAPMAFHRLSTSTQ >SECCE4Rv1G0225510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:94591761:94594555:-1 gene:SECCE4Rv1G0225510 transcript:SECCE4Rv1G0225510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSAAGGNKAGGAKASTQIDRTATFEGSPSSTIAGGDGSAKKEPKTPTFGDPSSAQPPKSAAPPPAEGNSSSKPPRSAKGNPRQPAAPPPAAAASAGGKTKLDTFGRDLTATAANADPVVGREDEIDRVVCILSRKSKNSAVLVGAAGVGKTAIAEGLAQRIARGEVSGVLAGARVVELNVAAMISGTMSRGTFEERVTGVIAEVEAAVAGKVVLFIDEIHTLLGAGRFSGCMDASNMLKPALARGRVRCLGATTHAEYQNYFLRDKALERRFQKVHVSEPSEDETVAILRRLKAAYEEHHGMEIQDEALVAAAKLSGRYIPARHFPDKAIDLVDEACATARLVMDRRKKQATGNGDKPLAPKDENVGPDHIAQIVSKWTGIPVTSLGTDERKRLLELPKRLHRRVIDQDEAVNVVAEAVVRSRSGLGEPNQPSGSFLFLGPTGVGKTELAKALAEQLFGNEKLLVRIDMSEYMSSSSVTRLIGASPGSSGYEKGGQLTELVRQRPYSVVLLDEVEKADASVLNVFLQILDDGRVTDGQGRAVDFTNTIIIMTSNLGAHHLLAPRAAKDVDATRKRVIADVQRHFRPELINRLSEMVIFRPLSTEQLRRVARMQLKGIAARLADKGIGLDVTDAALDVILSRSSDQVQMYGARPIKRCLQKNVMTRISKMVVQEEVDDDCYVSVDADEEKKDLVFAVDKQSSKENDDSSSSTKKRKRPPAKHLVVIDDDDEDV >SECCE4Rv1G0242940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:492844575:492852723:1 gene:SECCE4Rv1G0242940 transcript:SECCE4Rv1G0242940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVAPKVEKEEEQVVNPWEVSAGKGGIDYDKLIDQFGCQRLDAATIDRVSRLTGRPPHRFLRRGLFFAHRDFNEILDLYEKGEKFYLYTGRGPSSEALHVGHLVPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTVNESKRLARENAKDIIACGFDVEKTFIFSDFNFVGGAFYENMVKVARCVTYNKVVGIFGFSQEDHIGKISFPPVQAVPSFPSSFPHLFSGMEQLRCLIPCAIDQDPYFRMTRDVAPRIGCQKPSLTESRFFPALQGESTKMSASDPNSAIYVTDSEEIIRMKVNKYAFSGGQDTKELQRELGANLELDVPIKYLNFFLEDDNELEQIKKGYGDGSRLTGEVKKLLGDVLFELIKRHTRARAQVTEEMVDAFMAPRPLPNMFG >SECCE4Rv1G0267310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735515789:735516307:-1 gene:SECCE4Rv1G0267310 transcript:SECCE4Rv1G0267310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPPAYHDQKLAAAKRCAREAALAGAKAAAIAAVAAAVPTLVSVRMLPWAKAHINPTGQALIISTVAGMAYFIVADKTIVSMARKHSFENAPEHLKNTSFK >SECCE7Rv1G0479190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:249138999:249139766:1 gene:SECCE7Rv1G0479190 transcript:SECCE7Rv1G0479190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEPPFSLPPVVFNPSTPAHRRHPIPGPGASPPPAFAPPRPSTSTAANPLPFMSFDIPAQSNSTPPIFTGPIGGSGASFEDEPPLLEELGINTRQIWRKTLSILHPLRSADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAVSLFLPRGGGLIFGMGMGFVLWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLVIF >SECCE7Rv1G0459860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:27560887:27565040:-1 gene:SECCE7Rv1G0459860 transcript:SECCE7Rv1G0459860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKAKQYANVLDKPLGRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVRGVLDNAGFPAVVTSHFVPIEGQQRPRTTILIKFAEEVIHREARLG >SECCE3Rv1G0207950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:925371602:925372567:1 gene:SECCE3Rv1G0207950 transcript:SECCE3Rv1G0207950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQSESNRGGGTEEGGENLVAEARGVYVMYQGCWLRPRAMQSVKLVQEHFKARPDDTLLATFPKCGTTWLKALAFTITNRFDHAATNDTHPLLTHHPQDLVPFLEMPYRQLHPIADLEKLASPRLLTTHMPITLLHPCVSSLGCRVVYLFRNPKDVFVSLWQFISKVHTEYTIDRAFDSFSEGLSPYGPICREHNLGFWKKSIAESDKVLFLKYEEMMAEPVKHVKMLANFLRVPFTEDEVRCGVVEGVVHLCSFDKLRSMPVNSSGVTDRIGGVPMENSSYFRAGKVGDWANHLTEEMSKKLDAIVEEKLRGSGLAF >SECCE2Rv1G0097710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:467285911:467301262:1 gene:SECCE2Rv1G0097710 transcript:SECCE2Rv1G0097710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MDLPAGAGAGDGDGREPRRSGFERACRLPNTVHSEIAAALPLPTLPATLGTDLHDHDEPLAEPDRPDMIMQAAAIARILASTDISHLGFTEADHVAVDPSSCSLLWREVLKHNPDAFKFKPRTPQPPPSQGLLDGQEYQNREREKQFAYLAPNLTKARKDHAFPPDDISSHREDLHNELSPDSVASRKPKVRKKDNSASSSGPSIPSSQEVIANFRELVEDFCGKAEIPDNADGDDWLSIALNDVKVLVNEITYVRSKGMLHEIPMDTLTCLLHVIDRQIRCSQGLSIDVKENPDAADAEHSVFSALESIHAALAIMTNPDMPKQLYREELIERIIDFSRHQIMDCMAASNPTFRALYKPAGNVTNDGDEDEDEDGMENGPASKKRRTTSNLSARKSSANKVSASIYSAVQKLCLILGFLKELLTTVRLSDSCILQLAKTCFTTFLVDNMQLLQLKAIGVICMVFSSYTQHRSYLVDETLNLVRKLQFSKNAIRTYHLADEEQKQIQMITALLVHLVQFSANVPDSLKGTVNWSTIIDASVDANYPINCHEAATEACCLFWTNVLQRFTAAKSQDVSEAKGIIENLVQDLLTVLNLPEYPAAAPILEVLCVLLLQNAGLKSKDTSARCFAIDLLGGIASRLKRDSVICSKEKLWILQELTDAENDSSKILKNKCCVCLGGRGINMACDVCGRCFHSDCMGADSQENLQRDSVCPLCFCKQQLSVLQSYYELQNKEKGKRASTAHKKKTAIPDEVTAVDTVQQILLNYLQEAGPQDDGNLFSRWFYLCMWYKEDISSQEKIIYYLARLKSKEILRDSGSGLALSRDSAKKICLALGQKNSFSRGFDKILSLLLASLRENSPVIRAKALRAVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNADTSHAFVEIISRVNDEESSVQDLVCKTFYELWFDEPSGSHKHLVADGSSVPMEIATKTEQIVDMLRKMPNHQPLITIIKRSLTLEFLPQSSKAAGINSSMMTSLRKRCELICRRLLERILQVEEGADNETKIHTLPYVLVLQAFCIVDPTLCTPVTDPSQFVVTLQPYLKNKVDSKSTAQLLESIIFVIDAVIPLIRKPPQTVVEELEQDLKQMIVRHSFLTVVHACIKCLSALSNAAGRGPRLLEYLVNLFYKHLSGPNSDGQVLGRSLFCLGLLLRYGYKLLAASENQLDFPKILELLKRRYLRREDFSLKVRAMQALGYILIAKPDFMLQKDILNIIEASLSSGVDYRLKIQGLQNLHEYLRDAESQLTADSTGKPTVPYATNGGSEVPVAAGAGDTNICGGIIQLYWNSILERSLDMNDQVRHAALKIVEIVLRQGLVHPITCVPHLIALETDPVEGNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFRFFETIVSNHNVVATNMKSNPIAFVKPGISRIYRLIRANRNSRNKFVHSIVRKFISNSRSYPTIGFLVYCVEVLASLPFTSPDEPLYLVYDINRVIQIRAGAIEANLKNWTSMDQQQGVVGQQDVVGQQDMVSHQDMVGQQDVVGQQDMVSHQDMVGQQDVVGHQDMVSQQDVVGQQDVVGQQDMVDNVMHEPGGCPDQNLADSPQKMLNNPCSTSDVDMAKLQEDCHDAIALQLLLKLKRHLKIVYSLTDARCQAFSLKDPPKPGEAISKQNIPLNINSTNIRLPSCLQDVALVYQDFKTLLREDSMDYVLYTSATVQKKRLTPRSSSKVRRPVAVTRGRGGGRGRGGRGGGDDDDDTDDDDWIGGPRVLEFGAQAVTGGRVTRQRVQV >SECCE7Rv1G0493440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:567474618:567475841:-1 gene:SECCE7Rv1G0493440 transcript:SECCE7Rv1G0493440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASTSRSRGGGGVKYRGLWAAAIASLLLAILLASTTHLPASPSDPLVHGRRRRRQCDEEARWVAGIASQLNATLVLTVDHLGCGNFSSVQKAVDAVPDHGAAGGRTLLAVGVGIFREKVVVWGNKTGVTLHGRGNLNSTVAWNDTAGSSGGSTPSSATFTVLAAGFVAYNISFQNTAPPADPGASGGQAVALRVAGDQAAFHWCGVYGAQDTLLDEQGRHLFRSCYVEGSIDFIFGNARSLYLNCTISSVAAATGNGGGVTGSITAQGRGSASEKTGFAFVGCSVVGTGKVWLGRAWGPYATVVFARTYLAAGVVVPEGWNDWNDPDRRQTVFFGEYESTGPGACRDGRVPYARQLDRRQAAPFMDVSYIDGDQWAVPPLPVVLALPRPHGGDDSDHAPRGEVM >SECCE3Rv1G0154020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:58416976:58417686:-1 gene:SECCE3Rv1G0154020 transcript:SECCE3Rv1G0154020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMGVRGGRGAVTLGCKMVGGGSDGTLDVCARVCVVDEHEAILYESFVKPLIPVTHYRYETTGIRPEHLRDAPTVKQAMRRVQDILLNGEQSYSSRGAARLLVGHGLEHDLDALGMDYPAHLKRDTATYPPLMKTSARLMSNSLRYLTRSCLGYDIQTGGHHHPYDDCVAAMRLYKRMRAMRHLHGRSKDDDDESTTKAFPAWRQRELERMSPKELLAMSKPDYKCWCLDDERRC >SECCE7Rv1G0481890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:294628172:294630115:1 gene:SECCE7Rv1G0481890 transcript:SECCE7Rv1G0481890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVSLLDAQLARCSSARHLLLIHGQFIASGLLADAFAASRLLLFTSATRLLPLPIHHSFRLLRLVRCPNAFSCNTLLKAALLAGMPHLCLPLYTSLPASPDAYTHPILAAACAARRDVIEGRQVQSHAIRHGFGDDLYLRNGLMHMYSVCGCLWDARRVFDVGPVWDAVSWNTILAAYVHAGDVDQALGVFTRMPKRNATAVSSMVSLFGRRGMVDEARGVFDEADCRDIFTWTAMISCFERNSMFAEALHMFSCMRREMWPVDEALMVSVVSACAQSEVILNGELCHGVVIRAGLCSLLNIQNVLIHMYSCCMDVVAARRLFDSGDCLDQFSWNSMIAGYLKNGHVENAMTLFSAMPDKDNVSWSTMISGCVQNNQSSDALTVFDNMRTQGVRPDEVTIVSVISACTNLSALEKGKSVHDYVRQNKCYITLVLGTSLIDMYMKCGYLEAAMDVFNIMEEKGAPCWNAVIVGLAMNGLVTKSLEMFSEMEASGTAIPNEITFTGVLSACRHAGLVEEGRHFFKLMQHKYRIVPNIRHYGCMVDLLGRAGYVKEAEDLIESMPMLPDVPAWGALLGACWKHGENEVGERVGRKLVNLDPRHDGFHTMLSNIYAKEGMWQSVNDLRDSMKQRHVPKVSGYSVVGMSHS >SECCE6Rv1G0405360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:529985677:529987607:1 gene:SECCE6Rv1G0405360 transcript:SECCE6Rv1G0405360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCGGGQAASVESHFQGLLDDDDKYRALCGAFGYLQQQEWPDLSGACYAAFGAPPPGAAAQATSNGAGNSFSCSGSASSGGGSAKRKPDAYVDAKDDCKRPRGKQQLCDPGEPGGAAKGRPEKPKACARKKPEVAAAGQKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCDKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVNFNIVDDLFGGRRMSQACGPAAALPAMTLPMHHALLDPSCLQMSGAAMQHMQQPGAGFGLDMVVSNPYPTVQSAPRSAATPASVSAAASVESCLDVNGAAAWDIASQNLFSGFDAQFQSAQSDCLPENLKMEM >SECCE2Rv1G0096870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:447763621:447766615:1 gene:SECCE2Rv1G0096870 transcript:SECCE2Rv1G0096870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNNGNGNGGSNAAASGWLGFSLSPHMDDHNHVQQQQQHQGLFYPSSVAAAYSLGGDVATGGYYSQLASMPLKSDGSLCIMEALQRTDQQDHHGPKLEDFLGAGQPAMALSLDNTSNFYYYGGGGGAGGQHGQGHGGGLLQQAYDVYGGPATASVLAADEDAAAATAMANWVQVARGATAYATAENILSASADRQQHLHHHPLALSMSSAGSLSSCVTAGAEYGVVVAAADGGRKRGGATAGQKQPVHHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDQAALKYWGPSTHINFPLEDYQQELDEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKIMASNTLLPGELARRNKDVSAAPLPLPAPDDCAASTLVPVSTPGTDTGGHGQHHHQHVLSSGEAFSVLHDLVTVDGHTAQGGNGAHARMSMSGASSLVTSLSNSREESPDRGGGLSMLFAKPPQQPVTATAASPKLMSTLAPLGSWASSARPAAVSIAHMPMFAAWSDA >SECCE1Rv1G0002070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:7348361:7352069:-1 gene:SECCE1Rv1G0002070 transcript:SECCE1Rv1G0002070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGWLSLSFLALLTILVIWLLKLSVGKSKPAGKQLAPGPWTLPIIGSLHHVAGALPHRALMHLSRRHGQLMHLMLGEVPVVVVSSPEAATLVMKTNDLAFTGRPCGVTLDIFSSGGRGIAFAPNGDHLRQMRKVCVMELLSSTQVKRMEGIRAEEVGSLLRDITDAASTGAGHAINVSEKVMALSNGVVTRAVFGGKFSQQGEYLCELGKAIKLLGGFCLVDLFPSSSLVRWLSSGERQMKKSCGHMQRIISEIIDECKATRAAQADDEDLLDVLLRLQKEDSLEFPLTTETICAVLFDMFAGATETTSTTLAWAMSELGRSPEIMAKAQQEVWEVLGEGQSVITNNDLVKLHYMQMVIKETLRLHPPISLIPRSAREDCTFMGYDILKGTNIYINAFAISQDPKNWDNPREFRPERFENINLNYNGTNFEFIPFGAGRRQCPRIQFASSVVEMWH >SECCE3Rv1G0193730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:774354158:774358504:-1 gene:SECCE3Rv1G0193730 transcript:SECCE3Rv1G0193730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGNRHTGTTTDSMDTRRDVKPFLAPVTSHSQRGVPGFGACQAAPTPLGRGARVSAAPQQAACVVNRAASLEPEGGCSRGVEAAGAPARRPAPPPPLPAARVSRKFWCAGDYDAAGGSPAQPPRNVGSRMCVHPKFLHSNATSHKWPFGAVAELLDNAVDEIKTGATRIVVDKIVNKRNGSPALLVQDDGGGMDPDSMRRCMSFGFSDKQSGSSIGQYGNGFKTSTMRLGADAIVFSRCMKGSGPTQSVGLLSYTFLVETGQKDVVVPMVDYKYDLLTGEAGQYERHGADQFCSNLSVLLKWSPFATEEELMGNFSDIGPHGTKIIVFNLWSNDDGVLELDFDTKEEDIMISGAPNPAETTNAVKRTNENHLSNQLHYSLRVYASVLYLQLPGYFKIILRGQEVQRHSIATDLIYRQAVSYTPLEFLRKKEGEVVTSIGFLNGAPTISVHGFNIYHRNRLILPFHRVLSSASSKGRGVAGVLEANFIKPTHDKQDFEKSQLYQKLITRLKDMTTEYWDLHSHLIGYQKKPRASVSPTPLGMLTASDAIAESSERNAVGAGLSVAPWGGGTRDHPASAIPIAFAPPHLSVLAGTSGHAACSMPGAQMVPTTDSAETRKRGNEDDVQMDPCKRQAIQSLEVDNQVCQRMTAGDLNEFQHLKIENRQLHEECLELEVAEKEHLLKAQQLRLQIEQAEAQYKSLLNEYISATPVGTRMR >SECCE3Rv1G0182720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:635692129:635706579:-1 gene:SECCE3Rv1G0182720 transcript:SECCE3Rv1G0182720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTSDKVVKIQLHPTHPWLVTADASDRVSVWDWEHRQVIYELKAGGVDERRLVGVKLEKLAEGETDSKGKPTEAIRGGSVKQVSFYDDDVRFWQHWRNCSAASEAPTAVNQQSSAFSAPAPSTRGRHFVVICCENKAIFLDLVTMRGRDVPKQELDNKSLLCMEFLSRSSSSDAPLVAFGASDGVIRVLSMMTWKLVRRYTGGHKGAISCLMTFMSAAGEVHLVSGGSDGLLVLWSADHIHDSRELVPKISLKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQALAQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAVAPLPALTESKEHSAVYIVERELKLLNFQLSNTANPSLGSASETGRSRNESIDQLIVKQSKKHISTPAPHDSYSILSASSSGKYVAVVWPDIPSFVVYKASDWSVVDSGTGKLFAWDSCRDRYALVESALAPRMPLIVKGGSSKKAKEAAAAAAQAAAAAASAASSATVQVRILLDDGTAHVLQRSIDGRSEPVVGLHGGALLGVTYRTSRRISPLTATAISTVQSMPLSGFGGSGSSFASDDPFSSREGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQYIVISSLRPQFRYLGDVSIPSATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETKKRKEEMKAREAQGRAVQDHGDLALITVEGPQVTASEKISLRPPMLQVVRLASFQHAPSIPPFIVPKQSKLNGDDSVFLKELDDRRYSEVAVAGGGVSVAVTRFPPEQKRPIGPLVLVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSKDLKRALACLLTMSNSRDVGQETTATDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQAEIAREVLKRLAAAASVKGALHGQTLRGLALRLANHGELTRLSGLVSNLITAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHAQAHGRPSLRSSVITWNKMLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIIIKKAAAKPGVPNAAQTPNAGAPMNQGTQMAQGTPMNQSTPMAQGTPLAQGAPMAQAPSALAQSTDEVKPSEATTAPDNVEATAAASNAEATAAPGTAEPTEALVPDPTSSSPDAAAAPAPAPAADSSGTDALAVTPSDSTDDASSTKVPETQDKPPSTEASPSPPPSISAV >SECCE5Rv1G0316090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:306171482:306176734:1 gene:SECCE5Rv1G0316090 transcript:SECCE5Rv1G0316090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFQLPTPVPSSGGAGVRGHHRRAHSETFLRFPDTDLFLDPDGDFSFSDLDFPSLSDDSPAVSDPTPPPPPPMAASSSQAPAPRPPGGNHNRSLSLDAAFFEGLALQGGGGGGGGGHKRSGSMDGVNSPFEGESALSGGLDYAKKAMPAERIAELALLDPKRAKRILANRQSAARSKERKIKYTGELERKVQTLQTEATTLSAQLTLLQRDTSGLTTENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQAPNMNGNQFNGGLQQIPSYLSQHHQRQQQQQQMVYLGGHQAQRRHPNHHQSPSNGGQSLSGLSLDDSMDFI >SECCE3Rv1G0185490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:675012560:675013393:1 gene:SECCE3Rv1G0185490 transcript:SECCE3Rv1G0185490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGVADVILNIKLLRDDNGGITLLQSHYVEKVLSRFGYSDCKPSPTPYDPSVLLRKNRRIARDQLRYSQIIGSLMYLASATRPDISFAMSKLSRFVSNPGDDHWRALERVMRYLKGTTSYGIHYIGNPRVLEGYSDSNWISDADEIKATGGYLFTLGGGAVSWKSCKQTILTRSTMEAELAALDTATVEAEWLRELLMDLPVVEKPIPAILMNCDNQTVIIKVNSSKDNMNYEVIKACEEEIEIYQETKKLRSYYSGLYPNDYELGRSLHKGFIT >SECCEUnv1G0546760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:161625404:161626570:1 gene:SECCEUnv1G0546760 transcript:SECCEUnv1G0546760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVALCHVMPALDVAPHHARMPAEMPPPQHLDMWSAIQSPADDVCATKTPTAPANAKATYPMAAVRRSMSHESLSLCTESLGCETGTRGDFLDLASLLYAPPSHTTDDAIAADFVSPPALQEGEEDDEAPSRLKAVQYHRARPQRAFPPPLPSMSRRRGDDAGPCLRVRPHRRDGRLVLEAVATKPQGYLHAQREGGRLKLCFVDCSSAPASVHEHPRQSMVQPQHEHQKQSVVQQSQHDEEDEEEEEEDEVEVVDRGTVVEVVAASGKAQRCSRIVINKFVGGAPSPSRCCRATEDYIAPPTVTPGLRRVPSSTTTLAAAVAAASTGMQSDEEDEEEADQKGEEESAALVFTSRVGDREELVQSVRRCRQLRQRPLFIVEPYSFIAA >SECCE7Rv1G0465860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:75108942:75112815:1 gene:SECCE7Rv1G0465860 transcript:SECCE7Rv1G0465860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPAPAASAASVLPKDSRPLPCLLLVSLLLLLLLHFLSSSSPSTPAPPDAPHLAPLPAGAASAGPAPPALAFLLTGSAGDADRLHRLLLATYHPRNLYLLLLDRAAPASDRVRLAREVRAGPGRAGNVHVVGDPGFANPRGASALAAVLHGAALLLQLAQGWDWFVHLDAGDYPLVAPDDLLHVLSYLPRDINFIQHASYIGWKESRHIRPIVVDPGLYLSSRTDIFYATQKRELPSAYKLFTGSSSIILSREFTEYCIVGTNNLPRTMLMYYTNMPLPHRKYFQTVLCNSPKFNRTVVNHDLHYWASDGTSKNEPRLLTLTDAENMTASSAAFGTRFAKDDPLLDRIDEEILHRLPGEPAPGGWCIGVGDDSPCSVSGSTDVLRPGPAAMKLAKFLAQRLSYPGFYSQQCVWD >SECCE6Rv1G0441220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:809896040:809906367:-1 gene:SECCE6Rv1G0441220 transcript:SECCE6Rv1G0441220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNQQFKILEVPPIVQELVAGGVQEPPGRYVVPEQDRPAAAVSEMPEPHPIIDLSRLSSNSAEEFTKLQSALENWNLFLAVGHGMEPSFLAEAMKAARDFFQLPLEEKMKYSNIVDGEKLSIDGYGNDMVVVENQVLDWNDRFNLLVEPESERNYSLFPTHPPSFRNFLCEYTTRCRAVANLVLQNLAKVLNLHEEYFLNMLGEKSISQAVFNYYPRCPKPDHVFGQKPHTDGSMITINFIDANVSGLQLQKYGVWYNVPIIPNALVVNIGDVMEILSNGFFKSPVHRVVTNMEKKRLSLVMFYTLDPETEIEPVSELVDENRPRRYTKMKNKDYIAKFYGTYATGKLAIDSMKI >SECCEUnv1G0540390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:80861101:80873161:1 gene:SECCEUnv1G0540390 transcript:SECCEUnv1G0540390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPAPSSLLLLVVLVGALAGGGEAALLKAHFYRPSCPAAEAVVRDIVLARVAADPAALPARLLRLFFHDCFVRGCDASLLIDSTAANTAEKDAGPNGSLGGFDVIDTAKAVLEAVCPGVVSCADIVALAARDAISFQFGRDLWDVQLGRRDGVVSSASEALSDIPSPSDNFTTLEAKFASKGLDVKDLVILSGAHTIGVGHCNLFGSRLFSSTTTGVAPATDPTLNAAYATQLRTACGSPSNNVTAVPMDPGSPARFDSHYYVNLKLGRGLFRSDAALLADRRAASMIHALTKEGYFLQEFKNAVRKMGRVGVLTGGQGEIRRNCRAVNS >SECCEUnv1G0565430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:394057573:394059166:-1 gene:SECCEUnv1G0565430 transcript:SECCEUnv1G0565430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADVARNIVGIIGNVISFGLFLSPAPTFWRIYKAKDVEEFKPDPYLATLMNCLLWCFYGLPIVHPNSTLVLTINCIGLVIESIYLILFFIYATKKTRWTMLGVLALELALMAAVVAGVLLGAHTHEKRSMIVGILCVIFNTVMYASPLTIMGKVIKTKSVEYMPFFLSLVNFLNGCCWTTYALIKFDIYITIPNGLGAIFGIIQLILYFYYYRSTPKKGKNVELPTVVTKNAVTSGNLSVTIEE >SECCE4Rv1G0219560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:32782102:32783772:1 gene:SECCE4Rv1G0219560 transcript:SECCE4Rv1G0219560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGLPPNLRFYNICIHAFGKWKRLDKSLKLFAAMKAASPPLVPDVCTYNSVIRVLVIGGRVADALMVFDEMKLAGIHPDVFTYRAVVDGCCKSFRMDDALCMFQEMRGGTGLKGDVVVYNSLLDGLFKAKRLDEACGFFETMVVDGIQCSASTHNTVIDGLFKNGRAEAACRLFYELRKKGQLLDGIAYSIMVREFCKEGTGDQVAEAVSLVKEMEERGFVIDLVTVTSLLIGFNKSRRWDLEEKIVKIIRDSSVLPDAIRWKSNMMDALTGPQDRAKDGTSIFPFDGNMNDVMSLLNPAVCTDTNDGTTNNEPKDDWSLSPHLDHLAKHADHSNNSAIFTVHRGQRVEGMGGKTFDADMVNTYMSIFLAKGKLSVACKLFEIFTNLGRKGTSYTYNSLMTSFVKKGYLKQVWAVLHERGGQLCPNDIATYNLIIQGLGQMGKTEVASSIMEQLSKKGVYMDIVMYNTLINQLGKVGKVEEANCLLEQITTRGMKPDLVTFNTLININAKAGRLKEADKYLRRMIAEGIAPNHVTETIMIFLHKEIQKKRQESK >SECCE4Rv1G0270240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:754144904:754150229:1 gene:SECCE4Rv1G0270240 transcript:SECCE4Rv1G0270240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDRKRRQPDDEAADDGERRGRRDKRPKEEKPALPLPSEIRNKEKRSEVYAKLKREKKAQKRRLGRERGQAAQRAAELGEEVPEKQVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQVVTPKVLITTCRFNSGRGPAFIKELMQVIPNAQYVNRGTYDLKKIVEYANNREFTSVMVVHTNRREPDALLIINLPEGPTAHFKLSKLVLRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRYIFEEKEKKIVSKDKKSKGETKTEKQINCRLQECGPRFTLKLLTLQHGTFDTKSGEYEWVHKPDLDTSRRRFFL >SECCE7Rv1G0500100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:661967385:661968347:1 gene:SECCE7Rv1G0500100 transcript:SECCE7Rv1G0500100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPQIRQTLSEINNRTPAALRIAVGIRFGSLPPSAAAGREDVARYAASLARQLQPRAWRSNPPTGAALRMNAASCYLPEHDEDAHFFHAVAGVIGVADGVGGCRLEGLDAAAFSRGLMASALSEVVASSAAAPPPGDICPYALLEKAYEQTAASGTPAASTAVIVSLAGRALRWAYVGDSGFAVFRDGRILHRSQPQQSYFNCPFQLSSDSEESHKVSEAAVGEIAVEEGDVVVVASDGLFDNVFDVMLERIVQSGRALSFTPQNMADIIASQAYAAARRTQDTPFSIAAREHGRAKTGGKKDDTTVVVAFIESRGIA >SECCE4Rv1G0223440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:69830750:69831091:-1 gene:SECCE4Rv1G0223440 transcript:SECCE4Rv1G0223440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannose synthase subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G74340) UniProtKB/Swiss-Prot;Acc:Q9CA79] MEMGDKAVGLVLTMTSLSIFTYYTFWVIIVPFVDDDHFVHQYFLPQEYAIFIPVFAGVVLLSFLSIFIGLVMLKSKKKQKAA >SECCE4Rv1G0215470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4771950:4774415:1 gene:SECCE4Rv1G0215470 transcript:SECCE4Rv1G0215470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 26S proteasome subunit RPN12 [Source: Projected from Oryza sativa (Os07g0435100)] MDPKLVEVTQLFDRFKAAFKASNFDVCSTLLSQLKVLLTKFPSLPPLFQQTPNAVEELKLAREIYEQAVILSVKMEDQDAFERDFCQLKPYYMDTCGIIPPSSEEYPIMGANLLRLLVQNRIAEFHTELELLPAKALDNAYIKHGVELEQSFMEGAYNRVLSARQTAPHETYIYFMDLLVKTVRDEIAGCSEKGYDYLSINDAKQMFKFSSDKELEQYIAEEHPEWDVKDGRVLFQKVKESQPCKEIPAAPVINQTLGYARELERIV >SECCE6Rv1G0389570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:156151534:156157025:1 gene:SECCE6Rv1G0389570 transcript:SECCE6Rv1G0389570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGNALILPCKRKNKAQGIGKDGKKSKSKEDPKMSKTQLKKMQKLEEEKQKKALQAKSIEFLQKHIIADDVLSLLDATSSVGQAASMKEKFRRAVQFSKHGLDVPEELSLFKKNCDRKGVPGDSEAVPEVSPVKFIKAAKLDHPGSERKNHEKDSMKPMMGLGMSILEQKTEGTNDDAGISVRQIILGQKTEETNDDADILAHQMIQSSVQNCSAAEIDLQDKELQESEAALQECFSPPIAVPVSRPLEVEKARRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRAGRKGMIGITQPRRVAVLATSKRVSYELGLKLGKEVGFQVRHDKEVGSKCSIKFMTDGILLREIQSDILLKHYSVIILDEAHERSLNTDILIGMLSRIIKLRKDTYADQQEKIRSGKNVKPEDMISQLKVVLMSATLQLREFISNRRLFGVIPPTVEVPARQFPVTIHFTKRTHDDYLVQAYKKVLSIHKSLPPGGILVFVTGQREVDYLCKKLQRASKRQIGKKPERVGDERGSRSEIDEKEIFEAYDIDRTEAEHQEDMFSSYGEYEMDDGLNVDSSDAETESETDTDSDDEDSTAHETTEDGPVLSFLKHAESSSVLKASFKAISGISGESEAAEESSNVTIAEKSNPSNPSFSKRTEPTSVSHGRLRVLPLYAMQPASQQLRVFHDILEGERLVVVATNVAETSLTIPGITYVVDTGKEKVKNYDHATGMASYEVQWISKASASQRAGRAGRTGPGHCYRLYSGAAYGKDDLFPEFSEPEIKKMPVDGLVLMLKFMKIDKVENFPFPTPPNNESLIEAKRCLKTLEALDSKEKLTSMGKAMAQYPMSPRHSCLLLTIIKILKSQQGYARSNFILGYATAAASALSYANPFLIQGDTSRESNQDGPDPEHKDQDERKRQKKLKAMVREARKDFSIPSSDALTISHALRSFECSRNPVEFCREYSLHLKTMEEMSKLRKQLLRLIFHQSKFCDEFAWNYGGSEDVEQAWRSETDKKPMPNEEELLGQGICAGWADKIAKKINTFSGLSKEGRKVRAGRYQSCILDDTIYLHRSSSVAQTPPEFVVYSELLNTKKSYMHGVTSVKPGWLFKYASSLCTFSAPLEDPKPYYEPHNDQVYCYVSPIFSRHNWQLPLHSLPIEDPTRRLQVFAWALLKGDVLPCLRVVQKFLALSPYAVLGPASQRRVGDLLSSMKIGGKLKDSRRALRDAWSSDPDFLYPEIQAWIQDKYQSQFEAIWEQMHHEVRLEGHELFPKRFKKVEG >SECCE3Rv1G0158960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:93392523:93393476:-1 gene:SECCE3Rv1G0158960 transcript:SECCE3Rv1G0158960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFLAVLAIVLALSQAASAKPWLDDKFNTDGNVRTGYDASGEQVVTLSLDQRSGAGFNSDEQYLYGEFSIQMKLIPGNSAGTVSCFYLSSGEGDGHDEIDMEFMGNSSGPGHPVVLNTNVWVNGDGKKEHQFNLWFDPAADFHTYTIIWNPENILFKVDNLFIRSFKRFAGIPYTSSKPMRLHATLWDGSYWATEKGKVPIDWSNAPFNVLYRNYYANACVSGGACHAGSDGWMNRQLDGAEWGTVKWAERSYMSYNYCEDGYRFPQGFPAECSRY >SECCE7Rv1G0491670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:532553783:532558554:1 gene:SECCE7Rv1G0491670 transcript:SECCE7Rv1G0491670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKHFIRGGIAQDLRADGRTRLQFRALTVETGIIPQANGSARVRMGATEVIASVKAELGKPTILHPDKGKVSIYVDCSPTAAPMFEGRGSEELSAELSVALQRCLLGGKSGAGAAIDLSSLIVVEGKACWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLNAETDGEPEVDISDEEFLQFDTSGVPVIITLTKVGKHYIVDATSEEESQMSSAVSVSVNSHGQICGLTKRGGAGLDPSVIFDMISVAKNVSQRFYSLLESAMAAAEAVADD >SECCE5Rv1G0308670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:135695752:135698149:-1 gene:SECCE5Rv1G0308670 transcript:SECCE5Rv1G0308670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRCDDEEQAAAVPLLAAACPTPSGLRRSHAGDVHLLSAAFLFVFSAYLPTQNLQSTLNTEGNLGAVSLGILYACFTVFTATAAAVVRRLGSRSALVVGTSGYALFILANLRPTWYTMVPASVYLGFTSSLMWVGQGTYLTSAAFSHATENKLHLGQVLGRFNGEFWGMFASTQVTGNLISLFVLGNDKDGGANKKNLLFTVFLGCMVIGIVLMCLLSRRDENRAERDGHEPQGCSFLADTSRSAVTPLADPRMLLLAPLLAYYGLQKAFVWAVFTKSVVTPVLGVAGVGGAMAVYGAAGVVSSLVAGRLTSGLRSSTFIVSTGAVLQAGVLLWLLFFYSPMDGLLGSAAPLVVGAVWGVGDGILNTQLSALIGLLFENDKEAAFALGKMWQAAATAAVFFLSPGATLQGMLAALAAALVVAVAAFLFLSLVIERSHALKF >SECCE2Rv1G0102830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:584258728:584258994:-1 gene:SECCE2Rv1G0102830 transcript:SECCE2Rv1G0102830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSGRRTTLPPQLRWYVLPLLVLLLLAMASSSSCEASRGMQPFRGRPLERGAANHFFGFLPRGPVTPSGPSRKHNAVGLDSQLEKP >SECCE4Rv1G0233660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:246706962:246707912:1 gene:SECCE4Rv1G0233660 transcript:SECCE4Rv1G0233660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAASDDPLAGGGDSACSTPFVSAHSSPTRDPFSGHHHAACFFSAPASPTRGASNEFACSGLADFDFDFSSRFPSPSAAAMSSADELFHNGQIRPVRLSAVLLQPHRPHLASPSQAPVEVEEGERGRFRGRSVHRKARSLSPFRAHWRLPSPAPPPESIEPAATPPASRSSSSSSTASSASSSSSRTSRRWGFIKDLLHRSKSDGGKDNQHPAAPAPPTFSATPKRSSSPSPSPAAARGRGAGRGSRRSAHERLYEARRAEAEEMRRRTYLPYRQGGLLLFGCIGLGNRSYGTAVRGLARGLNTATVTAVSSRS >SECCE7Rv1G0508770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:774393419:774395123:-1 gene:SECCE7Rv1G0508770 transcript:SECCE7Rv1G0508770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLYLRSSGSFKRLLLSLSPHRAKRVHAPPDAHAESPESPPPERRPEWECFSYEEVRRATDGFRAANLVGRGGSSEVYRGELDDGRAVAVKRLMGASACERRERDFLAELGTVGHARHPNVCPLLGCCVDRDLYLVFAFSARGSVSANLHGGEEGAPAMGWAARYGVAVGTARGLEYLHKGCRRRIIHRDIKASNVLLTDDFQPQISDFGLAKWLPTEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLELMAGRKPVDGTHRSLLSWARPLLSEGKTEALVDPRIIAAGNDGGYDAEQARRLAFVASLCIRASATWRPSMTEVLELLEGVEIREDRWAMPEPAAGDDEGEEMWGFDDLDDDDDEEEFSTPSPSSTSSASSA >SECCE4Rv1G0290250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:871684927:871689285:-1 gene:SECCE4Rv1G0290250 transcript:SECCE4Rv1G0290250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGPSVSNENSRIEYAVGSRKGSNPNTENAYSAILDLDGYSSTSFFGVYDGHGGANVALYCAKRLHNELVNDEDYETNLEEAMGRAFYSMDEQLQTNDDWRALANPPHVGLKLLDCINTAPCVKGTPYFEGSTSCVVLIRGHQIIVGNVGNTHCVLSRGQEAIVLSTNHTPGNADERLRIRDSDGAVVRVRDTYLVDGIFPLSRSIGDFHFKSKEGLLPTLQIVTCAPSIRTEEITDDAKYLLIGSSAFWDTISCQSAVNYLRQYSGSYSLASICDKLLNQIKNPVDNVTLLLIYFKPSARLPPTAPPAPMVG >SECCE3Rv1G0185270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:673362307:673362810:1 gene:SECCE3Rv1G0185270 transcript:SECCE3Rv1G0185270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPAVAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE2Rv1G0078860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:112861658:112866563:-1 gene:SECCE2Rv1G0078860 transcript:SECCE2Rv1G0078860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCGAAVPYTLLGALLSRGGGSYGPSWPACGGRAFLRDYARRGTNAMLWLGLLAVTWVLLRRIAALLRLWSLGSRLPGPPALLADPGLAAVCRAGGDITGYLSKLHGSFGPIIRLWLGPSQLLVSVKDASLIKEMLTKAEDKLPLTGRAYNLACGRLGIFISSFEKVKSTRESLKVFLNEKLNVAASESSFKIIEAVLHRTGSTKDMDSLDCRSFSQHMSFNIIGAALFGDVFFDWSDAAAYEELLMVVAKDGCFWASYAVPPFWKPGYRRYRALCARLKILTEGIIRKSIDQNSALRHNNLSSCKMSEGVVKDPVRCTSLLDGMISGRGFDGAVQGPLSSEEEICGNIVGLMLHGISTSANLLCNILTRLVLYPKLKGQLYADIVAVHAESSELVMDDVLKMQFVLATVCESARLLPAGPLLQRCSMQHDLTLKSSITIPAGAILVVPLHLVQMDASIWGNDACQFNPSRLLQKDINLGEILAAHKGPNGIKLFTECDKSDSFLSFGSGSRACVGQKFAILGISMFVASLLHIYEVQPQPALSKEMGLAVDSSNLRHLPNPKIILTKRKI >SECCE3Rv1G0147010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:13151186:13154020:-1 gene:SECCE3Rv1G0147010 transcript:SECCE3Rv1G0147010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADRWLLPVVSVSFVSLMLFLSALSGYTASSALFASLPPPSYVRRGAAAPPSFAYLLSGGRGDGRRLLRLLLAVYHPRNQYLLHLSADAPEAERLDLAAAVARAAPAVAAFGNVDVVGRPAAGTPMGSSGLAATLRAAAALLRLDAEWDWFVTLSAADYPLLTQDDLIHVFSSVPRHLNFIDHTSDIGWKESQRVQPVIVDAGIYLAGRNQFFQSTEKRATPDGFKFFTGSPWVILNRRFIEYCIFGWENLPRTLLMYFTNVMLPQEGYFHSVACNSDDFRNFTVNNDLRYVAWDDPPQMEPRFLNISHYDEIVDSGVPFARKFREKEYLLDKIDEKILQRWRHRPVPGAWCTGRKRWFSDPCSQWSNVNIVRPGPQAEKFRRYMDRILEESKSSNSSCAQ >SECCE7Rv1G0520720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:871838211:871848828:-1 gene:SECCE7Rv1G0520720 transcript:SECCE7Rv1G0520720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCSRMLAARHLLRGSRFQLPAALRRPGGTSGPPAPTPHLGGFGPSCGVSPGTGRRAPFGRHHCLLPGSAFPPRRCAWPLRDLRGNAFSTSASAVTAGKPADDNEHKDAPKKDIDEQIADIQILQNLWKYLRMNNSRDFNIRIVLSLGLLVGAKVINVQVPFLFKLAIDWLAALGGAEASLAGFTDANATLLALFASPAAVLIGYGIARSGVSACTELRNALFSKVTWRAIRSVSRMVFFHLHELDLRYHLSRQTGALNRIIDRGSNAINFILTVTVFNIVPTILEIGMVSSILAYKFGSTFAWITSVSVATYIVFTLALTQWRTKFRTAMNTADNASSAVAVDSLLNYETVKYFNNEQFEVRKYDKYLKRYEDAALKAQTSLAYLNFGQNVIFSLTLSTAMVLSSYGIMSGALTVGDLVMVNGLLFQLSVPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIKDELHAQPLQFKGGCIEFENVHFGYVPERKILNGATFTVPAGKSVAIVGTSGSGKSTILRLLFRFFESTSGSIRIDGQDIRGVTLDSLRKSLGVVPQDTVLFNDTIKHNIQYGRLSANDEEVYDAARRASIHDTIMNFPDKYDTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTESSILNSLMSLSVDRTSVFIAHRLTTAMLCDEIIVFENGTVVEQGPHDLLLSQRGRYAELWSQQNTTEAS >SECCE4Rv1G0265740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727360311:727363718:1 gene:SECCE4Rv1G0265740 transcript:SECCE4Rv1G0265740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLDHLTHLLAEVASRLARPPGGSPGPSPSSIAADSLSASISSLAAALNPRAAASSSGTRVLDAALSLMCFDPQEVDRARLDCLVRTAVSALSDSASCRVARTDGRAEMLCVGSSVSPRDCRELVRSCAALVEKLGGRDVAGHSYDLLHAAVKTALLSPRYQCLFPSPYYREDGENSCEMGTISLDVTTHPSNQVIPSDGSIPPRAHLWHYDPSILKHDLSEMLREAITRPLLCLRKELHDRVAWRVIVICLVCSPPAFLEMRSLFHIWFLATGMGSVLGLCTAVVSSVLDILLEPMGWGISMELGQKFPFTHAYFPSQQRDLLAILTGPISCRRFLDLVSYIEAMVFFGETSSGNSSWKNIQSQTSKGSHFGKKQPSKGLVKFKYSSAWLTIMNFPNWFTFASALLFHQEGSQGYLSELLSEEKTAESISDISLAQKAAFYLSWVLCPSNVDECQMLANNMVELSHSWARNNKRSLSSAYHTSTVNHRRRLRVPRVGDTEKHHVSTNPVSSLIKDFDDRCVKFCRITAVSQVQGAEQLDVPLSCPNFLHLRVPLGVLLVSSACISEQDCNVLLHYTSTGLVLESKEVQTKRKDQAGNDVFSSSRGGFTDRWALSGACLIFGWLDIIEDMSAVIFECEDTCRHFVSQLRTKTGTYLLKCVNLLLNEVGHDKDFVIDLRDRLLNWTNNGQCFDGCEAFKDVIVQMNAKIQPSS >SECCE7Rv1G0520160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:867878831:867880458:-1 gene:SECCE7Rv1G0520160 transcript:SECCE7Rv1G0520160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIRATLCFCFVSLISACVILVSLLSRKLMPSSEKRRPPGPWSLPLIGNLHQILTTKLPVVLRDLAKTHGPVMYLQLGQIDTVVVSSPAAAQEVLREKDLTFATRPSTLLSEVTLYGNLDVAFAPYGSYWRTLRKICTVELLSERKVRLFSPVRDSETMCLVRNVGDASRGGKPFNISMLLVSCSNSITGKTAFGEMCSPELQKEFLSALDDARNLAAGLCVGDLFPSLRFVDVLSGLRGRLWRARRQQDKVLDKIISQTEMRQGHHLLSVLLRIMDEGELDFVMELDNVKAIIMDMFTAGTETTSSAAEWVMSELMRNPEVMANAQAEVRRTFDGKSPQDHEGHMVELHYTNMVLKESMRLHPVVPLLVPRVCRETCNVCGFEITEGTRVMVNTWALGRNPECWHEPEEFRPERFEDGRTSHKGSQFDYLPFGSGRRNCPGDIFGMAVLELMVARLLYYFDWSLPAGVRPSELEMEMTVALTARRKNQLHLVATPCKAYAVVS >SECCEUnv1G0561910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:360538907:360541856:-1 gene:SECCEUnv1G0561910 transcript:SECCEUnv1G0561910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein [Source:Projected from Arabidopsis thaliana (AT2G34570) UniProtKB/TrEMBL;Acc:Q8L8C2] MRVKRRSRHRKVVKFYSTCFGFREPYKVLIDGTFVHHLLVHQLLPADDALRELLSASRAPPLLTSKCVVAELRRLGKSHSEAFDAAQLVATASCEHDKVVSAVDCILSLVGDKNPEHYFVATQDSDLRAKLREVPGVPVIYGLKNSLFIEQPSVQQRQFAQLDEEKRIHMEKSEFKKLLKASSEGKTSVGGNAPGVAEKSKFKRNRAKGPNPLSCKKKKPKPQPSAAQNQGPKTDGEAKQKRVRKRKRSAKDSSQAETAS >SECCE6Rv1G0446300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:843473849:843474400:-1 gene:SECCE6Rv1G0446300 transcript:SECCE6Rv1G0446300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRTTSRMQCRARVEIPVFICPRCRAGVDRRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEYEEWLHMLPRTAVAATRAPEEEMEGKEHTNRELVVELRIVQKKVRKLEDQAQIAIPICNYFWAMVGMVLALVIMLKMYGKA >SECCE5Rv1G0302610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:40115470:40117329:1 gene:SECCE5Rv1G0302610 transcript:SECCE5Rv1G0302610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18520, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18520) UniProtKB/Swiss-Prot;Acc:Q0WNP3] MLFCCSLSPPGIQTHPLPPFQQQSSFPRKVRSSGRHKSSKAEHHYLKAQSSRARDQYLRAQTHPDDGYGPPEQDPEAEGQSPRSPDAETLASWLRSCGTVADVRRLHGVAVRSPDGPGIFVANNLITSYARFHEISGARKVFDEMPERTVVSWTAMMNGYQKSGNYSEVVRLFLDMMASGERGNSLSFVCLLKSCGEQSNAKLGQQVHCCVVKGGWSNVIVDSAVAHFYAQCGDVASASMMFDKMSSRDVISWTTMITAYVQHGHGDKALRMFLAMISEGFHPNEFTVCSILKACAEEKALRCGKQLHGALVKKLFKNDIHVGSALVTMYARNREVSDAQAVFDMMPRRNTITWTSLISGYAQSSQAEKAIMLFRQMKTRRVSVNNLTIVGLLSACGSIRSLSLGKELHAQVIKNSIQENLQIGSTLVWCYCKCGECTYAARILEEMPDRDAVSWTAMISGYNSVGHSAEALKSLDDMLWDGVTPNTYTYSSALKACAKLEALQDGRRIHGVVNKTPAFSNVFVGSSLIDMYMRCGKVDEAQRVFNAMPEHNLVTWKVIITGFAQNGLCEEAFKYMYLMQQQGHDADDFMLSKVLTSCGDLHWKSDSISFSGSNTGSLR >SECCE7Rv1G0526650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:896216516:896227080:1 gene:SECCE7Rv1G0526650 transcript:SECCE7Rv1G0526650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLQQPRMGNEPSKLPYKLIRQITNDFEKERILGTGGFGTVYKGVFDDGREIAVKVLHNISGVDDKEFHKEFDNLRGLKHPNIVELVGFCHEWEEELAVFEGKQVTAHRLRMALCFEYVKQGSLHRHISDENKGFTWHTRYKIIKGICVGLQYLRDGEHPIMHFDLKPDNILLDESTTPKIADFGLSKLFGEENTKKTISTAGTSGYIPPEYIKHQIISREFDIFSLGAIIVRIMAGHECYNSIVEIPRRKSVNLVQKIWRKRLSGTMSHTTLEVYCNQVKRCIELALDCLISNRQERPTIKDIVLSLDDTEAIIGDRGMQKDQLCQDDGESTLPSDIRGTTTSNSKSSSENFVAVEPRVMSLSLLKEMTDDFSEERLIGRGSSGSIYKGFHADGQVIAVKILNSHGFDTTAFHKEIQILMTIHHKNIVQFVGYCRETRSKIVKSNGKHVLAEEIHTLLCFEYLQTSLDKCMSLDVESGLDWQTQYRIIKGICEGLKYLHEGLEIPIIHMGLNPSSILLDEKMTPRISDFTSAYLIGEITTCVTRRVAGTMGYMPPEYIHRQVISKHYDIYSLGVILLQLMTGKQSYREFYDMSVQMFIDLGIEKWKQRLQKSMDITLVKGYCQQIKKCLEIAVRCLETDRHKRPSIGNIVDTLDEIEASLIPQLDYELLLYVHPLELTFMSSLPLEIPRKKKAPMMSSSCLLHLDNKGNDRVAFMLVANNPSRYLTKEPLCGVVQPRCAYTLTLTMCNNKQQPSLSSLTTDSGANYFTLHSVMVGQYDLDKDTVSAEYEEYFKKTKEKASHDVQEVTLNVICCQADRGISSEPTETTVEIITKPDAQKVLSIDVHPMEPWIITTHHGGSLRFWNYKTMATLKSIQDVTDEPVCVAKFIARENWLIAGDRNGWIHVHNYNENEEVESFDAHNSCITTLAVHPLEPFVLSSSEDVDHLIKLWNWADDWECTEFRGHAGTVTQLTFDPNVSNSFASASLDGTVKIWSIVSGDPCKVITLNLDEHGLYVDYFTRYDQRLMIVGCKDKTPQIWKLETKERVHELEGHTNLISAINLHPELPILITASLDGTIRIWNSTTYKLENIIDFNLGAVYAFRCLKGSRRIVVGCHQGIGLMEIPVP >SECCE2Rv1G0111640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:702528197:702530629:-1 gene:SECCE2Rv1G0111640 transcript:SECCE2Rv1G0111640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATPSITRIAVVTGGSKGIGLEACKQLAGGGAITVVLTARDETRGTAAVEQIKRLGHADVIFHQLDITDASSIARLADFLKTRFGKLDILVNNAATDGIEHVIDPVYGLMPGDETFNGMDADQRMDWMWTTCRQTYETAKQGLQTNYYGTKRVTVALLPLLRSSSDGRIVNVSSNFGLLSFFRNEELKQELNGVDSLTEERLDELLAMFLKDFESGGAEARGWPAEFSAYKVAKAAMNAYSRILAKRHPELRVNCAHPGYVKTDITRNSGILTPEEGARNITSVALLPEGGPTGKYFSEGEEASFL >SECCE3Rv1G0211900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953701022:953702404:-1 gene:SECCE3Rv1G0211900 transcript:SECCE3Rv1G0211900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACALAGGPAAFKDVFVDSRHDPPLRLPKKDHNDGDVDALTSDPIRGLRLYQGSWVIEGWIPGIVQIQNGAGFTPRRGDVILASPPKCGTTWLKALAFATMARRAHPPAADQPLLRHTPHDCVPFMEVIVSAGWGSKLDALPSPRLLSTHMPYSMLPASITKNSDNKIVYISRDPKDMVVSMWHFLRHPQPNLSFNDMFEPTCEGRSVCGPFWEHILGYWIAGKTMSGDKGQPEMTSAAVLFLRYEELIKDLANNIRKLARFVGQPFSAAEEETKVVDDIVDLCSFEKLSSLKVSKAGSVVFTQFPRESYFRRGGVGDWVNHMTPEMAHRFDALLQSKFHGSGLDLM >SECCE3Rv1G0187060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:694299771:694300712:-1 gene:SECCE3Rv1G0187060 transcript:SECCE3Rv1G0187060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKLPPPNPNLPYREDCWSEGETAALVDAWGSRYLDLNRGSLRQPQWREVADSVNTRPGASARRRPPRTDIQCKNRVDTLKKKYKAERARGGPSPWPFFRQLDVLVGPTLSAAAAKKPSPPRALPMLRRRMSPSRSPSPPSPAPPMALPLPNYRRGSNLPAADLFHKAAAAAAAADSDSDDGYNNNNYDDDNGSQQSASRSVSSHSGGNGPPALGGGGNKRKRGGAGGFGELATAMESFAEMYERMETAKQRHAEEMEKQRIKFLKDLELKRMQAFVDMQLQLSRVKQDKNGTSEMLMSLAALPFLSNPAYL >SECCE1Rv1G0045150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:608526760:608527278:1 gene:SECCE1Rv1G0045150 transcript:SECCE1Rv1G0045150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKALLLITMAVTMLGTVLGTSHTVGAPDRSWDLQTNYSEWASRIRFSTGDELKFRYSAAVHNVVEVSKVGYDSCNSSSRIATFSTGNDVVPLATIGTRYFICGFPGHCDAGMKVEVNVKSKEVQTVQRCRLTGNRHRCQSETVLSSAAATTVAQLGVAVVAAGLMFFFLE >SECCEUnv1G0554450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:286985142:286985405:-1 gene:SECCEUnv1G0554450 transcript:SECCEUnv1G0554450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYSEIEREKANLINATSISLEQLEKSKNETLYFEKQRAMNQVRQRVFQQAVQGALGTLNSCLNTELHFRTIRANIGILGSLEWKR >SECCE4Rv1G0231230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:179969026:179969890:1 gene:SECCE4Rv1G0231230 transcript:SECCE4Rv1G0231230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVTGIAATALLVSSLALRQAGVRAAATAPILATSCVAYVVTVASHTAVNLPWILGKNPSGRFPLWSSVLFGPFLMLARTYAKVKRFLRKENVYDEIAEGLYLGGWPFMAKHLPPGDPSVVDCTCELPRSSFVKVDEYVCLATWDTRAPLPSQIEFAARWACEKRAQGKPVYVHCAFGHGRSACVMCAILVATGVAENWNDAENVIRGRRKIKMNALHRKTLEDWSKSRVAQKKDN >SECCE6Rv1G0421910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:687255652:687256329:1 gene:SECCE6Rv1G0421910 transcript:SECCE6Rv1G0421910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPLPTAIQMPPPTATKDVDADGEITAAAPPTATPTMDRVMSSVTNLAQLLPTGTVLAYQALSPSFTNHGKCEASNQWLTVALVAVLVVMCLFFSFTDSLVGRDGKLYYGVATPHGFNVFNFPDEDESREWDRDELRSLRLRPLDFVHSFFAAVLFLTVVFSDVGLQNCFFPDANRNTQELLKNLPLGMAFLSTFVFTIFPTKRKGIGFSDNTPRRKADHLI >SECCE1Rv1G0017430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:154877412:154878697:-1 gene:SECCE1Rv1G0017430 transcript:SECCE1Rv1G0017430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSPSGSRATARSGRVEELADATTEEGGESNLSALLYDVSQQVQDSLQNMLKMTGEIEQCSDEIEVEIEQAKEGVADKCRVLEEEKERFQMVALAALNILSGGI >SECCE1Rv1G0058200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:698246813:698248260:-1 gene:SECCE1Rv1G0058200 transcript:SECCE1Rv1G0058200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKPSDETTGQVRPEGDVSDVKVETANQNKGNEMPSAQQEEAVIKKKYGGVLPKKSPLISKDHERAYFDSADWALGKQGGHPQKPKGPLEALRPKLQPTQQQARSRRFLHASTDSDEGANSPTEATTPNQESTLNQESTEEAKAAENKESTE >SECCE6Rv1G0437090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782372586:782372966:-1 gene:SECCE6Rv1G0437090 transcript:SECCE6Rv1G0437090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTFHHLLFFLAVTLAASSIISAAAAAGDQDDVQLRKVAMSQAVKVLSRYSPETTDQETLKRALAVLNREAQRYWKPIFENVNRVMDSGADRRSKEAAFAVAKELLNRELGQGPNAVKIDFEYA >SECCE5Rv1G0324240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:444515867:444524603:1 gene:SECCE5Rv1G0324240 transcript:SECCE5Rv1G0324240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTCGWRLLFFLALLLAKVRHGSSSGVKAGAAAGGGALPPTHRLFPAEVRTLRRIAANLGISHWNFSADHCGSGGGLECDCSFDNNTLCHATEIFLRGQNFTGQLPPDFADLPNLLQLDLSRSLFHGAVPDRWARMRLKGLSLMGNRLSGPFPMALTRITTLTNLSIEANDFRGQIPAEIGLLTQLEKLIISTNEFTGPLPAALSLLTNLTDLRISGNNLSGRVPDFLAKLTKLGKLQIEGSLLEGPIPLGLSKLTNLSDLRISDLRGSGSVFPDLRGMQSMKALVLRNCSISGEIPSYIWSMENLTHLDLSFNELTGKVTDSFTNRGTVDYIYLTGNSLTGNIPDWLLRSNSIVDLSFNNFTIGSSGRPTQCQGSGNLVESYSPEMNSLNNVQPCLKKNFPCASNGQYRSSLHINCGDKEAIVNGVKYEGDTTPKGASVLYESPDSNWAFSSTGNFMDDNINDDNYIASSTSKLTMPNSKLYAKARLSPLSLTYYGLCMHNGSYTVKLHFAEIIFTNDSTYCSLGKRKFNVFIQGRMVLEDFDIEQSAGGAGKLVIKTFTTYVTNHTLKIQFYWAGRGTTGIPYRGFYGPLISAISVTPNFQIPLAVEPPQTGSSTKISRTSKALLIGGAIIVMFTALVVGTWIKRQRKNLVNQDLQALDLQIGSFTLRQIKAATRNFDPANKIGEGGFGSVYKGLLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVRLYGCCTEGNQLLLVYEYMENNCLARALFVEEYRLALDWPTRRKICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLDAKISDFGLAKLNEDGHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLHERGTLLELVDPDLGSNYSTEEALLMLNVALLCTNAAPTLRPKMSNAVSLLEGHTPLQPFLSELSLAAKSLSSSGLRRNFWENPSESQSITAQASCNNTSDSSSLDVDGSLRHFAT >SECCE5Rv1G0326050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:473087088:473089698:-1 gene:SECCE5Rv1G0326050 transcript:SECCE5Rv1G0326050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-D1-1 [Source:Projected from Arabidopsis thaliana (AT1G70210) UniProtKB/Swiss-Prot;Acc:P42751] MPEDDASFLLCAEDAFFFVDAAASTCTTAEQDDGWCSGAEEESSAAAASFVAELIGGEADYSPRSDYSDRLRSRSVDPAARADSIAWILKVQEYYGFLPLTAYLAVNYMDRFLSLHRLPHEDGWAMQLLAVTCLSLAAKMEETLVPSLLDLQIESTRYIFEPRTILRMELLVLTALNWRLRSVTPFTFIDFFACKVDPRGRHMRHLIARATQMILAAIHDIEFLDHCPSSMAAAAVLCAAGETPRLAVNWCIGLAEEGVSSCYQLMQQLVGGKRAATAAAAVNLCSDEVLSCDSSSCTTPPPPKRRKRSPPPVIT >SECCE3Rv1G0194610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:783077368:783078394:1 gene:SECCE3Rv1G0194610 transcript:SECCE3Rv1G0194610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMIVQMEPITHVDDGNDDPPPSHAARQRRPKFPNMAAVPLLKKATAEFLGTFILMFTQVSAIIMDEQHDGVVGLMGIAVSVGLAVTVLVFSTIHISGCHLNPAVSIAMAAFGHLPPAHLVPYVAAQVLSSTAASFVGKAIYHPVNPGIATVPSVGTVEAFAVEFIITFVLLFVITAVATDPHAVKELIAVAVGATVVMNILIAGPSTGASMNPARTIGPAIVTGRYNNIWVYLLAQPLGAIAGAGSYVAIKL >SECCE7Rv1G0470120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:116404108:116407286:1 gene:SECCE7Rv1G0470120 transcript:SECCE7Rv1G0470120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTVSDGGEDFDGDFSSQTGSHRHTDSNNTHHHHHHHHHGYKVGFPPAKGLFAEFADGVKETFFADDPLREYKDQPRSKKLWLSLVHLFPVLDWARSYTFGKFKGDFIAGLTIASLCIPQDIGYAKLAFLPPHIGLYSSFVPPLVYAMMGSSRDIAIGPVAVVSLLLGTLLQEEIDPVKNPYEYSRLAFTATFFAGITQAMLGFFRLGFIIEFLSHAAIVGFMAGAAVTIALQQLKGFLGIAKFTKKSDIISVMKSVWGNVHHGWNYQTILIGASFLAFLLTTKYIAKKNKKLFWVSAIAPLISVVISTFCVYITRADKQGVAIVKDIKQGINPPSFHLIYWSGPYLAKGFRIGVVAGMVALTEAIAIGRTFAAMKDYQIDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCKTAVSNVVMAIVVMLTLLLITPLFKYTPNAILASIIINAVVSLVDYETAYLIWKVDKMDFVALLGAFFGVVFASVEYGLLIAVAISLGKILLQVTRPRTALLGNLPRTTIYRNVEQYPDATKVPGVMIVRVDSAIYFTNSNYVKERILRWLRDEEEQQQEQNLSKTEYLIVELSPVTDIDTSGIHALEELLKALEKRKIQLILANPGPAVIQKLRSAKFTELIGDDKIFLSVGDAVKKFAPKSALNV >SECCE4Rv1G0233260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:232510893:232516618:1 gene:SECCE4Rv1G0233260 transcript:SECCE4Rv1G0233260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEREAVAATAVVEDVLRMHGEGLGGAGGVGEVVVMGRNIDMAWRKAEVAAVRRNEAANWLRRTVGVVCAKNLAEEPSEEEFRLGLRNGIILCNAVNKIQPGTVPKVVEVHSVSTNPSDGSALCAYQYFENVRNFLTGLQDLGLPTFEVSDLEKGGQGVRVVDCVLAVKSFAETKQLGKQALFKHGGIVKPSMSAKCSVRKNEPFMKALTRSHSAELLRDGVSLEQTLGLDCSLERAETINSDSIRMLVQTILSDKKPEEVPLLVESLLSKVIHEFERRMANQNDLVKYTIDPNDSSPLSKTESSDTPQEMEATSTSDHGKMDEEDHESVTNHVKTDEEDNSCITNNVKMDDEDHNSVGSTGDVSAAVLVNGDNVAKDIQAKADIHFELQKKQIQDMRSNLCTVKSGIEQFKLQYSEDLAKLGNHLRTISHAASGYHKVLEENRKLYNQIQDLRGNIRVYCRVRPFLPGKVSSSSSVAGIEDRTITLMTSSKQGKDARKSFTFNRVFGPLATQAEVFVDMQPLVRSVLDGYNVCIFAYGQTGSGKTFTMSGPKILTEEGLGVNYRALNDLFDIQAQRRDTFCYEISVQMMEIYNEQVRDLLHTEIRNCSQNGIAVPDANRVPVASTSDVVDLMNLGQKNRAVCSTAMNDRSSRSHSCVTVHVQGRDLASGAVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLAALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEPDAIGESISTLKFAERVASVELGAAKTNKEGGEVKELKEQIACLKAALVSKDGENESIRSTHSSPDILRDIRISHASPASRHPGEETGCLETRSNGTPTRQTKPNFELSDMLIESDPSSWLDGCNGDNTRLRSSNSLPELGPDATHDLALYQRSSPDQPWSRAGSIATEDSDDGEVETTCSSEQDSVRPASAPKASVSANGGASVAKKAQTPSVRSTDIRGTNPAKRTSPLPKKVNGTAHIPIKNGKQSTSGGVDGKKTPNGKVSTKK >SECCE1Rv1G0054530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675302777:675306507:-1 gene:SECCE1Rv1G0054530 transcript:SECCE1Rv1G0054530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVSASQGAVQILLGKLGNVLATKYALLGGVRGEIQELKDELESMTACLRDLADDDDYNEQTRTWMKQVREVAFDVEDCMDRFCHHLSEDHGDRQGLLEYLHRMFNMVRTLRVRHKVATDIQGLKSRAQQVSDRRLRYTYTQKASDDPAGRSGKRALDTSYSHLDNLDRWLPAIHGDGSGLVGMGNMTDAVVRLLNKQRQAAGSPRVLSIVGFGGLGKTTLATTVYNSPELGGIQCRAFVPVSQTYDARSLLESVLKQLLAKADNDEHEDLLRNIKRWDISKLVDDIKQRLKHKRYLIVLDDVWRAAAWEQLKVVFPHDNDEEGSIVITTRSLEVARSCCTCPNGHIYEMEPLPQNDSEKLFFKTVFDSGECPADLQEVSNAILARCNGLPLAIVSIGRMLARKQTKTSAEWETVCKRLGSELETNPTLEGMRQILSLSYNDLPYHLKACFLYLCAFPEDFDIRRGSLIRRWAAEGLIIGMYERSLEEIGQICLDEFVSRNIIIPGQIGCSGKIKSYKVHDIMLEVIINKSVKENFISLLGNSQYNTTAGHDKVRRLSIHPGGTREKRTFSSKNIAHTRSLTILDSTVKPVPIKISDLTLLRVLDLEGCAWLRNKDLKEICKLYLLRYLSLRNTTISQLPNAIGKLKELVTLDVRETSVAKFPKGITRLQNLNHLLVGRYAYYTRTRSVKHFVWNDGAKVPLGLGNMGALQRISHVDISTEKSSNAMGELGKLHQLTRLCVINRKEAKLWKPFAKSLDELNSSLRYLMVVDGSEQVEELNFLAYLKNPPLSLQSLHLVGRLTRLPGWVSSLSDLASLSLRETYQLANDSFEVLAKLPSLVSLKLYDKGYTGRALFEEGWFPQLKQLVVDNLDQLEELSFRGGAPNLERLTLYFPSVPRGGVNGIGKERLPKLREVEFFSLVIESIFDQVCEAAKEYPNHLKVTREDRPTTTTEAARAPARQLDNTGPGAGSSEPELTDQADTPALRCEDDEATPAWRVQPSTCPHTYTL >SECCE6Rv1G0423100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:693793274:693795460:1 gene:SECCE6Rv1G0423100 transcript:SECCE6Rv1G0423100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04260) UniProtKB/Swiss-Prot;Acc:Q8VZT6] MATTSAYAVAAGGGDAAAACRGALLRPRPPPHRLAFLSRAPARRASISLPPGPRRAGLAAATAEGRTGMGMEDEEEEGPAWVELEPIGGEEQLDLALAEAQQRGVPIVVFWTASWCRKCIYLKPKLEKLAAEYYPRIRFYCVDVNAVPQKLVNRAGVTKMPSIQMWSDSRKQAEVIGGHESWLVIEDVRRMVEQEE >SECCE6Rv1G0402590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:484991201:484991890:-1 gene:SECCE6Rv1G0402590 transcript:SECCE6Rv1G0402590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAGAASVRSPFALVSSSRRRYDLPTAVKATTNSNSASPHPIISSLRLAASAAVLLAATSPAIACTPSPPPPPALTVTVSPEDAIQDDSHPFEKLIVETAALSRFGGAEGALARLSAAGGGEHCARLLAAQTLFLEGKADEAIAAFEELAREDPGDYRPLFCQYVLYSVLGRAAESESMLERCQAIAGDKFSANLTMPVSAAKEVEAEAEAEPVTEKTEAEAEREKL >SECCE4Rv1G0262500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:708016141:708017739:-1 gene:SECCE4Rv1G0262500 transcript:SECCE4Rv1G0262500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFEDGHHVRLRSRERGTYLHADDDGLGVSLSRRRASMNSAWAVHIYQGDRGPQYLLLHSAAYGRYLGATDAPALRGHIESRVEQCDYGPWEEEAIRWQAVGIGSGDNILLRHVGGRRLRANGRYLSVDDSDSAGTMMHWVVERIPAREDTPRLAAPTGRNYFSNLMVLCAQLQLRLPRSLSFMLPWRVIQVEQAGADESNASFPWASLVFRGRSAYHLRKKLASRLGVAMDASNLLMCVRAGMHGRPTPLVIDLPRSRQTLDIIVVTAGTPALADLRYPNVDAE >SECCE5Rv1G0343880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:641857524:641861006:1 gene:SECCE5Rv1G0343880 transcript:SECCE5Rv1G0343880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLAGGGGGVGAGAGGDPVAVCRDRKRLIKAAADRRFALAAAHAGYAAALRAVADALDVFVARHTAPAPILITLPTPTASPPGSPKPAQALMPSAPSPTPSPPPPQPQQQRQTEAPPAEEEQEDCGARTPEMGTPEMGRPYYYTPPATPPPPPPAASAVGGWDFFNPFYGTEEVAAAAAAISDEEMRAVREREGIPDLEEAEEEDDDEDEDEGEKAAATKNPKTEASLGMTKQEEVGEVTTGNNGGGLEVGMVQPGRELLAALKEVEELLSRAAEAGKEVSGMLEAAARAPELKESPSKIIHAIGWHRSPSSVSSSSYRSELGASSNSLSWTEKSETNKSDIFDDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQSYEKKCVQLRNQDAKGSELRCAEKTRTNVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLHGFARTWKVMVESHEAQRQIMFEVNSFTCPAYGKFCNDAQRHATLRLEVELRNWRSCFVSYVSAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRAGAPPLVMICHEWHTTLSRFPYKRVPFTMRNFNRSVRVLWLKQGEEQQQKRKVDGLAKELDKKATAYKRAENKVIETKLLEHRPEQDARQRMEQLSERKEALSALRRRVEAEKARHHHCMRDTHDVTLNGFKIGLASMFEALAEFSRDSVALYEDLLARAGPKEGPEKAASGGS >SECCE5Rv1G0361100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:777564777:777566433:-1 gene:SECCE5Rv1G0361100 transcript:SECCE5Rv1G0361100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRFRSVDAGAGTYPTTLPSPRMLDSGATEPPGFRKSMNPIYADGTARSASTMTISASLDAPDPDGSRRSHADGGAGADADVESGNSNTSVAAVLVRRHTGGDGRWEAIRAADARESPLSLGHFRLLKRLGYGDIGSVYLVELRGAAGGGALFAMKVMDKGSLVSRNKLSRAQTEREILGLLDHPFLPTLYSHFETDKFFCLLMEFCSGGNLHSLRQKQPNKHFTEHAARFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCAVSPTLVRTPSGRVGAAGGLVHGCKLPRILSSSKAKGKKKLAAAKATHTQQELVPGDGKKQPWTSLEFMAEPTSARSMSFVGTHEYLAPEIIRGDGHGSAVDWWTFGVFLYELLHGTTPFKGSGNRATLFNVVGQPLRFPDAPGVSAAARDLIRGLLAKEPQKRLAYRRGAAEIKQHPFFEGVNWALVRSAAPPYIPDAVDCSPVPVRLPSDAPSQGGTPRSAAGGKAGSPHADPSSYVDFEYF >SECCE3Rv1G0188850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:719437850:719439583:1 gene:SECCE3Rv1G0188850 transcript:SECCE3Rv1G0188850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKASAAVTLRTRKFMTNRLLSRKQFVLEVIHPGKANVPKAELKDKLAKMYDVKDANCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQIKERKNRSKKIRGVKKTKADAGKKKK >SECCE2Rv1G0119730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:793982121:793982753:-1 gene:SECCE2Rv1G0119730 transcript:SECCE2Rv1G0119730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNDTKLAAVADAENPAVLCGDVDDSGSSFDFAGRANWLRAAVLGANDGLVSTASLMLGVSAVKPEARAMVVSGFAGLLAGACSMAIGEYVSVCSQRDVEIAQLDQAGKRGGDEEKGLPNPAQAAAASALAFSVGALLPLLAAGFIVGYNLRVAVVVLVATLALAAFGCVGAVLGRAPVARSCARVVVGGLAAMAVTFGFMRLFRASGI >SECCE2Rv1G0101230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:558263254:558265747:-1 gene:SECCE2Rv1G0101230 transcript:SECCE2Rv1G0101230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPEEVVEHIFSFLPAQCDRNTVSLVCKVWYEIERLSRRTVFVGNCYAVRPERVVLRFPNVRALTVKGKPHFADFNLVPPDWGGYAGPWIEAAARGCVGLEELRMKRMVVSDESLELLAKSFPRFRALVLISCEGFSTDGLAAIASHCKLLRELDLQENEVDDRGPRWLSCFPDSCTSLVSLNFACIKGEVNAGSLERLVARSPSLRSLRLNRSVSVDTLSKILMRAPNLEDLGTGNLTDDFQAESYLRLTLALEKCKLLRSLSGFWDASPFCLPFIYPVCGQLTGLNLSYAPTLDSSDLTKMISHCVKLQRLWVLDCIADKGLQVVASSCKDLQELRVFPSDFYIAGYSPVTEEGLVAISLGCQKLSSLLYFCHQMTNAALLIIAKNCPNFTRFRLCILEPGKPDAMTNQPLDEGFGAIVRECKGLRRLSISGLLTDKVFMYIGKFAKQLEMLSIAFAGDSDAGMMHVMEGCNNLRKLEIRDSPFGDAALLENVTKYETMRSLWMSSCNVTEKGCQILASKMPMLNVEVINEVDESNEMDENHGIPKVDKLYVYRTTAGARDDAPSFVKIL >SECCE5Rv1G0375470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868284253:868285275:1 gene:SECCE5Rv1G0375470 transcript:SECCE5Rv1G0375470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRLSALRSFDDTKAGVKGLVDAGVTEVPAIFRHSPGALAPSAVSTDDAIPVIDLSACRSEVVAAVKAAAQTMGVFQVVKHGVPEADMSAMLSAARRFHEEPVEAKARHYTRDAGRRVRYHCNTDLFRSPAARWRDTLYMDNPDELDAEVLPRACMGVAPAYSRLMRRLGHDLFELLSEALGAPRGYLEEAGLMEALHVSAHYYPACPQPLLTLGSGKHSDSCCATVLLQDGVGGLQVLREDGEHGVWVNVRVVAGALVVNVGDFMHLVSNGRFHSVVHRVVAKSVSPRISVACFFRGNGATVCAPVVGSGPPRYRSVTAEELLSSSSAQNNLSDLRI >SECCE5Rv1G0357730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:749073556:749073840:-1 gene:SECCE5Rv1G0357730 transcript:SECCE5Rv1G0357730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELERHVMFPAVYRLIELALLLPVATATVERAFSSMKIIKTELRRKMCDGWLNDLMVCYIEREIFKSIDLNKIKEDFQKEGRALPLPGSSTRH >SECCE2Rv1G0108410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:663414753:663415966:-1 gene:SECCE2Rv1G0108410 transcript:SECCE2Rv1G0108410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic spindle checkpoint protein MAD2 [Source:Projected from Arabidopsis thaliana (AT3G25980) UniProtKB/Swiss-Prot;Acc:Q9LU93] MASRTASKDIITLKGSAAIVSEFFGYAANSILYNRGVYPEESFAKVKKYGLPMLLTQDEAVKTFLTNLTSQLSEWLEGGKLQRIVLVIMSKATGEVLERWNFNIITDGEVVEKGVVKEKSDKEIMREIQAIMRQVNSCISFLPCLDEPCIFDVLAYTDSDTTVPFTWMESDAKLIDNPQMVKLHSFDTKIHKVDTLVSYKVDDLDEQ >SECCE6Rv1G0401460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:466320487:466321765:1 gene:SECCE6Rv1G0401460 transcript:SECCE6Rv1G0401460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSASGMAVCDQCKLKFQELKAKRSFRFIVFKINEKVQQVVVDRVGEKNESYDDFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKGRAL >SECCE3Rv1G0182440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:628454982:628460673:1 gene:SECCE3Rv1G0182440 transcript:SECCE3Rv1G0182440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASCSGRGGFLSPAAVAAAVLLALAAAQARASPAAPCVPRLFSFGDSLADTGNFPFLYGNDSREPALRTPYGETFFRRATGRFSDGRLIVDFIADTMGLPFVRPYLSGRTAEDFASGANFAVGGAMALGPDFFRGRGVPMGDRMHLGVEMKWFRDLLDLLCPADRADCTGMMNQSLFLVGEIGGNDYNIPLLSRVPFEKIRMFTPSVVAKISSTVTELIGLGAKTLVVPGNLPIGCVPNYLMIFKSDKKEDYESETGCLRWMNEFSKYHNRLLIDELENLRKLHPSVSIIYADYYGAAMEIYRSPEQFGIDHPLAACCGGGGPYGVSMTARCGYGEYKVCDDPQKYGSWDGFHPSEAAYKGIAIGLLRGTYTQPSISTTISSCPQLTELGSSVEYKNCGTVLHLTVVAQVIGWLLFFVHFL >SECCE4Rv1G0255320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:645395892:645399350:1 gene:SECCE4Rv1G0255320 transcript:SECCE4Rv1G0255320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLVPTAAACAAPSASRPRPARVSVRASAAAAAAPPRRETDPKKRVVITGMGLVSVFGNDVDAYYDRLLAGESGVGPIDRFDASKFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALESAGLALGSDAMGKIDKARAGVLVGTGMGGLQVFSDGVQNLLEKGYRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRKGEADVMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDKERDGFVMGEGAGVLVMESLEHAMKRGAPIVAEYLGGAVNCDAYHMTDPRADGLGVSSCIRQSLQDAGVAPEEVNYINAHATSTLAGDLAEMNAIKQVFKDPSGIKVNATKSMIGHCLGAAGGLEAIATIKAINTGLVHPSINQFDREPAVEFDTVPNVKAEHEINVGISNSFGFGGHNSVVVFAPFKP >SECCE1Rv1G0019920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:247449507:247474411:1 gene:SECCE1Rv1G0019920 transcript:SECCE1Rv1G0019920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSGGGGRASRPSTSLSDAKLEPSASASLDGGLLLRLLQNPSPRPQQQQNPAQPLAEPNNFFVDPAVAAVGPLFSSPPYVHLGGFAWPSSSAPQPQLRSSDPRFAQPLDPYAERGGGGFRSVDVVSRNRPEKPRSGAPPPGFGKPSPPKFATAWHPATGREMDDVFGAMQQNRGRQREPNYHHPKGFGRTHNSENQEMPTFTGGQGVLGRLPHEERNTSLIIGSRGPAAVMMYREQQQQQDHILSRTPPDANASANAHGITGRMPHGDQHTLQFAGGRTMIGEHHIHPSTGARIPHKGQRLQEPCLADISQGGQRWQGHASRNLPAGTVLGMLGKMPMKEKHQVTVPSSSSVDMDVRGDRGKKFLAEATGLEVGVGEVGFERGVQGWVVVDARNFKISCQNSEVRSSGKKEEEDADSKEEDAIIEQFMDTVVIEGNDEAKGTVVQNSGSRSKDFRSDSSRGHHVSSQRVRFQRRVRACRYDIDQFTPNFLSIFDSLVPSEEEIAKQNQLVIALSRLINKEWPNSKLYLYGSCANSFGFSNSDIDLCLSIDDKEMSKVDIILKLADILQAGNLQNIQALTRARVPIVKLMDLDTGLSCDICVNNLLAVVNTKLLRDYAQIDQRLRQLAFIVKHWAKSRRVNETYQGTLSSYSYVIMCIHLLQLRRILPCLQEMEATCYVTVDDNHYAYFDQVDKLNNYGAHNNETLSSLLWAFFHYWAYQHDYTQDVISIRTGKIISKHMKDWTRRVGNDRHLICIEDPFETSHDLGRVVDKFSIKILREEFERAANILQYDPNPSVKLFEPYVPPPPSGTLDEEGTV >SECCE7Rv1G0476200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:199629234:199637991:1 gene:SECCE7Rv1G0476200 transcript:SECCE7Rv1G0476200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALHRRLLLLAVLTVSALLPRAAAVRPFVLVLSGEDFLKDPSGAHPSLPSADGDSEDWDDFADDSPATDPLLSPSSWIPVLDPTTPSPSGDQPDPPSDALFVAGVRAMISAASSGDEAAFSTASAQIDAAAAGGHPGAQSALAFLSGAGMTRPVSRSRAFLLHKFAADAGDLQSKMALAYAYFRQEMYEEAVTLYAELAEAALTSSLISKEPPVIEPVRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNAAAMYKLGLLYYYGLRGLRRDYGKSYHWFSKAVEKDEPRAMELMGEIFARGAGVEKNYTLAYRWLRLAAQQEQYSAYNGLGYLYVKGYGVEKNLTKAKEYFEIAAEHKEHGGYYNLGVLYLKGIGVKRDVMTACNYFLRAVNAGQPKAIYQVAKLFQKGIGLKRNLHMATVLYKSVAERGPWSSMSRWALESYLKGDIGKALLLYSRMADLGYEVAQSNAAWILDRYGDENICMGESGFCSDMERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGVGRDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHLAKRYYDQAVEVDSAAKLPVMLALTSLWIRKNYAGSFMVNFIDSLPEIYPVVEEWVEDVLMDEGNATILTLFACLVTVLYLRERQRRQVVADNPQQPDGAPN >SECCE6Rv1G0401300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:464601970:464602845:1 gene:SECCE6Rv1G0401300 transcript:SECCE6Rv1G0401300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQKLVRQPSPEIPDNALVEILSRVPYRSLCRFKCVSKPWLSLCSDPDIRRRCPQTLSGFFYNRSGCGLSFRNLSGRGPPLVDPSLPFLRGRYERVEIQQCYGGLLLCRCWDSYKGRNKKKFGYAVCNPATREWTVLTLIVLPDPVDGVPVIYDVNDLFLGFDAAVPSRFVVFAPLSNSFGEFAQVAIFSSETRRWTSVESEWPYKTVLLGGTACAYLNGTMHLTTHHGTIVTLDAEGKTWREIEDCIEDCCEVVSIGHSQGSLHAWLIDNDKDPELRVWVLEDYASGK >SECCE3Rv1G0201510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:864709115:864715213:1 gene:SECCE3Rv1G0201510 transcript:SECCE3Rv1G0201510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGRLLPLLLLLHALLVVAAAAVSGVTYDHRSLIISGRRRLLISTSIHYPRSVPAMWPKLVAEAKEGGADCIETYVFWNGHETAPGKYYFEDRFDLVQFARVVKDAGLFLILRIGPFVAGEWNFGGLPVWLHYIPGTVFRTNNEPFKSHMKGFTTKIVDMMKKEQFFASQGGHIILAQIENEYEGTEQAYGAGGKAYAKWAASMALAQNTGVPWIMCQQSDAPDHVINTCNSFYCDQFMPNSPTKPKIWTENWPGWFQNFGEANPHRPAEDVAFSVARFFGKGGTVQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRLPKWAHLRELHKSIKFCEHSLLYGNSTSFSLGPQQEADVYTDHSGGCVAFLSNIDSEKDKVVTFRKRKYDLPAWSVSILPDCESVVYNTAKVRSQTSMVDMVPETLHASKPDQWSIFAEKIGIWDKNDFIRNRFVDHINTTRDSTDYLWHTTSFNVDMSYPTSGKHPILNIDSKGHGVHAFLNDVLIGSAFGNGSKSSFSVHMPINLKTGKNEIALLSMTVGLQNAGARYEWVGAGLTNVNISGMENGTIDLSSNNWAYKIGLEGEHYSLFKPDQGNNQRWRQQSEPPKDQPLTWYKVNVDVPQGDDPIGLDMQSMGKGLAWLNGNSIGRYWLRTSSSDDRCTPSCNYRGQFSPDNCRTGCGEPTQRWYHVPRSWFHPSGNTLVVFEEQGGDPTRITFSRRIATSVCSFVSENYPFIVNMETWDKNMPDDNRVASEAQLSCPKGKIISSINFASFGDPSGTCRSYQQGSCHHPDSLSIVKKACLNNNGCTISLADRGFVKDLCPKVIKTLAIEVDCS >SECCE2Rv1G0095930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:428316423:428317106:-1 gene:SECCE2Rv1G0095930 transcript:SECCE2Rv1G0095930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYKFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAVEVPSING >SECCE6Rv1G0438360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:789103574:789104767:-1 gene:SECCE6Rv1G0438360 transcript:SECCE6Rv1G0438360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWAATAAGPAAAAAGAPSYWCYSCERFVRTAGDAGLVCPGCDGGFLEQMDAPPPPRRAVAPSAFLRRRGAEAPTEVRTRRARRGGASGDRSGSPYNPVIVLRRSAAPPGDEAPGATSSFELFYDDGAGSGLRPLPESMSDFLMGSGFERLLEQLAQIEAGGFGAVRPCDNPPASKAAVESMPTVVVAACHVGADSHCAVCKEAFQLGDEAREMPCTHMYHQDCILPWLALRNSCPVCRHELPTDVARPAPASDLGAADDQDSNTGAEAGSEEETTVGLTIWRLPGGGFAVGRFAGGRRAGERELPVVYTEVDGGFNNGGAPRRISWSSRGSRSSQRGVIRRMFDNMFACFGHAHSTNVTRASSSRSEWSSVFTRGLRSRSTSWRSQDSHADAIAR >SECCE5Rv1G0349860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:687224205:687225393:-1 gene:SECCE5Rv1G0349860 transcript:SECCE5Rv1G0349860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSCTFLEILLAIILPPLGVFLHYGCCSMEFCICLLLTILGYIPGIIYAVYVLVALGSEDRDRDYDNLA >SECCE2Rv1G0097590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:463579324:463583770:-1 gene:SECCE2Rv1G0097590 transcript:SECCE2Rv1G0097590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRKALDYEELNENVKKVQYAVRGELYLRASELQKEGKRIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLSLAPGGLGAYSDSRGIPGVRQEVAEFIQKRDGYPSDPELIYLTDGASKGVMQMLNAIIRNERDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDLVSTRQSVAEARSKGITVRAMVIINPGNPTGQCLSETNIRELLNFCYQENLVLLADEVYQQNVYQDERPFISARKVMFDMGSPVSREVQLISFHTVSKGYWGECGQRGGYFEMTNIPPKTVDEIYKVASIALSPNVPGQIFMGLMVNPPKPGDISYPKYSAESKSILESLRRRAQIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPQRAMDVAKSAGKAPDVYYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMSSFKKFNDTFMEQYQDHSRL >SECCE2Rv1G0109770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:680517287:680522179:-1 gene:SECCE2Rv1G0109770 transcript:SECCE2Rv1G0109770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 38 [Source:Projected from Arabidopsis thaliana (AT5G64600) UniProtKB/Swiss-Prot;Acc:F4KF16] MASRRGAPPLPLRLRRLLRSPISRCACFIVALTVLLVVLSLRQIARVDLPRPDLPHQVPNEQLWDSNAYGYHACVTPTHRYIAPIESDRYMTVRSNGGLNQMRTGICDMIAVARLVNATLVIPQLDKRSFWQDPSTFKDIFNEPGFIKALEGDVHIVSDLPESLQSAPRARKHFTSWSGASYYEDVKELWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIEDLGKKLVERLKSRGKFISLHLRYEKDMLAFTGCTYGLSESEADELRIMREKTSHWKLKDINSTEQRSGGNCPLTPHEVGMFLRAMGYTKSTWIYIAAGEIYGGDKYISKLRSYFPNLVSKEVLATKEELEKFKNHASQVAALDYIISVESDVFIPSHSGNMARAVEGHRRFLGHRKTLTPDRRGLVELFGLLEKGELMEGPKLSSLVTKMHKYRQGAPRKRYASLPGSKGRARLRTEESFYENPFPECICLTGKH >SECCEUnv1G0549130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:197463999:197464499:1 gene:SECCEUnv1G0549130 transcript:SECCEUnv1G0549130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKKLNKVDGAVCRRHRRQGSPGVCPLCLRERLSRLSLSASLPSVVARDEAASPSSCCSDSEASSTEASSASASGSTSPGFQREMKRAARPSLLMRHERVVAVDGDEVMVVMRKRRERPATSFWTKLLRAATGRKKAVDGCSLVHSRTIDAADESGAATKWIIF >SECCE3Rv1G0160920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:110294286:110297742:1 gene:SECCE3Rv1G0160920 transcript:SECCE3Rv1G0160920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRSPARRRGVRVGPTKLEGLPAAWSAPAVAAVKVKWPGAGGALSQMLTGRRGGRGVTAVEAVGGDGAVRWDAAADANRFRVDVEPSASPRGAGAAGAGRPDRGVFFSVLYGFQEQGRGKDLVRLDEIGTAMISLEECCWEMQLQQQKVGAPLQQLVVVPIRVRKDGWASDAMLYVNVELVDLSTPAEVVERSVSFREKPRANPPPPVPTMREIHRGSTYHEVLDLKQLLDLAEKQGRVAVYRNKRNSDTSSVSSGGGGLSSSSSSVSLSSASTSTSGGASPEPGSTSKRRLLPWRRRSRESLSQEMPIKCMGDDDAWETREFTSRDAETRLRTPVFFASIDQRDDSAGGESACTALVAVLAAALHANHPLMPTRAELDALIRDGSSEWRRLCDDEAHMARFPNRHFDLETVLAARTRPIAVEHDRAFVGFFQPESFASLSGAMSFDDIWREISAGERAPGHADVYIVSWNDHFFVLKAESDCYYVVDTLGERLFEGCDKAYMLRFDATSEMRALPSPDSSPSSGPKEVVVATGKECCGEFIKRFLAAIPLREELHIEQSGCADAGAPHRRLQIEFHFTVLQQQDGGR >SECCE6Rv1G0397520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:395105498:395106462:1 gene:SECCE6Rv1G0397520 transcript:SECCE6Rv1G0397520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSQNRSSRTFMDYNSINHALDGICGLYERKIRDINPMIPNITYDITDLYNFIDGLADISALVYDHEIHAFLPYDRQWIKQKLFQHLKKLAQR >SECCE1Rv1G0005390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:25790705:25791873:-1 gene:SECCE1Rv1G0005390 transcript:SECCE1Rv1G0005390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLAALIVLVAFLAGPVVCEDILCFNGWVRLPIEDAHLCPPMAEVRRPLRGIVRPPSGLGLVYGYYNNRTTRSYCPKAERIVKGAVSKAVAANPGIGAGLIRLFNHDCFIRGCDGSVLLNETNSKNSDTEREGVPNKNSLRGLEVIDAAKAAIEAACPGRVSCADIVAFAARDASYFLSNGRIKIKMPGGRYDGRESRKNETGQLPGPPSDISSLERDFGNQGLTLDEMVTLSGAHSIGRAKCPIFSHRFAEMDPTFAAKLKAECDCNNQTDVNQDHMTPYVLDRRYYQDVIDRKVLFTSDAMLNSTKTIASVTENANKAGVWERKFEKAMENMGRIGVKTKPGKDAEIRKVCWRVNN >SECCE2Rv1G0098530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:485027301:485030891:-1 gene:SECCE2Rv1G0098530 transcript:SECCE2Rv1G0098530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSSCILSVLVVMCVGASAARPAPEESLAAANGTCLSRVEPFGYGCEEHTVTTQDGYILSLQRITNGRGGESATGGGGKVPVLLQHGLMMDGMTWLMNSPDESLEGVADNGYDVWIANTRGTVYSLGHTSLSSNDPAYWNWSWDELASNDLSAMLQYAYDQSGQQKVHYVGHSLGTLIALAALSDQQQHVSMLRSAGLLSPIAFLNKVSSPLALAAADVFLAEALYWLGIDEFDPTGTAVHGLLTDICKLPGVDCYHLMSSFTGDNCCLDNSSVQTFLAHEPQASSTKNMVHLAQMVRRGIIAKYDYGDASDNIKYYGQATAPVYNVSAIPDDFPLFLSSGGRDSLSDVQDVRRLEQALKSHDSDTLTVQYLADYAHADFVLAGNAKERVYGPLMAFLRLQDK >SECCE1Rv1G0054110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673142085:673146105:-1 gene:SECCE1Rv1G0054110 transcript:SECCE1Rv1G0054110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAARILALILALAVASSLAGRADALRSLGVGDGAGAGAAAQGDYAVDLNATSFDAFLTASREQFAVVEFFAHWCPACRNYKPHYEKVAKLFNGPDAAHPGRILMARVDCASKVNVDLCSRFSVDHYPFLLWGPPPKFANTKWDRKQEKSEIKLIDDGRTADRLLKWINKQLESSFTLDDKKYENENMLPNNASDHKQVVQAIYDVEEATSHALQIILEHKMIKPDTRDSLIRFLQILVAHHPSKRCRRGSAELLINFDDHWPSNLSLSSQDSSKLLESVAADNHKICGKEVPRGYWMFCRGSKSETRGFSCGLWVLLHSLTVQIGDGESQSTFTSICDFIHNFFICEECRKHFYDMCSSVSVPFKSARDLSLWLWSTHNKVNERLMKEEKDMGTGDPSFPKAIWPPKALCPSCYRSSSRTSDGALQVDWNEDEVFPFLVNYYGKTLVSSYKETYMESLQGRTQVGAALADDASSSHAARVPIGAALGVAVASCTFGALACFWRTQQKNRKQRKNWN >SECCE5Rv1G0356600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741182227:741188172:-1 gene:SECCE5Rv1G0356600 transcript:SECCE5Rv1G0356600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) UniProtKB/Swiss-Prot;Acc:Q8L4Y5] MEAVVVDAGSKLLKAGIALPDQAPGLVMPSKMKTEVEESELADGAAPVVEEVVQPVVRGFVKDWDAMEDLLTYVLYRNIGWEMGDEGQILFTEPLFTPKALREQLVQLMFEKFNVSGFYDSEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHVASKRFEIGGTDLTNLFAQELKKSNPSVNIDISDVERLKEQYACCTEDQLAFEAIGSTCQPETHTLPDGQVITIGKERHIVGEALFQPSILGLEDYGIVHQLITSVSNVASEYQKQLLENTMLCGGTVSMTGFEDRFQREANLSASAIRPTLVKAPEYMPEDLARHSAWLGGAILAKVVFPQNQHVTKGDYDETGPSIVHKKCF >SECCE7Rv1G0498770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:645506412:645509482:-1 gene:SECCE7Rv1G0498770 transcript:SECCE7Rv1G0498770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARKGQGRGGGVRSRPRVDGAGGSGSLASRVAVLAFCVGGIWSSYITQGILQETLSTKRFGPEERRFDHLAFLNFAQNVVCFVWSFIMIKLWSGGSSPAGRAPLLKYWGVSITNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTLPEYFCTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYGLCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNTVIMFVAPLLFSNWPYANGFEAVSFCRENPEVAWDILMFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVISSVISGNPLSMEQWGSVVMVFSGLSLQIYLKWKRKKGRDHKE >SECCE6Rv1G0420210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:676039529:676042955:1 gene:SECCE6Rv1G0420210 transcript:SECCE6Rv1G0420210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKFRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSNPRMRPSERVSGAAGPSIEKIEKAPGEASARRNPSASLNQSDNHATRPRETVSMSLKEIMHSTDRSGERTVERTAERPRTSSRTGSASRRAVASSSRPGSSMEPSEQQYNRTSRLFSSSNNGGSRPSSTQRVNPGVGESRATSLSRAAVARGSRDEPLHRSLELLSLGTGKRK >SECCE2Rv1G0090090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:268693734:268695981:-1 gene:SECCE2Rv1G0090090 transcript:SECCE2Rv1G0090090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVHSCSKKRIALNATACILMFSLLLFIFCSLQTIFLNNKPFVIATASSRSLHEIDSNSKPIHGLQNSSSEVIANLSASNVETGQQMEGGTGNITNVTENATSGWSIVKEELTFSAGSAPFSSCHASTIVEIGKDNFLVAYFGGSMEGATDVKIWLQRYSDGHWHPPEVADEQDGVPMWNPVIFQLPSHELLLFYKIGQEVQKWSGAMKRSLDGGMSWSEREQLPPGILGPIKNKPFLLEDGRLLCGSSVESWNSWGAWLEVTKDGGRTWRKHGPIYIEGETLGVIQPVPYQTANGTIRMLLRSFETVGRVCLADSADGGVTWSYAHKTELPNPNSGIDGVKMKDGRVLVAYNTFSRGTLKIAVSMDDGDSWNEVMTLEDTKGMEFSYPAVIQTMDELVHITYTYNRTQIKHVVLRHSET >SECCE2Rv1G0134040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:899473917:899474619:1 gene:SECCE2Rv1G0134040 transcript:SECCE2Rv1G0134040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVLNLDLHDDRQKQKALKAVSGLHGIDTMGVDMKDQKMTVVGSMDPVDVVGKLRKFFPGAHIVSVGPAKEEKKDDKKGGDKKDGDKDKKDGDKEKKDGSKQVVYPPHYWYAPPPPQHHVPHPYYFVRSAEEDPNSCVIC >SECCE6Rv1G0418110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:661024785:661025195:1 gene:SECCE6Rv1G0418110 transcript:SECCE6Rv1G0418110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSSSHLPLAAAVALLLVLTAAMEAEGIRLDAETRASVGSSSSNPVHNKPSDNLVKGSTTSSVSESGTTRSASAVKEAVGHGLPEFHEDYYVRTAHSSRHH >SECCE4Rv1G0242100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:470060801:470073105:-1 gene:SECCE4Rv1G0242100 transcript:SECCE4Rv1G0242100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 56 precursor (EC 1.11.1.7) (Atperox P56) (ATP33) [Source: Projected from Oryza sativa (Os03g0563600)] MTKWVPPLGLRFSLPPLLLLVAAIAPVHAYGKLKVGFYRQSCPDAEAIVRKVVTMAVEDDLTVTAPLLRLHFHDCFVRGCDGSVLVNSTKTNIAERDAKPNHTLDAFNVIDAIKEKLEEKCPGTVSCADILAVAARDAVSLATKVVTKGEWSEDGNLYEVETGRRDGRVSSAKEAAEELPDSFDGIHKLIKRFASKGLGLKDLVVLSGAHALGNSHCPSLEKRLRNFTVDDDMDPTLDKTYAATLKQQCTNSDDNVTEVQMVPGRSTIFDSTYYRLVTEKKGLFHSDDALLSNGATKMLVYGYMSSENRFLNDFGVSMVNMGRADVLAGSEGEIRRTCAVLN >SECCE5Rv1G0313840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:264576799:264621924:1 gene:SECCE5Rv1G0313840 transcript:SECCE5Rv1G0313840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKTKKDAAIHVDFNIFIQEISPWPPSECLKSLRSAVLFWENGERNSGKTNTVAPSVCSGSTAGKIEFNEFISIQVVFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHALFLEDSAVPVPLNSKRAFKSNAHPMVYLRIQPLDGDSSSVSSRDALPKEASVDKDSKDFMSATMSEDYTEDSGFASFTDDDEEGTPYPYHSDGDVHTGSNRSQDSVKGKDARVFGNEGTSSSLDPQREVPSCSTKVRSEEVEKHPIQMQKTTIHPGTDLPGEQFPSIPQHNALKTGRKMSFAYGMADSNQRQFGERTYSTLTSDRAKNMRFSMRLPDINGSTVSKKVDSQKEEVKEVDSEDILIAHDTKSSAGNGMQAQAPVRISNNRSDNKVRELERKVELLETELQEAAASEIGLYSVAAEHGSSANKVHTPARRLSRHFVHAFKNCPREKMGSAARSASSGLVFVAKACGYDVARLSFWLSNCVVLRAIVTETSKQSDIGIDINATDYSSKTAPKRNSTSMWESLNRKKGNFLSPEFDNWEDIDTFIAALKKIESWIFARIVECIWWQAFTPHMQFAYISTESKTGLNAKKCYGRITVVGSQQQATLSIDIWKKAFKQASEKICPVRAAGHECGCLPMLAKLLMEQCIARLDIAMFNAILRESEDEIPTDPISDPITDPKVLPIPSGKFGFGAGVQLKNAIGSWSRCLTDLFGMDMDDYPEAENGDGENGIAETHKPFYLLNALSDLLMIPKDVLMETSTRKEICPTFSSSIVSSILVGFVPDEFCPDPIQDSLLQALELEDHLESSDKGIRAIPCSASPILYSYPASGTILSIIGDPRKSGLAVLRKSNTSDDELDELSSPLSFISKAPVNPLAKLKQISGSNTARYRLLHEVWKLDDQY >SECCE1Rv1G0006000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28933585:28934967:-1 gene:SECCE1Rv1G0006000 transcript:SECCE1Rv1G0006000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLCSADPAGQSDSKHQQPIMYTVPEELKNLDHNPSGEYEPVAVRLGGPKIYRQWAIQERESLVKMEGYKWSCVRQLIARHKSLLEPAKTLVLLDGCLTSMKRLMPRIRASYSQPDLHENKVAEMMLLDGSFILHRLLKYARLAKMEVAGLGFDEEEDDEDWTQVYGRCFVWQFVTRDMLLLENQIPFFVVRELFQQLRNEDEPAELLVTGSLRLFRPLRPQMLHRSPIACDDVHHLLHLFYLSVALPPTPSERQQHSRRSHRRRSDELLSELPQWIPCAKELEEAGVRFRKREDATSFMDVRFARGVLEIPQLELNDSSESLFRNLIAFEQTYPDTPRDVSTYAVFMDCLITSAEDMRILDLHGILVSHLNSRRVAWRFFSDVVGQVHWSADNYLLGLMYAVNRYRNLRRHKWRAVLVRNYFSNPWVTMSVLAAVLLLALTVLQTFFAVYAYFKPPK >SECCE3Rv1G0189320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:724452118:724456166:1 gene:SECCE3Rv1G0189320 transcript:SECCE3Rv1G0189320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABA1b [Source:Projected from Arabidopsis thaliana (AT1G16920) UniProtKB/TrEMBL;Acc:A0A178WJV5] MAGSGGGAYRAEDDYDYLFKAVIIGDSGVGKSNLLSRFTKNEFCLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVARWLKELRDHTDPSIVVMLVGNKSDLRHLVAVSTEDGQEYAEAESLYFMETSALDATNVDNAFSEVLTQIYRIASRKMVDAGDDGSSAPSKGENINVKDDVSSLKRAGCCSS >SECCE6Rv1G0411660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:609163747:609165267:-1 gene:SECCE6Rv1G0411660 transcript:SECCE6Rv1G0411660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPANAAVSLNFPVINMEKLGTGERGAAMEVIHDACQNWGFFELLNHGISAELMDEVERVSKAHYAACREEKFKEFAARTLEAGEKGADVKDVDWESTFFVRHLPTSNLADLPDLDHHYRQVMKEFASEIEKLAEKVLDLLCENLGLEPGYLKRAFAGSRGPTFGTKVSSYPPCPRPDMVDGLRAHTDAGGVILLFQDDQVSGLQLLKDGAWVDVPPMRHAIVVNIGDQLEVITNGRYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPALVGAADAAERNEGEEGGAVYPRFVFEDYMNLYVRHKFEAKEPRFKAMKADAAPIATA >SECCE2Rv1G0085550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:192522177:192529955:-1 gene:SECCE2Rv1G0085550 transcript:SECCE2Rv1G0085550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVAAARLAGGVSRLSAQGSTARGLPPGSLRLPPPRWRLRSVRCCVVRHPGGGGGGVDAGEEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDRILQDISLLHGLGINFVLVPGTHVQIDKLLSERGRKAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVIGHWHGLVDNVASGNFLGAKRRGVVHGVDYGFTGEVKKIDVSRIKERLDSDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICVVDGQIFDENGRVIHFMSLEEADMLIRKRAKQSDIAANYVKVVDEEDHEPSLKGKGHLNGYAASFQNGLGFNNGNGICSGEQGFAIGGEERLSRSNGYLSELAAAAYVCHGGVQRVHIIDGTVDGSLLLELFTRDGAGTMIARDVYEGTRMATEEDLPGIRKLIYPLEESGVLVRRTDKELLEALYSFYVVERDGSVIACAALFPFPEEKSGEVAAIAVSEECRGRGLGDKLLDYIEKEALSLGLRKLFLLTTRTADWFVRRGFSECSIESIPEQRRKRINLSRGSKYYIKQLQPKHAGVPVNNFAGR >SECCE2Rv1G0116460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:764867423:764870890:1 gene:SECCE2Rv1G0116460 transcript:SECCE2Rv1G0116460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPNMASKSYKKRNEKVQVGCMSGLIRMFDFRRGQKYLSDGSRRMNTYSVKRGLKGSEDYNRITAADNDRDHGAETIYAGRPSIRALMEEEMASGTQPLKQTERNTLGIFSSDVKSVNLPEGSEIDLNLAASLMEIYRSHNESQDSSDSVKSGNISYSVDQENNADAGAHPSRIPCIIQKALEDVAEAVISHQSANAEYITRSGEARSKELVDALQLLSSNRELFLMLLRDPSSRLLQCLQNLYTSLGGPKLEPAESDEVTKLQGGTNGIEQSEVSPSKVRRKYNSFLQEDKLVMRKPSQLNDTSRGLSTIVILKPSPARSQGSLVSSSVTSSPLSNHNNLQGQVESGKPPHHFSLRELRRRLKLATMSSTTFQNADPPKQFAVESVSISSESTDSSDCEIAKEPSIVDKKTNFRDSGIGMGNDATHGVGSFSYEKARKHLIEKLDNQDEDISQTLHKSESIGRLISFPEDEAFSPSHSPPVESTSISQEATDSRSQIIEQDDSSANFSPPSEDQETESTNASSSASKELDELRTDHGNHLLEEHTISQELIDEDVKNMQDAVENLQLSTEVETTSENFEQINSDQLSSEEPQPKNALPEVTMHSNEHPVEEQDDRSPSAVVGLAKPSMLTFSCLPENADEKEEKLSPHSVLDPSLANGTSPSHKTRKQDELSMPSSRVLFDELDTPSETLQTPVLYDKNERVSFIKSVLEASESLAEGCSERWYMDVSVLETSVLAEIGMSYCLTDDVVLLFDCVEEVLLRISDNFFGADPWVAFLKNNVRPAPLGMELVREVAKCIDCLVDDTETPKTLDEVVLKDLESGPWMDLRCDAEDAVIDVWDGMLDDLLEEMVFDLWF >SECCE2Rv1G0138160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920837203:920838802:-1 gene:SECCE2Rv1G0138160 transcript:SECCE2Rv1G0138160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVFIVGAGPAGLATAACLSQFAIPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPQMSYPVDAPTYIPKNLFVKYLDDYVERFNIQPQYLTSVESSTYDNHEKCWSIVANDMSKCTTVKFTAKFLVVASGENSAENIPMIPGLENFLGDAIHSSSYKSGKSYSGKNVLVVGSGNSGMEIAYDLATHGANTSIVIRSPIHVMTKELIRLGMSLAQYLPLNLVDKLLLMAADLIFGDLSRHGITRPKMGPMTLKSETGRSAVIDVGTVGLIKKGIIKVERSISKIKGNIVEFQCSKNISFDAIVFATGYKSTTNIWLKNGESMLNGNGLPIRKYPNHWKGENRLYCAGLARRGLDGIAADAKNIANDIKSVIYSMSS >SECCE5Rv1G0374180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862057428:862061737:1 gene:SECCE5Rv1G0374180 transcript:SECCE5Rv1G0374180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVEGPAGGAGYSGRVTSFVVLSCIVAGSGGILFGYDLGISGGVTSMESFLKKFFPDVYHQMNGDKAAVSNYCRFDSELLTVFTSSLYVAGLVATLFASSVTTRYGRRASILIGGSVFIAGSAFGGAAVNVYMLLVNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAINNGFELCISIGILIANVINYGVQKIEGGWGWRISLSMAAVPAAFLTIGAIFLPETPSFLIQRDGNVDEAKMMLQRLRGTTGVQKELDDLVTASNISRTIKNPYRNILKKKYRPQLVIALLIPFFNQVTGINVINFYAPVMFRTIGLGESAALMSAVVTRLCATVANIVAMVVVDKFGRRKLLLVGGVQMILSQFTVGAILAVKFKDHGVMDKEYGYLVLIIMCVFVAGFAWSWGPLTYLVPTEICPLEIRSAGQSVVIAVIFLMTFVIGQTFLNMLCHLKFGTFFLFGGWVCVMTLFVFFFLPETKQLPMEQMEQVWRKHWFWKRIVGEEEEEVDPARTGAGAIALSTTPHSS >SECCE1Rv1G0059620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707211919:707213385:1 gene:SECCE1Rv1G0059620 transcript:SECCE1Rv1G0059620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFALGWQGMEPAPAASLSSAGATLPLRSSRGATTATNGAHCLDPATYAPAVLPIAPPAVEAAVRREKEAENIALYLIHILHTCAEAIEAGDYAGAAGNLTEARMILATSVSTTTGIGRVASHFTAALAHRLFPVSPHPSFELDASPERAGELYCKFYDAGPYLKFAHFTANQAILEAFEGCDRVHVVDLAIKQGVQWPALIHALSIRPGGPPSFRITGVGSAPATDEVGLRLAELARAMNVPFSFQRVNDDSLDQLQPWMFQVLPGEAVAVNSICQLHRLLVDPDAASTSLPAPIDVVLGLIASMQPRVFTVVEQEADHNKPGLLTRFDNAMLYYGSVLDSMEAMSVSRGTAIGNGAGADAYVQREIFDIVCGEGNARTERHEPLACWCARLWRMGLTHVPLGPSAAYQAAKLVRVFSGAGFRVQEIGGCLSLVWHGQPLFTASVWSAMPADGATAAAPEERADKHKMKMSIGKSSGGHLPDARAQ >SECCE1Rv1G0055200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678533082:678538556:1 gene:SECCE1Rv1G0055200 transcript:SECCE1Rv1G0055200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) UniProtKB/Swiss-Prot;Acc:Q9C7A8] MAGQSDPHLSLFSPSEVEFVAEDEIVEIVPNIRMEALNMICGDFGPFFPQIPSKVPLWLAVALKRRGKCTIRAPEWMTIERLTQVLDAERESPREFQPLPFHYIEISKLLFDHARDDITDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPQMIQQADNTGSRSTPVTDRGPRRDLRRR >SECCE2Rv1G0135060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905315285:905317687:-1 gene:SECCE2Rv1G0135060 transcript:SECCE2Rv1G0135060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAMAPVFCFGLLLLIILDPHTLHPCHAATDTLSPNQELAGRDKLVSSNGRFALGFFQTGSNFSDGTPKWFLGIWFHTVPKFTPVWVANTENPIANLTVCKLVLSRDGNLAVHHLATTVWSTNANTTSNTTVATLLDNGNLVLGSGASNSSNVFWQSYDHPTDTVLQGGKIGWNKSASLIRRLVSRKNAVDQTPGMYSYELSSHNGDTSIVSMFNSSKQYWSSGDWGDQYFSNIPESVGQKWLSLQFTSNKEEQYVQYAIEDPTVLSRGIMDVSGQMKVLLWFEGSSQDWQAVYTAPKSQCDVHATCGPFTVCSDVPSPSCSCMKGYSIRSPQDWELGDRSAGCARNTPLYCNSNSSGVGSEKDKFYPMASVQLPADAQNVGTATTADECSLACLGSCSCTAYSYDQGACSIWHDKLLNVRQQGNSVLHLRLAAKEVPSSKTNRRGLIIGAAVGASTAALVFIFLLMIWMTKKKQYSDDVQSGMGIIAFRYADLQYATKKFSEKLGAGSFGSVFKGSLSDSTAIAVKRLDGFRQGEKQFRAEVSSTGVVQHVNLVKLIGFCCQGDKRLLVYEYMPNGSLDHHLFQSNGMVLDWTTRYKIALGVARGLAYLHSSCRDCIIHCDIKPENILLDGSFTPKVADFGMAKLLGRDFSQVVTTMRGTIGYLAPEWISGTAITSKVDVYSYGMVLLEIVSGSRKSSKQSSSQDGAHEGYFPVRVARSLVEGDVASLVDAKLLGEVNLEEVQRVCKVACWCIQDDEFDRPTMSEVVQFLECLSEVETPPVPRLLQAIAGQPNPKIMY >SECCE2Rv1G0112800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:715551779:715555427:1 gene:SECCE2Rv1G0112800 transcript:SECCE2Rv1G0112800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFLQLGLGLLLLVAQYAPGTAVPSSDCRRQCGTVEIPYPFGIDPGCSLAEGFDLSCKNGVQKPFRSAFEVLDISLTQGTARVLNYIIGYCYNTSTGSMEYFGRYAGFNEGDPSSPYRLSDVQNRFTVIGCSALVLISDYDGTCYQGLGVATCRNLSDLVDGSCSGMGCSQTMIPKRMYYYDTTFAEVVNASETWQFNRCSYAVLMEAAAFKFSTAYVNTNKFNETYNGRVPMVLDWAMRDVKSCDVAEQNKTGTYACLSSNSKCVNSTNDQGYMCNCTNGYEGNPYLQDGCKDVNECNHNPCPSDGLCRNIVGEYQCSCGLGKKYVKESNTCNANIGLMIGATMGIFGLMVIIMFTTFCGQIIMQKRKLEKVKREYFHRHGGLLLFDKMKSEKGLAFNVFSKAELIHATDNFDNSRILGKGGHGTVYKGILKNMHVAIKRCAIVDERQKKEFGQEMLILSQINHKNIVKLLGCCLEVEVPILVYEFVLNGTLFELIHGKNQAMQIPFSTLLRIAHEAAEGLSFLHSYASPPIIHGDVKTSNILLDDNYKAKVSDFGASILAPSDKEQFVTMVQGTCGYLDPEYMQTFILLEILTGQLPLKLEGSEKQRSLSLIFLSAMKDNNLDAVLMSHVKDQESMELLRGLADLAKKCLDMCGENRPSMKEVTDELNRLRKLSTDAENLLGGESTSGYEVELSGYPTGESEDLPINPRSSYYIR >SECCE4Rv1G0296190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:901967790:901970171:-1 gene:SECCE4Rv1G0296190 transcript:SECCE4Rv1G0296190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAASMARRLLSPTTTSPSSSARLLLSRRLSSAPSIPRAPAAAVEMLRPAAVASSSLLRGVGGVRGMARRPGGGEGYAPARGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGASKHQMIDCYVHTLAKVLGSEEEARRKIYNVSCERYFGFGCEIDEETSNKLEGIPGVLFVLPDSYVDPEHKDYGAELFVNGEIVQRSPERQRRVEPVPQRASDRPRYTDRTRYARRRENQQQQR >SECCE3Rv1G0189760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:730333329:730339802:-1 gene:SECCE3Rv1G0189760 transcript:SECCE3Rv1G0189760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRAFFGGKKQEPEQNGHANGAAPNGSVKKRTPELAIYEQYEQQARQPEMRAAAIRDGNADVIQKPLLPQFESAEMRNLAETLLRDIIRGSPDVQWESIKGLETAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARHAMFKELLPAMTSNLEVPYDILVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEASDELVPEEELPEVGPLKPEDVELALSNTRPSAHLQAHRYEKFNQDYGSHILS >SECCE5Rv1G0319440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:375879549:375881991:1 gene:SECCE5Rv1G0319440 transcript:SECCE5Rv1G0319440.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTVTAATATTSSSVGHGAGGGAARVLLLPVPGAQGHTNPMLQLGRRLAYHGLRPTLVATRYVLSTTPPPGEPFGVAAISDGFDAGGMASCPDMAEYFSRLAAVGSETLRELLLSEARAGRPVRVLVYDAHLAWARRVAQASGVAAAAFFSQPCAVDIVYGELWAGRLALPATDGRALLARGALGVELGLEDMPPFAAVPESQPTFLKVSVGQFEGLEDADDVLVNSFRDLEPKEVEYLELTWRAKMVGPTLPSFYLDDDRLPSNKSYGFNLFKGDALCMDWLEKQSNSSVVLVSYGTVSNYDATQLEELGNGLCNSGKPFLWVVRSNEEHKLSKELKEKCEKIGIIVSWCPQLEVLAHRAIGCFVTHCGWNSTLEALVNGVPLVGIPHWADQPTIAKYMESAWSMGVRVQKDDNGCLKMEEVERCIREVMDAERNDEYKKNVVKWMQKAKEAMQEGGSSDKNIAEFATKYSSI >SECCE3Rv1G0146930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12946287:12946751:1 gene:SECCE3Rv1G0146930 transcript:SECCE3Rv1G0146930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVSLQSTMRRATPELGRRLQGSPPCHGPVLRPRRPRAVATVCCGVFRRNHYGGVLVDEGMTVLRRRIRETRMAETNYEAPPGWAAWEKRYYPAYVSDVSTLAGALQLLAMDTRPGVAAAVAALVLAGVPVSAVFALHLLGQAAGSVLHLVS >SECCE3Rv1G0176210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:442316056:442355017:1 gene:SECCE3Rv1G0176210 transcript:SECCE3Rv1G0176210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGGAAEERWASLCNCVVNFLLEEKYHLTALELLQELQEDGRHAQALRLRSFFSDPAFFPPDLVARASSSPPGADPQSLLEEKIAAEEKLALTEYDLRLAKEDLTCLKLELQKQQESSPDNTIDASAHEGFNQQDQRDVKISALGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVLDQDLDVWTNSSACVPDALRRYYYQYLSSTTEAAEEKISILRENEALTKDSERLYAEKDSLMKSSELANNQVAALRKSLDAAHMDIKEKEKMVRDLKQSLDVHRKELNDCRAEITVLKMHIEGTQSSKQMSVGDTDGFSTQLNANSMGEAAALVNGHENLKGTESITIKLVSAAALTDTRKDHKNTESTIECSPGSEAPVSCSTAGGGGTSGEDESGKDTSLEGKSVNGTQHGAGNSQGNSGSISVYVSEDKVNTEIVDSPSIHKSSYKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIERHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELATSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAIYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLALLLPLFPNMDKYYKVEELLFQLVRDPSGVVVDVALRELVPAVVGWGGKLDQILRVLLSHILASAQRCPPVSGVEGTIDSHLRVLGEQERWTIEVLLRMLTELLPFIHQKAIETCPSIDPSENYISESNLKLYATGDTEWSAFEWMHTECLPDLIKLACLLPAKEDSLRTVITKYLLAVSGRYGKDYLEHIMLPVFLIAAGDIDSGDFTYFPLSIQSKVRGFRPKTSVAEKIAIICVLPLLLSGILGSPSSRQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAIRFLCIFEEHHGVIFHILWEMVVSSDTNLKTSAAALLKALVPYVGVKVASTHVLPALITLGSDQNSAVKYASIDALGAVAQHFKSDMNLLKDVDALDPAHKEALEVIGRERSGGTLESIGKAMGAHLGIATSVSSFFGESSLLGKKEGGEQHDPAAASVPPQVGLQTQQENTRFGRIMRGGFGDMLRGKAKGADEPS >SECCE4Rv1G0246360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:543728956:543729839:-1 gene:SECCE4Rv1G0246360 transcript:SECCE4Rv1G0246360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAYAASDRQMGCSPLGRMISKVIKKCNGRRGRARHEHLDYAMAHPHAQTHYVRPDARTVTYTTTSNPPSSHAMPGQQPPVHGPYGPAAGAPPRADGKPRKRKKSKSKSKHVRFNTPGPGQGPPPNAADAYQHQHAPPAAGTSGDAAYGGQHQQHAAASHAYAPAPQGHGYGHGYGYGRYAPSPLTRWEMLGAGGTPRRHEYFSGEYRWYYPTPVREGIYSMATDANRLTAIFSEENPNACTIV >SECCE4Rv1G0240820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448148451:448149100:1 gene:SECCE4Rv1G0240820 transcript:SECCE4Rv1G0240820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGVPKVPYRIPGDEEATWVDLYNVMYRERTLFLGQEIRCEITNHITGLMVYLSIEDGISGWLISGMAIFDTMQTVTPDIYTICLGIAASMASFILLGGEPTKRIEFPHVRIMLHQPASAYYRASTPEFLLDVEELHKVHEMIRRVYAVRTGKPFWVVSEDMERDVFMSADEAKAYGLVDIVGDEMIDKHCDTDPVWFPEMFKDW >SECCE2Rv1G0123890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828290887:828295975:1 gene:SECCE2Rv1G0123890 transcript:SECCE2Rv1G0123890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKSWKPKGSGRGPAQRPHGKSAAAPEPNPAHEYPYDASAAAAAEALERLDLDVSAAAEDPPVETPTPPPPPPEVPAPAPPSQPPVEASSSGSGSAAAGGGREEGALRRLRELVGIGREEAELTEEEVRANDQRQEDEICALEAIFGDTVVMLDRKEGQRSFQVHVHIEIPDGTDVSARLSFGAGTLNYKGAHDEDASDDLVYKFRVEHLPPILLTCLLPSSYPSHQPPFFTLSTEWLDKVMLSSLCHMLDMIWEEQLGMEVVYQWVQWLQSSSLSYLGFDNEIVLSKGDLTCVEDGGDNRACPDDAPPDLTIPRIIRYNDDKRHEAFLHGIHDCMICFSELPGVDFIKLPCHHFFCQKCMQTYCKMHVKEGTVVKLLCPDTKCEGVVPPNILKRLLGEDEFERWEGLLLQRTLDAMADVVYCPRCQTACLEDVGDEAVCSSCLFSFCTLCRERRHVGVECLSPEEKLIILERRQKSGQVKGDIQKVMDEVRSIKEILKDAKQCPRCKMAISKIEGCNKMTCWNCGRFFCYQCNAAISGYDHFKGDCVVFDQEEINRWEMEMNQRQQRQVVAQAQAEIFEGEYGYPCPTCRNPIPKIGNNNHLYCWACQRHFCALCRKVVLKTSQHFGPRGCKQHTADD >SECCEUnv1G0563290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:374255751:374257508:1 gene:SECCEUnv1G0563290 transcript:SECCEUnv1G0563290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHRRCSRLRRIVGGVSVGALLLLAGGHNAYSGRPVFSLPLGLGAPFPLVADSPAPPPFPFAADVSSSPSPSPQSQYSPLRLSDDSLARRLLPLRLRSSHAQPQQDADADAVLLPDQEVLVLDDTEPTGDAICAFQGGGGASSPARSLGRLPASGLHAYVCRLPEPAQSFQQLQAPLLLHSSISSAAAAAPDPPSPSPGRALLNWSSDPIVFDSAILDGGDVLVFAKGVSRRQGLQCLYRYSDGADTMLASSPAITSVQQVTRCPSPPTPIKSGGSTKVLVTLGVTGEDPMPSLATFRRQQAESSSVTLQKSSICACTMGRNISKFLREWALYHSAIGVDQFFIYDNGSEDNLAGLVAQLISAGLNITTVPWPWIKAQEAGLSHCAATQQASCQWMAVIDVDEFIFSTYWIGLEKPSKSLLEPVISVDDSVGQIYLPCYDFAPSGQTAHPPEGVCQGYTCRLKNPQRHKSLVRLNAVEPSLMNVVHHFKLKPGFKSIWTAFTRINHYKYQAWSEFKIKFKRRVSAYVADWKDPINLDSKDRAPGLGVDDVEPEGWAQKYCEVKDNILQLLTARWFGVGFGNPPH >SECCE4Rv1G0292860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884018706:884020982:1 gene:SECCE4Rv1G0292860 transcript:SECCE4Rv1G0292860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g68930 [Source:Projected from Arabidopsis thaliana (AT1G68930) UniProtKB/Swiss-Prot;Acc:Q9CAA8] MSRPLCNHYAALLSSTAAAAAAAGGRDGARVSGAVHCLVLRTLPHPPPTYLLNHLLSAYARSGRLPLARRLFDAMPDRNLFTRNALLSALARARLLPDMERLFASMPERDAVSYNALMAGFSGAGAPARAARAYQALLREEAVVDGARVRPGRITMSGMVMAASALGDRALGRQVHCQILRLGFGAYPFTGSPLVDMYAKMGLIGDAKRVFDEMEGRNVVMYNTMITGLLRCKMVEEARGVFEAMVDRDSITWTTMVTGLTQNGLQSEALDVFRRMRAEGAGIDQYTFGSILTACGALAASEEGKQIHAYTIRTLYDDNIFVGSALVDMYSKCRSIRSAEAVFRRMTCKNIISWTALIVGYGQNGCGEEAVRVFSEMQRDGIKPDDFTLGSVISSCANLASLEEGAQFHCMALVSGLRPYITVSNALVTLYGKCGSIEDAHRLFDEMPFHDQVSWTALVSGYAQFGKAKETMDLFEKMLLKGVKPDGVTFIGVLSACSRSGLVEKGRNYFHSMQKDHGIVPLDDHYTCMIDLYSRSGRLKEAEEFIRQMPRCPDAIGWATLLSACRLRGDMDIGKWAAENLLKTDPQNPASYVLLCSMHAFKGEWSEVAQLRRGMRDRQVKKEPGCSWIKYKNKVHIFSADDQSHPFSGTIYEKLQWLNSKMVEEGYKPDVSSVLHDVADAEKVQMLSNHSEKLAIAFGLIFIPEEMPIRIVKNLRVCVDCHNATKFISKITGRDILVRDAVRFHKFSNGICSCGDFW >SECCE4Rv1G0218240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:21209380:21215488:-1 gene:SECCE4Rv1G0218240 transcript:SECCE4Rv1G0218240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVHGIRSLASGDGHHHLSRTLGPALLISVGYIDLGKWVATVDAGTRFGYDLVLLVLLFNFSSVLYQYMSTCIGMVTEKNLAQISDQEYSRFICVGLGVQAGLSLLTSELTMISGIAVGFNLVFDHDDLITGIIFACVVINLLPYLLSPRDKRMAGTLNACIAGFTILCFVLGLLISQPEIPLHVNVMFPKLSGESAYSLMALMGANIISHNFYVHSSVVQVQRRSHVLSLRTLFHDHLFSILFISTGIFLVNYALLSTAASESSHNVIHSFHDAVDLMNQIFTNPMAPLVLLAVLLFSSHIISLTCVIASHAVMENFFGTNLSLSAHHVLLKVLAMIPTIYCAKVAGSEGIYQLLILCPVIQGMTLPSSAIPVFRIASSRSIMGNYRISLYVEILAFLAFLLMLFANIIFAAEILFGDSSWTNNLKGNTESPVIIPHTVLIIMSCASIAFTLFLAVTPIKSASSEAEPHEWSVHSPREALGTTHHREDTYPEYIAREEIQRYSIDAVPRDSSESHQKTALALEHTDGSETTAESNNGAQPALEHTDGSETTAESDNGAQQSTSHMTTIPEADPVTSSNLEESKSVARVDFTESTPKVSTATVVEQSSAENNQMKSTSEKDVQVEAEVCTDEDNETSHNVNSSNKSTAGKAPSLSSGDPPSLAMSRYKDTDAVSDSGGLPKLPGLGRAARRQLAAILDEFWGHLFDYHGKLTQEANAERINLLLGLDMAIDGSAGRTDNQNTQASKNPLKRDAVSHNKKISSPDLSFGLQMGAIGSSTWSQSMHLPKTNAPSSSSTFLDQNAKEITNCDGAPYCDNQLGQPATIHGGYQLANYLKGIDASRHSRSSIPLDAQRPPISSESAGSNYAGSAIRAYSRNVLGSFGSTTLQNPTMSGLSTMMVGRSYYDPTTVLGGESGGSSAYPKKYHSSPDISAVIAASRNSLLNKANTGSAAGNQSYLSRMACERSHDVDTTTMFQSSVQPSMNIKPASLWCRQPFEQLFGVPSAELNNRSEVNTERRSNVTKDDFSYKECEAKLLQSLRLCIMKLLKLEGSKWLFRQNGGCDEDLIDQVAAAERVSQETADGMDTNGMHGRLNCGADCVWQASLVVSFGVWCIRRVLDLSLAESRPELWGKYTYVLNRLQGILDPAFCNPRKPVTGRSCLEKAARLVAAKPMPATFTSAADISALIKDVEQAVSGRKGRSGTVAGDVAFPKGKENLASVLKRYKRRLSSDKPPAGS >SECCE3Rv1G0166950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:194946706:194947260:1 gene:SECCE3Rv1G0166950 transcript:SECCE3Rv1G0166950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGDAAVAAAEAVPMGRPRQQQKPRRISMEGLQRAMSDLALEFTKKAAVLDAAAKLPAVSEQVDDARCECCGMTEECSAEYVRRVRERYCGRWVCGLCAAAVSDEADRSRSTTEEALAAHIAVCGRFNRLGRANPVLMQTEAMREIFRKRGKSSSPRDGGGLTRSSSCMSAITKDLNCTMKK >SECCE1Rv1G0035740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:500782978:500786160:-1 gene:SECCE1Rv1G0035740 transcript:SECCE1Rv1G0035740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGQLKTRVSDRLMALVGYSEVMVVRLVLRLARDSASAADLAARLVDLAGLPSSADTVAFAEDVHAMFPRKGAGVSEYRKQVQEAAAFARRQSALKLLDDEDDDAEDAGATATASSSNNNGKKRFRQKAASQGDDDGEEEATVMRDSGRKVRARRAPAKDGGDSGGEELEEMDRDQIEKAQLDRHIRERDAASTRKLTDRKPTKREQDELARRSEAMDRGDTSELRTASRHAYLEKRKKMKVEEMRDELIDNELIFDGVKMTNAEEAEFRRKKEIYDLVKDRVGKDADAGDYYRMPEAYDAAENVDQAKRFAVPRQRYDDPEAAARDGKRSNFFSEQDAWEEQQMRKSRLRFGSDNGGRAGGGYELVLEDVVDFVKSTVQAGAEPDGEMDELAEAVDAKVTLQRELQDERKNLPVYKFKDDLLKAIDKYQVLIIVGETGSGKTTQIPQYLHEAGYTANGRKIACTQPRRVAAMSVAARVAQELGVKLGHEVGYSIRFEDCTSDKTVIKYMTDGMLLREFLSEPDLASYSVVIVDEAHERTLSTDILFGLVKDIARFRPDVKLLISSATLNAERFSDFFDEAPIFKIPGRRYKVDIHYTTAPEADYIAAAVVTVLQLHVKEPAGDILLFLTGQEEIETVEEMLKQKMRTFGSKIAELVICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKLKSYNPRTGMESLRVEPISKASADQRAGRSGRTGPGKCFRLFTEYNFRHDLDDDTVPEIQRSNLANVVLRLKALGINDLVGFDFMDPPASEALLKALEELFALGALNSRGELTKTGRRMAEFPLDPMLSKAIVASEKYKCSEEVVTIAAMLSAGNAVFYRPKDKLVHADTARQAFHAGNVGDHVALLNVYSAWRESGYSSQWCRENFVQPRTMKRARDVRDQLEALLERVEIEHRSGAGDLDGIRKAITAGYFRNAARRQKDGSYRAVKSRQTVFVHPSSGMAEAPPTWVVYYELVLTTKEYMRQVTELKPEWLFEIAPHYYQRKDIDGHEPKKKMAKDKGPAARQT >SECCE5Rv1G0328710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509445674:509446291:1 gene:SECCE5Rv1G0328710 transcript:SECCE5Rv1G0328710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVTMSSFAGAAILPRGSAGHFGVQSLPALGRRVLVVRAQTDGPSAPPPNKPKASTSIWDALAFAGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYSVAVLSVASLVPLLQGESAESRAGAIMNANAELWNGRFAMLGLVALAATEIITGMPFINV >SECCE4Rv1G0293720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:886886280:886886957:-1 gene:SECCE4Rv1G0293720 transcript:SECCE4Rv1G0293720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPLKHCSGFLGSDLERVVKPNVNLLAECGLGACDIAKIFIRAPRILCAKPGRILTMVARAQGIGVPRGSGMFRQALHAVSYFSQHKIAAKLDYLKKTLRWSDTEVGIAVSKAPVLLTRSHDVLQRMSEFLISEVGLEPTYIAHQPTILTLSLEGRLRPRYYVMRFLKENGLLKRNQSYCTIVKWTEKRFLENFICPHKEAAPYLAQDYAAACKGQVPARFRFT >SECCE7Rv1G0461080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:35588217:35590350:-1 gene:SECCE7Rv1G0461080 transcript:SECCE7Rv1G0461080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPLPDDLVQEILLRFPADDPTCLLRSSLVCKAWSGVISRRGFRRRLHEIHRAPPLLGFLHVETSEGAPSFIPTTASSFSLAAPNHYSWLALDCRHGRALFLSRSQDAEELLVWEPIMGTQERVPLPVAFEFGYSAAAVFCAVDGCDHRDCLGGPFCVLFVFSSNEDTDECVTSACIYSSETGAWGGLTTMHDEFIGFTDYSTVLVGSSLLYFIVYDYKDDKMILEYDLSRHFLTMFSVPDNHCECIYTLMVTEDGGLGVIQDLHRHVKFWTREVSDGADAQWLLSRIIYLQNLLPASTLFSGGYRVRVMGFAEGANAIFVSTVAEIFAIDLQSEQARKVSDHCGFIFHKLVPVVTFYTPAPRGDHQNLLVPKPSEEVGAEEVGEGEKTIHQAQQLFDKRSNAINEGEYVNTFKCISNDNDGGVPHHGEVTLGCAAALKKYRCTSNAQEKDDSLDDVPTSASSEESLKSTTSKDDTRDSNTFGSNVVVAAPSSKKGNSQDGIV >SECCE6Rv1G0400810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:458279929:458285277:-1 gene:SECCE6Rv1G0400810 transcript:SECCE6Rv1G0400810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGTGNGRGGNPNYYGRGPPLSQNNNHHQQQTSAAHQQQYVQRQPQPQQHHQNNNHQQHHQNHQQQQWLRRNQIAAAGTSGPKVVAPPPAAVGNDPSSQDWKAQLKLPPADTRFRTEDVTATKGNEFEDYFLKRELLMGIYEKGFEKPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVIVVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLHQPVHLLVGTPGRILDLTKKGVCILKDCSMLVMDEADKLLSPEFQPSIEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDQAIYCQ >SECCE3Rv1G0152410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:47197065:47197742:-1 gene:SECCE3Rv1G0152410 transcript:SECCE3Rv1G0152410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPSGSSGGRDPWAPPPRSGGGGDAGGSSEPAKKQQRTAASSSQADAASASSSSSSQPPPQQQQPPPTTPDGRDVPYLGEDLMFEVLRRAEARTLASAACVSRGWRALAQDERLWEAACVREWADLGFSEQQLRAVVLSLGGFRRLHAVSIRPIQRRRAGAAPAAGAPGRGRRPQPPARLGRDQVQLSLSLFSIGFFQNMPNQPPPKKKDEGDGSDKGGGGRCG >SECCE3Rv1G0192540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:759988981:759993348:1 gene:SECCE3Rv1G0192540 transcript:SECCE3Rv1G0192540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDRNPFDEDDVNPFAGGGVPPASNSRMPPLPHEPAGFYNDRAATVDIPLGSSKDLKQKEKELQSKEAELNKRERELKRREEAAARAGIVIETKNWPPFMPIIHHDISNEIPIHLQRMQYLAFCSLLGLTLCLFWNVIATTAAWIKGAGVVVWLLAVIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLLYLIHIIFCIWSAVSPPFPFKGKSIAGFLPAIDVISSNVIVGIFYFVGFGLFCVEALLSIVVIQQVYMYFRGSGKAAQMRQEAARGAMRSAF >SECCE2Rv1G0087840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:233446603:233463224:1 gene:SECCE2Rv1G0087840 transcript:SECCE2Rv1G0087840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVYINDESYQNDYCDNQISNTKYTLWNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIVIFAVSATKEAWDDYNRYISDKQANEKKVWIVKNGARKHIQAQDIRVGNIVWIRENEEVPCDLVLAGTSEPQGICHVETAALDGEIDLKTRVIPTTCVGLDSEQLHKIKGVIECPIPDKDIRRFDANIRLFPPFIDNDICPLTINNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQLAVVVVLGSAGNVWKDTEAPKQWYVKYDDDEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSMYAKFIDWDEEMYDQETDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIAGTSYGNESGDALKDVELLNAVANNSPHVIKFLTVMALCNTVIPIKSPSGTISYKAQSQDEDALVNAASNLHVVLVSKNGNNAEIHFNRRVIQYEILDILEFTSDRKRMSVVISDSQSGKIFLLSKGADEAILPLAYSGQQIKTFVDAVDKYAQLGLRTLCLGWRELSLEEYLEWSRLFKEANSALVDREWKVAEVCQKLEHTLDILGISAIEDRLQDGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLYINGKTEDEVARSLERVLLTMRITSSEPKELAFVVDGWALEIILTRYKEAFTELAVLSKTAICCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQAHIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFVSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVTQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIVVFLITIHAYANEKSEMEELSMVALSGSIWLQGFVVTLEMSSFTFLQFLAIWGNFAAFYIINLCISTIPTAGMYTIMFRLCRQPSYWITMLLISGVGMGPVLALKYFRYTYSPSAINILQKAERSRGPMYTLVNLESQLRSEKDNSTNSILTAPVKNKNSVYEPLLSDSPISSRRSLASSSFDIFQPAQSAYPRNIKTS >SECCE3Rv1G0186990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:693138080:693144291:-1 gene:SECCE3Rv1G0186990 transcript:SECCE3Rv1G0186990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g30610, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30610) UniProtKB/Swiss-Prot;Acc:Q9SA76] MAAVPPNASMGLLNLGGCGVLLPSLQPNSSAGHGFLVPRRDVSVLPLSWGLVRRGRFLDAGFGAAGASASGEAGASSSALRHIEKELTFSPTFTDYVKMMESVKLDRSKSLQGGDSDGRSSRRRFTGDGDPSAGRRREDRESVDPRKKSFERNRGAQRDRGGGQGRGERSAMNDSAEEFTGLVGKRTVGDVKNSSDGQRKVEEYVQRRIVRGERSRIGDITDSNDRKQFSSASYVKTRDNKGGVVVHESAGNRHAQSNARKGLQGRSTSVVSRTSVTRDSSIISRNTRSTNARSNIREHDFRYPREGKVSSSEVNADSKFQRYQQRTENSGRNLVGSFRDNNVDYSKPTASKRYGHTQTFSENYGHRIDSLEHGKPETIRMHRGENVQQRKFVRRDSNAVVDDRAAFKTFEAFTDVRNRPRALQMEIEERIQKLASRLNATDVNTPEWKFSKMIHDAQIKFTDHSILRIVQILGRYGNWKRVLQVVEWLQSRERFKSYKSRYIYTTVLDVLGKAKRPFEALNVFYTMLDQLSSYPDIAAYHCIAVTLGQAGLVKELFDVIDCMRSPPKKKFMVGPVQNWDPRLEPDLVVYNAVLNACVQQKQWEGTFWVLQQLKEKNIRPTNTTYGLVMEVMLVCGKYNLVYEFFDRVKQKSIPGALNYKVLVNALWREGKIDEAVMAVKDMESRGIVGSASLYYDLARCLCSGGRCKEALLQVEKICKVANKPLVVTYTGLIQTCIDSGSIENATYIFKEMCNYCSPNNVTCNIMLKSYIDHDKFEDAKGLLENILNGRIRSKVDLGQQAVADKFTFNTFLDACAEAKKWNDFEYAFREMLSNGYHFDERRHLRMVLDAYRSGKEQLLEDIWDYLCHNGRVPPAAMIMERFCLKLRQGHTTAALSCISRFEESKIGSVSSKSWSNLLNRNADLLEEESVAKLVHRLDELSRPGSSCHSLYENVLSSCTEFLQGSSGKAPSDGRMPFCNS >SECCE2Rv1G0138270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921010960:921012421:-1 gene:SECCE2Rv1G0138270 transcript:SECCE2Rv1G0138270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAWHNRADDDKYLLQARQEMDKNMQREREVMDKKLKQERATVDRMRKEERAAVEQMMTAERAAMDQRLKHFHDDMDLKLKKERKNLDRTIQLERGKTEFNIMQARANMDLMLQQERKDMDHKAKMDQIRMEGNMMQDRAVQLERGKMDVNTMQARADMKRKLQQERNDLDYKAKMEEIRMEGSMMQDRASTGMKAQRDIRTPEQSVSSPPQKAKRDIPPPEKSVSSPRGPVVVMQFIVHKDYELSHVPQAYHNDRPGMMTVVEPSKVDWQLMVEHLRCQGYKGKADLYYIKPGCVPPEGMIQISGQHDVDEMLRALKGLKKCHLYIVRNCAFSDDAQLPYDSDDDMYEQDMSAEEIYPCGYMDINEKDMAEYGSSPKRKKLSDINPKKALDFGSSDDPL >SECCE5Rv1G0359820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:765549012:765550807:1 gene:SECCE5Rv1G0359820 transcript:SECCE5Rv1G0359820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEEANRAAVVSCKRLVARLSLSAGDPFRLAAVAAETEEAVSRFGKVVNILGNRVGHARARVGRRSSTAGDPIARCLLEYHPPPPVPYCPPDSVPQLHGSSSSTPAPPLTPLKQMPVPVVAAAPCAAAAGNGKGVAPAAKSADRDMFFQMPLLDLSGCGSVTPASIAAVQINGSRVSAAPAAKATDRDMFFQTPLLDLSGCSVTPASVAAVQINGSRVSAAPAANPPPPPPPPPQMQFHHQIQQQPPQKRVLEQQQKPASSDNKRFHFEPKPASEKPFHIEIPAARSGKEPEVITFSFDNSVCTSSAATSFFTNMSSQLISMSESSACAPASRKAAHKADDDGKCHCPKKKKPREKRVVRMPAVSDKVADIPSDSYSWRKYGQKPIKGSPHPRGYYRCSSIKDCPARKHVERCRGDAGMLIVTYENDHNHAQPLDLAALTANSEA >SECCE4Rv1G0272980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:773371989:773379724:-1 gene:SECCE4Rv1G0272980 transcript:SECCE4Rv1G0272980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYPERPRRRPSPDPVAVLRGHRAAVSDACFHRALPLLFSGAADGELRVWDTASHRTVSSIWAHGGAAGVYSIAAGAGLGNTIISQGRDGLCKGWVIEEAGLSRRPIFTIKTSTYHFCKMALVKVPCSAHDTQTSLSGSNSGTEPQRVPTEDNAGSDGVNPAEGTQEYEQGSSFDGQNILTIAGQESSEVELWDIKNSRKIMCLPQSCSANMTGHPTKKKGLCMAVQAFIPCESVGYVNILSSYEDGSTLWWDVRKPGSPLSSVKYHSESALSIAIDGSCTGGISGGADNKVAMFALDHQQGTFSLRNEIEIERPGIAGVAIQPDNKIAATAGWDHRIRVYNYSKGNALAVLKYHSATCAAVTFSHDCKLLASCSADTTVALWELYPPKTPSKVDIATEEVEK >SECCE3Rv1G0201630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:866023239:866025379:-1 gene:SECCE3Rv1G0201630 transcript:SECCE3Rv1G0201630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGALARLIIGRRAASPLLARPFAAKARAPLRAPAPVPEPLSEDDDDFTSGGEAAPIPTEGISKPLAGILKELGKRVPESLLKTRLEDNGFALKYIPWHLANKILNQHAPEWSGEVRNIVYSSDGKSVSVVYRVTLYGTDAEIYREATGTASVDDKGFGDPVQKAEGMAFRRACARLGLGLHLYHEDMS >SECCE5Rv1G0332730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:551034311:551040922:1 gene:SECCE5Rv1G0332730 transcript:SECCE5Rv1G0332730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKALPLSHFRARVGSPLILSADASSAARKGSGAPGRSRASRYDAGAPPSRAGRGGVWKRDDPEAPPRRTGMTGVPKLNPKFSTKRAGTSKVPIINFEVSHHRAVAAVRLLRADKGKAFVDLLNEKANDSGENEMGYVERTLGFSTRHLDDRDIRLVTVIVAGTVRWKRYIDYLIMSLCNEEKVFSNMEPLLLQILRIGFFEILKLNVPAYAVVDENVRLAKVALRPGAGNMVNAILRKLLLLKETDSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKEEALKLMNWNNSDPYFSLRVNTTNGYTRDDLVNRLEDLQVHYDKSIMDEFVRIREGMQAVLQAGLLKEGMCAVQDESAGFVVSVVDPQPGETIMDCCAAPGGKTLFMASRLAGQGKVSALDINKGRLRILMEAAKCHNLDDIITDIHGDLRLYAKESTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELVCLQDELLDSASLLVKPGGILIYSTCSIDHEENENRVTAFVQRHPEFTPQGVQGFVPAEFITDDGFYSSSPTKHSLDGAFAARLVRSMH >SECCE1Rv1G0023940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:316521831:316525200:1 gene:SECCE1Rv1G0023940 transcript:SECCE1Rv1G0023940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQFSLTFAIQLTRSCLAMERRAQERQASGRAAALWGALLLFRLLSSSELRGASSAPGEAEALVEWKSSLPRLAALVSWDREAAASSTSAACSWHGVSCDALGRVVSVDVSGAGLAGTLDALDLSSLPSLGSLNLSFNSLTGSFPSNVSAPLIGLRSLDLSNNNFSGPIPAILPVYMPNLEHLNLSSNQLVGEIPASLAKLTKLQSLILGSNSLSGGIPPVLGSISGLRALELHSNPLGGAIPASLGNLRLLERMNVSLALLESTIPMELSRCTNLTVVGLAGNKLSGKLPESLAKLTKIQEFNVSKNMLTGTISPDYFTAWAQLTVFQADRNRFNGEIPPEIGMALRLEFLSLATNNLSGTIPSVIGRLTDLKLLDLSENKLFGTIPRTMGNLPRLEVLRLYDNKLTGRLPAEFGNMSALQRLSISTNMLEGEIPAELAGLPNLRGLIAFENLFSGAIPPGLGGNGLFSMVSMSDNRFSGGLPPGLCKSAPRLRFLALDNNHLTGNVPACYSNFKKLERFRMAGNRLAGNLSEILGSQEPDLYYIDLSRNLFEGELPEHWAQFRSLSYLHLDGNKISGTIPPGYGAMAALRDLSLASNRLTGTIPPELGNSALLKLNLGHNMLSGRIPVTLGNIATMLLLDLSENDLQGGVPLELTKLSSIWYLNLSGNSLTGEVPALLGKMGSLEKLDLSGNPGLCGDVAGLNSCTLNSTGGGSRRHKARLNLVIALAVAAALLACVAAVACVVVRRKRRTGEDTPGTEKMTRDGSEMALQASIWGKDVEFSFGDILAATEHFNEAHCIGKGSFGSVYQADLPGGHCLAVKKLDASETDDTFRGISEKSFENEVRALTHVRHRNIVKLHGFCASSGCMYLVYERVQRGSLTKVLYGGSCERFDWPARVRAITGLAHALAYLHHDCSPPMIHRDVSINNVLLDAEYETRLSDFGTARFLAPGRSNCTSMAGSYGYMAPEVAYLRVTTKCDVYSFGVVSMEILMGKFPGELISSLYSLDETRGVGESALLLLKDVVDQRLDLPAGKLARQLVFLFVVALSCVRTNPDARPTMRTVAQELSAQRQSILDVPFGAISIGDLTILQV >SECCE5Rv1G0367750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:823692584:823693797:-1 gene:SECCE5Rv1G0367750 transcript:SECCE5Rv1G0367750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPESSLGAVAIVLVVLCAAMSSAAAQPRRPLPPNSRVIHPGRFGKRTQTLTCDNTKDKRNPCVATCDKRCPNECLVLCPSCKTYCLCDFYPGMSCGDPRFTGADGNNFYFHGKKDQDFCVVSDADLHINAHFIGKHNPSMSRDFTWIQALGIRFADHRLYLGAQKTSKWNNDIDRLELTFDGAPINIADDIGSQWQSTAVPALTVTRTSMTNGVRVELKGVFDIMTKVVPITEEDSRIHNYDVTKDDSLAHLDIGFKFYGLTDNVHGILGQTYSSNYVNKLNVSASMPVMGGIASYVSSNIFATDCKVARFGHNGGISMVTARAN >SECCE5Rv1G0361220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:778046169:778047600:1 gene:SECCE5Rv1G0361220 transcript:SECCE5Rv1G0361220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLEDPFLRLSPEIVEEVFFRISPDEPANLVRASAVCKPWRRILAGLSFRLRYRKFHGTPPVLGLFQHGDCLFRKGSRFVPTSSLFLSHPDERPDWFAMDCRHGRALFARIRNTSDLMVLDPVTGHQRRVPSTPKCTLRFSAAVLCAVQGCDHHGCQGGHFRLAVVTTSQRQGVTSGWLYSSETHMWSELTSVHHTIVRYTNNFGAPNVLVGDALYFNMGGIVECQLGTLRLSMFEKPIDCGGRLMTVEGGRLGFAAVVNATNLTLWLWEAGPVGAIGWAKLRVIDLKTLLPACEFGVRSWANALVISGVAEGTQVIFVRARAGSYMVHLKSGRVRLVCASSDIKIFPYVSFYIPAMEAACFGQGQ >SECCE2Rv1G0083670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:169559231:169559851:1 gene:SECCE2Rv1G0083670 transcript:SECCE2Rv1G0083670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVGSLVVGEVVSRTSSFLISKHKERSASASGTSESLERLEMAHIKMEAALEVSARWQVTDVAMLRWRRRLRRAAHECDAAVHRWRLRALEEEEAREALARAWLPSRVARIVASFVSSLLSRRSEEPHAKAVQRFEKLADGAGDFLRYLQSGGAPRRCSLLVDAIVRKPAVSASTKHGLVQGGRQRCLRSGSRKSGVEITQVVQ >SECCE4Rv1G0268700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744364716:744366599:1 gene:SECCE4Rv1G0268700 transcript:SECCE4Rv1G0268700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLTSLLLSLPQQWQPVLLALVTALSLLLLTRRKGLKLPPGPATLPLLGNLHQLGPLPHRALRDLARVHGPVMQLQLGKAPTVVLSSAQAAWEALKTHDLDCCTRPVSAGTRRLTYDLKNVAFAPYGAYWREVRKLLTVELLSAQRVKAAWYARHEQVEKLISTLNRAEGKPVALDEHILSLSDGIIGTVAFGNIYGGDKFSQNNNFQDALDDVMEMLSSSGSSAEDLFPIAVGRLVDRLTGFIARRERIVLQLDAFFEMVIEQHLDPNRMLPDNGGDLIDVLIDLWKKPRGTFIFTKDHVKAIIFSTFVAGIDTNAATIVWAMSELVRKPRVLKKVRDNIRDVVGDNKTVQPDDISKLSYLRMVVKETLRLHPPGPLLLPRETMRHVQIGGYDVPAKTKIYVNAWAIGRDPVSWPDEPEDFNPNRFEANEIDFKGEHLELMPFGIGRRICPGMSMAMATIEFTLANLLFNFRWTLPEGMTVDDVNMEEEGRLIFHRKTPLVLVAKPYHHGLE >SECCE6Rv1G0385870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:86520284:86523326:1 gene:SECCE6Rv1G0385870 transcript:SECCE6Rv1G0385870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHGKLYIGLSGLATDAQTLYQRLVFKHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPIIAGLGEKDQPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPDMEPEELFETISQALLSSVDRDCLSGWGGYVLIVTPTEVQERVLKGRMD >SECCE4Rv1G0278820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811111959:811118082:-1 gene:SECCE4Rv1G0278820 transcript:SECCE4Rv1G0278820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAAAAAPSDGDAKLQCFLQWLQANGADLRGCTIRGCGRKGFGVYATAPDAGVADGVVMAVPLDLAVTPMRVLQDPLVGPRCRALFEDGGVDDRLLVMLFLMAERLRPTSLWKPYLDVLPSTFGSSVWFSDEELAEVEGTTLHRATVMQQKSLQKLFDDKVKGLVEELLHVDGSGSSIEVRFEDFLWANSIFWTRALNIPLPHSYVFPGSLDEQQNRTGEVLGDSSLTNQQETDITSKNCSGDEIPESRNTESIWVEGLVPGIDFCNHNVKALATWEVDSVGNLTGIPASMYLMLVQAGKSSVETGTEIYINYGNKGNEELLYLYGFVVDNNPDDYLMVHYPVEALRQLQSADVKMKLIEMQKAELRCLLPTSLLDRGFFGTSTSSSEDDNKKNASHFSSYSWSGQRKVPSYLQKNVFPQEFLSTLRTIAMQEHELEQVASLLGEVGSSEDREPSDAEIKSAIWEVCGDHGALGLLVDLLRVKMAELEEGTGTEASDSQLLEKFDSIDAEDSSSATVERKEATKSRANMRSCIVYRRGQKQLTRMFLREAERLLELSADEQT >SECCE6Rv1G0437460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:783993566:783994360:1 gene:SECCE6Rv1G0437460 transcript:SECCE6Rv1G0437460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVETRIRTGPGTAIRFNVLALGEESAGGGLSSVHLRCTVTLEHATCRLRGSGRGLPDRAKPEFLQQPSTSDEVRDLRDPSVLLRPDDVLLGVLDMLASAPRLRGVDLSDESAWDGEHAPRRIAAWLREQGSRWLASCPERRCRFEVDARLQVTRVFSEPRALLRRCAEVAMQTVAPGSDEECRICLDEFRDGGKSGPVNLPCSHAFHAHCMLTWLDRGASCPTCRHDLTGMVAAAPWAAPTTWTTASSSTATARRPGPRGRR >SECCE5Rv1G0351140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:696747140:696751122:1 gene:SECCE5Rv1G0351140 transcript:SECCE5Rv1G0351140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2 homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G44560) UniProtKB/Swiss-Prot;Acc:Q0WTY4] MNIFKKKVDPKEALRTSKREMSVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAATKILARQLVRLRQQIVNLQGTRAQIRGVATHTQAMYAGTSISAGMKGASKAMAAMNKQMEPTKQMKQMREFQKQSSQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIGASNKKAENNQARNAAPAKNVAPEPNAAEVDDLERRLASLRRI >SECCE6Rv1G0435930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:773504233:773504891:-1 gene:SECCE6Rv1G0435930 transcript:SECCE6Rv1G0435930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMVKQGGTPGDRGKPGGDGDKKDKNFEPPTAPSRVGRKQRRQKGPEAAARLPGVAPLSKCRLCLLKLDCVKDYLLIEEEFVAAQERLRPTEEKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVIAHRIQDLGA >SECCE7Rv1G0454760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:4216780:4217085:-1 gene:SECCE7Rv1G0454760 transcript:SECCE7Rv1G0454760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAWSESENERFERALDTHDRDTPGRWERVAAAVGGGKTADDVRRHYDRLVDDLRRIEAGGGHTNSNGGTHAAAGGSSNGAGNNGTRGGLSGDSRRPQT >SECCE1Rv1G0054310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:674027329:674027952:-1 gene:SECCE1Rv1G0054310 transcript:SECCE1Rv1G0054310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRDDDCYLTRDEVKYLFICFGVVAVVVLLAVLLAAFVYLRHVAITVEDASLTRFDLLTSPVTGLAYNLSLTLKVRNPNWAMSMKNVEPFVAAYRFDGQQFDRVQIAAAGDKHPAGATRVYHLTSSSQGAFVSLGSAGEQEYKKESKAGTFDVEVALSRKVSYTARYTKCKIEAVCPLKLQLVKPDATTVVFQKVTCKLQKAEKNC >SECCE3Rv1G0198400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:831904137:831906850:-1 gene:SECCE3Rv1G0198400 transcript:SECCE3Rv1G0198400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGRIPKEENDLFDIMDDWLRRDRFFFVGWSGLLLFPCAYFALGGWFTGKTFVTSWYTHGLTSSYLEGCNFLTAAVSTTANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAVALRGFMLRQFELTRSVQLRPYSAISFSGPIVVFVFVFLIYPLGQSGWFFAPSFGVAPIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFLAFNPTQAEETNSMVTTNRFWSQIFGVAFSNTRWLHFFMLFVPVTGLWMSAIGVVGLALNLRAYDFVSQEIRVAEDPEFQTFYTKNILLNEGIRAWMAAQDQPHENRIFPEEVLPRGNAL >SECCE2Rv1G0118640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:784867531:784871376:-1 gene:SECCE2Rv1G0118640 transcript:SECCE2Rv1G0118640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVGGNPTSIPNLVEKKPRETRTNKQLHKPEEALKCQESIHRQLSMVDVLQKIGISRHFPSEIKSILDFTYSYWLQRVEIMLDVETCAMAFRILRMNGYNVSADGLSHISTDLSDTRSLLELYKASQVSTSDDELILDNIGSWSGHLLRQQLKSSEAQRTPLLREVAHVLDSPFYTMLDRLEHKRNIEQFDIIEHPILQLTWQTNQDLLALGVMDFSTSQSIYQQEYQHLDSWVKESMLDLLPFARQKLAYFYLSAASTMFPPELSDARILWAKNGALTTVVDDFFDVGGSKEELENLTALVEMWEKQEEIDYYSEQVEIVFSAIYSSVNELGSKASAVQGRDVTGHLVEIWQELIRNMMTEVEWRTSRYVPTLDEYIENAVVTFALGPIVLPALYFVGPKITESMVVDPEYSELFRLMSTCGRLLNDVQTYEREYKEGKLNSVSLLVFHSDGSVTIPEARRKLQKPIDTCRRDLLRLVLREDDVVPRPCKELFWNICKTCYFFYYQGDAFSCQEEKAGAVDAVIRDPLQLPMNLLSNLDLSTLREKIKIKH >SECCE7Rv1G0494080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:578539146:578539577:1 gene:SECCE7Rv1G0494080 transcript:SECCE7Rv1G0494080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSLRAPFSLRTVAPPAPRVALAPAALSLAAAKQVRGARLRAQATYKVKLVTPEGEVELEVPDDVYILDQAEEEGIDLPYSCRAGSCSSCAGKLVSGEIDQSDQSFLDDDQMEAGWVLTCHAYPKSDIVIETHKEEELTA >SECCEUnv1G0563210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:372959151:372961214:1 gene:SECCEUnv1G0563210 transcript:SECCEUnv1G0563210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKQMNPCAICLGGMGAGGGQAIFTAECSHTFHFHCITASVAHGNLLCPLCNAQWRELPFVRPAASMPPSQPPSVDVEQAAWPRRVRAHSEDPVVYDDDEQVGSPDGRRQAGAASDEAVVVKTHGNYSAIARDTSDDNFAVLVHLKAPGITGFGAEAAGDAPAPAQRAPVDLITVLDVSRSMHGSKLALLKQAMRFVIDILGPDDRLSVVSFSSRARRVTRLTRMSDAGKALCVRAVESLTARTGTNIAEGLRTAAKVLDERRYRNSVSCVVLLSDGQDNYTPMRQAFGRGSPNYAALVPPSFARTDTGAGDRATPVHTFGFGNDHDAAAMHAVSEATGGTFSFIENEAVIQDAFAQCVGGLLSVVVQEARIAVQCLHPVVRVGSVKSGLYESHIDEDGRAASIVVGELYADEERRFLLFLVVPRAEETDGDLTALIRVSCLYRDAAAGADVNVMAEDTVVARPEHAVDAERSIEVERERVRVEATEDMAAARAAAERGGHQEASEILENRRGAVAQSDAARGGDPMIVALEIELRDMCMRVSSRHNYAHSGRARMLAGMSAHMQQRGSSSQLQLPSVIAFQDGAVTTTAATDQVSQQAVTLPYATPAMLAMLLRSRRAREASAASGQQQPGAPEGAGGSEPTVPKELN >SECCE5Rv1G0325550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:466780099:466782059:-1 gene:SECCE5Rv1G0325550 transcript:SECCE5Rv1G0325550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL28 [Source:Projected from Arabidopsis thaliana (AT1G24030) UniProtKB/Swiss-Prot;Acc:Q84M95] MFNNIVSTWNKRRRSKSLDQLNPWVYKTAELWQVKEPLQLPAPKKSNCSMVFTLKEMEEATGMFSDKNLIGKGGFGRVYRGVLKDGQIVAIKKMDLPTAKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPKGNLQDVLNGIRGEVRMGWGQRLRIALGAARGLAYLHSTTAVGVPVVHRDFKSSNILLSDHFEAKISDFGLAKLMPQDLDLYATTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQDQNLIVKIHQMVGDRKKLRKVVDRDMGKGSYTLESVSMFAGLAARCVCFESAGRPAMQDCVKELQLIMYANMKI >SECCE6Rv1G0423800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697486598:697488085:-1 gene:SECCE6Rv1G0423800 transcript:SECCE6Rv1G0423800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGLSFHAIRPSKVDGALTCGSQMARLATMLMLLPLLLVSYGVGTIRCSSSTTTVHDNITDMISLLNFKRAITNDSRQALRSWHVGVPLCRWNGVFCGDPKHPGRVTELNLNLLSLSGTISPSLGNLTFLRSLDLSLNGFTGEIPPFNRLQRLEYLSLWDNSLRGIIPDTLTNCSNLQDLFLFKNLLIGEVPSGIDLLSKLVGLNLALNNLTGTIPPSLKNISNLEEISLIGNKLTGTIPDELGKLPNLTELALAENRLSGRIPETLYKYNQSSLQYLSLGSNMLGKTLPSNFGDTLLNLEELLLDDNNFEGHLPASLGNISGLVGLDLSFNNFVGQVPSSFGNLGLLKQLNLQHNNLSGFIPTELGGLQNLTHLYLSDNNLEGGVP >SECCE5Rv1G0365030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:804076241:804076763:1 gene:SECCE5Rv1G0365030 transcript:SECCE5Rv1G0365030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVSGVAVNEECVKVFQELRAERKHRFVVYKMDDDAQQVVVDKVGALDATFDDLAAAMPADDCRYAVYDLDFVSEDSAGETPRSKIFFIHWSPESADARNKMLYASSTEGLKKELDGVQIDVQATDASELTLNILKDYTT >SECCE1Rv1G0036470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:509969223:509971464:1 gene:SECCE1Rv1G0036470 transcript:SECCE1Rv1G0036470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCCADPPTLNPAGGEGRVVDSLGGIAAYVAGSTESKAAVVLISDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPYVPENADRPIQVWLKEHAPGKAFEQAKPVIAALKEQGVSTVGAAGYCWGAKVVAELAKANEIQAAVMSHPSFVTVDDIKEVKCPIAVLGAEIDRTSPPELVKQFEQILSSNTGIAHFVKIFPGVSHGWTVRYKSEDAGAAKSAEEALSDMVDWFNKNLK >SECCE5Rv1G0346360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660523590:660523883:-1 gene:SECCE5Rv1G0346360 transcript:SECCE5Rv1G0346360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTADGRRFEVPLAYLSTTIIGELLRMSQDEFGFTSDGRITLPCDAAVMEYVMCLLRRNASEEVERAFLSSVVRPCHYGNGLELSMGVSQQVAVSGF >SECCE5Rv1G0369490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:835558063:835559604:-1 gene:SECCE5Rv1G0369490 transcript:SECCE5Rv1G0369490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYWLVELLSLLCFFVFYYRHLQSKKISKAEPTEWPILGHLFGMVANLSRFHDWATGILAGTHYNFEARAGITGVRFFVTCDPANVRHIFTSNFTNYPKGEEFAEIFDVFGDGIFNADGESWRRQRAKSQLIMAGPRFRAFSARYSRDKVERSLLPFLAHAADAGTRTPCDLHDVFLRLTFDMTCNLVFGVDPGCLQIGLPVVPFARAMDDVLETLFLRHIISPKCWKLMYRYELGTERKMAAGRRTIDRFAADTIAKRRADHKLRDDGVSDSSDMLSSFICNGDASEYSDEFLRDTTVNLLLAGRDTTGAALSWFFYLISKNPRVEQKILDELAPIASPKKKNLDGMVVFDVSELSGMVYLHAALCECLRLHPSVPFEHKAAVADDVLPSGHEMKAGDKILIFSYCMARMEGVWGKDCMEFRPERWVTADGKLRYEPSYKFISFNAGPRTCLGKEMAFVQMKTAAAAVLWNFAVEAVPGHVVEPKLSIILHMKNGLAVTVKRRNAAPVHG >SECCE1Rv1G0036100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:505313762:505318087:-1 gene:SECCE1Rv1G0036100 transcript:SECCE1Rv1G0036100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALRRSLPRRGSLRRLLPSHPPSAATSSFRRSFQSDEGVAGESTEDFQKRLFGNQREPDDNSFFGKLDGVGSSFGRRHGAGSGMGAFSQQGEMGNSFGERSSGGIMDGFDSLNDGMNEKLDDAARTFHMTDEVEDDDYDFRPDVNYRRGSTYNVRDLDLTKPAAPRNPPRPQFETSTKEVLRKADFRNVRFLANFITEAGIIIKRNQTKISAKAQRKVAREIKTARALGLMPFTTMGKRPFIFGRSAEEDASEEEYGYEFVQKDAGPEETVGDAVPDVETAETI >SECCE4Rv1G0255720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:649871149:649871730:-1 gene:SECCE4Rv1G0255720 transcript:SECCE4Rv1G0255720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARMRLIIQVAALISVIQVWVMFMHQRAVRRAGRPLIRYGPLFPRQQERIQNLNYIYNYNDVEALWMLKMKRAPFARLVETFRSRGLLQDSINTSVKEQVAMFLHVVGHNQRFRVIHNMFRRSMDTISRYFKEVLFAIGELRGEMIRRPSGQTPPKIRGSPRWYPYFKFSTNTD >SECCE1Rv1G0059770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707795833:707797482:1 gene:SECCE1Rv1G0059770 transcript:SECCE1Rv1G0059770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRAWIHLIKPKAQGGLGFRDLRLFNQALLARQAWRLLTSPDSLCARLLKARYYPHGNLEDTVFSSAASVTWQAIQHGLDLLKRGLIWRVGNGSAIRIWRDSWIPRNGSGKPVTPQGRCRLRRVSDLLDNHGAWRLDLVRSIFLPVDADVIATIRTSPRMGEDLLAWEPERNGNFTVRSAYRLALEDRLRFSSVAASRAPDGRRAVWAFIWRCPAPPKVRMFTWRLLTDCLPTWVNKRRRGLERLVQKVTTAPTTTLRWSKPSTGWAKLNVDGSFQAMNGEAGAGMVLRSDTGDIIFSSCRELRVCSDPLEAELHACMEGLNLALQWTPLPIAMETDCSVAFHAISELVLVRREQNGVSHYLANYGRVHKRTVVWLGSGPEEIPDLCKAEALFV >SECCE7Rv1G0504870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:727510884:727511510:1 gene:SECCE7Rv1G0504870 transcript:SECCE7Rv1G0504870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHAYDHSSSSGGGMSPSTPPVQQQQRRGLSRHATVPRATTTRPAIRIIHIIAPEIIKTDVDNFRDIVQRLTGRHHHQPADATADDSAAVTVGVAPTPPSPVEEKPQPQKKRLAPEPVLADDFLAQQENRRRKKIKCEVVKVEEGGFGYGGGDLDFSELWMDLNPGGFLSFLEEDVFQGMMAPDLLQQPLGAPRMDLVGEMCASFLA >SECCE5Rv1G0349690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:686019660:686024722:1 gene:SECCE5Rv1G0349690 transcript:SECCE5Rv1G0349690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAGMRDSALDLLAALLTGRAPAAAQDDGDQSRRLLALLATSLAVLVGCGVALLFRRSSSAAAPLAHKAAAAKPLAAKKDQEPDPDEGRQRVALLFGTQTGTAEGFAKALAEEAKARYDKAVFKVLDLDDYAAEDEEYEEKLKKENIAFFFLATYGDGEPTDNAARFYKWFSEGNERGEWLSNLKFGVFALGNRQYEHFNKVGKEVDQLLAEQGAKRIVPVGLGDDDQCIEDDFNAWKELLWPELDKLLRVEDNSSAAQSPYTAAIPQYRIVLTKPEDATHINKSFSLSNGHVVYDSQHPCRANVAVRRELHTPASDRSCIHLEFDIAGTSLTYETGDHVGVYAENSTETVEEAEKLLGYSPDTCFSIYADQEDGTPLFGGSLPPPFPSPCTVRVALARYADLLSSPKKSVLLALAAHASDPKEAERLRHLASPAGKKEYSQWIIASQRSLLEVISEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMAPTRIHVTCSLVHGETPTGRIHKGVCSTWMKNSTPSEESEECSWAPIFVRQSNFKLPADPTVPIIMVGPGTGLAPFRGFLQERLALKETGVELGPAILFFGCRNRQMDFIYEDELNNFAESGALSELVVAFSREGPTKEYVQHKMAEKAAELWSIVSQGGYVYVCGDAKGMARDVHRALHTIVQEQGSLDSSKTESYVKNLQTEGRYLRDVW >SECCE1Rv1G0015710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:128282256:128294287:1 gene:SECCE1Rv1G0015710 transcript:SECCE1Rv1G0015710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL6 [Source:Projected from Arabidopsis thaliana (AT3G17205) UniProtKB/Swiss-Prot;Acc:Q8RWB8] MFFSGDPTSRKRVDLGGRSNKERDRQVLLEQTREERRRRLALRLQNSSATKIQKCFRGRKAFELARLEVRKNFCSTFGEHCQRVDRNCFGHNSDFLRQLLFFFNASKDSDIAILSQVCSLLLQYVKHGDVVSLFAGVDYSSVEPVVIHRVKRLALICVHAVHQKRYDWNNQLLMSVQSTSMPFVQLLEAVACLINPKLPWNCKVVGYLQQKKIYCLFRGIISAVPQNARNMEHCDISALEQVLMLTASHVGDNQCCCPAVDPRWSFSSQLLSIPFLWHRLPHFKKVFSANGLSKYYIHQIACYLPSRADVLPNDISAKQPGYACVLANVLEAATWILSEPKFASDRAADIIAVSTSLLDALPTITSPTERADDDDDMAMDVDVKDELDIDLQKQIMAAFDSNTKLLEHLVNALFTGTLSTNYSDPSGPSDAEVEAVGSICAFLHVMFSTLPLDVTMTALAYRTDIVPALWNFIKRCHENQRWPYFSKYISPLPVDAPGWLLPVSVFCPIYKYMLKIVDNEEFYEQEKPLSLKDLKALVLILKQALWQLLWIIPSHTSPSQKALPNPLGLKKMSIDSLKSQARIGLSELLTQLQDWNSRLPFTAESDFYSQEATSENFVSQAILGNTRASEIIKLAPFLAPFTIRVKIFTSQLANSKQSTASHSALTRHRFKIRRSRLLEDAFDQLSLLSEEDLKGPIRVSFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETVDHVLYPNPGSGLVHEQHLQYFHFLGSLLGKAMYEGILVDLPFATFFLSKLKEKSNFLNDLPSLDPELYRHLLFLKHYKGDLSDLELYFVIVNNEYGEQAEEELLPGGREMRVTNQNVITFIHLVANHRLNYQIRGQGRHFLRGFQKLIPKEWIDMFNEHEIQLLISGSLESLDIDDLRLNTNYSGGYHPDHEIIDMLWEVLKSFSSDNQKKFLKFVTGCSRGPLLGFEYLDPKFCIQRAGVPGLEEHGDRLPTSATCMNLLKLPPYRTKEQLQTKLLYAINSEAGFDLS >SECCE6Rv1G0396270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:360881157:360883784:-1 gene:SECCE6Rv1G0396270 transcript:SECCE6Rv1G0396270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVLCLVLILVASLGCKGDPDIVTEGDYVRIKRSLLAILIVFPVMMLALALAILKYLTPRGRSADDTIGSSDDQMKKVHGGEVINRWSGLYRFTKAEIERALDYANSRIYLGSGSAGQVYQGVLPSGQLVAIKHIHRTAMSGSFTREADGLSKVRHPNLVCLFGYCDDGSDQYLVYEYCANGNLAQNLLRSDSVLSWPTRVKILRDCASVLRFLHTHSDGCIVHRDIKLTNILLTEDMEPKLSDFGLAKMLQMEETKVFTDVRGTIGYMDPEYITHSKLTCASDIYSFGVVVLQLLSGRKVIELDIVARDSLTKKAKDVVTGKKPLDEFIDSRVRDEVNIEDFVLILKIGVLCVAHSSVGRPTIKDVYEEMDKAWRNTNAKATRSRKEINSSNTVQYAKVIDV >SECCE3Rv1G0143860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2280795:2283460:-1 gene:SECCE3Rv1G0143860 transcript:SECCE3Rv1G0143860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLKFLVKPLVLFLLTYQTYVGAASDDEDFSKQCSSHRCSKHGPEIRFPFRLSTHPPSCGAPGMQLSCSEDDTILDHPALGSCKVTEIYYRHRVINVAPPVEPATQCPLEKLISTNLATDVYELPPSPTTLVRCSSEFIPADPHSVAGPAACLSNNATQFWYLAESAYAYIYDLPRDCEAVYKGIPVPYSYDIDGPILGDKFAFNKKASTVINFGETTFTWHLSNLTDVCQRCEQEGRHCGFSSRRRQAFCQHHGTHVMPIAAASSVAAFVALSLVVAATLYLSLKSRYNEEINMKVEMFLKAYGTSKPTRYTFPEVKKIARRFKDKLGQGGFGSVYKGELQNGVPVAVKMLESSTGEGEEFINEVATIGLIHHTNIVRLLGFCSEGMRRALIYEFMPNESLEKYIFSHISNISRQLLAPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSVENQDEVYLPEWIYEKVISGQDWDLTLEMTAEDKEKMRQLTIVGLWCIQWNPKNRPSMTKVVNMLTGRLQNLQIPPKPFVSSENRPLPQNTTNT >SECCE6Rv1G0387050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:105089137:105092717:-1 gene:SECCE6Rv1G0387050 transcript:SECCE6Rv1G0387050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACGAAAPASVPALVRARLARPASSAVASSSALEELAADRKGLARVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDVVLVADGSEKPIGWGVYNSVSMFCVRLMQLEEEAKRDPASALNMERLLEERICSAVDLRRSLGFPSTNTNAYRLINSEGDRLSGLIVDIFADVAVIASSAAWVEKYRQQIQFLVNKVSDVNHIKWRSSSDILKEEGLDMSEQKEPAPSSYSGTVKVMENGIVYLVSMEGQKTGFYADQRESRHFISALSKDLRVLDLCCYSGGFALNAAKGGATNVIGIDSSGSALDLANENILLNKLDGERISFIKEDATAFMKGAISRNELWDLVILDPPKLAPRKKVLQSASGMYRSLNALAMQVVKPGGLLMTCSCSGAMTQSGLFLKTIQGAASMAGRKVTVLRQAGAACDHPIDPSYPEGQYLSNYLLRVM >SECCE6Rv1G0387640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:118847292:118849107:1 gene:SECCE6Rv1G0387640 transcript:SECCE6Rv1G0387640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MESAPHVKFPNPFPCACPSPSPRRPYPAEPKATRSACRGFRSVCGVSGRRAVSGLALLGAANLLAVPMPAQAAMLEPDVVRYRKLDSGVKLEDVVEGEGPEAREGNLVQFNYVCRRANGYFVHSTVNQFSGESKPVTLRLDVQEMIRGLKDVIIGMKAGGKRRALIPPEVGYIDESLQPVPEEFGPRRSLLSHAKEPLVFEVQLLKVL >SECCE7Rv1G0459710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26822145:26823955:-1 gene:SECCE7Rv1G0459710 transcript:SECCE7Rv1G0459710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLYAGISNAATEEVRVLSAGIAPVYVVEKGLLKKQLLRTCGGLVVTGSAIYGIKALIDSYANDMAESLTKIEVAKSDGFNEVAMEISTKFSDVKGVDEAKAELEDIVHYLRDPKHFTRLGGKLPKGVLLMGPPGTGKTMLARAVAGEAGVPFFPCSGSDFDEVYVGLGAKRVRDLFHAAKRLSPCIIFIDEIDAIGGPRHAGGSRSQRQTLNQLLVEMDGFKQNDGIIVVAATNFPESLDSALVRPGRFDRQVNVPIPDVEGRRQILEAYMSKVSTGKGVDAMTIARGTPGFSGADLASLVNDAALKASTDGANAVGTDHFEYAKDKILMGSERKTLVISEQSRKKIAYHEGGHALVAILTDGADPVHKATIRPRGNTLGMVAQLPGEDSELELSKKQLLAMLDVCMGGRVAEELIFGEAGITTGASSDLSKATRLAKAMVTRYGMSDRVGLVSYGDDGDGGTGMTTALVDEEIKALLDNAYRNAKTILTEHNKELHALANALLEDKTLTGDQIKELVSTGRQGDGQNNSQQDQETPPLTGN >SECCE4Rv1G0253280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:624923180:624924016:1 gene:SECCE4Rv1G0253280 transcript:SECCE4Rv1G0253280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEFGWWPMSPWLSPGAAWFIFFNVLVGAIAAMSSSRGRGEDGRRRLARTASTVVLESLRSVSNFPFHSLGDYGVAAYSAPAASQLHHVQDQYNSTFQEQRELSRMAPEPASVAQDETVVAAATTTSPQSARVAAPAAHEVDRMVEAEPASVAQDETVAAATTTTSLQSAAPAALDASAAATEVAVEAEESISLDEAYALARAGRQSAADTVATAPKKVKGRGAYGCGRGTEDVEGKAEVDARAEQFIRQFREELKLERINSILNHTHALRRTAGAR >SECCE3Rv1G0183150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:645075478:645078705:1 gene:SECCE3Rv1G0183150 transcript:SECCE3Rv1G0183150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MSCGRSENTTRKCRTRSTPGETMYLHTADVKKITHYQANLPTTMDRDIGAYPVKHHFPSPIVSWIEDLSSFGDVPFSHDTEYVDDQSTPLFGQSSASSNLHDMQISVRLTDEFMELAKENTSNNLETCGILGASFRDGTYYVTTLIIPKQEGTAHSCQASNEEEIHAVLSEQSLYPAGWIHTHPSQTCFLSSIDLHTQFSYQVMLPEAVAIVAAPTDPTRSYGIFRLTDPGGMDVLRECSESGFHTHRETTDGGPIYETCSNVHFKPNLRFEIVDLRSGA >SECCE3Rv1G0196280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:807559985:807562065:1 gene:SECCE3Rv1G0196280 transcript:SECCE3Rv1G0196280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGGDARGELVCVTGGSGFIGSWLVRLLLDRGYTVHATVQNLQDEGETKHLQALDGADTRLRLFQMDLLDPASVRPAVDGVHGVFHLASPVILQPAQDPENELLLPAVNGAINVLRDAKDSGVKRVVMVSSQTAMCPTDDWPAGKVIDDDCWADVEILKKLELWYNVSKTLAEKAAWDFAGEEGLQLVVLNPGLVLGPTLTPAATASLRLLMLFLQGQKPDMEPFFVGCVDVRDVAQSLVVLYENRLAQGRHLCMDSAIRLVDFHDELVNLYPEFPVHRIQEDKQGWVVRSKAPSKKLIDLGVRFTPFDKTVRDTVDCLRSKGEI >SECCE4Rv1G0241710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:459274495:459275553:1 gene:SECCE4Rv1G0241710 transcript:SECCE4Rv1G0241710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVQEVPTLGALPKKAPKVMNWTPPMSALMLKGLSEVAARGAKADKGFKKVEKLKVAKRISSFVGYDVSITQVHNHIRKWRNRWTRLVYLKGLSGALWDDDKKMVVLEEQHYLGHTQDHPTDAELLNSPVENYDYMELCFANKHATGNYSMGPGVPLGTPIVVEDKDKPNVMEGEGTTDEVLQHLPGSNFVLPTASATQDPSPTSNKKRKRASGLTEEDSIQCSNMTDAMREIASAINNTCHAETHPDLYNAVMDLLLFDQDERLAVLDYLTEHKAKGLNFVKMSDEVRKASFKRILKANPDLL >SECCE1Rv1G0047540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:626149069:626151196:1 gene:SECCE1Rv1G0047540 transcript:SECCE1Rv1G0047540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTICAVCFSLALVLITLVVAKIASLRKITIHYSMCTLPAPPEVKGIALLRLVPTLVTEGPEATMHYLHNKLGSAFTICFLWKRITFLVGQEASAIFFQGLELEVTHGDLFEFTVPMFGTEVGYGLDYTTRREQMNFIVDSLKPAQLRSYVDPMLQEVENYFANWEEEGVVDLKYEFKELLMLISGRCLVGKEVREKMFGHFCTLFHQIEKGLNFASFMFPYIPIPVNRRRDRARIKLRGILSEVVRSRKSFNHVGEDVLQRFIDATYKNGRGTTEEEVGALILALIFAGKHSSAMTSTWTAACLLGHAKFLDAALEEQRQIISKYKDKIDYDVLSEMGTLHLCIKEAARMHPAPPALVRQVKKDITVRTREGNEYGVPKGHTLVHLVMLNGMLPHIYKDPEVYDPDRFRPGREEDKAAGKCSYTSFGAGRHSCGGEAYAYMQIKVIVSHLLRNFELKLVSPFPKPDWTKYLPEPKGELMVSYKRRRLPSD >SECCE2Rv1G0116810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:767521913:767529671:1 gene:SECCE2Rv1G0116810 transcript:SECCE2Rv1G0116810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKPGSIPKDKAGVKAAALAATRDLERTAKIGSGRGLSAVAMATSGQRRSASDIDRAKRWNTSEAMSAAWMECLQSADSKPVSGREFSTLSYKYVAILVSGFAFARNLQRVEMERQTQADVLNRHCASTGVRAWRHLLHCLTEMGRLYGPFEEPLCTPDRIFWKLDFTESSSRMRRFMKRNYKGSDHLGAAADYDDRKLLSAAVQSNECNPKGADSSLTDTIPSTASVVIAEAMSVDDRNEDIEQLESDTTHSSADQLQSSSADQQSMKRSVDSRSSGISADRNLVRSTVVAPGYVPTEADERIIVELPSLMVRPLKVVRGTFQVTSKRINFIIDEHASDSNADDHASTSGQFYQQDKDRSWLISSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGDMEARKNAYRAIIQTKPPNLNDIFLATQRAEQILKRTQLMERWANWEISNFEYLMELNTLSGRSYNDITQYPVFPWIIADYQSKVLNLDDPSAYRDLSKPVGALNPERLKKFQERYSTFEDPIIPKFHYGSHYSSAGTVLYYLFRMEPFTTLSIQLQGGKFDHADRMFSDLSGTWDSVLEDMSDVKELVPEMFYLPEVFTNINSIDFGTTQLGGKLDSVELPPWAENPVDFVHKHRKALESEHVSTHLHHWIDLIFGYKQRGKDAVMANNVFFYITYEGTVDIDKIADPVQRRAMQDQIAYFGQTPSQLLTVPHMKRKSLTDVLQLQTIFRNPRELKSYVLPNPERCNVPASTMLVSNDSIVVVDVNVPAAHVALHQWQPNTPDGQGTPFLFHHGRNAASSTSGAFMRMFKGSTSSGEDYEFPRAIAFAASAIRSSAIVAVTCDKDIITGGHADCSVKLISTDGAKTIESACGHLAPVTCLALSPDSNYLVTGSRDTTVILWRIHQAGFIHKKNPPEPPPATPRTPRSPLPTNPSSMSNLLETRKCRIEGPMHVLRGHLGEVISCAVSPDLGLVVSSSNMSGVVLHSLRTGRLIRKIHVAEAHAVSLSSQGIILVWSESKKKLSSFTVNGVPIATSVLSPFSGVVSCIEISMDGHFALIGTCSSSNYKCEDNTEAADHEPEKPSRKADISEQTEIGQSVHVPSICFVDLHKLKVFHTLELGKGQDITAIALNKENTNLLVSTADKGLMVFTDPSLSLKVVDQMLRLGWEGDGLLQS >SECCE7Rv1G0476760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:210731287:210732732:-1 gene:SECCE7Rv1G0476760 transcript:SECCE7Rv1G0476760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNAAGAGRLGGGKSAAAKQLNVGGKLFALEASSLSLSLSLDPSPTPTFVDRDPALLSALLSAIRAPSSPPAFPARVLLDEALFYGLHAQLLAALSPPPLLGFSASLASTLSPASEPSPTALAAHHDGSLCLAHGAGQLTHYSPALDHLTTFRTHLHRATSIRLLPSSLAVVGSSSSPGLHVYDLLEGWHVASVEWSDPTDLRVLKAKVIAIAARPPADAADTNSPILATFECPHRENCILAIDPVTLKPIQEIGRQTGSAAKSSSPDRLVHLQELGLVFASSLSSGAFGYSGYMRLWDIRSGNVVWETSEPGGSGRSSRFGDPFSDADVDVKQLMLYKVCSKSGDIGVADLRRLDNDPWVYMSSGPKGSGGGHGSVLHCYKSQVFVSRKDGLEVWSRLEEQRDDTCNLLEQPGAKETLDLKGINENSFRSSYVDTEEDSKRGMIEMMEGGGDRLFVTREDMPVVEVWESSRLAGAISLA >SECCE1Rv1G0015840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:130494799:130496084:1 gene:SECCE1Rv1G0015840 transcript:SECCE1Rv1G0015840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCFSLAAALAVVTLAALLPSDAVVACIDVGFYDRTCPSAETLVQQTVAAAFGNDSGVAPAIIRLHFHDCFVKGCDGSVLIDSTPGNKAEKDSAPNFPSLRFFDVVDRAKAALEAQCPGVVSCADVLAFAARDSVVLSGGLGYQVPAGRRDGRVSTEANALNNLPGPTSTASDLVAGFATKNLTVEDIVVLSGAHTIGVSHCSSFTERIYNFPNTTDGIDPKLSKAYAFLLKGICPPNSNQTFPTTTTLMDLVTPARFDNKYYVGLTNNLGLFQSDAALLTNATMKSLVDSFVRSEAAFRTRFARSMLKMGQIEVLSGAQGEIRRNCRVINPTNATSTGAVHHHLLPRSSGSSGSNQVAAS >SECCE4Rv1G0222410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:57543325:57547381:1 gene:SECCE4Rv1G0222410 transcript:SECCE4Rv1G0222410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATALRKLSARGQPLSRLTPLYSMASLPATEERSAVTWPKQLNAPLEEVDPEIADIIELEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFNLDPEKWGVNVQPLSGSPANFHVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGLIDYDQLEKSAVLFRPKLIVAGASAYARLYDYNRMRKICDKQKAVLLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKQGKEVKYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTQEYRAYQEQVMSNSARFAQSLTSKGYDIVSGGTDNHLVLVNLKKKGIDGSRVEKVLENVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDSAVNLALKVKAAAGGTKLKDFVATLQSDSNIQAEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >SECCE2Rv1G0125180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:839488832:839490535:-1 gene:SECCE2Rv1G0125180 transcript:SECCE2Rv1G0125180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPSPPPEHRTPPAPTTISDLGDDQLREIFIRLPDLPSLASAAFTCRGFLGAVRSSRAFRRRFSALHAPPLVPCFLAHTIAPFPASRRPSAGSTPLQDDDTSDWRVDFYGPWVLYNDRRIAIKHRSVKHESVWYNPQTMDLFLCPKDHHDMPDGTTLWFRTFSREEDQMPSRVVCVRYDYSRPCARFAVFSSDTMEWQISPETSTPLPQGFRSGACTVLDGFICWQWESMWMMVVREYIFVLNADTFQFYRMDLPPPLRVLHQTFKIGQTNDGKLCIVNEKECTFSIWVLTAGDDGIESFVLHKTFPLQARFMEITNCSVEDTISVRLMTVFNGFVYFALCPWRNYADQYECPEWFMSMSLETAELKQQLKSRERPVFLVHPYSAWPPSMKYIPEDSKSEVIGNGVDDVGLESTEKDSSLLIKALRSYKEALIKDGDANVAEIEAFSLCIDVEDEKNSLVRKIMASDELLRTLRDRVLRAGADYEFYREIKSESWWQVCKKKLWKAFCTS >SECCE6Rv1G0382520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:43939504:43940652:-1 gene:SECCE6Rv1G0382520 transcript:SECCE6Rv1G0382520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEGCTTKRLPGLCTAEGISSNPAQQKSAIDGFGTPEQCTTEPTAVTREYALLKRKAKEADQNHDEEQEQEKEKEKEKEINEILATAKLPRRADVVSVAAAEPMVVDPFLEQGIAKATVSEPQADTEIEEPVSEPQAEAEIEEPVSEPQAESEDQDVYADEDADYDYQDDADYDGYYDEDEDEYESEYDDDDDYESEYENDHDYDWESEFAKHRPLVRETLLNFPEAANLEPQELDAAVERVLEQSKPEYRDVAAPGKVRLLKNDIRLILDENREPPNIHSLPSTICPADMAKRSEELDKELRSVKDKEAEDRFEELQAKVRKDLIEKGYAEVDEDFYDNRGKFWDELREEWNKIDFSRFRFASSADGILRYSEELGDFI >SECCEUnv1G0527440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1234903:1236319:-1 gene:SECCEUnv1G0527440 transcript:SECCEUnv1G0527440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDALMGSSRDLTEEEKKEHREVAWDDPDVCAPYMARFCPHDLFINTKSNLGVCPKIHDPALKQSFESSPRYAAALPKFEAELAHRCERLVQDLDKKIRRGRDRLAQDVVEVPPPAAHAEKVEQLAILEDKIKKLLEQIEQLGDTGKIDEATALMRKVDILNLEKSALTHQIETKLSMVPQEKKMELCEQCGSFLVTNDVLERTQSHVTGKQHIGYGLVREFLAEYKAAKEAEKLAREKEAEERLNRERGHHNRGHRDDYRERSREHDRDRYYERGSRDRERPYEQGGRGSSDYYRGGSYSSRRDSERTRPRDRNGGDFSRRGDPGRMRSRSRSPGRHGY >SECCE7Rv1G0517260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852957385:852959797:1 gene:SECCE7Rv1G0517260 transcript:SECCE7Rv1G0517260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRRRSPAPPMDDDDLLGEILLRLPPQPSALPRASLVCKRWRRLVADPAFLRRFRAHHRRPPVLGYFSTLGNPTFTPALDPPDRIPAARFSLRLEEGDHFQFLGCRHGRALAVNIPSPPFLLLWDPVTGDQTRLAVPPELNGDGAVILTGALLCSAGDQGHAHGDCRRSGHFQVALIATVKRSHSHLFATVYSSATSEWGEIISIPFIYSRIKASRAMKYFQPVLTPTILIGNSLYWSYVLGGACIIELDLDTQRLALIELPPDAYAHDDTVFAVMPAEDGGLGLIVVSGFRAQLWKWEAGVRHDDATWVLGRIVELDKLLPLGAAGKRKPLALVGFDEENNVTLVRTSCGIFMVHLQSMTFKRLCNSGSARDIHIYNAFPCFYDAGNGIGDGHGEGEALDDI >SECCE3Rv1G0156810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:76197578:76200596:1 gene:SECCE3Rv1G0156810 transcript:SECCE3Rv1G0156810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYLPLLLLFAVAAMAAAGQQHEQPRIARPDCKDKCGNISIPYPFGMDKPDCFLKKFEVTCDKSFHPPRAFLAFNKHSSYHETVSDAFYSPGMSGPAMKTKSSRERIEIMDISIANSVVRAYGAVRSDCSTNGLDHSLKLQRTQLWDPFVLSATRNVLIGVGWHVQPKMGRRVLLRINASYPGQHIISCLSDLTGNLRYAVDGPCPGPGCCKTDLPGNNLITSFGVVFELDNNPLNQTNPCSYAMVVDRSWYNFSKEDIYGYEVLSNKFPRGVPFVFDFTMRGEGGYCPSKKQLPSRPRYACVSGNSSCTNSSLEGYVCNCLEHYQGNAYIADGCQDINECELRKQNPTLETMYPCSSDGICRNRLEGYDCPCRSGMKGDGIKGTCTEKFPLAAKVIVGLAALIVVSLLIVMAHQQLKLKRFYEQNGGQVLKGVKNIRIYTRKQLKEITNNYKHAIGEGNFGKVYIGTLKNKQQVAIKKSIKVDKDRKKEFTDEVIIQSEMRHKNIARLLGCCLELDVPMLVYEFVARGSLYDVLFGCRDSIPVDTRLRIAIGSAEGLTYMHSAGESTIRHGDVKSANILLDENFTPKVSDFGTSRLLAQGKAEKTELVIGDMSYIDPIYMEQGVVTQKSDVYSFGIVLIELITRRAATYDHKRSYVANFLQACQDKRSREFFDNDITSEMDIRLLEMVSGVAVECLKANPEERLDMKQVEHRLLQSTGGYVKHGQERNYQGNLSPIPDDIALLKSWEIETTAN >SECCE4Rv1G0233180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:228095054:228104194:-1 gene:SECCE4Rv1G0233180 transcript:SECCE4Rv1G0233180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAAYPTAAAGDELRRLLTATLSADKASVDAATAGLDRISAAGDPRFPITLLALAAGDGDQGTRIAAATYLKNFVRRNMEGGLSSSDLYREFRDQLAQALLRVEPVILRVLIEVFGQVVEKDFVKENSWPQLVPQLKLVIQSSDAISPGQHPEWKTINALTVLQAILRPFQYFLNPKVVKEPVPEQLEQIAAEVLVPLQVTFHHFADKVLLSHDGNKLEHEQLLLITCKCMYFTVRSYMPSAVKQILPSLCKDMFLVLGSLDFNSPPEDSATARLKIAKRCLIIFCTLVTRHRKHADNQMPHIVNCIIRISKQSIHLSKLNSLSDRIFSLTLDVISRVLETGPGWRLVSPHFSSLVDSAIFPALALNEKDIADWEEDTDEYMRKNLPSELDDISGWAENLFTARKSAINLLGVLALSKGPPVVSAASKRKKGDKSKGKGGSCIGELLVIPFLSKFPVPSHGEDASSKAVQNYFGVLMAYGGLQDFLSERKDLAVTLIRNRILPLYYLDPCSPYLISTANWIIGQLALCLPEAMCTDIYNSLMKALSIEDAEDVTCYPVRASASGAIAELIENGYAPPDWVALLQVVVKRISAEDENESALLFQLLGTIVDAGQEKVLAHIPGTVSNIANTIISLLPPVPDPWPQVVEQGFAALVAMVQAWDSSAPDENKEHEKSAWQLGQTAIARTFSTVLQKAWLLPVEQMDPTLDSALPPPSCVNDASVLLQFILRSITSMEEITHMKVFELVVIWADIIAYWDSWEEEEDQGVFNAIKEAVSFHQRFDSSGFFLKMLPSQSANGSQSSVISRVSSFVTRAIAAYPSATWRACSCIHTLLHAPDFFLGAEDTRMTLAVTFGEATFSYFKGVSDSPAGIWKPLLLAISSCYICYPDAIQQVLCKDDGNGYTAWASALAQVSSSSFTPGLSSESEIKLAILTLATVIERLLALSMGSTKVLQDCYISLMESCIHLKNVQEDGDDDDGDGAEDTDDDDDEEDTDDDDEDSEDDDVREETEEEFLARYAAAAGESIEVVEEGDIDEETQDIELGSLDEMDIQQVVLSLIQKQTALLQAQVLPDDLIERIAETFPEYEQLLHAHQLRC >SECCE3Rv1G0148830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20747045:20749054:1 gene:SECCE3Rv1G0148830 transcript:SECCE3Rv1G0148830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGHMVVGVGGPDEQEAVPVPYVLSFTDLCYSVNKGRGRNRNQIANTDTNTKALLDGISGEAREGELFAVMGASGSGKSTLLDALAGRITRGSLRGGVTLNGEPLTDGRLRAISAYVMQDDLLYPMLTVRETLLFAAEFRLPRALSAATKRDRVDALIRQLGLSGAADTVVGDETHRGVSGGERRRVSIGADIIHDPIILFLDEPTSGLDSTSAFMVVQVLRDIARSGSVVVMTIHQPSARILGILGRLLLLSRGRAVYAGTPAGLKPFFSELGTPIPDNENPAEFALDTIRDLERQPDGAAKLADFNARWHGDTIGKVSSTVMSLELAVAESVSRGKLSRLIESTTGTVGMPTYANPLALEVWVLSKRSFINFRRMPELFALRLGTLMVTGLVLATIFFRLDDTPRGVKERLGFFAMGMTTMFYVSAGTLPVFIQERHVYLRETEHNAYRRISYVLASSAVFFPPLVILCLAFAVTTFFAVGLAGGGASFGFFVLTVLASLWAGSGFVTFLSAVVPHVMLGYTVVVAVLAYFLLLSGFFINRDRIPSYWMWLHYMSLVKYPYQALVQNEFGDATRCFARGIQVFDGTPVGGMSEAVKMKVLGAISATLGTNVTASTCLLTGADVLKQQAVTDLGKWSCLLVTAAFGFFFRALFYVVLLVGSKNKRR >SECCE1Rv1G0049610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642423649:642424201:-1 gene:SECCE1Rv1G0049610 transcript:SECCE1Rv1G0049610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENVQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNRPAAKKEGLASIL >SECCE3Rv1G0161550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:118738962:118742341:1 gene:SECCE3Rv1G0161550 transcript:SECCE3Rv1G0161550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLRLPPDRSGRPPLVGGEGGSGKPSLLSPTKSAVFKSARRLPQEGSGRQPSRGGERSSGGLPRGDGGGSGELECDGGERGSGGRPSIRSGRGSRGVRGLDGGCGRSVGVGDTVGDGAHRKSDREPEEEDGSAGAGGATGRPPAQEQASPSHAGKPFSGLLFLFLDYSDAGITDEDFADYKEKIESLGGALATGLQYKEVTHIIVKGNIPAGARILWESELKQVSSIGWVESCSKESRLLATEPKGITEKLISQENVMTPPAKKSPVRNCNSTGSKVLKCSARNKLGVPRRLNFCDHHRHLRCAAEIKDTESLYDYALRCRMHEYCELPGCKGVLSCEEVAEIMIPTTLKLSKLRSRVILMRSFNKVVKLHDEHFALAGNFSSKNFQIYHDDSIKLDGLAEGAIVEYSEAFGDLDYEQFVYMVVDEVFHGQTLPFDLTEWLRIISQGVNACDGSLLCSHIDLMEPYQGYGNFVSLFQLFWKVKDTAGGEDLLNSLGHYKGWKSEGLHCSFLRDTLTYEDDAGHRFEYEDDIRGLLRLLMNSFRHSAKSHCRLAMYLIMNEFRRLLSDLQRALHQGGYLSHLSVNYSV >SECCE5Rv1G0341360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:623451314:623458696:-1 gene:SECCE5Rv1G0341360 transcript:SECCE5Rv1G0341360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAEPKTKYDRQLRIWGDQGQAALEKASICLLNCGPTGTEALKNLVLGGIGSVTVVDGSKVEQSDLGNNFLLDEGCLGQSRAKSICSFLQELNDAVKAKYVEESPATMIDTNPSFFSQFTVVLATQLPESSLLKLDSICRSANIVLVAARSYGLTGLVRVSIKEHCVIESKPDHSLDDLRLHSPWTELKQFAKSIDICDKDPVVHKHTPYIVILVRLAEKWADAHDGQLPSTRQEKREFKDLIRAHMLNIDEDNYKEAVESSYKVSVTPGISDEIRQIIDDSSSEVNFSSPDFWVLVASLKEFIANEGNGELPLEGTIPDMTSLTEYYVSLQKIYQAKAESDCLAMEHRVKSILKRIGRNPDSISRAYIKTFCKNTRKLKVCRYRSMEEEFSSPVLSEVQKYFADEDSCFAMNFYVLLRAVDRLAANYSRLPGIFDSEIGEDVPRLKEAAVSVLSDMGLKGSSLSEDLIAEVCRFAGAEIHPVAAFIGGVASQEVIKLVTKQFVPLNGTFIFNGIDLKSQVLAL >SECCE7Rv1G0525020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:888046013:888048589:-1 gene:SECCE7Rv1G0525020 transcript:SECCE7Rv1G0525020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGDEERPLLHHHPPPGLYQDGDSRLNTYDGTVDVNGQPAAKAGTGNWRACFFILGIEFSECLAFFAISKNLVTYLTSVLHESNVDAARNVSTWIGTTFFTPLVGAFLADTYWGRYKTIVIFLWIYTVGMLVLTISAMLPWLMQSSNHGGIHRVAVYAGLYLTALGNGGIKPCTSAFGADQFDMADPVERVNKGSFFNWYFFAINVGSLLSTTVIVWVQDNVGWGIGFAVPMILMSLGFAVFVTGRRVYRYKTRGESPMTRVSQVIVAAARNCHMQLPDDCSALHRLPPLPIEATFRVHHTTQFRFLDKGAIVPSPTPGKKNVEAGPWRLCTMPQVEEVKMLLRMCPAWLSMVVFFMITAQMSSTLIEQGMTMDNRIGPFAVPPASLAGFDVVAMLVLIPIYDAALVPLARGATGLDRGLSQPLRLGVGFALSTLAMAYSALLERSRLAEHHVAAGTGATVSIMWQAPAYIILGAGEVFAAIGVIELFYDKAPDSMRSLCTALAQLAVAAGNYLNSAMLGSVASATGWIPEDLNDGHLDYFFWLMAVLGALNLLQFLLCSVPAMRHTHR >SECCE5Rv1G0365260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:805837688:805843532:1 gene:SECCE5Rv1G0365260 transcript:SECCE5Rv1G0365260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDMDVDELDSPASGSGSGSLSAFLSELAALHRRASSSSTSTSPPLSLPSLTLLSSSANAASLFPRLAAAGLPASSLLLPLTSSFSAHPIPALTAYLRLLLAPASPLASLFSPLPFLSFLLALRKAATDTHNPSAASDSGNAANNRKRKNQRQQAASRPPSFLPQALSLLADAAGRLPLGEHPDALRSLIDTAAELAAFNVLAAVLGSRYHAEAVQDVIRALVPLVLSGAKSAARSSAVEFLVRKIVPLAADEEGEEEGIRKLVGYLPRLLAVKAPEKSEARGLAVETIVEVVQAMEPNQREGFAAYLVAMSRGKAKGRLFAVDMVLAMLPVLLPSEIDESGLQEGSWGLKCVQVLVERCSDSAGAVRARALTNAAHALDVLSERGVEVDRLQEVMKIGDMGLGELLRRRCIDDKAAVRKAALVLITKAIGLIGRPVDESLLTAMGAACSDPLVTIRKAALAAISEVFRKFPDESVTKEWLQAVPSLMIDSETSIQEECENLFLELVLNRVCQAANLKLGDDSVNLEELFPDGTLDLLKSICDGEVVPCIKRICASLGKKKKLRPLLASSLQNIITISESLWLRSSKPIEKWTAPAGAWWLLSEVSSFAPKSVNWKFLSHHWKLLDNVGQEGKGKASSEGEPTSALWAVDRVSLLQTISNVSMELPVEPAAELAHSLLTRIENFDMNLSEVDAHVKSLKTLCKRKAKTAKEAEALILKWVQQLINKAVECLEGYIKGTAQDSRGCSYNTPLTGKLKGTKEASTSKVMSEAVIAVFTVGSVILACPDASVQGIIPLLHTVITSGNPEPRPTMLAGGAVSFNEVAPSLYIQSWDTMAKLCLVDDKLAKRYIPLFVQELERSDLATLRNNIMIAMADFYVRYTALVDCYMSKITKVLRDPCEVVRRQTFVLLAKLLQRDYVKWRGVLFLRFLPSLVDESDKIRHLADYLFGSILKAKAPLLAYNSFIEAIYVLNNCTGHGGYSESQSQSSQGSQSSDRGQTLFAIWGTDESSRSKRMHIYVSLLKQMAPEHLLATSAKLCAEILAGVCDGLLSVDDAAGRAVVQDALQILACKEMRIHPSILADNSEMDDDGGDGGTANALQAAKGRAVTQVAKKNLIQIAIPIFIELKRLLESKNSPLTGCLMECLRTLLKDYKNEFDEILVADKQLQKELLYDMQKFEAGKGKSSKDGEAAGPSRSSPAPPDTAAKATARSVLKEMNRNVPTPPLHTMSVPKVKSVLGTGALSGSRRPAILESVRRLEPFGSDDEN >SECCE4Rv1G0259290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:684494490:684496593:1 gene:SECCE4Rv1G0259290 transcript:SECCE4Rv1G0259290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVNQGWTSSEVDEARSLIARLNTNKIMYEGNDENTKHNYIVNSLHAVFPSKTMKQVIDLYVDIAIEMHSVRSREVAHVTSGSPQNSFTFHDPVNGNYELPGEENSASSTHGVYTMGDHANENFGVRDEEATIMDNNGLSFGCAMEDTGITVTGEEPLMVDNNKMEVLENNISIDQPIVAPHQWGFWTGGEHSMGGLVNENFKVQEDEDTAMDDNGFSYCCELEDTIITKTEEAPMMVDKNKMVVLENNTSNDRPVVAPHQRKLWTKEEHKSFLYGLEVYGRGDWKNISKHFVTTKTPVQVSSHAQKFFKRIQKKASSGTKRYSINDVRLDGNELLAANNISAPRQALSFTGLNNDPSFKLHAPTSSCTVMNNLTQCSPSIYNQQVGQQPMWSEQEMMGSVAAVMDGVGNYVPDGQQGSAYFYLGNI >SECCE2Rv1G0098830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:497605704:497614471:-1 gene:SECCE2Rv1G0098830 transcript:SECCE2Rv1G0098830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC4 [Source:Projected from Arabidopsis thaliana (AT5G51340) UniProtKB/Swiss-Prot;Acc:Q9FGN7] MPSAGPSMSAADGLLALAEEAERRRDFSTATSCLESALSPPHAASLLPLAEARARMRLAGLLLARSKGLANAKAHLERALLVLNPLPSAPPRLKLLAHSLLANVYGLLGAVPSQKHALRRGLSLLASASASGLLPSGSALLWTSNFQAQLASALVVDGDASSALTTLSAGAAAAADLESPQLDLFFAATALHVHLLCWEDNSAVEDAVARVSQLWDALTAEQKEHWVGLFFYTELLQTFYLLRVCDYKAASKHVESLDTAVKNEMERGRRIKELGTELSSVEGTLAQTMLKERERVALVHKQGQLRAQLQALCGYDTLNNVLDYGDKLLLAPPPMHGEWLPRTAVFVLVDLMVVMVSRPKGIFKECGKRIHSGLQLIHEELSKLGIVDGVTEGDLEHSTIWTAGLYLMLLLQFLENNVAVELTRSEFVEAQEALAQMKNWFTRFPTILQGCESTIEMLRGQYAHSVGCFDEAAFHFLEALKLTENKSMQSMCQVYAAVSYICKGDAESSSEALELIGPAYRTMDSFVGVREKTCIIFVYGLLLMRQHNPQEARVRLASGLRIAHQQLGNIQLVSQYLTILGTLALQLHDTGQAREILKSSLTLAKTLYDIPTQIWILSVFTELYRELEEKENEMENSEYGSKKEIDLQRRLAEARSRTYHQELVEKVRIEVEPLHDLFQKHNDMSGLPVNDDLDIPESVGLSTLQPSSVRRLVDSSSVRRSTRRRVS >SECCE7Rv1G0487860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:432016567:432021835:-1 gene:SECCE7Rv1G0487860 transcript:SECCE7Rv1G0487860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional TH2 protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G32470) UniProtKB/Swiss-Prot;Acc:F4KFT7] MRLLRLRLQLPALRPSPNPTTQSFFSLSCWPGVTRTSPTNHHKQMSTTSSSAAAIAEGSAARRFWIAASSREAAFATYTPFLLSLAAGSLRLDSFRHYLAQDAHFLHAFARAYEMAEECADDDDDKATITALRKAIVRELNLHSSVLQEWGVDPTKEIPPSPATTKYTDFLLATAAGKVDGGKGSDKMATPFEKTKIAAYTVGAMTPCMRLYAYLGKELGVFLKQDENHPYKKWIDTYAATDFESNALQIEELLDKLSVSLTGEELEIIGKLYQQAMRLEVEFFSAQLLEEPVVAPLSRYRDPKDKLVIFSDFDLTCTVVDSSAILAEIAILSHQKASQNGSDNVLDRTKSANLRNSWNMLSNQYMEEHEQCIQKLLPPEEAKSVDYDQLYKGLEVLSEFERQANSRVIDSGVLRGMNLDDIRKAGERLILQDGCRNFFKKIGETREKLNLDIHILSYCWCAELIRSAFSSVGCLDGLNIHSNEFTFEGSVSTGYINRKIESPLDKVEKFKIIKSDLDSITPSLSVYIGDSVGDLLCLLEADVGIVVGSSTTLRRVGKQFGVSFVPLLPGLVEKQRRLWKQEASVFKSRSGVLHTVSSWSEVQAFILGNDSS >SECCE7Rv1G0524740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886999617:887000324:1 gene:SECCE7Rv1G0524740 transcript:SECCE7Rv1G0524740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPYHLQSPRTIITKIISMKQQQEPATPDPPPPSKIILQPRRRTTPAMWCAAIAGFAFSVILILAGLVILIVYLSVKPRTPSFDVANAALNTVYVGSASPSSYFNGDMTLVANISNPNHKMGVVIQSGAVELFFRGRLVSVQALPPFAQPRGHFTVLNVHMLSSQVALPPEVAADLLNQMRSNKILYTIRGTFKVRERFWSWHYTYRLTAICDLELTSPPSGVLLDRRCTTSK >SECCE4Rv1G0227240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:119771010:119775170:1 gene:SECCE4Rv1G0227240 transcript:SECCE4Rv1G0227240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWKGKGRSKSKAAAPAGDAGTIPVGRDGEKERKNKKASSFDEALIGREGRGKQPAPAVGHPLPRPASMPSASAPASASASASSGGSSSLGSSTASDEPLDLGIYRISDANRTPAIDSRKQSLVLEEGRFVVNNLALENNRSCEPSVSPRKEFQPNILDLPSDRTTYCHGRKSTEIVFATRMPSSPPSSRGKHCPTSPVHSRAFGQCPGSPTAWQDDTRSSSSPHPLPLPPGTPCTSSRSLHSQWKKGKLLGSGTFGQVYLGFNSEGGQMCAIKEVKVIADDSNSKECLRQLNQEMLLLNQLSHPNIVQYYGSELSSETLSVYLEFVSGGSIHKLLQEYGPFGETVLRNYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGDIKLADFGMAKHISAYTSIKSFKGSPYWMAPEVIMNTNGYSLSVDIWSLGCTILEMATARPPWSQYEGVAAIFKIGNSKDIPDIPDHLSSEAKSFLKLCLQRDPAGRPTAAQLIEHPWVKDQASVRSSRSGSGSGSGSGITRDMFSTSTDSSKATVKTSIELSSYRSLSPLRDTNLRMRNLAVPASSIPSISTRRASPISASNVRMNMSLPVSPCTSPLRQYRQSNRSCLPSPPHPAYSAGAANYSPINNVLYPTRPSNYLTDPWLETPRQKTQTFDSPRRL >SECCE7Rv1G0511050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:800103866:800106707:-1 gene:SECCE7Rv1G0511050 transcript:SECCE7Rv1G0511050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFVDSAAGERERGDGPRCLDPQLWHACAGGMVQMPPAGSRVYYFPQGHAEHAQGQAGAVDFPPGARLQALVLCRVAAVRFMADPDTDEVFAKIRLVPARPQDAADADDAIAAAAQEDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSSFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGIGGPELLPPPSPAAGGNYGGFSMFLRGEEDGNNKMMGARGKVRVRVRPEEVAEAANLAASGQPFDVLYYPRASTPEFCVRAAAVRAAMRTQWCPGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPLSPPRKKLCVPFYPDLPVDGQFPAPMFHGNPLGRGGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHGLHQVDHGGQPRIAAGLIIGHPATRDDISCMLTIGNNQNNNNKSDVKKASPQLMLFGKPILTEQQITLGNSGGFSPSAARKSSSEMSAEKSANNSDLPSPQSNQNGTTKNLSCGGVPLCQDNKVFDLGLDIGHCKIFMQSEDVGRTLDLTAVGSYEELYHRLSDMFGMEKAELMSHVFYRDAAGALKHTGDEPFSDFTKTARRLTILTDTSGDSSVAS >SECCE5Rv1G0350230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:689127089:689128893:-1 gene:SECCE5Rv1G0350230 transcript:SECCE5Rv1G0350230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATAVHGSQAAGAYAALAVAAQRLLVLLALAMEAVNSGADIFTEDKQEIIKQFGGIKSETVPENKDAGSDDDDDDDEDEDDETGDADDDDAGEDFSGEEGEDDDEDDDPEANGDDAGAGSDDDDGDDDDDDAEDGEDDDDDEEDEDEEDEEDEDQPPAKKKK >SECCE4Rv1G0216830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12759813:12765642:1 gene:SECCE4Rv1G0216830 transcript:SECCE4Rv1G0216830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFDAAAEGDLPRLMELARGRDAEGMAWLADVCIVGGGPFQTAARMGELDAVRCMVEELGFDVNASSKDGVSALTTAAIEERMDVMRYLLDQGADLKKPDDSGHFPLHLAAKYGRDEAARLLLSRGASVDVAYLGLTPLHFAASFGKIGVMKVLLEHHADPNKVSEQGRTPLTAALHATDSELPESISLKCVKLLVEAGTDVNAANIETPLVIATGYALIDCVKYLLKAGADPNIPHIHSGAKPIELAAIRGRRKLVELLFPLTSPIQTVRKWTVEGIIAHAKRPSKPMVKHDKSTKVQLKSFGEKAIKRKDYHGASKFYTEAIELDPSDATLYSNRSLCYLQTTEADKALRDANTCIKLRPEWIKGYYRKGAALMSLEDYKEACDAFMAGLQLDPGNAEMEKVFMEAVEEMKKDHLARKGLKPSD >SECCE6Rv1G0387750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:121711970:121716552:-1 gene:SECCE6Rv1G0387750 transcript:SECCE6Rv1G0387750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGHGDAGMASGKMAKLKELLHRSENRICADCCAPDPKWASANIGVFICVKCSGVHRNLGTHISKVLSVTLDKWSDDEIDSMVEVGGNSQANAIYEAFLPEGYRKPHPDSAQEERQKFIKSKYELQEFLEPSLRIVSNHPSDAGKQASNSHSGSSKSEIGMVEFIGILNVKVIGGTKLAIRDMASSDPYVVLTLGQQKAQTSVIKGNLNPVWNEELKLSVPQKYGPLKLQVLDHDMVSKDDLMGEAEIDLQPMINAAASFGDPELLGDIQIGRWLKSGDNALNADSAVVVTGGKVKQEVSLNLQHTESGEVTVEMEWMALNM >SECCE4Rv1G0219730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33549928:33550950:-1 gene:SECCE4Rv1G0219730 transcript:SECCE4Rv1G0219730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERRLPQLHLKLDAPTWAFRCPAPAPVTAATPSTSAARPDGEFRLSDFDFARLSVLGRGNGGTVHKVSHRRTSALYALKIIHRGHPGAGEEVDVVRRVDSPHIVRCHSVLPTASGDSALLLELMDGGSLDSLVRAGQGGFPEEALAEVAAQALSGLAYLRARRVVHRDMKPANLLVNRAGQVKIADFGIAEVVSRAGKYRAAYEGTAAYMSPERFDTERTLQGDGDEEGPVDPYAADVWGLGVTVLELLMGRYPLLPAGQKPSWAALMCAICFGDLPALPDSAASPELRSFVSACLQKDHRKRASVGELLVHPFVAGRDVAASRRALREVIEQRCR >SECCE5Rv1G0354830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727203446:727204972:-1 gene:SECCE5Rv1G0354830 transcript:SECCE5Rv1G0354830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42650) UniProtKB/Swiss-Prot;Acc:Q96242] MATAVHQLAFSAPSAGGRRRQARASASATDRHEVLSPKRRLPLRKVPGEHGPPLLGALKDRLEYFYGPGGRDGFFAARVRAHRSTVVRLNMPPGPFVAKDPRVVALLDAASFPVLFDTSLVDKTDLFTGTFMPSTDLTGGYRVLSYVDPAEANHAPLKSLLFHLLTHRRQHVIPTFREVYGGLFGRMENDLARAGKADFGNYNDDAAFGFLCQALLGRDPVDSPLRDEGPKLITKWVLFQISPLLSLGLPTLVEDGLLHSFRLPPALIKKDYGRLADFFRDAGKAVIDEGERLGIAREEAVHNILFAMCFNSFGGMKILFPSLVKWLGRAGGRVHGRLATEVRAAVRANGGEVTMQALGEMPLVKSAVYEALRIEPPVAMQYGRAKRDMVVESHDYGYEVREGELLFGYQPMATKDPRVFPRAEDYVPDRFMGEDGERLLRHVVWSNGPESATPTLQDKQCAGKDFVVLIARLLVAELFLRYDSFDVQVGSSPLGSSVTITSLKKATF >SECCE4Rv1G0218660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:23589367:23592650:1 gene:SECCE4Rv1G0218660 transcript:SECCE4Rv1G0218660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCNLALGLLLFILPIPLAATRPPPWQYICDFTSNYTLNSTYQATMQYLASSLPEYTSGSPNLFATGRSGTAPDEIYALALCRGDSNASSCAVCISSGLRMAQEQCPLMKKVVIFTDSCILRMSNEAFQNGQIQSDGDMVVSDDKNVSAAMFPTFFAAAFRLLNATIDYAAYDPVRRFGTGVEAFDESYPKIYAQAQCTPDLTAQRCLECLKNITRDYMRYDFFFGKQGGKVLGVWCNFRYETYPFFNGQPIVQLQSPFELPPSSAPQPVEGLDSSEDRSRDERTRVLLAILVPIVVLAIGACLYFWRLRRRREEKLSQPNSTSSDDIPSIDSLLIDLSTLRAATDNFSIHKKLGEGGFGMVYKGELPDGQEIAVKRLSETSRQGIEELKTELLLVAKLNHKHLVRLVGFCMEEHEKIVVYEYMPNRSLDTFIFDAVKGMELDWSRRFKIIKGITRGLQYLHEDSQLTIVHRDLKASNILLDGDYNPKISDFGLAKIYGGDQSGIFTHKIAGTFGYMSPEYVMHGRYSTRSDVFSLGVLILEIITGIKNSRFYNSDQDVDLLNSNWQHWTRDKAMELLDPALRNSCQIDELLKCIHVGLLCVQKRPANRPSMSAVNSMLTSTNVHLPPVTRPAFAEEEIGTNSANARNASSNDVTITELSPR >SECCE2Rv1G0089160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:253918361:253919071:-1 gene:SECCE2Rv1G0089160 transcript:SECCE2Rv1G0089160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSGALLAVMAVAALATTALAVDYTVDDSLGWDTYVDYDKWTAAKIFMVGDTITFKYEPYHNVLEVTEADYGSCATGSPISIHSGGKTTFDLGETGTRYFICGIPRHCTNGTMHVKISTVPYDAATAAKMEEAAAAAAPSPASLTSPPADTYADAKTAPAGAPTTSTPASASAPRYQQPAAALAGLAIAALVAIAA >SECCE7Rv1G0513010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:817878868:817879632:1 gene:SECCE7Rv1G0513010 transcript:SECCE7Rv1G0513010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAWSTTTASSMFLPFLAMFAAIYLLGYFVVFRRWSPQQRPDASSCLTSLFHGTPATLLALRTVLSDSNPRAGDLALAAPNTPADDLVLDFSTAYFAVDLLHYLVFLPHEALFVAHHLATLYVFATCRAAVRRGACGLLALEVLAEATSLAQNLWTLAGMRRADSPLAARAHAALSLPFYVAYTAMRAVLGPVWFVRMVRFYAAGAGDGAVPTWAWASWSVVIGSGILLSVLWVGNLWFAYFRHRMASSKKEQ >SECCE6Rv1G0422090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:688229405:688232693:1 gene:SECCE6Rv1G0422090 transcript:SECCE6Rv1G0422090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAQDRKQKKKQDLQVLAPFPGCLGRVINMFDLSNGVVATKMLTDKAHRDVSPAGKDRNGSFKMASNQFPAQMEDKHRDSQQRRSSPTRRSNSPTNRSGVSPVKMLMEQDMWREGVPDDEPLNVVARLMGLNDAPAVHQSDLASGRRFSKEDDGRNVKPKKESRCHQNQKAGTRHEETWSSLRDQPSKIDTSKSRHQGKDPSCERRMSLVREKFAEAKRLATDEKLLHSKEFQEALQFLSSNKDLFLKFLDEPTPLLSNNHYGFEPVAPPSEMKQITILKPSESVKRKGNIHAGRQLYSEGNEAEGNRCWRHQSLNVNPANSTLSEPTRIVVLKPGLPKSHEDTIPVSSLESSTEADSEDDSVMAVDETVSSRRLAKEITWQMRMRLKDSQDEDSSLSYEYHDTYVENSSFSKSEVETAKEVSGEISEDLEFGTPTSGRSWDFLSRSESPYSASCSSQASNRREPSVVKEAKRRILERWSVVSSTVGGEEERGARRSVGTLGEMLTIPEGKKDQEECGGITLASISPELDTADPFSCLPRSRSLPLSLSYGGRESNRVAVGSQEADNEKSRKSSSFRERVSSLFSKNKKSAREKLGPPGVLSANNALKNGSAVTIGDGSEASSYFTLDNPHRHTLLDDDENAVQRLVASSCHTNNVPSIPAKDISPLPSLGVPGFFGESQDQPSPVSVLDPDGPFLCDNNRRLLYSTENFITASPQIMSRSPLIGSFSRSLPWEDPPSQVMSPNSLRLARLFSKADDDQDSLTIIQKLVSLAGMDRKGCVLASPLDPKLLEKLSDHQAVEMKSRERRLKERLLFDAVNEALTELTWTAELSAYPWGRTCCSRRKDHDDDSSCDSAADELWRVIRNWSILDKYPPGEVIERNLLLEMILKREVVETATADTTRLETFEVCAMVCTVVLEDLLEEALVDLTNST >SECCE2Rv1G0085730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:196107680:196115414:-1 gene:SECCE2Rv1G0085730 transcript:SECCE2Rv1G0085730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLFLEYLPGPKVFKCKFCRVDSASPDDIVSKEFRGRHGRAYLFDSVVNVSLGPNEDRHLLTGLHTVNDIYCSCCQRLLGWKYAKAYNEDQKYKEGKFILEKNMMLKEGR >SECCEUnv1G0532200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19597544:19599250:-1 gene:SECCEUnv1G0532200 transcript:SECCEUnv1G0532200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLLGLSAAAVSGRYLSTAGVVSGRLRRSLSTGAASSSHPPPWAIIDHSAVVDRSSSAQTACFRPADPPGVSRISAPAHLIDPTARPSADSSKVQYLGGNVVQVLFGHVGAASGDGHLLLSYHDLRAEGPCTSWDLTGNPEIQRFADGGLGCGPPDRFAVADFVFNGDALARFLSDQGKWQTVMMGGPLLPREMEMNQETIAFDGRLWWVDLTLGAVSVDPFADRPEIRFVELPSGSVLPAPACAHERDLSKVEERTLSMIELAKRRRIGVSEGRLRYAEVTPDGPFLLSSYALDDGEGSGWKLEHQVSLRQVLADGGYPWQENSAQSAPQIAVLDPLVASVIYIKAGEHVVVVDMHNGKVIGASPLEDEYFSLVPCVLPPWLGSSQIPTAGNKDFVESTDDMFSFV >SECCE2Rv1G0141130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934393460:934394932:1 gene:SECCE2Rv1G0141130 transcript:SECCE2Rv1G0141130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSREAMSFVLVAVLLFTEPAISTPVPPLITMRTNGGDQNPPVSLAQGLRPDFYDESCPDVHGMVQTAVLEALEEDIAVAAGLLRIFFHDCFPQGCDASILLTGTISSEQRMPPNRGLQPKALQLIDDIRAKVQAVCGPVVSCADITSLATHDAVIASGGKPYYVPLGRLDSLRPAAWSYVHDLPHFTADMSRVIDAFSSRKFFDVTDVVALSGAHSIGKAHCNTFAHRFGKEDSEFVQRLAANCTADVHRLQDLDVNTPNMLDNMYYKNVLEGKGVLATDQLLSSDNRTNWLVDNLAQDEWWFWNQFGTSMSKMGRLQGYFGNVGEVRRVSCSASNPAEYAA >SECCE3Rv1G0173900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:365342739:365347864:-1 gene:SECCE3Rv1G0173900 transcript:SECCE3Rv1G0173900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPSTLLVHFDKGSAAMANEIKADLEGSNVAAKVEAMKRAVMLLLNGETLPTLFITIVRYVLPSEDHTIQKLLLLYLEIIDKRDAAGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLSEPEVLEPLVPSILENLEHRHHFIRRHALSAISAIYRLPQGDQLIPDAPELVERALASEQDASARRNAFLMLCLCGTERAVVYLFSNAERVMEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIFSLLSSPSTAVLYECAGAIVSLSSAPTAVRAAADTYCKLLSSQSDNNVRLILLDRLNELHTSHWDVMVDVVMDVLRALASPNLDVKRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQSGELEKGGEYRQMLVQAIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVMLFVREIIETNPKLCVSMIQRLSDAFYQIRASRVCSIALWILGEYSLSLSEVESGITTIKQCLGDLPFFTISEEGETTDSSKPAQPMVNSVTMSSRRPVVLADGTYATQSAATEAISTPSVTPGSLSSTLNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKVEANKACTGALLVMTSILQLGLSSYLPQPIDNDSYDRIVLCVRLLCNTGDDVRRIWLQSCRQSFAKMLAEKQFRETEAMRAKAQISHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKAATGGFTKETDDGNRLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCTDVTFRNMWAEFEWENKVAVNTVIQDEKKFLNHVIKSTNMKCLTPPSVLDGECGYVAANLYAKSVFGEDALVNISIEKQADDKLSGYIRIRSKTQGIALSLGDKITLKQKGDS >SECCE3Rv1G0143920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2564872:2566543:1 gene:SECCE3Rv1G0143920 transcript:SECCE3Rv1G0143920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAKPRNLGGSLPVPNVQDLAARRDELTLTPTLLDRYVRPRPNTVDLRADAPGCEEQVEQVPVVDLGRLLKDLAGGREEEAARLRSACENWGFFQVVNHGIPEETMKEMKRNVMGFFALPLAEKAALAQKPGEIEGYGQAFVVSEEQTLDWADMFFLLTQPPSYRDLHFWPSNPSTFKNCLENYSAEVQRVAGELLGAMAENLGVRDRSNMTRLAASQAVRMNYYPPCPEAHVDRVLGLSPHSDAVALTLLLQVSPVPGLQIKKNGGWVPVMPLPGALIVNVGDVVEVVTNGKYKSVEHRAVVNAREQRMSIAVFHSAKFGGMYGPLEEVVAGDEEARYKSVSVEEYTKLLLSSKLDGKSIIDTMNIN >SECCE3Rv1G0170660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:256196192:256196731:1 gene:SECCE3Rv1G0170660 transcript:SECCE3Rv1G0170660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQLLGWSDGEVMRPESKPCSRLMRHTAGIFSVGGGLAFWVLCRLHYGPRITVPRSLRWASCGAMGTSATAAMLVRLFSPECEPQNIAAFDRPEYKPA >SECCE6Rv1G0421480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683950883:683952005:1 gene:SECCE6Rv1G0421480 transcript:SECCE6Rv1G0421480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L37-1 [Source:Projected from Arabidopsis thaliana (AT1G15250) UniProtKB/Swiss-Prot;Acc:Q8LFH7] MTKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEAAPRSKGASSSGN >SECCE3Rv1G0203850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:885808288:885808572:1 gene:SECCE3Rv1G0203850 transcript:SECCE3Rv1G0203850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVYKAIKERRTRSYSRCGSARGDEDDVWEQQKQQWAAADGAGREAGHRRHRSLEELAGEVGSAAPEWPARGAMRRGRSARIFSCIGGM >SECCE5Rv1G0310280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:167773925:167777347:-1 gene:SECCE5Rv1G0310280 transcript:SECCE5Rv1G0310280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQAGNGNATAGPPPEENKGPDGKSGSRRSTRFKEEKEYVEVTLGDALAVQGVRAAGETPEAALLPRSGGLSSRLKAELRRIASAKRGGDDAPVGQRRLDRSMTGAARALRGLQFLDQSVVTRGSWPEVDKRFDRLAVDGLLLRSRFGQCIGMVGSEEFAAQMYDALARRRGIVAQVLTKDELREFWEQLSDPGFDAKLQTFFDMVDKNADGRITEEELKEVLTLTASANKLTKILERVDEYTALIMEELDPDQLGYIELATLESLLLLPPSQAPTSLAAHSSNISQLISQRLVPARDANPLRRGVTAARYFLEDNWKRVWVMALWLSINAGLFAWKFYAYRRHPTFDVMGYCVCVAKGGAETTKFNMAVILLPVCRNTITWLRSRTRLGAAVPFNDNINFHKVVAGGVAVGVALHGVTHLTCDFPRLLRASDEAYEPMKRYFGQTRVPDYWWFVRGVEGVTGVIMVVLMAVAYTLAHPRFRRSKLGAGNPLKRLSGFNMFWYSHHLFVVVYVALVVHGVCLYINRTWYKQTTWMYLAVPVLLYAGERLLRALRSHGLTTVRIEKVAVYPGNVIAIHMSKPHGFRYRSGQYIYVNCGEVSPFEWHPFTITSAPGDDYLSMHIRCRGDWTTRFRAIFSQICRPPSAGQSGLLRADFTSMVEHNAKFPRLLIDGPYGAPAQDYRKYDVLLLIGLGIGATPLISIVKDVLNNVHRREGQEHAGDGEEGFMTKRVYLYWCTREEGSFEWFRGVMNEVAERDAAGEEPVVELHNHCTSVYEEGDARSAMVVMLQALHHAKSGVDVVSGTRVRTHFARPCWRDVFKRVACDHQGQRIGVFYCGDQKLTPELRRLSQDFSHRTTTKFVFHKENF >SECCE1Rv1G0053420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:669757266:669759355:1 gene:SECCE1Rv1G0053420 transcript:SECCE1Rv1G0053420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNTSAASSSEGGHEVPQMDRRLLKAAASGDSTSMEEMASQDRSILLGKTPQGNTCLHISSIHGHRVFCTDVVALEESLLATVNLDGETPLLTSITRGRVSLASVLLQCYRSRRLSEAILQQDTHGCNALHYAIRSGHRELALELIAAEPDLSKHVTKFNDSPMYIAAKRNFTDIFEELLNIPDSSHAGRCDNNALHAAATNGNGDIATKIMRMRPEMARAANKYGNTPARLVVLYNKVNMLRVLLEHDCSLGYEVCAKGFPLLHAAAYRGHIDVAREILKHCPDAPYCQVDNQDGWTILHTTITNNHAEFAEFILRTPQLRKVINMQDNQGKTPLHYAVQSCNPRIVAALLSHEDIDVTMIDNNGDPATWELWKVIQNAKTLNWNEVCMLMLKANPQHTGSIYNLHRKAKQEATNASRKDAKSLTQIYTTNTSLVAILITTIAFAAAFTLPGGYSNGAGSEGLPVMSRKVAFQAFLISDTLAMCSSFAVAFICIMARWEDYEFLVYYRSFTKKLMWFAYVATTTAFSTGLYTVLPPHLHWLAIAICITVALLPILTKLLGEWPVLRLRFRLGKTFNSDLLDIV >SECCE7Rv1G0487620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:427536861:427557240:1 gene:SECCE7Rv1G0487620 transcript:SECCE7Rv1G0487620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17220) UniProtKB/Swiss-Prot;Acc:Q9SHI1] MASPASVTNLGSNGRPGPLPTAAVRRGHLVSRISFTGFDGVRRWPCAPGRLCRCMVITNLIDEKGAQFSSRVSVNVKADDDSDLLSKPPQRPVRLNGPPASMDTATTSAPARKPAGVTLQDREKVRESLDEVLEKAEKLEASSSRKVDGENCALRQNDVAKPNSSVPIVVEEGSNSKRTKTLKSVWRKGNPVPTVHKVIRDRPRTETRDQSISAAEPSVSSPSKLAPHLQTRPSVAPPPRRPVKADTSKEKKGPILIDKFASKRPMVDPAVAEALVDPVKPVRGPPAKAKDNRHKKIITPAGPRRRMSNDDRSVDDDAPVRKGRRWSKAKRRAARLEALEAEEPVRVEILEVGEEGMDIDELAYQLAVGESEILRFLSVRGAMLDNVQTLDKDLVKMVCMEYEVEVLESGPVRVEEMAKKNEFLDEEDLDKLEVRPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVLVPVDGNPQACVFLDTPGHEAFGAMRARGAKVTDICIIVVSADDGVQPQTNEAIAHAKAARVPIVIAINKVDKEGANPERVMQELSQIGLMPEMWGGDTPMVQISALKGENVDELLETVMLVAELLELKANPRRNAKGTVIEACLDKAKGPLATLVVQNGTLNKGDILVCGEAFGKIRAMYDDRGSLVDQAAPSNAVQIIGLNNVPLAGDEFESVDDLDVARERANTRADAMRIERINAKAGEGKVTLSSIAVSISSGNQVGIDTHGLNVILKVDFQGSIEAIRQAIQALPQENVSLRFLLQAPGDVSLSDVDLAVASEGIVFGFNVKAPGSVKKYAKQKSVEIRLYKVIYDLIDDLRNAMEGLLDLAEEEVPLGSAKVRAVFSSGSGKAAGCMVTTGKVVEGCNVRVLRKGKEVYVGTLDSLRRVKETVKEVGSGLECGIGVDDFDDWEEGDVLEAFNTVKKARTLEEASATVTAALKGAGVQV >SECCE7Rv1G0502060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:692389455:692391371:-1 gene:SECCE7Rv1G0502060 transcript:SECCE7Rv1G0502060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRPGQSAFTISFSNPKAIVSKFPVGPVASHPTDSNSQLDVQAHGNLYNQPRGTKRKFDGLSLGLGNSSNSDSSKQSMRAGCTISSPKGSDEGSSVDLGLNYLTLGSEGTSRLDKQASDFRRTSAKAGLDLELSLSVGPCQSAITGQDLTSATKQNNTFLQPYIMDLVPRVDEGSTSLRRPSGGQFLNFINKTARMTGFSPRQVLSGSSNQSQGPATLPTLLQLQESPATCTSGSVSPQHRISSTKVCSYPGCRKGARGSSGRCIAHGGGRRCRKEGCNKGAEGKTIFCKAHGGGRRCGHLGCTKSAEGRTDYCIGHGGGRRCIHDGCRRAARGKSGRCIKHGGGKRCQQANCTKSAEGRSGLCIAHGGGPRCQHAGCTKGAQGSTDFCKSHGGGRRCTHPDCTKGAEGSTPFCKGHGGGKRCSAQGCTKCVHGGTQFCVAHGGGKRCVVEGCTKSARGRTDRCVGHGGGKRCISAGCDKSAQGSTDFCKAHGGGKRCMWGHPGSDLGAGGPPCDRLARGKKGMCVHHNPLLDDDRIHGGRTLAAFSITSSGASLDRRSHPGNSETSRRSISMLPVEAPGRAPLPEGRVHGGNIVSMFANGLSFGEDSSNNAEASTSAPRSSRPAMEFSASGRSSWI >SECCE4Rv1G0246470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:544856177:544858292:-1 gene:SECCE4Rv1G0246470 transcript:SECCE4Rv1G0246470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASLAARLLRRAASSSRASTLSRRCAHSSATSRAPVSLARFHPAAAASPSGTTSRRFLSSQSPAASSKISPDENLRRVIDSEIECVVESEEGSVQQIDPPEDFPFEIIDNPGDQSIILKREFVGETVKATVYTNFDTEEDVNNEDSDAENDDDSFKPAIQMVVTVEKPGGTILEFECNFNDDELAIENMRLLNRDAISTENAYEGPQFSDLDESLQKSFHRYLEVRGIKHSLHDWLHEYMMTKDEREYMVWLKNMREFIEK >SECCE1Rv1G0043790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:595989403:595993574:-1 gene:SECCE1Rv1G0043790 transcript:SECCE1Rv1G0043790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAKSESDREMPGPDQADLVSRDDDSARVSPRRRAGPQLKKGPWTPAEDAILEAYVKKHGVQNWNVVQKDTGLLRCGKSCRLRWANHLRPDLKKGTFTKEEENLIIRLHSKMGNKWARMAARLPGRTDNEIKNYWNTRIKKCQRTSTPIYPAEICMQASNEDQHESADFSFSEKLANDLLHGNGLYDPSSMWGNFIDDQEALSYVSQLPDVSFSNLPGLYHGFMDQVNQAEVLKESEISFPLLNAAINGTFDGSHAFSNGNFSTSRPMTGPWKMELPSFQFAGSDPNNWSTYSRTCAAQGGNFADPCMRSSAAMASAKFEHMCMIPGNSGELEEPLPEAHAVSSAENQQLSVGSSSASSGSPCDAMVETSELHLLERDTNLHALVNSCLSASPLCQASPDELPCSDFSSAANPVFVSDEATITQYEKGYLFPHPEDSRTDAFSHWNALPPIFQ >SECCE4Rv1G0296830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904867515:904869443:1 gene:SECCE4Rv1G0296830 transcript:SECCE4Rv1G0296830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIVEFRGKAEGAIMGMLLVDLRNEWAIQCLVLFSFALQVFLLSFAGIRRHNVSFVPRLLLWLAYQLANSTALFTLGHLSISSKLPEHQLVAFWAPFLLVHLGGQDTVTAYSFEDNRLWLRHLQTLVVQVMAAAYVLYKYMPSSETLIVVAAVLIFAVGVLKYGERIVALRSASFDSIWTSLDNKSGTTGQSESDEKLLFRELLKRRFFLELDAEAVLLGAHGLLDDCKGLFIGARKGRREYVRDVLESFQMYDRLDKLMEMELSLMYDILYTKAGVIHTWYGYSIRVISLVATLAAFWLFQLSDKHGHSRKDVGITYVLLLGAMVLEMTSVVRAAGSTWTRAFLYYRKWYVLHGELLALRRLVNAAGYRRWSGSVGQYNLLESRARDAGKLPPGVKTARLLGLGHMAEDWWDELRHSRSAELSGSTKELVLREILEMGNRGEEIGSLPGLLTLRRFRMDRSVAWSVQDVGFEDSIMAWHLATDICLSNEQGNDTKEDVMDAIRVLSNYMMFLLALRPYMLPGPVRRSRYIHHRRAWHHVMIGQGSENSTQGRLVSALRAGLHARVCSLDHHEDYDTGVRLADVLYHYHRPDCLDVIFGVWVEMLCYVANHCSRESHARQLSSGGELVTIVWLMARHANLS >SECCE1Rv1G0021820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:274653605:274687340:-1 gene:SECCE1Rv1G0021820 transcript:SECCE1Rv1G0021820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSFFAPPPAALLRHGASSRPTAAASPSSSCRCYGRLQRLSVRVPRRRQATPAAARPVRFCVNGVYGWPAVLRGWTKRGSRKCLCAAGAHDDAVNQVGEDVDHDDNGVRVSNEALRATIRKSREVLAMHRDLLDQISEKKKLISIIEASSIHNGQEPFSGSPFSCSDAVSEGEEIGYDLQMYLDRHSQKSEIRSTHGESISGQHEYYGSLEGKLSNTDVNGSYSKDYEKGHSVTEEINDNSSSSAAVDVMNIILVAAECAPWSKTGGLGDVAGALPKALSKRGHRVMAIVPMYGNYEEPHQIGEPKRYQVAGQDMEVKYHHAYIDGVDFVFIDNPIFHNVESEIYGGDRSDILKRMILLCKAAVEAPWRVPCGGFCYGDGNLVFIANDWHTALLPVYLKSYYRDNGFMIYARSVLVIHNIAHQGRGPLDDFSYLDLPSNYMDLFKHHDPIGGDHLNIFAAGIKAADRLLTVSHGYAWELKTPEGGWGLHGIINESDWKFQGIVNGTDTTDWNPRCDVHLKSDGYSNYSLETVETGKAQCKAALQKELDLPVRGDVPVIAFIGRLDNQKGVDLIAEAMPWIAGQDVQVVLLGTGRQDLEDTLRRLESQHYDRVRGWVGFSVRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVQHYNPYEEAGLGWTFENAEANRMIDALGHCLNTYRNYKSSWEGLRRRGMMQDLSWDTAAKRYEEVLVAAKYQW >SECCE6Rv1G0378710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9957438:9960292:-1 gene:SECCE6Rv1G0378710 transcript:SECCE6Rv1G0378710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPSAATALLALLRRNAANPAAALRLFLDLSSPPPPHSTSFLSRLLAADPSGHPLLPRLLAHILSLPDPAPHLLALLSAASASPRVPLDFSLSALRSLRALPGPALRPPPTPVYNRLLLAALAESRLDLVESLYKDLLLSGAAPDVFTRNILLQALCAAGRMDLARRVFDAMPARNDFSFGILVRGYCHAGRSADALGVLDEMPRMNLVVCNTVVAGFCREGQADQAERLVERMRAQGLAPNVVTFNGRISALCKAGRVLEAYRIFNDMQEAREPGLPRPDQVTFDVMLSGFCDAGMVDEARVLVDIMRCGGFLRKVESYNRWLSGLVRNGKIGEAQEFLSEMAHEGVQPNSYTYNIIVDGLCKEGKSFDVRRVEDFVRSGVMTPDVVTYTSLLRAYCSKGNTVAANRILDEMVQKGCAPNLFTYNVLLESLWRAGRTTEVERLLERMAEKGYSLDTTSCNIIIDGLCRSSKLDMAMEIVDEMWNEGSLALGRLGSSFSSLASDSFLSKKCLPDRITYTTLMNALCKEGRFDEAKKRLLEMIAKDISPDSVIYDTFIHGYCKHGKTSSAIKVLRDMEKKGFNPSTRSYNLLIWGFQEKHMSDEILELMSEMKEKGISSNVMTYNSLIKSFCERGMVNKAMPLLDEMLQNEIVPNVTSFGLLIKAFCQIADFSAAQRVFDVALSTCGQKEVLYCLMCAEFSTYARWIEAKNILETALEMRISIQSFPYKQIIAGLCDVDEADQAHSLLKLFIAKGYSFDPATFMPVIDALSETGKKQDADVLSEKMMEMADGNVGHAAVSGVVPTGSRKHEHDKFAESDWHSLLHRDDSAHTIMKITNRVRTGWGQRGNIYEHKRQQDDDIYVLENSG >SECCE1Rv1G0001460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4963680:4964035:1 gene:SECCE1Rv1G0001460 transcript:SECCE1Rv1G0001460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPRRMAAAPAALLLVLLLLVATEMGTVKTAEARTCQSQSHKFKGACFSDTNCASVCRTEKFPRGQCNTHYVERKCYCERDC >SECCE1Rv1G0061340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:715023542:715023871:-1 gene:SECCE1Rv1G0061340 transcript:SECCE1Rv1G0061340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTRNAIVAVLLLVVMVAAVSTPAVTAEELCNVKCSKNCKGEMEVCSNKCYEYCKYQVSAVEYVKMATKTLKEAAAASPEEAVKLKHQAETYLASAKSLSDKAGTPP >SECCE3Rv1G0154730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62147715:62149985:1 gene:SECCE3Rv1G0154730 transcript:SECCE3Rv1G0154730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILEALVRSCASKLQDIITNEAILILGVEEELAELLRRVELIQCCIYDAEKRRAKELAVNNWLGQLRDVIYDVDEILDVARCKGSNLLPDHPSWSSNNSAACNGHSVFSCFYNIGPRRDVGVQIRSLNKKIENILKDSIFLTFDSSSQSTGSDSTSKLIRSSNLIEPNLVGKEIIHSSRKLVDLLLANKEKKFYKLAIVGTGGVGKTTLAQKIYNDQKIKGSFKVHAWICVSQDYNEVALLKEVLRNIGVHHEQGETIGELQRKLAETIKGKSFFLVLDDVWQSNIWTDLLRTPLHATTAGVILVTTRDVQIAMTIGVQHIHRVDLMSVEVGWELLWKSMNIEEENEVQNLRNIGIEIVRKCGCLPLAIKVTASVLASRDRTENEWKKILGKYACSKSMLSDGMEGALYLSYNELSYRLKQCFLYCALYIEDYAILRRVITRLWIAEGFVEEQQDQLLEDTAEEYYYELIHRNLLQPDNRSFDQAECKMHDLLRQFALNISKEECFIGDIETLRGVNMSKLRRVTIVSKKDKLVFPRMNKVEAKVRTFFTILGPRSIEDTLFKRFLLLRVLVLNYSLVQSIPHHIGKLIHLRLLDFDYTGISCLPESIGSLKNLQILSLNYCDVLHSLPSAMSQLYSLRCLSLLDTNINQVPKGIGKLKFLTDVRGFPVGEGNNNADVQDGWRLEELSSASLLRYLTLVKLERAACCSTNTLLMDKKHLKALVLEWTSYSEDIRYTMCESSTDWPATQLEISED >SECCE5Rv1G0308490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:132080351:132083907:-1 gene:SECCE5Rv1G0308490 transcript:SECCE5Rv1G0308490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDSTVQSDIKLWPFKVVAGPGDKPMINVQYKGEEKQFAAEEISSMVLIKMREIAEAFLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGINVLRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEEMNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYSYNMRNTIKDEKIASKLPADDKKKIEDAIDAAIQWLDTNQLAEADEFEDKMKELEALCNPIIAKMYQGAGADMEGGMDDDTPAASGGPGPKIEEVD >SECCE5Rv1G0328820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509781228:509784126:-1 gene:SECCE5Rv1G0328820 transcript:SECCE5Rv1G0328820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQSLAALAAAAAAAVLAGLLYRRRCGRLTARVRELEDSLADAVEKAAAERRGRVRAQQSLRRALSEQGASPDKGKPAKAPALYPMAAIGTVQSCFSTRNGTPRQPLVVPLARATVVLNPARVAAEALEGLASYSHCWILYVFHLNTDLDKMWKDPARSKLKAKVRVPRLKGGKMGVLATRSPHRPNPIGLSVAKVEAVDGHAILLSGVDLVDGTPVLDIKPYLPYSDSVKGAAIPNWLEVDGALAVESIHFSAHFISSLSNCWAHVQKQALYASAEEFQDLIKEVLSWDIRSLSQRLRPHQVDIKSKAGDYGSKADEDCRKKEDYQAADSCPIVVYHLHLEGIDVSYKIDENSNIVVDNAALLPSAANQNRCSYLTWRDKLSTL >SECCE7Rv1G0523530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882526007:882527170:1 gene:SECCE7Rv1G0523530 transcript:SECCE7Rv1G0523530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSGRRLAAQDEAERTPVPRRRSRARPDLLAGFPDEILQQEILPRLPAKSVIRCRAVCRSWRSLASDPAFLLDHHRRQPALPLIRSCRISDGSGREFCLNAMDLRSAKLGPSFQFPFGGSFSIVASCDGLFVVGNYIICNPATLQRATLRQDAKPVENIFALFRHQPSGEFRVMYWRNNFRELICRQEYYILTVGSNNSWRVDCPLTEVLAEEPSIFGAPVLLNGSLHIHWRRRSGVRYHRIRVFDTVAETSRQMRPPPVNPRHVMHLLDLGGKLAASISKDGMTGMSIFVLQDPEHDVWAFQYRIKLPVMEIRRFQEQGDWWAKVVSEEGAVLVSCYGHLLQYDKKSNLVAKFEYDDDMPVVIPHRLKESLIQHTFFQKAKNKN >SECCE5Rv1G0344160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:643962206:643968471:1 gene:SECCE5Rv1G0344160 transcript:SECCE5Rv1G0344160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta [Source:Projected from Arabidopsis thaliana (AT4G35790) UniProtKB/Swiss-Prot;Acc:Q9C5Y0] MASSGGDESPSTAKPVLLHGDLDLWVVEARLLPNMDMFSEHIRRCFASCGTASSCAPRQPPPNSRGVGEAGSRRHHHRRIITSDPYVTLSVAGAVVARTAVIPNSQEPRWDEQFFVPLAHRATLLEFQVKDNDTFGAQLIGTASVPADRVVAAADEVEEWVPILGTSGKTYKPRTALFIRYRFRPFAANPVYRRGIPGDPDQQGVKDSYFPLRHGGKVTLYQDAHVSEGDLPDVELERGKKFEHNQCWEDICHAILEAHHMIYIVGWSIYDKVKLVREASSSRPLPEGGELTLGELLKFKSQEGVRVCLLVWDDKTSHDKLFIKTGGVMGTHDEETRKFFKHSSVICVLSPRYASSKLSIFKQQVVGTLFTHHQKCVLVDTQASGNKRKVTAFVGGLDLCDGRYDTPQHRLFKDLDTVFENDFHNPTFSAGTKGPRQPWHDLHCKIDGPAAYDVLKNFEQRWRKASKFRDRFRKVSRWKDDALIKLERISWILSPSPNVPNDHVSLRVSKEEDPENWHVQVFRSIDSGSLKGFPSDCKEASKQNLVCRKNLIIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSYEWPSYVNSGADNLIPMELALKIATKIRAGERFAVYIVIPMWPEGVPTSASVQEILFFQAQTMEMMYGVIARELKAMNIEDAHLQDYLNFYCLGNREEPSTDGSPESDKSTDKSAAGLARKHRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGTRDTEIAMGAYQPHHAWSSKKGHPHGQVYGYRNSLWAEHLGMVDDHFKEPSSVDCVRLVNQIAEENWERFASEEMKTLQGHLLRYPVKVEPDGKIVPLPDQECFPDVGGKICGAPTSLPDSLTM >SECCE6Rv1G0378670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9545533:9545976:1 gene:SECCE6Rv1G0378670 transcript:SECCE6Rv1G0378670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEMAKLQLHRHGGMRVEAHATAVDNDQAAGGGRRKKATAVWIAVPLRPVKVGRPRHGDSSGETGEVEEKEEEEEEEVTTPRGEGCRIPWEAATCPPAPKKARTAVAIFGDRRCNRDDDGEATEYFRVPADLDSVFAVSRVAEAN >SECCE5Rv1G0328460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:508241422:508242476:1 gene:SECCE5Rv1G0328460 transcript:SECCE5Rv1G0328460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWAAEEDAVLRAYVAEHGTAGNWIALPRKIGLNRCGKSCRLRWLNYLRPNIRHGGFTDDEDRLICSLYASIGSRWSTIAAQLPGRTDNDVKNYWNTKLKRRLLGGGRRPIRYLLPQPQQPCLLLMSPTAGAPSTALERMQLSVQRRHAGLQDIRGLPFYGNLASPPWPAQQSILSPAAGYSGFWSHFQTSTYPGRLNVQDHQRAWGSSGTTPQSTSPAGETAVGLGSSSSTPAASSVTFDGDMENEIEMLLQQIGCLEEEDSRQLIGGEAGSAGSWSSCSTPGVDSVLQGYVQGHGQ >SECCEUnv1G0533590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:31464517:31465938:1 gene:SECCEUnv1G0533590 transcript:SECCEUnv1G0533590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQTFVRLSVGQLGLRLPGASPKACHCEIRLRGFPVQIAPVPLANSSEFSIDPHANAAVFSLDEPGLKALSTPGCFRAPEPPYLEIVVYVDRRDGGGHCVGLKRRLVGAVRVEVGPEWRDGKPLLLHHGWTGVGRAAELHARVRVEADPRYVFRFEDEVALNPQVVQLHGSASQPIFSCKFIRDVRRASQPDHLGGRYWSSTGSGEEKETEMVGRRRERKGWKVAIHDLSGSAVAAAFMATPFVPATGCDTVARSNPGAWLIVRADTTGSSESWQPWGRLEAWRECGAPAGSKDVVCLRLHLLPERRDACVLVSETPLSCDKGGEFSIDIDRQSILPEDAAASSSYCAASMGESCAGGGFVMSCSVQGEAATNSRPPLVHLAARHVMCMEDAAMFLALAAAVDLSVKACRPFRSKTAAAKKKAAAGSSSPDPLELDT >SECCE4Rv1G0255890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:651924766:651925481:1 gene:SECCE4Rv1G0255890 transcript:SECCE4Rv1G0255890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSSSCNPHPSSSMATSPPRLGARKPAAALSFRTSAAHGCNQPSSHLSLAAPTSNKVFEDQVRGIVCYRDDKGELVCEGYDEGPRLGMRLPEKACFPWPVGVQVTDFIHLATLRVFEDGADGGLLHKNDQKWQL >SECCE2Rv1G0112290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:707692118:707700826:-1 gene:SECCE2Rv1G0112290 transcript:SECCE2Rv1G0112290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDATPAARDDAQVVVVVGSPVDGSPAPAPSPSDPPLAASAPASTPPAPAAAEAEAKRELPAAAAPAENLAVEGKQVEAASAAQAMAVTVVRDIEAGLEASTSAVADEKPSWFSPKRLLVMFCIINMLNYVDRGVIASNGVNGSRGSCSGGTCSSGSGIQGDFNLSNFEDGVLSSAFMVGLLVASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLAMFYMCIPTGIALGYVYGGLVGGHLHWRAAFWGESILMLPFVILGFVIKPLELKGFTHNKKTKEYGPMLSPELQDETSNNGIKQGVPAIVEGLEEKLPEKSSLSSFGKKVMIEIHHFGKDMKELLQERVYVVNVGGYIFYNFVIGAYSYWGPKAGQDIYHMASADLMFGGITIVCGIVGTLAGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFKSLYGFIPFFSVGELLVFATQAPVNYICLHSVKPSLRPLSMAMSTVSIHILGDVPSSPIVGFIQDKIHNWRTTALLLTSVLIGAFGLWFWGIFLHSVDRFNEQSEHGVPATERSNLRPLLDDGNDEARASQ >SECCE3Rv1G0186130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:680610757:680621356:1 gene:SECCE3Rv1G0186130 transcript:SECCE3Rv1G0186130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPMAGEGTLAAVMPLSPPPPPAAEAPAGSAAEAPMLIFLYFHKAIRAELEGLHGAAVRLATERAGDVGALAERCRFFVNIYKHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFTQLLALLQLDIQNDDALRRELASCTGAIQTCLTQHMSKEEEQVFPLLTKKFSYEEQSDLVWQFLCNIPVNMLAEFLPWLSASVSSDEHQDIRNCLCKIVPEEKLLKQVVFTWIEGKATREVAQSVVSGNLEGSHCCKDASFANQAEKLIYPLEQSKVGHIKHTKSNDGQADRHPIDEILYWHNAIRKELNDIAEETRRMRQSGDFADISAFNARLQFIADVCIFHSIAEDQVVFPAVNSELSFVLEHAEEERRFNNFRCLIQQIQMAGAKSTAAEFYSELCSHADQIMEAIEKHFCNEETKVLPQARVLFSPEKQRELLYRSLCVMPLKLLERVLPWLVSKLSDEEASSFLQNMRLAAPSSDTAIVTLFSGWACKARSEDKSNSGEYICLTSGAARCLLDDVEELKKCQSFCPCASRTSADVALHLENENGSRPGKRGNDAESVAGTNGSHCSQISDTVARPCSKKPCCIPGLRVDTSNLGIGSLASAKSFLSLSYNSSAPSLYSSLFSWDTDTALSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESREPLHNVSHSYTLDHKQEEQLFEDISNVLCELSQLHESLNQPHTEANEAEKQYLNSCNVIDSTRKYNELATKLQGMCKSIRVALSNHVHREELELWPLFDKHFSVEEQDKLVGRIIGTTGAEVLQSMLPWVTSALNQEEQNKMLDTWKQATKNTMFGEWLNEWWKGVPTPSDSSSETSPVPEDSHSQDKLDQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPDPRSEECSEGAGIPGCCSSYRDQEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATQEMMCMVCLKVQPVGPNCQTPSCNGLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCERKGRSQFHWLYHKCGSCGSYNTRVIKTDTADCSTPN >SECCE2Rv1G0069180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27375304:27378367:-1 gene:SECCE2Rv1G0069180 transcript:SECCE2Rv1G0069180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKAKPLEFTPTWIVALVCSVMIIISLLFERLLHRLGKRLIRSRKKPLYEALLKVKEELMLLGFISLLLTVFQGPMGKLCVNPSAMLHLQPCKPPPDETDHLGDAVFTGVLGGARRLLAGGDSSSDEYCLKKDKVPLLSSDAIHQLHIFIFVLAVTHFLLSAITVLLGIAQTRNWRHWETKIQENDDGAPQMIKHVREFKFIQDHFKGPRKRSRIFGWMRSFFKQFYGSVTEEDYTTMRLGFIMKHCKGTPKFNFYSYMIRALEVDFKKVVGISWYLWAMLMIFLLLNVEGWYVYIWITLVPFIMLLVVGSKMEHIITELAYEVAQKHTAIRGDLVVSPSDNFFWFHRPKLVLLLIHIVLFQNAFEIAFFFWLLVTYGFKSCIMGKPAYVITRVVISVICQVLCGYSTLPLYAVVSHMGNSFKKTIFDDNVTEGLVNWAEKARRGTRNPNKISTDASSSQIDEANGGAVQMTNTRANSSVEQGTARLI >SECCE6Rv1G0452570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:877828212:877830131:1 gene:SECCE6Rv1G0452570 transcript:SECCE6Rv1G0452570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKLFNMWEIQLLVLLSFTLQIFLFFTGSLRQHSTSMFLRISIWGAYLGADFVAVYTLGLVSRLENITIERFLPGKSQPLSFFWAPFLLIHLGGQDTITAFAMEDNNLWLRHLLNLVVQVILAIYVFWKSIGRHSMELLVSGVFVFVVGVIKYGERIWCLKCGCFESFESSSGHHYPKFLGLATDSKEDMDEESNIKISTPDGYDSIVYIALHSMPHVHDIFSGRGVLGSTTGSPSSSMVDDNKKVMKMVSIILSVMYADLYTKALVIRTRCGIILRCISQMSIVVAFAVFHANVKQRYSKVDIAITYSLFVGGFFLEVCAMFISMMSPWTWAWLKVRNWDKLAKLSWFIFSSDKRLWTKSMGQYNFVSWATGSGQARTFKQRVMMTTVKWFANLVGAERKKIFWISKLLDTEYVDVGETTMECVAEEIRHLRDGGHIQFSKEWANFFGKYADFGAAIGLLHVLTVKHLSKHPTSGEVGMMEVCRKLSNYMMYLLATQPSMLPLNISAGAVLDELQDLASTSVTEKAKAIESLTEDVETNYKLTREVLEVDLVHVWARLLIYAAGKSRGGMHMAQLSRGGELITFVWLLMSLHGLGDAGRMWIRLTNAAEPRDYMVKEIYAFPVPATTGPEEASIR >SECCE7Rv1G0519430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864702162:864702431:1 gene:SECCE7Rv1G0519430 transcript:SECCE7Rv1G0519430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGFEEDVLMVCCGGPGRYGMNSTVPCGDAAATTCRNPSARLYWDGVHLTEAANRHVADAWLGEINASTGVSRKQGAKEPCRLGRHKI >SECCE7Rv1G0522430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:877524554:877533036:-1 gene:SECCE7Rv1G0522430 transcript:SECCE7Rv1G0522430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEKIKIQAKNIDAASAEIIGVLEDTSKGNVMYFKGWDGFGASAVLNLVARRLKSSTRLKKFDKVIHVDCSVWKSMRALQKAIAEELELPQSVMAIFDRCDEDDDFSGIDEGSRGVIADIRMEIFRKLYNSRFVVVFHNGSNIYIDLYECGVPIISLLGNKVLWTWHGIFRIALILEDGEKEKMKSQTDVRLSASLECEVEEVRDVVHEEALEVAKCIGIAQLDNMSHKIVMECLIYRTLIPLDLKTHAPNYWICDGIIQGQDNASTWEIGSSLQRNMSLDCNLNYEEATAPVLQLSDDLLVNSWNFTTHKQLLKDNTHVLPPQVTSFFLFADESPTNTSLILPVGMFKHSQSSKLRVLHLSHCTFSFASPPFLHCAQLRLLHLDHCTNITNDDEHPCHNENMSCFQKLWVLVLRYTDWYWLLSEKMKRLMVELRELNVEGVKHRSISDLCGGRPSLVILRVTAADPVPTENEDTNNQAEFPNRSSTNNLTSQRKGCHASSLIRDVMPPSLESFSFISKAATAANISSISFRGCSRLKSVLLRGNLGSLEEIDLSGTAVKTLDLREVEAPNLMRLMLLGCEKLRAILWPLGNKMLHVLEKIHINTIRSALLCQANRTEKSNDATRSSYILPVVATKAILSCNWHIAVKDARLLRSLEPVRSFGFYVHMEMNSSPASSVAIGGTKVTRRIMCLQQPNHYLYARDIVFQDHLQDGAGNEGTLSWIWVCPTRPTPRAQDWYVHVQDEQCAELPISPCFTEQEMKRGLLQQEQNIIEGANTSATLPPSFVCDKTRMLHVHDSSSIICIPFLQDSNWYYLKWCRVERCPSLCSDIFVCLSTFWASQLPMACYIWNWSAVAQPGRDSFVNLVFLHLDHCPRLIHVLPLSEHVDTLPNLETLEIVCCGDLKEVFPLDPKRQHKQEIIRFPELRHIHLYQLSTLQSICGSGMSAPNLETVKIRGCWGLRRLPAVSSSTTKRPKVDCEKDWWDNLKWDCQQQQHPSLYEPRHSRYYKKAHLPRGTVLR >SECCE6Rv1G0405570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:533045889:533046756:-1 gene:SECCE6Rv1G0405570 transcript:SECCE6Rv1G0405570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGGGGLSCRAAVCGIVVLLCATAFSCSLAAEFRRVKDQDMKLDGSLCSLPKSSAFELGVAAIAFLFVAQLVGTTAAATTMCASSSKPNKSVGAGGRVAPVALLALSWVCFALAVILLATAASMNSGQRYGRGWVDGDCYVARSGVFGGAAALVVVTALLILGLTFATKSTAAGSSRAACARGDAACTTTIRVDAATGAAGRSKQ >SECCE2Rv1G0074940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:81121895:81122775:1 gene:SECCE2Rv1G0074940 transcript:SECCE2Rv1G0074940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADAVLPSPPPPARIVVVVLALVRFALAPVLALGRVLCVAAGALPYLWFAAAWVISAASASQVVARRAWGEGSAPFLFLQAFTSGAYKVFAYSFLVLLALAALLSCGLCVAYVIAVLSGSGSAFNKRAGAIACESAANHSFRLPRAAVLGLITDVPFFLLLVAGLLLAMMSHVEGSISQGEMAGLVIADVGIFGMHAISCILIIPALALSVWREDQADRKAASQFC >SECCE7Rv1G0515630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:840284949:840287033:1 gene:SECCE7Rv1G0515630 transcript:SECCE7Rv1G0515630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRPAPTTVLLVLLLLHLYYAPRWAFSLSFSLNFSDPGAGSSVDLDGDAYIAPPRLELTKNVLSSVGRASYRYKVPMWNSATGEMASFTTNFSFRIMPEKAGLNGTGGDGMAFFVAHFPSEIPPSSKGGGLGLLPAFTNGAGRTRVVAVEFDTLRNSHYADINGNHVGIDVSSVTSVASTDTTTRPGKNLTSSYVMEATVRYHNESQMLAVDLLIDDALYQVNATVDLRRCLPEEVAVGFSAATGDASELHQILSWSFSSTLPPLPTRNDHKKLVMILLSVLVPFLLVCAAVVLWRRHKKMRANKDSQERCLNRADLERGVAAGGPRRYTYQELVAATKHFAEEEKLGRGGFGSVYRGHLRLTPAPADHRAVAVKMLSAESSAQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRKGLLLVYELVTEGSLDRHLYSMDGRYLTWPQRYKIILGLGSALHYLHGEWEQCIVHGDIKPSNIMLDSSMSTKLGDFGLARLVDHDTGLLQTTKAVLGTVGYIDPEFVNTRRPCIESDVYSFGVVLLEIVSGRRPVTETAGKSFTLVRWMWGLYGRNMILDAVDERLRGDEANDRWMVRVLVVGLWCAHPDRSERPSVAQAMHVLQSDEVRLPALTLHMWTVPDPMSPSGPYEAFSIDSSTSGSSCVRSSLVNTGDDILSSGSSSTALLRHSKDLAN >SECCE2Rv1G0110870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:695073409:695077233:1 gene:SECCE2Rv1G0110870 transcript:SECCE2Rv1G0110870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRGAALLLLLAALSSVYVPLGTASSTTITAYLLGLWSRTHRHSSVLSPAPAPSPGPQGASVYHPVHRHHRKRPLVAPPSSSPSFERQDCSGITCSAPLTSTPIGSPCGCVYPMQIQLDLSVAPYQLFPRVDELEIEIAAGTFLKQSQVRIMGAGGSIQDPDKTTVTIDLVPLGQKFDRTSALLISNRFLRKKVLIKPSIFGDYDVTYVHYPGLPSSVPNIPGSLGPISSNEYPFGANVHNGSHPKISSKIVAIIALSAVVLVLMCFGICIICKYKGRQKPHGIGHASNSSNTRKTGMRSSFSSMTSSTASFPSTIATCPRTVKTFSISELEKATDKFSFNRIIGEGGYGRVYRGIVEDGVEVAVKLLTGKHQNRDREFIAEVEMLSRLHHRNLVKLVGICVERSTRCLVFELVPNGSVESHLHGPDKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTAKVADFGLAKEASEGIEHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPSGSENLVTWARPLLTNREGLQLLADPSLPPASRDFEKLAKAAAIASMCVHVEAAQRPFMGEVVQALKLIYSGGNEETCSGSLGGGGTPTEEEEESPWNDGGRSCSWNDDSDAPSWPRVPGAPRPGAAVGYSSDPAEESSARRPRSTPSAVLDRIESLAAYDWSGPLRTRARNFYRLRGSMSEHGHRHSDDGSVEGDWM >SECCE5Rv1G0363250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:791774879:791778466:-1 gene:SECCE5Rv1G0363250 transcript:SECCE5Rv1G0363250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase SDP1L [Source:Projected from Arabidopsis thaliana (AT3G57140) UniProtKB/Swiss-Prot;Acc:Q9M1I6] MEESGEASIGPFRIGPSTLLGRGAALRVLLLSSLWRLRARARTATRAALSRARGAAMPMAASWLHLRNTHGVLLVVVLLGLLLRKLSGARSRLALARRRQLCKSAMRYAGTYEEWVRAAKVLDRMSDQVNESDFYDVELIGSRLGELRRRREEGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPRLIKDFIDEVSTQLKMVCESDTDELLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIVAGSSVGSIICSIVATRTWPEIESFFIDSLQILKFFDRIGGVFAVTKRVMTYGALHDISQMQRLLRDLTSNLTFQEAYDITGRVLGVTVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRFGHIVPFHAPFATDPEQGPGASKRRWRDGSLEMDLPMMQLKELFNVNHFIVSQTNPHISPLLRMKEIVRAYGGRFAGKLARLAEMEVKYRCNQVLEIGLPLGGLAKLFAQDWEGDVTMVMPATVAQYLKIIQNPTYPELQMAANQGRRCTWEKLSAIRANCAIELALDESIAALNHKRRLRRSIGRAAASSQGYTDSVRSKTPRRVPSWSCISRENSSGSLSEDHFAAAIISSNHQGTIRVDGAPSTSHHVRENSFDGSESESETIDLNSWTRSGGPLMRTSSADQFINFIQNLEIESEFDGVHTIEGSNAGIFTGPAFPRDPYPTNISRATTPDGPDRCTEVSETESCNTSNTSIAVSEGDLLQPGRTTNGILLNFVRREDLVARHNSDDDATGSSLPEAYVDRTHSELGDAISIASDSSEDNKDVADSDNPLVSHSDFVTSPQPSADGNEGARLDFI >SECCE5Rv1G0354540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:724433361:724437464:1 gene:SECCE5Rv1G0354540 transcript:SECCE5Rv1G0354540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGAAAAAPRPNPSPSPHRRRAASALSPSKSTNANADARGGARPRPKAVPSRYLLAPSSKSTSTSTSTSTTTTSSSNSTSTSTSAATPSRRFASPLPRRSSSVDRPRPAGANAEAAGPNGATTTTTRSLSVAFQGRSYFLETSKAKPAMSPSPVRRPAAPSVASTTPERRRPAAGAVPERAKGSEGGHTHQRWPMSAHGFEGNPLTKSLDCSLDKKGAAVLAAVRSLRQSMAFDDGVRRTSFDSGDYLMSSDTESLSSGSNSESQEAGNGVAHRARPSTKGMSVPARFLHDAAGSRMHRFADPGTPYVPHNSGLALSPRSAPVKKSLLNGFVSSPLNRPVRQSSPSKLVGNSSRRISSPSRPRNSMGTSASTWDQQGRNSSGYGRRWAGGSKVDGEHLLRILCNRHLQWRCVNAQADATLASQKMTAEKDLCDAWITTLSMRKSVALKRLQLQLIQNNWKLMTVLKGQMPYLEEWSSLEMEYADSISGIVEALTATILCLPVDGAKADIQDVKNAVGSAIDIMQTIGSSICSLLAKLSGTSILVSDLARIATQERSLMDQSRELLSTLASMHVKYCSLQGQRVQTTHRRLKHS >SECCEUnv1G0538310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72429544:72438710:-1 gene:SECCEUnv1G0538310 transcript:SECCEUnv1G0538310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPMPTPAITADSPNQFRGKLRLPRFAMPTHYKLHFHPNLVSSTFSGVVSINVFVLAPTRFLVLNVVELTIDHASIHFKHLAPTDVVFFKDDQIMVLGFRKDLPLGEGVLRMHFNGTLSDQMRGFHRRKYEYKGEMAYMAYTKFESVHARRCFPCWDEPDFKAKFKLSLEVHSDLVALSNMPVLGETVDGSIKNVHFEESPLMSTYLVAMVVGLFEFVEGVTSQGTKVRVYTEVGKTKQGQFALDIGVKSLDLYNDYFDTPYALPKLDMIGIPDFSGGMENFGLVTFEEGGLLFDETSTTFTKLRRIAVSVAHELAHQWCGNLVTMEWWDNIWLNEGFATWMSYEAIDTFFPQWNIWMEFLEGTIRTLRLDSVTGSHPIEVEIHHTNEIDGIFDDIIYFKGASVLRMLQSYLGAKRFQKALASYVKKFAYSNAKTEDLWTVMEEETGEPLRYLMTPWTKEPGYPIINVKHEGEHIQLEQAQFDLDGSSRASLWDVPIALRCSSSTEKFILKHKHDKLDLCCKRQKDGNIWIKFNVNETGFYRVKYDKEITATLSYALEANKFSSMEKIGILDNSLVLSIFYEHTLASLLQIAYGCRKEADYNVLPHILDITTSISQIIFDATPNLGADVKQLLIKILSSPTLKLGWDPKDAEGDLLVGLRETLLVSLVKLGHDKTINEGVKRFDILKHDHNSTILSPHARKAAYLSVMKRASSSDRSGYDDLRQFYNDIGYGEEKLRILGVLSSCPDMDIVLESLNLIFTDEVPNQNAGVVLNGITVEAREIAWSWLKENWDHILRVVPKKELWLSIIDNIVPLFTSSDKVEEIIKFFTNNPEPNLQDALQNKLRMVHTNMRWIEGIQSEPMLEQTVHELLHKT >SECCE6Rv1G0436640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778779272:778781325:1 gene:SECCE6Rv1G0436640 transcript:SECCE6Rv1G0436640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAANVKKEEEEFSTGPLSLLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >SECCE6Rv1G0411420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:607034730:607037898:1 gene:SECCE6Rv1G0411420 transcript:SECCE6Rv1G0411420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPALPVAVSPTLPLFSHLAAVRRRCSIAATAMAGVSAASPAAAQIGSFLSKKPYAPPSWASHLALAPSHTFSLGHFPTPIHKWNLPNLPEGTEVWIKRDDLSGMQLSGNKVRKLEFLLSDAVAQGADCVITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDQDPGLVGNLLVERLLGAHIDLVSKEEYGKIGSVALADLLKKRLLEEGRKPYVIPVGGSNSLGTWGYIEAVRELEQQIQLSGDVQFDDIVVACGSGGTIAGLALGSKLSSLTAKVHAFSVCDDPEYFYDYVQGLIDGLQSGLDSHDIVSIQNAKGLGYAMNTAEELKFVKDIATATGIVLDPVYSGKGAYAMLKDMADNPSKWKGRKVLFVHTGGLLGLYDKVDQMSSLAGSWRRMDLEESVPRKDGTGKMF >SECCE5Rv1G0301460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:31036613:31042209:1 gene:SECCE5Rv1G0301460 transcript:SECCE5Rv1G0301460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSESHKEAKLEVHHYQPELLRAARMGLRHQLRDILGSDNTTITPVVLEPVVMIDIERVDIDVERVDNLEMDSILHVVAASGDEDNFLDCASVIHEKAKHLLDAGNSKGDTPFHCAARAGGVKMLSHLIHLARADGGHARVTDVLRKQNKKGETVLHEALRLAKKKTMEEMVEKLMELDSELACIPHADATSPLYLAVSLGHDDIARLLHSKNDKLSYSGPYGQNVLHVAVLRSKEITKELLVWNKALTKQADHLTGSTPLHIAISWGRQSKEVIKLILDSDESAPFQSDNSGSFPIHVAATRSSWSTLRVLLDKAPTCAGLRNGNGQTFLHVAIAKEHPLVVGSWFHHIPFAPIINMQDNHGNSPLHLAAIVGNQWIFYILIHNPKVQLDLVNNIGQTPLDIAWTKMPQGLNFMLNPRNRIYLLLKGAGAKTAAYRCDWFLKEHIPPIEIKVEEKKISDSTQIIGIGSVLIVTVAFAAAFTLPGGFRTDDLKGKPETAGIAMLAGKPIFHAFIIANTVALVSSALATMNVMYAGVTAVDIRTRMTAFIISIIFVYCSAKSLAAAFVFGLYVVLEPAAPKIAYISCAIVSPFLFLDVVWFIFMVAIGEVMLLKRLGFKAWLRNFNFSRLPVKQPTILG >SECCE3Rv1G0201240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:861537931:861544548:-1 gene:SECCE3Rv1G0201240 transcript:SECCE3Rv1G0201240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFCSKGSAVDKSPSDTTLGPDRVIHHHERGAVKEERKTAVDEADAKRTHEQLPPQQPPQHQQPPQHQQPPQQHQQQQQQQPQRIPAPETSASGASVGAGSAPWDGVPSLARNPSQKGMGMAKAGAAKVSEVSSILGRAGTVGLGKAVDVLDTLGSSMTNLNLNSGFGSGATTKGNKISILAFEVANTIVKGCNLMRALSKESVKHLKEVVLHSEGVQNLVAKDMDELLKIAAADKREELKVFSTEVIRFGNRCKDPQWHNLDRYFDKVSSERTPQHHLKEEAESVMQKLVTCVQYTAELYHEMHALDRFEQDYQRKQQEEDGSSVAQRGESLHILKQEVKSQQKHVKSLKKKSLWSKNLEEVMEKLVDIVHFLHLEIHNTFGCSDNEESQEPTRRRNRLGPAGLALHYANIISQIDALVSRSTSVPPNTRDSLYQSLPPTIKSALRSKLHSSGVKEELTVPQIKAEMEKTLRWLVPVANNTTKAHHGFGWVGEWANTGSEVNCKPTGHMDLTRIETLHHADRDKTEAHILELVVSLQHLISQSRAANGERSPIKSPVRSPTQRGSSITLSPNKASSSSPVLTQQEQEMLRDVKYRKFVPGISKSQEFDTKARHMKQSRLIKSNSHSPSSGNRKEFLSIRSMLPVIDFEIDRTKALDMIDNLKVQ >SECCE4Rv1G0216440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10835881:10840114:-1 gene:SECCE4Rv1G0216440 transcript:SECCE4Rv1G0216440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAASSFPSYLLPAPAPRRGGARPARAAAAAAAEGVMDVVSEAELREKGFMGMRKTKLVCTVGPACVDALPALARGGMGVARVNLCHGGRDWHRAAMREVRRLNDEEGFCVSLMVDTQGSQLLVADHGGAASAKAEDCSEWLFTSKKTDEVHPFTMHVNFDKFSEGILVGDELVIDGGMATFEVTETIGSDLRCKCTDPGLLLPRAKLSFWRDGKLVERNFGLPTLSTKDWADIEFGITEGVDCIALSFVKDANDIKYLKTYLSRRSLEHIKIFAKIESLESLKNLKEIIEASDGVMVARGDLGVQVPLEQIPAIQEAIVELCRNLNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADAIMLSAESAIGAFPEKALSVLRAASERMESWSREENMQRHLPQYQLAIALPDRISEQICTSAVEMANNLAVDAIFVYTKHGHMASLLSRNRPNPPIFAFTDDANTRKSMNLYWGVIPLQLPLSNSMDDNFKQTIKLLKSKGSVKPGDSVLVVADSDLNQPCAAATSSVYQSIQVRLVD >SECCE6Rv1G0436420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:777436908:777440358:1 gene:SECCE6Rv1G0436420 transcript:SECCE6Rv1G0436420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKVAASDVIRVSTSSAPSTSSHGSAQDDYESSGDVYVWGEVICESSARAGSDAVIKSSVRQDVLLPKPLESHLVLDVYHVDCGVKHAALVTKNGEVFTWGEESGGRLGHGSREDYARPSLVNSLAVSNVDFVACGEFHTCAVTTAGELYTWGDGTHNIGLLGHGTDISHWIPKRISGALDGLQVAFVSCGTWHTALITTNGQLFTFGDGTFGVLGHGNRESISCPREVESLSGLRTIAVACGVWHTAAVVEVIVTQSSSSLSSGKLFTWGDGDKHRLGHGDKDPRVKPTCVASLIDYDFHRIACGHGLTVGLTTSGQVWSMGNTVYGQLGNPRSDGKLPCLVEEIMGEQVVQVACGSYHVAVLTVKSEVFTWGKGANGRLGHGDIDDRKIPTLVEALRDRAVRHISCGANFTAAICQHKLMPGAEQSQCTSCRQPFGFTRKRHNCHNCGHVHCNACTSRKVLRAALAPNPGKPYRVCDSCFLKLNNAIDSSAINKRKDTVPRYSGESNSDSTKLAKAIIPSNLDMIRSLDSKAAKQGKKTDALSFLRSPQMSSLLQLRDIALSGGPDLNRPVPRPTRTPAVRSVNTSRAVSPFSRKPSPPRSTTPVPTTHGLSVAKSATDNLTKKNELLNQEVERLRGQVDSLRHRCEAQELELQKSAKKVQEAMTLVAEESSKSKAAKEVIKSLTAQLKDMAERLPPDDGNDAKQSQFPNGIESHASIYSSMDSVQQSRNESINHALNMASLNTGRSLHPNGTSSQQRSPDVSENNQVSAHRHRVSSPHDGAHSNRRAHSSSDELFSASRRAADSASMDTMSLQNGEDGYKSRGTLSLSSTEVKAEWIEQYEPGVYITLTTLLDGTRDLKRVRFSRRRFGEHQAESWWNENHDKVYERYGVRSSERVSSAASTRSAR >SECCE4Rv1G0295950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:900575919:900578868:1 gene:SECCE4Rv1G0295950 transcript:SECCE4Rv1G0295950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAKIPQAEGSPCVDGNRLLCFRSPVDPVSDVLGNDNLLIEILVRLPPKPSSLPRTSLVCKQWESILSGPEFCKRFRKHHRKPPLLGFFRGYAKNFIPAMDSPDRIPAARFSLPKSSTPYHQNEAYMGCRHGLCLLINMHKHETVVWDPLTGEERIVAFPPGCTLRCSWAWHGVVLCIDAEDGHVHGDCFSSPFKLVLICAEYNTPAFCYVYDSASGVWGNIFSTMTITVGMSWLRRPSTLVGNALCFLICGGDVLVFDFKMQSLGLIKKPVENHGIDDWWFQLLRMENDGLGLAVLLDLTIELWERKSNCDGVFEWVKLQKTIPLEGMVPTRRMDSVLFVGYDEDANVIVLTTMAGNFTLQLNSMQIKHIVKRNNICHDTFYPYRNFYTPGRRVRTKGTDLEL >SECCE5Rv1G0317420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:344153627:344153848:-1 gene:SECCE5Rv1G0317420 transcript:SECCE5Rv1G0317420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYTIYKDHITLGDYEIHDGMGLELYYN >SECCE3Rv1G0160680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:107078900:107079475:1 gene:SECCE3Rv1G0160680 transcript:SECCE3Rv1G0160680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSSSAAAGAPHLGGASPLDFISRAKARGATALATRRPWRELADVHAVGLPPSLGDAYLRVRANLAHFAMNYAIVVLVVVFLSLLWQPISLIVFLVCMVGWLVLYFLRDEPIVLFGRVVGDGVVLFVLAVVTLILLLLTGATTNILTSLLISFVLVVVHAALHRAEDNVDEEVGRWYAPVPAQ >SECCE4Rv1G0261220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700568639:700569805:1 gene:SECCE4Rv1G0261220 transcript:SECCE4Rv1G0261220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPKDIGKRRKPADELTEDLLIEILSRVPYRSLCRFKCVSKRWRGIISHPDNRKVLPQYDLHELAGFLYERHYLARNFARVSVSAGGRPRIRPSLPFLPGCDRFHLLDSCNGLLLCRRFETPKSWEFDYVVCNPATEKWVALPGFFSKWHTARLGFDPVVSSHFHVFHFLEHEIVGYPYDDDDDYESNRHVKGVEIYSSKTGVWRHKDSGWGFPTRIACNSKSVFVNGFLHLIAAKDVVAVDVEGTTWRVIPMPDDPDGPTIDPAYGFIDLSQGRLYLANTDQHDKYKLSIWVLEDYDSQVWVLKHSVRYMHLFRVKYAHLGSKYHIVALHPQRNMIFFVYGHRKKLMSYEMDSGKVQGIDNLGHDSVLPYLPYVPLYSEELADWH >SECCE2Rv1G0071550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:45480658:45482369:1 gene:SECCE2Rv1G0071550 transcript:SECCE2Rv1G0071550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEKKLKVLCLHGFRTSGAFLEKQISKWHPSILQQFDTVFPDGQFPAGGKSDIEGIFPPPYFEWFQFDKDFTEYTNLDECIAYLCDYMVKNGPFDGLLGFSQGATLSALLIGYQAQGKVLNDHPPIKFMVSVSGSKFRDPSICDVAYKDPIKAKSVHFIGEKDWLKVPSEELASAFADPLIIRHPQGHTVPRLDEASVKQLSEWSASILEDVKNAGAPKASNSESSGDKDNVGVESAENLMEQVAA >SECCE5Rv1G0352010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:706235720:706240331:1 gene:SECCE5Rv1G0352010 transcript:SECCE5Rv1G0352010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGAALASPALDLRGEDGRAEWLCFYDLVEAQVEALAADHAHPEATRGEGEEACRGILPVDKATLAEVQEGDLEDVRACRGLLALRSTDSKEVQDRLELGEDTGDHEHSVKALRANLRKLKGAFETLMSKKDKDIYSVKVVLLNQLKTMEKDSTLFENKKLEAAQATKEGKKLQQNVQELRIATQNKDNEIGRLHAEALVAQQKLHEMDSLLKEKDESIEKLHAENIGLRAVKDFVWSQFRIKEQELLDYAMLLKNNEVAAAQATEAAQKLVKDNRTMEAEVVDYGNKLLILEDKLKETCSLVKEKDDEIQELKNRQLETRSLKRKCASSLSNETSKKRRRDIIGMHIASIQRCKSGRQCFGRRTMQIFVKTTNSRTYTLKVKSSSTIRDVEGKIRDRDHSRDRFGLIFAGKKLEYDHTLADYNIKNLSTLELGLRLRISVKTLTGKIIDIGVWSSDTIKHVKAMIHCQEGMPWYEQRLIFAGKELEDGRTLADYNIEDSCTLELVRWLYIYVKTLTGKIIDLAVLSSDKIEDVKAMIYDEEGVSLSEQRLIFAGKELNDDDRTLADYDIQTFSTLHLVLRLRGGRMISIKTRTGKTILPFVRGTNTVERVKAMIHKKEGIPPSQQRLFIARKPLENDRTLESYIRTLQMHIRHLCNIVIHLVHRRVPRPRRIVDRARSLRRKQALTCAPQSNC >SECCE4Rv1G0215780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:6843303:6845453:1 gene:SECCE4Rv1G0215780 transcript:SECCE4Rv1G0215780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALFKLKKIGLQIVEKCDGLPLAIKVMGGLLCSKEKSRLAWEDVLNDDIWSVSPTSDELNYAIYISYRDLPHYLKQCFLYFSLKPKKVVLEVNETVSMWICKGLVQGSSNSLEEEGKKNYKELILRNLIEVDPTFPSQLICNMHDIIRSFGQFMARDETLVAHNRDTARENLRSSNFLRLSIETKGVGSDEIDWRYLKEQKFLRTLIFNGNLKIQPGDSLTHFPSLRLLHIESANIAALVECIYQLKHLRYLALKRTDICRLPENIHEMKFLQHINLEGCESFMKLPDSIIKLRGLRYLGMEDTRIRSIPRGFRALTNLTALYGFPAYTDGDWCSLEEVGSLSQINCLSLESLENVSSALLAAKARVNAKKQLTYLGLKCGGRVGDGLVQGEVSDSAKQIIEAVFDVLCPQPCIELISIERYFGCRLPGWMTSTALAPLESLKVLALEHLPWCTQLPDGLCRLPYLEWLKVYNAPVIKCVGPEFVQQYNRGHRPSSQSQVAATFPRLQKLNFQGMEEWEEWVWETEVKSMPLLEQLSLSRCKLGRLPPGLMSHAKALKKLEIKNVQGFHSLENFVSVVELNLANIPELAMISNLPKLQKLKISYCRKLKTVKGMDALRRLQLRVSRWENQLPVYLQTVKPSHLLLTCNLSVLTSMAEGESSADWDKFSHIKQVEAYAEDGEDEKKWHVFYKSESCNIQTNIHQVILWSLSLFQSS >SECCE3Rv1G0143720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2077688:2085294:1 gene:SECCE3Rv1G0143720 transcript:SECCE3Rv1G0143720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAASPAPADAAGGDKPTAAPYGSWRSPITADVVSGADKRLGGMALAGDGRLLWIEGRPEEKGRMVIVKEDDKPVDVIPPEFAARTLVQEYGGGAFAVQDNTLVFSNYKDQRLYKQPTEIGSQPVPLTPDYGAPDVSYAGGVFDPHFSRYVTVMEDRRTSNLNPTITIACINLSGGDIHEPKVLISGNDFYASPRIDQNKKRMAWIEWGHPNMPWDKSELWVGYFSESGDLTKRVCVAGGNPMLVESLAEPKWSPKGELFFISDRGSGFWNIYKWVEHSNEVVPAYTLDAEFTRPLWLFGNSSYAFLGKSNHIILTYRQLGWSYLGVLDCDSGSVSLLDTPFSDLSNVVAGNDYFYIEGASASVPMSIAKVTLDKNKTKAISFSIVWPSSADVVQYRPFFSTPEFIEFPTSNPGKKAYAYFYAPLNPMFQGLPDEKPPLLVKTHGGPTSETRGILDLSVQYWTSRGWAFLDVNYGGSTGYGREYRERLLEKWGIVDVDDCCSCARFLVESGSVDEHRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLMRADAQKYDSFYIDNLVGDERAYYERSPINFVNKFTCPVILFQGLDDKVVPPNQARKIYEALKERGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGKFEVADDITPIKIENFD >SECCE2Rv1G0080660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:131652235:131657793:-1 gene:SECCE2Rv1G0080660 transcript:SECCE2Rv1G0080660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISAATKATSAAFAHKNELAAAAPQQQCMSAGTRSRRMQTGRVRAVATPTRAPRAPASTGSVKSPMTTTEKILARSSERASLEPGENVWVDVDVLMTHDVCGPGTIGIFKQEFGEDAKVWDREKVVIIPDHYIFTSDERANRNVDILRDFCAEQKIKYFYDIKDLNDFRANPDYKGVCHIALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVMGTGKALLKVPPTIRFILDGEMPPYLLAKDLILQIIGEISVSGATYKSMEFVGSTIESLNMEERMTLCNMVIEAGGKNGVVPADETTFKYLEGKTSVKYEPVYSDAQARFYSDYRFDVSKLEPVVAKPHSPDNRALARECKDAKIDRVYIGSCTGGKTEDFIAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPNCGACLGGPRDTYGRMNEPTVCVSTTNRNFPGRMGHKEGQIYLASPYTAASSALTGYVTDPRDFLL >SECCE1Rv1G0049120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:639019318:639024146:1 gene:SECCE1Rv1G0049120 transcript:SECCE1Rv1G0049120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWWLLLLLLGVAADGGGVLQVHGQVDNLGFISIDCGLPGETGYVDNTTKLWYTPDAGYTDAGTNRNISPEYKAGKSWRNVRSFPDGARNCYTLRSLVFGLKYLIRAMFMHGNYDGLQRWPIFDIHIGVNYWQTVNITDGDMPVIAEIITVISGESVQVCLVNTGSGTPFISSLEVRPLKNKLYPQSDASQALVLVARANIGSDKSIRYPDDPHDRIWIQLPTGYGWSPISTTNQVQNDVSDFFEAPSAVTQTGVTSINSSTPIIFTQDAQPNGNAKDLVPGYVFMVYMAELQLLPSNALRQFYVKLNGKLWNTKTLGLKYLETTVIYNVKPDYASHQYIFSLEATTNSTLPPIFNALEIFSAVPTTGKATAAQEVSAMTTIRDKYQMKKNWMGDPCAPTNYAWKGLHCSYAVSTPPTITGLNLSSSGLSGNISSSFASLKGLQYLDLSHNNLTGSIPDALSQLSLLTLLDLTGNQLSGSIPSGLLRRTQDESLTFRYGNNTNLCSNGYSCQLAKKKSNSMVAVYVAVPIFLVLMVVLLSVLICMRRRKQGITTNSVRPQNEEINSNGHTSVRLENRRFTYSELEAITNGFQRVIGRGGFGNVYDGFLEDGTQVAVKLLSGSSNQGVQEFLAEAQTLAKIHHKNLVSLFGYCKEREYMALVYEYMSEGALDKHLRGRDNNNTRTLTWRQRLLIAMESAQGLEYLHKGCDPPLVHRDVKTSNILLNAKLEAKIADFGLLKAFNNACDTHVSTARVVGTPGYLDPEYNATFQLTNKSDVFSFGVVLLEIVTGKPPLLNDPEPMSIIHWTRQRLARGNIEGVVDTHMHGDHDVNGVWKVADTALKCTAQVAEQRPSMTEVVALLHECLELEATRNHMNAGFYTAGSGGSVDGYGTGMSTDVSQSSSAFEMEHLGRVPTMSTGPAVR >SECCE6Rv1G0431210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745895080:745895981:-1 gene:SECCE6Rv1G0431210 transcript:SECCE6Rv1G0431210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVREVLYMYSVVRKAYERLVSVCGSPEQAQNVAALLVWLDQGTIAAIHHVPAMAPDAVAVVVEEANAVLKCLRHQLPVLPPIPFISALCMQGGVLIEPGFFAIHQDLLIHGVAHFLDGAGKFVFDDRLHVLLRKSETGLVGNLPELMAPYTPQLVAVPEDCRSMFITFSKGMPPHREEIFVYFREKWGDCVVRVLMEKTKGSHMPMYGRIIFKTEAIVKLVINGERLVKIFIGHRQIWLRKYVPRPTKATA >SECCE7Rv1G0514630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:835390786:835391067:-1 gene:SECCE7Rv1G0514630 transcript:SECCE7Rv1G0514630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELIRRLSFSDRVSDGSSGVPRGCVPVLVVGDGDEDCERFVVRVEALRHPSLAALLEMAAQEFGYKQEGILRVPCAVHRFRQALTTAAVSKN >SECCE6Rv1G0390470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:184510045:184522091:-1 gene:SECCE6Rv1G0390470 transcript:SECCE6Rv1G0390470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQSAEHFRGQARLPGFAAPRRYDLRLTPDLAACTFAGSVSVSVDVAAPTRFLVLNAADLDVSPGDVHFAPKGSGQVLLPVEVTSALEDEILIIGFNEVLPLGEGTLVIAFQGTLNDKMKGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPSFKSVFKITLEVPSETVALSNMPVVEEKVNGPTKIVYFQESPIMSTYLVAVIVGMFDYVEAFTADGTSVRVYTQVGKSAQGKFALEVAVKTLILFKEYFAVPYPLPKMDMIAIPDFASGAMENYGLVTYRETALLFDERHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADRFFPEWNVWIQFLEESTTGFRLDALAGSHPIEVDVNHVDEIDEIFDAISYRKGAAVIRMLQSYLGAEIFQKSLAAYIKRFAYSNAKTEDLWAALEEGSGEPVKTLMHSWTKQQGYPVVSVKLKDGKLELEQTQFLSSGSEGVGQWVVPITLCCCSYSVQQKFLFRGKQEDFNLSGLVECQKKDDFWIKLNVDQTGFYRVSYDEELAFRLRHAVETNTLSAADRYGVLDDTYALCMAGKQKLVTLLHLIAAYKNETEYTVLAHAINTSLSIYEMMAVAAPEELVNMKKFLIDFLEPFAQRVGWDAKSGEGHLNALLRGTLLTALAELGHQATIEEAVRRFNVFLEDRETPLLPPDVRKAAYVALMQTVNKSNKSGYESLLKIYRETDLSQEKVRVLGSLASSPDPDVVREALNFLLLSEVRNQDCIFVLRGVTAAAHEVAWTWLKENWDYIAETFTGHLLTYFITVTVSPLATDEKGDEAEEFFKSRTKASIARTVKQSIERVRIKAKWVKSTKSEADLGNVLKELTHKH >SECCE7Rv1G0495800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:602427127:602428305:1 gene:SECCE7Rv1G0495800 transcript:SECCE7Rv1G0495800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVPSWVALALLVLVALAATVNGDELSAGYYEKTCPNVQGVVRSGCDASVLLDATPFSPSEKDVEPNASLTGYTIIDDIKSALERDCPATVSCADVIALASRDAVTLLGGPTWSVPLGRKDSRFAADTESTENGLPSPHDDLDELIAMFSRLNLDARDMTALSGAHTVGMANCLHYSDRVYGTDRNEEIDPSFAQTMQQTCQGPSGKAPFDVQTPMRFDNAYYRNLIARRGLLTSDQTLYGGGGLQDNLVEMYSADGEAFARDFAKAMVKMGNIPPPKGMPVEVRLKCSMANY >SECCE6Rv1G0387190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:108218021:108221843:-1 gene:SECCE6Rv1G0387190 transcript:SECCE6Rv1G0387190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRVPGWVGGLVEESFFVGCEAHESRRKNEKNIFCLACCTSICPHCAPAHRHHPLLQVRRYVYNDVVRLGDLEKLIDCSYVQPYTINSAKVIFLKPRPQSRPFKGSGNVCLTCDRILQEPFHFCCLSCKVDHVVEQGGDLSNILLYRASDELPFPRFENLHVGDADSGQVTPNSILENPLHHCNGYGGGSGGSSDNAGNGNGNGGGEAAVVKRKKGGGFFPKMALSLGNKRKGAPHRSPLA >SECCE4Rv1G0223010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:65264628:65268128:1 gene:SECCE4Rv1G0223010 transcript:SECCE4Rv1G0223010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLAKVSVRQDLSRPKMGCERCERRDELDYCNLDDREKHFLMFMVDGFGREMTIPDDFLKRFRGEIPKEIKLETRNGHIYSVGVAKYPDKLVLRAGWETFVQTYDLQIDESVVFRYNGNSQFNVIVFDRFGREKASSVIADSAPLSPYVQERHIGAPETLVRSHGHSLPRGMQSPTDNVNISQGHVQHVQMQLPTDNMRRSEGHPQPIQMQAPSANVDHFVDDSRHMQMQPPTETPNHSTCQPQSLQMQLMCAERQSILQRNKSNQGNKSVASSSGDCLCSEDDTNLCDTSRYTLGWNTRLNLVQKKKVDQETQHIPSDNPIFVAMMSKCNVTGTFTLSVSKQYVKRHVGDTVRNICLQRLGKRWEVQFGGRPEEKRIISGWRKFVKDNDVEIGDICIFELLKNCKMCTMEVHIIHTKDIDTPSKICQQSKLMEHSHSLPQLKEMQLRNETVEDALVHSWPVQIQPPSLERRIRLQRGKSSQGGKRDSLSSEDIDHEEGALPDCILARFTRLTTNQKKAVKEKVQFIDSETPIFVAMMQQTNVTGRYTLSLSKKYINKYLGDEVRSICLERLGERCQVWFGRKPQDKRIVGGWTKFVKANEVEVGDICLFELLKHRKLGAMKVHIIRAKDFS >SECCE2Rv1G0134980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:904440517:904442146:-1 gene:SECCE2Rv1G0134980 transcript:SECCE2Rv1G0134980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRSKCSSVGRAMMGSLGNNLYGGATSSIETVTRPSRSDAVCQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDMIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKKGRSDGSEVQFDDNAIVIVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >SECCE4Rv1G0255200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:644338809:644340949:1 gene:SECCE4Rv1G0255200 transcript:SECCE4Rv1G0255200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVPLHPCPWRRRDPDLVATDFFDVSRADAHPSRWKINWQPSADGYLILDNRSGSTKRTVAYSPLTQALDLFLDLPPAGSRTIHLEFYTLPSEDGREPARVVCVFQGSGRQERAAVFPSDAMEWQIFPETTLCLGEPDRPKTGTVVHRLLCWTGWMYEQIVVLDTATFQFSLIDLLMPLQPELGEAKYKLGVTKDEKLCIVDIKDKTIVSWFLDNGSVAERWITYRNFPLPPIVRRLTRCSMEKEGCRVKVDLVAVIDGFLPLYLSVCLERPEIWVLFKGAYRRNEAAHPYVMAWPLSLVQSKEESETEDNDPMDTEEASSVLIAALQSFSQALVNDGEEKDVMAEVDVFLRPTLDDRGSLISKIATLDAQMTIARDRILRISE >SECCE2Rv1G0121850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:811742322:811743536:1 gene:SECCE2Rv1G0121850 transcript:SECCE2Rv1G0121850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTTFLNGELDEEIYMEQPDGFVVPGQEGKVCKLLKSLYGLKQAPKQWHEKFERTLTSEGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLDLIKEVKDFLSRCFEMKDLGVADVILNIKLLRDDNGGITLLQSHYVEKIKATSGYLFTLGGGAVSWKSCKQTILTRSTMEAELAALDTVTVEAEWLRELLMDLPVVEKPIPAILMNCDNQTVIIKVNSSKDNMKSSRHVKRRLKSVRKLKNSGVITVDYIQTTMNLADPFTKGLSRNMIDNASREMGLRPMV >SECCE3Rv1G0159660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:98540238:98541098:-1 gene:SECCE3Rv1G0159660 transcript:SECCE3Rv1G0159660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPATWSVTACLTYRGGLEIRAVAENILPGWGHGGERLSFLLRLRRRLRLAVTSQCGRAPAPADPDKKPRGLKLLRSLRTRLPCIWRRKKPPRATAAKPGSCTQAIPSLLKDRALMRPATTAALCSVAALAVAVASVAALRLLAGFFIPSASCGSWRCFLAKKFIKFLGPPLFERISKISLKLVDRPALGDWLGFPKLGLKWLFNK >SECCE5Rv1G0353940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720761016:720767827:1 gene:SECCE5Rv1G0353940 transcript:SECCE5Rv1G0353940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRGDHHMSQQPPFSSGQERVFDGGGGGGGSAFGNDYDPGSSYMALLGPGVNPQPPPWAVEEVTTATTINMTPQFSMANYAPTSSYQQHQTTASFVSPLAGNLHPYQSSSSSYFQADLPPQWPPRAMAPPPSSSLLPRNFTVHQTPAYTHHHEQQMHMQLLRAAALGGPHAAPAPPIEQPAKDGYNWRKYGQKQLKDAESPRSYYKCTRDACPVKKIVERSFDGCIKEITYKGRHTHPRPPEPRRTGAEDIAAPSGAVGAQEDELSDDEDDGEEGHDIGSGAGGPAGQRVVRKHKIILQTPSEVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTAENCNVRKQIERASTDPRCVLTTYTGRHNHDPPGRGVGAAAAAGAGGGSSSDPVPSTVNPSSSTLHQPSGIHQLKEENRD >SECCE2Rv1G0094520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:403160644:403175562:1 gene:SECCE2Rv1G0094520 transcript:SECCE2Rv1G0094520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRQHGGSGDVVAPKGRKRQRHLLLESSDSEADESCLLTRHRSDEPNAALGNGDQSVEKVVPPSSELHGAKTTQRDGSEKNKGDELNSTSSPEGDDLSEEKVVPLSSDKLHRVKSTQGDYPENIKGDKNSRSSSQPDHKMSKIEDAKVGAVGNGGSASKDVTGGKMLRPGFPKWRFEKPEVRAGRVDEKGGVEMKATIGSKVKEQVSSLDDKRRHVELLKHKKHKPLKTDMSNSVDCGRQEHGEEMKAKFKEQAPSLDVKRRPVGSLKHEKHILLKTDKASLAGSVQGKVIRVQGKSGLLKILPKNNKVLRETSNGKILPKKSNVDGDTSDGNNLPKNVKVEAGDGKIPMKGGVLKLLPKNNKMVRENTDENLLPKNIKLEGETSGGKILMKTSKMDTGSVDDKVATKNCMVDLKAVAGKIPSRNNRVDEETITGYKQDKDKSSALIESQKRDLNGGKKVTGKLVSSVILRRSDPSVVGVSSGHTVKQKSSKVQLKISSQGNHQPLPSLKAEKNELGEHKNDKKRMLEHKGSPENLSKKVKLEVTDLQGTSDSAPKKHVMMKKPRGGPRSALKQKLRDQIKGILLHNGWTIDLRPRKDKDYEDSVYVSPQGSSYWSITKAYAVFQEHVKSSQDENPTGGPGVADASFDAISKEDLAMLQRIVRKRKGKKEHSSEKKGGDNRSRNSKGASAGRSSRNKYQNNKEKVKAKHQGCALLVRGSARNMEGMGNYVPYRWKRTVLSWMIDLGVVSQDAKVKYMNKKGTQTILDGRITRNGIYCGCCSKILTAAKFELHAGSKEHQPYANIFLEDGEVPLLQCLLDAWDKQSQHEKKGFYKIDPADDPDDDTCGICGDGGDLLCCDRCTSTFHVACLGIKMPSGDWYCRSCICKFCSSAEEMTSSFTELLSCLQCSRKYHQVCTPGTERDSVSTRPGASIDHFCSPGCRKIYKRLKKLLGFKNNMEAGFSWSLVRCFAESEAAPMKRKAELVHCNSKTAVAFSVMNECFLPRIDERSGINIIHNVVYNCGSDFNRLNFSDFYTFILERGEEVISVATVRIHGTELAEMPFIGTRGMYRGQGMCHRLLNAIESALCSLNVRRLVIPAIPEMQKTWTTVFGFKPVGPMKKQTMNSFNLLIIHGTGLLEKRLLLTAQVNRQTTSVTVNAVECYKTASQTFGGASGSLTPVHVSQEFAVGGHPETKDHDTCALVEGSSGLASNLPPVSEEKTKETISPVSIADVNLHICEDDMPCKALADITEKVKYAETDLTLVADKIVVEEKPEDKSSSSSADSSAIPVTVDPCPCSSDELGKYENCPPSVHSVDAVLVKDSPESSFSTSSISVRFDTQEDKKSCVVPANTEVPSVTMGRKPDNHKFKTIVADGGDTQSSLEVKYLEVLVDERSGYTAKNKAFVGGVTSYAAAVSKENGHSAVDFVSSAERSLEETNSVESDKSEVKVATIEVGAIVESSNEAGITVSALEQSDDINGEVTAKPTLTCGDGQLH >SECCE2Rv1G0129500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:868722492:868724924:1 gene:SECCE2Rv1G0129500 transcript:SECCE2Rv1G0129500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAARKRSRPESANGGAAGGKRSRESESQQTGQSSKSKPCTKFFSTVGCPFGEGCHFAHFVPGGYQAVSKSHSLGHSAVSAPSRAPADHAASGVKTRMCTKYNTAEGCKFGDKCHFAHGERELGRAPSSYMSSQESSYAPPMGGRYGGRHEQPPPASMGPPAGNFGASSTCKVSVDAALAGGIIGKGGVNTKQICRITGVKLSIRDHESNPDLKNIELEGSFDQIKQANDMVRDLIASISSSTPSKNPAGAAAPAGRGGGGGPPGGRSNYKTKICENFLKGTCTFGERCHFAHGETEQRKGAAV >SECCE3Rv1G0187410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:699253182:699256528:-1 gene:SECCE3Rv1G0187410 transcript:SECCE3Rv1G0187410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDKFTHKTNEALAAAHEMALEAGHAQLTPLHLAAALAADRSGILRQAIAHASGGNDAAAESFERVASAALKRLPSQSPPPDTVPASTSLVKAIRRAQSAQKSRGDSHLAVDQLLMGLLEDPQMSDAFKEAGVSAARVKAEVEKLRGGDNRRVESASGDTNFQALQTYGRDLVEVAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRVVRGDVPSNLLDVRLVALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVPDTVSILRGLKEKYEGHHGVRIQDRALVIAAQLSSRYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVDVRKELDDLRDKLQPLQMKYRKEKERIDEIRSLKQRREELQFTLQEAERRMDLARVADLRYGALQEVDAAIAKLEGETGENLMLTETVGPDQIAEVVSRWTGIPVTRLGQNEKARLIGLADRLHQRVVGQYEAVNAVGEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGVALAVTDAALDVILSLSYDPVYGARPIRRWIEKRIVTELSKMLIREEIDENSTVYIDAAPGKDELTYGVDKHGGLVNARTGHRSDILIQVPSGAVGGDAAHAHAVKKMKIMQDGGDVDDMEEE >SECCE6Rv1G0449750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863959878:863961853:-1 gene:SECCE6Rv1G0449750 transcript:SECCE6Rv1G0449750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEVVVNAWKGWGLQALVLLSFMLQVTLLILAEFRRFINSGVLRVFVWLAYTMADATAIYVLGHMSVTSMSPEHELMALWASFLLLHLGGQDNITAYAIEDNRLWLRHLQTLAVQVAAAAYVIYRSSILGRRSLLWPATILVFVVGILKYGERVWALRRANSTPAGHNYRSIERYSVLRSYNFKDVASSGGQQAATIRGVLDTEAFLQIAHQLLEVPKELLLEGSLPTEGLGTDLSAAEVYKVVEMQLSLMHDVFYTKTPVMHNWHGLCIRITSLVATVIALLLFQLSGDHKDLYKGRTLDVAVTYVLLVGAVILEITSVLRVMFSSRTYVLLKGWRSPVWHLLARVVASLRRLVHAAEWRRRCCWSRSMGQHNMIQLCAGSKASRRSKMARWMGVEDTWNMLSYTTSIPVSAFIQQLMVEQLLKLRNNGWSPDEIIMAEGREALQRYGLYRRLDWSVEESILVWHLATHLYLSWCKEERSKGNGQQDDDADLSKAVEALSNYMMFLLAARPSMLLPPADRNAYVQMCYTLCSLRLLGNSLVAGSITFESTFPVIGRYDAETSITGAMLGARLIGEDELAGSSTGDMLKLIVQVWLEMLFYVGSRCSAYSHAKELGNGSELITVAALLVKYITRGMTRDVV >SECCE5Rv1G0360250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:768313364:768317045:1 gene:SECCE5Rv1G0360250 transcript:SECCE5Rv1G0360250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPGKTPRTPRRILESYTIKGSDGVIRPGDSVLMKAPDTSKPPYVAKIEEIEAAGPRGANVKVKVRWYYRPEESIGGRRPFHGEKEVFLSDHQDVQSADTIECKCNVYSFRDYTKLAAVNPEDYFCRFEYKSITGSFVPDRIAVFCKCEMPYNPDDLMIQCEECSDWFHPACIGKTIKEAKKLENFTCEGCVAENGNANGVKNENSHESTGESDEKQVQSKRRRR >SECCE3Rv1G0210320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943414606:943416681:1 gene:SECCE3Rv1G0210320 transcript:SECCE3Rv1G0210320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAD1 [Source:Projected from Arabidopsis thaliana (AT1G72680) UniProtKB/TrEMBL;Acc:A0A178WLT3] MAAESETESGNCSAWAAKDPSGTLSPHSFNRRTVRHDDVSLRITHCGVCYADVIWTKNRHNDSVYPLVPGHEIAGVVTEVGSDVKGFKLGDHVAVGTYVNSCRDCDNCNSFLENHCSKFVFTFNGVDTDGTVTKGGYSSHIVVHERYCYKIPDGYPMEKAAPLVCAGITVYTPMMRHNMKQPGKSLGVVGLGGLGHMAVKFGKAFGLKVTVLSTSESKRDEAISLLGADNFVVSSDKKQMESLKNSLDFIVDTASGDHPFDPYLALLKVRGLMALVGFPGEIRVHPATLNLGARTLSGSVTGGTKDTQEMINFCAANKIYPDIEVIKIDYINEALERLVNRDVRYRFVIDIESSLK >SECCE2Rv1G0131300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884074591:884075718:1 gene:SECCE2Rv1G0131300 transcript:SECCE2Rv1G0131300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGGALPVVDLAPFFAVDGADDAGARARATEAVREACQATGFFRAVNHGVPRELMARALDLSAVFFALPDEEKAKVRPAEGASASPLPVGYARQPAHSADKNEYLLLFNPELGLNHYPAEPAGFRDALEECYAKLTELGLLIQDILNECMGLPPGFLAEYNADRGFDFLTALRYFPATTDENNGISAHEDGNCVTFVLQDGVGGLEVLGEDGRWVPAEPMEGSIVVNVGDVLQVLSNKKFKSATHRVVRRPAAHRHSIAFFLNLHGDKWVEPLPAFAADLGEPPRYRGFRYNDYMQLRMRNKTHPPSRPEDVVHITHYEIL >SECCE5Rv1G0308960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:142646125:142646610:1 gene:SECCE5Rv1G0308960 transcript:SECCE5Rv1G0308960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKACILILLFVAALLHAGHAIGDAGPCQLEDIRVSSVLTGRLVQNLPEYSVTVENACSCPLGAVVMFCNLGEVKAVIPDTTKLRLLNRKQGHCLINSGWLIFNGKPITFTYAAETQLDFTLDNASPECMA >SECCE3Rv1G0147180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:14160549:14162970:1 gene:SECCE3Rv1G0147180 transcript:SECCE3Rv1G0147180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPSLAVSGAATAVVVAAKPLPSSAGFDKGGSHHQRSTQVLENGSRLESESPPRPLDAQEAMTMLKDGRTVQSAMYVPLLHRCVETGALGAARALHGHMAKTGTVADMFVATSLVNVYMRCGASRDARSLFDGMPDKNVVTWTALITGYTLNSEPVLALEVFVEMLELGRYPSHYTLGGMLSACSAARRVDLGQQVHGYSIKYGADTITSMGNSLCRMYCKSGDLESGMRAFKGTPDKNVITWTTMISSCAEDENYLELGLSLFLDMLEGGVMPNEFTLTSVMSLCGARLDMHLGKQVQAFCYKVGCEANLPVKNSTMYLYLRKGETDEAMRLFEEMDSSSIITWNAMISGYAQIMDSAKDDLHARSRGFQALKLFRDLVRSELKPDLFTFSSILSVCSAMMALEQGEQIHANTIKTGCLSDVVVNSALVNMYNKCGCIECATKAFVEMPTRTPVTWTSMISGYSQHGRSRDAIQLFEDMILSGAKPNEITFVSLLSACSYAGLVEEAERYFDMMQNEYHIEPLVDHYGCMVDMFVRLGRLDDAFSFIKRTGFEPNEAIWSSLVAGCRSHGNMELAFYAADRLLELKPKVIETYVLLLNMYMSTGRWRDVARVRKLIKHEDVGVLRDRSWIAIRDKVYFFRADDMTHPHATELYQLLENLLEKAKAIGYEPYQNAPELLSDSKEGDDNKPADAGSLIKHHSERLAVALGLLKTPPGATVRVTKNITMCRDCHSSIKYFSLLANRDIVVRDSKRLHKFKDGRCSCGDFGALLL >SECCE4Rv1G0218890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:25013177:25015496:1 gene:SECCE4Rv1G0218890 transcript:SECCE4Rv1G0218890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSLPLSPPCAPAASSSSSSHLLTPPPSSISIGRRRGGLRGSGGLALPGGRGREWGAPVSSFSSFLPSFFTGSKKKEEEEAKKAAALKAELLAAIAPLDRGAEATPEDKDRVEQIAQQLEEANPTKEPLKSELLNGKWELLYTTSTSILQPQRPKFLRPYGTIYQAINTDTLRAQNMETLPYFNQVTANLVPLNSRKVAVRFDYFKIFSLIQIKAPGSGKGELEITYLDEDLRVSRGDKGNLFVLKMVDPLYRVPL >SECCE5Rv1G0369920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:838514095:838514884:-1 gene:SECCE5Rv1G0369920 transcript:SECCE5Rv1G0369920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGSAQDLGDSFSYGWLNQAPPSLDRLAADASQYSFGGSRPSFIDMEDPAELFSMRWTTTTASDFDFALPAPGGGAASPARLVSASQIFRGGRLLPCEPGGCSGTSVQVDGDGSVARRTTDAVPRLSPPSSPLFHSAQSTPLSLSATGKHAGQRPMTRRRGGSSPWKVLLRYVRFLLPLYRKARARHAHSRVAPAGSPSRGSTSSAVEWCHGNADTAVHDAILYCKKSSGKDAVP >SECCE2Rv1G0111090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:697736462:697737576:1 gene:SECCE2Rv1G0111090 transcript:SECCE2Rv1G0111090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAVVAASRDGFFGLGECKGQVVIDGEQVPLVLTPGSEEVGGHDHKALVAALVANREWVEEKVVANSGVLLRGFGVRDAVEFDAIVDALGWPDIRYVGPAPRTHVHGRIWTANEGPLEEFIYYHHEMVLIKEFPGKVILFCEVPPPEGGETPFVPSFRVTERALQEFPDMVEELDAKGLRYTFTALSKDDTKSMRGRGWEDAFATTDKAVAEQRARALGMDVEWLPEEGGVRTILGPRKLTQVFPGRKGRRMWFNTVVGMHGKELSSATFADGSEIPADFVRRCGEIIEEESIQFRWEKGDVLILDNLATLHGRRPSLPPRRVLVATCK >SECCE5Rv1G0367000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:818913372:818918348:-1 gene:SECCE5Rv1G0367000 transcript:SECCE5Rv1G0367000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTPKMASKAESPVSCSAPTPPKPSPVGADEMRAVARKFADQPVQNPEPGVWAVLTAISKKARLRPEGMNILLSADEHILGRTVDNPRFRISAVSVSGNHCKIYKDTVIGELQRDEPVPVYLKDSSTNGTYVNWNKFMKRSPPTKLNHGDIISFTTPPHHDASYAFVYREVNAVSCVGNGTTILKRKSGEMGSESKRLKGLGIGSSDGPVSLDDVRRLEKSNAELREQLEAHVVTIETLRTASKTAEEQHEKELKEFKESTSSSYLEQTKSLQLALEGKQKQLDTLSTLNTELQNSIKDLDERLSASKQSRADADEIIQSQKANICELEEQLSEERNLRIEERDKAAEDLKSALHKVQAEAQDEMKRQTEAYLKQQKEQKEFIIKLQESEKDTRLLVETLRSKLEDSRESLVTSEKKVRELENQLQDEQLVSAKSQKKSDNLETELRKLKKELENEKAAREEAWAKVSSLELEVAATMRDLSIEKRRYQGARERVILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYESTLLGLDLNEVPVANVNTNDARVKPADYAKDTLEASGASAENTQASEHSSTDEDADMTEQQDGGTRIEGGTQDLECTSPDRTVEKIGSDSHGDHTATAPEQETEQVLETESQIGIVGCNDHNSINSVMGGETLQLEDEMQAQQETEESNLIPKDGEQPLVNEEQQSLTLKDGIGQCSEGKREGDCSASKPDDTQDGTIRTADLLASEVAGSWAVETGPSVNGENESPCCSEDVGGDPSEGHDDDAGEKTAADALTSLVNSDGHSAGSQTNADGRRAINHMIGLLDPEKKLPGNFVEDSESDAETRDGSEAGDADIDSEAMVEDSVG >SECCEUnv1G0532750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:23489161:23492807:1 gene:SECCEUnv1G0532750 transcript:SECCEUnv1G0532750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKAARSKASQLGGIWRRRVGAPFAALLVAAVLVLLVFTGRFPQGPDASSRFTPVHVDDSSPRTVRDRQVSSTDQDLELETSDSSKQEGETSDSSKQEGEGGDQKIELDESSMEAMEEQKQPPEDTPETKPASQGTTPANSDLDGEGGMATTTAPDQEERNAGGSGSAPYTKCTPPPNSTVCDLSNSRFDICELCGDARTIGQSSTVMYVPHTQTSDSEEWSIRAQSRKNLPWIKKVTVKSLNTSQPAPKCTSKHAMPAIVFALGGLTANVWHDFSDVLVPLFLTARQFDRDVQLLVTNNQPWFSKKYMTILSKLTRYDIIDFDSDDQVRCYPHVIVGLRSHGDLGIYPNLSPQNYTMMDFRLFVREAYGLPAAKVAIPYKADRDDPDKKPRIMLIDRGKTRRFINALYIVQGLEWFGFEVVKVDPKMDSSLDEFARLVDSCDAIMGAHGAGLTNMVFLRSGGVVVHIVPYGIEFMADGFYGKPARDMGLGHVKYGISPEESTLLEKYGWNHTVIKDPEAIRSSGWDKVGEVYMTKQDIVLNMTRFGPVLLKAIDFIM >SECCE5Rv1G0342790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633820044:633822929:-1 gene:SECCE5Rv1G0342790 transcript:SECCE5Rv1G0342790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPEKAPPAPEAGVSAATVSNKQRKRDARKAEKAAKYAQQQKPAAEDPSPEHEQQKQPAAEDDPSAANYGDVLPGEILPAAVPDGPFAEISHLDRAAAGRSVLVRATAQAVHCGSKTKNVAFLQLRQGMSTVQSVLAGSAQMMLFALSIPKESIVLVEGAVALLDERLSYTTVQEVEIKVRKLYCISRADPKLPISVEDAGRSAAEFAKAEAKGVPLPRVGQDTRLDYRVIDVRTPTGQAIFRVQHQVENKFREYLSSKDFIGIHTPKLISGSSEGGAAVFKLEYKNGRSACLAQSPQLHKQMAICGGFRRVFEVGHVFRAEDSNTHRHLCEFVGLDAEMEIMRHYFEVCDVVDGLFVELFRHLNENCKTELEAINRQYPFEPLKYLESTLRLSYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGQLVREKYDTEFFILYRYPLAARPFYTMPCYENPAYSNSFDVFLRGEEIISGAQRIHEPKLLAKRAAEHGIGKSSIKSYIDSFRYGTPPHGGFGVGLERVVMLFCGLSNIRLASLFPRDPLRLSP >SECCE2Rv1G0089240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:255059697:255062392:-1 gene:SECCE2Rv1G0089240 transcript:SECCE2Rv1G0089240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAYLVLAVVLLPLAAAQWQSTTCASGAYAANSTYQTNLGLLAAALPANVSASPAGFATATVGVVPDLISALALCRGDTNASSCRACVAAGFPGAQRGCPNSKDVAIYQDDCVLRFSDQRFLDFVGVESPAGTYSSDEENLTAPAAWFNAAALALLNATVDQAVAARGDPAKKYFATGEGSFDATYYPKIYGLAQCVPEMTAAQCRGCLGGFIGAAPWFLFQNGRSGGRALGIWCNLRYSVSPFYTGGAMVKLSAPPAPAPAAVPSVATAETPTGRKGGVAGISTGAACFAVLMLMLAVFAFIRFKRRKAKKHDDSFNKMARGKCAIYDFTTLQEATGNFSEKHKLGEGGFGTVYKGNLPDGQEIAMKRLIDGTGAGHGLDQIRNEVLLLAQLQHKNLVRLLGFCLHQKEMLLVYEHIRNGSLDNFLFDASRRDTLNWDEVYNIILGIAKGIMYLHEDSSVRIIHRDLKANNILLDDAMDPKIADFGLARLQVGGHTQTMTAKVVGTYGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRRNCGTHDCETDTVNLLSDVWTCWRKGTISRMIDGLLEGHPRIQALRCIHIGLLCVQADPDDRPDIPSIIFMLNREEMELQPPARPAFFFGEHSNSPSPPCQQGIYVYNRSEVIFENTSVNELTITDAYPR >SECCE3Rv1G0203660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:884483078:884487979:1 gene:SECCE3Rv1G0203660 transcript:SECCE3Rv1G0203660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALRLLLALLAIGSCIAADHVDLWPMPKSVSHGTQRLYVSNNATLSMAGSKYSDDKAILKDAFQRMLDLMKLNHNADGANPSSSLLAGVNIVVLSTEDELGFEVDESYNLTVPTIGEPLHAQIEAQTVFGALHALQTFSQLCHFEFTSRLIGLNSAPWMISDAPRFPYRGLLIDTSRHYLPLATIKGVIDAMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGSYSYSERYTMSDAIDIVQYAEKRGVNVLAEIDVPGHARSWGVGYPELWPSDSCREPLDVSNNFTFKVIDGILSDFSKVFKFKFVHLGGDEVNTSCWTATPHIKEWLSNNHMNVSDAYRYFVLRAQKIAISHGYEVINWEETFNNFGDKLDRKTVVHNWLGGDVAPKVVAAGLRCIVSNQDKWYLDHLDATWEGFYLNEPLKGINNTEQQQLVIGGEVCMWGEQIDASDIEQTIWPRAAAAAERLWSPLEQISEDTRSATSRLSRFRCLLNQRGVAAAPLAGNGRTAPYEPGPCVRQ >SECCE7Rv1G0525160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:888999737:889001260:1 gene:SECCE7Rv1G0525160 transcript:SECCE7Rv1G0525160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILVSAILGDLVGRSASFVISKYFQQQPDIDKIVQRLQGVLLRIDIVVEEAEERHITNQGMLRQLKMLRQGMYRGHYVLDALRFQATIDKEEVSHSSSALSKSSPAKRLRFSRTGSGSSNREALLFGANSDVREELQRMFDTLEDTMAGMKEFIFFLESYPRILRQPYGTYLLLDNCMFGRQTERERVLNFLLCPSATSDLAVLPIVGPIRVGKSTLVEYVCRDESVRDVFSMIMFFPEGSLKDEGVVDLKGNNINGLVRHQNSVSQNRLLIIVETQEDINEGTWRRLKSLATSMTPCGGSKIIITSRSDRIVNLGTTEALRLDFLPPEAYWHFFKSLAFGSANPDEQPKLATMAMEIALEQTQCFKSALIIGGLLRDNFNARFWRIVLESVRAYKQAHLLVSDKHPNLCLREDEPVYYWRLARSSKYFLVCNHHQLDSSEEVPKISVHDIILGRGGTLPCGKFEALAWRSRIPPYYNYTISCKVQAPQRMAERKKRVSRDEGHLI >SECCE6Rv1G0447160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:849196552:849197249:1 gene:SECCE6Rv1G0447160 transcript:SECCE6Rv1G0447160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKGTHPGAQIPTTIGGSAKAKQEMEAAGYRSRVVAEVDPRSEWVHGDEFDTLIVDVSRFTRDQLKVQVEPSGSLKISGERALNGGRQWCHFLKRFDLPGVFDATAIKVQLDKGVPYVQVPQEEDAGLSWSGGHTAAWRADEHPAWRLAKSLREHRDVVLNVVLAVVLLWLVAFANSKQSGGQTKNE >SECCE1Rv1G0049000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:638347656:638350434:1 gene:SECCE1Rv1G0049000 transcript:SECCE1Rv1G0049000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGKLVDDSRWTEVSAANGYAQIIGYLSMAVRGLGFLVLTWTTVVLLGGFVSTLQKKDFWCLTFITLVQTAGIFDVLLTEKLSYIWNSILAVISVRYAVLGYNPSQWKREVLANVVVIVQQVVFVILLCPLAAVYMFGLLISAGISVWRLRQHDYGSDADGLGNLKPALDILYSVALLQGVIFCYKATFGFAKGSVVSRVIERRMFGEQARVGISDYLLKTTIGCEKDPSFARGRNLITYAVDLMGSKSPDDYVSGVRILDSFATQTEMVAKKLEENLVCSRKALKTFMQEHILMKHLIMSASSTNVLQKLLQTLGSSSIYDRETRGCAARIVAQIAASIRLEEFPTGVGYIFSLINSFETYSLLQPYQRDWAQETFERNWYSMARNLQLPDLDSKEDSHEERGDSDGELFNAYRDLMVQGFRILRKLATNNDNCRIMLDTPCLLQKIMAPVTSDLLHQIDHGAWYSIVEGSMKAIMWLVSATGQTGTKLRSKISSSKEAISTMETILECDKCDEKLQTLVIVVLENLYMDTSLILENANRVKFIEMLGAIMTDDNKGKEDRRTAAASALIALCSKTETGAKSIIMANDNVVNNLTIMIVEKGKFRLMAAEILEYLCIDFTNDEENVKRLKKVMIDAVPKVLGEIVCWASEETPAGIEAHQVRLTEPETDLENQSGVSQDNGRHNNTSSSNQQDRKLNEYAVTCLLSLCVTVCDTLISADQDLTQFESTIPVDDGVSNFPMKLQQIVRKTMHRRPNCLTILKLTCKMVISMMKHRGSYAKEELKSLMDTLSTASKDMFLLDGSMVFDIKEGGGGAMTPEPFRSLASLVKEAQVLVDKRNEL >SECCE1Rv1G0057370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693329658:693330504:-1 gene:SECCE1Rv1G0057370 transcript:SECCE1Rv1G0057370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGLKGVMVCLLILGLVLEQVQVEGKSCCKSTLGRNCYNLCRTRGAQKLCANFCRCKLISSSSCPKDFPKLALESNSDEPDTVEYCNLGCRSSMCDYMVSAAADEEEMKLYVERCGDACVSFCNGDAGLPSLSA >SECCE2Rv1G0112380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:708853838:708855164:1 gene:SECCE2Rv1G0112380 transcript:SECCE2Rv1G0112380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACKCSPAVRLLPNPITAQLPGSRSRSHGRCRSLAVHAQLPTEDDYPAESPKRVQVTQSLRRSRRRGAGGRQSLISVGTSRGGGDQWSSDFELTLRQLRLDDLIEDGQSDADVLVHLLVQQHTQFGMSIKGRVVTSLTKMCDSCSAPYCTKIDEQFDITVLSSSRKDQSGLPEIGDSDPSVIYVKPGTEIDIDSSIQETIRLTASAKSSCSEACEKSPVVWKRAGSQKKRYSQTWSKLLDLKRTLDKAPS >SECCE5Rv1G0370770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:843806158:843808407:1 gene:SECCE5Rv1G0370770 transcript:SECCE5Rv1G0370770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALDGNNLNGKLPSSIGNLSNSLDSLWLNSNQISGPIPPEIGNLKSLSTLYMDYNFFTGNIPPTIGKLNKLVKLSFAHNRLSGQIPDSVGNLVQLSMLELDHNNFSGRIPASIARCTQLTILNLAHNSLDGRIPSKILTISTLSIELDLSNNYLSGGMPDEVGSLLHLKKINMSNNRLTGNIPSTLGQCVDLEYLEMQNNLFAGRIPQTFANLVSIKHMDISGNNLSGKVPEFLKSLKSLQDLNLSFNHFDGAVPTGGVFDIAGAVSVEGNDHLCTIIPTRGMSLCMALAGSKRKQRLLVLVLVILLPIVAGTAILFSCIVTIYWRKQMQANPHLQHHNENIKKIQKISFEKISYEDLVRATDRFSSANLIGSGSFGRVYMGSLQFQEDQVAIKIFDLDINGADRSFKAECEALRNVRHRNLVKIITSCSSVDHTGADFKALVFPYLPNGNLEMWLHLKDPKNGEKSILTLSQRTNIALGVAVALDYLHNQCAPPVIHCDLKPSNIFLGLDMAAYVIDFGLARFLFSTANAHHDSSASLSRLKGSIGYIPPEYGVSREISTKGDVYSFGVLLLQLITGCSPTDEKFNDGISLHEFVDRAFTKYIHEVVDPTMLLPPNATDMMKNCVIPLLRIGLSCSMTSPKERPGMGQVSTEILRIKHVTSDTCVSDEANNWQDSRKQQKFM >SECCE1Rv1G0014040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:109691471:109699618:1 gene:SECCE1Rv1G0014040 transcript:SECCE1Rv1G0014040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLGRCPSRTRPEIPKFPQPPKPKQRNPKPKPKPRPRDPAPRSRVSLPGRRMAAPAAAGSGAVVPAGDSEGWTPSFGDMVWGKVKSHPWWPGHVYSLTLSDDPEVHRGYRHGLVLVAFFGDGSYGWFEPHELVRFEDHFVEKTSQGGSRTFPAAVAESLDEISRRSALALLCPCRLPDTFRTHNEDSRFLLVNVPGFDSNAEYLPQQVTAARERFVPQKMFDFLQNAAVQQRDAAETAARTLPGIEMAAMLMAYRRSRYERYDLTYAESFGVDPKKALEGEVKAENERSQRARPLKGRQKVPEKESAPARGRRGAAGAAARLMEKIMPGAPAIKPKASKKDQYLLKRREDARAPALPPAALPDAAPAPDDGGPPPGFPPAEPQTPPLPSSTGGGDEEEFMLQRRALPPADQASDGGATADAAAAATSPTKVAKPKKARKRDREDPAEDVATADGEPKKKKKKKKLAELNGGVPSAAPSVDGGGATKSAAFSPPKVDLDGLDLKQSYENDPPEESKKSLDNKPTVVAAAVSDGQPPKPLKKKPVMRPSDPTSAGVKRPPSDRQEEIASKKKIKLDKIKTLAADKKAGLEQKVTAVTAAAGGGATAAAQLPRAGMKEKALAAAKKKVPAAAPVKRTPSPTALMMKFPLKSTLPSVASLKARFARFGPLDIDGIRVYWKSHMCRVIYKFLSDAEAALKYAKANAMFGQVAPNYYLRGVEGGSAGADPGPEAAPPQRSDLRLMETTPFRPGSSGNGAPLTLSKAAPARMSVGQPKSILKKSNDEGGLAAASALRDSPRVKFVLDGGDSMLEPPPLPAASFDGNNGPDTAAPVSKVARSLGFAQPPLQPPARPAQPNLQPLMRPQHQQLQPPRAPDSQPLPPPPPLPYQPRINEPSSYQPRISEPSPYQSRVNEPQLYQPRRTDAPPMFNMQPPYQPRQSDAPPPFNMQPPYQPRHSDGPLALPGQPPLPPYPPRAGFPGQQYSSRSDNMPPAHFDNNAGNAMPVWKRGQKEFDEELMRVMLGIAKLVEPLMDKNGNFPYHLFSRSA >SECCE2Rv1G0125870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:843931402:843933000:1 gene:SECCE2Rv1G0125870 transcript:SECCE2Rv1G0125870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGDGARRKTACVTGGNGYIASALVKMLLEKGYGVKTTVRNPDDLEKNSHLKDLQALGPLEVFRADLEEEGSFDGAVAGCDYAFLVAAPVNLYAENPEKDVIEPAVNGTLNVMRSCVRAGTVKRVVLTSSAAAVSSRPLEGDGHVLDEDSWSDVEFLRSRKNGAWAYPVSKVLLEKAASAFAQEHGISLVTVCPVVTVGAAPAAKVSTSVPDVLSLLSGDEERVSKLEFIERASGSIPMVHIADLCRAELFLAEEEAASGRYNCGSLNTTVVELARFLAAKYPQYNVKTDRFAGLTEKPRVCISSAKLVGEGFEFMYKTLDEIYDDVVDYGRALGILPY >SECCE2Rv1G0119690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:793726647:793727788:-1 gene:SECCE2Rv1G0119690 transcript:SECCE2Rv1G0119690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALPCRPKLRLLCVGVALAFLLAVDVGRADIYKDIQIIWSADHTYYFMDGESEALALSLDFNRGSAFKSNDMYLFARIDLDIKLVEGNSAGTVCTVYTISEGPWDIHDEIDLEFLGNSTGQPYTLHTNVFAYGVGGREQQFKLWFDPSAEYHTYSIVWNPRRITIEVDGVTIRSFDNNEDQGVPFPSWQQQRVYGSLWNADDWATQGGRVKTDWSLAPFVSYYRNYNITYCRPSPGVSWCGAEPAGSPVFNLSPKARADLQWVRDMGYVIYDYCTDMSNRYTSTSRPKECSLPPRP >SECCE3Rv1G0206730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:910611950:910612810:1 gene:SECCE3Rv1G0206730 transcript:SECCE3Rv1G0206730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPIDERMAL PATTERNING FACTOR-like protein 9 [Source:Projected from Arabidopsis thaliana (AT4G12970) UniProtKB/Swiss-Prot;Acc:Q9SV72] MASGSPTTTSSSLLLFFLLSCLLVGHALCNQGHHGSISGADYGEQYAHQGLPEEHMNLQENIKGLNKEKPPKYARRMLIGSIAPICTYNECRGCRSKCTAEQVPVDANDPMNSAYHYKCVCHR >SECCE5Rv1G0307020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:104667764:104671587:1 gene:SECCE5Rv1G0307020 transcript:SECCE5Rv1G0307020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARTGLPSAEHDPLEGAESESGEEVESDEERGDDGSEAETLADLYGPDAGSDEGPDAGSDEDPSFDPAADKGEAALLSGMAQLSISARKGRKGPVALEMGNEDTDILAMVDKLMRDGQLEKLKVYECKAYLRIHKLRLGGKKEELLNRIRDHIEVKLFGDVKYPVSSFVLNCKGDACKGDVVMFEQNIYRRKKGDPRGTKGRLCGQRTNAGRIIKESYGTAKQQHTFTVEIFWSKGYKPWPPLHPLLIKGRNLYKDKTMRQPWPDEQERSRVLQEKHARGFQARKSREVRIHEKEIDKMRRFNRIKDNKSKGKENMNQISSQPVLPQQKAVSTDTGDQRFDKGRTDSLQQGEPGNARQQQISSKQNPAVHLFHQQFLYQGPSVQHGKPEKTRQQQILSRPTPAQQIFKHPTQHNNHRPAPSQQMFKNPQEHGNQQQQQNKVLPQEGTTKTVSILVPAPCLQYGGSGNAVQQQKLSKPTPMDQIGNQSQSLKHEHHNLVLPQEDAKKGTNRVHSIDHGKAPWLQYAEPGNARQQQSSRPALPQQIKNPPQPPRHQHTEVLRQENGDRTYRAEPVDRQNNNYHNTTYDESAFQRHGTQHAKTHQHGSNGHPHAHVDSQWYQPVRPRIQDFSSRNQEGDYRDHRQTTREQYNPQERHHDNQYGRGQMIQDRYQPQMTHHQNGHDSWRMYHNQYHPQENHHLNYQYAQQFPAPKMCRYYQQGLRCPYEGNCKFSHGS >SECCE6Rv1G0387660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:118971867:118972805:-1 gene:SECCE6Rv1G0387660 transcript:SECCE6Rv1G0387660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRSKSCEPSRAEQRGGVHGATASDDSITGKVASSSSAAQCSTMTVYLVRINGAERLVTAVWNKNIINQSFTITIDRHGDGAGSGGGDEGTLSLKVELKPWPFWSKKGLKSFFLDGHRLDIFWDLRSAKFPASSPEPASGYFVALVSQEQVVLTLGDLKKDAYKRSKCRPSLENAVPLCRRESVFGRRSFVARAWLDASRKDHDIVIEASLAGPREPEMAITVDGRALVQVKNLQWKFRGNETVLVDQSPVQVLWDVHDWIFAGPGSQAVFAFKPGAPPEVNSDDAGLESGSGIPGDPADYSFFLHAWKTD >SECCE6Rv1G0387160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:106780102:106780782:-1 gene:SECCE6Rv1G0387160 transcript:SECCE6Rv1G0387160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTGANMGHWAGIYGVGGNGAAAADGSVVTVSSPTSGGSGGGSPTRSAPGVEGGRVGKPARRRSRASRRAPVTLLNTDTTNFRAMVQQFTGIPSGPYGPAGAGGGPVISFGAGGGDYGLGGGMPVRPSPTSAVMSFDHLGHHRPSAATSSLQQQQQQQQSQLFRPQQQQQYGDYGGMHGGGGADMSFLHGFESSAEDRLLLQSIQAAQMLPRPASTNTPNGYNFG >SECCE6Rv1G0406000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:538994415:538997471:-1 gene:SECCE6Rv1G0406000 transcript:SECCE6Rv1G0406000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDPNPFDEGADDSAYSNGGGRGGAAAGGGGGKSQFQFRPTEPVGFGGGGNGGGGNGDAAVDIPLDNMNGSNGKESELSQWQADLKRREADIKRREEALKSAGVPMEDKNWPPFFPIIHHDIANEIPANAQRLQYLAFASWLGIVLCLVWNFIAVTVCWIRGGDSKLFFLATIYGMLGVPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYMLHIGFCIIAAIAPPIVFRGKSLTGILAAIDTFSDHALVGIFYFVGFALFSLETVVSIWVLQRVYMYFRGHK >SECCE4Rv1G0224890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:85629437:85632890:-1 gene:SECCE4Rv1G0224890 transcript:SECCE4Rv1G0224890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLLRMRLCAAAAALALLLLVADVPLAEAYDPLDPTGNITIKWDITQWTADGYVAVVSINNYQKYRHIQAPGWHLGWAWTKKEIIWAMMGAQTIEQGDCSQFKGNIPHCCRRDPTTVDLLPGAANAMQVGNCCKGGVLSSWVQDPVNAVASFQITVGRAGTSNRTVKAPKNFTLKAPGPGYTCGAAQKVKPPTKFISPDGRRTTQAHVTWDVICTYSQFVAQRGPACCVALSSFYNETIVDCPKCSCGCQNNITNPGSCVEGNSPYLASVVNGPGKGSSTPLLRCSPNMCPIKVHWHVKANYRDYWRVKITVSNWNYRMNYSQWNLVVQHPNFDNVTTIFSFNYKALNPYGVINDTAMLWGLKYYNDLLMVSGPDGNVQSELLFRKDPSIFTFEKGWGFPRCIYFNGESCVMPQPDLYPWLPSSSPRLTKTAFLALAIVACATVAFLYNHLVLDKYCGKS >SECCE4Rv1G0262530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:708178312:708178635:1 gene:SECCE4Rv1G0262530 transcript:SECCE4Rv1G0262530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSTSSKMAKRKKPAPKLDTTFCCPFCNHPDSVACTIDLKLLVASAVCCICEEAYHTTAHYLTEPVDIYHDWIDACEKANQEVDVREYHKRQRRIGSDDDDSDA >SECCE7Rv1G0518360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859046103:859047086:-1 gene:SECCE7Rv1G0518360 transcript:SECCE7Rv1G0518360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPENATTESSTTQEEEFLPKDFVATLPAREGWSEPLVQYHNYWFRPWHLERILLVKQAFVPRADDIIVATQPKCGTTWLKALAFTITNRSCYGFSDHLLLTHHPQHLVPFIQIPGPGTSHADIDPLEFPRLLATHTPMSLLPRGMRTLGCRVMYLCRDLKDALVSRLHFKNKAFPGTNLSMDSAFNMFCEGYSPCGPFWDHCVEYWRESLARPDSLLFLKYEKIKSDSAQVVRKLAKFLGVPLTEEEESSGVAQEVVRLCSFETLTSLKVNQVGGVRHGDSGSIGSSAFYRKGEVGDWANHMSHEMGDKLDSIVKHKLEGSGLAF >SECCE4Rv1G0226920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:115314937:115320340:-1 gene:SECCE4Rv1G0226920 transcript:SECCE4Rv1G0226920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVACAERATSDMLIGPDWAVNIELCDIINMDPGQAKDALKLLKKRLGNKNSKVQILALYVLETLSKNCGDIVYQQIIERDILSEMVKIVKKKPDLNVREKILSLIDTWQVAFGGPSGRYPQYHTAYQELRAAGVDFPPREENTVPLFTPPQTQPLRQPHLYPPGQSYEDVAIQASLQSSTPAAPPLSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYHARVMDLVSDTGDESLLFQALGLNDELQRVLQRHDDIAKGVPPNIPVPVAGNVNQGPAPPRPAGVSFAPLLNVHHEDDEPEDEFSVLSRRSARDGAAAQGNMSSVPRNERPYPSPLLPPPPSTKRPVYTEASSVDYLSGDSYKSEKVPDDFVNPTAPANMSAPSHSKTETDQVPNYDSRSENVPDDFINPTAAPSFSMPSRPTSEPSVNKLESLPDDDFINPTALPGFSSSSTSEDLPKAPWEAQAPVSLPPPPARYGQRQQFFEQQHGFPGENNEGGYDEMLTRTGSLSLNQRNTENGKSASVSTASRQPKPEDALFKDLVDFAKKNPSSPSKPANSRRTR >SECCE5Rv1G0319850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:379442644:379444081:1 gene:SECCE5Rv1G0319850 transcript:SECCE5Rv1G0319850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKGSDGSSGASASNDDSTVEEEGAGREQGNSLSSSSVRPYVRSKNPRLRWTPELHHCFVRAIHRLGGQDRATPKLVLQLMNVRGLSIGHVKSHLQMYRSKKIDDSGQVIGHLPLPHAFHHRQSGAGTMSSRFGAAAWPPWRSCHEPYWAHGRPFLGSRTYNSLEAEAQAVFLRSRAQHVASNPGLMMQSGCPSRNDHHTMNHQPTRPLQPTMRNDDDTHVPLDLDLDLSLGLPVPSREAKRKRSGCGWEKEGPDENGADEEQVDETSTATMLSLSLFSPGDAPRKMSSTSASDRAIDVSMDIKRGGKDEHATRRASTLDLTI >SECCE7Rv1G0503800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:715900474:715901501:1 gene:SECCE7Rv1G0503800 transcript:SECCE7Rv1G0503800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARVIAALAVALLGCALAVAADDVAPSASRPKAQPPSGGLAPEPQPPASGGLLKAHATFYGGADGAGTMGGACGYGNLYSAGYGTGTTALSTALFNDGASCGQCYKIACDSERVDPRWCKLGETVTVTATNFCPPNYDLPSDDGGWCNPPRPHFDMAQPAWEKIGVYRAGIIPVVYQRVPCVRRGGVRFTINGHDYFQLVLVTNVATMGSIKSMDVKGSESPDWMPMARNWGANWHSLAYLTGQRLSFRVTNDDGQTLAFTNVVPSGWKFGQTFASNVQFK >SECCE3Rv1G0161540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:118543141:118543374:-1 gene:SECCE3Rv1G0161540 transcript:SECCE3Rv1G0161540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVFLHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIQAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE3Rv1G0146480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11487121:11487939:-1 gene:SECCE3Rv1G0146480 transcript:SECCE3Rv1G0146480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEN4 [Source:Projected from Arabidopsis thaliana (AT4G39810) UniProtKB/Swiss-Prot;Acc:F4JJ23] MATGAANKEEMVFFDVETAAAPCPTDSSGQWWLLEFGAILVCPRRLVELASYSTLIRPGDPSAVSRRFAGDPALSAAFRAAPPFADVADDIFALLDGRVWAGHNIRRFDCHRLREAFAAAGRAAPEPAAVVDSLGVLAQGFGRRAGDLKMATLAAYFGIGKQTHRSLDDVRMNLEVLKHCAAVLMLESNLPAGVLPGADDGAVTRRRAATTSSTTATPPPAAAVLKVNGRPSCKRDSTGKVVTAATGGRRVRRTMTTTPFSMTLRHSRAIVR >SECCE2Rv1G0079790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:123007073:123009143:1 gene:SECCE2Rv1G0079790 transcript:SECCE2Rv1G0079790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAALTVLALLGCMAQADYGHPPHTPCSPGHPSTPPTTATPPPPATVPSPPASAGLVVGYYQKTCHRAEDIVRETVRNANAGIMAGLVRLFFHDCFIRGCDASVLLDVAEPSSATEKFGPPNLSLRGFEVIDAAKARIEKECGNVVSCADVLAFAGRDATYFLSNKKVYFDMPAGRYDGLVSLINETLIHLPPPFATVEELKANFASKGLSADEMVTLSGAHTIGVSHCSSFDDDLSDRLNASTSDMDPKLMASLEKQCRSDTGNDNTVVQDIKTPNKLDNKYYKNVLSHEVLFPSDAALLTADDTSAAVRANAKHNNVWEEKFKAAMVRMGAIEIKTSADGEIRRSCRVLNTY >SECCE3Rv1G0185180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:672527722:672528496:-1 gene:SECCE3Rv1G0185180 transcript:SECCE3Rv1G0185180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGGEYGHPYPRVDEYGNPVPPVDQYGNPIPREPCQVPAYSSGGAAPSYGSAGAVTSADYGAGVTPGYGLSGAVHPHESVVGGALPPSGAAYTHEGALSGGLAPGETTAYAYEGMVGSGIGTGDQIQPTKEGHTTLGETLQRSSSSSSSSSSEDDGQGGRQRKKKSMKEKIKEKLPGNHKQEEHKAGHAVPAAGTGTGTHEKKGLMEKIKEKLPGHH >SECCE2Rv1G0084930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:182844797:182845924:-1 gene:SECCE2Rv1G0084930 transcript:SECCE2Rv1G0084930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTRSIITQSRTLGSVTARRSALLTGRSKLGTASATTMLGVVKLALGREKSRPLAGHFSTASSCQRLAGKVAVITGAASGIGKATAVEFIRNGAKVILTDIQDDVGRSVAAELGPGAEYTRCDVTDEAQIAAAVDLAVARHGRLDVLYSNAGVSGSSAPAPLAALDLADFDRVMAANARSAVAAVKHAARVMVPRRSGCILCTGSTTGMMGGVAALPYSLSKAAVVGVVRLVAEELARDGVRVNAISPHAIATPLLVRSLARAHPGVGDEALKRMVERGMSELHGAVLEPEDVARAAVYLASDEAKYVTGHNLVVDGGFTVGKPINVHAAS >SECCE5Rv1G0370220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841055180:841060622:-1 gene:SECCE5Rv1G0370220 transcript:SECCE5Rv1G0370220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase ATG1a [Source:Projected from Arabidopsis thaliana (AT3G61960) UniProtKB/Swiss-Prot;Acc:Q94C95] MEEERADREPAPPPAPRVVGEYELGEMVGKGTFAEVFRAVHAPTGARVAVKEIDRRRVDDHVRRGILQEMSILGSLSHPNILRLINTIETGEKLFLVLEYCDGGDLEAYRQTHGGPRNRLPEATARDFARQLAEGLKVLRGERIVHRDLKPQNLLLSTDGDAITLKIGDFGFARSLMHENLAATFCGSPYYMAPEIWRGDKYDAKADLWSVGVILFQLVTGELPFLGENRVELREKVLSSSGLSFPPDMEADLHPEFIDLCRRLICLDPAERMPFEDFFNHNFLATARNSEIVDESHHALDLRDTCQTVSSAVVKVKSESVDSKVFDSWEWIEREYVLVHANTTSMELLSSLEKPMKDVTGARPCCDDISTISGPVQSQNRDSLYRVKSHGCTPLSASRESTIMENLRGRPLDCYTRLHLLNQYIVILTELAQEKLFKGLDLEALSLELVILAIWKEALNACSLLPDALDDGSFSTFAHENYFPKSDQRISPNVAHGLDFTRPASVRYWVESGFIKAYDRAEKISHRLRDNNDNTEMPDAMEIIFQTALVYGKSGATKELLGSQSKSIALYSKAIILLTFILQEATALPLNPLFSLSPFNQQRIHRYIANLRSHLCSAQFTGQQQRSIRN >SECCE6Rv1G0377230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:801094:801518:1 gene:SECCE6Rv1G0377230 transcript:SECCE6Rv1G0377230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKLGVAAIAALCVLLLVLPGQVAAKSKFCECYEDCYRQCRHHILRFACVPFCSNKCSPSQAAAAAGGDRDRCREACANKVKICGQSDPAQDECERGCL >SECCE1Rv1G0034110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:477380757:477387838:1 gene:SECCE1Rv1G0034110 transcript:SECCE1Rv1G0034110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTAHASSLTCAACFTQEKKKQLQLCRPTVLSPTEMARSATTMEVRDDGVAVITISNPPVNALSFDVIASLQRNYEEALRRNDVKAIVLTGAKGRFSGGFDINGFGNKPKNEKPGSFSIDFLTGIVEDAQKPSVAAIDGVALGGGLELAMVCHARVSTPSAQLGLPELQLGIIPGMGGTQRLPRLVGLKKALEMMLMSKSIKGVEAHKFGLVDAIVSSDKLVSTACSCALEILEHKRPWLKSLLRTDKLTDLVEAKKILKFARVQALKQAANLQHPLVCIDVIEEGIIFGPRAALMKEVLSGKMLEQSQTSKSLRHFFFAQRATSKIPNITNLGLTPRRILKTAIVGGGLMGSGIATAFILSDIVVVLKEVNEKFLNTGIDRIKANLQSFVKKGRMTQEDYEKKFSLLSGVLDYEQFRDADVVIEAVIEDLLLKQQIFSDLERNCHSNCIFATNTSTIDLQLIGEQTACQDRIVGAHFFSPAHVMPLLEIVRTQQTSSQVIVDLLDVAKKIRKTPIVVGSCTGFAVNRMFFPYGQAAGLLVDYGLDIYHIDHVIAKFGMPMGPFRLADLVGFGVAVATGKQYYQSYPERCYKSRLTEIMLEENRTGESSRRGFYLYNDKRQASPDPDIKKYVEKSRNMAGVAQDPKLMKLTDKDIVEMIFFPVVNEACRVLDEGIALKASDLDVASIMGMGFPSFRGGVMFWADSLGAEYVYRRLDAWSKDYGEFFKPCEYLAARASRGASLAATAADGTKSRL >SECCE4Rv1G0224090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:77277933:77279377:-1 gene:SECCE4Rv1G0224090 transcript:SECCE4Rv1G0224090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQALEKAIEKLAELLTAKVGAAASPNNAIVPQVEPIQKIDLMPNEIKLEGVKNYLSWSRRALLILRTKGFEGFVNGEAEEPGDKKTAEWRTWSSTNSLIVAWLLNSLSPTIAATVETISTATEVWKTLSKLYSGEGNVMLIAETEERVGELRQGENSVMEYVAELQRLWTDLDHYDPLELPHADCIAAARKWIERRRVMQFLKGLNSDFEARRATLFHQPTLPTLEDAIAAMAQEEVRLKLMKNNTTTPSHPVFIATDYENRECFNCGEKGHLFRSCTAPRRNMRGRGRGYNRGGLRGGRGRGYSGGQRANVAVPEEGSSRATQEESKKRTESTGDKGHEDCSYGNFAHFAYTDEGEANGEEAWDWNQA >SECCE7Rv1G0473970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:164300470:164302716:1 gene:SECCE7Rv1G0473970 transcript:SECCE7Rv1G0473970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTGVSPPPSPPHLSPPLTCFKNSSHLLHPVDDHDDDSHHRGRALALSKVAGEARAIGRLSVPMAVTGLVMYSRSFISMLFLGQLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKLLALALHRTVLLLLAVALPISLLWITSTGHILKLLGQDDGVASAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSLFSVLLHGPINYLLVVRLRMGVAGVALAVALTDLNLLLALLCFLAISGAHRDSWVGPTSDCLRGWGAMLRLAVPTATAVCLEWWWYELMIVLSGLLPNPRATVASMGILIQATSLVYVFPSSLGQGASTRVSHQLGAGRPGGARRAAGAALFIGLVIGAVASTFMISVRDHWGRMFTSDSEILRLTAVALPIAGLCELGNFPQTAGCGVLRGSARPASGARINLASFYLVGMPVGLALAFGARLGFAGLWLGLLAAQAACAVWMARAVAATDWDLEVARAKELTKPTATPNNSECNTNTASSGSAAATTNTGITATGSNAGGNQNKNGYVPISESGNDDGLQKLEEGLMSMTSSSSIGGSNGDTNAVDRDSESNGSISGSGAVCTAEEGKEQSVSERAPLIRVGEEEEEAHEHDGDGRGGGQV >SECCE7Rv1G0519540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865005942:865007363:-1 gene:SECCE7Rv1G0519540 transcript:SECCE7Rv1G0519540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLVLILALLLSGLLAVLRRGRAPPPPPSLAMHKIVDPAVAHRALVENADAFSNRPPARLHVALAGRRGGQRSENLNSVAHGPHWRALRCNLTAETLHPSRLAGLAPLQREAVQGLIATLSSAPRGSREEVTGVHQHLYGAVFSVVARLCFGDAVDEAHVRAMRHVIQRFQLAIGLVKPFSAMGSVMEKLVEWRRLRRLFAIDVRLKELLLPPIEALRRGVQSPRPRDDDGRRPYVDSLVDLRVPDEDGGRRALRDDEMVHLISEFLGAGTGTAVATLEWALAHLVDKPEVQEKLRGEVDDSEVSRAGAGMPYLQAVVLETLRMHPPLPVIPRHVHADAVGVLVGGMDVPPPAGDFYVNFSAGDIGRDSKIWSEPDEFRPERFLSGGDGEGVGPLPGPKQIRMMPFGAGHRFCPGVGMAMVNMKCFLAALVREFEWAPPTGTAAVDLTELDSFFKVMKKPLSARVTRRTKSI >SECCE1Rv1G0054390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:674774778:674778045:1 gene:SECCE1Rv1G0054390 transcript:SECCE1Rv1G0054390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDILTRVDAICQKYDKYDAEKLNGANVAGEDPFARLYGSVDAEISQCVEKAEAATQEKNRAAVVALNAEIRRAKAKLLEEDLPKLQRLALKKVKGLTKEELATRSDLVAALPDRIQSIPDGSSSATKKNGGWGASGSRPGGGIKFDSTSDGNFDDEYFKGTEESNKFRQEYEMRRMKQDEGLDVIGEGLATLKNMASDMNEELDRQVPLMDEMDDKVDRANADLKNTNVRLKQTILQMRSSRNFCVDIILLCIILGIAAYLYNVLKK >SECCE6Rv1G0428250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:725187991:725188437:-1 gene:SECCE6Rv1G0428250 transcript:SECCE6Rv1G0428250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFPRDQLMGSAFVAFGIILFVGFFYAAVVSKMLPRYENWLLAAIQNDRYYCLLVPLSLPVIIVAVYLHWLSMKMFKHA >SECCE4Rv1G0295720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:899646892:899647985:-1 gene:SECCE4Rv1G0295720 transcript:SECCE4Rv1G0295720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDRIPVARFSMTKSSTPYYQNEAYMGCRHGLCLLINMHKHETVVWDPLTGEERIVAFPPGCTLGCSWAWHGAVLCIDAEDGHVHGDCFSSPFKLVLICAEYNTPAFCYVYDSASGVWGNIFSTMTITAGMFWLRRPSTLVGDALCFLICGGDVLVFDFKMQSLGLIKKPVENHGTDDWWFQLLRMENDGLGLAVLLDLTIELWERKSNCDGVFEWVKLQKTIPHEGMVPTRRMDSVLFVGYDEDANVIVLATMAGNFTLQLNSMQIKHIVKRNNICHDTFCPYRNFYTPELH >SECCE7Rv1G0492390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:550203454:550206059:-1 gene:SECCE7Rv1G0492390 transcript:SECCE7Rv1G0492390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDRLAGAPSPPPMPPPPPPSLSRPPNRAPSENHLTISVPLLSLPGSGADADAPLARWLRRLEAFLAVSGLSASTPLGVAFAASALAVVGVALPAVAVSLSPCRKHERVCDDFEVEMFEVCVMMSQAAAAAVAVACVSRKMAMYGLRKFLFVDPELGMRIRFQKEYVVRIQDFFRTLMWWILPCLAVKVTREMFRFSHMFHQSIWRSCIVLFASIMSWMYLTTIVLSSCMLFNLVCNLQVIHFDDYGKVLEQDADPLVYLKEHLQLRHNLSKISHRFRMFLLLLFFSVTASQFAILYKTTAYNGPINFTNGGDIAVSSVVQVAGLVLCLHGAAKISHRAQNIAAIASRWHALATCSSDSTYVSTPNDSGNLVPFPAHMFLRDYSESDLESMESGSMQGNSHNTAQLASYMSSYHKRESLVLYLLTNPGGITLYGWIVDRTFLNTILMLELTLVLFVLSKTIVIPAKTLVNSYMSFL >SECCE1Rv1G0046680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:619330199:619331930:1 gene:SECCE1Rv1G0046680 transcript:SECCE1Rv1G0046680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATATAAATTAAAGAAEVISVHTLEQWTMQIEEANAAKKLVVVDFTASWCGPCRIMAPVFADLAKKFPNAVFLKVDVDELKPIAEQFSVEAMPTFLFIKEGDVKDRVVGAIKEELTTKVGLHAAAAQ >SECCE6Rv1G0390980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:202361492:202366460:1 gene:SECCE6Rv1G0390980 transcript:SECCE6Rv1G0390980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDIDGRGHELFPTVYALWKVPCLLPAFTLKGGEVSHFILGGADLMFPGISVPPEGLPSFQAGQPWSVKVPGNRAPIAVGTTTMSNTEALKAGLRGKALRIAHYYKDLLWSSADGRYVPNEGFYDDMVIDDPNFASASQHIDSSEDPSEGKQDKAAVDVSECHTEDLAINSETIEGVTASVSELNLPQEKITEEQTVEKDHQHLSTEEIDSLFDLCLLQALHTNVKDKDLPMPGSILWSNHILPCRPPGVTLDIKKSSHKKLSKWLQSKSSSGLISVKEDKHKKEVMLISISCKHPDYTAFKHQKRVQERVEQHDNIVADGSSTKQLEMDEIYKASSHVNPIFLAVDADTGKYYSASEAFDVVFRYVEKENLVKQTDKAKVILDATLCDALYKGAVKKGSAYPSEIHKKDLGSTFINRMQIHHRVARGNEVVIRKGAMRTVQIMTERRQGNKKMTRVSGLECFLLDADSLASELQKKFACSTTTAELPGKKGQHEVLIQGGVIENLAKHLVDHHGVPKRYIEVYDKTKK >SECCE5Rv1G0354070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:721540770:721542344:-1 gene:SECCE5Rv1G0354070 transcript:SECCE5Rv1G0354070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVKSVIVGEAVSRIISGISPTSKNEDKSYEESSGGGGIERLEMARIKMEAALQTSNKWQITDTSMLHWRKKVKHSAQDCDDAARRCRQLFREEDEAEQVARKSSFPRRVAHTTKTFITSFVGRGNDHCSAGRVTAAAVRRFERLAHDAGEFMRYVQLGGTPRHHLFLDPLIGHIFAGKTLTYQVLHPGGQYHFFRIRSVGFNDRGLEATVTFIYEDRKVPKNNFRLGVMMRLSESTDIIGTTVKCLRLVTPHFKCTADVVIREITHLPTQDFSYFAHVQHCNHIHRTLTEWFRPDPLCCQGYDHDVVPSSNAGSNCNKGGGNKFRFSSIFPESVCEVFLLRPISLSEYLSGSTIVTGHDDMSSLENFPPLKLGILLLPHDSLDDPKSPRPTIEVIDGKKQPLTHVNVHPDQLDKILLPKAINYLHHNAEAMTYQVCWRSNHGSAHLCVEKTSMERTSGARRSTRQGKNIKSTVMIHRVQQDQLMHNWKTVAEAFLKLWVVFSSEKLRRSFTSWVNVLGQNK >SECCE1Rv1G0003340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13586725:13593189:-1 gene:SECCE1Rv1G0003340 transcript:SECCE1Rv1G0003340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADEELARRLDDAAGTSNLFQVMRAVEDAEATIRHQLEENSRLKEELMRKTRELNAIRSEATNQTSSAGLLDQDRAVEPYRSSVGNNNTAEDQRLLGTSSSPPSSQATLPSHHHNGAAERGEQTLHDAVMKQKYLDSDQPGRVSRKPSGEHIAAEAGVRSHFSTPSSRSLSPTRNRKEGEYDSRLSLAGQGMEMSSNVLWKQDLLVKVKEHEEEIAHLRRHLADYSVKEAKILNEKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVSSLLPILSEYNLQPSVHDAQSIVGNLKVLFTHLQEKLIISEEKLKESQYQITPWRAESSNNTSGPAQSPPPGNALVASSQPSLDIVPQQPYSHVQSPISSPVRARRDWDLLANDNRQVIPSEAAATTTEHGNAGRTSPPSSNQITKDVSAPGTERDSRAVRFNLESEDQNPSFTDLVRSDVSENLEGAETQASQEPPAEWGSEGAPSLAPGPDDGNLPYPYLPTVLEEPSTSFSEVAEDDPLPAIDGLRITGEAFPGKELQASGYSINGTTSCNFEWVRHLEDGSVNYIEGAKQPTYLVTADDVDSLLAIEVQPLDDRKRKGEIVKVYANEQRKITCDPEMKELIKKILSVGHVSYEVLLPVRFIDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQQATAINIPYGHPTEFSIQSADGAEYNLKPGENSPSRDSIVLILRLFRMKAVEKSKGRKKGIFFK >SECCE6Rv1G0381080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:29387318:29389539:1 gene:SECCE6Rv1G0381080 transcript:SECCE6Rv1G0381080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEGGGGGGFANYAEFEEHFNNLALAVLSAQDRIDSIVPYLISLLPPPFVPAPDADDSSDSGDERFSLTSSDSEDDAAAADDRPAVQDGDGQDHISHLPDDLLSNIISRLPTNEAARTMVLSTRWRGVWAATPLLVDDAHLRAADELRAVSRCVAAHPGPVVAARVTRLSFDQQEYALQCLVANLAARNIQDLILFNRPWPLDMPLPDDILSCASLTRLYIGLWRWRFPDTTANSPAFPNLQELGLFHTIIEDREVDALLAHCPKLKILSFAMAYNFPSCLRIRSRSLRIVVEWLCSFDEVIVEDAPCLERLFFQSFADRRPVKIVHAPRLEVLGFLDLQLHALEIGGIVIRAGMNVRASAMLPSLKILAVKVRFSHATEAKMLHTLLRCFPRLQTLHVMFQSIGSRSPDDSVDRADFWQSMDTCDCLESHLKTLVLHGFQGLECEQLFISYILDKGKVLKTLSVVCVDIDSEDVGVEEGPISGSVGESNVSSGGSSSSDDDVVMEGGLMSGSVDEGDIPSGGSSSSDDDVVTEGGLMSGSVDEGDISSGGSSSSDDVVMVGGPMSGSVGEGSAPSGGSSDNDTIYFCPAASCWSFQNAIDLSVEDPFCVLLRKVRITSAIGA >SECCE7Rv1G0474630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:174602974:174605122:-1 gene:SECCE7Rv1G0474630 transcript:SECCE7Rv1G0474630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-J18 [Source:Projected from Arabidopsis thaliana (AT2G01905) UniProtKB/Swiss-Prot;Acc:Q9C5X2] MEMVEEGDAAAAAASAWPGSSRRSHLLQFLLHASNRLDLRPIVKYCALSFFDGRFLPALPRKMGFCGARSGRAVRSWLIEPLRDSNLELFALVAVWIASKIHEVRPLSVKSLKALSDRIIADQHFTCRDFADAELVFMEVVDYNVGCSNITFIYLEELLIQFREISKLGDLLSMDVCMEILDVLYETEDTSWLFDFPCPLAASTLVTAYVMSVPKQTWEFPILPWVRFTTSYAEEEIMKIVMTILMHVLKPDEIKENNRREFSI >SECCE1Rv1G0028010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:392225037:392228548:-1 gene:SECCE1Rv1G0028010 transcript:SECCE1Rv1G0028010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MALAAAPSRRFPTAPPRPPPSSPLQIHAHFRPQNPRRSPPPLLAAAAAVPLTAADTEGRKHELLRAVQETGRGSGASPDQRAAIEEAIVCVEELGAGEGAPLDLAALDGTWRLCYTSASDVLMLFEAAERLPLLQVGQIYQKFECKGRLDGGIVRNVVRWSIENLLEEQEGATLMVSAKFDVLSKRNIFLQFEEVAVENIKISEQLQALIAPAILPRSFLSLQILQFLKTFRAQVPVSGPERRSPGGLYYLSYLDRDMLLGRSVGGGGVFVFTRAQPLL >SECCE6Rv1G0451830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873811786:873814245:1 gene:SECCE6Rv1G0451830 transcript:SECCE6Rv1G0451830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTQGAVDSLVGVLKTAVTKEAKLLGGLQGDMEFIKDEMESMNGFLIHLTKTEGTHDDQLRAWMKQVRDISYIAQDCIELYRRDFMAPSSGRLHHYLVQLGRLRGRDRLARRIRDLKARVKDVAERRERYQVSIPKPKEDEGLPTEWLALDAEQASLDPDEIDEARENFVRALARDKEKHQHKQELSCFDEATKPIPSFEAIPSFEEAIGLLGSQDLRSMATKVQSCLLKHFSHQQARATVCLEMLLRALQDHSRVRRAVEKSKGELKKLVDEAGMDVNDFPTQVMIFCYSKLSRSYKSCLQYLYAFRDEIAISRTSLVRRWLAEGRVEKQADASKHADASLEEVAEICFKDLLFRGFLLPADDPAMVTSKVKTCKIDNIVWKFLRRMSTTENFVDTLPTHLDYQLRIRQYVKRQEEHLLKNLPRPRHSWSICGRGTMPPGDSTAADPDPMVDMLNFLISLDQTYRLNVLDLGGCKGLEKSHLKSICKIISLKYLSLRNTDVSHLPWQINNLVLLETLDIRQTKVQGQDMNQIYLRKLKHLLTSLKMATEEETLCWAGMPGRIGKMEDMEILSRVQVQPGKQELNEIGRLLKLRKLGVVLVGSQSQAQDNMNNLLQAITKLRECLCSLSIWITPPPTNNGDPSVPVNMEMVQEQSAPRLLKSLNIRGVRFLSTRLPGWIRELQQLSEITLCDTFLSKYSLQDLGNNLHHLRCLRLRRNSYNEHKLTFNKDGFQELRLLIIEGNIVTVVEFQEDMSCPRLKKIVWRNMALKQEGTLSGIRHLQGLEEVELKGCFADLTSITNNMGPNGKLIHVLHLQD >SECCEUnv1G0545200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:137736392:137739143:1 gene:SECCEUnv1G0545200 transcript:SECCEUnv1G0545200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G40190) UniProtKB/TrEMBL;Acc:W8PUX5] MAIFAGLLTFVSTLLALALRRLLRLLRRRAPDPAAAAGFFHPYTNDGGGGERVLWCAVRAVQDLCPDLPCAVFTGDADASPDVLAARALDRFGVRLLRPPQVVHLNKRKWIEASTYLRFTMIGQSLGSVYLAWEALNKFTPQFYFDTSGYAFTYPLAWLFGCKVLSYTHYPTISSDMVERVKQRNSMYNNNSLISGSIWLSRCKVLYYTIFSWLYGLVGSCSHLVMVNSSWTRSHIVNIWKVPERTKRVYPPCDTSALQMLPLERSTTPPILISVAQFRPEKAHGLQLEAFALALERLDSDFLKPKLQFAGSCRNKEDLERLQKLKDRAVELHIDELVEFHQDISYSDLVQLLGGAICGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPMMDIVLEEDSRQTGFLASRKEEFAEAILKVLRMPEPERLEIAAAARKRAQRFSEQKFHEDFTKAVRPILLGRS >SECCE1Rv1G0055840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:683302105:683305814:-1 gene:SECCE1Rv1G0055840 transcript:SECCE1Rv1G0055840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGVAAPVLILLACVAAASAAAGGGDHQHRRGGRASARLRLVPAAPGASLAERARDDRHRHAYISRRLASASPSRRRAAETSTAPGPEASAFAMPLTSGAYSGTGQYFVRFRVGTPAQPFVLVADTGSDLTWVKCRGASPSPSATPAASPRVFRPADSKSWAPIPCSSDTCKSYVPFSLANCSAGATPPAPCAYDYRYKDNSSARGVVGTDAATIALSGSSGGSGGGADRKAKLQEVVLGCTTSYDGQSFQASDGVLSLGNSNISFASRAAARFGGRFSYCLVDHLAPRNATSYLTFGPDNSAASSSRTPLLLDAQVAPFYAVTVDAVSVAGEALDIPAEVWDVKKNGGAILDSGTSLTILATPAYKAVVAALSKQLVGVPRVIMDPFEYCYNWTATSTPPAVPRLEVRFAGSARLQPPAKSYVIDAAPGVKCIGLQEGVWPGVSVIGNILQQEHLWEFDLANRWLRFKESRCAH >SECCE7Rv1G0463910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:57759194:57759619:1 gene:SECCE7Rv1G0463910 transcript:SECCE7Rv1G0463910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAIFVALSLLLSAVATHGCGPYCQPPVVVPTPPVAVPPPYHGGGAHRHGGQCSIDALKLRVCANVFGGPLGLKVGVPARDECCSLLQGLVDLDAAVCVCTAVRAKVLGIHLNLPLDISLLLNHCGKTCPSEFTCPAL >SECCE3Rv1G0167310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:201125734:201128795:1 gene:SECCE3Rv1G0167310 transcript:SECCE3Rv1G0167310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRLHRSLGGGDDEEWQEDDILGDTEGLCSLSPLQRLYGFAACLVAGLALMMLSLIVFARPIKFALLFTFGNIMAVGSTVFVMGVNKQLRMMLDPVRVYATAIYVGCAVFALIFALLIHDKLLTLIAIICEICALFWYSLSYIPFARRIVSDLMVKLCDTEL >SECCE7Rv1G0464420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:60937109:60940231:1 gene:SECCE7Rv1G0464420 transcript:SECCE7Rv1G0464420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGKAARATREAVPTVSRVAIIGSGVSGLAAAKQMAAYDPVVFEATPSVGGVWKHCVYRTTRLQTPRRNYEFSDYSWQNRDDPVFPTHIEVVDYLEGYADEFDLWRYISFGSKVVDIKFLCGAEAGFTELWSVTGRAPLRSKPMWEVGVATGGSDTVQYYKFEFVVMCTGKYGDVPRMPVFPPGKELEVFKGTMMHSLDYCKLSEEETVELMRGKKVVVVGYKKSAIDLANECVQANQGEGGHSCTMLVRTLHWMMPSYSIWGLPFFLFYSTRFSQFFYERPNQGFFRSLLCRLMSPLRAGVSKFIESYLSWKLPLGKYGLTPDHPFVEDYASCQLAFLPEGFFDMADRGLVRFKRAPDGWWLSENGVVLEDGTEVEADLVFLATGFEGTDKLREVLPKPFRGVLVNESSMMPLYRGTIHPLIPNMAFVGFVESASNLHTSELRCRWLAGLLEGRFELPSVEAMMGHVDGEADAMRRTTRFYRRHCISTYSIHDSDAMCDDLSSATHRKANWIAELFAPYNKEDYKQQ >SECCE4Rv1G0256910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:661360381:661362885:-1 gene:SECCE4Rv1G0256910 transcript:SECCE4Rv1G0256910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKDVSLFELWDKSSKARKLTSTSTPTPLSVEVESNLQLALVPTHDETPQPERERGSPTPIVEDDEAVDEDDESMPHFEADLAALERDPGKRLPISSYHVNDQDRVRRRYIDLGACQPKDHKFEIRDFSGHSRRFSPTWFKDHKWLVYSVEKEAAFCFVCYLFKDKTKTPEGDAFVNGGFNNWNMKARLKRHIGAVSSAHAEAQEKYDMFTTPTTSIRESIASNTSQYKALYKQRLTWTLKCVRFLLSQGLAFRGHDESEDSLNKGNFLELLNWLAGNFEEVDRVVLKNAPQNCKMTHHDIQQEVIKCCAQETTKLVIEELDGGHFAILADESSDVYQNEQLAVCLRYVDKKGREVVRFLGLAHVEDTTSLTLKAAIQKMLMNYNLTFAMVRGQGYDGASNMRGNANGLKKLIMDESPSAYYVHCFAHQLQLTLVAVAKESGDCTWFFQQLAHLLNALGMSCKKMRMLRIAQAEELIDALELEEVETGSGLNQEMGLGRPCDTRWGSHFKTVNHVICMYGALRRVLRKSGDEYHGAEAQAALSIETIFRSFEFVFMAHLMQEIFGYTDELCRALQKQDEDIVHAIELVSDTKYYLEALRTDAGWDDFLTKVTSFCTKHKIKVVDMEGPYFPIGRPRRGLCNGVTNYHRFKVDMFVGVIDRQLSELNARFDEVNTELLSCMAAFCPLHLFAAYDQEKLVRLATKFYASDFTSDELARLPWQLNMYVTNVRRDERFQNLKNLCQLSVMLVETNKHELFNIVYKLLKLVLILPVATASVERVFSSMSYVKNKLRNKMGDEYLKNCLVTFVEREFFNQVKDEDVINLFQKGDRKVIL >SECCE6Rv1G0387450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:112578361:112579350:1 gene:SECCE6Rv1G0387450 transcript:SECCE6Rv1G0387450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQNKEVAALIEKIAGLQAAIAELPSLSPSPEVDRLFTDLVTACVPPSPVDVTKLSPEHQRMREALIRLCSAAEGKLEAHYADMLATFDNPLDHLGRFPYYSNYVNLSRLEYELLARHVPGIAPARVAFVGSGPLPFSSFVLAAHHLPDAQFDNYDLCGAANERARKLFGTSEDGVGARMKFHTADVADLTQELGAYDVVFLAALVGMAAEEKAKVIAHLGAHMAEGASLVVRSAHGARGFLYPIVDPEDIRRGGFEVLVVHHPEGEVINSVIVARKAVDAQLSGPQNGDAHARGAVPLVSPPCSFSTKMEASALEKSEELATKELAF >SECCE6Rv1G0450880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:870255815:870259725:1 gene:SECCE6Rv1G0450880 transcript:SECCE6Rv1G0450880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVATMVVGPLLSILKDKVSSSLLDQYKVMKGMEEQHEILMRKLPAILDIITDAEQAAAHREGAAAWLQAVKKVAYEANEVFDEFKYEALRREAKRKGHYKELGFDVVKLFPTHNRFVFRNRMGRKLCKIVQTIEVLVTEMNAFGFKYQQQPPVSSQLRRTDHASTDPEEIKKIINESRANDKREIVSRLVGQANNEALTVIPIVGMGGLGKTTFAQVIYNAPEIQKHFDLLLWVCVSDNFDVDSLATRIVEAAPDKKDGGTKETAPNKKKTPLDKLQDLVSGQRYLLVLDDVWSKDVYKWKQLKAGLKYGGMGSVVLTTTRDGGVAEIMRTVDAYNLTALKAQYIREIIKTTAFSHVKKEEERSAELVNMVDKIVERCSGSPLAATALGSMLSTKTSKEEWEAISIRSNICTEETGILPILKLSYNDLPSHMKQCFAFCALFPKDYEIDVDKLIQLWIAHGFIQGKQVRLETIGKQIFNELASMSFFQDVEQVQATAEEMEYNRSCYSITTCKIHDLMHDVALSVIEKECALATEEQGNNESVVATEETCQSEWLPNTARHLFLSCKEPAKKLNSSLEKSSPAIQTLLCDGYMKSSLQHSSKYSSLQALQLCSHRRSFQLKPKHLHHLRYLDLSRSWIKALPEDTSILYNLQTLNLSGCEFLCRLPREMRYMTALRHLYTNGCPKLKSMPRDLGKLTSLQTLTCFVAGSGSSCSKVGELGNLNLGGQLELCNLAHVTELDAKAANLVKKELRELRLTWTFRWDYDEGYNSWRDNEEDAKVLENLKPHDGLHAIGIQSYGATTFPTWMTMLQNIVEIHLFYCRKLVWLFNGECDTSFAFPNLKELMLKGLVCLERWWEIDNDGMQGEAMMFPLLEKLHISKCVKLKALPGHPTFPMLQNVCIEGCPELTTTAKSPKLSVLKMEGREVELFLWVARHMTSLTNLKLTSIEHSTDTTSMGAEKSLREVVNGKENGNDQGFPLEVLVLRDFKSSVSVTELCACFVHLQDLSIMRCHELVYWPETLFEGLVSLRKLRIERCKNLTGYAQASAEPSTSSETSQLLPRLESLMIWGCGNLVELFNVPASLRTMSIYDCSKLESTSGRKQQQGQSVSSIHQGSSSIEELSLRYCNGLTGVLYFPTSLKRLHISNCSGLISLESRSPELPSLESLNLWRCKTLSSLPDGPQAYSSLQCLRISECPGLKTLPASLQQRLGSIEEEDIDAHLYGKPMLLRPKTWKYICKG >SECCEUnv1G0550100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:212550427:212554914:1 gene:SECCEUnv1G0550100 transcript:SECCEUnv1G0550100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWLARPAERCLGRGGCGSGAGGGGDGLLWHGELKPHASGEYSFAVAQANERLEDQGQVVTSPASTFVGVYDGHGGPEASRFLSSRLFPNLHKFASEQGGMSTDAIKKAFHATEEEFLHLVKGTWLKRPKIASVGSCCLVGAIANNKLYVANLGDSRAVLGHRVPNGRGVVAERLSNDHNVADEAVRKEVTQQHPDDSHIVVYSRGVWRIKGIIQVSRSFGDAYLKKPEFARDPMFQQYACPIPLKRAVITAVPSIKVRQIGQQDLFVIFASDGLWEQLTDQAAVEIVFKNPRAGIARRLVRAAISEAARKREMRYADMQHIERGIKRHFHDDITVVVLYLDSHKHDAQTKFGSLDSFKFTNAPADIFSPSSRTVEPTVL >SECCE4Rv1G0222280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55695974:55698035:-1 gene:SECCE4Rv1G0222280 transcript:SECCE4Rv1G0222280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBSX3 [Source:Projected from Arabidopsis thaliana (AT5G10860) UniProtKB/TrEMBL;Acc:A0A178UNP9] MQRAIQAIGSHGSVLKSAVLQHISVVKPAMLPAVFPRFMSVSPAQIEESGFESSTVADILKSKGKSADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGEDKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPDTRVLKAMQLMTDKRIRHIPVISGTEMMGMVSIGDVVRAVVSEHKEELSRLNAYIQGGY >SECCE7Rv1G0463900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:57756069:57756497:1 gene:SECCE7Rv1G0463900 transcript:SECCE7Rv1G0463900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAIFVALSLLLSTVATHGCGPYCQPPVVVPTPPVAVPPPHHGGGGAHRHGGQCSIDALKLRVCANVFGGPLGLKIGVPARDECCPLLQGLVDLDAAICLCTAVRANVLGIHLNVPVDISLLLNHCGKTCPSEFTCPAH >SECCE5Rv1G0337310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:590745157:590746968:1 gene:SECCE5Rv1G0337310 transcript:SECCE5Rv1G0337310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSARPLLPAAALLCLWLLVAVAEAKVHHYTWDISYQLKSPDCFEKLAVTVNGEAPGPTIRVTQGDTIVVTVHNKLETENTAIHWHGIRQIDTPWADGVAGVTQCPILPGETFDYKFVVDRPGTYLYHAHYGMQRVAGLNGMIVVTVPDGFVEPFSYDEEHTVLLGDWWHKSVYEQATGLSSNPFVFVTEPQSLLINGRGMFNCSLAPSGTCNASRPDCALPTLFTAVPGKTYLLRIGSLASLSSLYFEIEGHWMMVVEADGHYVRPFAVRGLFIYSGETYSVLVKADQDPRRNYWAASHVVGRSPSQTPSGKAVVSYAFNGNNPWMPPPTTPPASPAWNNTVIRVEQSRAIFAHPGYVVPMPATADRTLLLLNTQNRIDGHIKWTINGVSLMFPATPYLVAMKRGMTNAYEQRPPRDTYDHMRHDISAPAPTNGTVGSPVYRLALGSVVDVVLQNSNMLNNKSETHPWHLHGHDFWVLGHGQGKFNPAADAWRLLNVRDPIMKNTVPLHPDGWTAVRFRADNPGVWLFHCHVEAHVFMGMGVVFEEGVERVGRLPSSIMGCGRSKGLH >SECCE2Rv1G0115000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:744663972:744664587:-1 gene:SECCE2Rv1G0115000 transcript:SECCE2Rv1G0115000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAGSSGGGRSSLGYLFEPDEIFPIHRFKSNQETEKPPEDNVVLPPQDDKVMTGDEADHREPPYQAPPKRGEDSNPIVSHRPASIIYHTNQSGNNTGLLITDRPSTRVRCAPGGASSLGFLFSSETNVTDDK >SECCE6Rv1G0446140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842625017:842626369:-1 gene:SECCE6Rv1G0446140 transcript:SECCE6Rv1G0446140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPTIPDELLEEIFIRLPTPDALARASAACTAFRRVIKGRAFRRRFRTLHRPPFLGFMDAGGFHPAQAPHPSAPLAGALAPCAADFSFVPAVVSPSTYYVSPGVQEDDGEGPRWRPRDVRDGRVLLDWRSFYPRFVHIWSYREDSARREVSILMDSRELGDDQLCDRLTWTKRERCNAADFHLAVCDPLSSRYVLLPTIPEDLAAQPQDLLHGFEPVLAPNTRDDGEEEPFKVICIARYRTKLVLFALPSTTMQWFMVELPISPSLERMSCFDCVRCCFYWTKPSGWSDHLMVLDTRTLRFSTVDLLTGYHVELSDLPDECFAHRHPMAVVMGREGALDMFSLVCQSGSFALYHTSLKNNSQQWKLEKIIQLPGQYHSICTMRAAEGFLFFRGAPEGIYIGNVDCYSMEVKTYEITKVCTKMENIFYPRRALPYFSFPPLLSEPTI >SECCE1Rv1G0062380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719470882:719473239:-1 gene:SECCE1Rv1G0062380 transcript:SECCE1Rv1G0062380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTGIVASSIFATLKKLFKYDSVTGAASVELWVLLTTLLLVVRFLLDFFGPWYAYRAMAATVQVIQILNFSLVHYTLGLMQLSAKKVSDYFQIWAVLLVTLQYSVKTGRLYDRSKQMPVLDLMSSFWAASLIRMQTFFLLRIPLWLIWALNAIRIISYFASSDRAVTINQENMRLVSDYMRYEHTLSPSGDVAIGIQSYKYLVCGEDMVLRDARRQNKRSGYKIRLDPDNKKLVTIDKIWNVDSGSSGCGLLGYTEDTGNRLKDVCLSFALYKLLRRRFYNLPIHEASKEKTRQLVFNYILQGTDNYERAFRVTEVELSFLQDLFYSKQAGMFAAGFPVLSMMMSLFLVAATGYLAYPVHYIPERIDQADKGIITHGVIVTYIIIGLIVVKELSEVYLYVFSKWTKVLVICMYVKHSWLRHPVVKGAMRMLFWFIGGKWDQRIFQCNLLISYSRNQKHLTKRVKLESQVKTAVFDLFKGLQNHPERLEYYFLKAFGSNETLMKQLAWAVELEADTHRILVWHIATCLCEIHISAEVKKLQAVRHQRRRFLALQHYLLTAVRLSNYCAYLLNIALVPDNGIVITKILTAVRKETYRATGSSAHQSLPDIYDKLMDIATEPSKESEEVANRVSDVVRHVAPDGSVIYEQFLSAETANDEEDPNTTGGGSTTNSSIPATNREDTFAGDDDIHNSITQMGAKLGKQLIEAYGGDTIGLWRDLAVFWTGFLLNLAASTRAAKHKTRLVTKGELITHLWVLLSHAGFLGNTRHGQTLLDPHDLDEIDPLS >SECCE2Rv1G0134220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900777703:900779586:-1 gene:SECCE2Rv1G0134220 transcript:SECCE2Rv1G0134220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLEATLKSQVPALLLRRLFGAGARRDEAAAAKPQHHHQAPPPAGRRRVFVQTESGCVLGMDLDRGDNAHTVKRRLQLALNVPTGGTSLTFGDRVLENDLSSIRHDSPLLLTRNSIHRSCSTPCLCPVSEDFEQKDCGGLVEILGSSSGSVRRLVDDVATGIMSGLDPVPIDSGLGGSYYFRNDEGDRVAIVKPTDEEPFAPNNPKGFTGRALGQPGLKKSVRVGETGFREVAAYLLDHENFANVPATALVKITHSVFNINRPMNGGTPAHDHKPQVTSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHGGNVLVRKLDGGTGRFGCQTELFPIDHGLCLPENLEDPYFEWIHWAQASIPFSEEELEYISKLDPMRDAAMLRGELPMIREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMTREFRGMEEEPSKLEMVCMEARRKVDEWEPFSPSVEQGEDMDFQFSMDVLGGYSDVIRSPRFNCLGLKGSFRNPLSKLVESMNEDSGDEEDRKEPSMHSSDRFSSAEFSTASLQRTTSSKTSSNSSVHAPKRSADEQLPSSLCFVRLSDMSAEEWHVFVEKFQELLKDALEECKAATGQRMKQRLGTSCKF >SECCE5Rv1G0342390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631994197:631994991:1 gene:SECCE5Rv1G0342390 transcript:SECCE5Rv1G0342390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLITRLLTLALGYAYPAYACYKMLEVHPPQIEQLIFWCQYWVLVATLTVVERFADLAVSWLPMYGEAKLLLVLYLWHPSTRGAGHVYDGCLRPLVARHEADIDRGLLELRTRARDVTASQLKAAAAVGQAWLVEAARCVSSQAHAARSGRAGAAH >SECCE4Rv1G0260130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:693515349:693515921:1 gene:SECCE4Rv1G0260130 transcript:SECCE4Rv1G0260130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTLGSIGDVLKLLPTSTVIVYEVLNLIVTNAGAWSVANRAVLLVLCALSCAFLAFTDSFVGADGKVRYGLVTPKGLLPFGGSADDDTVVRDFSKYRLRPADFAHAFFSVVMFPTVALLADANTVALLADANTVACFYPALREQQKQVVMALPVVVGALASVVFVVFPSKRHSIRYPLAKPAASSLAS >SECCE6Rv1G0409540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:589958448:589960899:-1 gene:SECCE6Rv1G0409540 transcript:SECCE6Rv1G0409540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAMLWLLGAVLTLGAAATPAEGSKSHHYDFVIKGTNYTRLCHEKTILTVNDQFPGPTIFARKGDVVIVNVINQGNKNITIHWHGVDQPRNPWSDGPEYITQCPIQPGSNFAYMVILSEEEGTLWWHAHSDFDRTTVHGAIVIHPKKGTTFPFKKPHKEIPIILGEWWNADVNRLLEEAKRTGGEVNISDANTINGQPGDLFPCSKNGTFKVHVESGKTYLLRIINAALANELFFSIAGHRLTVVGTDARYTKSLTVDHIMISPGQTVDALLEANRSTNSSSNGRYYMAARTFASNTGIDFNNSTATAIVEYTDAPRATHAGTPDIPNLPAIDDIDAATAYTEQLRSLASKDHPADVPAHIDEHMLITIAVNLLPCAGNTTCDGPLGSRFAASLNNVSFQTPSLDVLDAYYGSVRGVYEADFPDKPPFFFNFTDDNIPTERWFTKRGTKVKVLDYGAGVEVVFQDTAILGAETHPMHLHGFAFYVVGRGFGNFDKQKDPATYNLVDPPYQNTVSVPKAGWAAVRFRATNPGVWFMHCHFDRHQVWGMDTVFIVKDGKTPETKMMRRPAGMPRC >SECCE7Rv1G0470590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:122522453:122524822:1 gene:SECCE7Rv1G0470590 transcript:SECCE7Rv1G0470590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPSTSGTAATASDPDSALVAAVADALVSASRLPAPVPMPTLLAAYLPRLTASHHPRVLAVAASNPALASPEPLLAYRSFLSPPSCLPSLLPLLPVLPYRHLFPLLLSFVPLDPLRHLHRHLLGHLPSTPLADAALCAYSRLRLPHLAAQLLHSLRRRIRVRPSLQAANAVLSALARSPSTSPQASLDAFRSLIALRLHPNHYTFNLLVHTHCSKGTLPDALGTLSTMQGFGLSPDAVTYNTLLHAHCRKGMLGEARTLLARMKKEGITPTRPTYNTLVSAYAKLGWIKQATKVLEAMTANGLEPDLWTYNVLIAGLCQAEKVDEAFRLKDEMERLGTLLPDVVTYNTLADACFKWRRSSDALRLLEEMHDKGVKPTLVTRNIVIKGLCKDGDLEEALGCLKKMADEGLAPDVITYNTLIDAYCKAGNVAKAFALMDEMVGRGLKLDTFTLNTVLYNLCKEKRYEEAQGLLQSPPQRGFVPDEVSYGTVMAAYFNEYNPEPALRLWDEMIQRKLTPTISTYNTLIKGLGRMGRLKEAIDKLNELMEKGLVPDDTTYNIVIHAYCKEGDLENAFQFHNKMVENSFKPDVVTCNTLMNGLCLQGKLDKAMKLFDSWVEKGKKVDVITYNTLIHAMCKNGDVDAALHFFTDMEVRGLQPDAFTYNVVVSALSEAGRPEEAQSMLHKLSESGKLSQSFSSPILKPSSVDETESGKDHEGNTEEETGGNPPDSALEAYKKRVNELCTSGQFKEAKAILDEMMQKGMSVDSSTYITLMEGLIKRQKRQTHAAG >SECCE5Rv1G0305280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:69120334:69125169:1 gene:SECCE5Rv1G0305280 transcript:SECCE5Rv1G0305280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASAHALTVDSLNPKVLALADHLGGAVARRAQRMQKELETNPALYPFSEIVYCNLSNPHYLGQQPIKFFREVLALCDYPHLLDCNVTSSIFSSDAITRAREILDLIPGRAMGGYSHCQGTEGLRNAIAAGIATRDAFPCNAEDIFLTDGAAAPVHMVMHLLIRDEKDGILCPIPSHFLYTSSMALCGATLVPYYLDESGGWGVSISDLKKQLDGARSEGITVRGLVVVNPGNPTGQVLVRENQCEIVEFCRNENLVLLADEVYQENVYTDEKKFNSFKKIARCMGFGEGDISLISFHSVSNGYYGECGRRGGYMEVTGFNSEVRKQVYKVASLSACSNIAGQILMSLVMNPPKVGDESYTSYWEERERIMLSLSRCAEAMVQAFNSLEGLTCSKAEGAMFVFPSVRLPKRAIAAAEAMNTKLDVFYALRLLENTGIVVLPGSVFGQVLGTWHFRCTILQQEEKVQLIIYRFKAFHEAFMEEFRD >SECCE1Rv1G0035600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:500023586:500028479:1 gene:SECCE1Rv1G0035600 transcript:SECCE1Rv1G0035600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNPAAADAAGLQKKLEAAAGGSEGPPPAYAAAVGVAVAEQAPPRRLAPARKERVCTAKDRISRMTPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYTRDVEEMQMISKEDYLVSLRRKSSAFSRGLPKYRGLPRQLHNSRWDASLGQLLGNDYMNLSCGKGIALDGKFAGSFGLERKIDLTNYIRWWVPKKTRQSDTSKAEEVADELRAIEGSVQLTEPYKLPSLGLGSHSNPSSAGLSACSILSQSGAFKSFLEKSTKLSEECTFSKEIDEGKVAVSVSTTGHHTSPVDINMNGLLVQRAPYTLAPVMPTPMKSTWSPADPSADHLFWSNFILPSSQPVTMATITTTTFAKNEVSSSDPFKNQEYEHTK >SECCE7Rv1G0519130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863495438:863497120:-1 gene:SECCE7Rv1G0519130 transcript:SECCE7Rv1G0519130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTLHIMAILLVYFVLKNKRVLLSEQQRGRRGRLPPGPARLPIVGNMHQVILNKPAVFRWIHGLLKEMNTDIMCLHLGATHVIVVACPQIASEVLRKNDEVFASRPTTFASSLFSFGYKGSIFSPHGEQWKKMRRVLTAEILASSMERKLHHLRKEEYNHLVRYINNTPSCIDMACPNKIVNVRHVTQHFVGNMIRRLVFGKRYFSDLQSSSTSGPGHDEVAHVAALFTALNHLYSFCVSDYFPALVGLYLDGHEKVSKDAMQTINRLHDPIIEERIRERSSSPEKGCEEKEARDFLDVLVHLKDAEGQPLLSLQDIRAQTAEMMFAAVDNPSNAVEWALAEMMNVPEIMQKATEELDAVVGKDRLVQESDIPPLNYLKSCIREAFRLHPYHALNVPHVAMADTTIAGYTIPKGSHILLSRLGLGRNPKIWTESLKFQPERHLTTVNVLLTDPSLRFISFSSGRRGCPGISLGTSITVMLFARMLQGFTWTKLPGVKSISLQEGNAGLALAEPLVLQATPRLAAHLYV >SECCE5Rv1G0334620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566907016:566907432:1 gene:SECCE5Rv1G0334620 transcript:SECCE5Rv1G0334620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVESHRAGAEVHKGNVICKKKTVELLEELGLPKGLFPMDDIEEVGHNCESGFVWILHKKKKEHTFNKINQTVSYDTEVTAFVEKGKMKKVTGVKIEDVSLVEVYVDESSSDKVIVKTDTGLSDTHDASVFALGE >SECCE4Rv1G0250380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:591593128:591594780:1 gene:SECCE4Rv1G0250380 transcript:SECCE4Rv1G0250380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPHVMVLPLAAQGHVTPLMELSHRLVEHGLEVTFVCTEPTHALVLDALRLRQATVDGIHLVSMPDGLADGDDRRDLGKVLDALSRCMPGYVKELIRQKKVTWLVADANLGSLCFEAAKKLGVRVASFFPASAACLGTLSRIPHLIEDGFFDDKGVPKRREAVELAPEMPPVYTSHMLWSVDGGPDVQHVVFQLVCRNTEAASLADVVVCNSFVEAEATAFELFPDIVPIGPLLADPGKPVGQFLPEDTRCLGWLDAHPDSSVVYVAFGTSTVFEPRQFRELAEGLELTGRPFLWVVRPDFTSGAGISKAWFDEFEGRVAGKGMVVSWCSQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPFLCWSRLKVDQYTNRSYICDIWRTGLAVSPGEDGVVTKEEVDTKLKQVMGDHGIAERARMLRDAARRSLGEGGSSYENFKRFISLLKE >SECCE6Rv1G0390530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:186341698:186347761:1 gene:SECCE6Rv1G0390530 transcript:SECCE6Rv1G0390530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASAGAGGNSLPSVGPDGQKRRVCYFYDSEVGNYYYGQGHPMKPHRIRMTHSLLAQYGLLDQMQVLRPNPARDRDLCRFHADDYISFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGASVGGAVKLNHGLDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKHHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMAKVMEVFQPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGQELEDKMPVNEYYEYFGPDYTLHVAPSNMENKNTRYELDNIRTKLLDNLSKLRHAPSVQFQERPPDTEFPEPDEDEEDQDERHDDPDSDMELDYHTPLEDSPRRITIQGTRVKRGSAGAETKDQQDGSRVTGEHRGPEPMAEDIGLSKQAHQADANAMAVDEPGNVKTESGSSTKLPDPPAIYQKP >SECCE4Rv1G0219230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:29028440:29028915:-1 gene:SECCE4Rv1G0219230 transcript:SECCE4Rv1G0219230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGGARRGAVVVAAALAVACCCMGVASAATYYVGDGGGWSLSSGSWPNGKQFRAGDVLVFRYNPLIHNVVAVGEEGYSRCTTPPGSRTYESGNDAVMLARGDNRFMCTRLFHCNFGMKMVVNAA >SECCE3Rv1G0146200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:10632052:10634821:-1 gene:SECCE3Rv1G0146200 transcript:SECCE3Rv1G0146200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQHGGVLIGGVRFESQRFRLLSIVVGCFLISVTFLLSTRPEATAFDTLSPKMAWLEETRSTPARSAIKTVKSSSSSPRGLGRDFLVDVAPKLGDAHGQQPQQSATAGEKTETEWVKDTVIIQESSAVAAERAEQEEAEQGHSADAGASEDATPGATEEVVRDRAVPTTAAAITALPAVETTPTPATTTRHDQDQLLPVPDEETRKADGRMMKLQAESATTEQQQQQLPTPGRLETPEPERAAGDQPQQQPLPPLCDFSDRRSDVCDFTGDIRMDANASSFVVVVDAATAAQSHKVRPYPRKGDQTCMGRVPEITVRTTSSSSTPPPPRCTTTHSVPAVTFSIGGYTGNIFHDFSDVLVPLYNTVHRYRRDVQLVMANVAPWWLVKYDKLLRELSRHAPLDLAATAAKGETHCFRQAVVSLRAHRELIIERDRSPDGLATPDFTRFIRRALSLPRDAPTRLADGTGRKPRLLIIARHRTRILLNLADMMRVAEEAGFEAAVSESDVGDSISRVGAEINSADVLVGVHGAGLTNMMFLAPGATMVQVVPWGGLQWIARMDYGDPAEAMGLRYVQYEIGVEESSLKDRYPRGHKIFTDPTSLHKKGFGFMRRTLMDGQNITLDLARFRGVLQQALGNYLLVQ >SECCE2Rv1G0079650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:121692819:121697256:-1 gene:SECCE2Rv1G0079650 transcript:SECCE2Rv1G0079650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVHKKEAVGTACPEDNGKGKDGSTTDEQYDPQGHPLPPSDLKSIKEWLKKTSAIPANSVATSTIIPDGTPKWVPDVFFDILPRLRPILRKDSARSFLRFLNQNGRDMTVGYIIKPEALNELVVSNALRCATLVLVGKNPELHGFRADPNCMTQFGFLPLHRAAEMFSVDVIELLLHHGASANLRTSGALVIDNLLPVHVAVENTCLHKYLEDGLHLNREATDYSDADVNYILKLVHILCLPEMKIFLDTIRLLAKHTDNLLDEVCKYIIDGKLIQTAVLLLAAQEQIRGVSSSKKDCNSKPDGFAIITNCVVGKITAIQLEMCHKGLELEPLEAEKKLFDMTLILVHVISQAGEALDSYIRDHPKLAASFYQDRALTWKVPYIIPVPHAEVLERVSLILKDYGLCSGGGIDIGNLCPYENVVSTEELPHKLGPMARIKAETDKPCLENVEAKGKKGPGGWELKLARRSFFPYWRSVLTSQFHVKEDINSLNKSSTRHVSTFMGRTPQLKVNYQPKRLFCTAALTLLKALRNA >SECCE6Rv1G0433330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:758187147:758192581:-1 gene:SECCE6Rv1G0433330 transcript:SECCE6Rv1G0433330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEEAMAAAAGFWAEVPVRVDWAAVAAQCAWVGQWARALVVVPGIRLLVVLSLAMTVMILLDKIFVAAVCYTAKAFGHKPERRYKWRPITASACKTGSGDEEAGGGGSAAFPVVLVQIPMYNEREVYKLSIGAACALEWPADRVVIQVLDDSTDPVVKDLVQIECQRWKGKGVNIKYEVRGNRKGYKAGALKEGLKHDYVQECEFIAMFDADFQPEADFLLRTVPFLVHNPDIALVQTRWKFVNSDECLLTRFQEMSLDYHFKFEQEAGSIVYSFFGFNGTAGVWRISAIEDAGGWKDRTTVEDMDLAVRTALKGWKFVYVGAVKVRSELPSTFKAYRFQQHRWSCGPANLFKKMFVEILQSKKVSFWSKLHLLYDFFFVGKIAAHTVTFIYYCSVIPLSVFFPEIQIPLWGVVYIPTVITLCKALGSPSSFHLVILWVLFENVMSLHRIRAAVTGLLDAGRVNEWVVTEKLGDANKTKPAMEVLDAVKVIDVELTTPLVPKLKEWRIRLWDKYNCSEIFVGTCIILCGIYDLFYANKGYYIYLFIQGLAFLVVGFEYIGRRPPTPSSE >SECCE3Rv1G0193520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:770903526:770905487:1 gene:SECCE3Rv1G0193520 transcript:SECCE3Rv1G0193520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAAPAASEIAAVGVIGAGQMGSGIAQLAAAAGCGVFLLDSDPAALSRAVASISSSLNRLVSKGQLSQAVCDDSVKRIKCVSDVQELRSVDLVIEAIVESEDVKKKLFVELDRITKPSAILASNTSSISITRLASATSRPSQVIGMHFFNPPPIMKLVEIIQGADTSEEVFSRVKSFSERIGKTVICSQDYPGFIVNRILMPMINEAFWTLYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDNKYSPCPLLVQYVDAGRLGKKRGLGVYSYGRSTSSIKPKSSL >SECCEUnv1G0529100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6464488:6467537:-1 gene:SECCEUnv1G0529100 transcript:SECCEUnv1G0529100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPTQQKQEHQKINEVRGLLGSLTEEMASFLSDATIRRFLRARNWNMEQATKGLKETVKWRREYRPDAISWEDIAEMESEARRTHLADYLDKNGRSVLVVNLTMKSKVSAKEQIKHLVYLLEYLATTSADEQDDYVVCLTDFRGWSISSTPLSMTRESMHIIQNYYPGLMAVTIFFDPPRIFQYFWKIAKNFVQPNMKDRVKFVYANTPESLKIIADMFDLDMLESSFGGRSTSTAFDINKYAERMTRADKMRGASKNKN >SECCE4Rv1G0274640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785801645:785802736:-1 gene:SECCE4Rv1G0274640 transcript:SECCE4Rv1G0274640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNINRSSNAPISVHRVMFGPEGWADLPHGLLHSIIVLLGSTRDLIAFIATCPSWYASFMSIKSTLGKLFPPVIFRNGAKQTSSAGLNIGNTWELIDPAYPSTPLCRLTPPNILDKMAVVKCSDGHAIFCYDRSLVILDVLTGTTVAAPPFPLNQLCYRTFISPEASPDSYLFVSSLHCLYAWRVGSPSWLHCDFLNAHLIKEMVSFKGRVIMRIRQKLYTVHLAPQFHVEALRVDCRDYMDPYVLSGNLVACEDTLLLLGRNGEAFSIDFSTEPAKYVRVEEGGLKKWAFFFGEKRIGHPRHLVNPERTGLRGGLVYQLDENARVFSYPVDGNQNEELEPEPCFATINAHLARSPASFAAWV >SECCE3Rv1G0171430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:271583013:271589500:1 gene:SECCE3Rv1G0171430 transcript:SECCE3Rv1G0171430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14T [Source:Projected from Arabidopsis thaliana (AT1G55550) UniProtKB/Swiss-Prot;Acc:F4I1T9] MDGPSEKTMRSLPDTLSSLKGFNKYLTPGWIESVSHILKELTPTKPQKVMEEEAQNVFECDDTELDIKVAKIQDEMVSLGAQLKQKTLQKRESLNNYLDLKGSIRVFCRMRPFSHEESYSSRTMFTLDESNVFLKVAETKTKQYKFDKVFDPCSTQGDVFSEVEPVIKSAIDGYNVCIFAYGQTGSGKTYTMEGKPKDLGVIPRGVQVLFDRASESNSRFQFTFSMLEIYMGNLRDLLVPGSKTNGLKNVPSLSIKTDPDGGIEIENLVAVTVNNFQEVKRLYGVGTRLRSTASTMANSTSSRSHCLIRISLTSFDAPERKKARNKIWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKPHVPYRNSKLTQVLRDSLGCESKTLMLVHIRPNENDLCETVCTLGFATRVRSIRLESEESPEVKTRKEDFLKELEQTVNNLEQECENIRREIKKLEDTMEHLRGPQTSASTNFATSHPSTQELKIDMPKNVRSLKNHREVPRGLPRFMKPTAASQHRIGLNNNVPSINRMKPPMPPKRRPSSVYAESVRFPVNADTWQSECSSECSISMTSDMNWTPSIQDGTECSQDASEYEIKQVVFSEHEKPSQGQVISFKEWQLTESENMQKKTEERSIIDIDNWIHQQILQSAGTCQSKRVLTVPNVTEEETFNIPSPIKIEGTKGCKQAQEEESELTLQPPPLDAKDIKRPNAVNHFATAELCSPPSREHCSTNETKKRMNENLAYQGRSRRSLQEKLDGCMLKLPESDPSTSPEIRSQEEEHNIGKLTKFFQALQTAWGCVRLGLGIVNLGLEHEFFQSLIL >SECCE3Rv1G0189980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:733418384:733420369:1 gene:SECCE3Rv1G0189980 transcript:SECCE3Rv1G0189980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPDPPLSPSLFNSLIASRARAGRAADAFSLLARMLAACVSPTAFTFAPILSSPSVCPRRAAQLHPQILKRGLLHSDPYSGTALLGCFARHGRLGEALDLFGEMPARSVVTWNCLVSSFKQHGRVEDAVFWFRELVRSGDGLSEGSLVAVLPALVSPDPVHGLAIKTAMDSFSAVANSLLNCYCTCGTVCAAEKLFDGLMFRDVVSWNTMVTAFASNNFPRRAFELFSAMHGQGVCPDETTFSSVLYACTSINAQEHGKSVHAKSIKHNVNTTVFVSTSLVDFYNKCVGRRDALKVLEEVPHKSTSCWNALLSIKSDGDFPSLFMILRDMLRSGISPNEFTFSSLLKDPLLLDVHQIHSLVTKLGYEGNDYVSSAIISSYVSHGFVSDALAYGVTLDPDSCNVSMNVLAGAYNRAHMYQETKELLLHQQTSDNISWSILITACARNGDYAEAFGLFRQMRILGHHFDNYVAVSLLSICTKVNSLVLGRLVHGVIMKTSYGCSDTHTNNMLLDMYAKCGRIEDCLKAFEEMEDRNIISWTAVISGLALNGFSRKALAWFKAMEEAAVKPDKVAILAVLSACRHGGLVQEGMEIFKRMEADYSTEAGMEHYICVVDMLCKCGHLKQADSVIRGMPFRPSTIIWRTFLQGCNTYGMLDTQVFS >SECCE1Rv1G0063780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726672573:726673853:1 gene:SECCE1Rv1G0063780 transcript:SECCE1Rv1G0063780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTMLLLLLLCATIAARAHAQPAAEETPPGAGVRVSFRPSVAIVVGIFTMIFSLTFLLLMYAKFCHPANTPLLPPTTIASPSRAPAPATTEEEPTAGVGKAVIESLPFFRFAALRGARQGLECAVCLARFDDADLLRLLPRCRHAFHLHCVDRWLHSSASCPLCRARVHPDDADLGLKYAAASARFVFGAAAEDAPAPSSGRDLLAGIFVERVPSSRFGGDVSVGAGDDAGAADDSSKKVELDRHRHRIVVSDSVFKSRWSDLNSADLIALDTEMLRSVSSGRFPYPYPYPDDDIILAAEERPPPKRDRDDQHGMSIEKKRLLDVESGSGRKAAPSLGLGGCVGSDAVEPSMHLGSSSAAARLVSSGVRSMSEIVRLPRVAAARTEEEERARQRWVPIARRTARWFASRSREEEEEVNAADRLRV >SECCE2Rv1G0086140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:203636644:203641137:-1 gene:SECCE2Rv1G0086140 transcript:SECCE2Rv1G0086140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNEPSSELEWDEPLGRVPIFSYGSGHMLNDITSSCWFTYLLVFLTDVGLSPSDAAIVMLSGQLADGFTTIFVGELIDRFGHFKLWHAGGSILVAISFSSVFGSCLPCKLTGTDSSTLETVGYSIFASIFNVGWAVTQVAHMSMVNCMTSNPTSRVALVSCRNAFTMIANLSLYGIALLIFTLMQSVSVLVQYRWIAYTAISIGCCFVGAFLIGTKEPGLNQPSQDKSLSRISWAHWFKKVLYYQVALVYMCTRLVTNVSQAFLAFYVINDLGMHQSSKALVPAIIYVCSLVVSVMLQETRWSGWRLKNYFSAGAMIWILSGIGIVLLPSRMHNFMYALSIMIGAANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFIDKVSCGIALYILESYQGSTKISLNQELAYGYSVTRLGLGLVPAVFSLLSAIVAHTMDLPEARRRPLVEPLLA >SECCE3Rv1G0199700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:847860053:847860427:1 gene:SECCE3Rv1G0199700 transcript:SECCE3Rv1G0199700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVCELEQKLERSELQALLMQEELAAMKMKAEESEATRDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE5Rv1G0374060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861883661:861885158:-1 gene:SECCE5Rv1G0374060 transcript:SECCE5Rv1G0374060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCHSWICAELRKDLPGRPKCPKNDPDRCHYDIQYVFGKSKGYLVNDMMFINGRDDKNCFFGCGYEQEEPVDKSSPPPVDGILGLGRGNVGFVAQLKVHEKITKNIIAHCLSIHGNGNLYIGDFRLPNGHITWVPMSRSLPYYSPGPATLLYDKHPIRDNPAFNVVFDSGSTYTYMPGQIYHDLLSKVQETLRKSPLKKVYDPALPQCWKGKMPFGSVDEVKNEFKALSLKITHAHVTSNFNIPPQNYLIVTKYGNACLGILDGSSHPLLKHLILIGHVTMQDLFVIYDNNSNRIGWIHAQCNAMHDLESVMDSRL >SECCE7Rv1G0466170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:76939945:76940576:1 gene:SECCE7Rv1G0466170 transcript:SECCE7Rv1G0466170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSPESYSHGDDSEPISRRGKRSSRGASDGGAATPYAVPLVIRTAAAAARSTPTRAPGYSPKLSVDMASTHSWAPYTASLRRGPGADLELGAAKELIAGLYSHATPFAAARRFPEGEVYVCLDRAPLAGKMQWIQQHLMRAEVSYGDDLTAACAGYASGIGSALDEITRVEDRRGGAPVLYDRAAFESAFLLTWTQP >SECCE4Rv1G0253970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:631871994:631875446:-1 gene:SECCE4Rv1G0253970 transcript:SECCE4Rv1G0253970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLATDVRLSVAHQTRFALRLASAISSDPESATSNVAFSPVSLHVALSLITAGAGGTTRDQLVAILGNEIAGEPEELHSLAEQVVRLVLADASITGGPRVAFANGVFVDASLSLKPSFQELAVCNYKSEVQSVDFQTKAPEVASQVNSWVENVTTGLIREILPEGSIDYNTRLVLGNALYFKGLWTEKFDESKTKYDNFHLLNGNTVQTPFMYSTKKQYISSSDGLKVLKLPYQKGGDNRQFSMYILLPERRDGLWTLAKRLSTESEFIEKHIPTEKVVVGQFMLPKFKISFGFEATNLLKSLGLQLPFSTEANLSEMVNSQVGLFLSSVFHKSFVEVNEQGTEAAAATSVMIEPQQMPIVMDFVADHPFLFLIREDIAGVVLFIGHVANPLVSS >SECCE5Rv1G0316190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:311674473:311674967:1 gene:SECCE5Rv1G0316190 transcript:SECCE5Rv1G0316190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKPKYPSFYFIELVSIKIDGYVLPVPPSLFTNGGTLLDSGTILTYLPAAAYTSLCDRFKFTMKGNKPAPAYDILDTCYDFTGQSAIFIPAVSFIFSDGVVFDLNFYGIMIFPDPSKPAIGCLAFVSRPAAMPFSIVGNTHQRSTEMIYDITAQKIGFVPFSC >SECCE6Rv1G0414900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:637787844:637789338:1 gene:SECCE6Rv1G0414900 transcript:SECCE6Rv1G0414900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEHDFHMAGGEDDNSYAKNSRLQENALVETKTALEEAVTQVYAALLHPTMTIVDLGCSSGENTLSFVSNVIEAVAGHLEKLGRHPAELQFFLNDLPSNDFNQVFRMVQHFKNSIGMGHKGDPMPAFHIAGLPSSYYTRLLPRNSVHLFHSSYSLHWRSRLPDGLHGKKRSYLNEGNIDIAMTTPPFVVKMYQEQFEKDMLLFLRLRHEELVVGGQMMLTFLGRKHDDAYNGGLCRLHGLLSRSVQYLVDEGLVEKEKLDSFNLPLYGPSMEEVKAVVEQSQQFRMNHINLFETNWDPYDDSEGNDVHDSVQSGINVSKTLRAVMEPLFASHFGESVIDELFKKFAYYVEVHLEKEKTKYSVITLSLTRI >SECCE7Rv1G0454320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2162157:2163810:-1 gene:SECCE7Rv1G0454320 transcript:SECCE7Rv1G0454320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRTMWVNGPIIVGAGPAGIAAAACLHTRGVPSVVLDRDDCLASLWQRRTYDRLRLHLPKHFCQLPGMPFPDHYPEYPTKHQFVAYLESYAAAFHVRPRFRQSVVSARFDHAAGLWRVQASDQDTAGGAITEYIGRWLVVATGENAERVIPDLDGADAFKGPVTHVSEYKSGEPYKGKRVLVVGCGNSGMEVCLDLCDHGARPSMVVRDGVHVLPREMLGVATFSVAVFLLRFLPLRLVDRLLVLLAGLFLGGDLARLGLRRPSHGGPLELKNSKGRTPVLDIGALDKIRAGEIKIVPGVRRMEAGGAELVDGRFVAADAVILATGYHSNVPQWLKGSDFFSGEGYPKVEFPEGWKGESGLYSVGFTRRGLAGLSLDAVRVASDIAAEYHTTSPSTVPQPTQLLPCSQAET >SECCE7Rv1G0460060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:29109872:29111412:1 gene:SECCE7Rv1G0460060 transcript:SECCE7Rv1G0460060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAAAAVPRMKLGSQGMEVSAQGLGCMGMSFAYGSPKPDADMVALIHHAVAAGVTFLDTSDVYGPHTNEILLGKALQGGGVREKVNLATKFGSFFGEAGMGVRGDPAYVREACEGSLKRLGVDCIDLYYQHRVDTTVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITSVQMEWSLWSRDSEQDIIPTCRELGIGIVAYSPLGRGFFSLGPKLTASLSDDTDLRKLLPRFQPENLEKNSLIFESVNAMATRKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNVGALSVRLTPAEMAELESYAAAGDVHGDRYPQMMSTWKDSETPPLSSWKVEC >SECCE2Rv1G0116830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:767877100:767878760:1 gene:SECCE2Rv1G0116830 transcript:SECCE2Rv1G0116830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTESRSRMGSIKPMGIQLVECVRGSHLSFRSSQALVLVLTFLSYASYHATRKTTSIVKSVLDPKTTNLGLLHWPSNLYLQELKGAANNTALQSGWAPFNGEDGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTIGMVGTGLFTAAFGAGYWLNIHSFYYFLAVQMIAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWSWSFAVPGAMIAVVGLAVFLFLPVDPEVVGVEQDRRVKDYEKNDMGVPLLEVHSSGRDEAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYLSHTEIGGEYLSDSAAGILSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFLYRVYGSISLTWNVALMFVTGVLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSGVFTMLMASALVAGLLLSRLVVAEISAKMAASRRPDGSAADLPVSSLEEP >SECCE4Rv1G0220050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:34880176:34881494:-1 gene:SECCE4Rv1G0220050 transcript:SECCE4Rv1G0220050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 3 [Source:Projected from Arabidopsis thaliana (AT1G14730) UniProtKB/Swiss-Prot;Acc:Q67ZF6] MAIGGIIGGHQRHSVVASRVAMFAHLLFLTTAVLMLVWLLRFRGGINIQSDDPEQIFNVHPFVMTWGFILLIGEAILVYTTIPMNHRAQKMVHMLVHLVAFILGVFGIYAAFKFHNVAVVPDLVSLHSWIGIGAISLFALQWLIGFAVFWMPGTHEHTRAAAAPVHVAGGLVIFLLAVCAAQTGLVQKSASATPGTEARLINVTGLFIVFYGVTVAATVMLRIATRYQ >SECCE5Rv1G0338530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:598847788:598850618:1 gene:SECCE5Rv1G0338530 transcript:SECCE5Rv1G0338530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWFCCSQFHASYREHEHEFPSSPDEKEGNGFDSKSDPTKAPPPIEIPELSLDELKEKTDNFGSKALIGEGSYGRVYYAILDSGKHLAVKKLDTSADPEPDNEFLTQLSIVSRLKHENFVEMLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVKIAIDAAKGLAYLHEKVQPSIVHRDIRSSNVLLFEDYRAKVADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCIDPRLKGECPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQQKPQAPPAAAPNTTTSDA >SECCE4Rv1G0270600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755938783:755940843:1 gene:SECCE4Rv1G0270600 transcript:SECCE4Rv1G0270600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEVFIVGAGPSGLATAACLSKLSIPYIIAEREDCIVSLWHKHTYDRVKLHIAKEFCELPHMEYPTDSPTYLPKDQFLRYMEDYVKHFNISPKFNTSVESCMYDEARKCWVVMTHRKVDGPTMYACKFLVVATGENSAGHVPEIAGLQSFPGEAIHSSSYKSGRDYVGKSVLVVGCGNSGFEISHDLAVHGANTSIIIRSPLHVMTKELIHLGMILSTWHLPLKLVDFILIILAYILFGDISKYGIVRPTIGPLTLKAKTGRSAVIDTGTIELIKKGDIKVFGPISCIRGNLIEFADGSERYYEAIVFATGYKSTANMWLKNDMSLLNSDGIPKNDFPNHWKGANGLYCVGFARRGLAGIAHDANIVASDIHANIAMSYFN >SECCE2Rv1G0122590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:817542069:817546318:1 gene:SECCE2Rv1G0122590 transcript:SECCE2Rv1G0122590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGSLRSKYLHSFKHPASQRHDPDRDYDTTATAAADSPKKQPTAAAKTDGHAAPAQPAAAMRRGGAGAPADLGSVLGHPTPNLRDLYALGRKLGQGQFGTTYLCTELATGADYACKSISKRKLITKEDIDDVRREIQIMHHLSGHPNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLCKKYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSEPWPVISDSAKDLITRMLNPRPAERLTAHEVLCHPWIRDQGVAPDRPLDTAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDADNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACQEHNMPDAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAI >SECCE2Rv1G0110680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:693062839:693065850:-1 gene:SECCE2Rv1G0110680 transcript:SECCE2Rv1G0110680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 2 [Source:Projected from Arabidopsis thaliana (AT1G60790) UniProtKB/Swiss-Prot;Acc:Q8VYR3] MAGWRKAWLSVLDRSGGGGGGSSGSLQAHLNGLLSPSSSSSSLATAHKRGSGAGGKHGGGPYVSTKALLACFSVVLVIAFFYVSITGRPSPDDSFPTPTGSSAASGALLSSNSSTPTSPTKRLPPHPPINPNVSSTGTAHRSSARNATVVVPRGVQSNNSDDDWAPADSSGQPALVPEDMGKAQGPLYDAGNATISGSDVDPVVGNGTKTQDVTAMPTPPWRGADAANSTGKPIIGSPDEPADSDGATGNSTDTVVRSSKEDRNANASVDNVPPNLTRRAALPSTPLDQRKEDRRRRKRAAMARHKQRSIRRRKEFVHPVQEGAAADNSDGIGTGTGTAGANTSSGNHSDVIGIVGAGNHQVVWTSSADHSDGAGTGTVTAGANTSVAVGPGNQRVEWTSAADHSDGAGTGTVTAAANTSVAVGPGNHRVVWTSGVQDLVTFAKCDLFSGRWVREENHAFYPPRSCPHIDGDFNCHKNGRQDTGFLNWRWQPSGCNIPRMNVTDFLERLRGQRIIFVGDSLNRNMWESLVCILRHGVRNKKNVYEKSGKNQFKTRGYYSFKFRDYNCSVDFIRSIFLVKETVRQSPNGTVLDEKLRLDELDASTPAYQTADIVVVNTGHWWTHPKTSKGLNYYQEGNRVHHSLEEMEAYRKALTTWAKWVDKNIDSARTQVVFRGLSLTHFRGGQWNSGGRCHRETEPIFNQTYLTEYPERMRIVEQVMSRMKTPVIYLNISRLTDYRKDGHPSVYRVRYDTEEERMAAAATKQDCSHWCLPGVPDTWNELLYASLLQAGKGPWRL >SECCE5Rv1G0339880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611476020:611476493:-1 gene:SECCE5Rv1G0339880 transcript:SECCE5Rv1G0339880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDASARAAAINFWKDPNAESCCICGEEDAGEKHGELSCPYDYLVSSAGYVPCKARLAAWRDDRDAPSSHRAFLRRFVRVTNLPERCPCPARIAALFARFGPLRMWHVAMDAPAACKGFACVVFERREDAEKAIDELNCYCFDGHSLRIDWFYPSA >SECCE5Rv1G0339160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:605698078:605699406:1 gene:SECCE5Rv1G0339160 transcript:SECCE5Rv1G0339160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVLLLVLLAAALSPVARCSTTDRDVGFQLKLTHVDGRAAPAYTKLQLLTRAVGRSRARVAALSAVAAAVDPITAARILVRASQGEYLVDLAVGTPPVEYTAIVDTGSDLLWTQCAPCMLCSDQPTPFFEPNRSATYRLVPCGSPRCAALPYPSCFHGVCVYQYYYGDYASSAGVLAQEAFTFGAANSTKVRVPGVAFGCGNVNAGYLANSSGMVGLGRGPLSLVSQLGHSRFSYCLTSFLTPAPSRLSFGAFATLNGTNTSDGAPVQSTPFVLNPALPSMYFLSLQGISLGDTRLRVDPLAFAINDDGTGGCMIDSGTSITYLYQDAYEVLRRELLSVVRLRPVVNDTAIGLDTCFPWPPPPSVTVTMPDLVLHFDRANMTVPPENYMLIDSATGFLCLAMAPSGDGTIIGNYQQQNLHVLYDIANSLLSFVPAPCNIA >SECCE4Rv1G0261620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:703054047:703054749:-1 gene:SECCE4Rv1G0261620 transcript:SECCE4Rv1G0261620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAVRSGFGDDVLLRDAGGRYLRANGKYRTWNTGVTVEASDNVSAMMYWTVEHIPARDGTPGLPGPIQSPPPTIFWREPVMWRQIRFMVSEPDGPIYSRYSWATFQFRGRSVFHLRNELARHTRFILEGRQPFDLVMCVRAGRHGRLTPLFVDLPRGDLLPIFWIVVFLFRTPGESHSPCPNFLMIS >SECCE3Rv1G0189190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721955950:721956395:1 gene:SECCE3Rv1G0189190 transcript:SECCE3Rv1G0189190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGKALVVAMLISFLLVQGALGEFRCCHCGVYRKCMDNHLKSEEDHCILKSFKDCRADFKRGCPKSGCHKRADPDMGVAVAAAAGGRNHSEASGTGEETAA >SECCE6Rv1G0388330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:132405500:132408142:-1 gene:SECCE6Rv1G0388330 transcript:SECCE6Rv1G0388330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUBR1 [Source:Projected from Arabidopsis thaliana (AT2G33560) UniProtKB/TrEMBL;Acc:A0A178VU51] MAAAAAGDLAALDAETLALLGGGPDMAPVAMCGEWETFKENVRPLKRGRNVGLLNQALKAHADPAQRAALLAERRRMIEAIEEYQGEDPLQPWVDCIKWVQESFPAGGEFSGLVVIYEQCVRAFWHDERYKDDLRYLKVWLEYAGNCPDAEVIFRFLESNQIGEGHAVFYIRYALLMESKNKLKKADEIFNLGIARKAKPVEKLETTYEAFLRRSIKKKEHEDDTASDDQPIRRFGSDLNRGETRGQHTENSRLLAKPRVTLQRIDVNAPISIYKENPLPSQGLERAKCKDRAWNTLGTQADRNKENNMMPARWTSHKIPPKARPAVPPARVSSIEVFVDEECAEEPAPPQVPKSPKPSVLKLRQATSKNLKKETELLKENPLRNFPLSSLR >SECCE1Rv1G0026070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:365145075:365184189:1 gene:SECCE1Rv1G0026070 transcript:SECCE1Rv1G0026070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQEQQYEELFGGFFFDEDALSQDISLDGLDKELEEHKNYDVLISILANGEKQRDMATVVEGNLGHIEQDLIQDYIKDNDSLVLLHDQIHDCDVVLSQIGSLLSGFQVHIGSLSSELRSLHEKSLDLGVRLKNRKLAETKLAAFVEEIVAPPGLVNVIIDGEVNDDYIKSLNMLSKKLRFAQVDPLINASKALKDIKQELERLRKKALSKVSAYVIGIFSSMRKPGTNIQILQQNLLQKYRYLVLFLKEHGIETYNDVCATYVDKMNKVLSEDFHVYLEALESLKVDTGVSTDVVGYDANVVDLIPRGREQLRNHRFMFSLGERSNILKEIDQPGLVPCISEANSLKYPYEVIFRSLQKLLMDTASSEYIFIRAFFREESMFYQVFEGPFAVIDENMKLTLANSHDAICLMLMICITKKHQLVMSNRRLPCLDTYLDKALIYLWPRFKTVFDMYIQSLYQCDAKMLWVDGTHPHHIVRCYIEFTASLVQLNAECGDGQLDMSLKRLRLAVDDLLVRFAEKFATQKLQHLFLLNNCDMAISILKEAGEEAKELRRYFEEKLESNLVSFVDELLMEYFGDLIKFVKNHISEDLISYTECPNIADVEPVVKNFAVKWRTALELMHNEVVTCCSNFVSGMAILKAAMAQLLNDYNRLSECVKMIPGGSSLNRNLVSITSISYEIRKYSRTL >SECCE4Rv1G0287970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:857505166:857506836:1 gene:SECCE4Rv1G0287970 transcript:SECCE4Rv1G0287970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPAAPPLPLSSHRLGLPFLSLPSPAGRPLRRGDLAIRMGGGPRTFPGGVSKWQWKRMQARKAKQLLKARLARERQLYEMRKRAELRDAVVHLERPWDPDSAPVSAAALAPNLLSVAADDQLRGLADRFHRPGGVDLWNDRDGPRVFASPGTGTPSARFFPRNAVHSVQPYAGAEGAQGVRGNDAVEDAYGYSEPAVQLMERDGMWEPVIALEGGDEDNSSVRSWIHDDEDAISDSDEDEEDADFGHQQRAMVRRDGRGSGETASTTRVGSERSRQWRGHGSFSDSEGARKGHLDHRWSDRSSGSRRKPPAARWKPWNAEGSNAIGKDRTGGGSFSDSEVSRRGFEPKSRGRNREDTMDAVVKWKLSYDSHGNVIRKVRVGGEFDSNSDSGRGDKMEPKWGALNRFSPSENRRGRAGLKHRPKADSGERPGGYTRGHNGDERDQFGNGFASDLEEPTWKPRKKNEARNNNGSREYTSDMMKSRFRSGGSGAARRLDSTRPVMNTNREDGGGRRLRGDEYSLGPTSEFHSSMDRNGGRQFRGDGYSLRPTSELR >SECCE1Rv1G0013590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:107071564:107073120:1 gene:SECCE1Rv1G0013590 transcript:SECCE1Rv1G0013590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPAFTISRLAAVRADPCGVPLPVFNSLASSLASSDPSHAHLPLHLFRRLLLLLPARRPDAFTLSSLASSFLPLHPSRSRSHAAASLHAFSLRLGVLHADPVLTNSILLLYLRSPHCTPTDTALRLFDEMPTRTASTYNTLISYTPAGTDLRSLARCMVSDGLSPDRFTVSALLSACASEHDGRELHCFAVKRGMCGDGDFHVSSGFVSMYCRVSRPALARRVFDRMQQRNVVSWTAMVGGYAENGMFEDAAKAFRAMWAVDGILPNRVALISVLSAVEGLMGLAEGKQVHGFAVRMGIYGEVSLNNALVDMYAKAGALRYARRVFDDGTWHKDVISWGSMVLGYGLHGMGTEAVALFDQMHASGVKPDSIVGLGVLSACCRAGLVLKGLEIYNSLLKDHKVHPTEEMSACVVDLLGRSGLIDHALDFIKSMSVEPGPSVWGALLDASVIHSNRETQDLACRSLLKFEEGSPSNLVSVSNLHASSGRWNVVEQVRAKIKQGTLKKAPGRSWVNAAT >SECCE3Rv1G0173550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:343626780:343628159:-1 gene:SECCE3Rv1G0173550 transcript:SECCE3Rv1G0173550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin [Source:Projected from Arabidopsis thaliana (AT1G08700) UniProtKB/TrEMBL;Acc:Q0V7S4] MDPVADSPDPPGSAATVLDTLGEEVLAVMSPVSICMALVVLLITLLAPPSSSPSAPPPVTAATLVYLESPSDSPAQKLVGALLDAAVFVALVAVVTFILVALYYYRCTGFLKNYMRFSAFFVLFSMGGAIAVALLRRLDAPLDAPTALLILFNASAVGVLSVFASAVPILVRQGYMVALAVIVAAWLSRLPEWTTWIMLLALAVYDLVAVLAPRGPLRMLVELASSRDDELPALIYESRPTVGPAETSSSYAPAMWSEETQHPDSAPRSGPNLYDRVGQQDDSGPAMVEMRDLGSTSRDMATSNQGVTEQTSNQGGSAQHAVIQIEQRQEEEETAPLVSAASANPTAANEEHTQISSSEPPGEEFEMFESSRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICRHALPALPISIMLGVVFYFLTRLLMEPFVVGASTNLVMF >SECCEUnv1G0554390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:286464231:286466612:-1 gene:SECCEUnv1G0554390 transcript:SECCEUnv1G0554390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCEEYTLITDPESLFKINHPELPLSYYTGVLGENVXXXXXXXXDVSKPKKGDYVFVSSASGAVGQLVGQLAKIIGCYVVGSAGSDEKVNLLKNNFGFDDAFNYKKEEDLNAGLKRSENHFPYEISCSKYHKWLLNFFTKKKRMLNIVPFLVIFPLRCFPQGIDIYFENVGGAMLAAVLLNMRMNGRIAVCGMISQYNLEKPNGAPNLFFLVAKRIRMEGFMVLDYFGTYNKFEEEIAGYLKEGKITVVEDVVEGIENVSAALIGLFSGRNIGKQLVTIAHE >SECCE4Rv1G0286990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:852914309:852923403:1 gene:SECCE4Rv1G0286990 transcript:SECCE4Rv1G0286990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVLDAFASYVQNMLTEMVTEEVHMLLGVGDEIGKMEVKLEDLKNFLADADKRNITDESVRQWVAQLKRAMYEAADILDLCQLKAMERVPSTKDVGCFNPLLFCMRNPSHAHDIGTRIKALNKKLDIIKERSAAFSFINLASYEDRSNKIHGSHSGNKRRETSGEFDRSGVVGEKIEQDTRNLVEIMLSEKEGNTNIMVVAVVGVGGIGKTTLAQKVFNDKALNDEFEKPIWLSINKDFDKVELLRTIITQVGGVHGGEKAMAVLQPILSTTLKGKKLFMVLDDVWNHGAWDDVLKTPLANVVARGSRVLITTRDETVARRMKAVLPYHHVDKLQEEDAWSLLKKQIISSETEDRETDMLKDIGVQIVARCDGLPLAVKVMGGVLCQKDKKHSEWEMVLNDSIWSISGLPTELNHAVYLSYEDLPSCAKQCFLHYSLLPKTGVFSRDEIIGMWISEGFLRGSSDDLEEVGSKYYKELILRNLIEPNIKYADQSVCNMHDVVRSFAQFVARDEALVAHSGETNIVSKLSAHGFLRLSVESKASESDGLDWSSLQAQKTLRALISFGCINIKPGDSLVNFPSLRILHIDSALVVALLESLHELKHLRYLSMKNTDISSLPDSIGKMKFLQHISLRGCKQFVNLPSSIVKLRQLRYLNMHATSIKGIPRGFCALTNLRIVLGFPAQLDGDWCSLEELGPLSQLKDLELDGLENITASSSAAKTKLGEKVHLTNLTLNCGSILGDDGLIKEEDGVSEKEQQQIEKVFDELCPPPRLEILDIKGYIGRWLPRWMMSSSVVPLNSLRILLIDDLAYCTQLPDGLCQLPYLELIQIERAPAIRRVGPEFMQSYHHHSSHPSHMVAAFPRLHKMQLIGMVEWEEWEWEEQVQAFPVLHQLLLRNCKLRCLPPGLASQARALNTLSIEYVQGLISLENFPSLVELYLDENLDLERITNLPRLQKLTILNCPKLKVLEGLPAVQRLMLVDELMETLPGYMGDINPRHLVLYCSLALLAPISTGQSGPDWDKFSHVENVKAYAREGDNINKWYVLYTADPYNVETNVSISAFKSRGTLTSFEDMQRFEYVFKMTRKTFNYICSLVYVPSLEDMNMCTFVDGRVLCLEDRVAVALRRLHSSESTETIGSFVGVNESTVMLVTQRFIAALFERATHHGYWPDSSGMDKIKSMFGSIHNMHNCCGVICTTNIPFVPTASNHEKNDSTWMQAVVDPRMRIMNDWLGPADRMNQLSLLQDSEIFKGSEKGDWLNGSKLKVAVVGSEVGEYIIGDEGYPLLPWLFTPYQEEDLSEPKAEFNRRHSAATTCALEALARFKDTWKYLQVEMSYAVNHDIIHACCMLHNIIIDMEDDAAMPSPDPTDWNHCEDVRQLENEDAVRARDMLAQYFLTTMSSKSGGTLTCFEDTQRFESAFKMTRETFSYICSLVYVPSVKDMNSYTFDDGRVLCLEERVAIALKRLYSSEPPETIGSSVSVSESTVLLVTESFVDAVWKRARHHLRWPNSSKFDKIKSMFDKVYNMHNCCGVICTTHIPFGPNWDHEKNDSILVQLVIDPEMRFRTIWWGWISSMNQSSILHDSYLFKNCQVGAWLNGSRLKVGLDGSEVGEYIVGDAGYPLLPWLLTPYQEENLSDAKAEFNRRHSAATTCGLRVLARFKDTWKYLQGETSFPVDSKTIVTTIHACCYLHNIVIDMEDDAAMPSVEKPVYSGEVRKLANEDAVRARDMLSQYFLISRSSESGVGTVDAEEDDEEAASGSADGDRDEEAPRRTQVASEEITI >SECCE2Rv1G0092400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:355808943:355812830:1 gene:SECCE2Rv1G0092400 transcript:SECCE2Rv1G0092400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRQIDKQYIKNSRCENSPRPARLRAPRPKKVKNATPTPPTPPPPPPPSPRSPPRFPTQPKRKPPQPINQLASERATTDGSSSPPVIEVGPAGRISGGGGGAMSRASLSPSSSGDEEEEDDEGVDGYRKGGYHAVRPGDQFAAGRYVTQRKLGWGNFSTVWLAFDVESQKFVALKIQKSAPEFAQAALHEIEFLSEITKRDPSNCKCTIQLIDHFKHAGPNGQHICLVFEFLGDSLLKLVQYNRYKGIGLGRVKEICRSILVGLDYLHGELGIIHSDLKLENVLLVSTIDPSKDPIRSGLKPNLERPEGNPNGEAVLNPIDKKLKMRARRVLAKLAEKRKSAAEFARAERSLDGIDMTCKIVDFGNACWADKQFTDFIQTRQYRAPEVILGAGYSFPVDMWSLACIAFELATGEMLFTPKEGHGYSEDEDHLALMMEILGKIPRKIATMGTKSKEYFDRHGDLKRIRRLKFSSIERVLVDKYKIPQSDAREFAEFLCPLLDFAPEKRPTAAQCLKNKWLQRDDGKNATNIASKSIDVTCNFGSMPDSCAQRIDAKGNTKSSINSNTENADVVRLTERISNSNAKSTNVNPNTGTIMYKDGNNSDVKPHSGSIITNKNAESSDEKPFTGSISNKDDKTVDTKPITWSSASTDDKSVDTKSSIGSVTDRDAKSIEGKRNIRSVVNSYMKNFDVKRNTGSIANSEVKDLDVKSSSGDIASVDTNSTSAKPNTGSVENSDVTGTSVMANTGPANSGAKLQTNTDSVDEYDTDIKSNVGRVAASIQRLESSMSKVQIGRYR >SECCE6Rv1G0452060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874943042:874945385:1 gene:SECCE6Rv1G0452060 transcript:SECCE6Rv1G0452060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGGEAEEEQVMSEVHLGCPPRFSGLFLSRFTFSSRPIEPPGGDGGSSTGERSDGRELVASASTSCGPPDAVAVDDDGDLVVDRRRKNRGRRTRSEDLVLTVQHGVTSSLRSVGLQVWKAAMLLTDFVLHKSFMSSEFDGVTAMEIGAGTGLVGLAHARFARRIFITDRGTHILDNCLANVRLNSSVLEFDEAKVHVRELDWKMSWPPPVGTRDASDSSSIYSWSSSEIEEAEQATLLFAADVIYSDDLTDLFFDTVRQLMSRGVKKVLYLTLEKRYNFSLDELDVVANGYKHFRSFFTVQDGCGGQDNATLKPGLVGERIDLARVPQYIREYNRGKDLEMWKLMYWPGYK >SECCE6Rv1G0411740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:609878996:609880036:-1 gene:SECCE6Rv1G0411740 transcript:SECCE6Rv1G0411740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNARAKRHAARRLRATPYPIPSYRWKAMKGANRKKALPTVQKMDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLEELPASTVGSTLDFVPLTAVEKTESIDLACPLCRGKVKGWTVVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKLEHPLVQPREVDPAVEQKWRSLEFEREREDALSTVTSSMGRAVVWGDYVLDLEDGADLDDEDSDDDDRGNDREADNARRLIIFMMRQVAQRHRTQRLQSPSGMPGDAEEGYAVSSGGANGTTPYPFTSEGDDEDDMTLAGGGGAGMLRSDRRRRRRRRNRGRLFLDSN >SECCE5Rv1G0365500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:807230103:807230470:-1 gene:SECCE5Rv1G0365500 transcript:SECCE5Rv1G0365500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCMMRVQAILFLLVCFAIHAQCRGMGVQDYGYQGCTHKGKTHTGCYTCLPLEVCYSTLADCKAHCKRPPVTDTSLVVPH >SECCE2Rv1G0085040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:184490876:184493257:-1 gene:SECCE2Rv1G0085040 transcript:SECCE2Rv1G0085040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVAFPLPLRAPAPPPPTPKSVRHQPPVHSPLRLFSPTSLLSSSHPTPTSSSRTPRLGRPHDHTRQSGGGGQPWNLPPSLSLPARRVLLSLESDPNSARDILSALPTSELASVLNALASRGRPAVALAALHAARDLHGEHVLQHPRVLPAAIRVLARASHLADASALLDAAPEPDASAYTALVSAFSRASRFRDAVAVFRRMVANGVQPAIVTYNVVLHVYSKIAVPWKDVLALVDSMKKDGIPLDRYTYNTLISCCRRGALYKEAAKVFDEMRAAGFEPDKVTFNSLLDVYGKARMHDDAIGVLKEMELGGCPPSVVTYNSLISSYVKDGLLKEAAELKEEMEIKGIQPDVITYTTLISGLDRAGKIDAAIGTYDEMLRNGCKPNLCTYNALIKLHGVRGKFPEMMVVFDDLRSAGFVPDVVTWNTLLAVFGQNGLDSEVSGVFKEMKKSGYVPERDTYVSLISSYSRCGLFDQSMEIYKRMIEAGIYPDISTYNAVLSALARGGRWEQAEKLFAEMENLDCRPDELSYSSLLHAYANAKKLDKMKALSEDIYAEKIESHHGLVKTLVLVNSKVNNLSETEKAFLELGRRRCSLDINVLNAMVSVYGKNRMVKKVEEILSLMKGSSINLSTATYNSLMHMYSRLGDCEKCENILTEIKSSGARPDRYSYNTMIYAYGRKGQMKEASRLFSEMKSSGLVPDIVTYNIFVKSYVANSMFEEAIELVRYMVTHGCKPNERTYNSILQEYCRHDKIADAKSFLSNLPQLHPGISKQEQQRLLELLARHTSRDRG >SECCE4Rv1G0278940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811545793:811547742:1 gene:SECCE4Rv1G0278940 transcript:SECCE4Rv1G0278940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPAAAKCPTTVLDLDDDLLREVFLRLPGLPSLVRAALSCRTFLSAVRSSPAFRRSFRALHPSPFVGLFVQRRGIKPEDSSFGAHHSRPDPDFEAAARGGDFSLSGLPLPDADGREDADEEEEEEQYEEDDDEEEEYKEEEEYDEEEEEVYKLDDEEDEEGEGEEEASPVWEIERCCDGYVVVFNQRAKQIAAYNPLTRTLHLYPSPPRLFSDATSFEFHIFSSQEDPRAPPRVVCFDFDLFQAKAVVHLISPDSSIKSKCWKFPSTGSGVTGKMVNGSVYWTHVGKPYITVLDTATMKFTKIDLPPLLADQEGRECAFVFGNTKDGRPCIVSPDLWGHCSLDVFFWTPEEEYYDDGRNYWLYQKFPLKTIRQFVKFSEGDDEFIIVRLMDVIDGIMYLRTEYDGCTDAPQLLLSFCLETAELKMICEDYHKPVHPYIMAWPPSLVQNDEGPCSKDKALSTASSEGCDVKAGGGQTLPASVAKA >SECCE7Rv1G0494320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:581146200:581151896:-1 gene:SECCE7Rv1G0494320 transcript:SECCE7Rv1G0494320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPWRLLCCCCCRESDRNGVDDLKLKPDSADGEGAAGDWYDLPPFQEFTFQQLRLATSGFAAENIISESGDKAPNVVYKGKLDAQRRIAVKRFSRSAWPDPRQFMEEAKSVGQLRNKRIVNLLGCCCEADERLLVAEYMPNDTLAKHLFHWESQAMVWPMRLRVVLYLAEALDYCISKERALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFSMLIDSCLEGQFSNEEGTDLMRLASRCLHYEPRERPNVRSLVLALASLQKDVESQSYDLMDKPRGGAFTLQSIHLSPLAEACSRKDLTAIHEHLETAGYKDDEGTANELSFQMWTNQMQATIDSKKKGDTAFRQKDFSMAIDCYSQFIDVGTMVSPTIYARRCLSYLMNDMPQEALDDAVQALAIFPTWPTAFYLQAAALFSLGKENEAREALKDGSAVETRSKGH >SECCE2Rv1G0077190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:97715717:97718200:-1 gene:SECCE2Rv1G0077190 transcript:SECCE2Rv1G0077190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAPALLLVVVLAAAAHLLPLAQCDGPNPEIHNTGGLSRQGFPAGFVFGTAASAYQVEGMARQGGRGPSIWDAFAAIPGTIAGNGTADVTVDEYHRYKEDVGIMKNMGFDAYRFSISWSRIFPDGTGKVNQEGVDYYNRLIDYMLQQGITPYANLYHYDLPLALQQQYLGWLSPKIVGAFADYAEFCFKVFGDRVKNWFTFNEPRVVAALGYDNGLHAPGRCSKCPAGGDSRTEPYIVTHNIIISHATAVQRYREKYQPHQKGRIGILLDFVWYEPHSDSNADQAAAQRARDFHIGWFLDPITNGRYPSSMLKIVGNRLPGFSADESKMVKGSIDYVGINQYTSYYMKDPGTWNLTPVSYQDDWHVGFVYERNGVPIGPRANSDWLYIVPWGMNKAVTYVKERYGNPTMILSENGMDQPGNVSIANGVHDTIRIRYYRDYITELKKAIDNGARVVGYFAWSLLDNFEWRLGYTARFGIVYVDFNTLKRYPKDSALWFKNMLSEKKRG >SECCE3Rv1G0214130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:964261442:964263184:1 gene:SECCE3Rv1G0214130 transcript:SECCE3Rv1G0214130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELISSGLSGMDISIFGGGEGEAEAEWEKMKDVSRENRECLNITAPPCPLPRPETTQKEEEDVYREEKMKDVRTEKHDEYINITPPPCPCPLPPPPETMTQEEEEEEVYREEDRIARYRGLWESRFAGKFGCFDDQTSLGPMRFTFGPIPSYAKPHCTMQIFSIRVADLEDGLRWPLHVHGLVAARDTSDHNRNFLFNRTRDNCQLLTQQDPYLLLTGPSRAIVIIDPITIEFQLKVKSKTDPDEDEMLAFGIFNYPQTYLATHVIRSGILCNRCTIELAYAPLVPSVEATVIGVRIIEGVWPEGLRGRVVAEVTTVRGGEVLLLDSRDRKVPISPSTGAIELSRRVVSVDLQGGKLLISVVASSQTGGKEDDGDGGSAVIAARGEAVFAPERAGMSNVSCDLGFCKVAVAVAWSLVSSMWNERRALAKLAEERA >SECCE7Rv1G0480790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:273997483:273997788:1 gene:SECCE7Rv1G0480790 transcript:SECCE7Rv1G0480790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKEAAANAGASARAGMEKTRAAVQGQVDKAAAYTTGQREAAVVNKEAAEVKKQQRIRAAEEEKQRAVRDHAAAKERASGAGTEDGHGADSPAGGHGKE >SECCE5Rv1G0336930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588001110:588002507:1 gene:SECCE5Rv1G0336930 transcript:SECCE5Rv1G0336930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQDVLLPQDVLADVLRRIAPRDLAISRCVCKAWCSIIDARHLLPADLLPHWVGGIIINFNDLMLSEFFSRPSTGPSVSGNLNYLPSTSVVKDHCNGLLLLDGYVVNPATRQWAELPPCPSLGLESFEGEHLVFDPTISPHYEVLVIPISPKLDHDVKLDPAVEELEWPASLCMLHVFSSRTKQWEERRFVREGEAAGTIADMRSARQYYQNRAVYWRGSLYVHLPSHFVMRISLSNNKYQVIKPPKGRSTLFGEDMLYIGKSEKGVYCAPVDNPVRVWILDESCGQLEWVLRHNISLHVDTDESSRPWTLQDVNYYEGYGEDAKDEAIAPQKFDWDSDSDNLIDPKSMADDESPCYTGILGFHPFKEVVFLCVGLQRGLAYHLDSSKVQELGNIFPKCYGTSIGIQPFIKESFIYTPCWMEELP >SECCE7Rv1G0523190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:881106293:881107344:1 gene:SECCE7Rv1G0523190 transcript:SECCE7Rv1G0523190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPSHVLVFFVISQLALATTFTTALLCDSCVGLCKSSCEKEINMSLYLHQIAAGPNHNQEEIVIPTFANAFGRTGVNDWPLLDAPQPNANIVARAKGLHIQASQEGGAWFSPFSMVFQEQDSRFNRSTLQVMGMIGPEAAGEWAIVGGTGKLAMARGIIKYKFPQIVTSVENYRQLDIHAFYTPQAV >SECCEUnv1G0549970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:211260399:211261558:1 gene:SECCEUnv1G0549970 transcript:SECCEUnv1G0549970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVLSHVDPLTEQQIVAVYGLQQSAVQTEEALSQGLDTLYQALSDTVVSDALTCCTTPNVSNYMGQMGLAVHKLTTLEGFVRQAEKLRQQMLHRLHQVLTARQMARSLLAVSDYFHRLRVLSSFWVNRNRMAPQDQQLEAGPHT >SECCE2Rv1G0096230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:435624138:435625975:1 gene:SECCE2Rv1G0096230 transcript:SECCE2Rv1G0096230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQALLTDPSRRPRSSHKVVVATPAMEHQEFLLRKHAVLLTASSPRHAANPMSVGRAIEEQLHTPPHLLRITSHDPEDFLVHFELPAHKESAVRRGTLKVDGVEFAIKGWHEDDHEVLEDCNLHVRVVIERMPMQLWSLEGAAEALGDLCIVDRLDSRTHERGHTKSFACWVWTSDTALIPTKRALWRAARGAGRVEAMLGFSPPSRQVAPPPGIRRRELLVHVDRIEDWTPRTPPSSRSRQSGLPSSESGDDTPFPAVYPATWTWHVEDGQGRRHPPAVASSGCHGLQRGAGRRDHDDHDKDRAGRRSWADSLLGRGHSGRAPAPEKERSRQPRQRSRTPTSRHRGMSCMGREAAIRATPPLPLRPSTTPPPPTPPPPPPAREGLDDDPVSAFFTNDDTQLPPLPEAVADVLATPLEFGTGQPDAANDRAPDGVGPAAQLRCDAVLACRAASPLPETNTELGAVTHQVQQLQIEHDGAAPRILFRDIPEPIIPVAPQAQRPSAPPKTRTPSAPVRHNARQAARHSSTPVAQRATLRLVKELGVLGPKARITVKAAEALLRRFDEPLTEDDINCIAKLTRLDVQALRTMASLAGSDGVDEE >SECCE2Rv1G0070560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:36276257:36276547:-1 gene:SECCE2Rv1G0070560 transcript:SECCE2Rv1G0070560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLTGSSSSFRFEPRSVDAFGSTVIAEGVDDKAKAYWVHAWTVGGDGVITQLREYFNTDLTVTRLAAAAASKCVWQSRRPDRARNSLPGLVLAL >SECCE1Rv1G0049630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642437763:642438959:-1 gene:SECCE1Rv1G0049630 transcript:SECCE1Rv1G0049630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVTMTSVSAQAALVSRPRSHGTTSYGGLKASSSSIGFELGSSFLGKTAPLRASVTTRVVPKAKSVAQILPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSELRLYDIANVKGVAADLSHCNTPSQVMDFTGPAELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVKSLIEAVADNCPEAFIHIISNPVNSTVPIAAEILKQKGVYNPKKLFGVSTLDVVRANTFVAQKKDLKLIDVDVPVVGGHAGITILPLLSKSRPSVTFTDEETEALTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTYVQSELTELPFFASRVKIGKNGVESIISSDLEGVTEYEAKALEALKSELKASIEKGIEFAHKQQGATASV >SECCE6Rv1G0416450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:648770448:648775341:-1 gene:SECCE6Rv1G0416450 transcript:SECCE6Rv1G0416450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTIVTAATGVMNPLIGKLTTLMGEEYKKLKGVRKDVTFLRDELSAMNAALEKLEFMEKLEPDTKNWRDHVREMAYDMENCIDDFMQDIGGVDANASAGFVKRTARRLKTLRVRHRIAGQIQELKALAVEANERRKRYKIDDCNTSCGSVDIDPRISVMYKDAAGLVGTDGPKKEVVSLLTAAEKKLKVVSIVGFGGLGKTTLANQVYDDLEGQFDCKAFIPVSQKPDMPRLLNSLRLRLGINASSGICEVQDIISQLREHLANKRYFIIVDDLWDEEAWDIIRCAFPEDGNGSRVIVTTRVEAVAISACSYHHEHIYKMKPLSSEDSRRLFTSRVFGSENKCPSNFEEVSNEILKKCGGLPLAIITIASLLASRRERSKNDWENIRNSLGAQFAINPTLKGMRSILNLSYMNLPLHLRTCFLYLGMYPEDYEILRDDLVRKWIAEGFVSGLHGTNLEDVGISYFNELVNRSLIQPGMNYNGVYCKVHDMMLDLILSKCAEDNFSSVAYTSKEMIRLRDCTYKIRRLSLMSSVDRPAKETIWTVSDRTSQVRSLVWFRACKSMPHLSQLKYIRVLSFEYAISQLVSGLLGSSTLDLTAISQLFQLRYLKVSGYYYAKLPTEIRGLVHLDTLDVGIGSIPSDIEHLPRLSNLTMGLYEMTGLPERIGIMESLRTLDGFKLERSSLEALEGLGKLTNLRSLKLYNSDDDECNLLEKAKFDALVSSFCKLRNLKCLLMMKGDHDDKVDILGSVSDPPVLIEEIYLGSWKMLGVPKWIGDLNCLHRLELYVREMKNDGITILGGLPSLVKLGLRVEACPKEEAVIISKGLFPVLERLTFSSEEDVTAYLGFEAGAMPKLRELRLQLNKPPWGGAAPVGMEHLLDLQQISFTARRNHKESLEQVKLEIESAFRNAVELHPRRPSLDINCYTI >SECCE1Rv1G0032900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:460898207:460901594:1 gene:SECCE1Rv1G0032900 transcript:SECCE1Rv1G0032900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPHVSHSQPPVGRAALFSHPTTAPSSSPLRLPLRRAAVRLYAVSTDAAPATAASAMDAVADWGLTPLAEADPEVYDLIEREKRRQRTGIELIASENFTSLAVMEALGSPLTNKYSEGMPGARYYGGNEVIDEVEELCRARALKAFHLDPASWGVNVQPYSGSPANFAAYTGLLQPHERIMGLDLPSGGHLTHGYYTAGGKKISATSIYFSSLPYKVSSDTGYVDYDRLEEKAMDFRPKLIICGGSAYPRDWDYARLRAIADKCGAMLLCDMAHISGLVAAQEATNPFEYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEGALYDYEDKINFAVFPSLQGGPHNHQIAALAVGLKQAMSPGFKAYIQQVKANAVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLSGNKVEKVCDLSSITLNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFVKIAEYLHQAVVICLNVQKQRGKRYNDFIVDLEKNGDIAELRAEVEKFAIAFEMPGFRVSDMKYKD >SECCE6Rv1G0436580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778457816:778459850:1 gene:SECCE6Rv1G0436580 transcript:SECCE6Rv1G0436580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGTCKKARAEVTSVVSSDRLNSLPAKIKGNVLSRLDVREAVRTSTLSSTWRDAWTDMPKIPLQDRNFMRTRFVTLVDMVLALHKGTIEEFDISGNKSYHDEFARWMLLLSRRSPRSVIIELNSGPRYKISSCLFSIGDLKFLQLENCIISLPRAFQGFKSLTYLSLNSFSSTDRDIQNLISFCPMLTNLILTSFEGINQLNIQAPKLEYLSYLSKGCILTKLPAVFTRLENIYLNICFWDQRQVFAAYSLFWNAPNLKMLAVWGYPLSMWDQCQARNPGHTLQMQMDHLITASVGCFRGIDYEVDFVAKLLSWSRALEEVKIEWKGETDCSMVLAKLLALPRVSAKAKVIVIF >SECCE3Rv1G0179210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:531851449:531870484:1 gene:SECCE3Rv1G0179210 transcript:SECCE3Rv1G0179210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of telomere elongation helicase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79950) UniProtKB/Swiss-Prot;Acc:F4HQE2] MPVYRIRGVNVDFPYDAYDCQITYMDRVLQSLQQGNNALLESPTGTGKTLCLLCSALAWRRTFGEFLRGGGGGGGGGGSQPSGSQQSGASATQSSSYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHEEVSKLRGKAQNNGCHYLCKKRMCRHNNIVTDYMKNNTELGSEPFDIEDLVSIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLTGISWDNAVLIFDEAHNLESICADAASFDLLTSNLTSCITEAQECIQLCSFKRSIENSAEKQFDPENYAILKALLMALEKKIGELVIDSKELGYTKPGSYIYEFLSELNITSETSKKLIETIDSASLLLEEGNSGETKAGVKAKSTVSRLETIRDMLDIIFKGGGQNHAKYYSFHVNESSRQTSGDSLQVFGKASRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISQDQIWVGVVPVGPSGHPLNSSYRTRETVKYKQELGTVIVNFARIVPDGLLVFFPSYSMMDKCIDYWKNRNHEHSVDDSTIWQRMCKHKQPVIEPRQSSSFPNAIEDYAAKLRDPSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFSTPTDPKVRLKREYLDKQAKPSNKNPKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWPNYQSQMSYWLKPYIKCYSKYGEVVQTLTRFFRDKVCVDPKEMDCNDRVTPPADKCLPQEILSDSPATAVNEHWRTTVSLNATTRKNNYMKFTQITPANRTTLPMKHGCSTSSQLVSSRGQFSQDSQVVDLIDNATMHEQLKEHTLTSMRLKKAKIADVSSQLKHNVESRALTGNQGQQSTPPSKRSTIEQACEKNEAIQERCGGQESTTGPAFLKLAREKLSTAEYGEFVEFMKALKLKTMHIKDSLEAIAKLFSSPGRLTLLEGFRVFVSKNHLPLYEQLVRKYTMANT >SECCE2Rv1G0140420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931402534:931404651:-1 gene:SECCE2Rv1G0140420 transcript:SECCE2Rv1G0140420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g15130 [Source:Projected from Arabidopsis thaliana (AT3G15130) UniProtKB/Swiss-Prot;Acc:P0C898] MERRRMLADLLRASARGPDLRGGAGLHGALLKLGFGSDTMLGNNLIDMYAKCGRLDAAGQVFDGMLERNVVSWTALMVGFLQQERAGECLRLFGAMRRVSEAAPNEFTLSATLKACGVVGDTGAAVRVHGACVRMGFEGHGVVANSLVLVYSKGGRIGDARRVFDGAAAFRDLVTWNAMISGYAHAGQGRDSLLVFREMQRRGDEDCQPDEFTFASLLKACSGLGAAREGVQAHAAMAVRGVFTASNAILAGALLDVYVKCRCLPAAMQVFDRLERKNAIQWTTVIVGHAQEGQVKEALDLFRRFWSSGVRADGHVLSSIVGLFADFALVEQGRQMHCYTVKNPAGMDVSVSNSLVDMYHKCGLTDEAGQRFWETPMRNVVSWTAMINGLGKHGHGREAIDMFEKMRTEGVEPDEVSYLALLSACSHSGLVEECRRYFSMIRQDKRLRPRAEHYACMVDLLGRAGELAEARDLVATMPMAPTVGVWQTLLSACRVHKDISIAREAGEALLAMDGDNPANYVMLSNIFAESGEWREHQRVRDAMRRRGLRKQGGCSWVEVGKEAHFFYGGDATHPRAADIQHVLLDVEKRMREQLGYSPGAVVGDGTQLHDVDEESRAEGLRAHSERLAVGLWLLHHGEQQHGDGEEEEEEGRREVIRVYKNLRVCGDCHEFFKGLSRVVGRAMVVRDANRFHRFQDGACSCKDYW >SECCE7Rv1G0518160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857887203:857888956:-1 gene:SECCE7Rv1G0518160 transcript:SECCE7Rv1G0518160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTRVLLWLAFAFVPIFITIVVSIMMARRGPTTFSSPTTKRPPPPPPMAAGAPLLGILPALLAKGPLRAIHDAYAEMGSVFTVGLLHRKVTFLVGPDVSSHFYQGLDSEISQDEVSRFTIPTFGPGVGFDVDLATRREQFRFFGDAMKPAKLRTYAGLMVREVEEYFGSWGRSGTVDLKKDLEHLVTLVASRCLFGEEVRAKMLAEVATHLRELNDGMRLVTILFPHLPIPAHRRRDRARARLGDIFSDIISSRRASYLNGARNVVDDMLQCLVDSRYKDGRATTKTEVVGMLVSALFAGQHTSSSTGTWTGARLLARANAEHLRAAVREQERIVARHGDRVDYDVLQEMGTLHCSVKEALRLHPPSMMLLRHARRSFTVRTRDGDEYEVPEGRTLASPLVLHNRLPHVYRDPERYEPGRFGPGRGEDGAGGAFSYTAFGGGRHACAGEAFAYMQIKVIWSHLLRNFEMEMVSPFPETNWNVVMPVPKGKVMVRYNRRSLSAAA >SECCE2Rv1G0127670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:855448006:855450000:1 gene:SECCE2Rv1G0127670 transcript:SECCE2Rv1G0127670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDYCFSNDYMVLRPDRASPFDLLHLLFSPKVGRNKAVDCFTSTEIRSFPRRLALFLNLLLQILVLSLAGPVAAIGAAVEFALNLVDNVLQGKMEYPDRSSASYRSLTGLIDRRVDLDRSIAPGDSRHHAALCVMASKVAYENEAFIRDVVTRRWQMEFVKFYNCWNEFESAYTAQAFVFCDRPAPDAELVVVAFRGTPAFDAARWRADLDPSWYKVPRLGRAHAAYTHALGSQRNMGWPKWVERVKGKPQKVYAYYTIRDAVKGLLEASPKARLLVTGHGSGGALAVLFATVMAYHREKAVMDRLAGVYTFGQPQVGDAMLAMFAERNLDRPKKRHFRFTYAGDPLPRLPGVGSPAHFLHFGLCLHFDVSYNLKVFTEIPGETLSPSSSAAVGFVASRVNAARELARSAYLGYRRGAYFQEGWVLLLMRVLAVALPGLPFHRAHDYVNGVALAARIPKDE >SECCE7Rv1G0478060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:231198893:231203452:1 gene:SECCE7Rv1G0478060 transcript:SECCE7Rv1G0478060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEAVEVPTTEETPAPAASEDQAAPAAAAAGTNATVPALYVGDLHENAQEEHIFDAFSKVGAVTSVRVCRDNATSRSLRYGYVNYFSQADATTALEQMNHSLLLDKPIRVMWSNRDPDARRSGVGNVFVKNFGDHIDNVILQELFSKFGEILSCKVVRNEDGTSRGYGFVQFAAQEPADIAIETLNNSYFEGKELLVAHFVKKSERSANNDDKYTNLYMKNIDDDMTEELIKLKFSHHGQVTSVKIMKRDDGTSKGFGFVSFKNPESAKKAKEAMNGMPLGAKTLYVARAQKKAERKQYLQLLHEEKRNEIITKSNGSNVYIKNISDEVDDDILRERFAEFGNITSVKIMRDDKGISKGFGFVCYSTPDEAKCAVSSMRGVMFYGKPLYVAIAQRKEDRKARLEQRFAELATMAGTASPVIPTGYPHVYFAHPSTHFAQGPSRQGFMYPPIGLGQEWRQNVYPSPHSIQQIHPPLMPNTPRQYRNNRGRMTGNMMTFPHAVNYVSHAQTAKDFMSMSRQQFGHAKYITPDVMSNGLAIHHGGPISSVNDSFASLLAAAPPDQQRDMLGNRIYPLVERYHPDLASKITGMLLELDTSYLLSMLNSQDTLSAKVNECVQALQGQQVAKNKPEDLEALHPGFLDSTGVNAN >SECCE3Rv1G0172740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:303459434:303462842:1 gene:SECCE3Rv1G0172740 transcript:SECCE3Rv1G0172740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKDIFGISGPTYLDPVNWNCKNNRRSVAACLVQAVYVLERDRQLNRQYIEAAAPPWWEFFHFEMIRKLVDDVDLSIFGAIFESNPPSSKEASAQDAPRFVIAFRGTITEKETISRDLSLDLQLVQNGLHKTSRFSIAMQAVQNVASVFPGSPIWLAGHSLGAGTAILTGRNMVKKGAILDSFLFNPPFVAAPIEGIRDERVKHGFRVARSVITAGLTIAMKAKTEGSNQRSVAEESFNILSSWTPYLFVNPGDHVCSEYIGYFQHRQNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIVNVSPSPDFKYAHGISQWWQPDLNLQCNKYRYS >SECCE5Rv1G0356020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:735905373:735907139:1 gene:SECCE5Rv1G0356020 transcript:SECCE5Rv1G0356020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTATSTSRRAPAVLVLVLVLFLAAASARGDGNGVYEPCADATVSRGDGFTFGVAFAGRDAFFSGGVQLSPCDGRLNLANAGPKLALFRPTVDEISLLTVNASGAGDLTSAGGYMVAFAGRKFAARSPPVFVGNSSYTVTGFTLVFEFHKGTLQNLFWKADGCSSCSGNANLACVENSCAIKTSSCRGTGGGQVDCSPGIQLAFSGTDKHEAVLNSWYEVSKLKQYSLFGLFSGLKDSLAGQFSNFF >SECCE3Rv1G0161350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:115984520:115985563:-1 gene:SECCE3Rv1G0161350 transcript:SECCE3Rv1G0161350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAVQLVLRETSRVHGLTASGFVNGFSTAPSSQRLAGKVAVITGAASGIGKAAATEFIRNGAKVIIADVQDDLGRSVAAELGPDAAYTRCDVSDEAQIAAAVDLTVERHGHLDILYSNAGISGSVTQTAVGALDLADFDRVMAVNARSAVACIKHGARVMAPRRRGSILCTASVMGVLTFGAPALAYAISKATVIAAVRAAAGPLARDGVRVNAISPHALATPLTLRSMAEMCPGMDEAALRRVVETDWSGLDGAVLEAEDVARAALYLVSDEAKFVTGHNLLVDGGFTAHKAVGMPSVAR >SECCE3Rv1G0143880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2292337:2295229:-1 gene:SECCE3Rv1G0143880 transcript:SECCE3Rv1G0143880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLALDCKVISDGFIPIPKTYNPIDGKVLDHSTFKGRAERLLSLSETMVSYVYDYGYSCRQCEEHGKRCAFNSQRNVTFCIRNPHGSRIKIIAATSSVATLVVLLSMVATTLYFSLKTRYNEEIHLKVEMFLRTYGTSKPTRYTFSEVKKIARRFKTKVGQGGFGSVYKGELPNGVPVAVKMLENSTGEGEEFINEVATIGLIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKYIFSRDSNIFEDILVPDKMLDVALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIITLTAARGTMGYIAPEIYSRNFGGVSYKSDVYSFGMLVLEMVSGKRNSDPSVGSQNDVYLPEWIYEKVSTGQDLVVTLDMAQEDKEKVRHLAIVGLWCIQWNPKNRPSMTKVVNMLTGRLQNLQIPPKPFISSVV >SECCE3Rv1G0183750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:654990133:654998492:1 gene:SECCE3Rv1G0183750 transcript:SECCE3Rv1G0183750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSMPKRRRGVAASPRCRKKQKRLDAICDVAPTPPPGGGGEDSDPESVRRSTRSRRAPVTLDTSPAPSPRRMRPRRGGGVAGSSGSPRRGGKGRARGQAVARVLDGEEDEEDGGGNAAWRSRLRDRAKGKAGAGRRVRTLWFEDEDEDEEGAEESGGLSSRGMEVREGEINLTIDVSVETHEAVEGVTVVEEKDEAKGAGEEDDEYDEEEEAIGGETDLVEGNMEEVVGEEDSMQGDEKTEQLDSPVLEGENGDENADEVEFGNLGENEQLNVHHGQIAEASNLPDEQQMELDGPGPDGQLEEVQQDERMDDAPNIVLSEEALNERVGKSLVSDEKRGVVDVKEGRRCGLCGGGTDGRPPKVALHDTADSENEAYEGAMPSEEPNYDIWDGFSDDPGWLGRLLGPIHDRFGIARVWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRTEACIFDHRKFLITCNDHRHLFQPQGDKYAELLRKMKIKKMKANIRKLSHDAWRKDIEAEEKWLENCGEDEEFLKREGKRLNRDLLRIAPVYIGGSSENDKAYRGWESVAGLSDVIQSMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKCQPSIIFFDEMDGLAPCRSRQQDQTHNSVVATLLSLLDGLKSRGSVVVIGATNRPDAIDPALRRPGRFDREIYFPLPTLEARSSILSLHTKNWPSPISGTFLSAVASQTIGYAGADLQAICTQAALNALKRTCPLQDILRFAEKGTEHGRLPLPSIDVEERDWLSALAAAPPPCSQREAGIAANDLVSAPIDSYLLPCLLKPLLHLLISLCLDERIWLPSSLLKASSSIKEVVFSSMEKNNVPHTFWSSYLPSLIQQKDIGNKIVSILSSYGLTASQLGNHGSMLLSQNKQHEKFDDRRLSSTCSLNKGGLAYKLTGFRVLVAGAPRSGQQHLVRCLIHGFMGQTVIHKLDLATMAQEGNGDILNGLTQILLKGLHLGRCIIYMPRIDLWAVNTVHEQETEDHGRNMGTTKLASSPVESMPKCSEVWNTLVDQMGSLSASVSISVLATSELKFQDLPCGVKHFFSTHVVDQCLSSSEHTVPRFSVNVDSSISWDEVLDSCALRLSRDLIQHHVQLLHDRAHNNRDEQKEVFAPMEISAPDESKSCENQESIILAKSSLYVDKRPSYPTKLATCSAQLQPSASDVKDKEEDPEKLDFHESVSRNPSSTTMKGNESLSIIAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLHSSTSSHKSLSEGHSVVKGKEKSLCVRGLVAVGLLAYRGVYASVMEVCAEVRKVLELLVEQIRIKILEKKSRYRYFHILSQVAYLDDIVNSWAYTFQRLHPDTRTRALGTKTSSLGKSCTRECESTSHATESNVQAGPVGGSTEVQDNSAQQSNGHLVGPASCPSENHDKPVQQGPDQLEIHSVVCNIGNDHLTSISRMDAVEHDLVCSVGVNNDWKMSRVTNGKKKCKPDIRRSESLSESVEDFNNMQRAENSSACPATMDNVEVSKKTTSSESHGSGNDVESGHSLNGQVQDSINNLPVPKSSCLYECCSACFRAVYKVSHDILSNSVRPNKHCLTVDDMHDILSSCSLKLLATVRKWHSSQGVIGCQEIGKKRYLEIISEHCVCQGDVGFVSRDCTCHLESSEEAEVSNKERHSLCGQSLSFFFKDGVLMPQDLTAGTTLHCSVKTLCVCSLPGTISMLIQIPS >SECCE7Rv1G0514220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:831461031:831462329:1 gene:SECCE7Rv1G0514220 transcript:SECCE7Rv1G0514220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVASSLVPAAAAASAYACRASFGRDAPEEAAAAVAVSSASPVHPAVAAPAISKDFIDFEFSLGGGGCTTSMLPADELFADGKLLPLRRADASASAAAAPEPEAAAAPAPPRLEAMPASPGPMKPIRGGAAAGAADQYVFSPKAPSCSSRWRELLGLKRAAAAQSPRTPSQSQSQSPSAAAALTKTPGRTTTTTAGSSAARSLKFLLQRSNGGRASTGTASDLATAPLLRDSSDSEASLSLASSRFSHSSSSSSSGHDHDDVNPRFSLDSAADPNPPRLRLVRSSHRHSTSSRAAHSPARRRPSPPRQDASRCLSVDSPRMNSSGKIVFQQGLERSCSSPCSLHAAARSRSMARAVDRSYSSGVRVAPVVLNVPVCSRPVFGFFKDKKESAASKDAAAARSSSRSSTLGRKQTTPPAPQRWSGELPRPCG >SECCE7Rv1G0496410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:612295277:612297667:1 gene:SECCE7Rv1G0496410 transcript:SECCE7Rv1G0496410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIMGPSESPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKSDRAKYESTARSWTQKYAMG >SECCE5Rv1G0360400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:769279905:769280312:-1 gene:SECCE5Rv1G0360400 transcript:SECCE5Rv1G0360400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVPFIILYLKKLATFLSQRSAWATRIMDLLFERARRKAAPVEEFQWLGLMLFVAVPFPGTGTWTGAIIASVLGMPFWSGLSANLVGVVLAGLLVNLLMNLGLKYAIGTGVLLLVVSTVMWGALRGVKKSLNTK >SECCE1Rv1G0054340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:674618397:674619206:-1 gene:SECCE1Rv1G0054340 transcript:SECCE1Rv1G0054340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAADTAAAVAAVAGSSDPAALPLSGRVAIVTGASRGIGRAIAAHLSSLGASVVLGYASSAAEADALAAELPRAVAVRADVSEEGGVRSLFAAAESAFGCAAPHILVANAGVLDDKYPSLADTATADFDRVLAVNARGAFLCLREAANRLPRGGGGRIVAVTSSVVGSHPTGYSAYTASKAAVEAMVRTMAKELKGTRVTANCVAPGATATDMFFAGKSEETVRRTAETNPMERLGEASDIAPVVGFLCTDASEWVNGQVIRVNGGYV >SECCE5Rv1G0341350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:623446652:623449929:1 gene:SECCE5Rv1G0341350 transcript:SECCE5Rv1G0341350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAEAGGGRPEYSIIVPTYNERLNVALIVYLIFKHLPDSKFEIIIVDDGSPDGTQDIVKQLQLLYGEDRVLLRARPRKLGLGTAYMHGLKHASGKFVVIMDADLSHHPKYLPSFIRKQKETGADIVTGTRYVSNGSVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRSVLEDVISCCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGISKLGGSEIVGYLKGLVYLLLTT >SECCE2Rv1G0127910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:856977737:856980871:-1 gene:SECCE2Rv1G0127910 transcript:SECCE2Rv1G0127910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSTVIGHPTDGSPVSGGGLSQNGKFSYGYASSLGKRASMEDFYETRIESVEGQLIGLFGVFDGHGGAKVAEYVKHNLFSHLLRHPKFMSDTKVAIDDSYKSTDSEFLESDSTQNQCGSTASTAVLVGDRLFVANVGDSRAIICRAGNAVPVSKDHKPDETDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEIVDESLEFLILASDGLWDVVSNEEAVDMTRSIQDPEEAAKRLLQEAYKRESSDNITCVVVRFFHGQGSGGPA >SECCE1Rv1G0008950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:50771833:50772567:-1 gene:SECCE1Rv1G0008950 transcript:SECCE1Rv1G0008950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLPPLLPTPARSEMLPILPTPPRSEMLPLLPTPQGLFLTMLVSAMAGRADFVHRWDWNKKGNKPCSSICSSSSSSSSSSEGGKSTGRADSVDRWDSDKKYNKKPCTAISSSSSSSYSAGSPGRADSVERWDSKKKLATSCSASLPTDHRGRHDGNNKRLPSPSRASSAERWDLHKKPRPEQMEKLPRTNAAGTTTPALATTPQKAMFAGSSFYASPEPSMLPMPSFFLLARSRALCTST >SECCE7Rv1G0477970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:230232821:230233530:-1 gene:SECCE7Rv1G0477970 transcript:SECCE7Rv1G0477970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVYYSTWGHVATLAEEMKKGADSVPGVEVTVWRVPETLPEEVLGKMHAAPGREDHPVITASQLAEADGILFGFPTRFGMMAAQMKAFFDSTGGLWQAQSLSGKPAGVFFATGTQGGGQETTALTAVTQLTHHGMLFVPVGYTHGAGMFAMDEVKGGSPYGAGTFAGADGSRVPSDAELALAAHQGKYFAGIAKKLKAV >SECCE2Rv1G0109150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:673198795:673203434:-1 gene:SECCE2Rv1G0109150 transcript:SECCE2Rv1G0109150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQVGGWNGGAGLIFALRFHPMRGAGFPVSALISRLLGWSKSRSHGRPQGSVMVVAAVALYVAALFASDQQPRRRRAASAAARPTSATRPRALHSPAPDDGLRILSSNDENLENVIHSVSVGAGDDEPVLVARVQTMPPDVAGATSAWETEHDRLEKEEVQRFKELWLELRLMDLDGLREQEATVKELESRVGIAAVEARLLELKVLSLREENERLKAQAAELEAVRAQLGRAKEKLRALKERVQVEREESQSEAAALRDKVMELEKTGEKREWVLAAELGRATATLEEDKRELARRLQDAEQVSSAVRLLHEDDMVDEASYLREANERLTRQIEQLHSDHCVHVEELVYLKWVNACLRHDLRGGDHHPSSAQQHQDGVGSAMPSAMDLSKSMSYRSSEKAKELMLQYGSLGLDGYDPALNESIYGDGGSHQRRVGDHDELGRSTVVTAAAPEHRAGHGKLKFLRNIKKLLASSTRSHGHDRKSKKAAPDHEHLEKAMRWLSSSSHDALGADSSYESTPLSSCDRTPLSSVATLDLHARARGGEVPSAPRLEAETKLARSKSDNGASFGREATRYHALRPDRPAGLGTDGFHSPEKITRYSDELRSS >SECCE1Rv1G0051960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:657996693:658000493:1 gene:SECCE1Rv1G0051960 transcript:SECCE1Rv1G0051960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHKLATMVGWSASAFVAAVLARLIRKGIALLAELDEAAVGHLRRLEGLLAPVWRVLDAADAGAIDSSQRPIQDLLDAACSADDALDDLEYALLHTEVAGGGDPGSNASTPASAAGAGATRKPRSPMRFLLCFSPPRTASSAGSSLWKSSSKKRSSAVNGHLDGLREAFEAVAQAAYRCTSMYEHVVPRKNHATIVSVRSPGDAVRDKYDIFGREAEVDQIVKTVRLSDDLRYRLGVGVLPVVGAEGVGKTALTQLIFHHEIIKAEFPLRMWAHVSGELLLSKQLMLQMIHPVVAGDAGHDIQDARELLLAQLAGKRFLLVLDRVTDVTNAQWRDLMEMLQPAARRSLIMVTTQSEDAATAICTMPPLILGPLEFDDYWRMFKHFAFGAADEAEEDCAPLVDEWDDLEEEEEELSPMEQIACQIAKNMGCSPLPARAIGRSLYFRRDEEGHWKDVLDDNLWRQGEIGGISPALWLSYQHLDPRLKQCFAYCAVFPGDYVFRKEELEQMWVAHGFIYSDDPAATLEDVAGEFFDELVERCFFQPLGRNRYVMHNTMQKLAQAVSGSQSYMVTDSSGEVPQEVRHLTITTNNLLKLKMDLALQLSHPSDHHFLQRVRTILFFQDFGDSDDFLEVLAEVFSITKSVRVLGLSSANISLLPAEVGLLRHLRYLNLSRNRLTELPETMCQLHLLQVIDVKCNSPYLRPPNVMTNLIHLRHLRACEAFLSVIPDMQLLTNLQELEAICIKSSAHANALRQMVQLKGALRVANLRRSDASGFKKGILKGTKHLNKLQHLNKLHLSWASSSSMAGSNEVSVDEEVLECLQPHENIKILTVSGYAGMRSPPWMLKTSCSLPNVTSMCLTDCLNWESLPCLHDMPCLEVLEIKRMHSVNKVAISQLSDQELFPKLKRLIIEDAPHFTGWSTSNSTRHMSFPCLCKLEIRNCPSLTTFPDVPLSLTTMIIENVGLELLPMIHDKQSSSEDAITSTSEEGGRWTSRLTTLHIHRCHKLRSLGSGLLQQQHLLRSLEALSIKSCDDVACDLSDGFKDLTALRDLSLYDCPKLLVDKFHASLRTLEISECFVARGGWVDEYPFLFSVWVLKISGCSHVSSDHGGKVVGPLDWLSSMFNVYSLQLENTSFVSLSMFDKLHSLETLEIDGSRGAFFDGSWEFEWLEKLHTLSIRSCGELSELPENLYTLTALEELSVDNCPAIQALPANGLPASLKRLSISKCSPELIQRCLDDELDRPKIAKVGVVYIDGQNIAARQE >SECCE3Rv1G0187970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:707814525:707817643:-1 gene:SECCE3Rv1G0187970 transcript:SECCE3Rv1G0187970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVGARRALFAARYSPRGELAAALLSPARRVDSPHSLPAERGCPRSLVPNRGAGSVASEQIDGDYHRDWGLQNAGNYGESRPKHSPDHFSRPLQRDPPSAHSSEGIDRNKGVHADGSVNAHYGRNSEQPYQSGGSYGLPDSRQPYTGATVNNEPPGYTGRQSYGGNSAYGHQNPKGNIPSAHQQQTVTPAINGLAADGNVQRGRDVTGYGCSSGYNSRSNQESYTSGQYGYGPSAQSHQSSTGSDQQVFQQQKVDRISNGNYFNKPGNSASQYPTPSSSHKEHVAGSQQGHNGDFEYNTRQPDQASSANNHPGHNGGPPSTQQQHNGTGYATHNFGYNTQSNQQNYIGRQNGYGPSGKSYQNLTGNVQQQNDYQSHDHANRPVNSASQYPNTSHFHKEHVPGFQQGHKGDFGYNASQAYQSHYTTNKIDTQRNHREHFMNVNTDVQHSHNRTYPESHSDIQPITSAGNNLSSKPYQDNIYSQHSLPGSLPNDGSPSEVSDEVSGISKGTIEELDKLCEDGHIKEALEALPVLQGKGIVLHAPQYFKLIQACADASALAEARQIHNQISQSELAVDIDVNNKILDMYAKCASMEDAKKLFSTMAQHDLTSWSTIISGFVHNGLGEEATDFFDRFKQTGDKPDSGMFTHVFLACGILGSVDEGMLHFESMQKDFGIIPSVDHYASIVNMLGQSGYVDEAREFVERMPMEPGVDIWESLMNMCRLNGYLELGDRCAQIIERLDPSRLNEQSKTGLFPVNASDLAKEKERKKANTAEARSKVHEYRAGDRSHPETLKIYEELRYLAAHMKEAGYIADTRFVLHDVDPETKEDALLAHSERLAVSYGLITSAVRSPIRVIKNLRSCGDCHTALKIISKLVGRQIIARDAKRFHHFENGVCSCKDYW >SECCE1Rv1G0004300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:17167539:17172242:1 gene:SECCE1Rv1G0004300 transcript:SECCE1Rv1G0004300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAPRLTAGAVREIWELPDGPGTIQPVLQVADLRPVTTKNPVGHQSERYRMLLSDGLHSQQSMLATTHNHLVKSGALRVGAIVQIHDITCNTIQNRRIIIIVKLEVLQSECDLIGKPRIYEKSLPAGQVPNLPASAAQANNGNYSNGPGMLGNGVAPKVEQSTHNQSYGGPYNGVHSPANPSSGPNNVSPGGSYGTMSAQNTMNGNMVQPNSQRPYNGVHSPVDPSIGRTVQPGPNNVPPGGSYGTMSAQNTMNGNMVQPNSQRPLLNSHQNQRFAVPGAGGGISPPGNVYGRPAQPSYQQPPAAYTNSGPAAKNGAALRTVPISALNPYQRTWTIKARVAAKSQVKHYNNARGPGKLFNFDLRDAHGGEIRAVCFNTQLDQFYDLIEVDKVYLISRGSLKPANKQFNHLNNDYEVTLDSATTIEVCSDDDSSIPRQQFDFRQISEIANMDKDTMVDLLGVVTSVSPSSPFTRKDGAETQKQVLQLRDMSGCSVEITFWGGFCNAEGQQLQSLCDSGSNPVLALRSVRVGEFKGRNVSTIGSSFLKINPDFPAAKSLRQWYITEGKNAAFTSLSMGGSGMGRTDDRKTVEQIKAENLGRSEKPDWITVKGAISHISTDNFCYPACTTEVNGTRCNKKVTNNGDGMWQCDKCDQSTPNCEYRYMLQCQIQDYTGTSYATAFHDAGKDIIGLPAQDLYRIKHEEQEDEKFADIIQKVRFELFLFKLKVKEEVFNDEPRVKCYIVNAQRLEDTSKESRFLLGPIDTLLAEDGLGPTPVVNGAAAVNTGFTSNSTGTYSTNISGPNQFGQRTSSSSMVPATPSVTRYSQNCSVCGSSGHSVQNCPEVAMDMQQPAASGYAASSYGSSPGDAGSGLCFKCNQPGHFSRDCPQQEATSYRSPVTNANANSGLCYKCNQPGHFSRDCPGQAANSYAASAGGNAGAAGLCFKCNQPGHFSRDCPGQAANSYGASAGGNAGAAGLCYKCNQPGHFARDCQGQAAAPQRQAYGNGAASGGYNRQSYVGSF >SECCE2Rv1G0137830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920120852:920124027:1 gene:SECCE2Rv1G0137830 transcript:SECCE2Rv1G0137830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPLAACVVVFHLCLLLSPSSSLRRLSEAAHPGGGIRPAYHFLPAKNWQNDPNGPMYHKGVYHLFYQYNPLGAMWGTGNLSWGHSVSRDLVNWVALHTALDPTAPFDANGCWSGSATVLPSGVPALLYTGIDAHKVQVQNVAFPKNPADPFLREWVKPTYNPIIPHPSDVPSDSFRDPSTAWIGSDGMWRIAVAAKVGGPMGIASALVYRSKELRHWKRNASPLYTSRAAIMVECPDLFPVAGPDMEEGRLDYASIAANGAVRHVLKLSVMNTTQDYYAVGRYDDAADTFTPQVDVERNSDDCRTWRRIDYGHVYASKSFFDAGKNRRVLWAWANESDSQADDIARGWSGVQTVPRKVWLDGDGKQLRQWPIEEIETLRTKQVISLLGAEVNAGGMTEILGAGAQADVEAVFEIPSLEEAESFDPNWLLDPQKLCAQKGASARGGVGPFGLLVMASGDMREYTSVFFRVFKYNQKYKVLMCTDLTRSTTMTTVYKPSYGGFVDMDIEEHKRSISLRTLIDHSVVESFGGGGRTCITARVYPKHVKTSDSHMYMFNNGTGVVKVSRLEAWRLATATINTIPSGS >SECCE7Rv1G0506700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:752076098:752077901:-1 gene:SECCE7Rv1G0506700 transcript:SECCE7Rv1G0506700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASQLQQSKPLTEADVQFDSWAEKAICNFIFEVGLGPRFLHLTSFKEMLQTVHNRDVEIPTYESMLREELKEAQHRAMELKQEWKKSGCTLIMDSWKSQCGTKSFISVSVHCSKGMYFLRSIDVSGILEDMDELVSVFSRVVDDVGARNIVQVIRNDASPHMRMAWHYVQKEHDHSFFVPLCVDFCINLLLEKFAAFDHVSEVLAKAKEITRFIYGNVLAYELVGRYIDGGEILSNSCLKSVAEFITLDRLVSERENLVEMFSSPEWVSSDRSTRMFNPLDWISSGFSTTTSLFRHICDIVKTDDAFWCAAADVLKVTKPFVDVLLKLESEDCPMGILYDAMASAKDIMHNLGDKHGDILHWVDEIWYGYLHTPLHAAGHMLNPRVFYKDPSCDDPELSGRIEACTTAIAKGHCVPGKLKAQIEVYKGKSGSFGSDSAIQQIMEIPQVVWWSVHGTGTPELQSFATRILRQTCFGTKRYNISWQVSEEAHETRGADLEELYRGLEYVHYNRRFASAAPLIRGLSGDQCGKPGRKLGSDWFLYPRRRQSIIIGSSNT >SECCE3Rv1G0201410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:863587651:863591788:-1 gene:SECCE3Rv1G0201410 transcript:SECCE3Rv1G0201410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLPAVGTGPSSAIAPVTAAAAAAPHRYRPIRLRASPSTVSSPSTSAISSSPPPPSARHSRKHLAGRGDAPARPSKDRVFFLDVNPICFRGSQRSLGAFARWLALFFTHVSLRDPVVAVFDGAGGNEYRRRLLPSYKAHRTRGVGTGADSRVVDVLRECNVPIVQVDGYEADDVVATLTDQVLQKGYRVVIASPDKDFKQLISEDVQLVMPIPEIGRWSFYTLKHYVAQYKCDPTVDLSLRCFMGDEADGVPGIQHLAPGFGRKTAVKLLNKHGSLENLLNTAAVRTVGKEYAQDVLVKHADYLRKNYEVLSLRRDVNVQLDDRWLSTRDTCNDTSVLSDFITKFN >SECCE2Rv1G0104560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:608307416:608309073:1 gene:SECCE2Rv1G0104560 transcript:SECCE2Rv1G0104560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAGSRIEVANLLSLGEDLVGVLLGRKDGEALVQTCDGARMLCSACSSDSGDLELQVKAVSDELDNLDRQRISIEERKDALKKKEKDMLKAQSMLSMCVSVTNIMPNFEGQEKNSGYIVDKNMKKIDKFEFEKTVSPVEICDKLWKGI >SECCE2Rv1G0065900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10522404:10523294:1 gene:SECCE2Rv1G0065900 transcript:SECCE2Rv1G0065900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVMASSATTVAPFQGLKSTAGLPVSRRSGSAGLSSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSTEALLKQVDYLIRSKWVPCLEFSKVGFVFREHNNSPGYYDGRYWTMWKLPMFGCTDATQVLNEVEEVKKEYPDAYVRVIGFDNMRQVQCVSFIAFRPPGCEESGKA >SECCE1Rv1G0008020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:43630409:43631312:1 gene:SECCE1Rv1G0008020 transcript:SECCE1Rv1G0008020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATATAAATSVVTGTTRWCPTPEQLMILEEMYRGGLRTPNASQIQQITAHLAHYGRIEGKNVFYWFQNHKARDRQKLRRRLCMSHHLLSCAHYYAAANAGQYHHQQQLLGAGAVPPPLLHQQQQQQYYSASCAGGGYDQHLLPTTVPASAYAAAAGYAYPFAAVPASRCADPSPPNTPLSFHHQGGGVVGSPEYSLGRLGNFGVVEDTCRPSRYEQQQPQLAVATEEQAPVTATGLFCRPLKTLDLFPGAIKEEQRDVA >SECCE1Rv1G0029880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:421574803:421575384:-1 gene:SECCE1Rv1G0029880 transcript:SECCE1Rv1G0029880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPATNAAAGDDPDATDNQQQQQQQQQQQQAQPETQDDADQLSELRQIFRSFDRNKDGSLTQLELGSLLRSLDLKPSTDELDALIHRADTNSNGLVEFSEFVALVAPELLDDRSPYSEDQLRRLFEIFDRDGNGFITAAELAHSMARLGHALTAKELTGMIEEADTDGDGRINFREFSRAITAAAFDNIFS >SECCEUnv1G0542020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98451196:98451774:-1 gene:SECCEUnv1G0542020 transcript:SECCEUnv1G0542020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSTDMKDRNMLFAAIPSICASSPKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNEVIPEESNEQQRLLRISLRICSTVVESLPTARCAPKCEKTVQALLCRNLNVKSATLLNATSSRRIRLQDDIVTGFHFSVSERFVSGSTFKASTIDLIREGLIVLRKVRVGGSI >SECCE5Rv1G0362950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:789913559:789916673:-1 gene:SECCE5Rv1G0362950 transcript:SECCE5Rv1G0362950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGVGSNRGGSSHLPSSHRDLRGGRSFLFGNTWFMLSAYPARLLHTADRRAPAAAFVAAAIHRTPRVVRAHGGTGQGLLQRGIVMAACGYAFGRADLGAAAKRQLEKDSSSVAAHASRIVAMGPAGSAARPDVSFKYRGLEYCKKVGMSLRCREQWGPARTFWTSAVGPGRQLSFSLDPWARDFSTSCAAPYSAGATESQLTLDEALQEKQTDNSTVASDEKSPAPEKLKLVSGSCYLPHPAKEATGGEDGHFICIDEQAIGVADGVGGWADHGVDAGLYAKELMSKSISAIKDEPEGAIDPSRVLEKAFTGTKARGSSTACIITLKEQGLHAVNLGDSGFIVVRDGRTVLKSPSQQHDFNFTYQLESGGGSDLPSSADVFHYSVAPGDVIIAGTDGLFDNLYDNEITAVVVEALRSGLGAQGTAQKIAALARERAQDKHRQSPFAAAAQEAGYRYYGGKLDDITVVVSYVTSAAAV >SECCE2Rv1G0125670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:842568665:842571496:-1 gene:SECCE2Rv1G0125670 transcript:SECCE2Rv1G0125670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEEAKAPPRMNRRQWKAARGNREDKWTRKRRLRLEATEEERRAQVAAEAAADAAADAAEREDEEAAVAMRYRDNWIWTLSRLCGSYEDATSIKPMRYTDEPPPPFAGVGYANAVVIFSVKVTQLDDSLDWPLDVYGIVAARDSIDRNRNLLFNRTRDNCQRLTSGDASLLLTGPSRAVVIVDPVNYEVELKVKGDAPSEDKLLSLLVIEDKYYVPGDRRQGVHCHTYSSKLSTVEVTVGHLAHTVEATIAVQVVEGSWPTGHYGRFAARMASLDDLEMVLLDSQDGMVPVTNDGAIEFSRCVVSVEADRELTLGVDVWQGDGKAAKYQVRFVPGKAGRSEDVCDVGFCKMRFTVAWSLLLNW >SECCE4Rv1G0231430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:183623368:183626152:-1 gene:SECCE4Rv1G0231430 transcript:SECCE4Rv1G0231430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGQWQHSTGTQTHMHTLPRLPIKMKRGLLVVLWSLFCLCVANTATGNKPKHPIEFDQLEISRKYVPAKQYASASKSPSSSSVHGVLQDYHRKRDKIIAMPGQMEEAKFTQYAGYVTVDANAGRALFYYFAEAPQDPSKKPLVLWMNGGPGCSSFGAGAMLELGPFSVHSDNKTLYKKKHAWNTVANMLFVEIPAGVGYSYSNTTSDYHNTGDKRSTDDAYTFLVNWLERFPEYRDRDFFITGESYAGHYVPELANLIISNNRARSATNVKLKGVAIGNADLQYNLTLRATFDYFWMHAMISGKTYRTVQANCGFNGTYTKDCDNAMNLAIKEKGNVDDYNIYAPICRDASSPLRSSDPVVFGDPCTNHYVSSYLNRPDVQRALHANTTGLNYPWTDCSQLVFDNWKDSPETVLPSIRKLISSGTRVWLYSGDMDAVCSVTSTQYALDILDLPTETSWRPWRVNNEVAGYVVGYKGLVFATVKGAGHMVPYYQPRRALALFSSFLEGKLPPQ >SECCE2Rv1G0084810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:181359763:181360758:-1 gene:SECCE2Rv1G0084810 transcript:SECCE2Rv1G0084810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRGGAAAVRLPAMNALEILRETVRVLRADPHAFTYVLFLLLCPASGFLLLSAAALEGAVVLPLARRLLVAAASSGVPVTHFVKQLAHHLAATLVAAVVSFPALLTLLLAARAAVAYTVAAVYAGKPLPATDLSLLARRAWPRLAATYGLSCAAVAACLAAFLTLLVTVCSTLKSMLYPPDIVVCAGLLTVLAFSIVYAHTIIVCSLGGVIAVLEDVAGVNALRRSVQLMRGQTHVGLLIFLVSTIGLAFVEGLFEHRVKTLSYGDGSSRLWEGPLLILMYSFVMLIDSMMSAVFYFTCRSSSLAILDEEGGSVEEIEMMMDDKSDAMR >SECCE3Rv1G0173950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:367873528:367875268:-1 gene:SECCE3Rv1G0173950 transcript:SECCE3Rv1G0173950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRAALAVQNRPKAVAVVAADNCRKAIKKEKENNRVKPEEIVISSDSENEKKNLGKRATSWREPLLTTILTKCSRESDGVISSTKKVSATYNIDKADAHNELAVVDYVEDIYRFYKSTEGSSRPLCTYMSSQTDINERMRAILIDWLIEVHHRLMLMPETLYLMVYIIDQYLSMENVMRNKLQLVGVSAMLISCKYEEIWAPLVKELLVLSDNAFSREQVLSTEKSILNKLQWNLTVPTVYVFLLRYAKAAMGDKELENMAFFYTELALVDYSMLVYSPSVTAAGAVYTARCTLNMSPLWSDMLEHHTGLGESQLMQCARRLASLHSTAAGSSKQKVVYNKYANPKLGAVSLYSPAKRLAIRALV >SECCE4Rv1G0283400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:835425592:835428309:-1 gene:SECCE4Rv1G0283400 transcript:SECCE4Rv1G0283400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAALLRRHGLAAAAANPVLFSGHGLRYRKLEVILTTTIDKLGKAGETVKVAPGHFRNYLMPKMLAVPNIDKFAILMREQSKLYKREEEVVVKEVSKEEDDARQAEEKLKQCQAAAKRLDNALLVFRRFISEGIELRVPVTKDEIVSEVARQLNVNIYPDNLHLVSPLSSLGEFEVPLRLPRDIPRPEGKLQWTLKVKIRRP >SECCE3Rv1G0153910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:57735291:57736664:-1 gene:SECCE3Rv1G0153910 transcript:SECCE3Rv1G0153910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEDGARRRRRCSQTHASDEADGVTRRRSPRLHPQVHPSEEAAGVARHRSTRRPSQIRATGEGAGVICRSGRRRGSFPAALASPLEDEDLLREILLRLPRKPSSLVRASAVCKQWRCAATDPKFLHRFCLHHRKPPLLGLFHQRNHDIVFTPTMDRPDRIPPNRLDLQLDGHNMVAVQLLDCRHGRVLLTDKRRDELILCDPIIGEQRIMAVPPEFVRKYFSGTVLCAVIDHDHLNESCHSSPFKVVLVSYLRGDNQLTVCVYSSETGVWGHIISTTPSYRLFDYSIPGLLVGNALYWLLHIIGAGILKFDLDEQSLAVIKGPLVTNDFSHGSCIIQAEDGALGFAILSYPHLQIWQRNIICHGVATWVLWKTIDMRTILGLPKQIRGNRTLIRNILGCHEDCDEILVSVDRGAYMVQLKLMKSRKLCENSYFTCYHTFKSFYPPGDFSSLVLIL >SECCE1Rv1G0008010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:43461650:43473023:1 gene:SECCE1Rv1G0008010 transcript:SECCE1Rv1G0008010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGCSALWLALVLLLALALALALALSPSLTKAAGGFSCSPGARPVVFNFGDSNSDTGGIAAAKGRHITLPEGRAFFHHPTGRFCDGRLIIDFLCESLNISYLSPYLKALGSNYSNGVNFAIGGSRTLPRDVLFALHVQVQEFLFFKDRSLEFINQGQEAPIDAEGFQNALYTIDIGQNDVNALLSNLPYDQVVAKFPPILAEIKDAIQILYDNESRNFWIHGTGALGCLPQKLSIPRRDDSDLDQNGCLKTYNRAAVAFNAALGSLCDQLNVQLKDATIVYTDLFAIKYDLVANHNKYGFDLPLMTCCGYGGPPYNYDLSRSCQSRNATVCADGSKFVSWDGVHLTEAANAAVSAAIRSSAYSRPKLKFDQFCKS >SECCE5Rv1G0319830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:379255832:379259713:-1 gene:SECCE5Rv1G0319830 transcript:SECCE5Rv1G0319830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPSRTLLIILMALVSPIAALPPTLGEQAGALLAWRATLGGQGQHALRSWGNTSTLCIWRGVRCGTRRRPVITGIHLRGLRLGGTLDSLDFAALRALTSLEALDLSRNRLAGTIPPSIAALGQLHALQLQGNQISGSIPPSLANLTRLQLLMLHDNQISGEIPARIGELGNLVSLDLSGNQLVGSIPCEIGHLNHLVRLDLSDNKLSGPVSFCPDNSTVNLQNLSRLSLSRNNLAGPISEDIVNLFSLQHLDMSQNNFSGSIPGGIGDLNKLTFLHLSDNQLSGQSPPEIGKLARLKQLNLSANHLEGYIPTSIGNLTRLTTLNLSTNELIGPIPKEIRNLVNLEHLGLGQNKLTGSIPNSLGNLTRLTTLDLHDNQLSGNIPRELGHLVNLEELNIYNNTLSGSIPSSLGNLTKLTTLYLCYNKLSGSIPQEIGNLRKLVWLTLSSNKLSGALPSGLCSGVRLQNFTAYNNMLVGPLPISLLRCTSLVRFRLERNQLQGDISEMGFYPNLVYIDISSNKLFGQLSHRWGECHGLSMLRASENSITGVIPPSIGKLSQLRILDVSSNKLEGHIPPEIGNIMTLFNLSLGNNLLRGSIPQEIASLKNLEYLDLSSNNLSGQLGGSVGQCLKLRLLNLSHNQLNGSIPMELGMLVNLQGLLDLSDNSFSSMIPTQLGDLSMLEALNLSHNALSGRIPSSFQRMNSLLYMDVSYNKLEGPVPQSRLFKEAPTEWFVHNTQLCGDGVKSLPPCDHTSSYQKGRKSRAILLATIPATVTFLFITALAAWQCKRKKSKAESAKGLEQVKMFAIWNFDGEDVYKQIIDATKRFSDAHCIGTGGSGSVYRAQLPTGEIFAVKKIHTMEDDKLFHREIDALIHIRHRNIVKLFGYCSAAHQRFLVYEYMDRGSLAKSLQSKETAIELDWTRRLNVTKDVANALSYMHHDCFAPIVHRDITSSNILLDMDFSACISDFGLAKVLDGDASNCTRLAGTNGYLAPELAYSTRVTEKCDVYSFGVLVLELFMGHHPGDFLSSMANKSTPLEDLLDIRIPFPETEIASEIFKVIAFAVCCIEPNPSCRPTMQQAIKVFSTTERPDDQLDYLQTDIVIPSTWS >SECCE2Rv1G0120810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:802018870:802020663:1 gene:SECCE2Rv1G0120810 transcript:SECCE2Rv1G0120810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRVSPPEPFIGVSMERFEARLESDGFGPGSLGPGMDMVRTVVQCSLPMPPVSPAAPLSLAGAAWLPGGGGADRISALPDRLLRDIISRLPAADGARTAALASRWRPLWRSAPLVVADTHLLPLGVGEEDSPGVADLVTRVLGAHPGPFRCVHLTRTAMDAHRGEIARWLEVLAAKGVQELAFINRPWPLDIRLPATLFRCAPLTRLFLSAWRLPDTAAVPRSAAFPNLRELGLSVVAMEDRDLAFLLDRSPVLEILTVILSQVPVRLRLVSHSVRCVQLCYSIVAEVLVVDAPRLESLLQSWGLGNKPRRCCRVKIGHAPKLRVIGYLQPGEHDLEIGNTAIKAGTKLTTSTLVPSVEILALELKFQVRSHLKKVPNFLRCFPNVETLHIQSEKACEEPTGKVGLKFWLEGGPITCIRQQLKKLIFREFRGSTNEVAFLKFVAEKARVLEKMVVVVYLSSGVDVSAMLKPLTCAKWANEACKLQVYKSIYSDGSRPVYSVRLASEVSPANPFDLKDYYESLL >SECCE5Rv1G0298650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:13357419:13361894:1 gene:SECCE5Rv1G0298650 transcript:SECCE5Rv1G0298650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLSAAQWVVGKALAPVSDGVLEAWGATKNLGINIEALRMELLLVKATLETASHKQVDGPAMQELLGNLRDSALSAEDLLDELDYFRIHDQLHGTYEAADQHAKGRVHDLALNARHAAKAVGKLTFVSSCYWSAANPTGDSGEDAGQRASCCAWPRARQRPRGNSSSTPNANQEPTLSGAPQRETPMPGFNRVDFSERMRHIVEQLQHVRKEVTQILQSCHRITIPDISESRPITIGQSIEPKLYGRDDTMDTIIHDMTKGIYLNSDLTVLPIVGPGGIGKTTLIQHIYRNQQVQNHFQVVIWVCVSLNFNLNKLLEEIKTYIPRVEGEKDGRVEELIEQRLKSKRFLLVLDDIWNFSDEDDWKRLLLPLKTSQEKGSMILVTTRFPAIAKIIGTTNHPIELEGLKSKYFRELFHAFVFGDDQFKGDHRFLHEIGDKIMVKLRGSPLAAKTVGRLLRKKLNLSHWIRVLESKEWERQNGSNDIMPALKLSYDHLPFHQQQCFSYSALFPEDHRYSATELINLWIGLDILQSVGRNLTLQVIGLSNLNDLVTHGFFREEKADGRVYYVMHDLLHDLALQVISHECLTVHHSNVGSVEIQPSIRHFSIIIDDGDIVSRENFKSELRKLMTRLRIKQLRTFMLFGKMDKSFASILSDYFREANALRILRLVGRPSSVVLMLSNFPALVHLCFLYLGTERNMLPRNGRKMQLPHSISKFYHLRILDIESLGYCDLPKDFTNLAKLRCFYTYSHELHSHISNVGKLGLLEELNVFRVNKESEGFEPMQLEHLAELRELGIYNLENIHTKEEADKANMRGKNYLERLTLDWDTERSNIQPGVEAMVIESLQPHRYLQKLCIRGHGGPSCPTWLGVELAVEALESLDLCGVSWERLPPLGKMWGLGTIVLTRIATVKEFVIEQSFCRLIRLELVGLESFGKWVPSQNVDHMFPLLQFLLIRDCPKLLQLPFSNHLVDPKPDQEWNIDWFPNLQWVMIERCPELMLVARIPWTETLHIVSIRDVKLLKEVSYNSKSSYLHITGKDDLQSLDEVVAFNNLTGLEELTLEKCPPLQSKHLLLLTSLKKLVVHGSNGVVGPLGGEGDIGWQHPIEHLVVHESSGKELTELLTHFPRLSALEIALCKNIAQLAVGSDVQHGTSAAAAAEVELQEEDGLLLLPAHLCDSLQDLLIRRCPEVVLVDSSSSSLARTGGGGGLQALRSLQKLQIWSCSKILSAKSSPSCCLFPSSLQELSLENVEGMRTLEPLSNLTSLTKLGLRNCGEDLRCDGLVPFLTTGGQLRELDIGGSPTFFSGWDHNNPRHDKGGEEQELQQLISTQSSSKLQVLNTDEAMGLLAAPICSFLSSSITHLRLYDNDKMECFTKEQEDALHLLVSLERLDFFHFNKLQSLPAGLHKLGNLKILWLYSCLAVRSLPEDGLPKSLQELHVYGYGNWELKRQCRLLVGTISKIMLD >SECCE4Rv1G0283630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836076610:836080951:-1 gene:SECCE4Rv1G0283630 transcript:SECCE4Rv1G0283630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATVGEAEAALGRAMTWAEAAWFRYSVATPDYYLYCHLMVIFLVVYTLAPLPLAVLQLRAPAILLPYKLQPRVRLKPAAFLRCYVRTVRVFLLAMGPVQLLSYPAVKMVGIRTGLPLPSAGETAAQMAVYLLLEDYLGYWVHRLLHTKWGYDKIHHVHHEFTAPVGYVGLYAHWSDLALIDAHDGFDFPLNPAKFIPFYGGAPHHDYHHRVGRKSQSNFASVFTFCDYIYGTDKGYMFHKASLAKLKEMAQNSTEKRETMNFIGGKQA >SECCE1Rv1G0005110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:23669350:23670712:1 gene:SECCE1Rv1G0005110 transcript:SECCE1Rv1G0005110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKPTVAMVAVVVMYAVMNTLTKAAFNEGMGTTIFLVLRLLVATLFLAPIAYFKERKSRPKLTTEIFVYLFFSALLGNSLAQWLFFRGLRSTTATFASAFNNTTPMFTFLLALAFKLEKLDVATCSGAAKLTGTAVGLAGATVLALYQGPALTGAPSADHLAAAAHCGGRSWAVGSAALLGYSGSLSLWFILQSKIGSKYPALYSGTAWMFLLSFVQMAVVGASTEKRTCQVWVPGTALQVVTVLFAGVAASGLGFLAMSWCVERRGPVFTTTFMPLIQIVTAGIDVAILHEQLHLGTVVGSAVVVVGMYLVLWGKSKEASTINGPPPSDSELELEVIAEQRV >SECCE1Rv1G0042790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:584195613:584196621:-1 gene:SECCE1Rv1G0042790 transcript:SECCE1Rv1G0042790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVTPATSVVSELVVRGRESAAVLEALLQGDSAREHGGIRELAAEILLCCDRALAALHGRDGVHAIALASRKRKSSEPCGAAAQTRPKRRMRASSGSTATRVEKRWTAEDGYTWRKYGQKEITHSNHPRLYFRCSYKHDIGCPATRQVQQSDDDLSLYVITYFGDHICSQADGVAAAEEEDVKMQPFVINFQSATANSTSGSPWQNSDDGDGRIEISRSPHAICLPEGEGDGLRLKVTKVETTSLDSQPAGPAAAVLSSSPDVSCASPPWDPLSSCLEWDQFAESSFDFVSEFINFDGIALYQ >SECCE7Rv1G0522190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876250515:876255867:-1 gene:SECCE7Rv1G0522190 transcript:SECCE7Rv1G0522190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNPPPAFSPTTTAPALRLCLPISPPSSFAVGSRVPLRRRRRRRAALSGCRCSSSSSPEMEAGAGTALYPAHRCKTIYLVRHAQGIHNVEGEKDFAAYKSHALLDAQLTPLGWSQVDTLRDHVTKCGLAKKIELVIVSPLLRTMQTAVGVFGGGNYTDGASATPLMVEGAGNSERQLISSLNCPPFLAVEACREHLGVHPCDKRSSITKYRTLFPAIDFSLIENDEDVLWEPDVRETNESVALRGMKFFDWLWTREEKEIAIVSHSGFLYHTLNKYGKECHPTIAEELGKHFANCELRSMVLVDRSNLGSDTSTYNFAGKIPTGLDMPSDVADEKQAEEASKN >SECCE4Rv1G0296660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904058275:904059202:-1 gene:SECCE4Rv1G0296660 transcript:SECCE4Rv1G0296660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSATMTVRDVLYMYSVARQAYERFMSVCGNPEQARNTVALLVWLDQGTISAIHHVPCIDDGAVAIIAEEANAVLECLRYPLPVLPPIPLISTLCMQGGVYIEPGFFAFHQDLVVRGVAHFLDGAGKLVFDDRLNVLLRRSETGLVGNPPELMAPYSPLPVAVPEDCRSMFVTFSKTMPLHREEVFDYFREKWGDCVARVLVEKTTGGNMPTYGRIIFKTEAVLNLVLNGERLVKISIDHREIWLRKYIPRVTNVDA >SECCE1Rv1G0008590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:47996166:47997822:-1 gene:SECCE1Rv1G0008590 transcript:SECCE1Rv1G0008590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGMRQSRVRFSGVGQEDQGSGQAMAMPPQQQQGTPFGRGQMNEGEEYDAAYAATVAAVAYAIAAMEEEKLPSQQKPIPEKTASRQKRVTVHEPTAAPPPRSPPRRGGSMKRPTEGSKISRLFSGNKEIVEVGNEDEQEANVSVRRPVKPAQKKPGGPTTPGQNVVAKVVDSIPNLKDNPSFTRKTPDKKRSRNFEQEEANQRAKPGVNPTASFQRERKESWKHEQEPANQRAPPAARPPGMVYSSEAERMAAAWEKEKLAKIKEKYNETMETIAEWETDKKAKARRQKEPKEGDSERKRAKALEEYNDEMKRISKVAAASRLSAEDKKRNAEGKVWEKAAKIRSTGKLPRSCGCF >SECCE6Rv1G0435740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772783449:772784176:1 gene:SECCE6Rv1G0435740 transcript:SECCE6Rv1G0435740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYNGGRTMPYSNTDECFDGGRTMYSNTTDDCYDAGKHGHGHGHGGPNMYANTTDVECFADSGRHGYGGGGRTMYSNTVDEECFDSGRHGHGHGHGYGQNDGRTMSYTTTEECFGGGEQGQGYYKKEVKQHKNRERIGEVGALTAGAFALYEGYEAKVDPAHARKHQIEAGVAGAAALGAGGYAYHEHREQKQAGYGGQQEYRMPVHNSYCN >SECCE1Rv1G0027210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:379571362:379574659:-1 gene:SECCE1Rv1G0027210 transcript:SECCE1Rv1G0027210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGATSATAAAGAFAAGAKARGSAAVCPWVVAAGGRRRSGVVRCDAGGDAQAASKLASITALEQFKISADRYMKEKSSIAVIGLSVHTAPVDMREKLAVAEELWPRAISELTSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPSSELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRNGQNSGGLGKNIDRMFKDAITAGKRVRCETNISAGAVSVSSAAVELAMMKLPKSECLSARMLLIGAGKMGKLVVKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLTEMYEAAADADVVFTSTASESLLFTKEHAEALPPISLAVGGVRLFVDISVPRNVGACVSEVEHARVYNVDDLKEVVEANKEDRVRKAMEAQTIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDNLNKKMKRSIEELSTGIVNKLLHGPLQHLRCDGSNSRTLDETLENMHALNRMFNLDTEKAVLEQKIKAKVEKTQS >SECCE7Rv1G0502540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:697251140:697252813:-1 gene:SECCE7Rv1G0502540 transcript:SECCE7Rv1G0502540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVFGRQDAGRRGSHGTKLESKMVESMKQRASHGTPVKSFNSIIMKFPKIDEGLRKCKTIFEQFDEDSNGEIDKEELKNCFQKLEISFTEEISDLFEACDINEDMGMKYNEFIVFLCLVYLLNDPTASEAKTKMGLGDLESTFETLVDAFVFLDKNKDGYVSKDEMIQAINESIPGERSAGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWVGIGENEDDDE >SECCE1Rv1G0059190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704272902:704273666:1 gene:SECCE1Rv1G0059190 transcript:SECCE1Rv1G0059190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAATLATELDGLLAMARELEARVDGDQGAPGEARELCAELAASVDRAMRLAGSSPRGGDGEGNATGRANVSGRKAAAGKVRRQVRVGSVTDVGPLNDGLSWRKYGQKDILGATYPRAYFRCTHRHTQGCGATKQVQRAAADPLLFDVVYHGTHTCAQAAVQHQSPAGFGQEQQSPPAATTEGIQWPVTPPSFPSPPAGTAGDCYPSVCQLDGGYGYAAGGGLGADMGFGGRQLDEWLFNLSEVFHPEVQNL >SECCE6Rv1G0437550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:784694813:784698299:1 gene:SECCE6Rv1G0437550 transcript:SECCE6Rv1G0437550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDTETRPASAGAEEREEGEIPDDGDSSSAAAAGRISAHPLENAWTFWFDNPQGKSRQVAWGSTIHPIHTFSTVEDFWGLYNNIHNPSKLNVGADFHCFKNKIEPKWEDPICANGGKWTISCGRGKSDTFWLHTLLAMIGEQFDFGDEICGAVVSVRQKQERVAIWTKNAANEAAQISIGKQWKEFLDYKDSIGFIVHEDAKRSDKGPKNRYTA >SECCE4Rv1G0249750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:586159545:586182488:-1 gene:SECCE4Rv1G0249750 transcript:SECCE4Rv1G0249750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYD [Source:Projected from Arabidopsis thaliana (AT2G28290) UniProtKB/TrEMBL;Acc:A0A384L3H2] MASSQHVEVEAAKLLQKLILESKDEPSKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVSQHGIDMDALRSSRVPFAGGPQAVDSSGVMSKDKEIIGGQSSMVGSDASQSSGQAALWHLPPGSTDMARPGVYIPGRVPAGQNRGDVAGSDIHQGSMSQKSGRSSGVESPASLQMEDTRSMNSHDSLKSDEKTSKKASSSKRKRMDSKAAGDMQSEENSKSDGISSGQNIRKRKQVGKAGAQGQPSRGAEPEQSHILQGATAQVPPLPGGASFFRPHQEGPPASAGRTIDNTKPSNPFAMSQVSNFAEGLASGSIPTELQKSILGGTNMFNTGFGWNQSSQGSAIKNTQGSVANLMRPGVNVEGKINVGSQGAFNPTPTSQMDFPKIPPYMSSSFGGGSQFLDKGKESASGNTGTELHSAAKVGVNMGIVHGSPMQERQNITRAPQRAESSLQEARLSSLPNRNVGPYQTSHISPNTPFKEQQLKQLRAQCLVFLAFRNNMQPRKVHLEIALGGGPTAEGGGAGQGGNESRVADGSVRENGNSQENSAIFGSQSDMSRLPSTSAGSIAEADSSLKDSEIKKKINIAEHEKSSMEIENIQQAVVMQGTGSSSEMRSQEIASPVPSGPQQSYFQGDKRRNAPETYRTDAENLNRNLSFGGQGPSSLGGNRQHPNFETAILTKDRLQDEASKESYPPSRLHHMPVDGYNSNLPGKDLTPDTDRNEVEHCTQMGEMSDRSADEGDDDLFEHDDFASTPPKYTMTEKWIMDYQKRKYGENEKKVLEQQSAHKRMSASYQKLKESVSSSEDLTAKTKSVIELKKLQLLSLQRRVRSEFLSDFFKPNTADLERVKAVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIESHRERLEDSFKAKRERLKGFNRYVKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLRGDSSMDGRSYVSGKGVTANDVEDESYQPQNYLESNEKYYQLAHSVKEIVNDQPTYLNGGKLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLSGWVSELNFWAPSINKIAYFGPPEERRRLFKEMIVQQKFNVLLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKLYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVESELPGKIERLVRCEPSAYQKLLMTRVEDNLGGIGAVKVRSVHNSVMELRNICNHPYLSQLHVEEIEGHLPRHYLPSIVRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYQYLRLDGHTSGHERGALIDRFNDPDSPAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVRTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEESAPVLDDDALNNILARSEDEIDIFESIDKQRLDDEMAVWLKVVQDGSASGLDPSVLPSRLVSDDDLKPFCHAMKIYESSNVKNVKVNVRKKGELGGLDTKHYGRGKRAREVRSYEDQWTEEEFEKLCQAESPDSPQPGGVLKDLDISKESKLEVPAESSIDPVEAKTVPVLAVPDSSPPVPSVPDSSPAKRRRGRPRRSDVSVSPVMSPAKAVQQEAGTTLGSSAPASTIDSAAPTATIHSTGPDVTTHSAAPVGANKPEIGTEVKATAFVAAVPTATMKPEIGTEVKDHVVLEGSIAKEVGPAVESGHDPVAASAAPHPPAPATSRGRKTQAVETPRRRGRKPKSLSSSSAGDVNINPVVAIGSGPASVGSPYPQGDMPSSHSSLMSRFQKDLVTGRPVTSLPEGVKAIFAPEGTAPVAEDKQSGTAISSDNASSLMPKIIHNENVGFVQASSEQVFSASVPTLTAVSGGILKASHVLLADKPAEKQSASRRRRKKAPGGEDTGVSTRQRAAMKKSDGIPDTTDNVGADMSTAEKLGAVNVKDGNSLKDTPKELPNINSPPYDKSGYDSQPRTPIAVPISEAALPSGSGNAHVAYSDITPRISTNPDVQDKPVNLHIGAPLAATSQAQVPCKTGNDHVAVCSVVTTTHSETATKKPLLNPVSELANVQVEPPSSSLHNSGKNISTVPSEVNSVAPSKASGRRRKGPASEPRTRSKAATAACERRVRLAGPKQTGDVRKLEILASPSTTVCVSSVEQQETALAEPLTASVCEPQKNAESHVRGGMSTPMGILDAPKQIATQSITACTEETNSSLSTQTPTLPISRESNLSMGDKQGLGVDTIHGQTKMVLAAELASANDEHKLHEVHDKTADGNILQHSAAQDTLQDKTDSIAGLNLASRHRIGDLEMEKDDSNTVMLPDCQTPFDASVKDIKDTLAPTEADVSDLQSEAAAIDVTSPKQDTTVVEALHTNSGGSASHLPAALQSTDSNQHADRKGSSENSGSKFFASGKKTEEMEGTLDKNTDHNLIQANANIALGRYSPSEDKREDSCAHVVDGGLLGSKQTLPEVVSAVNTDGSEEALNASSTHSNKDATSVCEVMKDPESHVSVSVLASAAMNAGDDCEEVHNVPSTHSDRGGSMVEVDASRDDATSICEVRKDPESNVSGELSMPVRLAELELELPNQSKSSSQSSTVNADETKASIIIQTTSLVESGEQKSPRNGAHELKEGMELAGPKIECTIQMPSPDDKSSEAHSLAEREMVSGAEQPSMKDDKEKKMVDVTFSSGGEQETQRADVDLPTCPRYADCEGEKDHSPKIILAGSQSFCEASDKDVATMKDDHAVPQSEVNVVDINGANQDSAETEAMQIDGVSKGSSSNLPAALQSTDSNQLAEQDGLENSPSTSACPKEHEKLDETSDEIGGGNSNRSQTDGDSHIMNLVGYSEDSDEDDSIQVADAGDVGSTETTHDDISAVARGAEPGDGPCTEPTCETAVHVHECSADVAISEDGHGTTTLGSVQVSTTELKDLESGRCLEHGTGTPVSLQEGTAVATEPEAKKEVDEPTQNEDVEPGGVKPEAVEHAGTEANPSKEASIPSQEKTSAVALVTGPEQNEEADAPTQVEPVAIVPDSMEHASTEVGQSIETSVRLPDETSVVPGKEPEEKKVEASAQEDNVEAVAAEPEPAGAPENAEISTQPSPMEIESAQETAMLGEAETRQQLPLPSAEGVVAEASEIPSTEVTAMKGPELLSTEPAPDGENVNLGEVEAVQLLQQPSSCEAMAVTGELPSTGEAKTDGTSDVAADESPAVVGEEVLNTETAPDGKNARPSEADTAPAPPLPEEGNAVAATEPANQEAEANDGK >SECCE4Rv1G0293980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887870946:887871287:1 gene:SECCE4Rv1G0293980 transcript:SECCE4Rv1G0293980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQAQVLRIINKKSKKEQRRKNVKRKVFCRLEMLEGAKSIGAGAATIALAGAAVGIGNVLSSLIHSVARNPSLAKQSFGYAILGFALTEAIALFAPMMAFLISFVFRSHKKS >SECCE7Rv1G0468830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:103859944:103863869:-1 gene:SECCE7Rv1G0468830 transcript:SECCE7Rv1G0468830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 38 [Source:Projected from Arabidopsis thaliana (AT3G53110) UniProtKB/Swiss-Prot;Acc:Q93ZG7] MADAGAAKPEPEKKSWADVEEEEEAKAKAEAEAELAAAASSSSSSAASIEPVVEAQAKQIEALSLSVPEDDGGAEGPPLLDDSDDSQIQAVTSGGTVYESATTFEDVKLTPELLKGLHEEMGFSRPSKIQAITLPMILTPPYKDLVAQAHNGSGKTTCFVLGMLSRVDPNRKVPQAICICPTRELAQQNKSVLTRMGKFTGITCACAIPSSQKEYMPISKMAPVTDQVVIGTSGTLTKWITHKKLATREIKILVFDEADHMLAEEGFKTDSLRIMKDIQNSAGGCQVLLFSATFNEKVKEFVTRVIKDGNQIFVKKEDLTLEKVKQYKVRVPDEAAKIEVIRDKIFEFGQKVGQVIIFVRTRNSTKNVHNALTKEDYVCSSIQGSLDQAEREKVIQEFKDGYTKVLISTDVLARGFDQAQVNLVINYDMPIKYNTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGQTDEVVMTKIEDYFQHKVPEVPNWKSEDNFETALKDAGLLE >SECCE4Rv1G0231600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:186534174:186539434:-1 gene:SECCE4Rv1G0231600 transcript:SECCE4Rv1G0231600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGASNPFGQSSASPFGQTSTNPFGTQQGFGQPSTTTNNPFAPKPFGSPTPAFGAPTGTTSPFGTTSTFGQPSAPAFGATSTGAFGQQSTSAFGATSTGAFGQQSTPAFGSTSTGAFGQQPAPAFGATSTGAFGQPSTPAFGTPSPSPFGSSTPAFGTSPAPAFGATSSNNFGGGSLFGQKPSFGGFGSSPSQSSPFGSTFQQTQPTFGNSTFGTTTTPAFGSTTTPAFGSTSTSLFGGASSTPAFGSTAFGSTTTPAFGSSGTGAFGVSSAPAFGNSTTPASAFGFGSSPSFGQSAAATGSSLFGTTSPFGAQTSPFGSQTAAPAFGQTTFGSQSGGTRIQPYVQTPDADTAASGSQPAAKLDSISAMPAYKEKSHEELRWEDYQRGDKGGPNSSATPAGNTFLSPQPGFQSNQPAPVNPFTNPSPSPFSSAFATTPNPFSSSTTTTSSFGQTSGSTFPANTSSSLFGNNTTTLFNNPNPFNAVSSTSNSTQSSGLFTSSPTMGQQPFGHSFNQQSSTPAFSTSMFNTSNIGITGGGGMFGNTSSPFQTPSFQQSAPVQPTNSFSFQPQTQPALTGGFSGVSNPMNMAPFGQQTTSQSNMVMQPTLVSNPFGTLPAMPQMSIGNGGSSPSIQYGISSLPVAEKPLPSRTLSMVVPRHLSQRRIKLLPRKYNATSDGKVPFFADDEESPVTPKADAFFIPRENPRSLIIRPTDQWPSRSAFGQQSIPRDSTDNDKHKDAFVGREHNKAAMTPTRSGTVENGIHIASSEPGAVARHVNGAIVEKMVPKLSQADYFTEPSLEELAAKERAEPGYCSQVVDFIVGREGYGSIKFLGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAALVTLLNIKCMNRKTGEQYTQGPRLDKFKEMLVKKAEEQGVEFISFDGAKGEWKFRVKHFSSYGFGEAEAEADDLADSL >SECCE6Rv1G0405000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:525594506:525595429:-1 gene:SECCE6Rv1G0405000 transcript:SECCE6Rv1G0405000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFRAASPLPLPSSSSRSATAGGSGSFQWLLKKRSSKAPQRSGPSGEDEGDEEQSGAAALSTVPSSSTDEHSSSSSSSSSRKKRADALARLRSVFLAAITHRRRRRQLGSCVTGTIFGRRRGRVHVALQTDPRSAPVLLVEMAAYSTGALVREMSSGLVRLALECEKPPLNAGEKRRPLLEEPTWRAYCNGLKCGYAVHRECGADEWRVLGAVEQVSVGAGVLPDDGAAGAAGGAGEGDLMYMRAKFERVVGSRDSEAFYMMNPDGSGGPELSIYLLRV >SECCE4Rv1G0261730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:703897922:703899300:1 gene:SECCE4Rv1G0261730 transcript:SECCE4Rv1G0261730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTLRRLLAVAISVVALFIVFTPETSHRCDAAQAVVAKSIFVDKTGRGDFKTIQAAIDFVPFGNNQWIRVHVAAGTYTEKVVVPFNKSFILLEGEGRLQTSIEWADHAGGSTTTADTPTFASHADDFMARDITFKNTYDGASLAQAVAALVDGDRSSFYGCGFFSVQDTLCDMSGRHYYENCVIGGAVDFIFGNARSIFQGCHLWTGKLTNTLGSITAHGRDSDKDDTAFVFKQCKVGGFMPIYLGRPWRDYARVIFYQTHMSIVVDRQGWDIWNSKGKEGLLTMVESECIGVGSNTTERVPWAKQLSGKQIARFLKLSYISPDGWLNKQPH >SECCE3Rv1G0201560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:865558227:865561356:1 gene:SECCE3Rv1G0201560 transcript:SECCE3Rv1G0201560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKREKALAVPVPVPEAPPTPSATPMSATAPTSARSVKWGDLEDAAGGLERPLLRQRGSNTTSQMAVVGTNVCPIESLDYEIVENDVYKQDWRSRGRIQIFQYQVLKWLMALLVGSFVGLVGFFNNIAVENIAGYKLLLTSKLMLQNRNLDAFLLYMTCNAVLAGSAAAICAYLAPAAAGSGIPEVKAYLNGVDAHSILAPSTLLVKIIGSILGVSAGFMLGKEGPMVHTGACVAAMLGQGGSRKYGLTWNWIRYFKNDLDRRDLITCGAAAGVTAAFRAPVGGVLFALEEATSWWRSALLWRTFSTTAMSAIVLRSLIEYCRSGNCGLFGEGGLIMFDVSSRVTAYSATDIAAVILLGILGGLLGALFNYFVDLILRRYSVINEKGAPYKILMTVFISLVTSCCSFGLSSLSPCVPCPPELAPGKCPTVGRSGNYKKFWCPAGHYNALASLFFNTNDDAIRNLFSGGTDSEFGVSTLFTFFIGVYTLGLITYGVAVPSGLFIPVILSGASFGRLVGKVFGSGLDTGLFAIVGAASFLGGTMRMTVSVCVILLELTNDLLLLPLIMLVLLVSKTVADCFNKGVYEQIVRMKGLPFLEVHADTCMRSLVASDVLSGPVITFSSVERVGSVVNTLRRTGHNGFPVIEDEPFAPAPELCGLVLRSHLIVLLNGRTFTRAPVKTGAAEVFRKLKPFDFAKVGSSGKAMEVDELGLTEEEMDMYVDLHPITNRSPYTVLENMSLAKAAVLFRDLGLRHMCVVPRTPGRPPVLGILTRHDFMPEYIRGLFQNVLRE >SECCE7Rv1G0497950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:633717921:633718295:1 gene:SECCE7Rv1G0497950 transcript:SECCE7Rv1G0497950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVRLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAAREKELELLRKKSQEKEEQLAHLMALFRAKVV >SECCE4Rv1G0287860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:857139224:857142127:-1 gene:SECCE4Rv1G0287860 transcript:SECCE4Rv1G0287860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASAASLPTSAGAGENLVLILDFGSQYTHLITRRVRQLGVLSLCVSGTAPLSSLAGLRPRAVVLSGGPHSVHADGAPSFPEGFLDFAADAGAHVLGVCYGMQLLVQTLGGAVEPGVRQEYGDMEVEVTAPSSALYGEQGKRQSVWMSHGDEVVRLPQGFEVVARSVQGAIAAIEHRERRFYGLQYHPEVTHSPQGMETLRHFLFDVCGIKADWKMQDVLDEEIKTIKSMVGPDEHVICALSGGVDSTVAATLVHNAIGDRLHCVFVDNGLLRYKEKERVMSTFNSDLHLPVTCIDASEKFLTELKGVKDPEMKRKIIGREFIVVFDEFAHMLEQKIGKRPEYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPESFLKRHPFPGPGLAVRVIGDVTAGNALEVLRQVDEIFVQAIKDAGLYDEIWQAFAVFLPVQTVGVQGDQRTHSHVVALRAITSEDGMTANWYDFERQFLVDVVKKICNNVRGVNRVVLDVTSKPPATVEWE >SECCE2Rv1G0109230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:673729157:673729954:-1 gene:SECCE2Rv1G0109230 transcript:SECCE2Rv1G0109230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPMVILALGLAAVVLSGAGLASGDPVESVVTDTFFDGIKSKAADEGCPGKSFYTRQFFLDGAQANPDFGQGSTSDDGKREIAAFFAHFTHETGFMCYIEEKDGASKNYCDTNYPLWPCTPGQGYYGRGPLQLTWNYNYGAAGKSLQFNGLDNPDKVAQDQALTFQAAFWFWMTNVHQVVPQGFGETTRKVNSGECNGGDAPAMNARASYYVEYCKQFGVDPGNNLTC >SECCE4Rv1G0285530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:845226693:845227814:-1 gene:SECCE4Rv1G0285530 transcript:SECCE4Rv1G0285530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEEQPDWLNLPSDLLTIIAQRSRDAVTGLTAFRSVCRTWRSAAGPAPRLLLLLPRPRPTRLVFPLARGWSIVFDVRDAACHLSHLATGATAALPRLNASRDAGSDVVRHLRYLDCNDLETAIRSGWIYPTYLDFADSLRFAVHISPGSGSPAGMTVMMYHMMHDGETGMLFCRPGEAAWTKVGKPNHTGYGYFDLAYHDGRMFGMGVSGEMAVFDATTLDSLQLVQRPPATPNLANKMYGICCLMEEFNYVHLVALPGKLVLVRTTVKSSRPVAFTIFQLVSAPDGRLAWRMVADAGNYELFVDGYHTTFRENDLANGGGTWIYYVHETPYLAYTAAYRYSMQHKKLECVYKSPKGASPEFSTKSTWFVP >SECCE5Rv1G0357580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:747948962:747951516:-1 gene:SECCE5Rv1G0357580 transcript:SECCE5Rv1G0357580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLQRRPAAAAAASARGEGQPPGGQRVIHCDVEPAPRAWPGMQMLALAAVLVLGGLQFLPATHFRHPADPSRTWVPFDPSRHPQDLSHEVASVDVFSSISCLDLRTLAVLTNSTLSSSSDPHHVSFNFLIPEGGNDQVPYFKIKAVLPDSNITVTSQKRIKDKLNLATPEGNFFALFPNELSPIVIARALSRKRYVYISADSIIKGKIEDLVRIDLGSYAVGASEDCSKRLGDYISMDVLNAVQRTSPRGLLHHTETFDKDACLLDFDVLLVEPRNIKRNLIDSIAFWTKAVNLANQRDSVMLARTLAFYDDYLKLPTNWKRANANTDILYYDGPKNVCAEDGRQHQEKGSGEIWQQYLGPKSDSLLST >SECCE6Rv1G0416530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649164030:649169838:1 gene:SECCE6Rv1G0416530 transcript:SECCE6Rv1G0416530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAAHRRIQLHAAAAAREKKGEAGAGAGGLDRELDVARGYLRRIGAGLHNLGNTCYLNSVLQCLTYTEPFVAYLQSGKHTSSSCRAAGFCALCALQNHVRCALQSTGKILTPVQFVKNLKCISRSFRYYRQEDAHELMVNLLESMHKCCLPSGIPSQSPSAYEKSLVHRIFGGRLRSQVRCASCSHCSSKFDPFLDLSLEIANAATLVKALQNFTEEEALDGGEKQYNCQSCKKKVVAKKRFTIDKAPDVLTIHLKRFSPFNPGQKINKKVDFQTTLNLKPFVSNSEGMDFRYSLYGVLVHAGWNTQSGHYYCFVRTSSGIWHNLDDNEVCQVREADVLRQKAYMLFYVRDRVRSSVMFKNNGAAGSLVKNAISEKTANMNGTIRNGLMEARLNVPSFTNGDVKSQKENPVDGRPSIFGSSSQGQCSKYSSSTEVIEAAAAQNNGMVSVQTAAATLSINTTKTTSDSERETVLSAQPEVIVPHNSSCDPKAYEKPLQEQQPKSDGAFTDSGKGSTAALPKCNGGDGMLEENHQASEPQTDPCGEQTLNTSKPAESAEIKKTKDMMLSVSNGTMPSSEDLTCCNEAKESAESGKQPDEITMEELSLENTGAITNAVEQTSVQTTTAEVGQATVEGLFVKDADCIANAQEPASMQNNDLVAVQAHSETHIYSEPSAQVICPEVSAQAICPEVSAQAICPEVSAQAICPEVSPQAIRPEVSAQAIRPEVSAQAICLEVSPQAICPEASAQAICHPEDPAQVSDKDPCHGNLRTMKDLKSKKHKDYSTAHLLFVSKQPLLAALKLHKKRKHKRAKRRPIDNGIIGDDQQTSTSETVLAKDISCKSHRRRKRSRTSASSDNGVELSTKKPHLAERSSSAAGLPVDKKDDKDATAASAELPGPCLSSVPDQTDSRNSADANERVPSHFDLLTRGLSEITVPLWDDIDMSDRKAAEFRYPRRTGSIGYVLDEWDEEYDCGKRKKVRKPREESNGPNPFQEAADVRARPRKRPRSDQARWGNQPRRI >SECCE2Rv1G0122900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:819805205:819805495:1 gene:SECCE2Rv1G0122900 transcript:SECCE2Rv1G0122900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACAFFFDAEPAGEPGKHALDACALCAKRLARDSDVFMYRGDTPYCSEECRHEQMHLDAVCARQAARRQQRFSSGTEARRGHQESRKVSVAS >SECCE2Rv1G0134580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:902342500:902342772:-1 gene:SECCE2Rv1G0134580 transcript:SECCE2Rv1G0134580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFGHVREVDCYPKIFIAYRILFTVSVTVISVERNFSKLELLKNYLRSTMTRERLNCLATLCIENKLLDEIDIGPIISDFASRNVRRKF >SECCE4Rv1G0279650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:816030347:816034478:1 gene:SECCE4Rv1G0279650 transcript:SECCE4Rv1G0279650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRCVVPEQKRTMSTPWHYHSQTQLVLPLLLIVLAAATPLVILGAAAEKQGQPITLPGCPDKCGDISLPFPFGLATKPGCFLEGFEVACNDSFHPPRAFLAYPGLSQTVTRYSYIYTANITGRVRDMNITEAVAPFELVGVSSAKGEVQVYGAVASVCSTNADDFVKTRQATYLVDTLGITGEGPSPFLLSMTRNVLVGVGWNVEATVSSSIGGEVGNSYLLTCESDLRGNLHDATNGSCSRRGCCQASFPEAAPLDRFYLNVDGPYNNTLWETNPCSYAMVVESSWYNFSTPDLYGDEVQRKILPRGVPYVLDFAIRSGSCPPEGQPSPVCASADSNCANATRGPGFVCKCLQHYEGNPYITGGCKDIDECGHPDLYPCSGTCINKPGGYDCPCKKGKKGDGKTGTCADKFPLLLTAVFGAIGGISFISIVTLVILLRKEKIKMREFFEKNGGPTLQKAKFIKLFKKEELKPILKSRNFIGKGGFGEVYKGKLLDIGLVAVKKPISGSVLENNQFANEVIIQSQVIHKNIVRLIGCCLEVDIPMLVYEFLSRGSLDDILHNNNVAPLDLDVRLRIAAEAADGLAYMHSKAHTRILHGDVKPANILLDDNFMPKISDFGISRLIVRDKQHTNTVIGDRSYMDPEYLRSGLLTEKSDVYSFGVVILELISRQQATHTDGSGLVANFLAAHKENRAGALFDKAFTRPRDLELLGNLAGIAIQCLEDDVNQRPSMVEVAERILAIYQSHITLISE >SECCE1Rv1G0031360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:441578308:441580326:-1 gene:SECCE1Rv1G0031360 transcript:SECCE1Rv1G0031360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSPPPRDRRMRTERTSYRDAPYRRDRDTRRGPSRSASDLCNNCKRPGHFARDCPNVSVCHACGLPGHIAAECSSKDLCWNCKEPGHMANACPNEGICRNCGKSGHIAKDCTAPPMLPGEVKLCNNCYKPGHIAVECTNEKACNNCRKSGHLARNCTNEPVCNLCHVAGHLARQCPKSDEINERGGPPPFRGSDTLFRGGDALFRGGDALFRGGDALFRGGDALFRGGDAPFRGGDAPFRGGGGALFRGGYSDVVCRACNQVGHMSRDCMGGAFMICNNCGGRGHMAYECPSGRLLDRFPPRRY >SECCE3Rv1G0184600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:664705149:664705868:-1 gene:SECCE3Rv1G0184600 transcript:SECCE3Rv1G0184600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPDVTPAWSLVRGYFSPATLFLLLNVVIGTIALTSRSHRRRHDHHGDDDRHHQQHQQKDHADQYAPPPPPAPLARTSSVMERLRSLGLYRFRSGDFPPEYNYNHSAAGNIGGDDDEGSKQQYDRSRSEPAARKSVSKTGNEAEKAARVKVAKKPVTAEVRRLERAPVPAPVRLVQRAPRAPAARAVLAEARRQDAAAPEAACVDERADDFINKFRQQLQLQRLNSLLNYKEMLNRGA >SECCE6Rv1G0412460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614657580:614660960:1 gene:SECCE6Rv1G0412460 transcript:SECCE6Rv1G0412460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFTPGNGTDDLSVLLAFKAGLSDPLGILSANWTTQTSFCRWVGVSCSRRHQRVTALELPGVVLQGELTRHLGNLSFLSVLNLTNTNLTGPILADLGKLPRLRILDLSFNSLSDAIPSAIGNLTRLEALRLKHNKLTGQIPRELQNLHSLRLISLKENYITGPIPNFSVSGMPSLRYLFLGYNGLSGSIPNGIGSLPMLQVLELEYNQILGPVPPNVFNISKLEIMSLGENNLTGPIPDNRSFNLPMLKTMYLFTNKFTGHIPLGIAACQHLETLSIGENLFVDVVPTWLAKLSRLTFLSLGNNDLVGSIPSVLSNLTLLYALDLSFSNLTGKIPVELGSMRQLTHLHLAFNHLEGSFPRWIGNLTELSMLALEWNQMTGPVPLTLGNIKSLSILDITDNKLVGDLDFLNSLSNCRQLKFLLISNNLFTGSFPNYVGNLSRKLIHIEAAGNKLTGGLPSTLSNLSELEWLSFSNNQLSKAIPESLMTLENLQQLDLSRNNMTGPIPAQTSTLRGLVKLFLNDNKFSGSIPDGLANNTMLTHISLASNNLSSTIPTGLFHLDKLFLLNLSNNILTGTLPSDLSHMQGLDRIDVSKNCLFGSLPNSFAQDQILTYLNVSSNSFEGLIPDSFRHLTNLATLDLSFNNLSGAIPNYLANFTHLTSLNLSFNNLKGQVPNGGIFSNLTLKSLMGNVGLCGAPLLGFSPCLGKPYPHHGRRLLKFTLPAVAIAVVGVFVAWLYLIIRNKSKKQGDVATSIDIADVISHRLISFHEITRATENFNEDNLLGAGSFGKVFKGQLDDGLVVAIKVLNMQIEQAVRSFDAECQVLRMARHRNLIRILNTCSNLDFRVLLLQYMPNGSLETHLHTGNREPLVLIKRLDIMLGVSEAMEYLHHHHHQVVLHCDLKPSNVLFDEEMEAHVADFGIAKLLLGDDNSMVSASMPGTIGYMAPEYAYVGKASRKSDVFSFGIMLLEVLTGKRPTDPMFVGELSLRRWVCQAFPEKLTDVVDVKLLQNEETNLCFDHQTNTSANSTGSNLLTSIFELGLICSSESPEQRLEMTDVVAKLKNIKKDYSTFAHALQGRVERTTDLVYI >SECCE7Rv1G0504480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:723659191:723659457:1 gene:SECCE7Rv1G0504480 transcript:SECCE7Rv1G0504480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVTVMNQCADDERTGRPRVISRIRLQCGNFCSVIPIDPKVLRAVIPGVCLLNTGHYIPHDNNVSFIYTSYLRENLYVLSAVCSLGR >SECCE4Rv1G0240090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:436976870:436978186:-1 gene:SECCE4Rv1G0240090 transcript:SECCE4Rv1G0240090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKRGNILMERYEMGRLLGQGSFAKVYYGRNLKTSQSVAIKVIDKEKIFKCGLMDQVRREISIMKLVKHPNIVQLYEVMATKTKIFFVLEYVKGGELFNKVQRGRLKEDVARKYFQQLNSAVDFCHSRGVYHRDLKPENLLLDENHNLKISDFGLSALAECKRQDGLLHTTCGTPAYVAPELISKKGYDGAKADIWACGVILYVLLAGYLPFHDKNLMDMYKKIYKAELKWPSWFSSDARRLLKRILDPNPGTRISFSEIFENSWFRTGLDSGLIGYDTPTEGIFAVDMDPSCDMFSSCTTEAIQEATELTNLNAFDIISLSSGFDLSGLFEDKSNKESKFTSTNTAATIITKLEDIAKRLRLRLMKKDGGLLKMQSLQPGRKGIMSMDTEVFQIAPNFHLVEIKKTNGDTLEYEKVKHDMRPALKDIVWAWQGEQP >SECCEUnv1G0552760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:257548066:257549390:-1 gene:SECCEUnv1G0552760 transcript:SECCEUnv1G0552760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSSQTMTIPTDAELVQAQADLWRHSLSYLTPMALSCAIQLGIHTAIHRLGGAASLPDLVTTLSLPPSKAPFLSRLLRLLSTMGVLASNEAGIYSLVPLSYLLVDGVRIDGDASQTALVLAVTSRHYMEAAMGLADWFKKDVAQPVPSPFEEVHGAALFEESMAVLDPECDKLFNEALAAHDHMGIGTILRECHGLFSGLQSLTDCCGGDGTTARSIVKAYPHIKCNVLDLPKVVDKASSDGSVNYVAGDMFHSIPPAQAVMLKLVLHFWGDEDCINILGQCKKAIPSREAGGKVIIIDIVVDSSSGEMFKTQLLMDVAMMVYTRGRQRDENEWSSIFAKAGFSDYKIVKKLGARGVIEVYP >SECCE5Rv1G0348240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673116038:673117018:1 gene:SECCE5Rv1G0348240 transcript:SECCE5Rv1G0348240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGLVHASAKKPLFTFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSTWNKQGNVKFSINFGDTIDGFCPKDKSLWAVQKVIDEFDKFDGPTYHMFGNHCLYNLPRSKLVSLLKMPTDSDRAYYDFSPCPEYRFVVLDAYDFSALGWPHDHPVTAAAMKLLDEKNPNTDKNSPDGLVGVDRRFVKFNGAVGKEQLSWLNDVLQDASDRRQNVILCSHLPMDPGAVYPAALMWNYDEVMAIVRRYNCVRACFAGHDHKGGYSVDSHGVHHRTLEAALECPPGTSAFGHIEAYADKLLLVGSDGMADTEMCFQSSDRAAL >SECCE6Rv1G0440720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:806297047:806298367:1 gene:SECCE6Rv1G0440720 transcript:SECCE6Rv1G0440720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNRHCSISLAVIFVSAVLLLNADLGSCGCFKRIFSFGDSITDTGNFAYITRNSPPGPPSVLPYGETYFHRPTGRASDGRLIIDFYAQALGLPLLPPSIPEENTGKFPTGANFAVFGAIALNPKYFMSRYNFSLPRGCLDEQLASFKKVLARIAPGDAATKSLLSESLVIFGEIGGNDYNFWFFDKERSRDTAQEYIPDVVARIGAGVQEVIKLGAKTVLVPGNFPIGCVPVYLRDYKSNTAADYDQFGCLKWFNTFSQMHNQLLKQEISKLKLQNPGVKIIYGDYYGAFMEFVKNPLRNGIGKPLVACCGGDGPYGTGHECNQKAKVCPDPSRFANWDQIHMTEKAYNVIANGVLNGPYADTPLLHTC >SECCE3Rv1G0171170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:265009861:265013610:-1 gene:SECCE3Rv1G0171170 transcript:SECCE3Rv1G0171170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGNGVRGTPRRNGRAAGAGAGSSQAVASGVFQINTAVATFQRLVNTLGTPKDTPDLRDRIHKTRAHITQLVKDTSEKLKQASEADHRLEATPTKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFITQAGLPQSYNSSEMNNGADKLAEQRTQLLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGAMIDDIDNHIDNSIAATAQAKGQLSKAAKTQKSNSSLICLLMVIFGVVLLIVIIVLAA >SECCE2Rv1G0107530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:650009762:650013924:-1 gene:SECCE2Rv1G0107530 transcript:SECCE2Rv1G0107530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTELNGGVMDSAASRCNQLATFRFITSLVTTIVAVIRNPTHPPPIKFECPNCKYCIDNSDVLSQWPGLPAGVKFDPTDLEMLEHLEGKVGRAPSHVLIDDFIPTIEEAEGICYTHPENLPGTNMDGRNNHFFHKVSNAYDVGQRKRRKISNSEHAACDVHFRWHKTGKSKKISDSNNVIKGWKKILVLSMRYRKEGNTWTEKTNWTMHQYHLGVDQDEKHGEFVVSKVFYQSKNPARPVMCAVNKESDSFAGENVPTTPMTYPPQPRPPNSSPSRTEQNQEEHAALSGPDERPSRGATPDAAYPEMQSFPLDVDALQGLPDPGMPPGFPLMTSWFPGTSSHSQALEDVALSGLGEHLSHGGAPDAAYPDPEGQPLPLDAEALQWFPDLWTPPDNLPDMQLGSQDILWLEGL >SECCE7Rv1G0513090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:818195770:818198164:1 gene:SECCE7Rv1G0513090 transcript:SECCE7Rv1G0513090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNEEQPHVEDRCMLWSDGMQLLEDAAERKHFLPTGLEGVDTLLGGGLRKGQLTEITGQSSSGKTQVCLYSAAHVAARHMGAVLYLDTSNSFSPSRIAHILDELPVSLIKEPKDVKLKRVMSSIICESVFDIFSLFEVLDQLEVSLNGKVNNDSNKICLLIIDSVSSLLAPIIGGKNSQGRSMMISVAMILKKLAHKHNLSVLVTNHMVAGNGAPKPALGESWKAVPHIRLMISRDRGSNICTATTLKHTLLVF >SECCE6Rv1G0420380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:677188835:677190214:-1 gene:SECCE6Rv1G0420380 transcript:SECCE6Rv1G0420380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGDLDMADLASLDAPASSSATAARFHPKVRGKAKAKAKAKTKPKPKSEPEGESRAAEGGLDAMEMDMSGAGEGADETDLEDEDLVVREMDVYFTPRPLDKDTKLYIAQYPLRPSWRPYELGEACKEVRVRPESSMVEVDLEIDTESDNYDPEVSASLGLTEQTLSSTEAAADVAGYAVGVLRGGLLHLNHLDAVLQLRPSMSRLISGASHIATRQPLQEVETNGGQAVPSVKGNNGRLEGSKDSTKEPEPWISLTYEPAGSHVASKYYADMVAIEGRPMDFTMSTQDYAMSLCPGGPTGSKHINRCEVLREMLSLPLEVRLKKWFTEVSQVNRFDALMHLAPSCSEEDLLKILPVYADLVRGLWVCKSSLLYDDGHASKRDKILLGFTKGESIPVKYVDRLIRDERTRNMILNPLGKRREKLEDYKFIVPADSSFIRRYSHTVKEHENAWSVRLRA >SECCE7Rv1G0519000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863062655:863065613:1 gene:SECCE7Rv1G0519000 transcript:SECCE7Rv1G0519000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATPSKKPRTAASGAGSPWKVKTSVASSAGQFATPEKMKKPVAASSAAALDLATPEKTEPRPLLRRGRSGAAVALSVKEVRRAALELQRAARAPVGADAGEEDALESVARELGVGAGAGRSPVKRRPEVKLPESYEILCEFFNCFESSTRLLRMKGSKATFPNICASIQNLAERRFTYGHLAQLKYIMPEAIVINKILLRDDKTCCMKPDLQVNLLVDSVEDSAMQKGETRYSALRRMFRQRLVDFFRKHPEGDDIPEHELPHPFTQTKSSVAQSTPRVVTEVVFAGPSPSLAEQPAVPLSHMSQSFKRRFSQRSSTCPATASTTGLPPKAESTAPSPLGRKLMLSSTSGGIDHESQVQEKSSKDVALRFGVTDGTPAKFASTPVRSMAATPNLETPQRPISATVCDTPPLNTAKRSARAKLFMTPTKDASRIEEENQSMSTSPSDGDDELLGFLPKSLLQSVKEKEKRTLEEKETGYADQVQRQKLISCLPSTFDIIFLIYQSRQRTVLTKQELIHKIIESNPKIVDKGEVEEQVRLLLEFVPEWISEKTARNGDVLCCIDTSLSQFEVRQRLSGVE >SECCE3Rv1G0156330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:71926104:71929548:1 gene:SECCE3Rv1G0156330 transcript:SECCE3Rv1G0156330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRTADHLESLSLEIERKLHKALNSNSQRLKLLQQLFADIALKVDDRARDKILSTNDEGIAPVDEREDGHLCFYEILANHYVKVPQSGRRILELIVQLWSQSFAANIFALLFHRWLFEVPLEGKAVSLRYSSALVQGATNVFWIDIQTNTRHFLPLYHYLLEEVALVPDQLIKISPQAGRNLFCLLSRFMLFYDQDHLLISFLEHFPPFPNSFLIGGAADYFVIELTDQLQKLKVEPVLLHYLSRMTILQGWELRMSTSTRLKSCLYSFTSPGGPAYPTRAVRHAAWNTLDFLFPVGRYPRHVISLFFRLLYPWYWPSSCWNFVMTCVSTIYYYILNLLVSIWENMRKPDHQRMHRD >SECCE7Rv1G0470530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:121849661:121853127:-1 gene:SECCE7Rv1G0470530 transcript:SECCE7Rv1G0470530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSKTLGLRLRGSGGHRLLPSRPSTSHAPQPPPPPAAAPPPPGAGKEAGAWAKLFLFAPGAITFGLGTWQLFRRQEKVEMLEYRTRRLEMEPVAWNETVSSAVSRDPAVLEFRKIVCEGDFDTEKSVFLGPRSRSISGVTENGYYVITPLIPRPTEPGSLQSPILVNRGWIPRAWRDKSMQDHQDLGETLDVKEADKKTDEKGTWWKFWSKKPESSPEIEEPVKPPVRVIGVIRGSEKPSIFVPPNEPSNGQWFYVDVPMIARACGLPENTVYIEDMNEDISASNPYPLPKDANALIHHSVMPDDHLKYTFTWYTLSAAVTYMAAKRIKAKKVRL >SECCE4Rv1G0241660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:458754768:458755151:1 gene:SECCE4Rv1G0241660 transcript:SECCE4Rv1G0241660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAEEQYYGGPRGAPHGLLLAVVVGLVVAGPLFLGDGGEAVTDAIAELLSPVGLLLLPVGLLLLIRLLSSDRGAAALSDVFAFGGSPDAVHRVGGSPIGVALMLLLILALLYYRSALFGGGGDDDE >SECCE6Rv1G0424650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:701806386:701811790:-1 gene:SECCE6Rv1G0424650 transcript:SECCE6Rv1G0424650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVDRRDQSSRRSGHTRSRSPARERVSPPRKHSPPARRERSRPERTGSPKRSGHTRSRSPARERVSPPRKHSPSARRERSHAERSGSPRRRSPVKASLSHREMSPPREKVKERVRSPKHARSPSPAAKRQSRSLSPRSKRLRRAQAEREGADVTEGDRRRPPSSEDRGTRKHRERDEGASRDRKVGPKDDRSAFTGRRLDDDDDGRGHSRDRRTDRDDRSGASREARSGRDDDRHDSRGKRSDPDRKGGSREQRTDQSPRRDSGRDRMADRDENNGGAGRSSRRGRSGSPEEHRHRGRHESRTSPRASRSAAHREDTSSRVDVASRSGDADSLAMMNTAAEALEVKEKQKPSFELSGKLAEETNKVGGITLLYSEPPEARKSDIRWRLYVFKGGEALSEPLYVHRMSHYLFGRERRIADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINEDRVESHRYYELFERDNIKFGNSSREYVLLHENSTD >SECCE7Rv1G0516990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:850713542:850714812:1 gene:SECCE7Rv1G0516990 transcript:SECCE7Rv1G0516990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAARCRPWEDGRIRSSSSPLSGSETAAERLTDDLLVEILSRVPARSLCRFKCVSNHWLGLTNDRNYRRKLPRTLTGFYQGNQLVDSGVPFTNVSGSRHLTYPAFLPNRWQVKVIDCCNGLLLCSSYVAGDHGEEFRYIVCNPATEEWAEFPYCGYSGLFVPARLCFDPAVSPHFHVFLFPVADMEDQHGFFITGVHVYSSETRSWVHKEKRWRGTIGVANDRSTVYLNGYLHFCAIVDGSDGRLAAVDKEGKARTNFRVPDGLDVGFIQLSQGCLHYAGFDRDDDNVVRLLVYVLKDYERREWILKHSVKTSYLLGGRQIEYLHEEFDWIAIHPECDLIFFAVAQEDITFMCYDMDSGQVKVFCNLEDSQQAYFPYVPLYEELQSLHK >SECCE6Rv1G0421200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:682308783:682309257:1 gene:SECCE6Rv1G0421200 transcript:SECCE6Rv1G0421200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPKPQLLLLTVAAVALVVASCHAARLPPATAGGGLAAVKSHRDASCLELTQAQQCQITPCTNYCFKIGLNTTGWCTFKGLNVYCCCPVPAAATAELPASLSPSGAQAGLV >SECCE3Rv1G0214310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:965470138:965474700:1 gene:SECCE3Rv1G0214310 transcript:SECCE3Rv1G0214310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARNPCDIRLLLVAAAVAFIYIQVRLFSAQSSSNSHDAGRLAEAEKCESQLRAMIDQVSAQQEKIAALEEMKVRQDEERVQLKILIQDLEKRSLQTLINKDVVPVAAVVIMACNRPDYLHKTVESILKYQKAVASKFPLFISQDGTNGEVKKKALSYTQITFMQHVDLEPVRTERPGENVAYYKIANHYKWALDELFIKHDFRRVIILEDDMEIAPDFFDYFEAAAKLLDTDKTIMAVSSWNDNGQKQFVYDPKALYRSDFFPGLGWMLTKSTWMELSPKWPKFTYWDDWVRLKEVHKDRQFIRPEVCRTYNFGEHGSSMGQFFDQYLKPIKLNDAHIDWNSEDLSYLMEDKFLTKFGKDVASATPVHGSDALLKAHNLDVDVRIQYDNQGDFERIARQFGIFEEWKDGVPRAAYKGVVVFRYKSSRRRIYLVGPDSLGQLGV >SECCE3Rv1G0146760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12330981:12332813:-1 gene:SECCE3Rv1G0146760 transcript:SECCE3Rv1G0146760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATINWVAFVVPLALAMMARRRRRRAQRPPRARPPPVATGAPLVGVVPWLLAKGPLQVTRDAHAELGSVFTVRLLHREVTFLVGPDVSSHFFQGLDSEVSQDEVSQFTIPTFGPGVAFDVDLATRREQFRFFGDAMKPAKLRTYAGLMVREVEEYFARWGESGTVDLKQELEHLVTLVASRCLFGGEVRSKMLREAATHLRELNDGMRLVTILFPHLPIPAHRRRDRARARLGDMFSELVRSRREAGRPVDDMLQCLIDSRYKDGRATTDTEVVGMLVSALFAGQHTSSSTGTWTGARLLARANGEHLHAAVQEQERIVARHGDRVDYEVLQEMETLHRCVKEVLRLHPPAMMLLRHARRSFTVRTTEGDEFEVPAGRTVASPLVIHNRLPHVYRDPERYDPGRFGPGKAEDGAGGAFSYTAFGGGRHACVGEAFAYMQIKVIWSHLLRKFEMEMVSPFPETDWNVVMPGPKGKVMLHYKRRKMTHYVL >SECCE4Rv1G0254270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:634191786:634202714:1 gene:SECCE4Rv1G0254270 transcript:SECCE4Rv1G0254270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKHKKAQPPEPAAAVEQPKARSNAFWDRMEPYFRDMTLQDLEMAEPKPLSSSNWLDPCLLVPFVGSGKEVLRRHVDPSDVAVVAENSNSNSMELENGMVHSKHDPHESSDFVWNSAELVNSNGTSGEHCEQDMQEVILHQEEQPVVIELDPGKRDGVVLPGRNENPDSSLNWLLVARGRAVLTSERPNKKRKLLGADAGLEQLVVLPPLEGDSGSKCDVCCLGESDAASNRMLQCNSCKVLVHQKCYGVQVEPDGYWMCALCERRLTQSDAGRIAFMPCVLCSTEKGALKPVKCEPGGIANGGNLNFAHLFCSLWAPEVFVEDMDSMEPITNIEDIPEKRTKMVCTICKIMRGACVRCSHGTCRACFHPICAREKKHQMEIWGKSGLENVELRIFCSKHSRVRGISSIHHFNGVAEQDTTQVGLDDENFAQIRSKRKSKDKFMNFTSMSSSLSSLNRAQTTELVTSTCAVRGTESQQVQCTNMVVDQPAGAGNLVSNSGDVSTFLRKLIDQGKVSVGDIQSELGLSSESLEAALVPETSTFSPGLKLKIIKLLQNSIHVPSVQVKSLKEGSVAPEGPLDISESKNVTDSQLGSELEEGISSFDHCFPDGDKANKDTESVENCVHTSHDGGDDHISRQPFLTIDGHDYYIHPSIETMLQNLCDHIPNQNKQAKHYHVGELSSPPRDQNLGGSPTKLEQLTDIVAVDQASKAESLGILEHSANDEIEGEIVYLQSRLLNDVGAMNQRYEDLMPKVVQSLSQEMDSSNKRKWDHIIVNQFLRDIREAKKRGNTERRHKEAQAILAATAPIAATTSKDVNIRKETENDAVLVKQESITKVHGGSLRVSQLTSLPQTKDSSFSNSKVSADTNFGIFDLAKFSKKNGLPCDVCMRRETVLNRVFVCSSCKAAVHLDCYQSRTNPTGPWKCERCQEMLSDAVVSDSQSDCSGSKSWLVQCGLCHGTSGALRKTTNGQWVHAFCAEWLLENSFKRGQCNSVDGMEGLHKGKDTCSICQHNVGTCLKCSTVGCQVTFHPACARDAGLYMNAKKFGSLWQHKAYCGNHSIEQKKVDSQQYGPAEVKIMKQMRVELERLRLLCERVVKREKEKKELLVCEHDILAVKRDYVAFSMRASCYTPAPGASSESATTSVNNNSYGGKRQRSDDVTVRSDDVTVDSTIPRKHTIRFPVRSKDTDRNTADSSTSTISYKRKLDDVESLAHKILQERAATALQKSEDGETKSTDNALQKSEDGETKSTDNALQKSEDGETKSTDNALQKSEDGETKSTDNALQKSEDGETKSTDNALQKSEDGEIKSTDNALQISEDGETKSTDKKHEETAKKEPAITSDQAVLQKQHPPKRLVYTRRGSSKKKQRSQDVVVQGPVGG >SECCE4Rv1G0265670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726975466:726978134:-1 gene:SECCE4Rv1G0265670 transcript:SECCE4Rv1G0265670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPAGNPPYAAELGAAKKAVAIAARLCQTVQQEIVQSDIQSKADKTPVTVADYGSQVLVSLVLNMEVTSGSFSMVAEEDSEDLRKDGAEEILERITDLVNKTLAEDGSYNIVLSKEAILSAIDTGKSEGGPSGRHWVLDPIDGTKGFVRRGQYAIALALLDEGKVVLGVLGCPNLPFTSISNLSGSSSGDQTGALFSAAIGYGAEVQSLDGSPPQKISVCTIENPVNASFFESYEGAHTMRDFTGSIAEKLGVQAPPVRIDSQVKYGAVARGDGAIYWRFPHEGYRETIWDHAAGSIVVTEAGGLVKDASGNDLDFSKGRFLDRDTGIIATNKQLMPSVLKSVQEAIKEKKQASSPL >SECCE2Rv1G0133720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898166744:898167722:-1 gene:SECCE2Rv1G0133720 transcript:SECCE2Rv1G0133720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDPLIVGRIVGDVVDYFDMAAQLRVLYGNREITNGFELRPSQVENQPTVRITGRRGSLYTLVMVDPDVPSPTNPSQREYLHWMVTDIPDGGDVSRGTEVVAYEKPQPTAGIHRVVFVVFRQAVRQAIYAPGWRSNFITRDLAECYGLGAPVAAAYFNCQREGSCGGRRYR >SECCE6Rv1G0395010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:315673973:315706195:1 gene:SECCE6Rv1G0395010 transcript:SECCE6Rv1G0395010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPRPQAHSSSDSTRRRERWLVVLGIALHAVYMLSIFDIYFKSPIVHGMAPVPPRLSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTISFGSPDIVPIFCSSLPHSTWGSYPHEYEDFATDASFLDHWSFDQFEGLLNRSLDDVKLRQLLLQDKLVIFLHLLGCDSNGHAHRPYSSIYLNNVKVVDEIAERMYNLMESYFNDNRTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPKFLAYTDKPDDGFRFVDDHRHNMPTPQNWALEGFERVDVNQADIAPLMATLVGLPCPLNSVGNLPSHYLKLSKSDEVEAVLANTKQILNQFLRKSEQKQSSSLYFKPFKPLVNYLSDLDRIEDLISARDYKTAMKYSEDLRSMALAGLHYFQTYDWFMLMTTITLGYIGWMANLILHVLQSYTTFPTNLLKRTQVYPKNTSMKVYIGGCLFMGLSSIILLLEKSPLLYHAYLFMTIFLWTRIAQNYEFMKSAWRELFNMPFKYIVNLLISSVAALLILEFLVMSFFDRKLYTWCFLALGMLASVCGAFSIEASAAVAIYTWLACWFLSVFTLMPAEIPENNNLVIFSGALIILIAMASRWAIINTTTFWLYLNRANKQAPKSSKLFFVQVILISVSSIMVWLTTSHRSQHKELHPLHQLINWKRSGFAMVLPLFSPCSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFALVLMGWIFLESANLYCSEGSNSACHSSLADGSVYGYDERCLQLSDLRIPLLFMILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMTALLIFKLFIPYMLVICTFSAITKIVRIPRIGCYFLVILLSDVMTIHFFFMVQNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIYTKDIEVSSRQLTSRKFM >SECCE6Rv1G0398470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:420747992:420764203:-1 gene:SECCE6Rv1G0398470 transcript:SECCE6Rv1G0398470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARSKDTKERLAGVERLHEALEAAARRGLTAAEVTALVDTCMDLTRDANFRVAQGGLHALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDASRQLLVTLMEVSSPTIIVERAGSYAWTHKNWRVREEFVRTLATAVGLFASTELLLQRVFLSPVLQLLNDLNQGVREAAISCIQEMYKNMGSQFHEELQRHNLPSYMLKEINSRLDKIEPKVPSSDGARTQYRAMERSVSAHPRRGSPRKKNTSRESTLFGGDTDITEKPVEPIRVHSEKELFREIEKIASALNPEKDWSIRIAAMQRIEALVYGGAIDYPSFLMLLKQLVHPLSSQLADRRSSIVKQACHLLNVLSKELLSDFEACAEIFIPALFKLVVITVLVIAESSDNCVKTILRNCKVSRIVPLIADTAKNDRSAILRARCCEYALLILEYWADAPEIQRSADLYEDLVKCCVADAMSEVRATARSCYRMFIKTWPERSRRLFMSFDPAIQRIINDEDGGMHKRHPSSLHEKGAQLARSSSHASGTHLTGYSTSSIVAMDKGAAISSDSSLPSSMLLSQSKATGRHAERSIESVLSSSKQKVSAIESLLKGVGTSGRQNFSAVRSTSLDLGVDPPSSRDPHIPLAAPASDHLSLQSSALLDSSLPSISIRRNGGSRLVDAMPQVDTKERSRSPYLHNLSSEPMSDLSVPYLRRSSGRSQDDSIMDESNDTWPRPNRRSPQMHTDKHFTDMAYRDASYRNSQNNHVPHFQRPLRKQVASRVSVGVRHSFDDGHVPSNDMSGYTDGPASLNEALSEGLSPSSDWVARVAAFNFVQTLLQLGQKGIQEITQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKPFEGYVERILPYVFSRLIDPKELVSKPCSSTLEVVGRTYAVDTLLPAIVRSLDEQRSPKAKLAVLEFANKSFSKYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSIKGIISVYSHFDSTAVLNFILNLSIEEQNLVRRSLKQYTPRIEVDLVNYLQSKKERSRPKSYEYEQIDFGTSEDGYNLTSRNSYPFGRVSASSFDNESGKRMHSVQDVHTDHANQCFEPSSEAEIFTASRESKSNARSVVEAARSWADYPEKSDATIDDENSVGTPRLDFVRRVSDGHSNSAVVTTVGKSMQDMDQFVDLSSVKVVSHTTNGPSIPQLLHRISNDGEVSSQDKQDALQQLVQASGNNDNSVWMKYFNQILTTVLEVLDDSDSSVRELSLSLVAEMLHNQKDQMEESIEIILEKLVQMTKDVVAKISNEANQCLNVVLAKYDPLRCLAVIVPLLVTDDEKTLVMCINCLTKLVGRLSREELLAQLPSFLPALFDAFSNQSPDIRKTVVFCLVDIYIMLGKAFVPYLEGLSSTQLRLVTIYANRISQARSGTPIDANQ >SECCE6Rv1G0435370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:770877258:770878112:-1 gene:SECCE6Rv1G0435370 transcript:SECCE6Rv1G0435370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHSKSPHAYRGLRVQIIFRDYDGYMLGFRRRRNGLWTNWYYCSNKEFPIPFFIQEGARKLPFREDYVMKPLIGGAATFMNIFVQFAQYPELRNTEEITAAFLSAVVVLAEARRIIWVFREVKNRIKRNEVPSDLDCKVPGKELPTGLAWVSLGDWTMNCKQVLSSAFKGEYTPRTGQKFAETVVPVKTFGQLISQDEESGHLSLLMRVEDIAAPEGGWVLTRLKKKVNNPGLEVVDPGFPDDPELPEDE >SECCE5Rv1G0366120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:811358344:811377761:1 gene:SECCE5Rv1G0366120 transcript:SECCE5Rv1G0366120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPFRPRAAPFVSALVLLLLALVPSPEAAEPTTPGTRTRKLGRDAGSSVFSLFNMKPQSKFWTESVMRTEFDDLEGSKSRDSSNTGLLNFTRAGNIANYMSLSEVESIYFSVPVNFIFLGFDGKGGHEFKLGPEELERWFTKIDHIFEHTRIPPVGEVLSPFYKTTVKRLKKYDLPLVSHVNHNFSVHAIHMGEDIMSVFEHAIKVLSRREDLTDTSESGATIWQVDSDQMEHVFSTLVDHLQIQEAYNIFILNPKPIEKSTQYGYRKGFSESEINLLRENKTLQARILQSKRDPKLYLDIEKGVNKRPLYENHPLSSFSWTTTNNVDMGDWSKQCKEALSGFELLKAGKSKEDIIYDKAVQILHGAKDELHDVLESALMSSDLKGLHAECLTDIWVGRNRFAFVDLTAGPFSWGPAVGGDGVRTELTLPNVAKTVGAVQEITEEEAEESLQDTIRERFSSVGEDYHAVDILLAEIDVYELFAFKHCMKRRIELALCKELDQRMHELKNELEGYHSGESEEINKKKALDALKRMEKWNLFKDTSEEHHSYTVARDSFLAHLGSTLWGSMRHVIAPSVSHRAYHYYEKLSFQLYFVTQEKARNIKQLPINVKSIRDGLSSLLLPSQKSMFTQHMLSLSEEPALMMAFSMARRAAAVPLLLVNGTYRSTVRTYLDSAILQHQLQKLSEQTALKGEHTNHRSTLEVPVFWFIHSEPLLLDKHYQAKALSNMVVVVQSDVDSWESHMQCNGRSILWDLRKPVKAAIAASAEYVSGLLPSHLAYSSAHETASEDWTWSVGCNPLSISSEGWRLSEFQQDVIARNYIITGVEESIRVVNSAFQRLITERTSEQGFKIFKTKESVMVEKYNSVVNMWRRVAFMSRGLRYGDAVKLMSSLEDASNGFSHAVNSTISNLHPAKCARQRKIDVQLDMTTIPAFIVVFGLLWFLLRPRRPKPKIN >SECCE2Rv1G0067690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19646824:19650307:1 gene:SECCE2Rv1G0067690 transcript:SECCE2Rv1G0067690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRKLDDLAQPSNAAGSSGHGGGGGGDARPTKAPRPAPSPSRDQTALPASPRPLQPPPAGKDAVELEEGELGDDEYSGEEEEDDQDSEGRSRPDGRTDRALTAGFWCPRARPTALGPQEQLSELVRESPDNSIIQEKMKILSKHYVLFRRTRRDDSCFYRAFIFSYLEILRQMQDKQAEVTRLMECLEMYTDRFSRLKWEKAYFSNPEKYFSSVVSELNQVLNLVANGCTSEWLYQRNLEETFSCRIISFLRLLTETEIRTEEFYKQSIPKNLSVLEFCWKTVRSLDAEATTTQMRALTYTLGIPLRVEVVDKSSMGQGVLVKRLDFFHQSDLNKGPLHLTRSYLSSSTTPKPLEEGSDDADLLSSDGAPLLTLLCRRGHCDILYRK >SECCE4Rv1G0251020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:598390990:598396336:1 gene:SECCE4Rv1G0251020 transcript:SECCE4Rv1G0251020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASLYRRVLPSPPAVEFASAEGKRLFAEALQGGTMEGFFNLISYFQTQSEPAFCGLASLSVVLNALAIDPGRPWKGPWRWFDESMLDCCEPLHKVKAEGITFGKVVCLAHCAGARVQSFRADQTTIHDFRAHLRRCASSQDCHLISSYHRSPFKQTGTGHFSPIGGYHAEKDMALILDVARFKYPPHWVPLTLLWDAMNTTDEATGFLRGFMLVSRRSSAPSLLYTVSCGDKSWKSMAKYCVEDVPNLLKDENLDTVTTLLSRLVESLPANAGDLIKCVIEVRRKEEGESSLSKEEKERLFLKEKVLQQIRDTDLFRVVHELQYPKGLCGSCSSSSDEDSLAEIAATVCCQGAAFLSGNLVSRDGFCCRETCIKCIQANGDGLKTVISGTVVSKGNEQGVDMLLPTSSSKTSLCNSNLRSKIVKYPSSTDVLTVLLLVLQPNTWLGIKDEKVKAEFQSLVSTEDLPDLLKQEILHLRRQLHYLAGCKGQEACQEPPSP >SECCE7Rv1G0507610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:763819180:763821126:1 gene:SECCE7Rv1G0507610 transcript:SECCE7Rv1G0507610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRALLPGVEVAAVTRKVIEVMVWPEKKTPRRGGGGGRRFTGHAGRRGLELDNDEEDFEADFMKFEADSRDYDLELGRGGVAEKDDDDEEVEIKPFVAVNMSLSQDDLSTMPTASFDGPSEMPAKRKRKNQIKAIHQRPFDKWAAEIRDPSKGVHVWLGTSNSAKEAARAYDAETRKIRGKKANVNFPKEPTRFADIASNQPPIPVMKSAAPVEAPAMDIYSDQGSNSFCCSDLGWEYDTKSLDISSISTIAEGAKSAFDKNNTYNSLVPPITENNDVSFEPWMRYLIDDSVDELIDSLLTFDVPGDVVGNMDLWSFNDMPIRGNFF >SECCEUnv1G0557660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:326005075:326005374:1 gene:SECCEUnv1G0557660 transcript:SECCEUnv1G0557660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDHKSVKAQIWDTAGQERQEPTALLHFDFILSFRLDLLPPMTWQFP >SECCE3Rv1G0185410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:674388549:674389205:1 gene:SECCE3Rv1G0185410 transcript:SECCE3Rv1G0185410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDETLVSPTAAAAATPEVEKAAPNGGAAGEEVTLADAVHPAKSYAAVAANAEIEDLRATKLDLEEQLANASQENKTLASEAHRLEGLFSQARDDVATAEHAAATTEEEVASLRAEVERLQALLDRKKADREDDERQRQELTAEVETVRQAKLKLEKEVDALKASAAATTVKDREAAPDAGVAKEEGVAWQGMAAGAAAGAAITAAVVLIYLRLKR >SECCE3Rv1G0149970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:29981790:29983942:-1 gene:SECCE3Rv1G0149970 transcript:SECCE3Rv1G0149970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTLATKSWEESKALWRLAFPAVLTEVFQFSIGFVTASFVGHIGVVELAAVTVVESILEGFAYGVLFGMGCALDTLCGQAVGAGQLDLLGVYVQQSWIVCGAAAVALTPAYAFAMPILGSLLRQPAAVAAAAGPYARWAIPRLLAHAANFPLQKFFQTQSRVWALTAITGAALAVHVALTYVAVNRLGYGLRGAAVAGNVSYWLIDAAQFAYLVSGRFPDAWKGFSVLAFRNLAAFVKISLVSAAMICLEFWYYAALLILVGLLKNGQLQLDIMSVCINYEFWTMMVALGFSEAVSVRVSNELGARRAMDAKFAVAVAAMTSALIGAIFMAVFFIWRRSLPRLFSDDKDVLDGAARLGYLLAVTVFFSSIGPVLSGVAVGAGWQLQVACVNIGCYYLVGIPVGVLLGFKLKLGALGVWTGMLTGTLLQMAIVLVIIMRTEWEKQALLAAARIKEVGGKNEYHPLTTAAYKEDDQMDTANHGVDVQTRERSIELECNEHA >SECCEUnv1G0534060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35636728:35643569:-1 gene:SECCEUnv1G0534060 transcript:SECCEUnv1G0534060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSVSGGGGGSRPPLFSTERPKRVLACRLYAGTIFAGILLIWFYRATHIPARGSSSLGWRAGLGLLVAELWFGLYWVLTLSLRWNPVRRNTFKDRLSERYDDDQLPGVDIFVCTADPALEPPMLVISTVLSVMAYDYPPEKLNIYLSDDAGSAVTFYALHEASEFAKHWIPFCKNYKVEPRSPAAYFAEGATPHDACSPQELLRMKELYKDLTDRVNLVVHSGKIPEVPECNRRGFSEWNETITSGDHPSIVQIIIDRNKRKAVDVDGNALPKLVYMAREKRPHEQHHFKAGSLNALIRVSSVISNSPVILNVDCDMYSNNSESIRDALCFFLDEEQGQDIGFVQYPQNFDNVVHNDIYGNPINVVNELDNPCLDGWGGMCYYGTGCFHRREALSGQIYNKDYNEDWARGVGMAENADELEETSKSLVTCTYEHNTPWGIEKGVRYGCPLEDVITGLQIQCRGWRSVYYNPARKGFLGMAPTSLGQILVQHKRWSEGFLQISLSNYSPFLLGHGKIKLGLQMGYSVCGFWALNSFPTFYYVIIPSLCFLSGVSVFPEITSPWCIPFIYVVVAAYSWSLMESLQCGDTAVEWWNAQRMWLMRRTTSYLLAAIDTIGGMLGVSESGFELTVKVDESEALERYKKGKMEFGPISGMFVIITTISLFNLVCLVVGLGRVLLRGGAEGLGPLFLQAVLCAAIVAINAPVYEALFIRRDSGSLPYFVTLVSLCFVSSLCLQAI >SECCE5Rv1G0359050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:759083822:759084244:-1 gene:SECCE5Rv1G0359050 transcript:SECCE5Rv1G0359050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAQSLARPSPATASLLPLRGLSTKVELIEIDLAEEDPSSVEVVGIRRMEEAIHGVMVRRATPEWLPFVPGGSFWVPPVRRPRGVAELVGRIAASGVGEVSYEAEPYVPMTEEEVLSLSTARGWPSAAYFVEGERDE >SECCE7Rv1G0526900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:899346468:899351044:-1 gene:SECCE7Rv1G0526900 transcript:SECCE7Rv1G0526900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREEIYVATIEEAVESIIPYLEDMSITAHRAIYFGGWHGLAASAVLRTIAEDPLPSLLKKFNKIIHVDCSRWKSRRELQRTIAQELKLPRRVMDIFDRQDEEDDFRGIHESSRAEIQDVAVEIYHTIQGHVCLVIFHNGSHNTIDLNDFGIPLFSWFGNQNRVLWTFRGRLRLNPGISETVDKSHLFVSCDESYFEWDYVLQNEFSEIVGYTDKAVTRECCLYLLSLNSQGGDIMDYNWATHASNYWVCDGIIQGGHADEAWEVALALHHNINVDDYSSNILPSFGDKLKTPPKRWILSEENSIVHPESTSVFLAAVASESDPPLRPLPNDMFHQSDKLRVLKLCRCTFNFSSPPFCCCRNLKFLGLDDCKDQQEEEDEKQDRPAMEFFQSLWVLDICHTDWELNLSADIIEQMAANIREVHINKGRIWCHSFAWRQLQNLHKLRVREPTSPWQTGKMDEFTDMMKLEFLDLSGDSTIQVLPCLSGATGVKILVLDGCIGLKHVGPEGLPMSLESFSLDVGTRVDQNEEAKISHISFAGCGKLMKFRLYGSLPNLEELDLSGTLVKTLDLKEVQAPSLQQIVLLGCRRLHAILWPKEGLPALKVLHIDLRSVDQVQTKLHEVYVTIMDIRFFQSLVLQSNARFCWKSNSFHLNLYVPYTTNLKGQSYRKEKMGPGNSGQIMGPPQPESLTPNTYSTYIDVSVGNIIVDHNYNSGMQFQVSDCHVEIGKGISNGNVDSEQAIKAIFFAMNEAKSLHVHDNPSIASVIPKHMISTSRKYLEWNLLKQCHVVRCPKMHTVFTTDYNANYLFREIETFLAADLLMAHCIWSKGRTFYNNDIDSFGKLRSIHLYSCPRLTFVLPLLWAIQESYLRNLESLHIVNCGDLKTVFPVHPDLQEGVLEFPSLKHIHLYELCKLQHICEVKMLAPKLERVWLRGCWGLRRLPAIGRDSRPVVDCEKDWWERLKWDGLEAGHDPSLFQPCHSSYYKKPLDRVSVLR >SECCEUnv1G0565150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:390367576:390367809:-1 gene:SECCEUnv1G0565150 transcript:SECCEUnv1G0565150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILYNMILEDERGLYIPCFYDNVGTRVQPKRNPSRIHAFLQAHREIEDATTHGRLRDDLVEHHWQLDGRRIGP >SECCE2Rv1G0102300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:576027557:576031051:-1 gene:SECCE2Rv1G0102300 transcript:SECCE2Rv1G0102300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKQETMEETILVGDDLMRGPPSPVVPKEIASHVLEGVELCDGILKNLFLCLQINDIEPFCQDEIVLYKQCAEKRDKEIRERLQDSEYKLGFSMPLEDAKERVAQLQSELTLLERRMILASGLQGMEGFRQRWSLHGQLGDTRKRLEALNSGMAKRESPSPPGEGTTPPVKKRWFF >SECCE6Rv1G0425300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:706076370:706077414:1 gene:SECCE6Rv1G0425300 transcript:SECCE6Rv1G0425300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGELDIPNILSTCKLLESLRLSHCDSGMNSVLQLEHARLTELEVDYGKFEIVELACLPKLQRVSYKRWFYSEKDPLYFGFVPQLSKLRLAKSGSRSTRTIVLSQLLGNVPSISDLHLDFESEKIWVLPERPELLRPVLNKLQHVILDNLPEGCDLAWTMFILEAAPSLKELCITVWDHWCNMLMRDKEFRERNGYCEKADVEWKPHAPDFKHKNLVKLTIYGFQPDGIFVRFIRCVMEHAVNMAEICLHDRKVCLSCGDLDPKVKCSSRFPRNADERKQIIEELGMSLPAMVRLLS >SECCE3Rv1G0151340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:38271395:38272270:1 gene:SECCE3Rv1G0151340 transcript:SECCE3Rv1G0151340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMPRDSSASGALVPSDSVARAAAATATAGKLPFPLLTRTNYAAWAMRMKYLLRANGAWAAVDREDSSKEVDAGKEEMAMTIISQSIDDTMLLRVAEKETAADVWAALRSMHVGVERVREARIQSLRSEFDGLKMGDAESVDDFAARFTTLVGRIRELGDPMEEKYVVKKLLRAVSNKFIHVASSIALFGDTNKMAMEEAIGSLKAHEELVKGREAAHEEEQLLMARGNDSSRGRGRGRGRGGGRKDKSEVQCYNCRDFGHFAWECPEKKKKDNEEKALLGVEDEPALL >SECCE1Rv1G0041840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:574356739:574359334:-1 gene:SECCE1Rv1G0041840 transcript:SECCE1Rv1G0041840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLSGAAAGGLRRSLSSSSRPPWALIQLTDVDKSGAPAPGATLHLDAPPFVTDLTVPAHFIHPRPLPDPATGVHGDVPGHVAATSSDGFLLVRFWESRFQFDVPANGDHGEALVSAFLNFSFTGMDTSPEVTRFVCNPLSGELYRLPDLDGTKRTSRYRHIGLLTQSHSQGGHGPPDRYAVADMFTTRGREEEEEDGFVLRRFLSETGKWEKMAGLPSPLPAGRRMHIDSAVVPFGDRLWWIDESWGAISADPLSERPELRFVELPRGSVLPDLQGVVFMRKLNRYRRMGESEGKMRYVEVSKEKPYVVSSFSLDDGGTSWTLDHEVAFTTIWDDEQHDVPLKEMPAIGAIDPLNSHVVYLVCGDQLLGVDMEKEKITGSSRLAIPSVPILPCVLPTWLESSQIPSSDWSKKTAAKRETSPHMDKKETLRVEVELMK >SECCE2Rv1G0074260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75204427:75205242:-1 gene:SECCE2Rv1G0074260 transcript:SECCE2Rv1G0074260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVLELTLVSGHNLMDVNVFSRMEVYAITSVFGDPGTRRCSKTDRDGARHPSWDETFRFTVPPTAAMAAAAGAYLHVLLRTERLFGLEDRDVGEVFIPVADLLACACTGGPPRCASYPVRKVNCTEHRGMLTVAYRFGPVMVPLPHQDEGLCWDADAAVAGYELPPLQYCPPTYVYAPEAAVPHYPQACARMPPAPKPAAGCGAATASPARKNYCVRNGSFALGLGAGLLGGGFGGMAFGDMPPSGKAAHESACKHTAADGAGVFIRQT >SECCE6Rv1G0438630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:791233488:791238732:-1 gene:SECCE6Rv1G0438630 transcript:SECCE6Rv1G0438630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASFLLLPLALLLAVAVAVARARLEPIIRLPSDDGSVGTRWAVLVAGSNGYYNYRHQADICHAYQILKKGGLKDENIIVFMYDDIAHNLENPRPGVIINHPQGGDVYAGVPKDYTGKEVNVKNLFAVLLGNKTAVSGGSGKVVDSGPDDHIFVFYSDHGGPGVIGMPTYPYVYGDDLVDVLKKKHAAGTYKSLVFYLEACEAGSIFEGLLPNDIGVYATTASNAEESSWGTYCPGEYPGPPSEYDTCLGDLYSISWMEDSDVHNLRTESLKQQYDLVKKRTAAQDSYSYGSHVMQYGSLDLNDQHLFVYIGSNPANDNSTFVEDNSLPSFPRAVNQRDADLVYFWHKYQKLAESSPEKNDARKQLLEMMGHRSHIDNSVELIGNLLFGSADGPMVLKTVRPAGQPLADDWSCLKSTVRAFESQCGSLAQYGMKHMRSFANICNAGILPEAMMKVAAQACTSIPTNPWSATHNGFSA >SECCE7Rv1G0523350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:881854112:881855252:-1 gene:SECCE7Rv1G0523350 transcript:SECCE7Rv1G0523350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRDLLGFIVGAAITAAFVVLLLPSSAPSPSCPCSHEVALDSNGSQAHLMSTKNLSTVAALKSREEDSLAELLRSAAMEDKTVILTIVNEALTLPGSLLELFLESFRLGVRTQPLLKHLVIVAMDAKALERCQHMHPLCYPLGGGRSSDGEPGGMTTGEVTFMSKDYVELMWARNRLQARVLELGFGFVFTDVDIVWFRNPLLRFPVGADIALACDQFLGNNPYDLDKAANGGFVYARPNARTLAFFQEWYEARNRFPGEHDQFVFGEVKKELSARHGVTVVLIDTVYFSGVCENKKNFYEVCTYHANCLIGLQKKIDTLAGVLDEWKQFRAQQELLGNSTTTLIY >SECCE4Rv1G0218340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:21994947:21995483:1 gene:SECCE4Rv1G0218340 transcript:SECCE4Rv1G0218340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSQKKKTLSAPNPSIDAKKPKPQKPVEERETAAAAVAKKPKPKKQKEGKVVSAKKPKEQKEGSAKKPKKQKESNEIDEIFEATKKRKLQQEEEEEESEGDKKPKKGKAEEASKKKSKKETGGKAWEPGQDDEVEEKRPRRRTNDGLTIYSADELGFGKADAGGTALCPFDCDCCF >SECCE2Rv1G0067990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:21299789:21301249:-1 gene:SECCE2Rv1G0067990 transcript:SECCE2Rv1G0067990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNRGGGGRDRLSDLPDGVIGHVLSFLPTKEAARAAALARSWRHKFAYVDAISFVEHVAHYGPSDDDDYTFFVESVERRSKNGPLLDNINAALLCRRRCAGDLNAAPRAFRVQFGCYDNWDKAMVSQWLTHLLRRTPPELHLDLRLQLTVIGEYQVGAHHKADDGCTTHSPYDADPFTLPTRLFSCAALRTLCLAGCALNPPEVIHLPLLDTLLLSSINGQDCSTSIQRLISCCPRLLDLTLERCGYTTPYLGGSYTITVLDKHLRRLSLRCCHNLVKVTVDASELREFEYRGAVPAEAFLSLQGARNISSCHILGFCGDSVHGRDSPRLIRMFLEQFTGTRHLHMVSTHLGSDMGFTLFPSLTKLELTGCLHPTSVEAMTRILERAPSIQILSLFMYPHEIKDDLTVPDVLIPCLRDRLKEINLVHYQGHEAQRCMAKLLLSNAIVLERLCVVFPRGPRELQIRLKNEIKEWVVNRSAETVFL >SECCE1Rv1G0026820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:374805100:374814036:-1 gene:SECCE1Rv1G0026820 transcript:SECCE1Rv1G0026820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRSRTFSDSGAGAGAGAAGGGGDRGLSSSQDAFDFDGGDADDELAALGSSASQPFPPSQESSSMWDFDEDPPTQPPPPRLEGPRRKGRRGRHAEPEPEPATATLMEAEEYGEMMESVDEVNFALDGLRPTAPRRVRRASLLALLGICASAARRRVLRAQGLVKQIIDNVLALNIDDPSCGVAAAALLFVLASDVQENHVLNSESCIRFLLKLLNPPMDANDVKAPSIGSKLLGISKVQMFNGSNKDSDSSSEDIISKVEEILLSCKEIKPLDKDGKRTSRPELCSKWLALLTMEKACLSAVALEETSDMVTRVGGDFKETLRTLGGLDNIFDVMVDCHSSLEGIVKDNSTPSLDIKEGTSLQSAALLLKCLKILENATFLSDQNKTHLLSMSRKLSPRGSTVSLVGVIINIVELLSVLSLLQNSSTVSSSTDKKSSKGCKGCCSADIKGATTLNGHGKGKNSKKNKLSLNQKCQNCSSSKLDASHISISSTSDVGLSQMTLDCSQSTSSNRASSGSLGERHSNGLGLGLKLNIRKERGKANPIRGSSGWVSITARSSDGTSREMAKRRRLSENGNSDLRSGSGSDPFAFDDVDQEPELFGQKKRSTHGRQAKSANEKLLDDRGIAAIGSQESYQPEDNHHLGATSHSNVDDDSNLLEDCLLASIKVLMNLANDNPSGCEHIASCGGLNTMASLIIKHFPSFDFSVDTGRDVDLGQDLTDSEDSKACQVKAKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLASARVSVDLSKNAQSEKAQRDVIPLLCSIFLASKGSGEASATISPDDEESMLQGAREAEMMIVEAYAALVLGFLSIESMKVRGAISSCLPNNNLKVLVPVLEKFVAFHLQLNMMTDETHSSVTEVIEKCKL >SECCE7Rv1G0490840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:509175092:509180544:1 gene:SECCE7Rv1G0490840 transcript:SECCE7Rv1G0490840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLG (mixed-linkage glucan) synthase, Biosynthesis of MLG (cell wall polysaccharide [Source: Projected from Oryza sativa (Os08g0160500)] MAPAVAGGGRVRSNEPAVDAAASGKPCVCGFQVCACTGSAAVASAASSLDMDIVAMGQIGAVNDESWVGVELGEDGETDESGAAVDDRPVFRTEKIKGVLLHPYRVLIFVRLIAFTLFVIWRISHKNPDAMWLWVTSICGEFWFGFSWLLDQLPKLNPINRVPDLAVLRQRFDRPDGTSTLPGLDIFVTTADPIKEPILSTANSVLSILAADYPVDRNTCYVSDDSGMLLTYEALAESSKFATLWVPFCRKHGIEPRGPESYFELKSHPYMGRAQDEFVNDRRRVRKEYDEFKARINSLEHDIKQRNDGYNAANAHREGEPRPTWMADGTQWEGTWVDASENHRRGDHAGIVLVLLNHPSHRRQTGPPASADNPLDFSGVDVRLPMLVYVSREKRPGHDHQKKAGAMNALTRASALLSNSPFILNLDCDHYINNSQALRAGICFMVGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGMQGPIYVGTGCLFRRITVYGFDPPRINVGGPCFPKLAGLFAKTKYEKPGLEMTMAKAKAAPVPAKGKHGFLPLPKKTYGKSDAFVDSIPRASHPSPYAAAAEGIVADEATIVEAVNVTAAAFEKKTGWGKEIGWVYDTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLFQVLRWSTGSLEIFFSKNNPLFGSTYLHPLQRVAYINITTYPFTAIFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLGIVLSTLLVIAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVCQVLTKVIFRRDISFKLTSKLPSGDEKKDPYADLYVVRWTPLMITPIIIIFVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGILGKHGKTPVVVLVWWAFTFVITAVLYINIPHMHSSGGKHTTVHGHHGKKFADPGFYGWP >SECCE5Rv1G0353050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:713399137:713399953:-1 gene:SECCE5Rv1G0353050 transcript:SECCE5Rv1G0353050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSIQAAIARQEAGLTASERDVMEQSTDQATATASGVAPGAGGAEATRAAGATMKVVVAVDASEESLHALSWALDNVVRLHPGASVTVVHAQHPVDHFVYPVAAHAGLAYAPPTAIDSMRRAQEEISRKVVARALDVCKQKQASATAVVVEGDPKEAICQAVEEMRADLLVLGSRGLGMVKRALLGSVSDYLAHHACCPVLIMKPPKAHHK >SECCE6Rv1G0422570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:690079649:690080512:-1 gene:SECCE6Rv1G0422570 transcript:SECCE6Rv1G0422570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFQQQQQCQYEAAVGKAAAAAAGKGRGGSKCKFVGVRQRPSGRWVAEIKDTTHKIRVWLGTFETAEEAARAYDEAACLLRGSNTRTNFAAAAAPAAASSPPDSPLASRIRTLLTHKKLKKSASPPPPRAPSQQPALAIGPATAASNVISAGNNTSSTSSTISFAMSAAHQTPTPGLSNHMTYHQWINNHGGEQLHQHLEHQPWPATLSPAAPTLAARRNVADCRVIADAARPENQEDSASASPGAAMSGVVQEQDDGFDIGSDPCDSLWDLPPICQLSCRSLMY >SECCE2Rv1G0069430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:29119269:29120865:-1 gene:SECCE2Rv1G0069430 transcript:SECCE2Rv1G0069430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSVVSPPVLPVPDGAPSWVLLDTAGYIAKRGNATFAKTLGSNGQPIEVTFCTAAPPHVSHFCVHCPGLAPADFVEVPRLIGAEADLVLFRLCVDPLAVAGERLFDYFLYTAHPQRPSLELLPHPYPYLFHDSEVALLRCSEDEYAIAALRNIDDYFTDEPQMSFNLYLYRSSRAGEEWTARVVTVEEPLRDRVCPVEEPKRFHETTKAITLGGGTVGWVDLWRGILVCNVFDERPVLRDVPLPLPARGNWEIYHRCGPYFARDIAVSPQKDVIKYVEVEICLTRKPTTTKTTETCQPPEPESYLEWFRQQQCEDDDDDDEDIEAWKATTWSLPVPIASWEDWHFEYTVDVDDIAVDPMHCKLLPRPLRPTEAEALLPRLITACPIMSMDDDLVYLLSKASPKDQMEVVIAVDVRRKMLQRVAKLVMGKDFTFMRCCTSEISKYLNNKSSGLSH >SECCE6Rv1G0402440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:484047277:484048602:1 gene:SECCE6Rv1G0402440 transcript:SECCE6Rv1G0402440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSALEVVESCLVTPSDETPRKGLWLSALDLVLANRGHTPLVHIYSAGDATAAGDGFFNVAKLKRSMAKALVPFYPLAGRLGVDRDGRIEIDCNGEGALFVVARSDRTVEDFSGPTPSSELTKLFCPRVQPSSIMLAAQVTFLKCGGVVLGTAVHHAAVDGSSAFHFIGTWARYCRDGESAVIEFPCHDRALLRARSPPAIHPETVSMFCSKLTMHEHESSVLASKVFTISGDQLCALKRHCGGASTFCAMSALVWQCVCVARQLDPEATTRMNFPVDIRHRLQPRLPGRYFGNGVVNVFATAAVKDVVSETLASVASRVKATTEQLDDELLRSAVDYFEMEAAKGGRPEDRGNLRETELRVNSWFHLPIYDANFGWGKPRVMTRAETVRGGWVYVMAGCGDGSARVLISLEAATLKKFERALAVCRVQTSILLPHARL >SECCE4Rv1G0285560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:845259260:845260399:-1 gene:SECCE4Rv1G0285560 transcript:SECCE4Rv1G0285560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGKQPDWLNLPSDLLKLIARRSRDAVTGLATFRSVCQAWRAAAGPAPRLLLPRVRASHTIVFPLSRGWSLVLDTRDASCHVSHLATHATAALPKLNAVRDDPGSDVVRHVRYVHGNDLDTAVRSNWMFPTYLEFTDYLRFAVHLPPSAPAAGMTVMMYHVMHGHTGMLFCRPGDAAWTKVEKPRRIGYGYFDFAYHDGKMFGMDTNGEMAVFDAATLGALELVRRPPDMPNLSNKMYGYGSFPRKKEFDYVHLVALPSKLVLVVARTTVKSSRPVAFDLFELGSSSAPDGQLAWRKVAEAGNYELFIDRYHATFWENDGANEGGTRIYYVHDEQKVSTVAAYCYSMHDNKLECVYKSSPKDDGLPDCLTRPSWFVP >SECCE4Rv1G0268750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744807911:744809908:-1 gene:SECCE4Rv1G0268750 transcript:SECCE4Rv1G0268750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDLFRLATLYLLLAATAGADDGRQFAYNGFAGRSLDLDGAAEVTPNGLLMLTNGTIQQKGHAYHPSPVPLRAARSFSTAFVFAIFGQYIDLSSPGMAFFVTTSKEVLATALPGQFLGLLNATNNTHPNAHIFAVELDTLLNSECRDINSNHVGVDVDSMVSRASADAGFYDDATGRFQNLSLISRQAMQVWVDYDGAATQITVTMAPLGVARPKTPLLRTAVNLSAVVQQQDTAYVGFSSATGILFARHFVIGWSFALDGPAPTLNISSLPTLPPTGPKPRSRVLEIVLPIASATVVLAVGIAAYILVRRRLRYAEVREDWEVAFGPQPFSYKDLYQATKGFSQTNLLGSGGFGSVYKGVLRKPNMDTEVAVKRVSHQSRQGMKEFIAEVASMRRLHHRNLVQLLGYCRRKGELLLVYDHMPNGSLDKYLHDPRTGKATLEWPQRLHIIRGVASGLSYLHGDWEQIVIHRDVKASNVLLDAEMNGRLGDFGLARLYDHGSDARTTHVVGTMGYLAPELGHTGKATPSSDVFAFGAFLLEVTCGRRPIEEVEGNNRVMLVDWVAEHWRQGWVTKAADIRMPSFSLDQVSLVLKLGLLCSHPLPNARPTMRQVTQYLDGDVPLPEFSPEYLGSTMLELMYSAEFFNKHVASYVSSGVISDLSGGR >SECCE3Rv1G0164600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:164826235:164832075:1 gene:SECCE3Rv1G0164600 transcript:SECCE3Rv1G0164600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MAISSPSPGFHLSLHLQNPTPTPPPGPNRKPRPTPTDETLRRRLLRKGVSATPKILHALRKKEAGKSLRRARKEASAATAPPKEDALVAEEEARFRAAAEEYRVLMGRPWHGARGVVGPPRAASGEEGLAGLRKMLEERSGDRFQWLLDGDVENEGVADAKGKQRRVGSGWISEVGDEERRIELLVSRLNEGDLSSGDWRLTRMMKQADLIYNEDNLLQILKGLEAKGNWRQAVAVTEWVYNENSYKHRRSRFVYTKLLSILGKAWMPTEALRIFTIMRGDAQIYPDMAAYHSIAVTLGRAGLLNELVKIIDYMRQKPSKRVMMMRRKDWDPLLEPDVLVYNSVLNACVLSQQWKGVFWVFQKMRFGGLTPTGATFGLAMEVMLKSKKYEFVQKFFEKMQRKGVPPRAITYKVLVRAFWEQGKVNEAVEAVKDMEQRGVVGAASVYYELACCLCNKGRWKDAMLQVEKMEQLRLNKPLEFAFTGMILASFDGGYISECISIFESMKDYCTPNIGTINVMLKVYGRCDMFGKAKDLFETTTYSVCSSQPHIRDHSSLKADVYTYSSILEASASAQQWEYFENVYRQMTLSQHHLDQSKYSWLLIKASKAGKPYLVEHALDSILERGEIPDVELFTENICQTIAESDHGRTLHLMNTMSAASVEMNEQQWSDLFQQNSHRFRVDALKDLITHLSTSDAIKTDPGLGFVRALQSQCATMLAKDAEEPQADNCSHSNLVEQDELSCKDSLGSHEFSDSSTGIPVSGVKAGSGGDIVLRGPHSEEHRNLAHWGTQVSAIDKVLDSMNSFGNCSSYEKMPTASEILESWEQECVEDIFAPKKGSRAAMRGQMNEALEYVV >SECCE7Rv1G0519090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863291696:863293466:-1 gene:SECCE7Rv1G0519090 transcript:SECCE7Rv1G0519090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGTLLIIATLLVYFLRKSKRVVLSRRQPARQGRLPPGPATLPIIGNMHQMVWNKRAVFRWIHRLLKEMNTDIISLRLGATHVIVVTCPEIACEVLRKKDEVFASRPITFASGTFSFGYMGSVLSPHGEQWKKMRRVLTSEILASSMEHKLHHLRKEEYDYLVRYINNTACCGMMPHTKNIVNVRHVAQHFCCNLIRRLVFGKRYFSNLPASSTNGPGYEEEAHVAALFTALNLVYNFCVSDYIPALVGLDLDGHEEISMDVMRTLNQLHDPIIRERICERSSTIVNGGENKEVRDFLDVLVHLKDAEGQPLLSLQEIRAQTAEMVLAAVDNPSNAVEWALAEMMNRPEIMQKAIDELDVVVGKDRLVQESDIPRLNYLKACIREAFRIHPYHALNVPHVAMVDTTIAGYTIPKDSHILLSRLGLGRNPKIWSEPFEFHPERHLNTVNVLLTEPGLRFISFSSGRRGCPGISLGTSITMMLFARLLQGFTWKKPAGVKNISLQEGNASLALHEPLVLQAQPRLAACLYI >SECCE5Rv1G0317230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:338514760:338515236:-1 gene:SECCE5Rv1G0317230 transcript:SECCE5Rv1G0317230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSKQPFLKSKQPFSKSEQPFSKSEQPFRKSKQTFRKFKQPFRKSKQPFRRRPRIGPGDRIDYRNMSLINRFISEQGKILSRRINRLTLKQQRLITLAIKQARILSFLPFRNYENEKQFQAQSISIITGSRPRKNRHIPQLTQKYNSNRNLRNNNQK >SECCE2Rv1G0140060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:930057416:930060473:1 gene:SECCE2Rv1G0140060 transcript:SECCE2Rv1G0140060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVTGAMGTLLPKLADLLMEHYKLHKGVRGEIMFLKAEMESMETALLRISEAPIDQPPDIQVKLWAKAVRDLSYDLEDSIDKFMVRIETHGRPDKSHSFRNFIDKSLTLLTKGKIRHKIGIDIKDIKSRIKEVSERRDRYKVDSVAAAKPVGPAIDTLRLSALYRKATELVGTDEKSVEVIKMLTEGDEVSKKQLKVVSIVGFGGLGKTTLAKAVYEKLKVQEDNQELQFDCSAFISVSLNPNMEQIFQSLLHQLDKHRIQNTNEALWGEEQLIREIRTFLENKRYLIVIDDLWDKSVWENIKYAFIENEYKSRVITTTRILDVAQQAGGVYRLNPLSVVDSRKLFYQRIYDMENKSPPSQLVEVSENILKRCGGVPLAILTIGSLLSNKKGRSHTLEYWSKVQNSISSGLDNNHDDVKNMRRILSVSYSNLPPHLKTCLLHLSLYPEDYKIATEQLIWKWVGEGFVKKEQGRSLYEVGGDYLDELINKSLVQPVKFDNASKVCSCRVHDMVRDLIISLSNEENFLTTIGGPQPEYLPSKIRRLSVQVQPCIQEVANQLSTMGLAHVRSLVVSSSAFILLPTLSGFPVLRVLDLTDCWQVDNNSWKDICYLFHLRYLSLKGTSITQIPKEIGNLQFLQVLDIRYTEIEEELPSTFIQLTQLLLFCMPDSITCAVPRWMCSMSFLFSLSITLETLGEEDLHVLGNVPSLSELYIQVEKHTQGRDKRLVIDNAYPFRCLKRFTVKGDTMELKFARGAMQSLNTLLFGLENVHDTLLQFGDLVFGLDNLSLLEHIVVEFPFHEEYIETQKVRNAIRKEVEMNQNKPILTFTETGGDEVDVSIVVHIFEQLVKYFITFVYISKPYMQLVEVYVCFYSF >SECCE1Rv1G0045930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:613729081:613737485:-1 gene:SECCE1Rv1G0045930 transcript:SECCE1Rv1G0045930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPTTTMLVAVSSGIRGSCSSSCPIASAANLFLRGSSLRRSNSKVSWRSEAMASAHPSQGLPSGMDPSMVDEYASQSKLLQEFVKIPSIGKAWIFSSKDENASRAMVSVSQSDLLANKKRSFLLNTHISKSSSKSASFQWSPFPVEMTGVSAVVPSPSGEKLLLVRNAEDDDSPTKLEIWGPCQLENEIHVARSVHGSLYADGWFEGISWNQEETLIAYIAEEPPQPKPEFNDSGYRKEGSSQKDCRSWKGQGDTEDSWGETYSKKRIPALFVANISSGEVRALKGIPRSLSVGQVIWAPSSSYSLVFVAWSDDNGFQETPRKLGIKYCYNRPCALYAAADPFKEEADKPSTDSNKGDTAALIKLTEDLSSAFFPRFSPDGKYLVFISAKSAVDSGAHNATNSMHKIDWPTDGKLEGSLSVSDVVPIVMSPQDGCFPGMYCSGLLRFPWLSDGRTMILSSAWGSKEVILSINVASGEVSRVSPQDSDYSWNVLALDNNNIFAVSSSLVTLPQMYYGFEDSHTDKPCQWDWQEISSPFPKPSDKVSSLLADHKFSVIKIPVSNPSDKLPDGAKLPFEAIFVSGKDSASSPTIVVLHGGPHSVYPSSYSRSLAFLYAQGYNLLVVNYRGSLGFGEEALQSLPGNIGSQDVNDVLTALDFVKKRGLIDASRVAVVGGSHGGFLTTHLIGQAPETFVAAAARNPVCNLQLMVGTTDIPDWCFLEFYGKEGKNCFTESPSADTLTQFYQKSPISHISKVKTPTLFLLGAKDLRVPVSNGLQYARALKERGVDTKIIVFPEDIHGLDKPQSDFESFLNIGVWFKKYMSK >SECCE2Rv1G0066500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14479182:14479826:-1 gene:SECCE2Rv1G0066500 transcript:SECCE2Rv1G0066500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQISAPPVDIFAITKPILDGIDLLLKNAFEALDTPTLTGSQRHEIFQAVRSMLPAGDIVPQIAPVRAAWENFVSISDTVQEARRIIEGQSKQKYEFVTVAESKAESIEASLKISAEEMSSMLKKQDEKKERVEALSAQLQEATAELRTTEERVKQLESDRSAKQAEAKKLHEDLLEANVKASKELEALKGKTSLENEVKSIISSLKDWRSMSN >SECCE7Rv1G0484690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344674987:344680025:1 gene:SECCE7Rv1G0484690 transcript:SECCE7Rv1G0484690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSCRSLVRCCLGPPREGDAMDGVKLKVTSRYVIIKNDMLELTLSNPDGIVTGVRYNGVDNLMEILNKEDNRGYWDIVWNPPGQRTGIFDVIKGTEFRIIHHDENQAEVSFTRSWDPSQEGKAVPLIIDKRFIVLRGSSGFYTYGIYEHKEGWPDFGIGETRVAFKLRKDKFHYMAMADNRQRIMPMPDDRLPPRGQQLAYPEAVLLVDPINPKLRGEVDDKYQYSCEDRYNSVHGWVSSDPPIGFWQITPSDEFRTGGPLKQNLTSHVGPTMLAMFLSAHYAGDDLSPKFTNGEYWKKVHGPVFMYLNSSQDGSDPSLLWEDAKVQVMMEKQSWPYDFALSEDFQKTEQRGSISGRLLVRDRYIIEDEDLYAASAYVGLALPGEAGSWQRECKGYQFWCRADSDGSFYIKNIVTGNYNLYAWVPGFIGDYRFDATLTISSGDDIYLGDLVFEPPRDGPTMWEIGIPDRSAAEFYVPDPNPNYVNRLFINHPDRFRQYGLWERYAELYPDRDLVYTIGESNYSTDWFYAQVTRRSDGDTYQPTTWQIRFSLDAVPPNSTYKFRVALASSANAELQVRFNDQDRVVPHFTTGLIGRDNAIARHGIRGLYWLFNVNVSGAWLVRGMNTIYLKQPRNQSPFQGVMYDYLRLEGPCAVPANSSSKP >SECCE7Rv1G0470060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:115500909:115502589:-1 gene:SECCE7Rv1G0470060 transcript:SECCE7Rv1G0470060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEEVLVDILRRVPPRHLAACRRVCADWRATIDAHRLVLRHLLPCAPRGAFINVAESLRETYFFARGGPAVGFDTRLADAPASWCTSFVDHCNGLLLCEANEGMRFVYNPATRRSAVLPPAPSSEPRGVASGAYLVFDPFVSLHHEVLVLPELPGEPQPPEPADSPPPQPFNVGRLFFADTESLPPPQIDTDDETDDGEDWLSWDSEDEPRKRARPRQREHVEVKDTLGLMEWPPSPYVAQVFSSETGRWGDRAFVREGGAAGTVADMWSDPLSPSYGMGFGLPNCRPRRRHAVYWRQSLYVHCRGGFIVRLSLLTGKYVVIKTPGVTKVAEHRWATPYLSKSRMGVYYTIVDCLKFQVWVLTDESPTTPVWEMAHHTDVEPSIRQLMRKNFQNAQKYWVVEDPRILESSMEQGYRVWDSDNDDDDGANVAEEEEEEEEEEEEGALGMCIGWLDFLGYHPRKDIVFLGHHYERCAYAYYLSTSKLEYLGYLWLAPRDQRFPSCVQESHVYTPCMIDLLYDENGVSVDLSKWVS >SECCE6Rv1G0409320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:585181187:585184374:-1 gene:SECCE6Rv1G0409320 transcript:SECCE6Rv1G0409320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPPRKRNFKIEAFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYNGLESTMTWRLKEISKSIEAAQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPTSHRTPVHELGLNLWRDHIIHYPMIHGRLLDTLLDLIHRERMGEVINRGLMRSITKMLMDLGPAVYQDDFEKPFLEVSASFYSGESQEFIECCDCGNYLKKAERRLNEEMERVSHYLDAGSEAKITSVVEKEMIANHMHRLVHMENSGLVNMLIDDKYEDLGRMYTLFRRVPDGLSTIRDMMTSYLRETGKHLVTDPERLKDPVEFVQCLLNEKDKHDKIINVAFGNDKTFQNALNSSFEFFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEGILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQDFYAKKSEELGDGPTLDVHILTTGSWPTQPSPPCSLPPEILAVCEKFRGYYLGTHSGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNTSDSFSYKDIEQATEIPSTDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFFFNDKFTSKLVKVKIGTVVAAKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVSEVTKQLQARFLPNPVIIKKRIESLIEREFLERDKADRKLYRYLA >SECCE2Rv1G0100580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:543444778:543449847:-1 gene:SECCE2Rv1G0100580 transcript:SECCE2Rv1G0100580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSTASTDPPPSSGKTSFLKTCFNGINALSGVGLLSIPYALSQGGWLSLIVFMTLAIICFYTGLLLQRCMDSSSLVNTYPDIGAYTFGRRGRVIVATFMYLELYLVAIDFLILEGDNLHKLFPTASFRLGALRVSGKDAFVLAATLAVLPTTWFSSLNVLAYVAAGGALASVLLIAAVLWVGVFDGVGFRERGRLVRWDSMPSAMSLYSFCFSGHAVFPMIYTGMKDRKRFPVVLSLCFIVSTLSYGLMGIVGYLMYGDTLKSQITLNLPSASVAAKVAIYTTLVNPLAKYALVVAPVAEAAEGALGVGKSAPLRTLVRTVLVVGTAVVALAVPFFADVVGLTGALLSCTATMLLPCLCYLKVRSKIGGGGARGTMGLETAACLAIVAIGTAIVGLGTYSSVKQIVRKL >SECCEUnv1G0537080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67400553:67401191:-1 gene:SECCEUnv1G0537080 transcript:SECCEUnv1G0537080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISEDIMQEDEASTQPQQAAAAAVKDNSTEVEKEESKGRQPNSGNGLDLDNYSWTQQLPEVNLSVPVPEGTKGRSVVCEIKKDHLKVGLKGQPPIIDGELHKLVKVEDCFWSIEDGRLLSILLTKRNRSEWWKTLIKGDPEIDTQCAQPESSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSEEMQNQDMLKKLKSQYPDMDFSGMKMPK >SECCE5Rv1G0344580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:646104353:646111895:-1 gene:SECCE5Rv1G0344580 transcript:SECCE5Rv1G0344580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAHVEKLRRERYYIGRGEQNPLAEDMHQAVNYLSQELYSKDVHFLMELVQNAEDNEYPDGVAPSLEFLVTSTDITGSGASSTLLIFNNEKGFSPSNIQSICGVGKSTKKGNRDKGYIGEKGIGFKSVFLISSQPHIFSNGYQIKFNEKPCPECNIGYIVPEWVESRPSLSDIKQIYGSTRDLPTTCIVLPLKDEKVTAVKQQLSSLHPEMLLFLSKIRRLSVREDNGNAKGSTVSEIAISSEKNFEVRKNMHAESYTVFLSAQENDSEAECGYHMWRQRFPVKAENRVDKRTEIDEWVITLAFPLKERLSRGKQLSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECIPSAFMNAFVALVKSRTDAPAMTIPSMFHYLPISPSLIPLLEPVRSGIKEKVLVEDIVPCESHMEQKMFCKPCEVARLKPAFWDILVKARQSGVDLKNLSTHGTYILSSHFDKGAYNSVLTFLDVKSVSHEWYAKCIEGSNLVSNVDEQLYLELLSFVADSWQNFSSTKMLQIPLLKYVDRNKNVSVWSISRASQWSDRLCIASDGKWMSWLISWNQEFPSSNRLFVSPSTQTALQGFAQKEKVTYWLQSHAKVEFVSVYSFGNIVVKSLNCDRRPAIAFSHFLYHSSNKNYMESYQLADLCRIMPVIDNYGNAVTERQSILVPANGSKWVGLMGTNPWRNEKYIELSADYKSAGHFAGNYTPTDQILDFLKTKMQASDVPFIHPPNASFPTVSSPLTVDNAILLLQWIRNLKSKGVQLPASFLACVREGSWLKTSVGYKPPAESFMSSSEWGNLLQNGSSCVDIPMIDQQFYQSKIYAYKEELKVIGVRFEFGEASSYIGRRLMSMAASNMLTRQHVYELLRLIRFLQQKVLSPSELVNSVKDGRWMKSILGYMSPSCCIIYDSDWAVASCISTQPFLDVGFYGESILDYKQELKLLGVQVGFENSEKTYKLIIDNFKFSSSSVTSDATALILKCIRYATPCDDFLRKLRDLKWLKTNVGFRVPRESFLLDPEWECLVKVFDGVPVVDSGFYGSKISPYKEELKKTGLIAGFDQASKTIANIFKQMVEKSSLTKANVLALLTCYRQLRTHNPYPVDLFNSIRSEKWLSTSLGFRSPSEAILFDESWQSLSPIAKLPFINDGDSNGGLGKEIHGYKAELKDLGVATEVKAHSARFVINGLNIPADPAAISAATVLSLLGSVKSSLACTATFPKEFMKEITSCKWLRTTLGFQYPEECILFDPKQSSVNITDGPFIDESFYGSEIASFKDALAAIGVTVDVRCGHGLVAQHLRSHKETATISRIYLYLKECNWEPEKKNKECSDWIWIPNERGSGEWVTPLSCVLHDQNNLFSQGLHVLDRYYDDKKLLEFFSSVFSVRHGPDAKDHCKLWSAWESLSGDLSIADCSAFWQFIARNWSKNTEELLSGCVKVPVCTDGKIILSQKEDVFIPDDLLLKDLFDKLPRQSIFIWYPPSISRARLNNIYGSMGVQAVSKAAEKSDSFVTLGRDGGCKTVADQREVVSVGLLQIVLAFLADPALDISSKERHAMVSSLLNVSVLETKEPITVGYCVKLSSGEAVDVEASRMIRWERENSKLYLQRGNGAGAAAGYKGKIEFATNFADEISRGLLFETPDRIPSLTELVKVGSLVDFQDDAVEYLLKSKNLQLFPEDEAFLNAASLGGSKKC >SECCE7Rv1G0526390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894869633:894883236:-1 gene:SECCE7Rv1G0526390 transcript:SECCE7Rv1G0526390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGTVSVFQPSAWSDFFLRYERKPPKRSGELMKIRINTLKEDVDMLFKTCSNSLEKMKLVDAIQRLGIAHLFEIQICTALSDIHENELSISSLREVALRFRLLREHGLWVSADVFKKFRGEDGTFNEYITNDPKGLLCLYNAAYTLTQDEPELEDAISFARHHLESLASSLGSPLAEQVRRALHVPLPRTYRRLEALHYMPEYEREEGHSPILLELAKLEFNLLQAVHLKELKAISEWYSDLKGSVGLCFARDRVVECYLWGCCLFYEEEHTLTRMIFAKLVILHTLLDDTNDVGATLEEYRKLDAAIQRWDESAVSLVPGYLKKFYNKLLICFKEFDDELRLNGRYSIDHIKKEFQHQSSCYVQEAEWLHKNHKPGFEDKLYLAAMSLGAVQLCVYTMVCMGNEMPKGALEWALGYPDVVMACAKIGRLMNDLAASSKPRDNRDVANCVECYADEHKVTEEVAFAAIDSMIEDEWKTTNQARFQHGRELLPAVQRVVNFTLSWPVYYGDRTDAFTFSSHLEDTIKRLFVKPIPI >SECCE7Rv1G0519330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864416268:864416642:-1 gene:SECCE7Rv1G0519330 transcript:SECCE7Rv1G0519330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSVVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKGTTKVVVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDNELERLRKKSQEQEEQLAHLMALFRAKVV >SECCE5Rv1G0302090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:35095647:35097910:-1 gene:SECCE5Rv1G0302090 transcript:SECCE5Rv1G0302090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLVARSLSTAHLSGRLRRPLPTAVAVPGDSLSTADRAGRLRRSLYTAAAATHPPWAIIHDTSEVDRSSSAPGARFQPVDPPGVSHIFAPAHLIDPTERPKPNAGSSHDVLQYLDGNVVQLLFGNVGAASGDGHLLLSYHDLRAEGPCTRWDLAENPEVHRFVCNPLTGQMLRLPDFGGSRRIFALHNMGLLTQADGGLGCGPPDRFAVADLVLNGAAILRFLSEEGKWKTVRPVNGNTSLPRLMEMNQETIAFGGRLWWVDLTLGAASVDPFADQPEIRFVELPSGSVLPAPPRVDEADPCKVEERGLLIMEVTNRRRIGVSEGRLRYAEVTPGGPFLLSSYALDDDEGSGWKLEHQVTLRQVLTDGGYSAQTAPQIAVLDPVDANIIYLRVGEDVVVVDLYNGKVIGGCRLQGEYISLVPCVLPPWLGSSRIPAQGKKDGMEVTDDLVSSA >SECCE7Rv1G0523250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:881454376:881455380:1 gene:SECCE7Rv1G0523250 transcript:SECCE7Rv1G0523250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPESATATESSPEEAPSEESLKDLISSLPSREGWPERLIQHKGYWFKPRILEGVLRASRAFAPRDDDVVLATQPKCGTTWLKALAFAVATRSRHGLGAADHPLLTRHPQHLVPFIEIPGAGGGHLDIGALPSPRLLATHMPMSLLPPETRSLRCRVVYLCRDPKDTLVSRLHFENKVAARSGRAGLSMDDAFGMFCQGFSPYGPFWDHCLEYWKESVARPGSVLFLKYEEIRLDPARVVRRLARFLGVPLTEEEESSGVAEEVVRMCSFETLTGLEVNQVGGVSHGNKVHVDNSVFYRKGEVGDWVNHMSREMGEKLDRIVQDKLQGSGLEF >SECCE7Rv1G0456190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9590651:9592064:1 gene:SECCE7Rv1G0456190 transcript:SECCE7Rv1G0456190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELMNRSRGLAEGAVVMVCPVLLALALDKVDLKVYGRPTFFAMLAMAGITLISGIGPLLVCCFSKRFPNMGNIELAPVTASLATLSSSCLLILACFIAQLVVSKQVLIAVGVLCGAFILVRAVSYCLGGHGDPYSLDLHKVLDESHEFLTGVTGILFLGLEGLALEGHDDQMVQKAGHVGTISFIVCALGVCMMYLEMIPPLYFVERGEGEIVCLTLMLDSIMAGGTFTVLMVVMLKLMGPPALMLFAPPVLIIVELAYQIAIDRRSLLSDQAPGVVQASTTASLELTRVTFTGFLAVSITATRNTSPSMLTSCFLLFAASAIVFGLSWRLLSQTQIRNRLAHRALSDLVASSADLASLCTHFCIVIATILFLAMAGTTREK >SECCE1Rv1G0026170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:366823685:366838805:1 gene:SECCE1Rv1G0026170 transcript:SECCE1Rv1G0026170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGRLEQPLYPQECRQGRISYSGEFKVEAVFQFNDGAPIRQTFNFGHLPIMLMSKLCHLRGADPRKLIYHGEEATEMGGYFISGGLERLIRILILQKRNYPMGMVRGAFIKRGAGYTDKAVVMRCVHHDQSSVTVKLYYLQNGSARLGFWFGGREILLPVGIVLKALIDTSDREIFASLTCCYSDKRERGKGVVSTQLIGERTQIILDEVRALSLFTRTQCLVHIGKYFRSAMEGFEKDDYETVAEAVIKDYILVHLQNDNHAKFNLLIFMLQKLYALVDQTTSPDNPDALQFQEALLPGHLITVFLKDRIQDWLQKSKRLIMEEITKNKSFELNNSLEIRKFLSKYTTSVGRAIETLIKVGRANSQSMLDLPQREGMTIQAERLNFHRYISHFRSVHRGSSFAKMRTTTVRKLLPESWGFLCPVHTPDGEPCGLLNHMTSICRISSCYNSEGAIKDFQKIKDKLLVELVRGGMIPLLPKMEHTGPPEIMHVHLDGCIVGSIASAKIEEVVNYLRRLKLLAHPATPEDLEVGYVPLSLGGAYPGLYLFTSPARFVRPVKNLVSLPDGEPRIELIGPFEQAFMEIRCPDGGDGGRKKEFPATHEEIHPTAILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCGQALKFRTDVKAFHLQTPQSPIVRTATYKKYHMDEFPSGTNAIVAVLSYTGYDMEDAMILNKSAVDRGMFRGDIFQTECIDLSAKRTENVPEIFAKSPLSRDTNNVIDSDGLPRVGETVVPYEQYYSTYNTLTGAIRPVRLKGTEPAAIDYVALNGTNSKGSLQKVNIRLRRKRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLIESIAAKAGSLHGKFIDATPFASSVKEDGENRCKYDSIVDELGPMLASYGFNHHGTEVLYSGLFGTELQFEIFIGPVYYQRLRHMVSDKFQVRTTGRIDQVTKQPIGGRKHGGGIRFGEMERDALLAHGASYLLHDRLHTCSDYHVADVCSLCGSLLSATVIKSDTQKKSKRHMLGVNTVRPAKNFACQACQTNKGMETVAMPYVFRYLAAELAAMNIKLDLRLSNRREAPPSNESS >SECCEUnv1G0532300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19738505:19750475:-1 gene:SECCEUnv1G0532300 transcript:SECCEUnv1G0532300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAAEIDGAQLCIFDLRRGQQEGQELDKILFFHPADCPILLQLSVIGLCEGIITFTRIFSPEDDCEVIESDKHCHVFYQAEPDIWMVLVVQKIKDNESTLRFGALQGILKESHSLFTMFHGPIRTLLDRQPSAEFARGHLHTFVTDYLSDFSVGKKLQLPTYRDSLTERGTVQMLTVSREVALEVQSLTTVLGSCLQNVICQSIVLFEDLLVSTTLPLDDTLNLYTYAILRLTPSALSSNGNSWSYLRKGGYDTTLSSANGAAAAERYNSRSRDTSPGGQNQMHHNFRPLQREKLSKGKDGFVAADFATTEIRGAVPLNPILWFHQAEERMYLCVYQHKNLTILLVIPASSLINGEEGIAHVKRHLLENASQNIVTVEQKLSRGWGGENAYHVGGYRYILSDPNRKVSRASPPGKVITLSKDSLLALNRLRGEIDLEKSRAKRSDPTHDKDYEICMRAKNNAWIIAKISRGKELYMAIEKGGETLLYASTAVEKFSNR >SECCE6Rv1G0397770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:401880231:401884746:-1 gene:SECCE6Rv1G0397770 transcript:SECCE6Rv1G0397770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNADSIKRYTPPVHRNRANNRRKAGDRAEKANYSYNNDGEKSHVPSLKNLPPIIHHDAFVSSAQNDYSHARLVPLEGCSASEASQLLSERWAAAMNMYNDPNDFPDKPVMYAGSGGSSWGQGHMKLPHQMNFLEDLRRAVDAQTGLAAALNTWN >SECCE2Rv1G0103460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:590035612:590039071:-1 gene:SECCE2Rv1G0103460 transcript:SECCE2Rv1G0103460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASENQAVEISNETDDRKGDRPWNIIRIRLPPRKKLPDSSLTLSKKVPRINKTGSKEVPELSTDSPGKNLITAPVLGEASSHSPRMGLCNEGSSNTLSKTLSAKPESDTSGHKQPDEATYSTLSMNLRVDDEKQGSDANCYASKQGPYEEANNNISSMILSLPGKNLITAPVQGEASSHSPRMGLCNEGTSNTLRKTLSAESESDTSGHKHPDEATYSTLILSKNLRVDGEEQASDANCYAAKQGPCEEIHNNILSMILPLPVQELPEDHIDNSPSKNDITPGMQGDEEHNNSLRRKLRYDTDNKEEDEEGNNRSWNPTITAAKYEHVVEEAHHPVPTKKVENNAPSNSLADPAKQISPHKRLPSSSVQATGTSRNTPEMKLSASVCQAAEQSTNAANTDVTKEYEEFEEKIKRTVFLVNLDNLSHQATEVKSNPSRTLSTTAIEREMFENANHTIPSKKLSDVVRNKAASSRSTDSAKKRTPRKKPRTSALQATDTSQNTFGMMLPISDGHAVNQSKSTADLDSIKPYPEDNMLITDNPGKNLITTPAHGEASSHSPSVGHCNGAHSNTLSKTRSAESESDTSGNKLPDEANDNTLSMNLRVAAEEQGSDPSSYAAKHGLCEEVNNISSMMLRLQLQELPRDHIDNSPSKGLATTGKQGEEEHSNSPRKKLLYNTDSKVADEEGNNPSWNQTIAAVKSKEVNEKEVNEKANHPIPSKMLSGEAKNNGPSNRPTYRANKNAPHKSLCTSSVQATGTSGKTHGMKLSASVDQALEQSTSAANMEVIKDHQELEQKIKETVYLDNLSHKARESKSNPSRTLSTTATKCDMLEHANHTIPTKKLSDVARNKAPSKRSTDPDKKITPRKKPRTSAVQATGTSQNTSGMKLSTSDGQAANQSTSAAELEAIKQYQEFEEKVKRTVYLDNLSHQATEAVIKTALSQFCSIRKVSFVVNYTIPYNIPQSALVEMETEKDAEVVVSMLHDFPFMISGIPRPVRAKHATAEMFNDRPRRPGSKLKFRWVGHRDPDYEILKKSKILSRRHEVENFALIEHELDEEKSLAAQQQENLNCNRKMLETMDSVILSGMTNYISKNYSVNWNDVF >SECCE5Rv1G0306100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:83843158:83843391:-1 gene:SECCE5Rv1G0306100 transcript:SECCE5Rv1G0306100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVVLHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLQARREIEDATTHGRLRDDLVEHHWQLDGRRIGP >SECCE7Rv1G0516240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844720550:844720873:1 gene:SECCE7Rv1G0516240 transcript:SECCE7Rv1G0516240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPGNASSSSSSCRGRRGPAGFGLALARLVRRLRRRSKMLVCTAAPAASSRYCPQYDPLSYARNFDRDGFGTALDGDVSGADHLCHHYTFASRFVLASSSDARQPH >SECCE1Rv1G0033210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:464243430:464244657:1 gene:SECCE1Rv1G0033210 transcript:SECCE1Rv1G0033210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWEMAMGVELGMGMGSYHNASNNVHAAPMGHQAGGYSAAAHHFYGMQPMRDASMRVDELLDFPTAGAHDFFAAAPDNGLHHHHHHGAGVGELSATTPSATSSDHQTSMLSFADEFYIPSEEAAELEWLSKFVDDSYSDMPNYSSAAHAAMAAANAANNGGGSSAGQDSCVTAVPGRGARSKRSRASAAAAAAWHSLMPRPPSQPSPSSSCSSSDFPSSTTARPSGSNCGSRGKKQGPAAGGEVGLVEGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPAASPTFLLTQHSNSHRKVMELRRQKELVLIRGSHRLDAAAGPGPGVTVKPELMFHDYGIC >SECCE7Rv1G0525410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:890240998:890242130:-1 gene:SECCE7Rv1G0525410 transcript:SECCE7Rv1G0525410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKRITYRDVNKATNTFSPANLVGSGQFGTVYKGWFDVEDGMVVVKVFKLNQHGALHSFIAECKALQHIHHRNLVKVITACSTYDPVGNEFRALVFEYMSNGSLDDRLHNHQCGGLSLGAVICIAVDIACALEYLHNQCIPPVVHCDLKPSNILFDNDDIARICDFGLAKLIPGCSSGGQSGTTSMAGPRGSIGYIPPEYGMGREISTEGDIYSYGIVLLEMLTRKRPTNEEFSDDLTLHKYVETSLSRTQDILQPSLASETGDQRADHIPNLQECNTFVLQDICALRLLKLGLLCSAKSPKDRPAMHDVYGEVIEVKEAFLSMDN >SECCE1Rv1G0048080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631639550:631642993:-1 gene:SECCE1Rv1G0048080 transcript:SECCE1Rv1G0048080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGLMEQDLTKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDKCPRPMCYKAYGSGKEDTPACDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHLIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIIKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEIGSLPDVFVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGATLEVPPCPL >SECCE5Rv1G0306570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:96136819:96138141:1 gene:SECCE5Rv1G0306570 transcript:SECCE5Rv1G0306570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLVFLLLLLCSSASLVTSSTSAGAGLRMKLTHVDDKPGYTTEERVRRAVAVSRERLSYMQQQQQRRAFGDVSAPVHLATRQYIAEYLIGDPPQRADALIDTGSNLIWTQCATTCLKACAKQDLPYYNLSSSASFAPVPCTDSAKLCAANGVHLCGLDRSCTFVASYGAGSIIGSLGTEAFTFQSGAARLAFGCVSLTRITKGALNGASGLIGLGRGRLSLVSQTGATKFSYCLAPYFHNHGASSHLFVGASASLSSGGAVTSMPFVKSPKDYPYSTFYYLPLVGITVGKTKLPIPSAAFELRRVAAGFWSGGVIIDTGSPVTSLADAAYRALSDEVTRQVNRSLVQPPADTGLDLCVARDDVDKVVPAMVFHFSGGADMAVPPGSYWGPVDKSTACMLIEEGGDESVIGNFQQQDLHLLYDIAKNELSFQTADCSVL >SECCE2Rv1G0089410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:257241784:257243100:1 gene:SECCE2Rv1G0089410 transcript:SECCE2Rv1G0089410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRSLLLWLLLVLPSFAITSASNRGIRLELTHADTRGDFTGIERIRRAAERGHRRVNSLLAAAPPSPATQSDGADETATVHASAATYLVDLAIGTPPLPITAVLDTGSDLIWTQCDAPCRKCFPQPTPLYAPARSATYANVSCRSSLCEALRDPRYHCSAPDPGCAYYFSYGDGTSTDGALATETLTLGSDTTVHGVMFGCGTENLGSTDNSSGLVGMGRGPLSLASQLGITRFSYCFTPFSDKTASPLFLGPSATLSTAAQSTPFAPNPAGGRRSSYYYLTLEGITVGETLLPIDPAVFQLSPMGGGGFIIDSGTTFTALEERAFMVLARAVAARVGLPLASGAHLGLSLCFAAPEGKTEAVDVPRLVFHFDGADMALPRESYVVEDPNTGVACLGMVSMRGMSVLGSLQQQSMHFLYDLEGGVLSFEPAECGKL >SECCE4Rv1G0258770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679266143:679267189:-1 gene:SECCE4Rv1G0258770 transcript:SECCE4Rv1G0258770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTRGVAAATISLAVLLVFCFSPCTAAATAAVPSIDATRTRHLPLPRGLLRGPESVAFDAKGGGPYSGVSDGRVLKWNGDKLGWSTYTYNPDYSSEACTASLLRSETATEGHCGRPLGLRFHLKSGYLYIADAYKGLMRVAPGGGEATVLVTEVDGVPLRFTNGVDIDQVTGEVYFTDSSKNYNRSQHEMVTRTGDSTGRLLRYDPRTGKVVVLQADITYPNGLAISADRTHLVISSTGPCKLLRYWIKGSKAGTMELFADLPGYPDNVRPDKKGGYWVALHREKAELPFGIDSHLLALRIDAEGKIIEEMRGPKSVRPTEVVERKGGRLFMGSVELHYVSVVTRK >SECCE7Rv1G0463770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:56912530:56913018:-1 gene:SECCE7Rv1G0463770 transcript:SECCE7Rv1G0463770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKGVRRGLVLRTLERCKSATGRSGGAASSSVAGCFSVYVGPERERFMVRTDCANHPMFRRLLDDAEREYGYASQGPLALPCDVGAFLDVLWQMDHGHDDDGAGGDEMPKPASPMCGLLLGSPGKCRAAGYRKMLTRARTSLATGQHLLPRFTHLADAGA >SECCE3Rv1G0197770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:823252391:823254204:-1 gene:SECCE3Rv1G0197770 transcript:SECCE3Rv1G0197770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKGTKRVLFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRSGGGGAADKKK >SECCE2Rv1G0114730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:737911418:737917309:1 gene:SECCE2Rv1G0114730 transcript:SECCE2Rv1G0114730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGREEFHSAEDDRRMDAAEEEAGRKRAAVRSYWAKVKKDDKALSRFALGYLEHKIFSWSAHDIFNKNLLRQQVKSIPDTFTSKITYLNSFTCPLIEEVHADIFSALDDYAQSNFIKIIWVEKLDDEKSIFCFEVAEPSKALKSRETYDPKGGDIIVVSLRKPQHVSDLIKNKASYVLGSVLKCGDIDGDFPPNCCIVRFSAAIPIEVDPETKLPMEPSFAVFLINMKTYDRIWKCLRMEVEHQNRSSSTGIIDLVWQYKRRVLDDSLSCSQISQYFARRSIDGLGLEKFHLNDSQLNAVADCVSVMENHSPSLKLIWGPPGTGKTKTISTILWAMLIKGLKTLTCAPTNTAVLEVASRIVRLVGEASDGSPCFLNDIVLFGNKERMKIDDTHDLSMVFLDSRAERLLPCFVPHTGWRQCLSSLIDLLENPVTKYKLHIQDIVEKMKMEKEMTEKDGDKPLMRKDRNSLPSRYPLRSNPNSKDHLVAALSVFRKITHNRPDDEKEKCHNEAMAEALRALPFKDYLKDNYNKLSKDLCYCIEILYNDHPRNSETGQSFQCMLEVLELISILHALINYDKDTDDIFSDELLEGKVEEDCNPVSWPQHLARVRTNRCNKSRFKLARSLCVQELRYLRKNLELPNYYSTRQIQLYLLQRTKCILCTVSSSFRLYGVPMDNSTSDAGKLLKKPEKPNLLDLLIVDEAAQLKECETLIPLLLPGIKQAVFIGDEYQLPALVKSKISDNAKFGRSVFERLSMLGYSKHLLNVQYRMHPKISKFPLVTFYDGKISDGPNVTTESYEKRFLASKIFGSYSFINVDGGHETTEKHGRSLRNTIEAAAVSRIVQRLFKESVSTGIKISVGVVSPYNAQVRAIHEKLGKSYNMHDGFSVKVKSVDGFQGAEEDIIIISTVRNNKAGSVGFLTNMQRTNVALTRAKHCLWIVGNGATLSNSKSVWQKIVKDARDRGCYFDASEDKDLSNAVVKAITEFDDAENLVKMDALHISKPRFQQSRHKYRS >SECCE4Rv1G0255620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:648981178:648981588:-1 gene:SECCE4Rv1G0255620 transcript:SECCE4Rv1G0255620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE4Rv1G0262820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:711191978:711192304:-1 gene:SECCE4Rv1G0262820 transcript:SECCE4Rv1G0262820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSMSSKMAQRKKPAPKLDTTFCCPFCNHPDSVACTIDLKLLVASAVCCICEEAYHTTAHYLTEPVDIYHDWIDACEKANQEVDVRDYHKRQRRVGSDDDDDSDA >SECCE6Rv1G0401070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:462521239:462524231:1 gene:SECCE6Rv1G0401070 transcript:SECCE6Rv1G0401070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFARLSSPAASRKAISGVSGVATRPCYRTWRWKAHAAPLSAPDPPKGKKREWISKNERKARMKEFIEGYQASNDGRFPTMQMIRQSVGGGHYTIRDVLSEVKYNQIKFPFDKSKAAQLQETAEGAEQSRPEEDSGNSSFNTKSFNGNQDEDDTLQSQKDSPAGTTIMENAEASISLDAKYNEAKLPLYNSEAAQLHETGECAEKSKLEESGSSTLSPDDTLLSQKDSAMGAAIIENNQPLGSLESQDSSHYSGETEVAKQDSCTAVADANDLNVSKQAEIDSMKTEAPISLELETKSDCRNRQGETEVNKLHSNNVEKFEDPSEPTVSDQTERDAVIKGNVLHREESPEVEGQGSSKTSLLGSLKSFASGIRNFWRKL >SECCE1Rv1G0054270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673824515:673828333:-1 gene:SECCE1Rv1G0054270 transcript:SECCE1Rv1G0054270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLAGLQMAATPILKKLLADASTYLGVDMESELHELETSIMPQFELMIEAADKSNHRTKLDKWIQELKQAFFKAEDLLDDHEYNLLERKVKSGKDSSTISTILKPLHAVSNRLSNLRSNNRKLIHQLNELKAILAKGKEFRDLLCLPAGNNAEGSVVQAAVVPQATSIPPPKVIGRDKDRDNIINILTKPVGVEANSAAHSGLAIVGAGGMGKSTLAQHIYNDKRVQEHFDVRMWVCISRRLDVNRHTREIIESASNGECPRVDNLDTLQCKLKGILQNSQKFLLVLDDLWFEESGNETEWQQLLGPLVSQQIGSKVLVTSRSNILPASLNCNKIVPLENMEDAEFLTLFKNHAFSGEEIRDHRLREKLEEIAEKLANRLGRSPLAATTVGSQLSRKKDITLWKDALKVENLSDPRSALLWSYNKLDPRLQRCFLYCSLFPKGHSYKMRELVHLWIAEGYIDLCNKNKRVEDIGRDCFSEMISVSFFQPVTERYSGTSYVMHDLIHDLAVSLSKEHCFRLEDDKVAEIPYTVRHLSVRVESMIQHKQSICKLHHLRTIICIDPVIDDVSDVFNQILRNSKLRVLYMSFYNSSKLPESIDELKHLRYLNIINTSVSELPRSLCTLYHLQFLKFSHKVESLPHKLCNLCKLWYLERHILGEVCNNALPQIPNIGKLTLLQELGYFSVQKQKGYELRQLRDMNELGGSLSVANLENVTAKDEALESNLHRKTHLESLGFDWSYMDGINVEDSSHLEVLEGLMPPPRLRDLRINGYRSAKYPGWFLEDSYFENLETFNLVNCTVLEGLPTNAELFGNCSSLSLRNVPNLKTLPCLPADLEMLSIGNCPLLIFVSDSEPEQHDQGDNITRTDHLVSNLSLIYGEDSASKTRDTILSEYSSLEQLMASMVADMSHVENIKSAVERDGFVIEDRINAWICCHNERMRLIYERRIGMPLVPPSELRQLILSSCNITDGALAVCLNGLTSLRSVCLTEIMTLTTLPSQEVLQHLTELDYLFIKSCWCLRSLGGLRAATSLSEVTLDSCPSLDLSRGADQMPLSVKNLRIFWCIVEDNFFSSDLSHLTVFSMNGCRSSASLSIGHLTSLVSLSLDGLPDLCFLDGLSSLQLQDVRLKDVPKLNPKCISQFRVQRSLLVSSPVILNHMLSAKSFTVPPFLCLQGCKESSVSFEESANFSSVQTLTLGECEMRSLPGNLMCLSSLTRLDIQECPNITSLPDLPTSLEDIRVWGCERLKESCQAPDGESWPKIASIRWKDFQ >SECCE7Rv1G0492530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:551878475:551882504:-1 gene:SECCE7Rv1G0492530 transcript:SECCE7Rv1G0492530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAAENFEPPAKDVRTDEQKKIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLIISWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVNIVYMVTGGRSLKKFHDVICDGKCKDIKLTFFIMIFASVHFVLSQLPNLNSISGVSLAAAVMSLSYSTIAWGASVDKGKMVDVDYNLRATTTPGKVFGFFGALGEVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVVVAYIVVALCYFPVALIGYWAFGNSVDDNILITLNKPTWLIATANMMVVIHVIGSYQIYAMPVFDMIETVLVKKLKFPPGLTLRLIARTLYVAFTMFVAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKRFSLSWCTNWVCIVLGVCLMILSPIGGLRQIIMDSKTYKFYS >SECCE5Rv1G0331360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538313741:538319403:1 gene:SECCE5Rv1G0331360 transcript:SECCE5Rv1G0331360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLELEALDGIRMPWNVIPGTREDAVSCVVPVSAIYTPLKSIPDMPVVPYSPLRCRMCRSILNPFSRVDYNAKIWLCTFCFQRNQFPQHYSSISENNLPPELFPQYTTIEYISTAETGPVMPPVFIFVVDTCIIEEEIGYLKSALAQATELLPDNSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEISKEQILEQMCFFAGKQKPTTGVIAGTRDGLSSESISRFLVPASECEFVLNSVIEELQKDSWHIPADQRASRCTGAALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLTEPIRSHKDLDKDSAPLFDKAVKFYDQIAKQLVHQGHVLDLFACAVDQVGVAEMKVAIEKTGGIVVLAESFGHSVFKDSLLRIFQSADNGLGLSFNGILEINCSKDVKIQGIIGPCSSLEKKSPLSADTVIGQGNTSAWKMCGLDKKTSLCFVYDISRKVGPDSVAQQTGEQLYLQFVTYYQHHEGQMRLRTTTISRQWASGSANVQELIDGFDQEAAAAVVARLVSFKMETEADFDPIRWLDRALIRLCTKFGDYQKETPSSFSLSPRLSIFPQFMFNLRRSQFIQVFNNSPDETAYFRMMLERENVGNAVAMIQPSLISYSFQSGPMPVLLDATAIAPDKILLLDSYFSVVIFHGITIAQWRNAGYQDQEGHEVFAQLLKAPHEESDSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVAYNADNPAPGGDVIFTDDVSFEVFMDHLQRLAVQ >SECCE1Rv1G0012440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:92716905:92719481:1 gene:SECCE1Rv1G0012440 transcript:SECCE1Rv1G0012440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQIRRCGAVAFAALRRVRHFPHPASAPPTAARRCPYTPRLYSTADMNQQLPANLVQIMEQRMKSIEQKSAYLQEKINQPAASPEEYSRANKEFHKLESTMELIKELRSKQKEIEGLTALVTNSVEEKDMREMAAEELLEAVEEEKQLQHELFRTLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQNNGWKFDTIDIMESAVKGYKEASGTISGPGVYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHLPTGTVVAIQDERSQHQNKAKALKVLRARLYELERHRLHTDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGVTHHSIVDVMEGESLDVFIEALLLQEEMDAIASFAS >SECCE3Rv1G0194700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:784198044:784201560:-1 gene:SECCE3Rv1G0194700 transcript:SECCE3Rv1G0194700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAFFYLLLSLWVQNATAADLGLTRSDFPREFVFGSGTSAYQYEGAVAEDGRSPSSWDTFAHAGKMPDKSTGDVAADGYHKYMEDVKLMSETGLEAYRFSISWSRLIPNGRGAVNPKGLEYYNNLIDELVNHGIQVHITLHHVDLPQVLEDKYGGWLSPRIVEDFTAYADVCFREFGDRVAFWTTMDEANVGVLGSYDNALFPPGRCSDPFGATKCTAGDSSIEPYIAANNTLMAHASVFRLYRDKYQHKQNGIVGINIYSYWSYPLTNATADLEATQRCKDFLYGWILEPLVFGDYPQVMKKNVGSRLPPFTKVQSELIKGSLDFIGINHYFSVYVNDRPLDTGARDYTADMSVNSRGSRTGPPAGQGPPTNTTSDPKGLQLALEYLKETYGNLPIYVQENGMGSADDSLDDTDRIGYLSSYMEGTLEAMRNGANVGGYFAWAFMDLFELLAGYQSRYGLYRVDFADEKRPRQARLSARWYSGFLKHNGTTALVSRAQVNQVLNLVS >SECCE7Rv1G0525680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:892092910:892095591:1 gene:SECCE7Rv1G0525680 transcript:SECCE7Rv1G0525680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVVAAFLVFAALAAASSGDDAALIEHTFVVSQFTLNRLCNDTLVTVVNGQFPGPAIEVKEGDSVAVHVINKSPYGLTIHWHGVKQQLNCWADGAGMITQCPIQPNNNFTYRFDVAGQEGTLWWHAHVGSLRASIHGALIIRPRSGASSYPFPKPDKEIPIVIGEWWEMDLDQLDKNIRNGYHLDMPSAATINGKPGDLYNCSGTVKDRNIVKVEHGKTYMLRIVNAALISQYYLKIAGHKFTVVAADANYVKPYITDVIAIAPGETVDALLVADAHPAGRYYMVAKASQSPKPLPQIPMFISRGIVQYSEGPRKEEEEKALSDSSTSSIMAPEMPDEHDAATSFYFHGNLTSLQPHPVPANVHEHLFYALDGSFFCREGESSCNNATNMMGLVNNVSFQGTQDATSVRRLRYNTTVEIVFHSPVLADTYSNPMHLHGHDMFVLAQGVGRYNAETDVATYNLVDPPVRNTVHVPLFGWAAVRFVTNNPGVWFLHCHLGQHSSSGMAAAFVVENGPTLDSTLPPPPEDFPSCENYNSRVAYE >SECCE4Rv1G0288320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:859569182:859572094:-1 gene:SECCE4Rv1G0288320 transcript:SECCE4Rv1G0288320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPMDTLQLSCIQIAIALLLFTQAKSTTEGSTSALHPNDAIPSCVAGERSALLAFRAGLSDPANLLSSWKGDDCCSWKGVYCSNRTGHVVMLDLQGPGYGSEEVLAGNISSSLLGLHHLRYLDLSWNSLADIQIPEFMGSLHQLRYLDLGGSWFTGRIPPQLGNLSNLQYLNLETYSYMSDDSSIHSGIYSTDITWLSRLTSVEHLDMTGVNLSTIVRWLPVANMLPTLKVLRLANCQLRSSPDSLPLSNLSSLETLDLWANDFHKRSTPNWFWDLTGLKNLDISGNGFYGPFPEEIGNMTSIVELQLSDNNLVGMIPSNLKNLCNLERLVSFMNNINGSITELFHRLPSCSRNKLQELFLPDSNLTGSLPTALVEPLRNLSCLELGGNRLTGHVPVWFGELTNLKSLVLSYNNLEGVIHEGHLSGLHILEDLKLSDNPIAITVGPTWVPPFSLTMINLRSCQLGPKFPVWLRWQRQLVSVDLSNTSINDMVPDWFWIAASTILYLNIRNNQITGVLPSTMEFMRGKEMDFSSNHFGGPIPKLPINLTALDLSRNNLVGPLPLDFGAAGLRTLLLHNNMIYGAIPSSLCKLRALQFLDLSRNNLNGSITNCLGNESNTNMAGLSIVNLSLRDNNLSGEFPSLLQKCTRLIFLDLGYNQFSGTLPPWIGEKLLSLSFLRLRSNMFRGHIPVELAKLVNLQYMDLAYNNISGSIPRSIVNCTGMTQTRDNSDNLHAAFTFEFTFIGNVVFDYTENFTVLTKGQERLYTGEIVYMLNLDLSCNNLNGEIPAEISTLVALKNLNLSWNNLNGKIPEHVGALMQVESLDLSHNDLSGKIPSSLSALTSLSRLNLSYNNLRGKIPTGNQLQTLEDPTYIYIGNPGLCGPPISVNCSSQPEPIQRENHGDASGDLVSFFLAMGSGYVMGLWVVFCTFLFKRRWRVSWYSLCDSLYDWVYVHVAITWTSLRGKING >SECCE3Rv1G0167530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:204356156:204361089:-1 gene:SECCE3Rv1G0167530 transcript:SECCE3Rv1G0167530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKAGEFSGEKVIAEFERLTRDADIVQRETLRRILAENGAAEYLRGLGLAGRTDPTSFKECVPLATHADLEPYIDRIVDGDATPVLTGKPVTSISLSSGTTQGKRKYLLFNEELVKSTMQIYRTSYAFRNREFPVEDGKALQFIYSSRQFTTKGGLTATTATTNVYRSEEFKATMRVVQSQCCSPDEVIFGADFAQSLYCHLLCGLLSAGEVQMVSATFAHSVVVAFQTFERVWEELCADIRRGALSPTRVTSPAVRQAVSALLAGPNPELADAVARKCAGLSNWYGVIPALWPNAKYVYGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWIGANVEPGVPPESATFTVLPDIGYFEFIPLRPGCTAAPGPDACYGESEPVGLTDVVVGEHYEVVMTTFAGLYRYRLGDVVRVAGFHNATPKLKFVCRRNLVLSINIDKNSEQDLQLAVDAAAAKFLAAEKLEVVDYTSHADMSSDPGHYVVFVELNAAAADASADALQGCCDELDRAFADPGYVGSRRSCAIGPLELRVLQRGTFHRVLRHYLSLGAPVSQFKSPRCVARSNAGVLQILAACTAKAFFSAAYD >SECCE6Rv1G0453820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:884793244:884794597:1 gene:SECCE6Rv1G0453820 transcript:SECCE6Rv1G0453820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHVHDEARHIISSLVPMVTSYFNPYEQITISEYGSVGTKCSTPKLKAELGHNKDDPLISLDENQEVVDNLDGAHMWWRLCPKSAKKRGGTVISLLPGDSDDPPVVRQRQLFTNHSREGKSAWTNVPYNPPATFDTLAMDHSKKVELMEDLTAFRKAKEYHSKVGKAWKRGYLLHGPPGTGKSTMIGAMANFLKYDVYDLDLTSIKDNAELRKLFLDTTDKSIIVIEDIDAIEVQLTTKRKGKEAAQGKDDKHVVIELSDKNKDKGKVTLSGLLSFVDGLWMDRHIEMSYCRFEAFKMLAKSYLDITDHSLFGEIEQLLDVIDTTPADMADNLMPRGKRNSEIDRLLEEMNGEPADAAGNLMLRVKRRREADDCLAGLVETLKKAPPMDSTEEAKEE >SECCE6Rv1G0387120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:106352118:106356800:1 gene:SECCE6Rv1G0387120 transcript:SECCE6Rv1G0387120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTFAAGAGAVVVVATAWLLAAGGVAAGDPPLSPKGLNYEVAALMAVKSRMRDEKGVMAGWDINSVDPCTWSMVACSPDGFVVSLQMANNGLSGALSPSIGNLSYLQTLLLQNNRISGEIPPETGKLANLKALDLSGNQFIGEIPNSLGQLTQLNYLRLDKNNLSGQIPVDVARLPGLTFLDISFNNLSGTVPKIYAHDYSLVGNKFLCNSSILHGCTHLNGGTNDTVSRPSNKTKNHHQLALAISLSVTCATIFVLFFVCWLNYCRWRLPFASSDQDLEMELGHLKHFSFHGLQSATDNFNSKNILGQGGFGVVYKGCLRNGTLVAVKRLKDPDVTGEVQFQTELELIGLAVHRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLREYHHGKPCLDWSKRMRIAIGAARGLLYLHEQCNPKIIHRDVKAANILLDGSFEAVVGDFGLAKLLDRQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHGQSQKGMIIDWVRELNEEKKLDKLVDRDLKDLFDVAELECAVDVILQCTLTNPILRPKMSEVLQALESNVTLAENGVDLNREVLLPYGGSCSFSVRHEDPHDSSSFIIEPIELSGPR >SECCE1Rv1G0053150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:667746762:667747775:1 gene:SECCE1Rv1G0053150 transcript:SECCE1Rv1G0053150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVVDSAGSSSGQKRKSDGHEDSVVPGDPNLRLSLGCIYSGVSTQCTAATTPAAVNLLLAHLPLPAAGVAIATGAQIGVSPITVAASSSRILAASASIPFMAKQAPTTSPVPPIKPDVVIPLGSPVACSAQPPSTRRRITNGVLPQPPSSKSGSVSQASTNDASPTSSPFPWATNRAAIHHPISYLYERGITTVEGQVKCRRCDVQKTVSYNIAIKFKEVRDFVSCNIHDMDDRAPAAWMSPAVPDCDGCGQRNSLRPMIAEEKERINWVFLLLGQTLGLCTLEQLKYFCAHTGQHRTGAKDRVLYSTYMELCSQLCPDRLFNLTAERQKRGQQYS >SECCEUnv1G0563320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:374392822:374393965:1 gene:SECCEUnv1G0563320 transcript:SECCEUnv1G0563320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRCTVLTQLLSSPSAFPTSYLHRAISATVSPNPSFAVEEYLVATCGLTRAQALKASAKLSHLKSPAKPDAVLAFLAGHGFSSSDVAAVVTGDPKVLCSSVEGYLGPVVAQLTGLGLSRSQIARLISCCHGNLHLRSIVPELHYYLPLFGSIDTTLLALKRCLYLISSDLERVVKPNMQAGIAVSKYPILLRRSKDSLRSRSEFLISEVGLEPAYIAHRPILLCYSLEGRLRPRYYVVKFLKENELLDCDRDYYSAVMRTDKVFMERYICPHMEAAPHLAEDYAEACRGQVPTRFRFA >SECCE5Rv1G0336210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583059235:583059468:-1 gene:SECCE5Rv1G0336210 transcript:SECCE5Rv1G0336210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE1Rv1G0007830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42747448:42751575:1 gene:SECCE1Rv1G0007830 transcript:SECCE1Rv1G0007830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGYSNGGSTKGKPIKCKAAVVWGPGEPLVMEEVEVAPPARMEVRVKILFTSICHTDLSFWRGENERQRRFPRILGHEAAGVVESVGEGVDDLAPGDHVVPIFNGECGTCAYCHSSATNLCETYRVDAFKSTMVSDDGTRFSVVNTSGDTVPVYHFLNTSTFAEYTVLDAACAVKINPAAPLEKMCLLSCGISTGVGAAWNTANVSKGSTVAIFGLGAVGLAVGEGARIRGASRIIGVDINPEKFAKGKEMGITDFINPKACGKPVHEVIKELTDGGVDYSFECSGNVDVLREAFVSTHDGWGLTVVLGIHPTPRMMPLHPMELFDGRRITGCTFGDFKGKSQLPLLVDQCMKGEVKINFDGFITHEMPFSEINEAFRLLEEGKSLRCVLRL >SECCE2Rv1G0069890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31770763:31772785:1 gene:SECCE2Rv1G0069890 transcript:SECCE2Rv1G0069890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGIGAVDGPKGAPSGAVACPATFPASSPTFVASPEATLGRHLARRLVQVGVGDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARAKGVGACAVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFTPVTCYQAVVNNLDDAHEQIDKAISTALKESKPVYISVSCNLPGVPHPTFSRDPVPYFLAPRMSNQMSLEAAVEATVAFLDKAVKPVMVAGPKLRVAKAGTAFAELADASGYAVATMPSAKGLVAETLPRFLGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKEKAVIVQPDRVTVGNGPAFGCVMMKDFLTELGKRLKKNTTAYENYKRIWVPEGQPPESEPGEPLRVNILFKHVQKMLTGDSAVIAETGDSWFNCQKLKLPDGCGYEFQMQYGSIGWSVGALLGYAQGATEKRVIACIGDGSFQVTAQDVSTMLRCGQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGNCWTAKVTCEEELTAAIETATGEKKDCLCFIEVVAHKDDTSKELLEWGSRVSAANSRPPNPQ >SECCE2Rv1G0103110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:586665578:586666801:-1 gene:SECCE2Rv1G0103110 transcript:SECCE2Rv1G0103110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHWSGGLAVAVAAALAVSALFPAGAAGHPPLSGPLVPQFYEHTCPQMQALVGAIVAKEHAKDPRMAASLLRLHFHDCFVQGCDASVLLDADGSGRFTTEKRSNPNRDSLRGYEVIDEIKAALEHACPHTVSCADIAAVAARDSTVLTGGPGWEVPLGRRDSLTASLSGSNNLIPAPNDTLPTITAKFRNQGLDVVDLVALSGAHTIGDSRCVSFRQRLYSQNNDGRPDPTLNPAYAAKLRGRCPRSGGDQILFALDPATQFRFDNQYYKNILDMNGLLSSDEVLLTQSHETMELVKSYAASNELFFDHFAKSMVKMGNISPLTGHNGEIRKNCRRVNHF >SECCE3Rv1G0157330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80934712:80936684:-1 gene:SECCE3Rv1G0157330 transcript:SECCE3Rv1G0157330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPLLLCAALLLAALSSTFAADAPFLVAHKKVALSRPKPGVERLAVSLDLYNQGSATAYDVVINDDSWPKEAFELVSGEVSKTLERLEPGATASHAFVLESKTQGRFQASPAVIKYRVATKAALQEAYSTPILPLDILAERPPVKKFEWVKTCCEVRSTGVRCFLRSGLHLPGREPVKICRLKVWQEEALIYHPWFTVFVAVRLPGETLDSRQKESEVTTPM >SECCEUnv1G0562680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:367771773:367773551:1 gene:SECCEUnv1G0562680 transcript:SECCEUnv1G0562680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLETEVSKQLFINRVFGPMGVCPDDLKESMKNILRKCGGLPLAIVSMASLLASYKSPGRKEMWDKICNSIGSQLESNPTLEGMRQIVTLSYSHLPHHLKSCMMYLSIFPEDYEMKKKRLLRRWIAEGLVAQKRGLTLQEVAESYFDELVSRNMIVPANISYDGRVKSCRVHDMMLEVMVSRSMEANFVSIVGGQECEGESHGKIRRLSIQSGGGSKDERSVKYVRSLSTFHPQGHEALLERLGEFTLLRVLDLEGCKALENKHMKHVCRMFLLKFLNLNHTDISKMPKKIGKLVHLQTLSLRDTRLLDMDLPQSVSKLEKLEFLYFRNRQSYNGWRLPGGLGRMKVLRVLDKMMLHDDGAEAAREIGELTQLSKLNILVKCSHEVLQVLVDALNRTYSLRQLSIVHLGTGKIMNNILGEMTSPPLLLRSMKIYGGIDVMPKWFGLLMHLVKLDISFTMLSSDEILGILCELPSLLCLFLGYMSYTNDALLISANYRFPVLKQLSVSNSYAGQEDISFEQGSMAMLEKLEVVFGDKEKSLSGIEHLPSLKEVALIGYRSNDTLNQAVLQLKSESDRRPVPNQFKVVVMYYW >SECCE7Rv1G0454110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:1345191:1361772:-1 gene:SECCE7Rv1G0454110 transcript:SECCE7Rv1G0454110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPLHLDIDLNEPPPPSPSPPPSPAPAVLQSPPREFAAHTAPPPPPPPPPQIPPPANVHAQSLLPHQARELALAFHRAESWRLAAATASAPSTAGSSLEVPPPPVLQSPAFAPPPLRPLALRSPAFAPPPLPPPVLQSPAFAPPLLPPPPPPPSPPPQLPPPADVQAQRLLAHQAGEIARAYHRRSAAPAGSSVEVPRPAPAQHLCAACGLPELPGSTIICDACERGFHQGCVNVVRRPPAAVKDGWMCSECAVGAVAVQDDIIRQVSVADGARLTELATPHFEGLQLNNTTPFDGNHSMPASSLPRFSMRQQFPPVDQNFIADGNIEQSSNHTGWRRSDFPQKSAFRKFSEKHEFGSSSTFMEPSFFTEAPDPSRKETRNPPKPPKFLAENCNRQAHHGSVGLPVQYHDFFITSLGEIDKQGSYHNCHQIWPVGFTSYWHDRVTGSLFECEVCDGGSSAPLFKVRRLPCSLFPLPEASTILSQNGARMADTTATKESGSFIGDTANDMDDNIYMMIDIPSETKQDFLSCLSNDTEDKRTSLVCNDLQNSNMMSQILPSNSENVPPSKEANINDQIGEFTYVGTSSSTVWGMITSAMVEACEKMYKEHGHLVFSCTHSRENHLLNKGSGCQNFDSPYALLNRLCSSNGPSIPRVTEKKNDVESTYTLLKNWLYHDRIGFDLEFVQEIVESLPSSRSCVNYQFLCNRAEFHSSVTVASGLLLSVHKDGQSNGDTPYGRQGAVTGLQDDAQPSGSSIRKLPPGRPISRKLEPESAADVFQIWEFLGRFAEIIDLKEVPSYEQLEDELADPWPISASQKETLSKVIQECRDYSSPMNSPANASISHSNSESGISNNEENVSVFIPVETSSMKEARLDKLAAQTLGRCTGTVLPRVHLALIKVLFGEVLSKLNIDPKESRPRHERKDTESLISTKEFSFDMLTANKLTWPELARRYILVISSINGCMDVSSSQEGVKLFRCLCGDGGILCGAVPGVAGMEKDAQLLLEAENLICSSLSTEGNKYSAEVPSADNRTLPDWAEALEPVRKLPTNVGTRIRNCVYEALDRKPPEWARKILEHSISKEFYKANASGPTKKAVLSVLSEASRVTVPQKSEKPRNEREAISISEVILKKCRIALRRAISSDQYKLLENLLGSILVNSNEYEDEGILGFSGMVPRPLDFRTIDIRLAMGAYRGSWESFFEDVQEVIRNLHTACADRTDIAEMVLEFSKSFELHKTEVLNIVQKFDCYLSRENTGSEIPEELQVILAATSKLPKAPWEYGICKVCGVDRDDHIVLLCDQCDAEYHTVGVLSPPLTHIPRGDWFCPSCMLKPEIFKRQRKGAESRAFHGKPSCVLAQRKSHFVQGVRHLKPQQKGPYHDMLIKLAAAVAEKEYWELSTQERIHMLKFLCDEMLNTVLIREHMASLRRDFLGKDSLGRLYWVLGRAGKRPLLVADGSMLISNERNPPSTSDCKGWNSASVVVYESDEEIRSLVDWLREYNPKEKELKRDIQQYWQRQRHFHHLGNFVLSDPPVSSKGSSNSSEQQLMELPSTKAAAILGKTCRCDCLEPIWPAQHHCTACHKTYFTSTDYEDHAGKCSTSESTKQMNSCPYDFEVICRNFATNDSNKETVKDIGLIGSNGVPSFVPSRAAFIDPPVILNKSKKQDDIPNDDLDSSCLEECQSMLSAQKLGQEGSNSAQNCTSCDENVSKTKEPAPDTDKPAPDTDTTSSEEAASSATDKPTRLLAVNGGLVPESSLKPVTGRNNLVLKQQKINLLDIHAALPEEALRASKSQLIRRRSWRAFVKHAQSISEMVVATSILENTIKSEFLRNDWWHWSSLTVAIKTSTVSSLALRIHTLDNCIIYTKEPNSVPADSTQVVNMGRSRKEPEASAL >SECCE7Rv1G0514100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:829753896:829757538:1 gene:SECCE7Rv1G0514100 transcript:SECCE7Rv1G0514100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSMVEARLPPGFRFHPRDDELVLDYLTRKLGGGAGGAAAAVASIYGCPTMVDVDLNKIEPWDLPEIACIGGKEWYFYSLRDRKYATGQRTNRATESGYWKATGKDRPISRKGLLVGMRKTLVFYQGRAPKGKKTEWVMHEFRKEGQGDLMKLPLKEDWVLCRVFYKTRTTVAKPPTGSSYNIDSAAATSLPPLIDNYIAFDHPGMSTVQNLEGYEQVPCFSNNPSSHPSSSASMNIPVTAMAPMAADQEQQHMGKAIKDALSQLTRFEQGNVKREAPAQGGLFAQDGFEYLAESGFSQMWNSLD >SECCE6Rv1G0386730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:100163380:100165077:1 gene:SECCE6Rv1G0386730 transcript:SECCE6Rv1G0386730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIRQLAKELKNLDQSPPEGIRVIVNDDDFTSICADIDGPGGTPYENGVFRMKLVLSRDFPQSPPKGFFVTKIFHPNISSSGEICVNTLKKDWNPTHGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYADYARHARLYTSIHALKPKTKPKSGTISESTSVNVDQSSTTNLSEVAVPMALCATAATKVPGSNSLDQNAPAEPTVGPSTALPKKEGPVATKAPADKKKMDARKKSLKRL >SECCE7Rv1G0472750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:147163786:147166531:1 gene:SECCE7Rv1G0472750 transcript:SECCE7Rv1G0472750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTSVGVAKDAFNTFFSETGSGKHVPRALFVDLEPTVIDEVRTGAYRQLFHPEQLISHNEDAANNFARGHYTVGREVVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISAEKAFHEQHSVPEITNSVFEPSSVMAKCDPRHGKYMACCLMYRGDVVPKDVNSAVHSIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVRRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGEDDEDEVDEY >SECCE5Rv1G0306450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:93820724:93821353:-1 gene:SECCE5Rv1G0306450 transcript:SECCE5Rv1G0306450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAGAGTSSSAIEWAMALLLGNPDVLAKAKAEIDVVVGTSRLIQESDLEVLPYLRCIITETLRLYPLAPNLVPHEASRDCTVTSGQCVIARGTMVLVDVYSIQRDPASWDDPDMFMPERFLGGNVCGQGGKPMMMPFGMGRRKCPGEGLAWRTVGVALAGMLQCFEWGRVGEKVVDMSEGSGLTMHMSVPLAVVCQPRQDMDAMLKSL >SECCE5Rv1G0347500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:667345556:667349323:-1 gene:SECCE5Rv1G0347500 transcript:SECCE5Rv1G0347500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPALTSCTTVMILLFLPLSASQDRLVPGRVLSPGNTIVSDDGGFAFGFFNPSNSSPVSLYLGVWYNDIPELTVVWVANRDTPATNTTSSTPKLSLTNSSNLVLSDGGGGSRVVWTTANLTTGLVSSAPTAVLLNTGNLIIRSSNGTMLWQSFDHRTDTFLPSMKIGINYRTRTTAERLVSWKGPSDPSRGRFSYGSDTNRSFQTFLWDGEHPVSRAAPWTGYLVMSLRQQLLGATNISDLIIYLAYVNNDDEAYVTYSLSDGAPRTRFVLTYYGEFQIQSWSSKSSTWVVLWKFPSAECNHYSYCGPYGYCDETVEAPTVPTCRCLDGFEPSSMEEWTSGRYSAGCRRKEALQGCSDGFVALQGMKSPDGFLFIGGGRSKYEECAAECSRNCSCVAYAFANLSSSRIEGHVTRCLVWSGELIDTGKVGELGGETLYLRRAGMAATKGKRIKSNAAVRIVLPVVGSGVLVLICISLAWLKFKGKNKKGTMQQNIRLKGMSISDEFEEENSPHDQEFPFVRLEEIAFTTHNFSETCMIGRGGFGKVYKGMLGGQEVAIKRLSRDSQQGTKEFRNEVILIAKLHHRNLVRLLGCCSEGDEKLLIYEYLPNKSLDATLFDESRKLLLDWVTRFRIIKGIAKGLRYLHEDSRFTIIHRDLKAANVLLDADFKPKIADFGMARIFGDNLENANTQHVVGTYGYMAPEYAMEGVFSTKSDVYSFGVLLLEVVTGIRRNSISETMGFPSLTVYSWNMWKEDRTNELPDSSILDTSPDETLLCIHVALLCVQENPDDRPLMSSVVFVLENGSTPLTSPNLPAYFARRSAAVNQIRTDIQASVNSFTLTEIEGR >SECCE4Rv1G0223910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:75319173:75319448:-1 gene:SECCE4Rv1G0223910 transcript:SECCE4Rv1G0223910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFVSSRSLATTCLLALLLAGCLAVAMPTADARRLLVEAMPPAASPGFAPSPASGAEGGHHAGRSLFEGRGLLAGGIRLAGRLLIGVEL >SECCE7Rv1G0467500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:88442451:88443758:1 gene:SECCE7Rv1G0467500 transcript:SECCE7Rv1G0467500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKTSRLGATASPLCEPLLTATGKPADCDAEAQLPPYHRNRSAGASFSRTCLNLTNAVSGVGVISMPYAVSRGGWLSLALFAVVGAVCCYTGTLIGRCMHADGSVATTYPDVGQLAFGAAGRRTVAMFMYVELYLVAVSFLVLEGDNLNKLFPDAGVELLAGYRLRGKQFFIVLAGAIVLPTTWSKNLGVLAYVSALGLVASAALTASLVWAGVSESGFRSNGNVLNLAGLPTSLGLYFVCFTGHAVFPTIYSSMKNNKQFSQVLLFSSALCSLNYGFTAVLGYLIYGDDVQSQVTLNLPSGRLYTKIAIVMTLINPLTKYALLVAPITSAIEERFSLAGSGPARVAVGTAVLASTVVVASIVPFFGFLMSFIGSFLSVMGTVFFPCLCYLKIYKAKGIRRVEVAAIVAILLLGMFVAITGTYTSLLDIIGTF >SECCE7Rv1G0476480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:205745397:205745903:1 gene:SECCE7Rv1G0476480 transcript:SECCE7Rv1G0476480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKQKRLIFAVVSYMLVSMMTLVVQSRKRKRRAVICYGPIDERDRMRSEYLDNKIWRDETTCVNMLRLGRGPFFRFCKLFRDRKLLEDTIHLSIEQQVAMFLHTVGHNVRNRIIGGNFGRSGEVVSRYFKKVLHAVGELRGDLIRKPSLETQSKIEGNYRWDPYFKV >SECCE7Rv1G0454850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:4486428:4487876:-1 gene:SECCE7Rv1G0454850 transcript:SECCE7Rv1G0454850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAATAAAAATATAWPSTSSPRTRHHATPLRSGFLGRALTLTLAAAATDRPSSAVSVSVRAAWDGPLSSARLIMQGRNVKLTEKLKEHIEDKVGRAVHNHAHLVREVDVRLSARGGDLSKGPKLSRCEVTLFTRRHGVVRAEEEEDSSYASIDAAAAVVKRKLRKIKEKDTDVRHLKGTKEWQAADADGAGFSDVEEESELEEDDELVEVIGAEDEETVLTKVVRTKVFEMAPLTVDEALEQLENVDHDFYAFRNEQTGEVNILYKRKEGGFGLIVPKPDGHVDKATVNAKEKEHPVAG >SECCE3Rv1G0194480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:781237336:781237725:1 gene:SECCE3Rv1G0194480 transcript:SECCE3Rv1G0194480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAPPAPARFLLFAAALALLLLSPTLAASDAASEPCAAPLDAAAAALDGGEVALCPVRCFRPDPVCGADGVTYWCGCPEAACAGARVARRGYCEVGAGSAPVSGQALLLVHIVWLFVLGAAVLLGFL >SECCE3Rv1G0166040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:182177626:182179452:1 gene:SECCE3Rv1G0166040 transcript:SECCE3Rv1G0166040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSQSTMAAEPFVHVVLFLLLAITSLPISMASPHKLRLSPSEVAAIEAHAPPPPDQPTTFFEVDRPHRPPPGSFGPCSTVLLSHSFAYTYTKPPVTAAYSPPPCLAAAGGHASLISLAVLEWRAACQGVQYDRIFGVWLGGAELLRGCTAEPRRNGIVWSVSKDITKYASLLAARNPSTLAVYLGNVVDEQYTGVYHANLTLHLYFRHPPQPQQPGLGPADVIVPVSRSLPLNDGLWFQIQNGEDVGSASLAVPTNAYRAVLEVYLSYHAHDEFWYTNTIGSNGPFREVTVSIDGDLVGAVWPFPVIYTGGINPLLWRPITGIGSFSLPSYDIEITPFLEKLLDGKAHKFDLSVTNAQDAWFIDANLHLWLDPRGAPTTAGITSYDAPPLDTTTAFRPDGPGTEFYYTTAFRRISATGWVQTPSYGKITAAWTQRLSYDNANEVQGDSQQVVNQTTEVYSGVHVTDRGGIAYSQEAQQSFRLDVFVGVVNEEFNGSYTVARDVRLGFAEERAAAGRAGFFWSRALSNAQECAVDVDVDDEGDVVGVSSGTRQNYRYEATDGCYSRDVTSSGYGIVSDHSDEVCVKGSFVSGVGAVASPALARRTSS >SECCE3Rv1G0155550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:66718254:66718628:1 gene:SECCE3Rv1G0155550 transcript:SECCE3Rv1G0155550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVVVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE5Rv1G0327390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:491836732:491837157:-1 gene:SECCE5Rv1G0327390 transcript:SECCE5Rv1G0327390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQEAPAQLPRSPAGTRPPATQPPPAAAAGIGGGRSRLLHLAFLCLAASTAAAWFVEPFVAAAESRLPASAVAATVLLLAACFHLTLHLVHSFAAPCPPRPAATAVAKAAATIAVTVGVGVASCLHGACGAPHEYTAACN >SECCEUnv1G0542800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:104210020:104212480:-1 gene:SECCEUnv1G0542800 transcript:SECCEUnv1G0542800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDAAACAPQVDGHAPRDVDEETRALIAPDAGSLPAAPPSAVEANFARYFVADYLNPGHDQFVYRHPNGLCVVGLAPAHVAVKEEGGITAIDFNVGKTDRSEIKVTGKRKRNAQHLQENSALCKVCTKDKTFVVRCCVKGQLLEINDRLIKQPDLLNTSADREGYIAIFMQKPGDWLKVKDKFLSFEDYKNLRGIC >SECCE3Rv1G0186110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:680176665:680179271:-1 gene:SECCE3Rv1G0186110 transcript:SECCE3Rv1G0186110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTLVADAATGRLLIPEISRPPARRRRRDGAGDSSSSAAAEEEDATEVVRLRTRRGNEIVAVYVRHARASATLLYSHGNAADLGQMYGLFVELSRRLRVNIFGYDYAGYGRSTGKPTEYNTYADIEAAYNCLKEKYGVPDEDIILYGQSVGSGPTIDLASRLPNLRAVVLHSPILSGLRVLYPVKKTFWFDIYKNVDKISLVNCPVLVIHGTSDDVVDWSHGKQLWELCKVKHSPLWLSGGGHCNLELYPDYIRHLKKFVSSLGKKSTKPDLKELPATEDTSRKDAESVSSDKTQEAAKCRQISRKSLDSRVGKSKTVDVPEKPRMSSDDVDKFRRRRCLVW >SECCE6Rv1G0400590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:455227295:455231577:1 gene:SECCE6Rv1G0400590 transcript:SECCE6Rv1G0400590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGGESVQWDKMNGAEVMNGGGSGAGSLDRIQVLVRVRPLSDKEIARGEPAEWECINDTTIMFRSTFPDRPTAPTAYTFDRVFHSECSTKEVYQEGVKEVALSVVSGINSSVFAYGQTSSGKTYTMTGVTECTVADIYDYISKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVILRDWNHLKGLISVCEAQRRTGETFLNEKSSRSHQILKLTVESSAREFLGKDKSTTLVASVNFVDLAGSERASQAMSAGTRLKEGCHINKSLLSLGTVIRKLSMGSNAHVPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLFFGSCAKDVVTNAQVNVVMSDKTLVKHLQKELARLESELRHPVSNSSLEAQVKEKDNQIRKMEKEIKELKSQRDLAQSRLQDLLQVVGDRDPKHQVSGKRSIRSPPSVGMPPSASRDDSSQISHDDSDHYKEVRCIETNETGGNERLSLSAGESTSPQDSNRNSSMRGNDSTASVNSRRLGETPITLEQHLENIRRPFITKDLGSSTRNPSSCRIIGRSRSCRSLTGSTLLDEMEMEDCTPVNRSLVIFPGRPEEYQRRGSALNYDAGSETLSRAGSEISTSKGASKTGDAEFTGIGEFVAELKEMAQVHYQKQLGDQSGRNGKSIGLDPIMDGLQSPSRWPLEFEKKQQEIIELWHACSISLVHRTYFFLLFKGESADSIYMEVELRRLSFLRDTFSRGSTPSNVAVGSLSSSPVASAKKLQREREMLARQMQKRFSVEERNHMYTKWSVPLDSKKRKLQVARRLWTESRDLEHVRESASLVAKLIGLQEPGQVLREMFGLSFAPQQPPTRRSSNGWRYGIPSFG >SECCE7Rv1G0467110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:83608680:83608928:-1 gene:SECCE7Rv1G0467110 transcript:SECCE7Rv1G0467110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAMLVADEEFERRLQRGAPFAGAGEEASKGRENFGAVTKVWGSWASGVKVRVAVLVKADLVAEPKTPVALAAFDGFFSA >SECCE7Rv1G0499720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:656508096:656509256:-1 gene:SECCE7Rv1G0499720 transcript:SECCE7Rv1G0499720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSLRDAFDRVVEKRVLSSTKVQEAIDQIVDEVKQVISKMQMMDTDSMDSCDHSSILAELKAKLNEMVPLNQLEGCQKELNVALSKYLKVLEKSFNTDISKAYRNVDFEASTVNNIIANHFYRQGLFDLGDSFVHECGESDETYLKLPFQEMYGILEAMKARNLEPALTWAANNHDKLLQNSSMLELKLHSLQFVEILTKGSRDAALQYARTHLVPFASMHKAEIQKLMACLIWADRLEQSPYAEFVSSTHWEKLAEELIHQFCSLLGQSSNSPLSVAISAGFQGLPTLLKLTTVMAAKKQEWQTMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMLMPCGHAVSKQSIMKLSKSSSRPFKCPYCPSEAVASQCKQLHF >SECCE4Rv1G0279520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:815452118:815452492:-1 gene:SECCE4Rv1G0279520 transcript:SECCE4Rv1G0279520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVEIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVVVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAALDKELELLRKKYQEQEEQLAHLMALFRAKVV >SECCE4Rv1G0248840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:575953830:575955254:-1 gene:SECCE4Rv1G0248840 transcript:SECCE4Rv1G0248840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPLRHVAMLPFMAKGHAMPLLHLARLLLGRRLASAVTFFTTPRSAPFIRAGLASAGAGAAVVELPFPSQQDAPQSTDELPSSTYLVDFISAVAALQPAFVDALSRIEPRPDLLVHDGFLRWAKDIADELGMPRLVTLGFGGFATYVSRAVPAHRPHARVSSPSEPFPVHGVPDLRLTKADLSPPFDDPEPSGPHWDFICKNRISMYSSRGIILNSFHELESVYIDLWNREFDIKMWPIGPLCLAASEPAVQTKDDREISEWLDSRLAMDRPVLYVAFGSQAELSRAQLEEIAVGLDKSGVDFLWVVRSKWLNPDDRFNERFGDRGKVVEGFISQLGVLSHKSVKGFFTHCGWNSVLESITMGVPMLAFPMAAEQKLNAKFVVDVIHVGLRVRPKEDANKGGSGLVMSGDVQALARELIFGEEGKRAAARAGELSVSSRKTMDIGGSSFENLAQMVQEVSQAGHNGQNIRK >SECCE5Rv1G0324740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:455908624:455917721:1 gene:SECCE5Rv1G0324740 transcript:SECCE5Rv1G0324740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRAGTNACAVMAVVAALFLFVATAIGGGEKKMASHDKGANLKASPGASAPPAAGGSAEQFRGKARLPSFAVPRRYELFLRPDLIACTFSGSVAISVAVSAPTRFLVLNALELSVNRASIRFQALAPTEVVFFKDDGVLVLGFAKQLPLGEGVLKTNFSGILNDQMRGFYRSKYQYKGKERNMAVTQFESVYARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVANAIFAGPTKTVRYQESPPMSTYLVAIVVGLFEYVEGMTTKGTRVRVYTQIGKSNQGKFALDVGVKSLNLYKDYFATPYPLPKLDMVAIPDFAPGAMENYGLVTYREVALLFDDKSSSASSKQNIAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLAVDSFFPQWNIWTQFLDRTTTALRLDSLKASHPIEVEIHHASEVDQIFDAISYDKGASVIRMLQSYLGAERFQKAMASYMKKYAYSNAKTEDLWAVLEKETGEPVKDLMTTWTKQKGYPVINAKIKGNDIEIEQSQFLLDGSSGSGMWIVPITSGCTAYDTQKKLLLKLKRDKLVIGSQCCDRKKGGNFFTKLNVNGTGFYRVKYDDELAAALQNALETKKLSLMDKIGIVEDLYALSIALQQTFASLLRLLYGYRGEADYSVLSHINTVTTSIARISADATPALAGDIKQLLIKILLSPAEKLGWDPKKGESHLDVMLRPVLLTALVQLGHGKTINEGVRRFNIFTRDRSTSLLPPDTRKAAYLAVMQNVSSSNRSGYDALRKIYKESAEGEERLQVLGILSSCRDKGIVLESLNLIFTNEVRNQDAYILLRGIQPEAREISWNWLKENWERISKTFSGNLVADFVKTIVPLFTSNEKAAEISNFFATRIKPGFERTLKQNLENVRISARWAEGIRSEPGLAQTVRELLAKP >SECCE1Rv1G0027270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:380561621:380571530:-1 gene:SECCE1Rv1G0027270 transcript:SECCE1Rv1G0027270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGGASPRPAPPKRSAPPTTTKLLVPASSSLAMPHPRLAHLRRLLSLHSPPPRPLAPSPVRPLRPSFLLPRAMAGAAQAGAATGSAEYEEVLGCLASLIKQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLIDVRERFRLDGLDISEEKFIRYFWWCWNKLKVKTGDDIPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVKAPVVCGISSLGYDHMEILGNSLVEIAGEKAGILKKGVPAYTVPQPEEAMSVLKQRASELGVSIRIVPPLDPRQLEDRPLGLHGEHQYMNAGLAVALANTWLERQGHLDRIHVKDHGTLPDQFIKGLSIACLQGRAQIVPDLQVSSECKDTSCPLVFYLDGAHSPESMEICAKWFSHVTKKDAAQPGPLEQPHSGINSKKILLFNCMSVRDPQILLPRLLETCAQKGLCFDQALFVPNQSQYNKLGSHASPPPGREQIDLSWQLSLQTVWEDLLRGKKGLNGTSSSGASLVFESLPSAIKWLRETCQQNQSTSCQVLVTGSLHLVGDVLRLIKT >SECCE6Rv1G0390080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:173621848:173624663:1 gene:SECCE6Rv1G0390080 transcript:SECCE6Rv1G0390080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 41 [Source:Projected from Arabidopsis thaliana (AT3G02065) UniProtKB/Swiss-Prot;Acc:Q3EBD3] MGQGEKDSADNLVSPSDDRNELQVEDLCVKERCFEQREALPGEPRCVICGRYGEYICDQTDDDVCSVECKTALLARIAAETKIPVKAPARVKVPFGDESFCVRDNNFPDILSLHASQVASLRNKLDICVKGEAIPDPIMCFSSCGLPEKLVHNLDAAGYTMPTPVQMQVIPASISNRSLLVSADTGSGKTASFLVPIIALCSRRELQQCASKRGPLAIVLAPTRELCLQVEDQAKVLGKGLPFKTALVVGGDPLAQQIYRIENGVELIVGTPGRLIDLLMKHNVDLTDVSVFVLDEVDCLLERGFRDQAMQIFRALSCPQVMMFSATIHSEIEKLSNLLSNNMIHISCGNPGKPSKSVRQVVIWVESKQKKQKIFEIIKSKQHFKPPAVVFVSSRVGADLLSEAITAATGLEVISIHGEKTMKERRERLRRFLTGEVSVVVCTGVLGRGMDLLKVHQVILFDMPNSIDEYIHQVGRASRMGKEGVAIIFVNEEDRKLFGELAQVLKNAGAPIPRELSNSKFTSSISLCTDRKRKLSSRAYS >SECCE5Rv1G0323200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:431068371:431077125:1 gene:SECCE5Rv1G0323200 transcript:SECCE5Rv1G0323200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G56130) UniProtKB/Swiss-Prot;Acc:Q9FKT5] MEGREDDKKGGAAAPGSSAPGTRFKNLVSREYYSHKKKVHSVAWNCIGTKLASGSIDHTARVWSIDPHGHSKVKDIELKGHSDSVDQLCWDPKHPDTVATAAADKSIRLWDARSGKCQVVELSGENINITYKHDGTHIAVGNKEDELTIVDVRKLKPVHKQKFPYEINEIAWNKTGDLFFITTGLGFVEVVNYPSLDVVCKLNAHTAGCYCIAMDPLDRYFAVGSADSLVSLWNVKELLCIKTFTKLEWPVRTVSFNHTGEFIAYASEDPFIDIANIQTGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADDGVFRIFGFEST >SECCE6Rv1G0430840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:744840288:744840806:1 gene:SECCE6Rv1G0430840 transcript:SECCE6Rv1G0430840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPLFFVLLALATMQPRTASSEKETHLKVYWQDVVSGPDPTSVPVAQAAMTNTSKTAFGMVVVIDDPLTEGPGLNSSRIMGRAQGTYIAAGKDQLALLMNMNFIFTAGKYNGSSVAIMGRNAVFTKVREMAVIGGTGVFRWARGYAQARTHTLDLKTGDATVEYNIFIRH >SECCE4Rv1G0229820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:154152769:154156645:1 gene:SECCE4Rv1G0229820 transcript:SECCE4Rv1G0229820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPRLLLTLAWAAALLWCGGCDARFVVEKNSLRVTAPEALKGAYECAIGNFGVPQYGGTMVGVVAYPKANRKACKGFDGFDVSFKARPGALPTFLLVDRGDCYFAKKAWNAQNAGAAAILVADDRDEPLITMDTPEESGRVEYLENITIPSALISKSFGDRLKKAIDNGEMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQILEKKGYTQFTPHYITWYCPEAYTLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVYKVAKENKKPWLWWDYVTDFAVRCPMKEKKYTKECADGVIKSLGLDDKAIDKCIGDPDANEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANITACKDTFRGKVCECPVVKGLQFVGDGYTHCEASGAGRCEINNGGCWKETMNGRTYSACTADGCKCPDGFKGDGIHKCEDIDECKERTACQCKECNCKNTWGSYECGCSGGLLYMKEHDTCISKSAAAQVGWNFLWVVFFGLAAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLESQGEIPSNSHHIEM >SECCE1Rv1G0001970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6835808:6836362:1 gene:SECCE1Rv1G0001970 transcript:SECCE1Rv1G0001970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYTIRISTSLIDQLARDDEKQVKRRTRKPKPKKVVEQPEEPQDNGRELPTEPKSSPAPAPGWSLPPPMYLPVTPAPPPPSPAIQEVEAIRAVVAESEKVLEKLQKKEATMREELTKRAKELHDKEFKLPYQNPAPCTDERAGCAECYRSNVQDPLKCAEAVKRFEACVRMARRGGAAMGAAQ >SECCE7Rv1G0507790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:765619918:765620770:1 gene:SECCE7Rv1G0507790 transcript:SECCE7Rv1G0507790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDNLDLGAWLGLATGGGAVWRTHDGERRPDPVRLDEEGDHDEQAVRKMAKQRIKDVGDEDRRSSSNGPCLSDGCSGTGRRRGKLRLTKEQCAPLEESFHAHNILSLVQKQELARRLKLSSRQVEVWFQNRRARTKLKKTEVDCEFLKRWCESLTHENQRLKHQLLELRRSAAAPAAAGSKLFAQLPRAEQAVLKLCPSSRPATAIEKVAVNN >SECCE4Rv1G0252200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:613049743:613051155:-1 gene:SECCE4Rv1G0252200 transcript:SECCE4Rv1G0252200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKHALHGGGGGGALEPRKCASGLVARHSVALRSSTLGTLSLDRATAAAVSFAGVEGAGALTTTARPGKEGAAGRCRSFAGWFPASPKVEPGQLVKRQRLAPPRTPTKTPARDPEEINVWELMDGLDDDGADEDDEEYGDCLDGQVPSAPGSPEFDPDVLSAFREALVELSPPSPDADVVSDRGAVVNKEEIQVFDDVASDGGAVAKEEEIEEFDDVAGDEGAVVRKEEIQVLDDVASDGGAVAKEEIQVFDDVASDGGAIVKKEEIQVFAGVVRARLDVLQGRIDSRSQKNPPPPPPPESARRVVVYLTSLRGIRQTYEDCWAASTILSSYGVHVDERDLSMHAGYKEELRDALGAGAPAGVLPQVFVDGWHLGGAEEVRRMHESGELAEALEACEPAPGAAAGGKEGPGGFVAEPCGGCGGARFVPCDVCSGSCKVFVEDEDGPGAFRRCPDCNENGLLRCPIC >SECCE2Rv1G0120620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:799793068:799793754:1 gene:SECCE2Rv1G0120620 transcript:SECCE2Rv1G0120620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTQAPLPPADPEKEKPLARSAPATAPSDLANPGKKMRRPVPMTDEERGRIKDLKEQHRSGMEGLRESFQIHKELRHHHDELLFRRRCLAARLVSRIAFQRRDAAYIRHQRANGLKLGDRLPEELRKAGPPMGAALVMWAEIGRALNMGMDDYIAECDDPEVFRRRMRSLGLIHDQKGAPQDSVAPQE >SECCE2Rv1G0082390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:150490108:150492412:-1 gene:SECCE2Rv1G0082390 transcript:SECCE2Rv1G0082390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPNEVTSYSSLSNIDSYHSQADPMSNLAPGNTYPVHDYLYEPSLEPDFPSEYGSREDPFPTAQASSTINLKTVLGGLAAIVSRPSKIGDDASRQQSFSTDVSFLGAGKDGDLHSSVCVPSAPPLLEANALQFSAYREVLQSDPPEWLPDSSTSVCLQCSYPFTALTRGRHHCRFCGGIFCKECSKGRCLMPMKFRLRDPQRVCDACYDRLDPLQALLINYNSNAMQPAKHDVMDWTSTRSWLNLPVGLSMEYEIYKATNTLRKYCQVSRLNPEKSIPSSILKGAKGLAVLTVAKAGAVLTYKMGTGLVVARRSDGSWSAPSAILSVGLGWGVQIGGELTDFIIVLHDLKAVKAFSSRMHLSLGAGLSAAAGPIGRALEADVRASEKGSGICYTYSCSKGAFVGVSLEGNVVTTRSDTNLRFYGDAYVTTTDILFGRVEKPRAAQPLYSALDDLFSKMVC >SECCE7Rv1G0473930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:163078722:163079978:-1 gene:SECCE7Rv1G0473930 transcript:SECCE7Rv1G0473930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAHVNWAALPSDLLSCVGALLAVPGRICFRAVCPTWREAIPADQARAMPAPWVIIPRVEGCSDSFIVLSAPTMNSFRWTPPGGAPARCVGSNGSWLAIVTVVDVRNLAISLVNPLTDARVELPLLTGWRWALDTEHDLEFELDVVVRKVAFSPSPTAQDYAVAYVSHCSEAVFCTRAGTDRWLRLPELVGGDHEGIRRELDVAYHQGKFYYMATSGQVWVVDMAAPSPSPVPLDVLSPPFNGMVMCRGYHLAFSGDGALHVVWSRSEGDGYPWSCYLRDMAVMRFDLEASGEEKWTPARSIVGRAFLIGDRNQSMSVPVDSNAWLRPNSIYFTNVPLCDRFAIGCLGRRGLWALDVVTGRITWPRAPSGMVGTPLVSEWMAWPRAPREMFDTQLGSELDVDWAKSLWFMPCLTQPR >SECCEUnv1G0532850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:24361416:24361929:-1 gene:SECCEUnv1G0532850 transcript:SECCEUnv1G0532850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPKGQIVKKCHHFEWMDDYIQRLQGLGLLDSRGNVIRELYLPHDSAAPAAAARPEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE3Rv1G0198090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:827217026:827218327:-1 gene:SECCE3Rv1G0198090 transcript:SECCE3Rv1G0198090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLLAFVCLVLLAAPWPSEAGAKPLLFALRARQMPARALPRQPSKLRFHHNVSLTVSLAVGTPPQNVTMVLDTGSELSWLLCAPAGGRSRYNAATSFRPRASATFAAVPCASAQCRSRDLPSPPVCDGASSRCSVSLSYADGSSSDGALATDVFAVGSGPPLRAAFGCMASAFDSSPDGVASAGLLGMNRGALSFVSQASTRRFSYCISDRDDAGVLLLGHSDLPTFLPLNYTPLYQPALPLPYFDRVAYSVQLLGIRVGAKHLPIPASVLAPDHTGAGQTMVDSGTQFTFLLGDAYSALKAEFTRQARPLLPALDDPGFAFQEAFDTCFRVPQGRSPPVRRLPSVTLLFNGAEMAVAGERLLYKVPGERRGGDGVWCLTFGNADMVPIMAYVIGHHHQMNVWVEYDLERGRVGLAPVRCDVASERLGLML >SECCE3Rv1G0155450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:65797623:65798126:-1 gene:SECCE3Rv1G0155450 transcript:SECCE3Rv1G0155450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCYHFGWMDDYIQRLQGLGLLDSRGNAIGEFNLPYDSAAPAAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSKLIALGILALGIFYLMAISR >SECCE2Rv1G0140310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931169026:931169412:-1 gene:SECCE2Rv1G0140310 transcript:SECCE2Rv1G0140310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTSILLVVVVAVMYAIGASATRCGDSMGHQLWHTTVENGWEPITNINDEHIQDLGGWAVLEFNKHVKCTIKFNKVLSGRQQLVSGMNYELIIDVTHFGGEDGNYKAELYEQELTKKRQLLSFTKVK >SECCE1Rv1G0029780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:420560264:420560995:-1 gene:SECCE1Rv1G0029780 transcript:SECCE1Rv1G0029780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSPRQPGFGAGGQRQQAAAATTTPFKIHRDSHLIHKGAAAGASASSPPSSSSTNSSVSSSPGVGQQRPAPRPKQQQQQQQPVIIYTHSPKVIRTSPRDFMSIVQKLTGLESATAASAVTGAAQDESSSSSTESCANAHASAVPPPPPLPQYMDPPQLMPPPAQLDHAHFMPDMPLFAPSEHQQHMLCASRGGLYGHFPPTVEAVSLGGPANMHDGAASVGMFSPSMVEAMRTAYPDYQLT >SECCE1Rv1G0012650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:95287381:95290313:-1 gene:SECCE1Rv1G0012650 transcript:SECCE1Rv1G0012650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRLLPPSPLAAAPTSHHTTLRAAPRSCSLVVPSLRCRASAPAAVDAVAPLLLEDRAGAVSIREFVTLDELHAAVRLRVRTFYEYARDTVGAEDHRKGLAEREYEALQNRISGNMINFRRVSCINGTVPLLPSLMSAEDLCSTCKFVEDGEERIVVGSLDLNQCLWLPDELTGKRPGVTESSHTRAYLSNVCVAKELQRCGLGYALVDKSKKLARQWGITDLYVHVAINNEAAQKLYIKSGFVYESEEPAQQARHLGRPRRLLLWLDMKNEAL >SECCE3Rv1G0160780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:108167233:108175778:-1 gene:SECCE3Rv1G0160780 transcript:SECCE3Rv1G0160780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCTHCADYCPYIKDPDKGYICCGTCGKVLDQEIYTDEPTFVKDSSGASRLAGNILSSIESGSSLSHERTLMKGRDEIWQIVTSLHVGGGDTIIDMAHKFYTLAVDHNFTRGRRTTHVAAACLYIACRQSKKAYLLIDFSDYLKISVYVLGAVFLQLCQVLLLAEHPIVQKLIDPSLFIHRFTERLLGKRDNAVSDTALRIVASMKRDWMQTGRKPSGLCGAALYIAALSHGYNYTKSNIVAVVHVCEATLTKRLIEFENTDSGSLTIEDFLAKADEEPVSKFSPISGEVLCKHKDKAAEHFAHGLCEKCYNKFTKLSGGLEGGADPPAFQRAEKQRLEAAKKAEEAAAIKEAMLGESICEIQNSDAEHNIMSITQDSVGDKSATIGSEEIGKDYVPSTDPELGGEKGKADADPDSFSDIDDVEVDGYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGVGVGEGQQKKRRRNEDTKNATPAETPAEATYNMLKRKGLGSKINVEAVGGLYNTKDEASEANEKGDMGFDGEYAHDTGDGETLEGGYDYADYNNDGYADGGDAGAYEDYDGIDY >SECCE3Rv1G0183300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:648295466:648299240:1 gene:SECCE3Rv1G0183300 transcript:SECCE3Rv1G0183300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAN7 [Source:Projected from Arabidopsis thaliana (AT5G66460) UniProtKB/TrEMBL;Acc:A0A178UNQ8] MGLHHGAPLALSVLVFLCVHGGRGAEAVSGGFVRAQGTRFVINGSPYYANGFNAYWLMTMAADPAQRGKVTSALSQAAARGLSVARTWAFSDGGSNALQYAPGSYNENTFKGLDFVLSEARKNGIKVILSLVNNYDTFGGKKQYVEWARGRGQAVGSEDDFFTNTLVKSFYKNHVKTVLTRVNTVTGVAYKNDPTILAWELMNEPRCQSDLSGRTIQSWITEMAAHVKSIDGNHMLEAGLEGFYGASSSSSRAASVNPAGRQVGTDFIANNQVPGIDFATVHSYPDQWLSSSDDQAQRSFLGRWLDAHIADAQGTLRKPLLIAEFGKSQRDPGFSSAQRDAQFGMVYAKIYESARKGGPTVGGLFWQLMADGMDSYGDGYQVIFAEAPASTTGVITSESRKLKMLGKAFARAERAKRDASGKVTGGN >SECCE7Rv1G0487920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:433334862:433347588:-1 gene:SECCE7Rv1G0487920 transcript:SECCE7Rv1G0487920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSHSQSQAASRRRRCGWLLPLLVGAAFLGEIAFLGRLDMSKNAAAVESWTTSFYRLSSTRGTDAPPGSGDDDDECEERLEREDAVPYDRDFERDPVLVGGAAKDWNRCSVGCEFGFPASKTPDATFGIAPDPSVESILRSMESSQYYSENNINAARGRGYQIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLESLDVKIDSYGSCHRNRDGKVDKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVTGAIPVVVGAPNIQEFSPGEGAILHIKELDDVISVAKTMKHIASNPDAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHIATKIHEKEERMPKFMNRSCSCSSKRGTVYHLFVRERGRFKTESIYLRSDQLTLGALESAVHDKFRSLKHVPVWKGERPSSIRGGDELKVYKIYPIGITERQALYKFQFSDDADVARYIKGHPCAKLEVIFV >SECCE4Rv1G0289650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:866848800:866849360:1 gene:SECCE4Rv1G0289650 transcript:SECCE4Rv1G0289650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDKSGGCKAASLILRIATVALSVASTVMMASATQPADAGSTVPVSKVSYSDYNSFRYSVAANVISAALQAVAVYLTTMHGGRKQEAKAAQSLAELVDTAAQVLLYSSSALAFAVGDFGSCGGRCPAVCETAGAFCWRVRASGAISIAAAVALSVSLYIKDVPISVSLDGVQHKSGPGCGRGCHH >SECCE4Rv1G0215250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4341964:4343268:1 gene:SECCE4Rv1G0215250 transcript:SECCE4Rv1G0215250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGYPDGGGKEKDRQWPPAPPAYGYPDDERPPFVLIDPRAYFADRKNATTAVIHMEAGGAPNLRGRLQVTFCAVAPPLVSYFCVHASHMDHTEFPVPPSILATETDGGLVLLRVATVDPGYPTTYLRPQNSQYFVYDALARKLHQLPQPGFQHRLNELSLAIMRKPNKNTSNLRPHVHGEADFVVAAQSYIFWGKESPHICIYDSAIKTWSNKPVVIGSSYPEQHIPTKTLTIGGSNGTVAWVDLSCNIVFCDVLAKRLKLRYLELPSEPGQWGINPRSGRDIAVFGNTIRYVAMLLHPYDSKAPSFRWMATAWSIKKGRRSWPKEWHMEYKLDSTHIKVDAAGKVATFPTLSSLCVGLPTLSLQNDAIVYFLAKINFSPRQHTSWVLAVDMKNKTAEQVVEFPAERTHYVAKGYNASRISAYLQPAPGNSL >SECCE3Rv1G0148440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19090367:19093264:-1 gene:SECCE3Rv1G0148440 transcript:SECCE3Rv1G0148440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGFLLEWAVPSDNCPKCGASGGQCTYASDGTGFSCNCSGVAHPEKCGSRKAANWKIAAGTIGGFLVALLMASFVVFIYKRKKRKQAVASNELMRSGSSMMSYSKDLELDGSPHIFTFEELEVATGGFSASRELGDGGFGTVYKGKLKDGRVVAVKRLYKNNYKRVEQFLNEVDILSRLLHQNLVILYGCTSSMSRDLLLVYEFIANGTVADHLHGSRAAERGLTWPLRLNIAIETAEALAYLHAVEIIHRDVKTTNILLDNNFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMSRSHSEINLANMALNRIQNHEVVQLVDPELGYDTDPETKRTIDCIAEVAFQCLQLERDLRPSIKEVVEILTCVRDGDCQAKSMKKASQKEDTHLLMDGLQFSPDTVIHRFHRQSTNHSGASNASGLSNFKC >SECCE6Rv1G0408950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:578805643:578811418:-1 gene:SECCE6Rv1G0408950 transcript:SECCE6Rv1G0408950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGQKQEDLAPHPVKDQLPGVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGNNEDKAVVIQTLLFVAGINTLLQSFFGTRLPAVIGGSYTFVLPTISIILAGRYTNEPDPHTKFLKIMRGTQGALIVASALQIIVGFSGLWRNVARYLSPLSAAPLIALVGFGLYELGFPSVAKCVEIGLPELILLVIFAMYLPHTIHMMRSIFDRFAVLFTIPIVWLYAYLLTVGGAYRNVSPKTQFHCRTDRSGLIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGSFIAVSRFASATPLPPSVLSRGVGWQGVGILLDGLFGTGNGSSVSIENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCVFFAYVGSAGLGFLQFCNLNSFRTKFILGFSVFMGFSVPQYFNEYTSVAGFGPVHTRARWFNDMVNVLFSSKAFVGGIVAYVLDNTLHRHDGAVRKDRGYHWWDKFRSYRTDTRSEEFYSLPFNLNKFFPSV >SECCE1Rv1G0001370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4594529:4595782:1 gene:SECCE1Rv1G0001370 transcript:SECCE1Rv1G0001370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGEEEMSGKELLRPDNSLAEEEAAAAAASRLTDDLIVEILSRLPFRSVCRFKCVSKPWRDLIAHPAHRKKLPQTLAGVLYTTFLSDGGYSYHLAGLSAKAEGLDLDPSLTFLPHTEYRDFWLVRACNGLLLCSYGPEEGTVRFVVCNPATQRWTELPPRPRPNTCPYYMEFHLAFDPAVSSHFHVFDFERAGDLGITGVSIYSSRTGAWSQSDTGLVEDVVMVGQSVMVGGMLHVLGNLLVAAHSNNWEDESVLVAIDMEGKTWKTISVPRGRNYGTLGWSQGCLHYAAISPAPLTVDDDDDEDSLNMAEEVAIWRLEDYDTQQWALKHSFRIDKVLNLNKVDYQLIGFHPDHDTFIFVRKGIFEGSDAASLVSWDMRRGQISSVLDLEKRSAAPYLPYVPLFSSEPLADADGH >SECCE5Rv1G0331610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:539972315:539972605:1 gene:SECCE5Rv1G0331610 transcript:SECCE5Rv1G0331610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKHVPSCTCILIILVVSSHLASCPCEARRLMAAAAKINGDEACMSAGCRAVQGTASGTAGATWKMATTDSRGTSPGHSPGIGNKLHAAGNDRR >SECCE3Rv1G0149890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:29717133:29723479:1 gene:SECCE3Rv1G0149890 transcript:SECCE3Rv1G0149890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDVAFAAGSSSAAMDALAASSSAQAPADPSHGWQKVTYAKRSNRKPAAAAAAAPSSAAPDLGKPSVFEGLDKRSQERHRAIQAARDAAAGYDSDDANAARVPWGSRSSDEGSDSDGAPRPQAEAPKKPKKPKVKKPKVTVADAAALIDAESLAAHLVDISASYENQEGIQLMRFADYFGRAFANVSAAQFPWAKMFKESPMPKMVDVPLSHVPEAVCKTASDWISQRSPDALGEFVLWCIDSIMSELSGPAVGPKGAKKVVQQTPKAQVAIFVVLALTLRRKPDVLINLSPKIVGNSKYLGQEKLPIIAWVINQASQGDLVSGMFCWSHSLFPAVCAKSSVNPQSRDLVLQLLERFVSTPNASKARAMLLNGAVRKGERLVPAGTLDLFMRCTFPVPNARVKATERFEAAYPIIKELALVGTPGSKAVKQASQQLLPLAVKAIQENNAELAKEATDVFIWCLTQSPEAYKLWDKLHAENIQASVAVLRKITADWKTLSPKLNSEALKATLKSLKAKNEAALEEAEDSGEKASIKEADKYCKAIIGRLSRGATCLKGSLLVIALAAGAGFMLSPNLDLTADLEKLQAMALELQAMASEYLPSF >SECCE4Rv1G0263070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:714485231:714485524:-1 gene:SECCE4Rv1G0263070 transcript:SECCE4Rv1G0263070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSALRMPAAVCAVLTLVLLSAVPHCEADLLQVTVAGGRRMLAGGSNAAVVFSRPAETTVTSSTRWATAGRVPAVAPYSESKRSSPGGPDPQHH >SECCE2Rv1G0133750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898442966:898443253:-1 gene:SECCE2Rv1G0133750 transcript:SECCE2Rv1G0133750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADQIFEFVRAADCYPNVSIACQILLTVPVTVASAERSFSKLKLLKNYLRSTMSQERLNGLAICCIEKDVLDNIDLDTVINDFASKNARRSRFS >SECCE1Rv1G0000260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1023759:1024001:1 gene:SECCE1Rv1G0000260 transcript:SECCE1Rv1G0000260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKPVGAGGGGDGTGKTSGPEVVGWSVQRAAAQINGDRPDVSVTLIYSGPQWPPGHNPWRVVVLSNEAGVVVKTPVIG >SECCE4Rv1G0263430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:716645732:716648872:-1 gene:SECCE4Rv1G0263430 transcript:SECCE4Rv1G0263430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGVMGPVIRKLGELLVGEYTLEKRVKKGVQSLLNELEMMHAVLRKVGEVPSEQLEEPVRIWAGKVRDLSCDMEDAVDDFMVRVDEGSSSNPTNMRNRVKKFLKKTTKLFGKGKALHQISDAIKEAQDLAKELADLRRRYELDTRSTSNGATIDPRVLALHKDVGELVGVDRTRDELIKTLICENGSSKEQLKTISIVGVGGLGKTTLTKAVYEKIKAQFDCVAFVPVGQNPDIKKVFKDLLYELNKAKFTDIHNTSNDENLLIKEIREFLVDKRYLIVIDDIWEEEIWRYINCALYKNKLHSRVITTTRNVSVSEACLSSSDDMIHKMKPLSNEDSQILFHRRIFQREEKCPEDLQAVSREILKKCGGVPLAIITIASLLVNNQSRKQIEEWMHVHSSMGRGVTEGGIVKDMKRILSLSYYDLPPHLKSCLLYLSIFPEDFEIERDWLIWRWLAEDFIQCDKKETSLFEIGESYFNELMNRSLIQPAEINEEGTVVTFRIHDMVLDLICSLSSEENFISILDNAEWHAPNLQRKFRRLSLHNIKAKVQNHQFDSTRLSKVRTFAVFSPVTCDWLPSLSSFQFLRVLDLENCGRRESSSGISLKYVGNLIHLRYLGLRNTDVCELPMDIGKLQLLRTLDIRDTSILELPSSVVQLRNLICLCVSYGVRLPKGMGSLMSLEVLQLVNLSSSPHIVKELSHLTEVRTLSVDCRNMDEDLIDILIKSLGNLHKLQNLRIDDGGRLIDRMRESWVPPPNLRSFDSWSPSICPAWFSRLPKWVNSRSLPHLSRLEIDVEELQGGDIQIIGMLPALRFLRLLARRVMGTLVVRADAFPSARCCKFYGFQTWPCLFPPGAMPRVQHLEFWVSAWSIASGEVDCGMGHLPSLEYVEVDLRIENFTDEEMETAKAWLRRAAEAHPKRPTIEIN >SECCE5Rv1G0315820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:296324374:296327264:1 gene:SECCE5Rv1G0315820 transcript:SECCE5Rv1G0315820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPVHRLPATPCRPAVHPHVSPAPPSLRLRRVARTTPTTPVVCCSGFVGPLPVANQGSEFERRSRSIYGGRGSVAIGPRFMASKNSTRGRGKHLASPSASSNHAPSPRFRHRRGSRFIVRAESDFYSVLGVSRNASKSEIKSAYRKLARSYHPDVNKEPGAEQKFKDISNAYEVLSDDEKRAIYDKYGEAGLKGSGMGTGDYSNPFDLFESLFEGFGGMGGMGGGRAARNRPMQGDDESYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGSGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCKTCGGDGRVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDSVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGVVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELANLNKAEPANSRR >SECCE3Rv1G0193280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:768449141:768452966:-1 gene:SECCE3Rv1G0193280 transcript:SECCE3Rv1G0193280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYYDVADILMEEELISVVFQVTANGVGLLDPGAERNSVEKGAKVDLPFWLAHGMLSLEQAVSINVPPCFTQKTRKEIQADAACVDLRVRCPYFYELGCKIVPLVGDKSIGQFLRYAFTSRYKEILSKAHSSSTMTVPKLATRLTKEEAQVFESARESMSSFRKWRVGGARLQKASILGRKRKTKLPDGPSTP >SECCE1Rv1G0059660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707362961:707363515:-1 gene:SECCE1Rv1G0059660 transcript:SECCE1Rv1G0059660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVVAGKTTAAQPKSVGRRLWRLARTAVYLLRRGALSSGRKLAMDLHRSRDASKALGGFVNFHRRAAVRSRSSSVAAKHRGDETAGCCNSYDAADIARVFEMLSDSGHLFDDEDRFAAAAPSPAAWASPRITDSPFTAGEHQQVDRKADEFIRRFYQQLRAQKSVSATPENYGHVVPRPVAA >SECCE5Rv1G0345300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:650466734:650467216:-1 gene:SECCE5Rv1G0345300 transcript:SECCE5Rv1G0345300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKGARKSLVSRTLERCRSGLNSGGRSSAAVAPGCFSVYVGPERERFVVRADRANHPLFRRLLDDAEQEYGYAAQGPLALPCAVDAFLDVLWHMDHDVQDDDDDDGEAAVAPSTPICGLQRAGSGSIKVRPAGYRVLSPAKSTPASFFFSQTAAGGRR >SECCE3Rv1G0191420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:748150919:748152118:-1 gene:SECCE3Rv1G0191420 transcript:SECCE3Rv1G0191420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGREGWPAAAAAVEVAEEAEEDQFDRLPDAVLLDVFNRIGDVKALGRCALVSRRFHDLVPLVDSVFVRVDCVIPDEPAPSSAGSPQAAAPSRGRGALAHIARLVLGGIVRPIQALGQILSPTLAIVSRRSVAPPPASPPPPAGDISHHSPSEVLRSFKELRSLRIELPTGELGIDDGVLLKWKADFGSTLGSCVILGASSVSSKPLQSPMSSPDAADSEATSPDDNREPEELASIPESLHTNGGLKLRVVWTISSLIAASARHYLLQPIIADHEMLDSLNLTDADGQGVLTMDKRQLQELRVRPVSVSGNSHRTLMPALIMRLWYAPHIELPGGVLLKGATLVAIRPSDDVLREGGGVEAAGPAGASWISDAFEEPYRTAAKVLFKRRTYSLEMNSF >SECCE2Rv1G0108700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:667280466:667282442:-1 gene:SECCE2Rv1G0108700 transcript:SECCE2Rv1G0108700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREALAIGHVVGDILDPFVKAASLKVIYNSKELTNGSELKPSQVATEPRIDIAGRDMRNLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESTNASYGNEVVSYESPKPTAGIHRFAFVLFRQSVRQTIYAPGWRPNFNTRDFSALYGLGPPVAAVFFNCQRENGCGGRRYIR >SECCE6Rv1G0392370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:259612290:259614726:-1 gene:SECCE6Rv1G0392370 transcript:SECCE6Rv1G0392370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase CCNB1IP1 homolog [Source:Projected from Arabidopsis thaliana (AT1G53490) UniProtKB/Swiss-Prot;Acc:F4HRI2] MLFICASICRVLLNKEYKMKCNACWRELEGQAITTTCGHLLCTEDAKKILSNDGACPICDQVLSKSHMKPTDINPSDEWTNMSMTGVSPQILMKSAYRSVMFYIGQKDLEMQYKMNRIVGQCRQKCEVMQAKFTEKLEEVHAAYQKMAKRCQLMEQEIENLTRDKQELQEKFAEKSRQKRKLDEMYDQLRNEYESVKRSAIQPANNYFPRAQPDLFSGMPNILDSDPLRQGSIDPPETPGRRDEGWAPQPRQRRENSGPFELSGGSRGHTAAPPMDMRPRQPPQSVFGANMNNSSTALRNMIISPVKRPQPRNRPQMFTL >SECCE5Rv1G0366810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:816015154:816016778:-1 gene:SECCE5Rv1G0366810 transcript:SECCE5Rv1G0366810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENILHATPTHVSLPDSFIFASDKLPPATKAVISLPIIDLSLSRDEVRRSILEAGKELGFFQVVNHNVSKQVMRDMEDMCGQFFHLPAVDKASLYSEERHKPNRLFSGATYDTGGEKYWRDCLRLACPFPINDSINEWPDNPKGLRDVIEKFTIQTRGVGKELLRLLCEGMGLPGDYFEGDLSGGNVILNINHYPSCPNPDKALGQPPHCDRNLITLLLPGAVNGLEVSYKGDWIKVDPAPNAFVVNFGQQLEVVTNGLLKSIEHRAMTNSSLARTSVATFIMPTPECLIGPAEEFLSEENPPCYRTTMFRDFMRIYNVVKLGSSLNLTTNLKNVQKEI >SECCE2Rv1G0074360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75939357:75940418:-1 gene:SECCE2Rv1G0074360 transcript:SECCE2Rv1G0074360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFVNLLVNKLRAPRPAFKLHCIDAATLFHPAGSPKAAAINETVPAPGRLPSPAISFDWPCNQHQIGWMDFMPFKNNVFSVDHEGRTILYDTSSRSARAMPLTRKPRSKTISFTVGEDLYVMAREPASPPKSHLFQALVHGRQPGFLHPEDWHWRLLPQPTFDFPDDDEDPSSYGPEEAVNPYAVSSYTVHGGSQIWISTAAAGVYSYDTVSGWWSKGNSCALPFRGRAEYVPEHGLWFGFSREDEQLCAADLAEPRPGLEKVWEDPAPPEPCSLTASHVLPLGSGKLCVARLFQKTERGKLYPSGYTIAESFAVLSGVEVLRVGSKGSLHMIKHKSKRYNFGHDEVKLIL >SECCE1Rv1G0035410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:498433110:498434845:1 gene:SECCE1Rv1G0035410 transcript:SECCE1Rv1G0035410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGRKNAGKASPCLLILISVGCFFATYNFLTMVGHSRSGEGPRKLLGGGDQGGAVAFRSGSDPSKRFHVALTATDALYSQWQSRIMYYWYKQMRGRPGSDMGGFTRILHSGKPDGLMDEIPTLVVDPLPEGADRGYIVLNRPWAFVQWLQKANIKEDYILMAEPDHIFVKPLPNLAHGEEPAAFPFFYIKPTDNEKILRKFFPEEKGPVSNIDPIGNSPVIIQKAQLEKIAPTWMNVSLKMKEDAETDKAFGWVLEMYAYAVASALHGVHHGLQKDFMIQPPWDKKSDNTYIIHYTYGCDYSLKGELTYGKIGEWRFDKRSYLRSPPPRNLTLPPPEVPESVVCIYHCIKYN >SECCE5Rv1G0346310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660513163:660513576:1 gene:SECCE5Rv1G0346310 transcript:SECCE5Rv1G0346310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKRLAQLVRKWHRVKTVARDDEACCTTSPVADKGHCAMYTADGRRFEVPLAYLGTTVFGELLRMSQEEFGFTCDGRITLPFDAAVMEYVMCLLRRNASEEVERAFLSSVVMPCQYPSCTVSHVTLHQQLAVCSS >SECCE2Rv1G0119610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:792896269:792897356:-1 gene:SECCE2Rv1G0119610 transcript:SECCE2Rv1G0119610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGCYTPRRADDQLAIQEAATAGLRSLELLVSSLSGAAPSKAPQQHQQQPFGEIADQAVSKFRKVISILDRTGHARFRRGPVQSPPPPPPPAPVAPPPPPQRPLAIEPARPAPLTVVAPVSVAAPVPQPQSLTLDFTKPNLTMSGATSVTSTSFFSSVTAGEGSVSKGRSLVSAGKPPLSGHKRKPCAGAHSEANTTGSRCHCSKRRKNRVKTTVRVPAVSAKIADIPADEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERALDDPAMLVVTYEGEHRHSPAPMPMPMQMAPSPMPMGAPVAVASVSAGNGHV >SECCE3Rv1G0163870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:153527205:153529094:1 gene:SECCE3Rv1G0163870 transcript:SECCE3Rv1G0163870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g15930 [Source:Projected from Arabidopsis thaliana (AT3G15930) UniProtKB/Swiss-Prot;Acc:Q9LSB8] MPLRAYVGMLARGARPDAYTFPPLLTAVSAERGAVPPSVGDSVHAHVVKFGLQLNAHVASSLVLMYAARGDGVTARALLDVQPARGGGTPVVWNALMSGHKRSRQFRLSCCSFLDMVRAGVVPTPVTYITVLSACGKGNDVLLGMQLHKRIIESGVLPDLRVENALVDMYAECGEMGAAWDLFEGMQVRNIVSWTSVISGFVRLGQVDRARVLFDRMPERDTVSWTAMIDGYVQAGQFREALEMFREMQLSKVRADEFTMVSIVTACAQLGALETGEWARIYMNRHGIKMDTFVGNALIDMYSKCGSIERALDVFNEMHSRDKFTWTAVILGLAVNGHGEEAINMFDRMLRAFEAPDEVTFIGVLTACTHAGLVDKGRDFFLSMTVTYSIAPNVMHYGCMIDLLGRAGKLREALETIGKMPMKPSSAVWGTLLAACRVHGNSEIGELAAERLLELDPENSMAYVLLSNLYAKSNRWGDVRWLRQVMMEKGIKKEPGCSLIEMNGTIHEFVAGDRSHPMSEEIYSKLDKVLTDLKNDGYVPDVTEVFVQVTEEEKQKVLYWHSEKLAVAFALLVSESSVTIRIVKNLRMCLDCHNAIKLITKLYMREIVVRDRTRFHHFRHGLCSCKDYW >SECCE3Rv1G0189280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:724066878:724071533:1 gene:SECCE3Rv1G0189280 transcript:SECCE3Rv1G0189280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCAVENGHAHPKEEDAPEVPVEPAEGAAEPQVVAGGQRKQGGIRREPSFSRWCKDPSAAPNAPAGAASPASAPSDDDSEEFELPLLPSAAAAHRLPMDIEAGAAAGSDSVPVSPWLIAKIIFLIASWYTLSTCLTLYNKEMLGKRMWKFPAPFLMNTVHFTMQAVASRLIVWFQQRGMEAERNTMSWKDYFLRVVPTALATALDINLTNISFVFITVTFATMCKSGAPIFILLFAFLFRLEKPSFNILGIMLIVSVGVLLTVAKETQFNLWGFIFIMLAAVMSGFRWCMTQILLQKEEYGLKNPFTLMSYVTPVMAITTAIISIAMDPWHDVRASHFFDSPAHILRSILLMLLGGALAFFMVLTEYVLVSVTSAVTVTIAGIVKEAVTILVAVLFFNDPFTWLKGFGLATIIFGVSLFNLYKYHRFKKDQHSKHVDPNSHSSNGASKYVIIDDDIEDQDDTG >SECCE3Rv1G0167600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:205034220:205036592:-1 gene:SECCE3Rv1G0167600 transcript:SECCE3Rv1G0167600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAEIAKKVDTLKAELAAKSSRIADLEVRVSLLEAENARLRKGMSEGVAMNHRCKRGTAFGRLEEGLGGSKQKSADIAGGHVMNDVIEVSDGEEEGMAVDVNNGEGGEEGVSPVPTPSKCAVRVVTGKSEVADEIKDADGGGGTDHGSVCCDGNVILDDDDVLITSRGKKRAATRVISDSESEDGDENGQGHEDGEVGVRSSRKRALCGVSDSESEDGSEGVRVVNQKPVSPLVTTGIESEDYDGELYQMEGRSTPATRRLARLAKGQSKRMRPTRRELDFFEPKNHEESEDDSEEDDNMENFIVDDSDCSENSADSVEESSAGPEESDNEETYKDLMDRIRGKRNAKNKDWKIEPEMLSAFDEYPELCLKAVCALYRKQTEEEQAEKATIMHNKKGFSQIDARRGSHIAQFLLDGDAAGPLKKTAQDLKKYDRYALEFCHKTALRYSKQLFAIYQNKEDPYFP >SECCE6Rv1G0448450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:856167003:856167527:-1 gene:SECCE6Rv1G0448450 transcript:SECCE6Rv1G0448450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTSSQVFIMPGAGHVHYLVAGGSGGGDDPRYPWTFKSLHEVDALVPAIARAAPVEPYGCPICFRTLASAKAVHGHMRSHTNRSWRGMEPPRPKPLGELGPDGRRYPYVCDRCKMPFQTRQALGGHRASHNGKKGCSWLEREELAAAEEAWKPIVFDVDLNLPAPEAEEQNEE >SECCE4Rv1G0227870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:129109505:129111529:-1 gene:SECCE4Rv1G0227870 transcript:SECCE4Rv1G0227870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALAQSAAAKIPISTPSPPIAQSDSCQPPKAMAETEAEVEAAIAALPARKEALREAFDRLAACSPFPLPFTWDDLDAHISSLQSSISLRHRQLRVLEGARSALAVPAPVPTGKRKNQGDEDTTSEEVEEEEDEEVMEEVEEVEVEEEEEDDDDEEEVVEEEEEEEEEVVEEEEEEEEVVEIEEDEVDANNPAGKEQKDGEQMQEAEEEKVNEHKVLEASDEAERDCKVENGEEEQQESDDEMGDAKEEQQEARKVSVKKVCNKDLVAACSRMNVAGLVNIVFNSNIDRQEYPVAMCNAKDAAALVLDVVRIFLPKKQTKTNRIWENCVALIRCVPVVAPKLSVRMIDQAKLFAKYWKKKIGELEFCGDHGMLASWAFLNFIISYNIVSDFDVDEIIRLYGTVPRKYQRKNCVNLCKGLGLVSRISDLIDYLIENGQQLSAIQMTQVLQLVDKYPPLALLEGYVEKAKRTAIELLSKNGLDKSMNLTISKEIENLRLAESMVKHQLTDSSKSVTILEEINKLLVEYAKSRITTNASTTSTLNSSLQQKTEQQKQNQKYKKHKKEQQQHEGQDGQVQGKQRKLEGKQLYLNQPRPCVTKLPTPTGSSARSLSPAIPNVAQIGPIGHWQFDLMPAIPLPFLPGIQGGPVAANVVPTQRNPFQQNPLYRHPSFHPR >SECCE1Rv1G0043050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:588129368:588130798:-1 gene:SECCE1Rv1G0043050 transcript:SECCE1Rv1G0043050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKPSSGDQARCAPPHLIFIPSAGMGHLLPFSRFIVTLASQGVNISVVTALPTVSEAEADHFAGLFAAFPAIRRIDFNLPPFEDTTFAGTDPFILRWESLRRSAHLLAPLIAGATPRASAIVTDVTLASQVIPIAKKELQLPCHILFSSCAAMLAFLAYFPTYLDGANADHLVGDVDVPGIGRLPVNYPPQVLRNPDILFTKQFIANGREIAEANGILLNTFDALEPEALAALQDGKVVPGFPPVFAVGPLKSTSTDKEAVHGGASSPIAAWLDEQPARSVVYVAFGNRNAAALDQIREIGAGLEASGCRFLWVVKTTAVDREDTAELNVVLGDGFLGRVLGRGLVTKEWVNQEAVLRHPAVGLYVSHCGWNSVTESAACGVPMLAWPMALGDQRLVATVIRSGGFGLWLEHWSWDGESSLVRAAEIAEKVKEVMSDEAISARAKEVGRDATKAVAPGGSSHQSMQEFLATLR >SECCE4Rv1G0248350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:570941361:570942254:-1 gene:SECCE4Rv1G0248350 transcript:SECCE4Rv1G0248350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGAVTCSVLLLLLLCASPLCQGQAGRHNVTEILAAASPDFAQFSAALAAANLSAEIDGRSPVTVLAVDNAAVARLAERRLQPDALARVLSLHILLDYLGDARLRTLDGGFRQAASLYQAHGAPGAAGIMNITRGGKDDHVSFRPAEGVNGTASVFYVKSVKESPWDIAVLQVSDAISSFAAEAKVPAPPAPSPAPAPKAPAPAPLAPVVAPAPATAPALPPSPKNHTAPPPKPAQEPVPSPTPVVETPAPAEGPGADGDQPAADEQKSGASDSEPWSLGAVVAVAVPVVVFLLW >SECCE3Rv1G0198260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:830250478:830254283:-1 gene:SECCE3Rv1G0198260 transcript:SECCE3Rv1G0198260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPSVAAIASPQPDQLGGSASAPQNSNPNPLLSPQIPPSPTVSDLSVISSPQLDPAAAAAGGGSADFPPRPQQLQAPSPTQAVAGAGGYGQIQRSGSASRLAGASQLPQYSAATARMYGGQMSFSGGAAQLGQQQQQQLAARAAMLGQGQLGMMQGQGSAASAAHYGLQSQMMAQPRQKGMVQGAQFNTANAAQALQGMQSMGVMGTLGMNQMRPNGTIPYAQQRFAQAQMRPQQASQQAALSPQKGVAQGLSRTASITGLNSQLPGVSQNGQVMQMSPSQQQQQQWLKQMQSSLASPSIQQQQRMILMQQLQKPGLTQHQIAQAQPHLNAQQQQLQQHIMQQLQQQQQQQSPRLSASGSQKSANLTGSQPGTPLSGGTMAGGSGSQGAEGTNQLLGKRKIQDLVAQVDPLGKLDPEVEDLVLEIADDFIESVTAFACTLAKHRKSSVLEAKDVLLHLQRNWHLTVPGFSKEDKNPQRNYVKAVVDPQQPECDAAGVRSASNKLVANNSIANHQTRGPVADPSPTSTVGPLSKVPRF >SECCE6Rv1G0402210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:481499932:481501810:-1 gene:SECCE6Rv1G0402210 transcript:SECCE6Rv1G0402210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDGGNSSAVHGGGGGSNQDEVQIEIAGSSKPAVSLAHEAPPQSAPVKHWQWWLMVILNMFFLVAGQTSSTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIAQFLFRPKSPSTQATTSSPEASITKITLIYIALGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTPLIFNSVLLLTFSASLLGVDEDSQSTSDISQGNHVLGFVLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTAFVATLASLVGLFASGEWKTLEGEMHVFGSGKVSYVMTLLWTAISWQIASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDRMDGIKIIAMLIAIWGFISYGYQLYVDDKKSRKTSSSVEEIS >SECCE1Rv1G0054290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673837431:673839741:-1 gene:SECCE1Rv1G0054290 transcript:SECCE1Rv1G0054290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSENHTDEEEDLVGEEEGGTGIEGGKGGGAGEKRKRPLNKKSLGGFSKRGVCYLSRVPPHMNPSHVRQIFSKHGDVQRIYLVPEGQGHRKHSNVKAKAYSEGWVEFAKKSVAKRVANLLNGEQIGGKKRSSFYYDIWNIKYLRKFKWDDLVGEIAEKTHIREQKLTLEITAAKKQRDHYLSNAEKSRTQKFIRERIKKKQKTEGKESNDVGETNKDCPIPRQNRAVEERGPNKKAKLSKNILAGVFGGSS >SECCE6Rv1G0451820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873802617:873803939:-1 gene:SECCE6Rv1G0451820 transcript:SECCE6Rv1G0451820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSTVQIQKTTTTTIQIEDTTAIQIQEVARTTRERLETDFSRLGTKIHRFPRGLRGVDDRYITPSFVSLGPYHHGSAHLRETEELKLAAAHYLCEKSGHSVEEVYSKIFSMAGEARSCYADGAVADFTDAEFAVMMFLDGCYLLLYISDDDGCALLFNRMILSTGPCMLRDIFLLENQLPWVILEALMDWLIPSLAYDFVTDTALFFDIAPYSYSGSNTRVSVEELKKYRPPHLLGLLRYYQISASSAIELAEIGINLTASNKTWFADMNIQRDRLLGGQLSLTPLFINDFTACWLVNMAAYEACVSATYPSDGFVISSYISLLAMLMDKEEDVHELRAKHLVRSFFSNHELLVFFKGLARHLRLGHRYFVITDKIDKYKRERPLRITMHRFVYNNFRAIVAVLSIVSVLVGIFRTLMSLKQHQP >SECCEUnv1G0563310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:374390335:374390796:1 gene:SECCEUnv1G0563310 transcript:SECCEUnv1G0563310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHALPAVAFLSDEKLTTKVEHLKKMFRWSDAEVATAFSKSPSLLLRSEDSLQRKSEFLISEVGFEPAYIAHRPAMLTYSLEGRVRPRYYAVKFLKENGLLDRDRDYYRAVMISEKEFVAKFICPHKEAAPHLAEDYAAACRGEVPAGFIFA >SECCE1Rv1G0030510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:428616441:428619079:1 gene:SECCE1Rv1G0030510 transcript:SECCE1Rv1G0030510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFFRQDHGDNSASSSSSSSGSDSDPDPAEEEVSEEEVEGEQEEEKEKEEDDEEEEAEVESGEEQEKEVKEQAEDEGSGYQSEDSSGHHVDSACAGLLSDEDSSLLYEQYQPISLPVKKSSSGDADSAKGAVNKDDTVEVDFNNYILKCKSVYKCKLCPRIMCLSEEMVRVHLESKRHARSKKLMGEGRLKMVLNSDGELEEEAETHAERHARTIALAQQVQKPKKDSGRQRQNRRRKKRSQNRLEDKDQKHNSGKEGARPNSRTADRKMPNIETAAKKRRKTEK >SECCE1Rv1G0056900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689770294:689774844:-1 gene:SECCE1Rv1G0056900 transcript:SECCE1Rv1G0056900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHADRAGMDELDMDGDESAARPDFACPYCYEDHDVASLVAHLEEEHPFEPHAAPCPICSEKVSKDMLNHITMQHGYLFKNRRRLRRFAVPGSQSLSLLSRDLREAHLQVLLGGGGHRSSNNNATNISADPLLSSFGLSFPTLDAEETSKLSTPAQIDVPVLKETAARPWRSSIDLSLTREEREQASVRAAFVQDLLLSTLFRDQ >SECCE4Rv1G0241920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:464469289:464470665:1 gene:SECCE4Rv1G0241920 transcript:SECCE4Rv1G0241920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPAIKGQGTEEQQKKWLPMAYKFQIVGCYAQTELGHGSNVQGLETTATFDQSTDEFVMHSPTLTSSKLRSLDDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLCFDHVRIPRDQMLMRLSQVTREGKYVHSDVPKQLLYGTVVYVRQTIVADASKSLSRAVCIVVRYSGIRKQFGSQDGGPETQVLNYKTQQSRLFALLASAYAYRFVGRWLKWLYTDVTQKLEAKDYSTLPEAHACTAGLKSVTTSATAIV >SECCE3Rv1G0189330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:724458825:724459900:-1 gene:SECCE3Rv1G0189330 transcript:SECCE3Rv1G0189330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY69 [Source:Projected from Arabidopsis thaliana (AT3G58710) UniProtKB/TrEMBL;Acc:A0A178V7K8] MSDCSTGTDSPRADSVDEQPPADAESPGGGQSKRDHPSPSSPLPPPKRSRRSVEKRVVSVPIAECGERAKTNGEGPPPPDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKLVERSRADPTVLLVTYSYEHNHPWPAPKGGCHPTKSSPRLVDPKPEPGTPVECQPERGPEAPEHGPEAQEEEHEQKPIIGLADPAAITTVTTAAGVEEESFDFGWFDQYPTWHRTALYVPAFDVAPPEDWERELQGEDALFAGLGELPECAIVFGRRRELALPATAPCS >SECCE3Rv1G0198160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:828030445:828031338:1 gene:SECCE3Rv1G0198160 transcript:SECCE3Rv1G0198160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDAIIEVLGIVEDDVRVPCRAGGLVHQMETFSFVFILKMMLKILRITNDLSLLLQKRDQNVVQAMSLVTDVRTRLVNWRNDGWEPLLEDVKAFCTKNDIPIPSMDDIFTKWGKSRKGGRNNVTADQFFRVDTFYAAIDSITTEFDHRFNEVYSELLQNFSCLDPRNSFSRFNLNKLARLTEIYHDDFSDYEREHIEDNIDLFIIHMRRIEDFRACHDIASLAKMMVELERHVMFPTVYRLIELALLLPVATATVERASHQ >SECCE3Rv1G0200450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:853871214:853872528:1 gene:SECCE3Rv1G0200450 transcript:SECCE3Rv1G0200450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRQLSSPSPAVEHPLEYDDLLREIFLRLPPQPPYLLRASLVAKRWRHIAIDPKFLCRFRVHHRKPPLLGDFSYEDGRLSFRSTLDLPYRIPPDHFSLQLQHHSREPWTLVDCRHGRVLLINREMCQVIVFDPLTGDRSLLSVPPEFENMHTAAVLCAAGEQGHAHGACHSSPFKVVVVDSYEHDGEAVAFTSVYCSEIGVWSDLLSTPLPCRGITVVNAGTLVGNTLHWLLKMYSGILEFDLDRHTLAVIHRPPGAYYGDSVQIIQVNDGGVGFSALSCTRCPFPCYHQPCFQMWDRKVNCNGVAVWVLRKSIELQKLLGLGFKIDKARARIVRYAEDVHALFLWVHSSLFMVQLESMQPKKLFKSDKVYSYYPFTSFYHEATWALALFETTMVL >SECCE2Rv1G0141950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:937836218:937836592:1 gene:SECCE2Rv1G0141950 transcript:SECCE2Rv1G0141950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSVVQIVEQVLKTEDKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE2Rv1G0115780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:756013969:756019189:-1 gene:SECCE2Rv1G0115780 transcript:SECCE2Rv1G0115780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OCL1 homeobox protein [Source: Projected from Oryza sativa (Os04g0569100)] MAFWGFFDDDGGGLAPDMNEFPCYSAAGPAALAPSPPFSLAPAGGVKAESGSPSMIDHLHAFSGGDFGGGGGSEDGEVAPELGHRGKRKRPPNARHTPRQILELQALFEQCPHPDERQRAALGKMVHLQPRQVKFWFQNRRTQLKRESLMHENKQLMEENGKLRAENLSLREALAHPVCGGCGGPVPAMLQESPSLEAEDLRVQNATLKNELTRFCALASVFLGRSISSVAPQPMPGPGSPVERVGSVPASTVANSTITEFTGSPSSTMGMPITAMAKDKSVFLELATSAMDELVKMAQMDEPLWIPNVPVPGSLAKETLNHEVYLRTFSPATGVKPPGFVSEASRESGIVSSDGCVELVETLLDEKRWSRFFSCIIAESSMIEEICTGAAGSRDGALLLMQAKLQVLSPLVPIREVAFLRFCKQLGEGLWAVVDVSIDGLVMEQGLAVASTTANMKCRRLPSGCVVQDTPDGFSKVTWVEHTVYDESSIHQLYRPILRSGLALGAGRWLATLQRQYDCLDVLMPKQDASDAMLEGSRSLLRLAERMMENFCAGVSASSAEWSKLDDFTGSIGEDVRVMARKSVDEPGVPPGIVMCAATSVWMLVTPKRLFNFLCNEATRSEWDILSKGGPMQEVTKIYKGQQNGNAVSLLKATAPNTNHQDSSILILQETCTDASGSMVVYTPVDIPAMRSVMGGEGDPASIMLLPSGFVILPGGPSISGDDGDKTCGSLLTVAFQILGSRQPTGKLTVESVQTVDSLISCTINRIKTALRCDA >SECCE3Rv1G0194720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:784471796:784475932:1 gene:SECCE3Rv1G0194720 transcript:SECCE3Rv1G0194720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFHPPRPSAAQSHHPRPGDPGQPPPHALPMHQQQMNPAAFPGFGAANPMAAVAAANPFLAMQLFGQAQQLQNLGYLAAAALQHQQQQQHHHQPQQQQQNPFFPGGFPPNPNQFGAFPGPQAGFNGGGGGGGGFRPGGAGLPGPRPPLPMMGAAWNGGGGGGGAGVNGSPRPALNVDGKDRNSGGGVGQVNQTNNKSDGISHVASENGVRNNPTDQKSRFNPGRDGRDGKQFGPSGGRGRGDSRGGGQFNPSGGRGRGDSRGGGQFSPSGGRGRGDGRDGRQFSPSGGRGRGRQFNQGRGRGRNDWREGKSNFTSSDSPISGDCHIDSPASEGVRKRPPIIYDKKEVKQWVQARKKNYPTSANINKKLCQSQLDEQKKDEEAQMRRRELKEVIAKQKELGLELPELPPGYLSDTAGQPRGRQGNEKESNWKSRQGGGRFGNRGRGRGRGRGRDNKRQRSDDREDFQSKRPRERNNNSRRHDGGAMAKSREPTLLQKLLNSDIKRDRHRLLHTFKFMALNNFFKDWPAKPLEFPSVKVDQIELGSDIDEEDSDDDLPDAAETAKDCSLGLKENGDQPESSSSDEEDGSEDDDEADDKGADTEITEKVPDKDSDDASEEGFSDFSA >SECCE3Rv1G0191730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:750685452:750686093:-1 gene:SECCE3Rv1G0191730 transcript:SECCE3Rv1G0191730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPQKIHSRSGARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKNMYHDMYLKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASTERKIARREERLAQGPRDHAPAPAAAAPTPAPAAAAPKKSKAKK >SECCE4Rv1G0230110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:158505892:158506086:-1 gene:SECCE4Rv1G0230110 transcript:SECCE4Rv1G0230110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMPNILSLTCICFNYVLYPTSFFFAKLPEAYAIFNPIVDIMPVIPLFFFLLAFVWQAAVSFR >SECCE1Rv1G0036020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:503975688:503980569:1 gene:SECCE1Rv1G0036020 transcript:SECCE1Rv1G0036020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHLSAAATAVVLLLLVPLAAGQRLRGFSYQLDCGAAASSTDSRGLRWDPDGPYVSAGSARPLSVPGLLDPALASLRVFPYRPAAKFCYALPVDPKRRYLLRPTFFYGSSSPPPPVFDLIVDGTFWTAVDTADDILAGSASHYEAVFPARGRNLTFCLGVNSDYTDSGPFINALQVIQLHDSVYNATDFRSSAMGLIARTKFGSTGDVERYPDDSFDRYWQPFPDSKHAVSSTHNVTSADFWNLPPPDVFNTALVAEQNAPLVLQWPPISLQNGSYYVSLYFADTLANSSRTLDVNINDYQFYEGTVTSAGLSVFATQWILSGLTRVTLTSKSVLPPLINAGEVFGLFPIGRLTITRDVLAMESMKRSLQNIPDDWIGDPCMPHGYAWTGVTCQEGKNIRVISLNFSSMGISGSLSPDIANLTALTDISFANNSLSGTIPDLINLGKLQRLHLNDNKLNGTIAQTLGTIQPLRELFLQGNELGGAVPPNLLNKTGLTHKFCPGNRFSPPPPC >SECCE3Rv1G0147560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15343786:15344887:-1 gene:SECCE3Rv1G0147560 transcript:SECCE3Rv1G0147560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAAAEGRSRASPDKADEDSAKKARLDLPDCHSVKQELVAQEAAAIVAAAAEHSPRVELAVRIDKSLLHCPLCTLPFKPPVLQCKSGHLACGGCVAQLPCGQCKACADGGGFFDPCPALDAIVSSARVECPNAGCHRYVTYHDVAEHQTACPHAPCRCTEPGCGYVGAPQALAGHLHTVHSVPVRAVQYGKASQLRVPVSAPRLVLLGDDDNRVFVLTVGALGAGVTAVSAVCARASAATRPRFACKMWVNLEPAANGGRADSVLVEMQMRSSTSPGAVAAAEEPTFLPVPRMYLVPAAAGDGSSMEVPLHIRIDKLSPWSDSLL >SECCE6Rv1G0401060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:462257301:462264092:1 gene:SECCE6Rv1G0401060 transcript:SECCE6Rv1G0401060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNFLVRSPKEEEASAAVREAVLLGGKNAAIAGTVVAVPTLVACRVFPWAKHNLNYTAQALIISAACIAGFFITADKTILRNARQNTIGRIDKST >SECCE4Rv1G0243330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:500595937:500599689:1 gene:SECCE4Rv1G0243330 transcript:SECCE4Rv1G0243330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVRQAEAWAGQAERWIRQQPPEQIYVAVAVIAVTILVLLAASCLKSSKSNTIVLSGLSGGGKTILFYQLRDGSSHQGTVTSMDPNNDTFVLHSELERKGKVKPVHVVDVPGHARLKPKLDEFLPRAAGVVFVVDAQDFLSSMQAAAEYLYDILTKATVVKKRVPVLIFCNKTDKVTAHSKEFIKKQLEKELNKLRESRNAISSADITDEVELGVPGEAFNFSQCQNKVTVAEGAGLTGNVSAVEQFIREHVRA >SECCE5Rv1G0326030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:473035277:473036524:1 gene:SECCE5Rv1G0326030 transcript:SECCE5Rv1G0326030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRNNSNEKINTEWFRSDKRLCRAPALPEMPDELVLEILLRLPVKSLLKFKCVCKDWHGIISGPSFIRMHLERSVSNQQRQPSYLITPHLLDYASTELWPNTFSNNIRFYRWQHESCQASASLVYARNFQRAFGSVYGFAHCDGLVLLPTDNRMYVVNPATRDLLTLPESPRRMLEPSSFYRGNVGFGRDPCTNKYKVVQCFRHATDNGLGGYCTGMEVFTIGSSSSAAWRDAVPPYPVGNWRNATFFKGSLFWNVRKLRQREPPESRLLRFCLRAETFSFTTHPPCPALDHQNFAMSELDGELCLAQYESSHGQVVIWMTSDGENPKWDRRYVVNVEPCVTLAISQYGLLLKKPNHMISRYNFKNQNVEDVAGLKALRYHGPGARTAEYKGANLFFFEMFPYTESLIPLHNAR >SECCE7Rv1G0503870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:716626716:716628011:-1 gene:SECCE7Rv1G0503870 transcript:SECCE7Rv1G0503870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKIPMRLIDKARARAETNARRTKGLLKKASELATLCAVPVALVCSAGAGAPPLVWESEEGVLERYRRAVPAEARARHTHRSYIETELGKEKAKLARTRHGCPGALADWDAALNDMTLDEARGLLKTIDAALRVTGDRMEALGIPADGGHGPLEGSSRGAVMPQQLGHGGGNPVDMDAAGFQQLQMVPFHAENNEGLLEQFSCDDPFQTQPGCGGFQYVGGNYSGGGDAMLSPGFSNADYNYSAGGDEMLAPGFANADNNYSAGVDDMLMLGLANANYNYSGGGDEMLALGLANADYNYSGGDDKMLTPGFANADCNYSGGGGDEMLAPGFGNADYDWTDLTMWATDELCDAVMPLGCNPDFADGTLPPEYSAQVITGDYVNTPPSGGYGYPMAMGVGDNFTHLDSNYMAHWQFQRFDTSTLLLGGMQS >SECCE7Rv1G0470930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:125358704:125359909:-1 gene:SECCE7Rv1G0470930 transcript:SECCE7Rv1G0470930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNRKRPAAVLDAVHATTAQHQQSPTHCKRSRTFIGITEDYEPVNCLGQGGFGVVLRVRHKVTNKELAVKFLSSPDDTEEGPDVEDLYREARFLEACQGNPYVVGFEGLLHSPDTGDVGLAMEYVEASSLEDRRAGPPLPESMARDFMWKLLTGAEKMHDRQVVHRDIKPANILVGETRELVKLCDLGLAISLSDKPPYSQAGTASYMAPEMILGKRDYDARVDTWSIGCVFAELLTGKTLFACDGEDDDDDDDDDKIKIDIYQLWSIFRVLGVPDERTWPGFTSLPHTAEALRLLPAGHDKHSRLRDLFPEEKLSEEGFQVLEGLLTCNPDKRLTAAAALKHPWFAAPRSADADATAKVDAVSFPKKKAPRIKFIPPAMPEKNLLKIPLAVRNAAQRV >SECCE3Rv1G0184920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:668041902:668047380:-1 gene:SECCE3Rv1G0184920 transcript:SECCE3Rv1G0184920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSKGKLSGVLSKGFKPDKCKIALKMAMARIKLLRNKKEVQVRQMRREVAQLLDGNQDQTARIRVEHVIREEKFMQAYDLIEVYCELIVARMSIIDSQKTCPIDLKEAIASVIFASMRCSDVTELADVRKNFTSKYGKEFATSALEVRPDSGVNRLVIEKLSAGAPDVQTKTKTLSSIAAEHNIKWEPKAFEEQKQNEDRMYGSTYSGGNIPTSGSSASSVPTPQPAAAPYSSVQSATSHVPAGPSYESSEAPANRNPHGTANSIASTQENRRGSDASVPPSSQHGATTYSSANIPGSNNYSNTGSSSVSRPHSQFGSTVPDPVSRTEEINRPRERKSSASGSNWNVEFKDAASAAQAAADSAEMASIAARAAAQLASRGNFYADQDTGAYESAAYMNDTTPRKQQAGRLMKDGKSFNEQSSGINDPRMISSNNARKDEERAETNRVSSQNMSTPYSSQLHSYASEGHTDMHDMPTEPHHAHSSEPPYFNDSSDPPYFDDSSEKESNIRRPEDHQFDLHEERLPDGGFDWHHTKDIGSRQASFDEESRNNHYNNFSASHGGSSTSWDNQNDKAGADSSAVLFDQYDYDVQEENLLDHFSSKHTEELPTVQDHKGFSSADWSQRPRSESPVDRSTSTLFSRTETQPSYDLGANNEDIPLNDTRPPTFDSDGSDEETSVDMHILRTHSRGSDYSENKMFNKNSGKFVPDVIEDHESRSSKQYQNPPGSDVFRKEQNSDGSPRYDYSGTRGNLGRVQSRDYDLSEEETEPHKLKGTSSGITGANENRPSPFRMQTSATSDDSDDGDLGLNYGRLTPGLRNKLRQRPHYKVSGDNLLRKQSLEGAPASIEESVHFKENDTSSEQTGDAPKGSRTTKNSFGANYHSEHLDGRHTVGKPVESRSSMTRNDFYSGDTGKLSERSGSPISSPTKTSVRENSIQEPHLKRPGSGVRRESRSRTARTFFDSDESEEELEQRRSGQTKLSKEQIQSRRTREVAPDAKRDGRAQARAQYAVETESTPKSPAKAFSTSSTEQRKAAPAYSRVSVKQSSPNPVRIEPPAARGKWQEDEPDEDSSPENEGNTETSAETLKVSTPTAGPAHVHPKLPTDYDSFAAHFKSLRTNRR >SECCE2Rv1G0113100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:719162925:719163572:1 gene:SECCE2Rv1G0113100 transcript:SECCE2Rv1G0113100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLEAGASPNAPTVSAKFVVLRLVVLLGLVMLTCVLLLAAFMALLQAIPKSDGHRTQILRVPTFSVDLAGFDGLDGRTPAPSISPSFNLTLHGVSNQSSGPSSWVCQERGTVAVSYAGAVLAWGRVPGFCFGERAHERARMVALGAGVGLSDELRDRMASERRSRSAEVDVDIVLGWISEESHYLKRLLSCRVKLDEPSPRPYPCNVFVSYPW >SECCEUnv1G0533000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:25421965:25424048:-1 gene:SECCEUnv1G0533000 transcript:SECCEUnv1G0533000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGVWFVRQIPTSISISEKEARNPLFDSDSPTPVVAFLSVTSKVAASASATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLPLCLLSLGGLPPLAGFFGKLYLFWCGWQACLYFLVSIGLLTSVLSIYYYLKIIKLLMTGRNQEITP >SECCE2Rv1G0121920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:812180856:812181086:-1 gene:SECCE2Rv1G0121920 transcript:SECCE2Rv1G0121920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCFAVALLLLVVLASCEGRELNQKDGALAATRGAGAGEGVDESKILGLPELPLTTTITGPVVVLPALPGIPAHP >SECCE3Rv1G0205040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:898138101:898141338:1 gene:SECCE3Rv1G0205040 transcript:SECCE3Rv1G0205040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSFVSAEAETRNWAELPLDAISTILQKLDHVDILMGAGQVCRSWRGAARDEPELWRRIDMLGHADHSPELNLPGMAQAAVRRSAGRCEAFWGKYTDDHDFLLYLADQAPSLKSFRLISRYNFDKVLKETIVKFSLLEELELSLCSDVGESGGFGVVGKACPQLKHFRLNKDVFYDFEASDNDRDDEAMGIATMHELRSLQLFANRLTNKGLTAILDNCGHLESLDIRHCFNVCMDNTLHAKCDRISTLRLILPRLSDVRMRGQFVRRQTSDRGFPKIISSSSMDAQGDLSAIENQVHKLINVHTRGQFVRRQTSDRGFPKIISSSSMDAQGDLSAIENRVHKLIDDLRSDFIEGQRSATSEIRLLAKHNMESRIVLANCGAINLLVGLLHSPDAKIQENAVTSLLNLSIEDNNRIAIVNADAVDPIIHVLETGNPEAKESSAATLFSLSVTEENKVRIGRSGAIKPLVDLLGSGTPQGKKDAAIALFNLSRIHENKGRIVQADAVKHLVKLMDPAAGMVDIAVAVLANLATIPEGRAAIGETRGIPALVEVVELGSPRGKENAAAILLQLCVNRNRFCSIVLQEGAVPPLAALSQSGTPRAREKAQALLSYFGSQRHGSSLSVSKWLGGAAYS >SECCE4Rv1G0277170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:802048431:802049207:-1 gene:SECCE4Rv1G0277170 transcript:SECCE4Rv1G0277170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGEDDLKLLGMWASPAVLRVRLALSIKGISYEYLEEDFGNKSELLLRSNPVHHQVPVLINAGKPVCESTIIIQYLDEAFAGDGRPALLPAGPYERAVARFWAAFIDDTLLKAMYQASWSKTEEEKAEGRKKVAAALKTLDGALRDVAGGKPFFGGDAPGYVDTVLGGLLAWVRSVDVIVGAKTIDPVAMPLLAAWADRFGALDAVEAVMPDVNRLVEFSLTL >SECCE7Rv1G0508630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:773552683:773553516:1 gene:SECCE7Rv1G0508630 transcript:SECCE7Rv1G0508630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMSPLRRWKRFFGAFDTVDAAIEAADPDMCRDELRRARGDVFERLCNTADNGKAEKLCGVLDGLMAESLETLRLTPVTPKVLATTDLAKAVRALQKHESERVRVLARGIVSGWRASALDDLAEDNFDVPQPKETVEQQHVGATTTERPSSIEIDQQHASADVGSKKKKKTVEISSKASGLAVGINMAKPKEVTARPHVNVSADPDAKAMEAAKRKLHERYQQASDAKRQRRVQLVEAPEMVKQRRERSLAGCASSMLKRTFSVSHHTTGQLHGV >SECCE1Rv1G0034170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:477519469:477522108:1 gene:SECCE1Rv1G0034170 transcript:SECCE1Rv1G0034170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPESISPLLARYAASQSLLLGAHIHAHLLKSGLLGAFRNHLLSFYSKCRLPGSARRVFDETPDPCHVSWSSLVTAYSNNALPRDALAAFRAMRARGVRCNEFALPIVLKCAPDAGLGVQVHAVAISTGLSGDIFVANALVAMYGGFGFVDEARRVFDEAARDRNAVSWNGLMSAFVKNDRCSDAVELFGEMVWGGVRPNEFGFSCVVNACTGSRELEAGRKVHAMVVRTGYDNDVFTANALVDMYSKLGDIHMAAVVFGKVPKKDVVSWNAFISGCVLHGHDQHALELLLQMKSSGLVPNVFTLSAILKACAAAGAGAFTLGRQIHGFMIKACADSDDYIGVGLVDMYAKYGLLDDARKVFDWIARKELVLWNALISGCSHGGCHGEALSLFCRMRKEGFDINRTTLAAVLKSTASLEAISDTTQVHAVAEKIGFLSDSHVVNGLIDSYWKCNCLHYANRIFKEHSSDNIIAFTSMITALLQCDHGEDAMKLFMEMLRKGLEPDPFVLSSLLNACASLSAYEQGKQVHAHLIKRKFMTDVFAGNALVYTYAKCGSIEDADLAFSGLPEKGVVSWSAMIGGLAQHGHGKRALDVFRRMVDERIAPNHITLTSVLCACNHAGLVDEAKRYFSSMKEMFGIDRTEEHYSCMIDLLGRAGKLDDAMELVNSMPFQASAAVWGALLAASRVHRDPELGKLAAEKLFILEPEKSGTHVLLANTYASAGMWDEVAKVRKLMKESKVKKEPAMSWVELKDRVHTFIVGDKSHPRARDIYAKLEELGDLMSKAGYVPNLEVDLHDVDKSEKELLLSHHSERLAVAFALISTPAGAPIRVKKNLRICRDCHVAFKFISKIVSREIIIRDINRFHHFSDGACSCGDYW >SECCE7Rv1G0468530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:99128691:99130487:-1 gene:SECCE7Rv1G0468530 transcript:SECCE7Rv1G0468530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEIEEEGTRGSWTEEDKSLCASVLGLDAFTYLTKGGGAISENLVAASGLAGLQNKLQDLVEADGKSLCWNYAIFWQLSHTKSGELVLGWGDGSCREPHDNEMNSTTRSDIHDASSLSQQRMRKRVLERLHTAFAGADEEDDALRIDQVTDTELFFLASMYFAFPRHVGGPGQVFATGAPLWIPNNPHKVSPSNYCYRGFLASAAGFRTIVLLPFEAGVLELGSMQNVLESAEALETIRSVFLGASSKQAASGKHDENGSAQISPGLAKIFGKDLNLSRPLVNTGALPLKMNERSWDMQKNCGGESLLLPNVRKGLQNFTWSQARGLNSHHQKFGNGILVVKSETSQRSNGAAHSPGLSPFQLQNSQQILTQPPPQSQTPRHIDFSVGSSSKSGVLTSQAALLGGENGSVDGLCKEQVAPIMEGQQPRKRGRKPANGRVEALNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITHITDLQKKLKEMESERDMFLESGMVDRRVQTPRPEVDIQVVQDEVLVRVMSPMDNYPINNVFQAFEEAEVKVGESKIASNNGKVMHSFVIKSPGSEQQTREKLIAAMSRAMNSM >SECCE5Rv1G0333560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:559356731:559360267:-1 gene:SECCE5Rv1G0333560 transcript:SECCE5Rv1G0333560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVASAAKQKGAPGRQVDKPRGRRRRRYSVPDLPKDIWHDILSLLPLTDAARAGCVSQTFRSCWRCHPHLTLDTETLGLIGNACGRDELGRIFTNRVDHIMKKHSGGVKAFKLHYCGSFFDSSYLNRWLEIAVTPGIEEVILSMPAGYYDFPCSVLLNGSGNSIRHLNLNRCAFHPTAGLGCLTRLHLHQVHITGDELGHLLSNSLAMEELNLNRCDKIICLKIPSLLHRLNCLTVFRCTALEVIENKAPNVCVVHIDGALEKLPVGDLLQVKELQMLDDESNLVHYARSKLPFIMPNLELLSVCSAEEMFSTPLLAVKFLYLKNLQIFLDDGQMGGFSPAYDYFSLAYFLDACPVLENFVLGVSQTRVKHELISAGDSSMRRIPGHQYCNIKNVNIMGFCSAKSMVELTCHILENAISLECLMLDTVYDNREDTDGCCAKESFGECRPIGKSMIMHAHKGLWAIEKYVAEKVPSTVQLNVKKLCSRCHKIKSKGFRYQYQTTTP >SECCEUnv1G0529370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7466568:7468264:1 gene:SECCEUnv1G0529370 transcript:SECCEUnv1G0529370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 5 [Source:Projected from Arabidopsis thaliana (AT5G20590) UniProtKB/Swiss-Prot;Acc:F4K5K4] MPPAPPLPWRLVLPLAAFVSVPFLLPLTLPLLLLLRPSATSPHGLSSHRLSWLPSIRVSYPSPPPPPPAQATPSPSPPPPRPLTQTASPLPRPTPPPKAAGRETSERCDIYDGTWVRDDDEEPTRPLYAPGTCPYVDEAYACASNGRTDAGYTRWRWAPRRCRLPRFNATDLLWRLRGRRLMLVGDSMNRNQFESMLCILRAALPDKSRMFETHGYRISKGRGYFVFRFPDFDCTVEFVRSHFLVREGVRLNRLGNSNPTLHIDRIDKTAGRWKKADVLVFNTGHWWTHGKTARGTNYYKEGDTLYPQFDSTEAYRRALRTWARWVDKNMDPNKSVVFYRGYSTAHFRGGEWDSGGSCNGETEPAFRGAVVDTYPEKMRIVDEVVARMRFPVRLLNVTRLTNFRKDAHPSLYGMPPEKRRKVSKRKQDCSHWCLPGVPDAWNELIYASLVLEPNPSSWNK >SECCE2Rv1G0106730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:638683593:638684093:-1 gene:SECCE2Rv1G0106730 transcript:SECCE2Rv1G0106730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIQLSLSCDKRRSKALTVASRAAGVTSMGITGDARDQLEVIGDGIDPVCLVCCLRKKLGHAQIIKVEEVKKPEEKKKEEPKPAVPVNPPPCYYPPAYHHQYPPRHMVVCEEEPSNCRTM >SECCE1Rv1G0003410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13799414:13803008:-1 gene:SECCE1Rv1G0003410 transcript:SECCE1Rv1G0003410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKYNDSRWKEVELINGYALLVAYVMSAIRGIGYLVVTWTTVVLLGGFVSTIVKDDFWCLALITLIEILWINASFIQRIRKVDHLFLSLKTVNSLLKRNWQLADTADVDDPEPSIIKRVFVAVRVVVSWVLVLVHTLVSYMCIVLVMCLMVFGLFVTTFLSMVGLTMDHDYYGDTDEGMANLDPAHHVLYVLCVAQGALFLYFIILGRSEKRIVKQVSKAYGFQDKGRAIRGYMNKCRSDPSPAGGRNLITYAVHLIESQSSTSCLSGTMILDRLITPQTYTGGHLNEKKKKTKHQQSEKEIFLQQRRVIKHMIGSATSAHILQKLLQTLDSRHTNDRKMREAAARIVEFFASQIRLEQFPQGIQSISSLINTFEEYRRLQPYQSSSPSRSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSSNEAANISPSSNINNGQDQEQAISSSLGSEPEPNWSTKLFGGYKELVLTGLRILWCLAASEHNCMIISETKHLVYKIMSPVSSDLVHRTHHSTWSTTVVQKALMVMLRLVTTEGETGAELRRQISGDDKAITTMENIVKCEECKGRSMQMKAMQILTQLCVDKTANRCTFTKMLLSIFIKRDSPIRKAAGKTLVGLFLGSKSVANLLPKEENDEFLGDLAAVLLQAGAGDTDECRTNAAEILEHLCINYTENVEYLGTLKNAITWVMPEVVREIRFGSIEGEGTPEYAISDTDVESQHETKKKKKNTSSSPRQNQQHKLHVALLSLCVTACDKLHLDFDAILMGEGRDQDTYEGKYITFHFAMRLVQLNRGLITTDSLTALKLTTRMAIAAMQKLRGHRAGVERDDIESLMESLSSVSKTMMDLESSMVFATGTTTMVPATADTLDSLVKQAQLLHGEIKHQDMEIVPASELAL >SECCE2Rv1G0067540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19208033:19211409:1 gene:SECCE2Rv1G0067540 transcript:SECCE2Rv1G0067540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQARFFNVDPATAADARRKGFDPHTLDQAAESILCYIYANLPSLPVYEGTRLAASASSPDGVDRISRLPPDLLSNIVYRLPSVKDAARTAVLSSRWRRVWLSTPLVLADAHLHPEAWGWPPKPASSPAVTAAVSRVLEAHPGPFRCVHLVCSYMNAHRAQLARWLQLLAAKGVQDLVLVNRPWPRDVPLPPTLFAVTTLTRLYVGMWTLPGTAALRGASFPHLRELGLGCVEMEQGVVDSLVARSPVLEILNIVGCCKGLRLRLVSQSLRCVQIICSRMEDVAVVKAPLLERLILFRSCKLAGGMSTRLRIGDAPKLHAFGYLEPGQVLKVRDTIIMPGIASANTVVTSVKILSLNVCFGVRSDVQMVPTFLRCFPNAERLHIMSKRCTEPSGNYLTLNFWEESDPEENLVSRISVMSFREFTGDPGEVGFLEFFFRSARALETASVSMANPSFTPFSKEEAYAKVISSSRIKASESNMVVLGSTDPAGGKIWSLKDGADFSFHDPFSEVEVVG >SECCE4Rv1G0219070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:28017579:28026835:-1 gene:SECCE4Rv1G0219070 transcript:SECCE4Rv1G0219070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G17970) UniProtKB/Swiss-Prot;Acc:Q9LVH5] MASSTAANLWVLLGLGIAGVLLAAKRLKRPARPDHGAFVSRLELLPPPQPPPPQARHPLTDLCFAIADAFHVSGYITSFGSLEWAKTHDEATQTSLVVSTLVDGGAICVGKTVIDEMAYSIHGENKHFGTPTNPAASDRVPGGCSSGSAVAVAGGMVDFALGIDSIGGVRIPGGYCGVLAFRPSHAVISNSGVIPVAPSLDTIGWFARDPIVLRRVGHLLLKLSYTDIRLPRHFYIADDCFEISKIPARRLTQVVTKSVEKLYGRQVLSHVNLGNYLASKIPSLRNYSNGQKNGDSKFSSLQALSSAMQLLHKHEFRDQHNEWINSAKSAVDASIVGNLSDDGDSTINIVQDARKEVRLALNTLLKDDGILVIPTALGCPPKLNARELSSTSYNAETLCLQSLSSMSGCCQVTVPIGTHDKCPISVSFIARHGGDRFLLDTTQAIYATIQEQVEILAKSNPSSKEAMSEEAAEAAKEKGNSAFKEKQWQKAINLYTEAIKLNGKVATYYSNRAAAFLELANYRQAETDCTSAIDIDPKIVKAYLRRGTAREMLGYYKEAVDDFSHALVLEPMNKTAGVAINRLKKLFP >SECCE5Rv1G0345610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:657259543:657261214:1 gene:SECCE5Rv1G0345610 transcript:SECCE5Rv1G0345610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSLAFSPHRLTPSSAAVRRRTSSAITMRDRGKNRKPIQRGHYLSIESIQAVKSLKRATLSGAPAAGTVATDPKLRRLLKADMVAVFRELAAQGEAHLALKVFEAIRKEHWYKPRLVWYVDLITVLASEGLRSEVGKACSYLKREQLEPDTDGFNLLLKTLLDAELTQLAMDCFRLMKLWDSAPDRITYITLVKGLESLGEMDLSAKMRLEAESDYGALWDFFDEEETIETLAVRS >SECCE4Rv1G0248420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:571736720:571739836:1 gene:SECCE4Rv1G0248420 transcript:SECCE4Rv1G0248420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKAAMGGKAAGIGGEKLKCPPSSAARSRMKLWMVRATTTVLLWTCVMQLTAVGETWGPRVLKGWPSCLTAPEEAAAVRPAVVDKALFLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVELGMFHSMPPISWSDISYYHNQILPLIRKHKVLHLNRTDARLANNGLPMEIQKLRCRVNYASLRFTAEIEDLGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEAEELSRMRYAYPWWKEKIIDSDLKRKDGFCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYMVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVDLADQYNNGSLRWDEFSLLIKAAHAGRMGSASKRTVIPDRPKEEDYFYANPQECLQDPDRLRTS >SECCE2Rv1G0068630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:24009964:24012405:-1 gene:SECCE2Rv1G0068630 transcript:SECCE2Rv1G0068630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTSAAAAAAGGLVILLLSHLAVAVVVAGEPLLLACGAPAAITLPDGRVFLPDSNVSISPPHASRTTTTTASSPLYSTARVFSAEATYSLPVPRSPHRHLLLRLHFPQPAHFAVAAGDLQLVSSPSPASRATSVRHRYREYLLPHRGGGLLRLRVSPRPGSLALLSAVELYPAPDALLPLPLNPPEPFRLAQTFYRVNAGGETAASSLNDSFWRVWEGDAAYLLNPAAARSVSVDPASVRYPAGAAPPYAAPAAVYADAQEMADAGVGNQRFNLSWAFPVDPGFRYSVRLHLCDIVGRNSTDLVFDVYINGDAVLSSFDLSGKLGLFNAYFVDFIADAPPGADKILLQLGPPRPSYSNPNAILNGVEIMKLGDREAVGRVDALTAAPPGSMAARKKEIAVVTVGGAMLVAVCIVGTLLLLRHRRRRKKRRSLSRLPSSSIGLDTHTGISASKVSAAARSQSRPSSGPSLSIGQIRRATNDFDEGLVVGVGGFGKVYRGVLENGTAVAVKRGNPRSQQGLLEFRTEIEMLSRLRHRHLVSLIGYCHEDNEMALVYEFMAGGPLRNHLYGAAALPPLSWKQRLEACVGAAKGLHYLHTGVSETIIHRDVKTTNILLDGALSAKVSDFGLSMSAPAVDQAAAAHVSNAVKGSFGYLDPDYLRRQTLTDKSDVYSFGVVLLEVLCARPAVDPALPREQASLVDWAMGRRRVGELESAMDPRLAGGVGAASLRKFGETAEKCLAEYGADRPAMGDVLWSLECALRLQEAFLSSGGEGSSSIAYGRVPRVGDDGEDGADTGVATRVFSQILDPRGR >SECCE6Rv1G0378900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11329570:11333848:1 gene:SECCE6Rv1G0378900 transcript:SECCE6Rv1G0378900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGERGRSWYLSKEEIEQGSPSRKDGMPAAREAQLRSTYSSFVRDVCRKLGLPQTTIATGTILCHRFYLHQSHLKNEWQTVATVCIFLASKIEDTPRQLERVVTVAHEIMYKRQPDAARRIKQKEVLEKRKELILLGEALLLSTIRFDFNIQHPYEPLKLALKNLGISQKEVKQSAINLINDTLRTTLVVQFKPHYIAAGSLHLAAKFHDVTLPSEKGKVWWHQFDVAPKQLQAAIQQMKQLCNEKRNPHPAGPAIGPIPAPAPVEKQQAVRFPKPALAANQQTLSLPQPAPVAKQQTLSLLEPAPVEEQQAVSFPKPAPVAKQQTVSFQKPAPVEEHETVSFQKPAPLEEQKTVSFQKPAPVEEQKTAAPVEEQQTVSFLKPAPVEKQQTVSSPEPALVEKQQTVSSPEPAPVEKQHTVNSPKPAPVEKQQTVNSPKPAPVEKQQTVNSPKPAPVEKQRIISFPVPVLKYTYSRRGLRRAAPALVEEQLIISTTGPVSHLYSSRGGLNGTTTAPTATPTPTLVKKQQKISTPDGPVPHAFSPRGVLNGPTSAPAITPNPLKKQQTISTPDSVLRHAQPSVGGVKRTRSPAQVKIPVKKQKIISASDSVVRHARPSVVVVGPTGSPARARTPVKKSQIISAQNSVPRHTRPSVSGERRPSTAPAPAPASALVRKQPTISTPDYVLRHTDPSRNEDSKPLRMRVDHSLSRTAKDGRLEKPSFQAGSGPKDVNRTRVPNLVGQKRRIQEAAGEPPAPADRCAGDASRRPQLPSLIVAPPSWKKQKIDV >SECCE2Rv1G0127400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:852646729:852648200:-1 gene:SECCE2Rv1G0127400 transcript:SECCE2Rv1G0127400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPISSGRLEVVDEMDIGKLAQELTMEKEEITAEEEDFACYRRAWESRWGPKGCGFFEDTRQLSSMHFTHLTPKPSLDDAIVAETLQILSIKLTEIKGGFELPLSVYGVVVARDSVDNNRNLLFAHSRITPQRIRQHNPYLRLIGLSRAILCRDPVHFEIQLKVERGAVSRDRALISATHDFYARHPGVHTICFENRFCKLELCVERLVETIQATICSVRVVKQGTRQHVERRCRVSCSTTSYSRKIIDGKPTYVANDPSGEVVLLDRFKQAMPSGSDGYLDLSRRVVSVEHEGSLKVVVQTCSSSGDIIAEGHVSLASKYFGFSQKKFNVDDAELEITVAWSLLVVDKDDIVRPVFI >SECCE3Rv1G0173360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:332567455:332569806:1 gene:SECCE3Rv1G0173360 transcript:SECCE3Rv1G0173360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSTKKTKKSGDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKAKLVILANNCPPLRKSEIEYYAMLAKVTVHHYHGNNVDLGTACGKYFRVCCLSIIDPGDSDIINATPAGQ >SECCE4Rv1G0285770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:846316319:846316630:-1 gene:SECCE4Rv1G0285770 transcript:SECCE4Rv1G0285770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE1Rv1G0035720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:500612146:500616065:1 gene:SECCE1Rv1G0035720 transcript:SECCE1Rv1G0035720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTSKAASPAPAAAGGEAKARNGKPRGRSLMRLPSYSCFRGFAPECDPSSSLPAPPSPPEVESSKGGETPSLTHIGISDEDASSAPKSNPSEVRTTPSSDTDRDQDDDVLQNVTASRTAVDNDQSPNGPNPSDRPRPCFGVNFGLSRAVSLGSSVACSIMSTGLSSSANPSIANVDHPADANIPQQGGASTSGIYSSLDMLRDSVTTQARAAHQARRNLLESEDANLRHSYRRMGPQEPSEGSVRFSRTLSVGRLRDRVLRRTPFSDSLFTSSLYDRPVWPAGNASARQDSSVMQRTNSDRGSEPQSEPSTNSTYNSGSATLREASNRDLLERRSAFLERRRRIRSQVRALQRLGSRFENLSGHERSCILSGQHRTGNCNCRASSRPGNPDEESGTRASISRIVMLAEALFEVLDEIHQQSAALSSRPSLPSIGSVPAPKEIVESLPVKVYRKPLKHQTDEAAQCYICLVEYEEGDCVRILPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCRSDSSSIGKFS >SECCE5Rv1G0303830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:51400995:51401456:-1 gene:SECCE5Rv1G0303830 transcript:SECCE5Rv1G0303830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTVLICASVAALVVLSVLTFLCSSRRRQGHGSSSSSSHPSVDIELGCGCATAGIDEAVLAAYPTSVYSSPTRVDDDVQPDAAPSTDDSGQPRDDTTCAVCLAEYADGDELRRLPGCRHAFHRRCVDEWLRRRPSCPLCRTWPQSTAAKSS >SECCEUnv1G0568260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:424265497:424267615:1 gene:SECCEUnv1G0568260 transcript:SECCEUnv1G0568260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSCSGSRIILTTRICGVAEKVGGVYNMKALSDEYSKTLFFSRIFGGDQGIILDNQYDELVNKILWRCGGVPLSIITIASLLAGKRREDWSEVYDSIGFRQENNEVVENTRKIVSFSYYDLPFCLKTCLLHLSTSPEDTTIGKETLIWMWIAEGFIPDKPGTRLFELGESYLNELVNRNMIQLVETSRIGRASFCSVHDMVHDLICTLSSQLNFITIYDNQKPITFLPSNSVRRLALSGGSMGYNPVMEMGQVRSFNAVYWQNSGMPSLLSFKVLRVLVIHKCDFSMGVCDLDHLSKLVHLRYLELVHTPAAELPIDIGHDLKFLQTLIIVNSAIKELPPSIGELSNLMCLRTSEGTRLMSEIGKLTSLEELVLRSAEKSPNFFTRLGNLREMRILNIRFDEIDERALEDMVESLASLRRVQELTIRFESEERIQVKDIWEEWTPPSELCRLSLERIVLPRRPLWLVSSCVPYLSSLWLEVEVVAEQDLQILGALLSLRCLYLRICEMKGFSYTVGSGEFLKLIDLDTNMEISTSGEGVLPMLEELISCPTVGRHDYVALMLENMPSLQHVSYELDCQHCTSKEAKEAESALRNTTKIHPNHPTVKIERINQVDDDDDDDDDDDGAGQGPEEVSVPDKELLQPALENSGADDKTTQMTSNEEEAAANQP >SECCE1Rv1G0036800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:512647049:512649147:-1 gene:SECCE1Rv1G0036800 transcript:SECCE1Rv1G0036800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSNAREAAAAAAAAPPPPPPPPAAPPAPLHVMDADEDDESVKQLNECAALYLSLQDCLAESDRNWKACQAHVQALKACEASRNKNQKT >SECCE7Rv1G0499710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:656505073:656506434:1 gene:SECCE7Rv1G0499710 transcript:SECCE7Rv1G0499710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKVETPQARAKAHAKRADGLKRQALELATLCAVPVGLVCTGAVAGAPPLRWESEEGVLERYRRAVPPEARAGHTHRAYLETELAKRRAKLARERHGCPAALPDWDGALNDMTLDEARDLLEAIDAALRATGNRMEALGLPTDRGHGHGALDEQVASDSSDDAVMPQHLGHDGGVPWTGGDPVDMADAEGFQQLQMAPYDGGNSDGLLEQFPREHGFQMQPGCGGGNYSGSSDDGMLALGFRLANADYNNSGGGDGMLALGLATAGYNYSGGGDGMLAPGRANAGYNYSGGGDGMLAPGRANADYNYSGAGDRMLELGLTNAGYDYSGGGDQTRAPGIGNAGYNWPDLTMWHTDEVCDAAMPHGYYPGFADGTLAPEHHSADVATGGDYVNALPSGYGYPMAMGMDVGDNFTSVESNYTAAHWQAEAFQRSDASTSTGELLSAASSPRYLY >SECCE6Rv1G0444620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:829931465:829933066:1 gene:SECCE6Rv1G0444620 transcript:SECCE6Rv1G0444620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSSRRLHFSRQQLGQPGGGGGGDGDGGPDLISALPDDLLLLVLARLPCAGAAARTGVLSRRWRGLWTHLGRIVFRGVAFDSIEQALGRVSPVVSLLEIHVPPPANRRWSTHVVDSARVNSLLRAAARLEPEELVFGLSSTFTGDSPVVDLPSFHRATSIQLCLFSAIRVQAGVEFAALETLYLACRIDALDSLLSSCPRLRTLHLSSTGLNGDYLRVNSASLIELVMDSRWIRSVNIVAPLLKQLTLTFFASKTSIVSVLAPMVEKVSWKCCYMHGYITFGLWLLEQVTLQTAERQGQLPSLHIRGHCDLSIYRDEADNFKQEIEKHLVAAFSVLELHLTTEGHVFGSLVFELFGIDRIRTDVRRLKVVLSRKIEEGCLPNYCPCEATDWRSQTTSLTALEEVEINGFEGEDHDFDFLNLIVRCAPMLNRMIVKLSHEASANNDVCTKIDNIFGSYSSVECCVYHSSGEYMFGLYD >SECCE1Rv1G0054200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673535533:673539492:-1 gene:SECCE1Rv1G0054200 transcript:SECCE1Rv1G0054200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRPGDQLLQMETACGALMRELQVIWNEIGEEGAARDAMLLELQQECLQAYRRKVDQANRCRAQLRQDIADAQAELAAVCSAMAETPVLVREKGCGLREELSAIVPYLEEMKKRKVERWNKILDVIGKIKKISSEIRPADFVPFKAPVDQSDLSCRRLEELRMELQSLEKEKSERLNQVMDYLNTLHSLCKVIAVDFKQTISDVHPSLDEDGVPMNISNTTIERLALAIQRLRETKIERMQKLQDLSSTMLELWNLMDTPIEEQQSFQNITCNIAASEPEITEANALSIDVMNFVEAEVLRLEQLKVSKMKDLVLKKQTELEEHRRRAHLVGDEHCTTQFNMEAIEAGAIDASLLLEQIEAYIATVKEDAFSRKDILERVEKWLNACEEEAWLEDYSKDDNRYNAGRGAHIMLKRAEKARVLVNKIPGMVDVLTNKVIAWEKERGTEFTYDGVSLLSMLDDYRIVREEKEQEKKRQRDQKKLEDQFKAEQEMLYGSKPSPSRSNSAKKLTGNSSAGTNRRLSVGGGPVRTPKSVTPQSRSVRSAKKEDIGTLSPGSRGKCTASPPVKKLSFKASTLGETETPRKPFTQIIPGNSIQSTPVQSGSNGTEGENRTPKILAAATPKTPMTVTSPMQMTTTPTLTAARAAPVCVSNDKPELCLLEGAEYSFEERRLAYLAAHAA >SECCE6Rv1G0447850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:852067956:852068687:-1 gene:SECCE6Rv1G0447850 transcript:SECCE6Rv1G0447850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKVTLGYIPDDSTRRSRYKKRLRSLMKKADELSTLCDVDTCVVVYGADEAAPKVFPSHDKAVDILNEFKGMPELGRCKKMMDQEAFLTQRIVKLREQVDKARRECQDRETRYLLQQIMDGNLPGHVGLSMEQLVGVGYKVEELLKTMGERMERTHSQAPPPAPCVTTGTGHTDMGSPVLYQAPAQQQEGTLVSSGGDLGTLVCGGYAGGHDDGAGFTGGDTVMQMQSFNLEFGSSHFPPM >SECCE4Rv1G0236460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:350397622:350398089:1 gene:SECCE4Rv1G0236460 transcript:SECCE4Rv1G0236460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLQRNKYFHVVVKGVEKDGWKFVLLARFSPLPSYIINYALSATDVGFFRDFLLPTMVGCLPMILQNVSIVSLADAAVASTTGSKKSHVYSYLFPILGIVSSILISLRIKQYSSALAIPDELTNPPTNGNINEDAKLTLAQSEDTSSEKTRKIR >SECCE7Rv1G0468650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:102435032:102437027:-1 gene:SECCE7Rv1G0468650 transcript:SECCE7Rv1G0468650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAARRRLWRGMRTAAAATVSGEELYASLLSRLVAEPECRVKATMEEATSSVPHRDGAFWEPLAAALLRASYPAKAHLVLEWKLEKLLMEGVNSHNCEPYSTLIRFCGKTRNVALAMRVFECAEAQRIQLNTGIFNALINTFLSVGDLLAAVTLYETMEGVEDSKPDRATYDAFISAFSRLRSGDAMMSWYLAAKNAGFVPGIQAFESLIVGFVLLNKLDDAELVFEEMVSFEMKPTCTILEAKLEVLSKTEEVNRVNSFIKLVSDGNWELNKALVDRLTRLCLDGGEVDVMEQLLALIETKAHFSSVTQLHCGIIRFYASADLLSDMEHAIDRMLDDGMMFLCPEDVEAVICSYFRHKAFDRLEIFLNRIRSLYMLTRSSYDILVAGFRRFDLHQRLEATIKDMREAGFE >SECCE1Rv1G0048200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:633094822:633095991:1 gene:SECCE1Rv1G0048200 transcript:SECCE1Rv1G0048200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGNHSCCRDLPADALGAIMERLRLQPNARCPLRLVCRHWRDVVDQLSAMDLRSSRKILAFGPEGSAYVGDVVLPPEGGGEPSWSTDHPMPAIYGSMSMVGTCNGLVCLCDDREPGGAVMLANPSTGQSLAVPPLPLSDAAVRLLSNTTCTMTWHQTYILARHPTTGRYKVVHVPRHFDRFWEPGTVHVFTLGEASWRDVQAGPDARCVHGAYRLADVDGTVYWVAETTEDAAKIMSFDLEDESVTPTEPLPVPAQPGNCRLTKVHGKLGVAISGDDSLTVWVLDDERWCPRYVVEAHRLRQQQPWLRRDLVAPHFAHGDYILAHRDRKMYGHKVSGAARLPDGVVQIRDNKHLDEEILSLPCLIDRMFAYIETKEPLNVYKAALVAE >SECCE2Rv1G0135930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:909331237:909334254:1 gene:SECCE2Rv1G0135930 transcript:SECCE2Rv1G0135930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDSAVAYASPRSPAPPETPSTQKRRQRGLVSRVWKGIFGRREDVEKLLQALSKEEDALRSRVHRRARASRASAHNVLALASALEIAAVGYAIMTTRSPDIPWQMRAARVLPMFLVPALAALIYSAITSITKILDNRDQHTLEKLRAERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAASVLASKLGADSGLRVFLGDESNMDGSKSNDQHGQTSGLRQRKPAHSGHGSGPTHASEPSDGSSIYDGNESPTANRTVEHFRGHAGNDGGWLARMAALLVGEDPTQCFALICGNCHMHNGLARKEDFAFVTYYCPNCNALNGLRQHEDGESVQAGASLADSGVTSPVGRSLLTVEELPGESPAASNNLTVTEKAQAESSAATNSSPLTVEVEPAEDAGGKAGSGQLAG >SECCE3Rv1G0198790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:835672328:835674442:1 gene:SECCE3Rv1G0198790 transcript:SECCE3Rv1G0198790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPAQPGGETPPIHRLLELIKSEPDPASALAHLELLVSTWPAYTPPQPLLFHLLRRLATSAPARLPRLLGLLPSLRHRPRFSEAAALVVLSAFSRALMPDAALAAFRRLPAFLGCNPGVRSHNALLDALVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIILRSLCGRGDLDRALMLFDSLRRRGVAPDRITYSTLMSGLVKHSRLDMALYLLDEMPSYGVQPDAVCYNAVLAGCFRNGEFEKAMRVWEQLVRDPGASPNLSTYNAMLDGLCKLGRFKEAGEVWERMVANNHQPDMVTYGILIHGLCRSRDVDGAARVYSEMIKNGLVPDVGIYNSLIKGFCQAGRVGEAWKFWDATSVSGIRNVSTYNIMLKGLFDGGMVDEARELWELLEKDTSCSPDMVSFGTMIHGLCEKGFANKALQILVEARTSGKKLDAFSYSSVISGLSKDGRLDDAVQLYEKISMDGCKLNSHIYNALIKGFCQASKFSDAVRIYDEMANNGCSPTVITYNTLIDGLCKAEKYQDASSFTKEMLEKGCKLDVNTYASLIRGLCRDKKIDAALALWNQILDKGLRVDVMMHNILIHGLCSAGKVDEASRLLSEMKEKNNCSPNLVTYNTLMDGFYEMGCFDKAASLWTAILENGLVPDIISYNTRIKGLCSCHRTPEGVQLLDEVLAQGIVPTAITWNILVRAVIKYGPIQI >SECCE7Rv1G0482480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:306175046:306176020:1 gene:SECCE7Rv1G0482480 transcript:SECCE7Rv1G0482480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPGGATSRYYCHMCSVIVRPELGVEEVKCPRCRSGFVEEMADGRRSSNAVGDRGTTATGAGPDDAGARSELAVPPWPPILMDLLGVSYGLDGGDLAALARRQYRHLAFLQLLNALQEGDADADGNAPDPGLERLVLVSPADAHAMLMTEGGASNGAGAGRGPGLTLGELILGPGLDLLLEYLAEADPNRQGTLPAKMEAVAALPTVKISEAATCPVCLDEFAAGGEAKEMPCKHRFHDACLLPWLEAHSSCPVCRYQLPTDETTEPAGNGAEETADESSGNARRDVEGDSDGGSSGRRRWLARPFGRLFSRRSNGSSSSSR >SECCE7Rv1G0454770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:4218879:4223877:-1 gene:SECCE7Rv1G0454770 transcript:SECCE7Rv1G0454770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVKGRYEGDKATAAATVALAAAGDLRLRASATDAAFAAGPSLDGLTLTLEKPGAFLLDLKPHNQDVRFQFMNSATVLDKRVSLTYTHSTSLAPAAPKPAIPAAASGAAPAPAPPPAPRGPPPGRTALDLSVAFDPANKVSVSHALGGGGCRVKYTYAHGAGRLTTIEPVYDTAKNAWEFAVARKFDAGDTVRGTYQASTKQLGLEWTRSSSIGGSFKVATTFDLSDQSKAPKLVAESTWNYEI >SECCE1Rv1G0000220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:947205:948028:-1 gene:SECCE1Rv1G0000220 transcript:SECCE1Rv1G0000220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDAHPPAGADRHPLRRLNPHDCFPFMDELLSAGQQAKLDALPSPRLMNTHMHHSLLPAPVADNPGCKIVYVCREPKDMVVSTWHFLRGVRRFTFAELFEWACEGKTPNGPVWDHMLGYWRASRAAPERVLFLRYEEMLADPAGHVRELARFLGRPFSAADEAAGLPATVVELCSFEALRGVSARSAGSCSGSRVQFSHQSYFRKGAVGDWANHMTPDMARRFDAIVEDKLGGSGLAFN >SECCE4Rv1G0214800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:1837949:1840392:-1 gene:SECCE4Rv1G0214800 transcript:SECCE4Rv1G0214800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >SECCE2Rv1G0086670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:214572522:214575833:-1 gene:SECCE2Rv1G0086670 transcript:SECCE2Rv1G0086670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARALRPSRRSRCLAVLPAVMAAALLLLACCAEGAAAGSGRRGVTRRRQLLRQRQVQYHLKRLNKAPLASIESPDGDIIDCVPISSQPAFDHPLLKNHTTIQTRPAYHPEGLYDESKVTSQKQHTQTITQMWHQNGMCQENTIPIRRTKKEDVFRASSIKRYGKKTRLSTPNPSSVDPAMLNENGHQHAIAYVEGDKYYGAKATINVWQPSIQQGNEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQTNNQIAMGASIFPISNYGGSQYDINILVWKDPKQGNWWLQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNTEPDGSHTSTQMGSGHFPEEGFGKSSYFKNIQVVDSSNNLKAPRGIGSFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNPNCP >SECCE5Rv1G0355750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:733979177:733996011:1 gene:SECCE5Rv1G0355750 transcript:SECCE5Rv1G0355750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGERVVLAVNGARHEAAGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVLISKYDPATDEVTEFSASSCLTLVGSLNHCSVTTSEGIGNTRDGYHPVQQRLAGFHASQCGFCTPGMCMSIFSALVKADKPGAAGEPAPPPGFSKLTSCEAEHAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNSFWKKGTDRVDIAKLPEYSSGAVCTFPEYLKSEIKASVDNQTNNVSAAIAGYDGWYHPKSIQELHSLFDSNWFDENSVKIVASNTGAGVYRDQDLCEKYIDIKGIPELSVIDRSNKGVEIGAAVSISKAIEVFSDGNPVFRKIAGHLSKVASPFVRNTATIGGNVVMAQRLQFPSDIATVLLAAGSTITIQTASKMLCLTLEEFLKQPPCDAKTILLSIFVPDWGSDNVIFETSRAAPRPFGNAVSYVNSAFLARTSGELIEDICLVFGAYGVEHATRARKVEEFLKGKLVSASVILEAVQLLKDVILPSEGTTHPEYRVSLAVSFLFSFLSSLANVMPPNGSCANGVMNGNCEFSPENQSKVASDDLPIHSRQELVFNDEYKPVGKPIMKTGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPNAHIRSINFRPCLASRKVITVITSKDIPAGGKNIGSRFPMLGEEALFGDPVSEFAGQNIGVVIAETQKYAYMAAKQAVIEYSTDNLEPPILTIEDAIQHNSYFHTPPFLTPRPVGDFEQGMFEADHKILSGEVKLESQYYFYMETQTALAIPEEDNCITVYASTQIPEVTQNVIADCLGIPYHNVRVITRRVGGGFGGKAMKGCHVACACALAAVKLQRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSDGTFTALHIDLGINAGISPDVSPKIPVDVIGTLKKYNWGALAFDIKVCKTNVSSKSAMRGPGEVQGSFIAEAIVEHVASVLAADTNAVRRKNLHSVESLRVFYGDAVGDALTYSLVDIFDKLSASWEYQSRAAVVERFNGTIRWKKRGISCVPITYEVKLRPTPGKVSILNDGSIAVEVGGVEIGQGLYTKVKQMAAFGLAGLGSDADGLLDKVRVIQADSLSMIQGGFTAGSTTSETSCEAVRQSCAVLVERLKPIKEGLEAKTGMVAPWSALITQATMASVNLSAQAYWTPDPAFVKYLNYGAAVSEVEIDVLTGATTILRSDLVYDSGQSLNPAVDLGQVEGAFVQGVGFFTNEEYMTNANGLVVNDGTWTYKIPTVDTIPKQFNVELISSSHDKKRVLSSKASGEPPLLLAASVHCAMREAIRAARREFSVNSPLTFQMDVPATMADVKELCGLDVVERHLQRLSSTVTCDTSKV >SECCE5Rv1G0349030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:680608940:680613941:-1 gene:SECCE5Rv1G0349030 transcript:SECCE5Rv1G0349030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKHGWQLPAHTLQIVAITVFFLLVVAFYAFFAPFLGTQVLEYVAIGIYTPMALAVFILYIRCTSINPADPGIMARFEDGFVDVPANSDGLEGINLPQKANSAIGTHSPTSTCRSSLDGHSNQRGTSIGEANVNVSSQLPKKRSSCFLFGGLVCALFVKEDCRKPDDSEQQANGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFIVLMAISLLWLAIEFGVGIAVLVLCFVDKNSPRILQEKLGNGLTRAPFAVIVGVFTLLSLVACVPLGELFFFHMILIRKGISTYDYVVAMRAMSEGIPEDEEGANIIYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDQDEVIPHLDPGMVPSTVDPDAAGYAERANKAKKPVKISARSLAKLDRNEVMKAAAKARASSSVLRPIDARHGHEADISSSGNASVRSSMSVDYSATKESRSEMRLSPLQNSYPQSLASQDDYETGTQTASSLSSPVHIHKLAPHAQFRPAPPPERPAPGITRPPVPTTHISNNPMFQSATSYVRENRRASVVWDQEAGRYVSVPMQTTRTGPVELPARNPSFLANPSGEPGNHGRNLAPANTTSSAIPSGQPSERLTYTGQSIFFGGPILSTSGINAERNESGTRARAEGSRDPNSHQRDIRGEKARTGSFPLFEPRNF >SECCE6Rv1G0400300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:449572313:449573352:1 gene:SECCE6Rv1G0400300 transcript:SECCE6Rv1G0400300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVDAVVAIDGSGNYRTISAAVAAAPRKSIVRHVIRIKAGVYNEYVSIGRDVWNVTLVGDGMDRTMITGNRSDGGGVKTVDSGTVSVDGRGFVARDLSIENTSGAINHQAVALRSSSDNCAVYRCAITGYQDSLYAKEGKQFYRECRISGTVDFLFGNAAAIFQKCSIIARKPLKGQSNVITAQGRDRADEPTGFAFLFCELIADDELLHAEFAVDTFLGRPWKGHSRVVFIKCEIQGMVNRKGWLQWEGHTDVDNMYFGEYSNSGPGADVTGRVHWPGFHLMQDAEAASFTVERFIQGDIWLPATGVEFVPGLGQ >SECCE3Rv1G0155020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:63156797:63158365:-1 gene:SECCE3Rv1G0155020 transcript:SECCE3Rv1G0155020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMVERWAGFGSVMATIIFLWSVVQNYVPPTFRLYLTAWAAKVAACFNPYLQITISEYGAERFQRSDFFLAVEAYLSDACARRARKLKAELVKDSKNLRVTVDDHEEVTDDFSGTTIWWYASKRQSRAHVISFYPGEDERRFYKVVFHRRHRDLVVNSYLPFVLGEGRAVTVKNRQRRLFTNNASRNWNPYRSKSVWSHVPFEHPATFDTLAMHPDDKEAIVDDLMAFQESKDYYAKVGKAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLFIETTGKSIIVIEDIDCSIDLTGKRRKDKKASTDKEYDADDKPKLPMDPEKDDATKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCRFEGFKVLAKNYLDVIEHELFGEILRLLKETDMSPADVAENLMPMSKKKKRDPNVCLTGLIEALKQAKEDAAAAKAKEEEEAKEAEAKKSKEKEEAEVKKVNEENKGKDKAQEEANGDIKEGDK >SECCE1Rv1G0062350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719432128:719432787:1 gene:SECCE1Rv1G0062350 transcript:SECCE1Rv1G0062350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDSFNTKLGDFGLSRLIDHGMSLQTMTAMAGTPGYLDPECVITGKASTESDMYSFGVTLLEIVCGRRPMAPPIAGARDGQVFRLLEWVWDLYGRGAALDAADERLGGAFDRWEMERVVAVGLWSAHPNPKMRPAIRQAAEALQSRKFRMPVLPPKMPVAVYLEPFGDSTMEYGDSTTTVGSGVTTQQYSSTSCTTETQSSNTSMPVAVSEELNPNIE >SECCE1Rv1G0036230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:507463235:507463546:1 gene:SECCE1Rv1G0036230 transcript:SECCE1Rv1G0036230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSGRYVAATGALMACGHCGGLRRVRMEGEFVSCDSCGKVLQQRGRKQRAVEVEARRRRRWLGSRKRRDGRAAAGGGGMGVGREASDAESDSSCVCSVESSS >SECCE4Rv1G0263230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:715294666:715295955:1 gene:SECCE4Rv1G0263230 transcript:SECCE4Rv1G0263230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIKPTAALASNICDQHGSADGDAANGTIGGLPFHLTERILGCISPLESVRFATVCRSWAATISERLARPTPHLFALEVLDEDEYFLEFLPLSDMEHRCGAVFSVPVDDEDSPASAIPARLPAMVRQAKYGNMVLSGALPRGGLSFSDDNRVVLVNPVTGAFDSIEMYPPRPRHRLVRQPAVRAAAGAADAFFVSEYFVRIVSLRWREQEEWSEQNLLLPKEFTRSDAIDLVAYSGGIFYAMEFSGFIHTVDTRAPPPWRLRRLRAPSILEQYSPICRHRYLRNSHLLESEGEIMFVGPVYKLDLKRSQWVKVDKLADDRALFVSEQSSFAVRASESPGCRGNCIYFVSELDERSDSHITWGVYSMEEHKVLFQRPVGGSPGKYKAARWFLPRVGESPARANRASSGKKRKVQLL >SECCE3Rv1G0161310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:115018465:115019553:-1 gene:SECCE3Rv1G0161310 transcript:SECCE3Rv1G0161310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDRAAVKRGPWSPEEDDALRDYMQRHGNTGSWITLPMKAGLKRCGKSCRLRWLNYLRPDIRHGGFTDEEDTIIYSLYSQLGSKWSLIASQLERRTDNDVKNHWNTKLKKRLAAAAAAFSTRRSPSFMPLPAPAPVHAHPSPLLPLPVPTVKAETYTYDDFLAPTAGLHDPFAVEGSSSASAALQDPFAAEGSSSASAALQDPFAAEGSSSASAALQDTLTAEGSTSASAASSGSNWSAVDNAGGFLVDFCAAGTDLAAADQFLSGFYFPLDPTLSLV >SECCE2Rv1G0117390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:772239170:772242950:1 gene:SECCE2Rv1G0117390 transcript:SECCE2Rv1G0117390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNPGLLLGLLLLRFNWCLAVDGGGAEEGGGVFPVVVSTWPFREAVRAAWDVVNTGGAGGSAVDAVVAGCSACEELRCDGTVGPGGSPDENGETTLDALIMNGKTMEIGAVAAMRYVKDAIMAAKLVMEHTGHTLLVGEKATSFAISMGLAGPTNLSSPESIEKWSNWRQNNCQPNFWKNVAPAGKCGPYHPLNIPKDTVKSAVWENQGITCQEWLQNDNLLEPTNSHFNSVNRHNHDTISMAVIDKMGHVAVGTSTNGATFKIPGRVGDGPIPGSSAYGDDEVGACGATGDGDIMMRFLPCYQVVESMRLGMEPRDAAVDAISRIARKYPDFVGAVFAINKKGVHAGACHGWTFQYSVRNSSMQDVEVITVTP >SECCE2Rv1G0064550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4379062:4380622:1 gene:SECCE2Rv1G0064550 transcript:SECCE2Rv1G0064550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMATNENLPPTVIRQLAKELKNLDDSPPEGIKVIVNDDDFATIFADIEGPAGTPYENGIFRMKLILSRDFPQSPPKGFFTTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYEEYARHARLYTSIHALRPKNKSKSGAISESTAALNKGQPHTVLAPISTSTGTKAFGPNSQDRNAAPSAVSGGASSAAPRKDGPLAVKVPVDKKKMDARKKSLKRL >SECCE1Rv1G0046490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:617677100:617677651:1 gene:SECCE1Rv1G0046490 transcript:SECCE1Rv1G0046490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFICPRCRAGVDRRVSHTTRNQNRPFYVCSGNGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLNKKVRKLEDQAQIAIPICNYFWAVVGMVIALVVMLKMYGKA >SECCE2Rv1G0065730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:9910772:9914015:-1 gene:SECCE2Rv1G0065730 transcript:SECCE2Rv1G0065730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVVRAEMLLAPTMAFKKVQTADKYPKGQSRGRQWKHLRHLLQAADATSLPPDRPNYLNIQSPPSVYPPKRYCDVTGFEAPYVDPRTKLRYADPEVFRQIRMLPEEYVQRYLAVRNAAVVLR >SECCE4Rv1G0249990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:588550840:588551262:-1 gene:SECCE4Rv1G0249990 transcript:SECCE4Rv1G0249990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSAQSRRFAAACGVLSRCIKAAETRPVALPLMPGAEVPALQDEHAAGPAPASAQMTIFYGGQVLVLDEVPADRAAELLRVAAAAGSARGDGDLPMARKASLQRFMEKRMGRVAARAVPYSRPDGNASSCNRLTLTL >SECCE2Rv1G0136950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:915677452:915682902:1 gene:SECCE2Rv1G0136950 transcript:SECCE2Rv1G0136950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G34130) UniProtKB/TrEMBL;Acc:W8PVA0] MAAAATMLDSLPAPLLRALRLKTKQQELLLRVSALALIYVLAFAVRLFSVLRYESMIHEFDPYFNYRTTLYLTEHGYAEFWNWFDHESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGIVAAALIAVCPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLSWSLAAAFGYFYMVSAWGGYVFIINLLPLYVLVLLVTGRYSQRLYVAYNCTYILGMLLGMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYMLNDVKLFKSFLRITLTCVISVGTLALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAVSATIKNLTTLIRSKSKSPQTTGKTTGSKAAAKGAVDQPLPFQHNVAVALLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLSARGHNGGRVIFDDYREAYYWLRQNTPTDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGASPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRS >SECCE7Rv1G0463640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:55649363:55650238:1 gene:SECCE7Rv1G0463640 transcript:SECCE7Rv1G0463640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASYMMETRIC LEAVES2 (AS2)/LATERAL ORGAN BOUNDARIES (LOB) domain transcription factor, Crown root formatio [Source: Projected from Oryza sativa (Os03g0149100)] MALGRPLHSNSNHPQQQQPAITTTAPERDSTIRSPSDPAIVTGRLMSMTGLGSPCGACKFLRRKCARGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPISDRAEAAVTVSYEAQARLRDPVYGCVAHIFALQQQVMTLQAQLASLKAHAPPAPQGMQHQDDVKGYVGGGAADQYGQGHGAYQWNNGNGVGVAAAQQQCAYGGNGGAAAGHDSISALLAGSAASDYMMYHALEQSASDDDGHAAAAAFEAADQSSFGTEESGWRSSSGYQDCEDLQSVAYAYLNRS >SECCE4Rv1G0296360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:902770422:902779509:-1 gene:SECCE4Rv1G0296360 transcript:SECCE4Rv1G0296360.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAAASTPSASSSKRARTGPPAMDPSPSSRRRARAAAAAAAAEKGKDPDPSSTSNPTPPHHHHHEEDDDEGDDDSAAAPFPPSFTSASTALQGLLRRLGAGLDDLLPGSAAAAAASSTTSAQLKRILAGLQAEGDESRQLGSLMQLCEMLSIGTEDSLAAFPVDAFVPLLVGLLREEDGPGASPEVMLLAARALANLVDVLPSACSSVVHYGAIPCFCARLLTIEYMDLAEQSLQALRKISLEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICRKLPSDASEFVMEAVPLLTNLLHHHDSKVLEHASVCLTRIAEAFAHHPEKLDELCNHGLVAQAANLVSISNSPGQTSLSTSTYTGLIRLLSTCASGSLLAAKTLLLLGISGTIKEILSGSGLVAGTSVAPALSRPADQMFEIVSLADDLLPHLPVGIISLPTNCRVFIKGSSTRRPAPTKQDGAGSTENERSGHESLLQEHPELLQQFGMDLLPVMTQVYGSSVNAPIRHKCLSIIGKLMCYSSAEMIQTLLSTTNISSFLAGILAWKDPQVLIPALQIAEIMMEKLPETFSKLFVREGVVHAVEALICPESSNMVPPQVPPQDKDGDSVMSSRPRRQRRRGGAAPTENSLLDVSNASNSGIASASPCSTEVPVTSLRFEVSDRAKSFKDKYFPSDHGSSDAGATDDLLKLRALCAKLNTATENVVTKAKGKSKALSPSHFDISHDVEEQLDLIVNEMLAELSKANGVSTFEFIRSGVITSFLDYLSCGTFGKEKVSEADLPKLRQQALRRYKSFISVALSTDHEKSETPMTLLVQKLQSALCSLERFPVVLSQSNRIGTGGSRLTSGLGALAQPFKLRLCRAQGEKSLRDYSSNIVLIDPFASLAAVEDFLWPRVQRGEAASKLPAASANNSESGTPGAAAGGSSTPASARRPTTRSKSSAASGGTSNKEPLEESTSSAKAKGKAVVKPSSAEPKGPNTRNATRRKSASEKDLDMKQTHGDSSSEDEELDTSHIELDDALMIDDDDISEDEDDDHEVLQEGSLPICVQDGVHDVKLGDADDSNVGSASDSHAQPSSGSGSGSGSSARNIMGRGVNAAEFRTAGAFEPQGAMSFVAATMAGLTSGGGRGVRGGRDRRGLSLGGSMSEHNKLIFMAGGKQLSKNLTVYQAIQRQLMLDEDDEERFNGSDLPNDGNRFWGDVFTITYQKSDSQAEKGSQGSSASLHQKSESCRSISEAHKVSLLDSILQGGLPCDLEKTNSTYSILALLRVLEGLNQLSPRLRAQAASDDFAEGKIVNLDELYETGTKVPSEEFVNSKLTPKLTRQMQDVLALCSGSLPSWCNQITKACPFLFPFETRRQYFHSTAFGLSRALNRLQQQQGDNPNTGSEREVRFGRLQRQKVRVSRNRILDSAAKVMEMFSSQRAVLEVEYFGEVGTGLGPTLEFYTLLGHELQNARLGLWRSSSPYDLSEMEIDDNNGVIHVDSDDDLPAPQGGLNSSEDARNLVQAPLGLFPRPWPSSTVDTSEGSRFFKVVEYFRLVGRVVAKVLQDGRLLDLPLSTAFYKLILGQELDLFDIISFDAELGKTLQELQVLVERKRFLESTCGKDQLEVADLRFRGAPIEDLCLDFTLPGFPDYILKEGEQNTIVNIHNLEEYVSLVVDATVKSGIMKQVEAFRSGFSQVFDISSLQIFSPQELDYLICGRQEIWEAESLVDNIKFDHGFTAKSPAIINLLEIMSEFTPDQQHAFCQFVTGASRLPTGGLAALSPKLTIVRKHPSSGVSTLNTSGVTDAADDDLPSVMTCANYLKLPPYSTKEVMRKKLLYAILEGRGSFDLS >SECCE5Rv1G0298160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10037552:10038022:1 gene:SECCE5Rv1G0298160 transcript:SECCE5Rv1G0298160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEEEALTVAFSWEQEQGMPESPRKPPVVLSWEHEPAVKKPVEARGGGGMREGQRKVPALARRLSVPPPPGRPAARGYSRAVRPEDDPFLAAYLACTKSGGDGGKKKTGGGALEHKGQRRFAWGLGVLSCKRSNGVVEQSMVRLAKLPELDPRDA >SECCE3Rv1G0165590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:175924102:175924608:-1 gene:SECCE3Rv1G0165590 transcript:SECCE3Rv1G0165590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKQKRLIFAVVSYMLVSMMTLVVQSRKRKRRAVICYGPIDERDRMRSEYLDNKIWRDETTCVNMLRLGRGPFFRFCKLFRDRKLLEDTIHLSIEQQVAMFLHTVGHNVRNRIIGGNFGRSGEVVSRYFKKVLHAVGELRGDLIRKPSLETQSKIEGNYRWDPYFKV >SECCE5Rv1G0360680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:774065875:774069020:1 gene:SECCE5Rv1G0360680 transcript:SECCE5Rv1G0360680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFAHAKAVRLKSRHDKFLYADEDELHVTQDRNGSSPSARWTVEPVPHAPGAVRLRSRYGRYLAASTEPFLLGMTGRKVLQAAAAPGGRPDASVEWEPVRDGFQVRFKSRAGGVRGGGDKYLRANGGVPPWRNSVTHDVPHRTATQDWVLWDVEIVQVLTPGPATPAPEKAVSAPPAALAPDSPPAPKLRPAPTPYEAHHRPTKSQTSPPPPDYAPPPPPKPKPEPRLSKLESSDSFSAPLHKVQGRAIHYHIADDKGDVDDDIERRSFTFNGSNLEELTQKLQEETGIDDLIVCTRSPISGKLTPLLLQLPPNNAAMHIVLVRESSKVAKTFPWPYGS >SECCE1Rv1G0031720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:445471967:445474585:1 gene:SECCE1Rv1G0031720 transcript:SECCE1Rv1G0031720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRPSVAKETMEPIDEETESPGRAAQLKCPDGNSGEMRLNRFPNFHCKSLPSRRREENSEDCIMHSRGSMYQSSSDVSRLRKLQEGRRKLDSIYERDAFMSFGTVDSSSQPSTSGAYLVPQRNGSCKSRSSMNITHGFNQDARELLDISSREVPIDNLRLGRPRKDCNLLKDDVRDSFLGLSLEEDNATCPRANAAPHLLESISSKGTMSNCQPPVGLHPDRSNHGRIDPVSNLPKSLSAKVGVFDATCPSESVHGVDGKKKTRSSAFKKILDPFMKSKSLRNPSLMETEDAKCGNPPARGKDSALRKSLLSGISRSEQTPTPKCQTSGEARPITVTSSPTHLHAVLKVDPDNGAFGFEFCTKGPEESIYANTWKSGNELNWIYTFHSVGKRSSTVGRTSKDRHGWLPPIVGQMHVSSYLYSEVEEDGILNNSATSEFVLYDIAHARRSSAVDRVQSTDSTQPPFCNVGKNSISRESLERNNQMERQNTARNNSDASVSCLWSQEDLHPHLEVAAVVVQVPFHKTRSQELKTGSSPGTVKVVTAGGAHGLPRDDETSPSPLLNRLKTGGRCDCGGWDMSCPIVVLENAYDSYWVDSVMNESKHPMELFVKGNQEVLPALSMKVDGKGEFSVDFHARLSAMQAFSVCISLLHCSEASPAIGIEKFKHKLYSSSMKMLLKEEVKQLIGSVTGKEKKKVKRRKGKTPVVNGPPFSPMGRV >SECCEUnv1G0535430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:57463353:57465218:1 gene:SECCEUnv1G0535430 transcript:SECCEUnv1G0535430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSADSKRSLKPWQCTRPLPLILSYLSTGSHHLPHIKTSTHRHHGCFFSSQPITMGLLPPLVFSLFLLPLLYLFFRGGSKRALTHGARNAPGPPKQLPVLGNLLQLGGRPHRYFQSLTQKYGPVVQVQLGRVRMVVVASPEAAKEVLRTNDLHCCSRPNSPGNYRNMNVLGCDHHAGARMLSYNFLDVAFGPYTDYWREMRKLLVLELLSMRRVQSFAYARAAEVDRLVSSLAGTLPGTPVDLSEKLYVLSDGIIGTVAFGKMYGSESFERTSFQRMMDETLRVLGSFTFEDFFPSWALARWADALTGVASRRRRVFLNIDRFFDAVIDKHLEPERLAAGVQEDMVDALVKMWRDQEGPLALTRDNIKGILMDTFAGGIDTCAVTTIWTMSELMRNPRVMRKAQSEVRAAVRDKSRVDEEDAQGLKYLKMIVKENFRLHPPGTLLIPRETMQSCEIAGYSVPAGTRIHVNVWAMGRDPDIWDRPEEFFPERFEDARVDFRGLHYELLPFGSGRRACPAIAMGVANVELVLANLLYCFDWELPKGMKGGDIDMEETGQLVFRKKVALELVPVKRE >SECCE7Rv1G0479720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:257814536:257815813:1 gene:SECCE7Rv1G0479720 transcript:SECCE7Rv1G0479720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAINYAALSPLQLPLPYLPPPLPPLLPLLSPPPPAAVGAGFQSRISPKILLIVLILAVIFFVSGLLHLLVWLLFRPTPRDPGDAGSSDANATAFHGQLQQLFHLHDAGVDQSFIDALPVFLYGAVVGAGGKDPFDCAVCLCEFADDDRLRLLPKCSHAFHVECIDTWLLSHSTCPLCRCSLLADFSPCGGGCSSLVFVLESGSEGSVSGRVDRPPPAHLSLVMEQDEGCESQTHSDVKEKDEVVVPVKLGKFRSQATEGGAGCSSNPDQDVRRCYSMGTYEYVMDQSSLLRVSVKPPAAKLSKPAMDKKESFSISKIWMRGGLRRKDSSAAPSGSSSRRASSFRLPSALQRAASDIGAPKRRADVVSPVTESEYNVSAWDKSGSGSIVDWDVESGTAADGLGSRADEAPSFARRTLLWIRGHP >SECCE1Rv1G0054280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673831222:673835064:-1 gene:SECCE1Rv1G0054280 transcript:SECCE1Rv1G0054280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALLAVLQMVASPILKRLLTDTSTYLGVDMASELHDLETTIMPQFELMIEAADKGNHRTKLDKWIQDLKQAFFKAEDLLDDHEYSRLERKAKSGKDQLPPHSSTSSTILKPLHAASNRLSNLSSNNRKLIRQLNELKAILAKGKELRDLLCLSAGNTAEDPVVQAAVVPLVTSIPPPKVIGRDNDRDNIIDLLTKPVVVEADSAIHSGLAIVGAGGMGKSTLAQYVYNDERIQKHFDVRMWVCISRRLDVKRHTQEIIESAVKGGCQHVENLDTLQCKLRDILLNSQKFLLVLDDVWFGKSDEMEWEQLLTPLVSQQTGSKVLITSRSNILPASLYCNKIVPLENMEDSEFLTLLKNHAFSGEEIKDCRLREKLEDIAEKLANRLGRSPLAAKTVGLQLSRKKDTSSWKDALKMDNLSDPTKALLWSYDKLDPRLQRCFLYCSLFPKGHRYDIRELVHLWIAEGFIDSCNENKRVEDIGRDCFSEMVSVSFFQPVYERYDTYNHTYYIMHDLIHDLAELLSKEHCFKLEDVKVAEIPYTVRHLSVRVESMIRHKQSICKLHLRTIICIDPVKDDVSDVFTQILRNSKLRVLYLSFYNSSKLPESIDELKHLRYLNIINTSISELPRSLCTLYHLQFLKFSKRVESLPDKLYNLNKLWYLERHGSWINDPYNTALPQVPNIGKLTLLQQLYTFSVQKQKGYELRQLRDMNELGGHLNVRNLENVTAKDEALESNLHRKTHLESLYLGWSYMDDTNVEDSLHLDILEGLMPPPQLRGLTIQGYRSVKYPGWFLQDSYFENLETFKLINCTALQGLPTNAELFGNCCSLYLKNVPKLKTLPCLPAGLKVLSISKCPLLIFVSSDEPEQHDQLENIMNIDQLASNLPFITRVGPAVSTTRDIIASEFSSLEQLMALMEVDMSRIENIRSVIEREEFVIEDSINAWICCHNERMGLIYGRSIRLPLVPPSELTQLYLSSCSITDGALAVCLNGLTSLRSLVLIKIMTLTTLPSQEVFQHLTMLGDLEIKSCWCLRSLGGLRAATSLSDVRLYSCPSLDLARGDEMPLSPEELIIFYCVVAANFFSSGLPHLRGLNMVGCRSLASLSIGHLTSLASLELIDLPDLCFIEGVSSLQLHTLELKDVPKLNAKCISQFRVQNTLFVSSPVILNHMLSAKGFIVPEVLFLSDCKESSVSFEESANFSSLRQLILFECEMRSLPGNLKCLTSLTQLCIYECSNISSLPDLPSSLQHIRVRGCERLKESCQAPDGESWPKIASIRCKEIE >SECCE1Rv1G0026240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:367862507:367867020:1 gene:SECCE1Rv1G0026240 transcript:SECCE1Rv1G0026240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDEYVDLPVSDEEEDEWEDGEEADEEEVQGSSKKKAKQHVDQLKRLQEKDPEFFKYLEECDKELLEFNDDDIADDQESDEEPKSVPKEEPKESVKPITMEMVDSWCKGAEDGKIGSIRSILQAFRRACHYGEDSGDNSAPKFSVMSGSVLDKVMHYVLKNMDSILRQLLGAPSFGGKKEKISELMMTNSWKRNGNLMRVYLVNALHMITEMTDDQMIAFTILRVRASAVFLAAFPSLLRKYVKTLLYTWARGRGAMPFTSFLFLRDLCVQVGPDCLDTCLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVKELYIVDPQSAYQHAFVFIRQLAVILRGALTERGPKTSKDKKQKERNKPTNKQLEKSYQKVYDWQFIFCLELWTGVVCGCSSEEDFRPLAYPLTQIIHGVACLVPSARYFPVRIRCVKMLNCIAQATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLFNVKQVDKKMVKTRAFQEACIYSVVDELAKHLAQWSYSVAFIEMSFLPLVQLRNFCKTIKADRFRKEIKDLIRQVEANVEYISSKRAGITFPPNDPAVDSFLQAEKEGRSSPLSEYVATLHQRAQNRIDSLDETSVIVGAESSTFSKRLSEAQKQQEEHDDSIEPIAFSKNLLAEKKKTRAAKEKSKKRARDDDDVPAEEDVVEDLILSSDEEAEDDGLGSDEDGSAPVEDDSDEDFVDPDSAWKKQKKEKLKKRSKYQPSNKASSKTKRKPHPKKAKH >SECCE5Rv1G0325190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:461228520:461229979:1 gene:SECCE5Rv1G0325190 transcript:SECCE5Rv1G0325190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSLCFLLDFNVRAFDAFLSSSIAKLMASRGGAGGAHASRPPLRVGRTKEYRMGRDTQLLAAEGSPVSLFVLCGDRFEGSQLFRSGELSVHMIRVEGHPVSMASCTVGDHQWMLARDALVARVDARVFVFELPGFFYAVVVPPDDAVGAGAAERKCATLAEIFSRFCAYQDLSNAEGGEEAGDQQSQHWNPWVRAHARIQRLGKPTTTPGRATADAPAAISSARQIERAVRTSAVVKLLNRSLLAGALQPARHLTITLGAAVGAGAVRGTSALPNAFAAALPSKSVVSDLLDAIETSRTNAPRREVRRGNGLGWWSLNVEGVMMLLRVVQAIRGRRLPAALGVGTKRPRDDGNGGAGHDGLKGGSGVGPALGGSGARRWCGGKQRKLGNTVGAWGSS >SECCE1Rv1G0001910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6667974:6669128:-1 gene:SECCE1Rv1G0001910 transcript:SECCE1Rv1G0001910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAPKSQGSRRPWQDLPSEILGLVLQRVPSHADRVSLHGVCRPWRTGVRLLAPLPALLPWLALRDGSFLSLPDGEVHRRVLLRDDNVAHRVSTGSTLFLVHSDDGCSLMNPLSRQITVPQSINLKCLSTRPGVLVDTDNIRKVVVMSDQAVAIRTRSRPNVTISIHRPQSTNVEWRWRPPQDTYYSVCDIPVFQDKLYVLTAMFGTVYPLCLYAMEIVSNNHVSVQRMLTMPKDDVDDRNVASLHHYLVASADRLLMVKQKAELFTMLPGPVIMPTLFEVFEAADLGSGGGCWRNVNTLMGRALFLSEGCSESLPAGDNPNVGARQDCIYFLNERNHFDARTRALCSHVYDMRDGTVSPLPFQTLVAHEGPLTGTWFFPADT >SECCE5Rv1G0374960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:865578735:865579892:-1 gene:SECCE5Rv1G0374960 transcript:SECCE5Rv1G0374960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEACMFALQLANSAMLPMALRTAIELGLLETLVGVGGKALSPEDVAAKLPSTNPDAASMVDRMLRLLASYNVVSCVVEEGKDGSLSRRYGATPVCKWLTINEDGASLAPFALLTLDKMLLESWCYMKDVVLDGGNPFIKAFGISAREYTSKDMRFNRLFNEAMTHHSGIVTGKFLELYMGFDGIGTLVDVGGNVGTLMHAIVSKYPSIKGINFDLPHVIAQAPDYPGVQVQHVAGDMFEKVPSGDAIVIKWVLNGLSDQQCATLLKNCYDALPAHGKVVNLQCILPVNPDATHSAQEVIGVDLSMLAYSIGGKERYLRDFEKLAKGAGFTGVKATYIYANFWAIEYTK >SECCE3Rv1G0186810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:691279939:691280634:-1 gene:SECCE3Rv1G0186810 transcript:SECCE3Rv1G0186810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLRPLLPPKPFLSTPKPQVPIAPITAVRCTAAPKPTTSTPKPAQQEDSQEQEPTPDEIDANPHRIPDDETPPSATATTSFSVVRRVPSAISTDGRLRRTALTQEEPPNYEIGWKRTKNLPLEKPKGWAIADFLEKLEGLMERGRYGSGALLGTVAGVVTERAREEAEILIAEGGVDERVATELFRVLRLVEMDVEMVKAAVKEETVKERVETARARCRQAILVALSL >SECCE2Rv1G0082980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:159691986:159697127:-1 gene:SECCE2Rv1G0082980 transcript:SECCE2Rv1G0082980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX10 [Source:Projected from Arabidopsis thaliana (AT2G26350) UniProtKB/TrEMBL;Acc:A0A178VWJ2] MSAGDPAGDAGPSSRGGARAGAAARPRRFPTAAQPEVMRAAEKDDSYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRMLFILYQTTVPYLAERISSRIVSRGIYMDDSRFDNHHESDNPSRGITESSTNTNESSTSLSFSMLSRLRSKAHAFWLWVVQKWPSMLPLGQDFIQLAIRTNLMFFYFEGLYYHLSKRGAGIHYVFIGKPMNQRPSLIHYICRYQILGIFLLIQLCILGAERLRRSNLSSIASSINQISSGSYPSSTGRSVPVVNEDGNIISDIRHGKAVDLASGSEASSSKSKCTLCLSTRQNPTATTCGHVFCWNCIMEWCNEKPECPLCRTPITHSSLICIYHSDF >SECCE6Rv1G0381140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:29852333:29859279:-1 gene:SECCE6Rv1G0381140 transcript:SECCE6Rv1G0381140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRTFSCALLLATLMPLSAKASSKLYIVYLGEKKHDDPSVVTASHHDMLTSVFGSKDEARKSIVYSYKHGFSGFAATLTETQAETLAEFPEVVRVKLNTYHKPHTTQSWDFLGLDYGGPKQELLQQQEGLLQRAKYGENVIIGVIDSGIWPESRSFDDTGYSPVPARWKGVCQIGEAWNATSCNRKIIGARWYSGGISAEVLKMDYNSPRDLTGHGTHVASTIAGSQVWNVSHRGGGLGVGVARGGAPRSRLAIYKVCWVDGSCPEAAILAAIDDAIKDGVDVLSLSLGGSPGEEIFETLHAVLQGISVVFAGGNKGPVPQTVLNAVPWVMTVAASTIDRSFPTQVTLGNNEKLVGQSLHYNASVISNDFKALVHARSCDMETLASSNVTGKIVLCYAPEEAFLTSPQVALRNAINRTLEAGAKGLIFAQYAVNNVDIVAACRNIMPCVLVDFEIGHRIASYWDMTRSPVVKVSPTMSVVGNEVLSPRVASFSSRGPSLAFSAILKPDIAAPGVNILAAVRGTYVLLSGTSMACPHVSAVTALLKSVHPDWSPAMIKSAIITTASVTDCFGMPIQAEGVPRKLADPFDFGGGHMDPNRAVDPGLVYDVDAKEYNKFLNCTLGLLDGCESYQLNLNLPSIAVPNLKDNVTVSRTVTNVGPVEATYRVVVEAPAGVAVSTEPSIISFTKDGSTRATFRVTLTAKQRVQGRYSFGSLTWSDGSAHSVRIPIAVRTVIRDFVSDTS >SECCE1Rv1G0048760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637270101:637271193:1 gene:SECCE1Rv1G0048760 transcript:SECCE1Rv1G0048760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAAKPHVLVACALLLLAVGCKASPFWPLEIGYYHDKCPQAEDVVKGVMEKAISQNPGNGAAMIRMLFHDCFVEGCDASILLDPTPFSPTPEKLSPPNDPTLRGFELIDAIKDALEAACPGVVSCADIIAFAARDASCILSRGKVNFQMPSGRRDGTFSNASEPLKFLVPPTSNLSDLVASFVIKGLNTEDLVVLSGAHTIGRSHCSSFVSDRLNTPSDINGGLAAFLRRQCPANATPGGNDPTVMQDVVTPNKLDRQYYKNVLSHTVLFTSDAALMTSAETARMVVENAKIPGWWEDRFEKAMVKMAGIEVKTGYKGQIRKNCRAINH >SECCE2Rv1G0071540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:45478177:45480044:1 gene:SECCE2Rv1G0071540 transcript:SECCE2Rv1G0071540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >SECCE7Rv1G0497770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:630713357:630717468:-1 gene:SECCE7Rv1G0497770 transcript:SECCE7Rv1G0497770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pheophytinase [Source:Projected from Arabidopsis thaliana (AT5G13800) TAIR;Acc:AT5G13800] MEVVSSSHSCLAFHQTPTSARRSLGTGLGPRHTKLTRPRKSAVLCVGRASNPGDSGKLHVSRSFDVSDVGAALQGISKKAGQMEKVAIPGLPEGPDSSQISTGLWEWKPKLTVYYEKSGTKNSKAPAVLFLPGFGVGTFHFEKQLMDLGRDYKVWTMDFLGQGMSLPCEDPAPKAMAGEEDEESYWGFGQDSQPWADELVYSVDLWRDQVQHFIEEVIGEPVYIVGNSLGGFVALYLAASSPHLVKGVTLLNATPFWGFLPNPARSPRLSKIFPWAGTFPLPSVVRKLTETVWQKISDPRSIQKILRQVYADHSTNVDKVFSRIIETTEHPAAAASFASIMFAPMGQISFQEALSRCQRQDIPISLMYGKEDPWVRPYWGIRVKQQVPEAPYYEISPAGHCPHDEVPEVINYLLRGWLKNVESEGSVDLPFLEDSSFEEHGVSRELEFVRTGSKKSVSVRLFGSQISLWSQLSSFLKRHASNIRVVSR >SECCE7Rv1G0474030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:166081947:166082324:1 gene:SECCE7Rv1G0474030 transcript:SECCE7Rv1G0474030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHAEEEESAPLRRAITTGWTSSPYVLAAEAEMEMAMLPGYQRLSTTCDATFPDDDSGDYSAWSWACLPQEARMLWAILTHAVLRWTSEDEESAPAPAPVARNGSRRSSWRPDPDDRWPVQGWC >SECCE2Rv1G0111650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:702585111:702586400:-1 gene:SECCE2Rv1G0111650 transcript:SECCE2Rv1G0111650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAISSGPKPNTRIAVVTGGNKGIGFEVCRQLASDGVTVVVTARDKTRGAEAVEKLRALGVTNVLFHQLDITDTSRIATLVDFLKTRFGKLDILVNNAAIGGVEYVQELDTSEEQFAGLDSHQRLEWMVKSVREPVDSAKEGVQTNYYGIKHVTEALLPLLQSSSEGRIVNVSSLFGLLRVISNEEVRRELNDVDNLSEERLDELLNKFFKDFEADALEAHGWPTGFAAYRVAKAAMNAYSRMLARRHPALRVNCVHPGYVKTDMTINSGVLTPEEGARSVVKVALLPDGGPTGKFFAEGEEASFV >SECCE2Rv1G0128380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:860113923:860115725:-1 gene:SECCE2Rv1G0128380 transcript:SECCE2Rv1G0128380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSSAYPPPPPFYRLYKDFEQDPSSAPEPPPPIEGSYQLFGATYTTDVVLPSLEDQGVRQLYPKGPDIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHLLESQIQRRKQAIEDIKQRREEAQRLLGESLVIIEGSQQQVMTPM >SECCE3Rv1G0143850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2266967:2269154:1 gene:SECCE3Rv1G0143850 transcript:SECCE3Rv1G0143850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAALRALTVLYVLAVLAGNQVEGRHHQPDCPSFSCGLLGNISSPFRRASDPPGCGYRSYELVCSDTKATIRIGGATYYVSGINYSNSSFWVVDADLDLHNSCPLPRWNPPYPHDKMEVELDPLVDTRACFVKCSQEVKGTGTYMPVACLSTNDSFVYVLTGLRSTYMEYLQPSCGYLAVTPRPWDSPTLENASYADVLKSMRTGFSVKFPLRYTREIIKRCLLEAVCPFYEVPVSSEGIKRLITYSLLADVYFLDCVFNTTGFQGVPGSIIITIFWILKWLAVLCRFVLAPLAVLIFLAYKCWKTRITIDAVEKFLRMQQMIGPTRYAYTDITAVTSHFRDKLGQGGYGSVFKGVLLPGNVHVTVKMLDGNSNCNGEDFISEVSTIGRIHHVNVVRLLGFCPEEMRQALVYEYMPRGSLDKYIFSAERSFSWDKLAEIALGIARGIDYLHQGCEMQILHFDIKPHNILLDNNFVPKVADFGLAKLYPRDKSFVPSRALRGTIGYIAPEMISRSFGVVSSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYPSWVYDRLTEQEVCEISTVADMHELERKLCIVGLRCIQMKSHDRPTMSEVIEMLEGGVDGLQMPSRPFFCDDEHTPVPDSYPLLSELTEISEDDEILDSYVS >SECCE2Rv1G0076370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:90373628:90388571:1 gene:SECCE2Rv1G0076370 transcript:SECCE2Rv1G0076370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G08660) UniProtKB/Swiss-Prot;Acc:Q8VZJ0] MTRASPSTQQPSARRPTVVLLLWLALAFCLAVLYIQSSFTSPSAPHARTLDSPDQPSTRESRLDLDSDQVRTLSGFQSRVQQCVASRGLGLTADIVDHCKLVLKFPEGTNSTWYNAQFKLFEKLQYEYDVCETILLWEQYRNMTTVLTREYLDVRPDGWLDYAPKRIAQLGAKKCYNRTLCEELLNILLPARPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHDAVFRDNEAPVNKKYAKYVGLKRDFRLVVRGAARNMAPILKGSSDEALIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSVELALSMCDIVDIYGFTVDPGYTEWTRYFSAPRKGHNPLQGRAYYQLLECLGIIRIHSPMRAQRVEDWSDVPSREEIRRAHAAAFRLKRREAGQADEPGPFSNCKVWGTVDPDYGPVSGTSDMSETRRNSNYSKWELLPLESLRSEAQEHHAEMGGVSLYKMDGNKLDDLVCVRHNRSSG >SECCE1Rv1G0019470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:235423659:235423892:-1 gene:SECCE1Rv1G0019470 transcript:SECCE1Rv1G0019470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIQAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE1Rv1G0011720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:81039688:81042039:1 gene:SECCE1Rv1G0011720 transcript:SECCE1Rv1G0011720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKQRPQPCIIPVEVSAYRNVRHSPSWSFRWDNRTHIEDIMEIPTFLSNHSSGSIRPETKSGSIAPTEGFSNGGSPSELFHKVKWQKSEKKMETSKVAQSDPRDRPTGSNSSPEAKLCRKSLDMVSVASDIKASTSVPSTPPVVSKADPSSSRGHSLGMDTDSMRKARRSPGYQLYRQVSDSKIPSLRSLNESNSPEGRPSSSMLSVCSNDLSVAGSHGESSDGWSMRTFSEMVASSQRERWSIDSELLGSISSKMTRSNASNRTTVSPDQEVCKLCLKLLKERSAWNAQDLGVVAVLLCGHVYHADCLDSLTAEAEKYDPPCPVCTHGEQCTVKLFGKLESKIKNKIPTNVILDGDLDGSSKHQKKSKRVPRLGTSISMKDSFNRPFLRRHFSIGSRPPRLVSESESTRKKGFWARHWRE >SECCE4Rv1G0286620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850125621:850127862:1 gene:SECCE4Rv1G0286620 transcript:SECCE4Rv1G0286620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEEESQMTASLPLPAAPPLEDDDLLAEILLRLPPLPSSLPRASGVCTRWCRLVSDPKFFRRFRLRHRRNPPLIGFLLTEEGMSRVSLEHSLEAPNRVPTGRFSMRYDDGDQIASCRHGLVLIFPRDEDKVLVWDPVTGDKHRIAVPSWLDMEETPTKGAVLRAAGADHHVQVVLVAIDGARAITFVYSSETGIWSNLLEAPLPYKPGDSLLSSDTVTGLWSFLASSPVFFTSRPAVLVGDSLYWLLSNGILEFDLDRQSLAIISVTEDMCVKSFFDISIMQAEGGGLGLLTLSGFHAQLWKREANSDGAASWIMGRTFELDKLISLDSEKKMGRVLVAGFAEYNNMVLLCTPIGLFTVQLQSLEFKKLSETSVPLHYHPFESVYPAETCIGGGHDAADLPPDT >SECCE5Rv1G0352980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:712901671:712903641:-1 gene:SECCE5Rv1G0352980 transcript:SECCE5Rv1G0352980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g19020, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G19020) UniProtKB/Swiss-Prot;Acc:P0C8Q8] MPSGGAPLAVFLVSSLKAGARLRHGEQLHALAAKSGLLASNPFVRNSLLAFYARLPSPQAPALAHHLFDEIPLALRDPAAHNTLLAALARAGRLDLARRMLAEMPHRDTVSYTTVLTALARAGHAEDAVAVFRGMLAQDVPPNEVTLAGLLTALAQERPPVPVGVAHGVAVRRGLDGFLIVATNLVHAYAAASQVCIAREIFEQMPYKNTVTWNAMLNGYLKTGMVHMAAEVFGRIPERDAVSWLTMIDGYIRADCASEALRAYVAMMAEVHTRGNAALLVDLIKVCARHAAVLEGQQLHTVILKDGFDAHPFVQATLIHFYGSCDHLALARMQFKLSDKSHIASWNSIMSGLLQRNLMHEARQLFDDMPERDTISWSTLLSGYLQSGHSNKALQLFYLMMDAGVEQNDVTLASTLSAVADSGTLEQGRFIHDYIISKSIQLTDNLSAGLIDMYAKCGSVADAVQFFSYVKHKLSSVSPWNAIICSLAIHGHAHTSLEMFSELQSTNIKPNSVTYIGVLNACCHAGLVTEGRHHFESMRREYGIQPTIKHYGCMVDLLGRAGHLEEAENLIQMMPMKSDVVIWGSILAAARTHGNVALGEKAAEELAKIDPNHGASKVALSNIFAEAARWNNVSLVRKELQDENMERFSGSSGVVQ >SECCE5Rv1G0297660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:4375122:4377773:-1 gene:SECCE5Rv1G0297660 transcript:SECCE5Rv1G0297660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIQYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCRAELHNLLKEERLAGSSLLVFANKQDIQGALKPDEIAKVLNLEVMNKDRHWKIIGCSAYTGDGLLRGFDWLVQDIASRIYVLD >SECCE7Rv1G0470370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:119874722:119879010:1 gene:SECCE7Rv1G0470370 transcript:SECCE7Rv1G0470370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRKEKGGDPELVRESQRRRFADAKPDELEKNVGLVDEVIVLDEAWRQRQFELDKIRQELNKTSKEIGKLKAKKQDATELIQSTEEIKRRLAAKETEVEEAKTTLDAKLVTIGNLVHESVPISGDEANNAVVRTWGERRLEENLKNHVDLCIMLDIASLDKGADVAGGRGFFLKGNGVLLNQALINFGLTFLRIRGFTPMQTPFFMRKEIMAKCAQLAQFDEELYKVTGDGDDKYLIATSEQPLCAYHLGDRIYPADLPIRYAGFSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCATSPNDNESWEMHEEMIKNAEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDYQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENYQRADGVEVPKALQPFMGGIDFLPFKRPLDSKQAADSKPSKSKPKGNAA >SECCE1Rv1G0049470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:641359690:641360301:1 gene:SECCE1Rv1G0049470 transcript:SECCE1Rv1G0049470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADALASLGLGYAIAIALGFLVLLAAILLAYHFCRRRRGQGHGQDHHQHHQGPQHHSGRHAGSSASSSGHISITVPRFVFVAEDDSPGSSSRGATGSTPVGLDPAVIASYPKAPFARSAAAGELACSICLCEYRDGEMQRIMPECRHRFHLMCLDAWLRRSASCPVCRNSPIPTPVTTPLNTPLSEIVPLSQYAADRRRHR >SECCE2Rv1G0097900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:471311105:471322464:1 gene:SECCE2Rv1G0097900 transcript:SECCE2Rv1G0097900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKQSSSPLLGTLKMERVRTILTHTPYPHEHSRHIMTAVIIGCLFFISSDNMHTLIHKLDSNIKWWSMYVCLIGFFYFFSSPFLGRTIQPSYSNFNRWYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFITAFHIIFIGLWYIGLVARMAGTRPGIWTIVQNCTVISIACCVFYSHCGNLAVPKSESFDKSSDPSLLAFLKNENGTTWISNFLFMNELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHLSPVSEEEKLRKHMKPDFLDMVPWYSGTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKSTTDETRNDDVLYDYFNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGNLLVIGGDLAYPNPSSFTYERRFFGPFEYAMQPPRWYKAEHIAVDKPEVPPGVSKMKKYDGPQCFIIPGNHDWFDGLNTFMRYICHKSWLGGWFLPQRKSYFALQLPKGWWIFGLDLALHGDIDVYQFKFFAELCRNKVGENDSVIIVTHEPNWLLDWYWKETTGKNVSHLIQDYLNGRCKLRMAGDLHHFMRHSATPSDKPTFVEHLLVNGCGGAFLHPTHVFKNFERFSGTTYECKAAYPSYEESSGIALGNILKFRKKNWQFDIIGGFIYFILVFSMFPQCNLVHILNEETWSGRLQSFSSTIWSALLFIFEHSYVSSVGSLTLLMASYSFVPSKLTRKKRAIIGGLHVLAHLTAALVLMLLMELGIEICIRNHLLATSGYHPLYDWYRSMESEHFPDPTGLRTRLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLIMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVPKDWKLDPKWEAEERGPHQLSHHRRYPSKWRSASSPDPVRSVRVVDHFIITRTVAPDPESSC >SECCE7Rv1G0525100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:888427829:888428664:-1 gene:SECCE7Rv1G0525100 transcript:SECCE7Rv1G0525100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALLSESGLRLSDESIESILDKTFSDADVNQDGKIDKAEWENFVSRNPFSMKIMTLPYLKDIMTTFPSFVFHSEVDDVLT >SECCE2Rv1G0128180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:858521324:858522931:-1 gene:SECCE2Rv1G0128180 transcript:SECCE2Rv1G0128180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRLAVFLIGMASFFSVVAGQLRPMAAGGLPGDLFALGIASKIRTDCNSTASASSDFGRIMEAAPEAVLHPATPVDIAALIRFSASSPVPFPVSPRGQGHSVRGQSLAPGGVVVDMRTLGHGHHRINVSADYVDAGGEQLWVDVLRATLKHGLAPRAWTDYLRLTVGGTLSNAGIGGQAFRHGPQIANVHELDVVTGTGEMVTCSRDNRKDLFLAALGGLGQFGIITRARIALESAPKQVRWVRLAYSDVVAFTRDQELLISKQASEAGFEYVEGHVQLNRTLVEGPKSTPFFSEADINRLAGLASETGSSAIYLIEAAMYYDESETTAPSVDHKLEIVLAQLSFVPGFVFTKDVTYFQFLDRVRVEEAVLRSAGVWDVPHPWLNLFVPRSRILDFEAGVLKGILGADNPVGLILMYPMNTAKWNSHMTAVTPPTGEDVFYTVGLLRSALSADELERLQRENQSVLAYCDKEGIQCKQYLPHYTSQDGWRRHFGAKWSKIAQLKAKYDPHAIMSRGQRMFPLPSVPAASTATA >SECCE2Rv1G0106930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:640997081:640998670:1 gene:SECCE2Rv1G0106930 transcript:SECCE2Rv1G0106930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRAFYFQAAEAAATVATEEDDDVTTPKLSPNAAVRQEGRTSGGASPVAVGVHSDAMSCEGSGGARDLICPECGKAFLSDKAMYGHLRCHPGRRNKGAIRPATPVASASSVTRGDAKARKVLWMEDDLPTKWPLTAKRGRTPTVATSFSVSVQPVSVLESTYSAEEEAANTLLDLSQQARNAVAEQQMQMMPRADQLELPPDHVADPVAPEPEQPVIPDMADALQHVEQAEMRAPVEQAEMRAPVKQAEMRAPVEQAEMRAPVKQAEMRAPVKQAEMRAPVEHIFGIILQPQAPGIEPSNFIAASEPVNNSAPVVVRDEDKSISPGVKKLKKRRLHDPVSQSPDSSQPPPDPEDVRPPVRRIPSPASDRRYACPSCYKSFPTHQALGGHMASHNRAIRCAAAQQVDGLAVAQAVQNILAHRQRQDSANASSSGLGEDLRISLRPPKPVSHTCVRCRQIFSTGQALGGHMRKHFLEDRLQAAAAAAAPATAPPALAAAAVALAIAPAAVPEAQNGAPRDFDLNEMMPWE >SECCE7Rv1G0474110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:167555870:167563015:-1 gene:SECCE7Rv1G0474110 transcript:SECCE7Rv1G0474110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCFRAAAVPRLLFRAAARPLQLPLAVPRKGFSGQSVLPVTDSVESFQGPSVDHAPRIPLYDDSLPSGVSTILTNPGENGAPADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLLLDMLEFLPSHVAMVFDHDGVPYGHYTAMPSKECHMKKGMTFRHMLYPAYKSNRTSTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDAIGTLAVNSVSAGYKVRVVSPDKDFFQILSPSLRLLRISPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDVNAVKLITKFGSLENLLRSVDEVEDQRIKQALISQSEQALLCKNLAILRCDLPSYMVPFKTPDLVFQKPKDDGAKFINLLRALEAYAEGSSADQIIRRALYLWNKLES >SECCE7Rv1G0496290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:610882199:610883080:-1 gene:SECCE7Rv1G0496290 transcript:SECCE7Rv1G0496290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSLLCTSPCSRIHEFVVLYKRAWKVVYRSKQLLLTNFLEAVIVGTLLGTIYINAGYGEAGAHKRLGLFAFTLTFLLTSTTETLPTFVTERPIVLAETAAGLYRLSSHATAATMVFLPYLLAVALLYSSCVYFLVGLCASPAAFAVFVLVVWAVVLTANSFVLFISSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRESTPVYWVFMHYASPYKYALDAMLANEYSCAANRCFGVAGAGDECSETGRDVLAARGLTAEERWTGVQVLFGFFLLYRVLYWVVLSRRASRAKR >SECCE2Rv1G0064680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4912251:4915069:1 gene:SECCE2Rv1G0064680 transcript:SECCE2Rv1G0064680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSMKNTFLCQKEKSKSSVNFLDLSSSSSSPVVDGVCFVPTSEDDHHLYKRRRMDPINANGNKNTITDGNAIKSTTDGNVRKSTTRSSATSKDSSPRVQKFREALTVPSDGEVSKSETNIVEYTTKYKNTAEASMRSSWKNSSASLSTVDDRKSISISSRPSYKNHKVEDSRDCSLSDTESLMELTSREVCISLLERDIVLIEKSELSSTSTTLTHDDNESSTLFACKSCGSFEDPCRMLICDRCEEAFHLLCCHRRIKKIPDNNWYCLDCSRKKPKRQREMLPSTKGSAKHIQRPLQVLGSKGDMLTNAEPYETQVRIGRDFQAEVPEWSGPISGSDDYFVEPSELDATEMTNLSLQLSLRHEEKKNSIGNWIQCQEVLDTGDICGKWRRAPLFVVQSSDWDCSCSVLWDPIHADCAVPQELGTAEVLQQLKYINKLKLRLDSYKQKR >SECCE7Rv1G0457340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:16006750:16009616:1 gene:SECCE7Rv1G0457340 transcript:SECCE7Rv1G0457340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFDLLDLADGASGDEAVARVVEKVVPPDDPAKPTTKAAKKAAAKDAAANGPKDDGQPPQHHDQHHHYPQEGGNYYPREGNFGGGRGRGQGYYGGRGGRGRGRGAGSNRVFEDANGRFYHDGYQRVYLTDDRPYYGNGNGNRGYNNANGGGYSQAPDSNYRNGGEGQRYGNDDRQYRRDNMQYRPKSKPSSVAASDVDTKSEGKVEPAAEDKQVEAPAQKVVGAVPASESDKATGDVQKEASKKPEGAEKKPEGAEKKPEGAEKKPEGEGAEKEGDGADKKEGDGAEKKEKKGKCISGSVKRKLKKQKPKKEDSNGDAPNETTTEKEQEAPIEQEKIEMTLEEYEKMQEKKKSLEASKPEERRVAAVDFEGLQLLEKKKIEDDAKLKAENARKAKEAAAKEAKPRKAMSIQEYLKNEDGSEYVPPRRPQYGGGYRGGRGNGAYNGRDNSSERRVYNSGHGDGSIVFHNTNANANDSGAPRRGEGYNGERRQGGYQQGGYHGGRGNGRYQERQQGGGYYQERRDAYNGDRREQGVYNGGGRGNGGYQERRDGYNGDRRQQGGYNGGRGNGGYQEQGGNNGGSGQDGLNGERRQGGYNNGGNQGLRQDGGERRQQGGYNNGGGNYRQGPRPKQEKQEKEFTSADFPALGGASQAQSQAQA >SECCE7Rv1G0516140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:843466665:843468793:1 gene:SECCE7Rv1G0516140 transcript:SECCE7Rv1G0516140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGWRHGLALFYLPLPHQVLVWDPLAGAQHRLVIPDGFVSDPDEEDPINAGAQQRVRPVTSDGRRPALASVYSSETGLWGDFISAQLQSATMVHWGEPSVLAGGCIYWLISLTSILEFDLDAQSLAVILVPAGTRTGMSYHSAVIRAEGGGMGFLNVADFTAQLWRRETDCDGVGSWMLAGTVELNRLLPPGSDNEPLRVFGYAEENNVLFFSTVVGVFMFNLQSLEPTRLSETSISGYHYDPFETVYTPGIGGVQEQEGPAALVVDKRRSWWKRWRQHIRGLFSCARGGNDGDNT >SECCE4Rv1G0274190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783127438:783128682:-1 gene:SECCE4Rv1G0274190 transcript:SECCE4Rv1G0274190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHSKLCVSALTTLSGLQEWADLPDGLLHTILPLLGSVRDLLAFASTCRSWRAAFLSYPSKSTLCTLSPPLLIQTNIRVDAPHVPSKDSHCKLRTCKVIDPSNQNITLRCQIDEKILQKMYCVGPSYGHLIFFSSRGYCLVVDPFSGSKVSPPRLPISGCERVSPTKIHAQHLDIAELYFFGVLTAPITSPNSHLLVGTRFSLFDWPVGSDSWSELKLPSGAQITQIVEFKGQFIAMDYHRRIYSFKLAPQLGLQEVITEWSPYSVRTPYETSWLVVCGDMLLMVIAVDHWSRRIKDGGILKKVYTLHLDMSSKPAKWVVKKKLGSWAVFAGCDTKNMPLSNMNPERWGGRSNSLYYAESSPPWRVNELDSAHDPYDASIRQCIIYWGDHMKPLWVYPSMLYSDGASDHRVEQ >SECCE6Rv1G0397670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:398921418:398927617:1 gene:SECCE6Rv1G0397670 transcript:SECCE6Rv1G0397670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPSPRLLNRPSASASPLLSVGHVRSAPSSTFGWTIQCKQSGHTMYGRSHVTSFLALASADAPQGKGSSGQKVIMVDPLEAKRLAVKQMQEIRARDKLKKRRQAEAINGALAVIGLTAALVLEGRTGKGILGQLAGYLAALSSLFGQ >SECCE5Rv1G0375510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868456456:868467202:1 gene:SECCE5Rv1G0375510 transcript:SECCE5Rv1G0375510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLSLCLVALSTLLAIWFLRLSGRNSKRATATKQLPPGPWTLPIIGSLHHVVSLLPHRQITELSRQHGPLMHLMLGELPTVIVSSAEVAALAMKTNDLAFASRPHTVTTEIFGCGGKDIGFAPYGDHWRQMRKICIVELLSSKQMKRMEGIRAEEVGNLLRSISAAASTGATINVSEKMSALSNDVVTRAVFGGKFAQQSEYLRELSNALALLSSFCLVDVFPSSRLVRWLTKGERRMKTSCASMHRIIADILDERKATRAAAGYCSKDDEDLLDVLLRLQEEDSLAFPLTTEIIAAVLFDIFSGATETTGIVLGWAMSELVRNPEIMSKAQQEVREILCYDRAVITNSDHVKLQYVQMVIKEVLRLHPPAPLIPRATREDCTIMGYDIPNGTNVFINVFAILRDPRHWKNPEEFKPERFENNNMNYHGTYFDFIPFGAGRRQCPGIQFGSSLLEMTLTNFLYHFNWKLPDGASLDSFDMSEKYGISVGRRYALQLKAIPHM >SECCE6Rv1G0408760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:576632488:576637409:1 gene:SECCE6Rv1G0408760 transcript:SECCE6Rv1G0408760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKFRASLKDPSVKIPVKFDFKKIQEDIFQEYALIARSMQNDIITQDPTPKSLHALFYELSPQLCPILEKDNVRCLLKFLVSKRGMTWEHTITSQTLTYTISYDALRCAKVILEGKAPRLNGHHANPNCINPYGYFPLHEAAQRFSVDMIKLLFRHGASANVRTVGDNIIENLLPLHVAVENTCLHKYLEDNLFPYQNHQDYIYKIIHLLCMPEMKIFLDTVRLLAERTDNLVDEIWNYAKDGKLVQSAVLLLSAQAQIRGGCSSGSNGNNKRDGFDIIMCNIVRHLVTIRMEKKNANTRKLREEERMFMEFTGLLVDIVSQAGEALSAYIQSHSEAPHVEVLERVSSILKEYGFCPTGEIVDVKNLCPYNCVMSDEELYHKGLMNSTKGVTGTDNPCAIHASEKDLPKGWDNTFTRRKFFPYWRSVLQARAFLRVYPSYATADASRPTVPTPVPGHKLPSLGKAPQLLSNRQSRRLFGTGASAARSALGYEELRRTPGSSMDSTAGQNHNLSLLGGVAQVTNHHRQSRRLFGTAASILLKVARKA >SECCE3Rv1G0143490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:924618:933526:-1 gene:SECCE3Rv1G0143490 transcript:SECCE3Rv1G0143490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASSVLRGRIRPLRRPRPLIMPSPLFLSPNPSPGTSNSASLAGPASAMSTSGVYVPPMRRLRSVIASTNGNLAPPPAVQPAWTPDWRSLSPPSPPQTQRRTAQLPPRPPPPQPPRTQQPLRQQSAWYSRYAYDDFSEEDSDREMDRTSVSSKGGASTLENVDEWKWKLHMLLRNDNEQEIMSREKKDRRDFDQLAQLADRMGLHSRQYSRIIVFSKVPLPNYRSDLDDKRPQREVSIPSGLQREVDALLADYLARKRTDSANFPNAAFSRSSSTDSFATDESFYEQQDNQTSTNVVMERIQRKKSLQLRNQQAAWQESNDGQSMMEFRRSLPAQKERQSLLEAISQNQVVVVSGETGCGKTTQLPQYILESEIEAARGATCSIICTQPRRISAISVSERVAAERGEKIGESVGYKVRLEGMRGRDTRLLFCTTGVLLRRLLVDRSLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLVLMSATLNAEMFSSYFGGAPMIHIPGFTYPVRSRFLEDILEVTGHRLTPYNQIDDYGQEKSWKMQKQALRKRKSQIASVVEDAVKAADLRDYSPQTRDSLSCWNPDSIGFNLIENVLCHICQKERDGAVLVFMTGWDDINTLKDQLQSNPLLGDPSKVLLLACHGSMASSEQKLIFDKPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQSGECFHLYPQCVYNVFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVQNAIEYLKVIGAFDQNEELTVLGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVAGLSVRDPFMTPFDKKDLAESAKLQFSCRDYSDHLAIVRAYDGWRDAERDRNGYDYCWRNFLSAQTLKALDSLRRQFLFLLKDTGLIDENMTMCNKWSRDENLVRAIICAGLYPGVSSVVNKEKSVSLKTMEDGQVMLYSSSVNGKEAKIPFPWLVFNEKVKVNSVFLRDSTAVSDSILLLFGGNIQQGGLDGHLKMLGGYLEFFMSRDLASTYLNLKGELENLIHYKLQNPRIDIQTSEELLSAVRLLVTEDPCSGRFVYGRQEPRSKKAKTMISSASVVSMDRGGGGGGGHGGDNPKNQLQTLLTRAGHDNPSYKTKQIKNTLFRSTVAFNGMEFVGQPCANKKLAEKDAAGEAISWLTGGEAPPVATANARDRQDADHMSMLTKPAPRRRRHHHRRS >SECCE7Rv1G0502340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:695527725:695530013:1 gene:SECCE7Rv1G0502340 transcript:SECCE7Rv1G0502340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAEQSQQHTRKAVGLAARDASGHLAPLAITRRSTGEDDVQIKILYCGICHSDLHSIKNDWKDATYPVIPGHEIAGEVTEVGKNVARFKAGDRVGVGCMVNSCQSCESCDKGFENHCPGMIFTYNRVDRDGTFTYGGYSSMVVVHERFVVRFPDAMPLDKGAPLLCAGITVYSPMKYHGLNAPGMHLGVLGLGGLGHVAVKFGKAFGMKVTVISSSPGKKQEALERLGADAFVVSKNADEMKAAMSTMDGIINTVSANIPMAPLFGLLKPNGKMVMVGLPEKPIEVPPFALVAKNKTLAGSFIGSMRDTQEMLDLAAKHGVTADIEVISAEYVNTAMERLAKADVRYRFVIDIGNTLDKATPAATE >SECCE6Rv1G0425830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:710075754:710077377:1 gene:SECCE6Rv1G0425830 transcript:SECCE6Rv1G0425830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGETTESTSEIPNQLTFEELSKITDNFSDDRKLGGSQFGTLYKGIMDDGKEILVKKLENYTDIPPDKTFQNEVEKIMALKDENLVEVVGFCSEVREQFLPSGEIYDTVDITANFLCYQYFPNGNLDDYLFGTKPSKDFSVDRGTKADDEFSSAEQESQAVDGISSAEAWTSKATDDISSAEPSTKVAGDISLSEIGIPKVSLDTSSSEPSIDWDTRFKIINGICHGLLFLHSLDRPIIHMDLKLQNIWLGANMVPKIGNFGLSRLFGTDLSRMYTETVVGTYGHMAPEYLFRGEISIKTDIYSLGLLIIQITTREKNYPDPTNPSARKYVTEIRTGWTAEHIASKYSSLDAERLQQVYACIEIGLECVLLDRTKRPPIAEIVDRLNTI >SECCE7Rv1G0514160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:830467171:830470109:1 gene:SECCE7Rv1G0514160 transcript:SECCE7Rv1G0514160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVASALTKEVVLKLVALLSEKHKLSRGLKDDIRFIRTELDMISSARDSHMAMAAGRDPSSISMEEMRDLAHDIEDCIDRFLPCPCVACQGQGEAASVLHRVKKAATSARSRFAAEIHKLRSRLKDAHDRRVNYQAVNGSSAGASPAAADTGAETDLVGIDEPKQELVDILLQQTGPGKPGVISIVGFGGSGKTTLARAVYACPGVVRRFPCRAWAVASEHRDAEGLLTTILRQLRTTDAPLPQISMDDFLRTTECLIVIDDINKQHWDVIKSILPREAKSRIIVTTALQSVANACSSGDGYVYKMSILNAEHSKVLLTKKVFFQGCSPELERGSTAIVEKCDGLPLALVCVAKFLLGENELTGSHCARVCHNLGHHMEKEADFTKLQQVLVNNYSSLSGYPLRTSLLYTSVFPNGRPIRRNTLIRRWLAEGYVQCQYKRSDLEVADENFRELIDRNIIRPIDSSNNAKVKTCKTHGIMHEFMLHKSMSDNFITSLHDQNRSNFRHLFIQNHASGSTLSSNQRTSPASDDAAGSEKFRARSLTISGDAGEAASEFCRCELLRVLDLGECNDLEDSHLKDIHKLWHLKYLSLGGTISNLPKKIDKLHCLETLDLRKTKIEILPVEVIGLPHLAYLFGKFKFGKKDLRKSEIAEFSQRKSKLKSLAGFYADGNPGFLQLMAHMKELKKVKIWCESTGADNKGLPHISKAVQKFAQDGMDTTGVRSLSLNLGNTMGDFLGSIQEYCYLSSLKLQGQQCIASVRYILVWPHRVVPFID >SECCE2Rv1G0086430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:211093371:211093712:1 gene:SECCE2Rv1G0086430 transcript:SECCE2Rv1G0086430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLMEISRKWHGSASSKVTSPTAAAGAAATAAACPRGHFAAYTRDGSRFFVPIGCLTSNTFRELLNMAEEEFGKPGDRPIVLPCSVACLEQILADFRATSKKHTGSGRTKIW >SECCE3Rv1G0171750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:277798939:277820832:1 gene:SECCE3Rv1G0171750 transcript:SECCE3Rv1G0171750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVKRSTFASCPLDAFRPFIIALLAVVYIYVPVTAIVAVPSSHCYTFDSDSYLVDFTHLSGKNFEYNEEGSVTSDLVVQLCKDVQRRSQAGGFIDFGRFTNHRSFETGSKPIDYIQRFHNGDLAKCETTFEEMGRTAQVNIMCGSCSNKVCKDEHGCICSVSYDERMCRTVVDLAIPCPKRGPRVFKGFTVGFHPRSAEVVYNGLTQLGFEQLHHGFSFPSEQIHVSLYLSAMSSLADLVGKPTFRVNPVKGLDVMLAGSGANGAVPTALSPTVLNVHWICEIIQSNPYVVNVSIPVAGYDPIEFTLTKECGYTQERESDSMRGWATFGIISCIFIVFVTLLCCGGFIYKTSVEHQDGLHALPGMTTVSAFLDGVGRPTGYLRAGDPSGNHASQASWENTSATTPVAQRTNDGRYGTI >SECCE4Rv1G0217530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:17026520:17029472:-1 gene:SECCE4Rv1G0217530 transcript:SECCE4Rv1G0217530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLMHLEGNAYSCKFCRTHLGLAADIISKNFHSKHGKAYLFNRVVNVTSGINEDRMMMTGLHTVSDIFCVGCGSIVGWKYEAAHEKSQRYKEGKFILERFKVSGPDGSHYWGVTHDAHHGGGSDTDDL >SECCE4Rv1G0249350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:582063552:582068953:-1 gene:SECCE4Rv1G0249350 transcript:SECCE4Rv1G0249350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23, isoform I [Source: Projected from Oryza sativa (Os06g0264300)] MKLNVKTLKGTSFEIEATPEASVGEVKRIIESAQGQNVYPADQLMIIYQGKILKDDTTLEANKVAENSFLVIMLSKPKASSSGASTASKAPVSQSQPATPPAPVASAARSPPSQAPVAASEPAPPSAQPSAVSDTPAPAVTASGDADVYSQAASNLVSGGSLEQTVQQILDMGGGTWERDMVVRALRAAYNNPERAIDYLYSGIPESVEAPPVARAPAPAQQAPNLQAPQVQPSGGVSAGPNANPLNLFPQGIPSGGANAGAGVGAGAGALDALRQLPQFQALLALVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNETPESGAGGNILGALAAQMPQAVQVTPEEREAIQRLEGMGFNRELVLEVFFACNRDEELAANYLLDHGHEFEEQQ >SECCE3Rv1G0165230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:171014313:171020631:1 gene:SECCE3Rv1G0165230 transcript:SECCE3Rv1G0165230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSTRRRSRKHDREERDRSDSDEDPRPREQEDRKAAAARVSKGSEDRQKRLAKLPNAGEASGSSAEGHKKRKIRGEKETAAGDDRRSCGEEDERVSYYDSKKSRNSDGDDVKGKSARKSSKEYDRSNEVGRRKSDKETLSEGHSAGEHHRVKERGRELEAEKSKESSKQVLLLKEDGMDQQYNVVKDRSKDHEKVSEGGHDASSKATSRNKEVKTRDLDSDKTKNYSNGGTDAGRHCEDELHDGESEKNGRHRKTKDQSVAKDESQSGSKGRQTMPRDGRTKTDGCYEQQHTYEKLKDDMPRGVEKHKEEKYRDDKSKAQDRHRSNKHKDDRHKDEELHRDERYREERSRHEDRHRDVKYKGERSKEEDRYKDERYKDKPRDEEKPRNERSDNSSREKHGDDIYRYHRSQDGGSWDVRSSKDKTRDRSLEKHCKEEHHYSESRHNKNRFHDNEVDTSAADRRSSKYRDDSKAGKKRSYEESIHNGDLEPRNAKEYHGDAKRRSDVGSFVSSEDRRREYEKVDSRRRDYERKSPTRSSTYPVKEQSRHFPKQEESSPRENGAALGRHGRASDFQSVEGLSKTDANSNESSLPLKDGKSLRSDGRSIHFNERPPSASDCQVSNRNNLRHNHDAVDGSVKKGNTRHHELNRQATEKNYQGASPGHLHLPRDRPEMDNQRSFDDDNRSQIRERRSSSRSRRSGTVDNARGHGNTWNNPSSWPSPVPSGFGPFQHGPPIPGFHPAIHQFPQSLFGMRPSMDTNHAGMHYPLHGHPESFPHCAQPFPWHNPAEDPYLSRVPGWDANRSVFEEYSHGYGRQELVRNNDPDFQQQSESEVPLSSHTSDKPSLMQLPAQNESQSSEVKRVGTNAEKTDAHAPKYRSEATPGPSNVKVDSRFCSNYFKRLDISTRLASPELYKKCSTMVGELELAGWCESNMHRCLKNNKDEHGHQAQGFKCMKSIFSGKATSVFENAMKLYSSSTGSSKSKALASSSEPESEEMIKEASVDMVAGKNVADGEDQALPCTDMCDEVHNNDQPHHPVVSSMPGKAGLVTGEDKVGSDVEDNHAEELQAPSNGEGELLLPKALQPCCSSVGSTRDVLKEQFSGVISDATFASGSQSCEDVMPGCRVNLSRIPCSPGST >SECCE5Rv1G0309840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:160656526:160657026:-1 gene:SECCE5Rv1G0309840 transcript:SECCE5Rv1G0309840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARCVITEECALAVSTERMWKAAFSGKDTTILPKACAGFIDAVDVEGDGGPGSVSTMTLSPAAANLVGSGVMRSRMMARDNAARVIKMEVLEGSKVNGQLKSQVVELKLEAAGEGACVVKLRVEYEKLDGGGALSAEDEATLAAGYLDLFKMVEAYLVAHPAEYA >SECCE5Rv1G0343900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:642219156:642220097:-1 gene:SECCE5Rv1G0343900 transcript:SECCE5Rv1G0343900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRCRKNSRTGSPPAAEIDVLLDELLEQVFLRLPSPANLIRAASTCKRWRRVIAGDGGGLLRLYGSLHGASDDVVGHYCVDDRDGFPYPRPPSLQPVFVPSPSSSSPWADTVAARNLALDFLPRGEFGDWRWELADIRGGLLLLFLRSRAPRLLICDPLRRRYKELPRSAWFHGCHLLGAFLLDGEDADAGISMSNFRVTCMLFRFRNRNARACAFSSSAGGWASAAGRSSMSISPDLDLDPIHFAGSDDGSADWTVGANILLSLDKDAAELTCFLVLDDEEYAALREKRHPTGYPYQMPWPPTIQACLS >SECCE6Rv1G0389280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:148173535:148175473:-1 gene:SECCE6Rv1G0389280 transcript:SECCE6Rv1G0389280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTPWYYPQLLRTDFPGTEEPGVPMDMRHGLDPETLDPYKNMVLKFIYSFLLKSPVSTAASLSCTTADDGDGLDRFSLLPDDLLRRVVSRLPAKDGARTAVLSSRWRHLWRSTPLVLAHPGNFTFVSLTCSFLERADRGVLARWVQLLATKGVSELVLINRPWPLPRGVCLPVALFSCASLTRLYLGAWLFPDTATLPRGAAFPNLRELVLGCVIMRDKDLDFLLAASPVLEILAVVGNQTELHARLASQSLRCAQFCVSNVEEVAVVDAPLLERLFIWHTSSRGHSSMAGSRTSARVKIGHAPQLRLLGYLRPGRQVLEIGNTTIKASTQVSPRTIVPSVQMLALSLRFGIHNEVKMLRSFLMCFPNVENLLIESGETHEPTGNLRLKFWQENGMIECVRSRLKSIVFREYHGHQNEFAFLMFIAENAKVLERMVVELKFGRYDVPLQIAIKMKALEGAKWACGGHKLQLTFSKFPTAWSLTRGSDFSCDDPFLCLCYMPTYFEMRDSSGQFKPLGYL >SECCE1Rv1G0051550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:655206449:655208934:1 gene:SECCE1Rv1G0051550 transcript:SECCE1Rv1G0051550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWIRSLVGIKAPSSSAAAPGKGRKWSRLWRSASRDGAGGCETSSTSSSAGALGSVVAAVARAPPADFRVIRQEWAAVRIQAAFRALLARRALKALRGIVRLQALVRGRLVRRQLAVTLSRMEALLRVQERAMERRARCSADAQSQEAHGDRNRRADPLRETEEQWCDRQGSVNQVKSRMHMKHEGAVKRQRAIAYAHSHQGRSSRYSGRQSSPARSLRNHESYIEGWMATKPWESTHMDSNLGESRRLHSYKEKMNFEDSKYSCAGSIKIRRSNDSARVEAMPPPALSASSSDFGCDGSSPSTSSVTPGYSTNTLASEARSDSGGGPGYMSLTKAAKARLEDVGGSRRGPFLLQRQRSGGVPYYSRRVALSSLDSESNAGSDVSAAARRLNSLSLKGQSMTRSLDKENYNY >SECCE4Rv1G0281170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825335671:825336162:-1 gene:SECCE4Rv1G0281170 transcript:SECCE4Rv1G0281170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAPAFSESEVPRLPLKLVFLLARLRRLSSWLLCLVGTGVDDGLSFDHPTASGIADYHHHHQEQYDDYEDRYLEELEKHSLAMRFEALSGAGDEALLLPQGCAVCLGNFHAAARVRRPRGCHHVFHRACLDRWAAHGHSTCPLCRAPLLPPFLLPLPLPAS >SECCE2Rv1G0077730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:101415682:101420991:-1 gene:SECCE2Rv1G0077730 transcript:SECCE2Rv1G0077730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGRTSRGGPSTAGRPYFPPISAPPAASSSSPSPPPPPVETASTSVTKTVNGSHHFKIAGYSLAKGIGVGKYIASESFTVGGFEWAIYFYPDGKSAEDGAAYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLEGGPYTLKYRGSMWGYKRFFKRSGLETSDYLKDDCLLVNCTVGVVQSHTEGPKIYRIPVPQSDMSQHIGHLLTSGKRTDITFEVDEEMFPAHKVVLAARSPVFRAQLFGPMKDKNMKCIKIEDMEAPVFKALLHFMYWDELPNIEELTGLNTTWVSTLMAQHLLAAADRYALERLKLLSELKLCEDVAINTVANTLALAEQHHCHQLKTVCLKFVASPENLKAVMQTEGFDYLQQSCPSLLTELLEYVAKVGDHAVPPCLYTNEVLDGGDANGRRVKPRL >SECCE6Rv1G0391830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:235776344:235786634:1 gene:SECCE6Rv1G0391830 transcript:SECCE6Rv1G0391830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 15 [Source:Projected from Arabidopsis thaliana (AT1G04880) UniProtKB/Swiss-Prot;Acc:Q9MAT6] MSVADAAAAADKGKEKVEEPVPAARAAGGGNGRFMAYPARMAEHKGVVADAALFRAELEKLHAHMGTKLKVPIIGGKDLDLHQLFKEVTSRGGIDKVKAENRWREVTASFIFPATATNASFMLKKYYMSLLYHFEQLYFFGAQGWYQQESDPRSLPCIEVGAVTQNTDKRKRATNASSDPALASDNADVDVIIDGKFEHGYIVTVITGSKSTKAVLYNHSEEPALTTLAPTMPVNNTGSKGGHRRRQRRKKLSTTDPRHPKPNRSGYNFFFQDQHRKLKPDYPGQDRLISKMIGERWNNLSPEDKAVYQERGVQDKERYQSQLAAYREELRTGQPISNPMPIIGNAAPIQQTFPRTEVTIDEVDSKVSKGDMLLSNQRYNNSDKGVDSGGKLVEDEEFDTDTSPEPSMDTTDSPGPLDPSTDGDRFELRKRENPNKKEKQSTAPK >SECCE5Rv1G0322940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:427533406:427541867:-1 gene:SECCE5Rv1G0322940 transcript:SECCE5Rv1G0322940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELSMALAAPPGGGLLAPPPPAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTSYLGGLTFLMYKLPLVECLMFGALISATDPVTVLSIFQELGSDVNLYALVFGESVLNDAMAISLYRTMSSVRSNAAGGENIFMMILQFLEIFVGSMSSVLNLWYVYYFSLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGMVMKHYTYSNLSDNSQRFVSAFFHLISSLAETFVFIYMGFDIAMEEHSWSHIGFIIFSIIFIIVARAANVFSCAYLVNISRPEHRRIPLNHQKALCFSGLRGAMAFALALQSVHELPEGHGKTILTATTAIVVLTVLLIGGSTGTMLAALDVIGDENASIETYEDNHGYIPPTYDEGTSSGGGLRMKLKEFHKSTTSFTALDKNYLTPFFTSQTDDDADDFGDQPQNQRRGFYDQ >SECCE7Rv1G0471150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:128232422:128234760:-1 gene:SECCE7Rv1G0471150 transcript:SECCE7Rv1G0471150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDTQFSSAAMKRTSDWIRSQEFPSDITIQVGESSFNLHKLPLASKCGYIRKQVSGGNGSRVTHLEITGMPGDAKAFDLVIKFCYGVNFEITADNVAMLRCAAEHLEMTEECKPGNLIGRTEAYLEEVALASLEGAVTALRRAEELLPASDKVQLISRCIDAIATMTCGDGSHEGLDDVDAPPKPVDDWWADELTALRIDTFQRVMIAMKARGFKGIAMGTMIMLYAQKSLRRLDTNGRERKKMEPRQEHEKRVVLETIVSLLPREKNTMSVSFLSMLLRAAIYLDTSLACRLDLETRMAAQLGQAVLDDLLIPSSSPEGAGTAYDVDAVQRILAGYLENEGEATRLDYNTDDDFASAASPPNDVGPVGKLMESYLAEISSDVNLPVDKFTGLAELIPERARFNEDGMYRAIDIYLKAHPTLGEGERKKVCGVMDCQKLSREACAHAAQNDRLPVQTVVQVLYHEQRRLRAPPPSQAPSGAPSYAGGESPTLSYRPTPSLNGRERSAPSSEVSRLQRENDELRMELMQMKMRLRDPSGAAPAAAPPPLAAGATRSFPTSGKPPLPKKQGGGSGGGGGGGFMKKLGRLNPFVRDPLAGGKVRTKPPKDRRHSIS >SECCE6Rv1G0433750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:761379582:761381176:-1 gene:SECCE6Rv1G0433750 transcript:SECCE6Rv1G0433750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCAAVVAVVLAAAVAGAPVDGLGVNWGTMATRRLPPKVMARLLKDNGFKKVKIFDADETTMMGLAGTGIETMIAVPNDMLAAVAADYRRAKEWVKMNVTKYDYHGGVNIKFVAIGNEPFLTAYNGTYDNVTVPALKNIQRALDEAGHGAAIKATVPVNADVYDSPASNPVPSAGKFRDDISIIITDMVGFLNHSGAPFSVNIYPFLSLYGNDNFPIDYAFFDGAPPPNPVVDNGINYTNVFDANFDTLVSALRKIGFGNLPVVIGEVGWPTDGDKHATVPYAERFYAGLLKRLAARQGTPLRPHARIEVYLFGLVDEDAKSVAPGNFERHWGIFTFDGRPKFPLDLRGDGRPAMPAGARGVQYLPRRWCVLNPNNASTTNATAVAENVAYACSRADCTPLGYACSCGALDAAGNASYAFNAYYQAQGQVPLACDFQGLAVVTDKDVSQPPCNFTVQVVAESSATAVTTNTTAAESMAADAAAARLTAAVMALLLVLVVSSA >SECCEUnv1G0540120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78479921:78481185:-1 gene:SECCEUnv1G0540120 transcript:SECCEUnv1G0540120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVIGKLSVRVVRGHNLIVADPLTQTSDPYVLLCYGSQKVKTSVQNKNVNPLWNDVLVLPVTDLTKPVKLEVFDADAFTADDSMGVAEFNVTDIYDAAKLDLKHASDGTRIKTIYPVGTNYLGGESHVSWKNGKVVQDLILKLKNVDSGSVVLQLEWVHVPAAGVTL >SECCE1Rv1G0010790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:65777009:65779782:-1 gene:SECCE1Rv1G0010790 transcript:SECCE1Rv1G0010790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVAVRAPTPMSRRTRHAYQLAAGPTIPPPPLQTTTTTTGNGVQQNSQRRMDLEHEVVELKQQLSNEETVHHILERALHPTTATSTSSARSVLLNIPAFIPAKAKQLLAELVLVEEEIVRLESQIHTMKGGLITTAQQQRASMATATYASSYSPSVYTSNGNGNGNSNGTATHAALTRQPADQVTPEIKSMFFISQAMNAEYLQRHLAADDKPDRSPRDQTRGSGAPAVVSPQFNDSLFGPPPRNSHDKKQSGREAENPPRATQEPSPPPTTAKRDEQSKVQPNKLSERIVKCLAVIFIRLLRSSRAAEMDKSGNLARSGNLQGSFRIDAALNVAAGVAKEKDRGQQDHYGIFGIQDSIVRDIGPYKNLVRFTSSALDLRGFSTSPLLTKLREMLEALQQVDLRFLTHQQKLAFWLNIYNTCIMHGILQHGLPSNSEKLLALKNKATINVSGQKFNALVIENFILRQPSSVKEEFWKCDVDVEEQQVRGLYGLNSSEPNILFAMCCGIRSSPALRIYKADRVMADLEKAKLDYLQASLVVTSTRRLMIPTLIHSNMHDFAKDMESLLRWICDQLPTSWSLRKSMVDCLRGHLKVDDVVEVIPYDYEFQYLLPK >SECCE3Rv1G0165980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:181190181:181190591:-1 gene:SECCE3Rv1G0165980 transcript:SECCE3Rv1G0165980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCEUnv1G0550530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:220680945:220681160:-1 gene:SECCEUnv1G0550530 transcript:SECCEUnv1G0550530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPTMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYENARKDTKSRR >SECCE5Rv1G0346640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:662995812:662998489:-1 gene:SECCE5Rv1G0346640 transcript:SECCE5Rv1G0346640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRVGIVGAGVSGLAACKHALDKGFSPVVFEADDTIGGVWAHTLQSTRLQAPTTSFRFSDLAWPASVTATYPGHREVMEYLRSYACEFDLLKCIKFSSQVLGVEYFGTTEEEIMEWKHWSGDDGKAFRAGKDGGWSLKVKDLKIGTVEVFQVDFLILCIGRHSGTPNIPEFPVNKGPEFFKGKILHSMDYSYMDNAVEFVKGKSVTIVGSGKSAFDIAAEVAKVNGAAQPCTMIYRTKHWRVDKFSMWGVNLNYFYLNRISQLFVHKPGEGFLHYMLASALSPLRWAVTKVIETYLKQRIPLQKHGMVPDYSFSFAMSSCLIAMLPKGFYDRVDDGSIILKKSKRFSFFSDGINLEDGEESIKSDIIILATGFRGDQKLRDIFTANWCRNIVAGSSDTSVPLYRECIHPRIPQLAIVGYSESLTDIYVSERVANWVIHFLAGGFQMPSVRRMEESVAEWTKYKNLYNGKYFRRSCISTVNIWFNDLLCQDIGCNPRRKKGFLAEWFQPYGPADYAGLC >SECCE2Rv1G0099140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:508723153:508726000:1 gene:SECCE2Rv1G0099140 transcript:SECCE2Rv1G0099140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRLRLLLVVAAVLLLLHPALSLAAEGLEDPLIEQVVGGDAENELELNAEAHFATFVRRFGKSYRDADEHAHRLSVFRTNLRRARRHQRLDPSAVHGITKFSDLTPDEFRERFLGLRKSGRSFLKGISGSAHDAPALPTDGLPTEFDWREHGAVGPVKDQGSCGSCWSFSTSGALEGANYLATGKLEVLSEQQLVDCDHECDPSEPRACDAGCNGGLMTTAFSYLAKAGGLETEKDYPYTGKNSACKFDKSKIAAQVKNFSTVAIDEDQIAANLVKHGPLAIGINAVFMQTYIGGVSCPYICGRHLDHGVLLVGYGSAGYTPLRFKEKPYWIIKNSWGENWGESGYYKICRGPHVKNKCGVDSMVSTVTAIHTSKKE >SECCE3Rv1G0186380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:683851613:683854240:1 gene:SECCE3Rv1G0186380 transcript:SECCE3Rv1G0186380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNLTNVAVLNNPTSFVNPFQFEISYECLVALEDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFIRVGYYVNNDYDDEQLREEPPAKLLIDRVQRNILTDKPRVTKFPINFHPETSGGQQQDQPQSAVPENHTSEGSKANADL >SECCE6Rv1G0450390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:867709436:867710832:1 gene:SECCE6Rv1G0450390 transcript:SECCE6Rv1G0450390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARDLRLAVSALLLLLLLSAGVLAARAQNEIDDESEFSYIRGSENGPENWGKIKEEWATCGTGQMQSPIDLSDHHTSQAPNLGYLNHSYLPAEASVVNRGHDIMVKFNGDAGSLWINGTAYHLRQVHWHSPSEHRVNGRRYSLELHMVHLSAENKTAVIGILYKIGRRDHFLHDLEPYLKGMADTKENEVKVGMVDTWDARGDGEAYYRYMGSLTTPACDEGVIWTVIKKVSTVSSHQLKLLTDAVHDGFEMNARPLQKVNGRDISSFCPDDHHERYYAAADQ >SECCE4Rv1G0287670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:856422433:856423863:1 gene:SECCE4Rv1G0287670 transcript:SECCE4Rv1G0287670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNRGQEPTGPVYAPVPLRTALSLDLGNGQNGTFVHSNIDGSTTFLLPDVRSAAAPTHPTAPATATQNQNHERAIHLIKLLYSCVAALDEGEKELANKGLEMICSLATDNGDPVHRLVSSFAEALALRIVQPWQGVCRALQLPKTTPAPAAAAARRHFAVMCPFLRLAGTAANYAIIDAVQTESNAVLHVVDLGGADPSQWLLLLRFFAKLPGASAHHQILRLTIVNEDDELLSSTAALLAMEAKHLHVGFQFHPVKVHINQLVSVEPLGVRSGESLVIVSTLHLHRLLADEFAEVATRPLDKKGKAPVHATMTRADALLRDLAELSPKLMVVTEQEAKHNGVEFRVRFGNALKYYGALFDALEESVPARGSAMEREGVERCLLLDEIRDIIACDGAQRRECHEPMLRWAARMEAAGFVTAPMSPGNVAQTAILARMLAGGSRAYRIDSENEGRCLFIYRDDVPMFSVSTWRTV >SECCEUnv1G0531390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17366930:17368856:1 gene:SECCEUnv1G0531390 transcript:SECCEUnv1G0531390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPADVLWRGAAASFRQPVTEDDQLYAQYMMASVDLFNIRSSNGTIIPEPEYRSSDQEIDILRHVFRFDRAPYDFVFQNGFEARREAGTPVEIYCNLEHYVNHGGRPLDTRRRTNHVFVSTTLSSSWYPMVGRGHTESIYRYEIYAPGGILVAQTLAARYKYPAQDEVAFLGGIAPQYIRSAQLFELTNDRNPRRRRVNDILHINRNFNPQSHPQRTLGIQYPVSYYMQDSQQRNLSIQEFTGAGQGLQRTEPSQAAAGQRRLLGITNDVPVPAGYYREGAGNIDGYIDSAFRSTHKNEVYIFIKEKYVLVNYAPGSTNDRILNGPHYIGDSFPSLAGTAFSEYGVDASFGSHRSGEAFIFSGNLCALINFAPRSTQDWIIDGTKTIRQMFPFFRETSFEKGIDAAFESSVSGEAYLFRGPDYALINYYKKELIAIRLIADGFHCFRNSEFERDIGAAFASHAPNQAYLFKGNRYLLFHFTPGKNKDYIINGPKEVVPGNWPSLNAVLPCNNLGLDVIEFPHTKPLPADRDRDEL >SECCE4Rv1G0217460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:16370980:16372998:-1 gene:SECCE4Rv1G0217460 transcript:SECCE4Rv1G0217460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSAFASLDLLPAARPRPRPPAPRLRRLVFIRAASVSAVAEESSAAAAARGRLESLSQVAGVLGTQWGDEGKGKLVDILAQRFDVVARCQGGANAGHTIYNSEGKKFSLHLVPSGILNENTQCVIGNGAVVHLPGFFKEIDGLESNGVSCKGRILVSDRAHLLFDFHQVVDGLREVELGNSFIGTTKRGIGPCYSNKVIRNGLRVSDLRHMDTFGAKLNTLLKDAATRFKGFEYSSKTLKEEVEKYERFAERLGPYITDTVHFMNESILQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRTLGDIIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGMEFGTTTGRPRRCGWLDIVALRYCCQINGFSSLNLTKLDVLTGLKEIKLGTSYCTEDGKAIDSFPADLDILEQTKVKYEALPGWEEDISSVRDYDDLPETARLYVERIEELVGIPVHYIGVGPGRDALIYK >SECCEUnv1G0570150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:459909843:459910184:1 gene:SECCEUnv1G0570150 transcript:SECCEUnv1G0570150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQAQVLRIINKKSKKEQRRKNVTRKVFSRLEMLEGAKSIGAGAATIALAGAAVGIGNVLSSLIHSVARNPSLAKQSFGYAILGFALTEAIALFAPMMAFLISFVFRSHKKS >SECCE5Rv1G0343230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637091159:637095232:1 gene:SECCE5Rv1G0343230 transcript:SECCE5Rv1G0343230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSRLERQEAVSRCKARRRYTKHLVQARRDMAAAHALYLRSLRATGAALLQFATAEADNPHPRPPRHQRQQPPPSPPPPPPPPPPPPPPPPPLSPARTASSWTTTTSSTISASQILPPPPPPPPPSAPMPSSWDFWDPFAPSSSRSPADAAADWDDAATSLAESPRAPPPPVVVTAKAAQAQPPAPSVVTTTTSTASELTVVALPRGGGGGGAAGKKDLAEIATEIDEYFLKAADAGARVAALLEAPICELPNANNSLPGRVMSYGKNLKPTGWSWGGGGGGYGKGSSNGFSRFGRDDEGMGNGGGSGILSHSSTVERLYAWEKKLFLEVKNYEGLKQEHDKKVGLLRKQEVRGVDYLKMEKNRMEMESLESKMLVATQSIDTTTSEIIRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHMVQQLEYLTMSTNPTSNDHRQAALQLEIEVDRWYSAFCSLVKSQRDYVYSLTGWLRLSLFQCHHNPLMKDIQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLSVIHAVVVQQAEEQKQKKRSDAAFKDFEKKTEELRSLESKYGPYSAEGYGEMTRKTPVAEKRAKVEALRSRADDEKSKHEKSVGVTRAMTLNNLQTGFPNVFQAMTGFSSVCMEAFESVYNFKRTSDRALDMKRLLT >SECCE4Rv1G0263920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718445393:718446013:-1 gene:SECCE4Rv1G0263920 transcript:SECCE4Rv1G0263920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADKGEVFERNTKLGLDSHPGATEEAAGLLTDDLVLDFLSRLPARSVHRFKCVSVPWRDLITDPANRKKLPQALAGFLYMSFRSMHHHHHFASVSSGAAPFDPCLPYLQPNKYKDMEQVDACNGLLLYRGCTKNLAPWDWTEDDCRFVVCNPATGSWVELPPRRLDLNRKSRRKGVAVSQVWLLIRRSHPISMFIVSRRQTRRA >SECCE7Rv1G0525250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:889239127:889241359:-1 gene:SECCE7Rv1G0525250 transcript:SECCE7Rv1G0525250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLADLLAEVFREPTLAGVARELATLAAPLWLAALVGLLIGWAWRPRWAAAVAGHNHHEPVAAAPAPAQAPVADHLAVVPRDKAASVAPVMEEELAVGTPDLMHLRRVVEEKDGGPAWTHIMDRTLPTFRYQAWKREPQDGPPQYRSSTIFEDASPDVVRDFFWDDDFRIKNTWDDMLLEHETLEECTKTGTMVVRWVRKFPFFCSDREYVIGRRIWASGKTYYCVTKSVPRPSVPRSNKPRRVDLYYSSWCIRPVESRNGDGAMTACEVLLFHHEDMGIPWSIAKLGVQQGMWGCVKRIEPGLRAYQTARAAGEPISKCAAMAHANTKFVADELTDSEDNAEAGSTSNNAQAAEKPKHWTGNLPKVFVIGSVVALACTFDKGLLTKALVFGTARRFAGPGRR >SECCE5Rv1G0299030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14639220:14645002:1 gene:SECCE5Rv1G0299030 transcript:SECCE5Rv1G0299030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEAAPGGGGGIRVLLRRKQVDSDRARAAGGQQLAKELSITQLVAIGVGSTVGAGVYVLVGTVAREHSGPALTLSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGPNSLPWILARHELPWLDVVVDPCAAALVFLVTALLCVGIKESTFVQGIVTVLNCCVMLFVIIAGSYIGFQTGWVGYKVSGGFLPYGVNGMLAGSATVFFAYIGFDSVASTAEEVRNPQRDLPLGIATSLTICCSLYMLVSVVIVGLVPYFAMDPDTPISSAFARHGMQWAMYLVTSGAVLALCSTLMGSLLPQPRILMAMARDGLLPSFFSDVSEKTQVPVKSTIVTGICAASLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPSSLQTSFRLSQECDEEKVGSPLGDGNREQGTSEIKDVIVVESINDPLIEKQLYANKLDELKRRKTAARSIASVCVGVLILTASASVTFLPFLVMCLFCVFGGLLLLAGLGMLSWIDQDDGRHSFGHSGGFICPFVPLLPVMCILINTYLLINLGGGTWMRVGVWLVMGVFVYIFYGRTHSSLTDVVYVSLAQANEIYGSSSSSAFVA >SECCE4Rv1G0277370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:803206992:803209287:1 gene:SECCE4Rv1G0277370 transcript:SECCE4Rv1G0277370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVLYVTAVGLACTALRLYEEELRSFFTSRPAYLKTLDAAGADPVIGRDDEIDRVICILCGRTKNCAALVGAAGVGKTAIVEGLAQRIAAGAVPAALAGARVAELDIGAMVAGTQWRGMFEQRLKDAIKQVEDARGKLILFIDEMHMIVGAGDREGTGDAANILKPALARGRIRCIGATTSEEYRRYIQKDAALDRRFQRVDVEEPSVPATVAILQGLKHRYQDHHGLTIHDDALAAAAQLADRYITGRQFPDKAIDLMDEACTTVKLHKQKDVQNKETNTINAPEKLTVGPCHIAQVVSRWTKIPITTLGREEEKLSHLAHRMHERIVGQFEAVNLVAQEVLRSRVGFDQSGQPIGSFLFLGPLGVGKTELAKALADKLFDNEKALVRFDMSEYADSGSVSRLIGGPRSYEEDGQLTKKVRSQPYSVVLFDEADKAHPSIFKVLIQLLDDGMLVDGKGRNINFKNTIIIMSSTLGAENLSARMAGENIETARDLLMKQVEKRFKPEFLNKLSEIVMFEPLSHDELRKITRIQMKRVIDTAAYKGISLLVTDAALDVIWSEAHDTVYGARPIKRWMKKNVTRVLVDMLVNGEACQGSTISIDAADDNKGLKYKVRK >SECCE2Rv1G0089670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:260124645:260125223:1 gene:SECCE2Rv1G0089670 transcript:SECCE2Rv1G0089670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKRHHALSCCVLLTVLVILGILSIVLYILYRPLPPRVVTSPVETVVEDFSLLPPSLTLSASVHVMASNPSRAPFRYGETVTAVTYHGEPVGSTVVPAGKIRGRTTSWVAPVTEVDGIKVAESPHFAGDVVAGALPFVVVVRLDGKALVLRAFEVSVTVEVVCYVQVYVLQGDSSSHCVSMVRTGPGRNY >SECCE6Rv1G0427850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:721201179:721201436:1 gene:SECCE6Rv1G0427850 transcript:SECCE6Rv1G0427850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKVTAAVCVVLVLLIQLQAPAAAQDIRCDDCGPGCSNACRELRKYRCNGFCNILPSLCEHCHTINAHTCLIDCFDLCKVQCK >SECCE2Rv1G0121100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:804568858:804571727:-1 gene:SECCE2Rv1G0121100 transcript:SECCE2Rv1G0121100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to H0215F08.7 protein [Source: Projected from Oryza sativa (Os04g0623500)] MEMITNVSEYERLAKEKLPKMVYDYYASGAEDQWTLNENREAFSRILFRPRVLIDVSHIDMATNILGFDVSMPIMIAPTAMQKMAHPEGELATARAAASAGTIMTLSSWATSSVERVNSVGPGIRFFQLYVYKDRNIVRQLVKRAEMAGFKAIALTVDTPRLGRRESDIKNRFALPPHLVLENFAALDLGKMDKTNDSGLASYVASQVDQTLCWEDVKWLQTITPLPILVKGVMTAEDTRIAIEYGAAGIIVSNHGARQLDYVPATISCLEEVVREAKGRLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVLYSLAVDGEAGVRKVLQMLRDELELAMALSGCASLRDITRAHVVTDGDRVRRARL >SECCE5Rv1G0350670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691654806:691657056:-1 gene:SECCE5Rv1G0350670 transcript:SECCE5Rv1G0350670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEASVSEKKRQDEPAHIASAIMDHGDHACIDLISPLPEEILGSIISLIPVKEATRTTVLSSRWRHLWRSAPLNLAVDNNLSKKKREWISIVSMILASHPGPARRLSLYNPIRIDLRCDLYAKFDGWFRSPALDGLEELDYYWTDEPPRTLPLPLPVLRFAPSLRIAIIGGCDFPEINAAPALRFPRLKHLKLYEIYISERTLHHLLAGCTVLEGLELRRIRGLSSIRIVSPTLRSIGVSAFNHHDESLLFEELVIEDAACLERLMQAGWYSPRAIRVVMAPKLTVLGYLSNSTSELMIGTIIIKEMIPIGLTAVVRTVKVLALESIGPNLHSVVRLLRCFPCIEKLYVQLRLGNDMENVWEYDTSDPIECLDLHLRAVVLNGYEGKTPHVNFAKFFVLNAKVLKVMKFGIYCCTWNDKWMADQERLLHLDNRASRDARFNFEQYTGSCRFFYNKHLHDMRMADPFDSALCKCC >SECCE3Rv1G0150040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30271990:30272910:-1 gene:SECCE3Rv1G0150040 transcript:SECCE3Rv1G0150040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCIAAVLLVLSLGGLAAGRLSAAVADEHVDTIRLPSNGLADEVATAATEKKRPWKCCDRPVCSRSIPPRCRCMDAVEQCDEACARCEASQSDPSKRICNDRYHGWPGPNCTNPDADDIPSGPGVSGPPVVGQATAVVPKTAGPPVVGQAADKAVAGPGVSGPPVVGQEATAEAAVSEEIAVDGEKPRPWKCCDQTLCTRSAPPTCFCHDKVKKCAKTCKNCLKDESGSSLRVCGDPYFGWPGPRCHEI >SECCE5Rv1G0310210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:165921672:165924976:1 gene:SECCE5Rv1G0310210 transcript:SECCE5Rv1G0310210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATATELAPAVAEGAPRNHGTVKDIDVAARRAQEAANRRYDAASWLRRTVGIVCARDLPEEPSEEEFRLGLRNGIVLCNALNKVQPGAIPKVVEARADTVIPADGSALCAYQYFENLRNFLVSVQDIGLPTFELSDLEKGGKGVRVVDCILALKSFSESKTTGRQTPCKYGSLTKPSTSGKHFILKNSDAFMNNLMRSQTTEPIQKVLIAEQSIETDCCLESTEMTTSESLNMLVRTLLLDKKPEEVPLIVESLLIKVIQEYECRAANQHLVNCIGDLKGTDLFSRPEKPLPEKSSTSNRIKMSEEEPNLLKITEEVSSVVRNDNCAAEQFQPGAEISIELQQKHIQELRNSLSSVKSGMQRLRIQYSEDFTKIAVHPF >SECCE2Rv1G0099370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:516963232:516968587:1 gene:SECCE2Rv1G0099370 transcript:SECCE2Rv1G0099370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMDMSFDFSWESPNFFEAQVLELGVVDSLVDFCSMHLPAEDSLSGLYSCYDDSSSPDGTSSWPTATARATRASKNIIMERDRRRRLNEKLYNLRGVVPNITKMDKASIIQDAIAYIEALQEQERQLLAEVSDLETDSCTASVGSQAEEDGVGLPRRKKMRRTSSASSINDAITSPATYPVEILKLEVTNVAEKLSVVSLRHGKARDAMAKVCGVLQSLCLKVITASVTTVAGSMVHTMFIETEGMDGAQTIKEMIQVALHHLK >SECCE2Rv1G0120480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:799158567:799158803:-1 gene:SECCE2Rv1G0120480 transcript:SECCE2Rv1G0120480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQALAATLLLVMVVSLAALEGAHGVCGMSNDEFKLCQPAAAVNNPMDSPRLSVVLRLGRPTYHASADTRASPAYG >SECCE7Rv1G0526520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:895911482:895914692:1 gene:SECCE7Rv1G0526520 transcript:SECCE7Rv1G0526520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGTGGWMSGSLFSKLFELLFLDEHKLDKCDKRYLERLSRELKSTQLVLRRVSEAPREQLDSQVRLWADNARELLYGIEQDVGDVLLLVEQGKDFTNKMANLFKGQTRYQIAHTIRDIEQRVQEISERDTRYSRVDDVATDLPLYTEEGGLIGIEHARDELLQILCNGGSNQLNILSVVGSGGLGKTSLAKAVYDKLKMEFDCTAFVPVSRKPNTKKVLLHIMYDLDKQSYSDNKAKDEKQLSHEITRFLQTKRYLIIIDDLWDEKLWDIISSSLPSNDCGSRVIATTRVNKIAEKCCSENKGFIYKIMPLGYQNSRKLFLERCFGSEESCHESKIADALSEVDEILKICGGMPLAIISIASAIFPFSDRKIKKPCQEMVKSLHSARGDLHCVEELTMILSYSYFDLPDALRACILYLAASAKNQRIQRDNLVRKWIAEELIPEDKDVARQYFDELISRNMIQPVKYVNCLGKETYEVTYMMLYVLRLLLRKNNFATILSESEPHVEVMPIRLFMQCSDSELSISTERVNLTNVCSVTMLGPAIPVSFKHLEYVRVLDLDGCKDLENSDMDDICLLIRLKYLSLKQTQVTTLPPQIGKLQNLETLDVSQTQISNLPPEIRKLQNLHTLDVRQTQVKDLPKEISKLIEVREQVQVMGTVDSRECSESAMKEISKLIEVRELEVFLYDGLAYMEKNDKLLSSIGKCINLKSLTIYGESNPSDKLTSESPNFPQLEKLKVAGRFVKVPGWIGQLSALTLLVIRVWKLAEMDLEIIGGLPCLSVLELALVALPRKQVTITSSAGFAKLEFFSFDCRVPWVSFQEKAMPKLKHLQLKLYRGPVDKVPSGIVLLERLNMVILLYSSQYAGSDGITETIAIMREDAASHANLIELSINGDREIFPSNRTADRGVAGAEFETSVTSVITEAEIEEVMDS >SECCE1Rv1G0053610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:670387366:670392301:1 gene:SECCE1Rv1G0053610 transcript:SECCE1Rv1G0053610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAREALDQMRCLHSVQLLVTMLVLSSAPRAMPQPPPDPGAYFRYTNCTPTPYQCGSLAFDVNYPFAIDGVARPDYCSSPGHRFFCVNSTLMIYQSTGGSFQVTGIDYGNQVLTLIDQSLAHESECPHAYRDTTIDAAMFAYTDRDWFLTAYVNCTSTISTLPPVYDAFACVARGRSYYMLDNGTSAPEDVLGRGVVCSSTLVVPYDTGMADALAAGNATLGDAVRGGFSVRWMAGAGWCGDCQASGGRCGHDSRAPDDHTCFCPGGQAIGSCPSSGPKKASQKAIIAIGSSAAALVLFVLLVLVSFLYIRKRRQYKMTSSSRLLKYSNSGGTPRSRGGSDLESSSVHNLQTHHFAYEELEEATGGFSDTRELGDGGFGTVYKGQLRDGRVVAVKRLYNNGSRHVEQFVNEAAILSRLRHPNLVTFYGCTSSRSRELLLVYEYVPNGTVADHLQGHRAAERALPWPLRLNVAVEAAAALAYLHAIDPPVVHRDVKTANILLDADFHVKVADFGLSRLFPLDGATHVSTAPQGTPGYVDPEYHHCYQLTDRSDVYSFGVVLAELISSKPAVDVTRDRDEINLASMAVGRIQRSELDRLADADLGYGSDEATTRAMTMVAELAFRCLQQNSDMRPPIREVLDALRGIQDGGANEKKYDDVAVVPRSPNTVHAPWDSMSTTPSISQ >SECCE1Rv1G0013250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:101668279:101670210:-1 gene:SECCE1Rv1G0013250 transcript:SECCE1Rv1G0013250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g34400 [Source:Projected from Arabidopsis thaliana (AT2G34400) UniProtKB/Swiss-Prot;Acc:O64705] MPTAPPPFSSAVSKLHSRCHSLSTMKQLHAHLLLHHHRPFPYNHFLSKLLSISSPSSATTAAAAADYALLLLAAHPEPTAFSFNVALRFFATSRPRVSLQLSLRMLRSALRPDAYTLPFLLLAAARCPAPAFAVSAHALLQKLGLHSHDHTVHSLITMYSNLGRPRAARRVFDGILRRDVVSWNSMIKAYQAARMKDDVARMFRAMVAEGEVPPNAVTVAVVLTACRDAGDLALGRWLEEWVRSVGMEVGSLVGSALVGMYEKCGEMAEARRVFDAIAHKDNVAWNALITGYAQNGMSKEAISLFHNMRLAGARPDKITLVGVLSACAAVGALELGTELDSYASQRGLYSNVYVGTALVDMYSKCGDLTRAIQVFEKLPCKNEASWNALICGLAFNGRGHEAIRQFELMRKEEGLRPDDITFIGVLSACVHAGLLKDGRRWFDSLTSEFQIIPKIEHYSCMVDLLARAGHLEEAWDFIEKIPGKPDAVMLGALLAACRKCKNVDVSVRVINRIMLLEPSNSWNYVVSSKIYASSDRLDDSARMRGLMRERGVSKIPGCSWIEVSGRVLEFYAGDEPQHGAEDMYQLLDMLVDEMRLEGYVPNLDLV >SECCE4Rv1G0276410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797995895:798007109:-1 gene:SECCE4Rv1G0276410 transcript:SECCE4Rv1G0276410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan, water dikinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26570) UniProtKB/Swiss-Prot;Acc:Q6ZY51] MTTPMASLDPSLATAAARPRLAAPPPSSAAAAGAGALRRGAAVLPRRRRRSGGFSCRAGSAASEITKEKNRADPSKSGSVLLRVCLEHQVKYGEHVGIIGSTKELGAWKQHVELDWTADGWVCQLELPGEALLEFKFVIVSKGGNEKKWEGGDNRAIELPKGGTLDIVCNWDRTEEPLGPSGTSKVDRAPKHVAPPTVADVSAANVAPETGSSSFGGQWQGSEAVFMRSNEHGNKSSDRMWDTAGLGGMALKLVEGDKSSRNWWQKLDLVRRLVSEPVDDQSRLEALICSAIYLKWIYTGQISCSEDGGHYRPNKHAEISRQIFRELEKMYYKKGISPEDVLVIRKIHPCLPSFKSEFTATVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRSAGPEDLVATEAMLTRITKNPGEYNDAFVEQFKIFYSELKDFFNAGSLFEQLESIKESLSDIGLEALSSFVKTKQSLDQVDAANIQVVMKTLQSLSSLRSVLMKGLESGLRNDVTDAGIAMRQKWRLCEIGLEDYSFVLLSRYINGLEASGGSASLAQCVAGNTSVWDDTLDALIIGVNQVSFSGWKPEECIAIGNELLSWKKEGLCESEGSEDGKFIWALRLKGTLDRARRLTEEYSEALLSIFPENVKVLGNALGIPENSVRTYTEAEIRAGVIFQVSKLCTVLLKAVRVVIGSSGWDVLVPGVAHGALIQVERIIPGSLPSSIKGPVVLLVNKADGDEEVKAAGDNIVGVILLQELPHLSHLGVRARQEQVVFVTCEDDDKIADMRLLEGKHVRLGASSSDVELSVSDEKASESIIQKPSNEFSSALTTDELSLMSEPKSYTSGENGSSSVLELAEASIESSGAKAKACGTLSVLASLSNKVYNDQGIPAAFKVPSGAVLPFGSMEDALKKSGSLESFTSLLDKIETAEIENGELDTLSSELQATVSQLSPTEEAIKSLKIIFPEDGRLIVRSSANVEDLAGMSAAGLYESIPNVSLSDPTNFGSAVARVWASLYTRRAILSRRVAGVPQRDAKMAVLVQEMLEPELSFVLHTVSPSDHDTRVVEAEVAPGLGETLAAGTRGTPWRLSCDKFDTDVATLAFANFSEEMRVLGSGPADGEVVKLTVDYSTKPLSVDRTFRQKFGQRLAAVGQYLEQRFGSAQDVEGCMVGKDIYIVQSRPQPL >SECCE1Rv1G0011100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:70570529:70570966:-1 gene:SECCE1Rv1G0011100 transcript:SECCE1Rv1G0011100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPVAAVAEKTTTGKKPKAEKRAPASKEAGGEGKARGRKKGSKAKKGVETYRIYIFKVLKQVHPDVGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE1Rv1G0062620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:720500913:720501328:-1 gene:SECCE1Rv1G0062620 transcript:SECCE1Rv1G0062620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTNNGAVAVWLGALMLMATLLASEGKPAFIGCFEVHQNCFPDDCQKRCEDDLGKDTLSECERDHGVLQPYPGLQCCCYRKDGTRN >SECCE6Rv1G0384330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:62627892:62628808:-1 gene:SECCE6Rv1G0384330 transcript:SECCE6Rv1G0384330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE5Rv1G0351100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:696489277:696491592:-1 gene:SECCE5Rv1G0351100 transcript:SECCE5Rv1G0351100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGFRFDPDGSDDEAAAGAAARRKPAQSPWEFSTYAESVAAEHAARRTTSIDEKISQALRGRRNPSMPDGSEDEEEEDADDDSDEEAAVKGESGDDEDEIEESDDDDQEIESSGGEEDGEVEAGEQGEEEEAGEEEEEDAPEQPHPSEFFASSEGASFSARSFLELNLSRPLIRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRVPAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLIVGGLPTKAQEVALRSNPDIVVATPGRIVDHLRNSLSVGLEDLAVLILDEADRLLELGFSVEIGELIRMCPKRRQTMLFSATMTEQIDELVKLSLNKPVRLEADPSLKRPATLTEEVVRIRRSREATQEAVLLALCLKTFKERVIIFSGTKHSAHRLKILFGLSGIKAAELHGNLTQAQRLEALEQFKKQEADILIATDIAARGIDIVGVRTVINFACPRDVKTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVSDCAKLIEQLEHQISNIILEEREEMALRKAEMEATKAENMIAHRDEIYSRPKRTWFATEKEKKLLAKAAKESLDQVKGGSVVVSAQQAEDLRLKEKRRREREKNLPRKKRRKLEAQREMLEEEKEDEEEAQESKEGKKAKSSQSLVDVAYRRAKSMKATGKTGIRASKGKNEKGSKQPSEKGQTRQEEMHELFQNDMSEWKQGRSLKKKDTSFAKKSKNSFKSKSRYKRRK >SECCE4Rv1G0279110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:812059498:812061207:-1 gene:SECCE4Rv1G0279110 transcript:SECCE4Rv1G0279110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPMSFSAFPDDKAAFEPLNPEDVRAYLHKAIDFISDYYTNVESMPVLPNVKPGYLQDQLSASPPTHSAPFDVTMKELRTSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQLLRLPTTFMNRTSTGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSVGVSHMTRLVVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYGLDPAKLLEVMQADADAGLVPTYVCATVGTTSTNAVDPVGAVADVAAMFNAWVHVDAAYAGSACICPEFRHHLDGVEHVDSISMSPHKWLLTCLDCTCLYVRDAHRLSDSLETNPEYLKNDATESGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEDFVRDDNRFEVVVPRNFALVCFRIKPSGSMTEEDADEANRQLMEKLNKTGKAYLAHTVVGDKFVLRFAVGSSLQEERHMRSAWDLIKKTTEGISVAG >SECCE7Rv1G0512500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:811808487:811811915:1 gene:SECCE7Rv1G0512500 transcript:SECCE7Rv1G0512500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEHVFDELTKALKDPADFVDFDLPSALKEWKLGYYIPIKRNIYLTKKRVEDDGIFCSCSLSSESSVTCGKDCQCGMLFSCCSSNCKCENKCANKSFQLRPLFKTELIKTEKCGFGLIAKDEIKKGEFVIEYVGEVIDDRTCEERLWKMKRQRYTNFYLCEVSSNMVIDATNKGNKSRFINHSCEPNTEMQKWTVDGETRVGIFALRDIERGEELTYDYKFVQFGADQDCHCGSSNCRKMVGTSKSFNSFVLHNGNSGSSQDQHDIKKRKTTSDNCIGEIIRLWDRRDKMYVPAVVHDYDEYTGMHTLLLDEETTENFDMREEDWDFLPVCQS >SECCE7Rv1G0492470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:551618468:551619013:1 gene:SECCE7Rv1G0492470 transcript:SECCE7Rv1G0492470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKKRPFTLMHCYLEFSKYPKWQTREVETSLKKQKKTIDASPGTATNDPADASSVRTDATSLRTDALEHEKRPDGVKKDKRGKSDDSACKLSLETVWAAKQEKDEIKEVTRNARYAQQLELRKEKIALKKEDARNEREDARRQFELDERVMLIDTSGMTDEQKLFYQGKQKEILARGLG >SECCE7Rv1G0470280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:118246811:118252147:-1 gene:SECCE7Rv1G0470280 transcript:SECCE7Rv1G0470280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g42970 [Source:Projected from Arabidopsis thaliana (AT5G42970) UniProtKB/TrEMBL;Acc:Q1H5B6] MDSALASAAAIADQRQKIEQYRHILASVISSSPPDIPQAKRFLNHMVSDEVPLVVSRQLLQTFAQELGKLEPDSQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSRAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDAVNAEAFINKASFLVTNSNQEVLNLQYKVCYARILDLKRKFLEAALRYYGISQIEQRQIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVFLERILRKPEIDAFAEELKPHQKALLPDKSTVLDRAMIEHNLLSASKLYTNISFEELGTLLGIDPRKAEKIACRMICEDRMRGSIDQVEAVIHFEDDTEGLQQWDQQIAGLCQALNDILDSMSSKGIAIPV >SECCEUnv1G0528370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3864461:3865831:-1 gene:SECCEUnv1G0528370 transcript:SECCEUnv1G0528370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFRDWTDLPPELLHRVVDGLGDLRFYTSVRGTCTTWRRELAPPAPSLLVLLRDGATRRRHAAAVSLLAHRSFGLNAIPSGSSCPSASVPTRGSFELTDTSLIRSCLGCGGGWLALSICLYDGQSLLTLFHPVTAAEILLPPLIYDTRLLSKIVFAPDPATDDFAATTICDIDRLAYVTAGARRWTVLDRVRLEAEDQLVDVVYHHNGQNGMVYCLSQFGNVYVLRLPERRRREPVIIEDHTSVEDLVMRNMRIIQMHNAGPDLNAPASMQPLLSSSVGSMAPLYVTVSAFMSAKNIVFCNGNLYQIWRNASCTVTLQLPGGGRRRIEEDEIFVLRYDPRRRSCWNAVADLGGYSVFVGRSNAVSMHAEGVPGLKGNCVYWIGGRGRDQGMIFDMGTGRSTPCLPPVAGVVPGPPQCTICWYFPREVVNNCNTNELGVYGTQARVWAQRAQDMSQ >SECCE7Rv1G0496920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:618457424:618460595:1 gene:SECCE7Rv1G0496920 transcript:SECCE7Rv1G0496920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D1 [Source:Projected from Arabidopsis thaliana (AT2G33100) UniProtKB/Swiss-Prot;Acc:O49323] MSNDYANYTVFMPPTPDNQPGAAPAPTAGGSTKPDNLPLPRYSSGSKLVNRRSGDDGAAAAGGAKMERRLSTAHVASPSKSLLVRSQTGEFDHNRWLFETQGTYGIGNAYWPQDDNDDGAGMGGGSVKMEDLVDKPWKPLSRKVPISPAILSPYRLLVLVRFVALFLFLIWRATNPNPDAMWLWGISIVCEYWFAFSWLLDQMPKLNPINRAADLAALREKFESKTPSNPTGRSDLPGLDVFISTADPYKEPPLVTANTLLSILATDYPVEKLFVYISDDGGALLTFEAMAEACAYAKVWVPFCRKHSIEPRNPEAYFTQKGDPTKGKKRPDFVKDRRWIKREYDEYKVRINDLPEAIRRRAKAMNAHERKVAREKAAASSDAAPHSVKATWMADGTHWPGTWLESAPDHGKGDHASIVQVMIKNPHHDVVYGDAEDHAYLDFTNVDVRIPMFVYLSREKRPGYDHNKKAGAMNAMVRASAVLSNGPFMLNFDCDHYVYNCQAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAIYGFNPPRAVEYHGVVGQTRVPIDPHARSGDGVADELRPLSDHPDHESPQRFGKSKMFIESIAVAEYQGRPLADHPSVRNGRPPGALLMPRPPLDAATVAEAVSVISCWYEDNTEWGLRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAMLASRRLMFLQRMSYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLCYLLLITLTLVLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVAAGIEISFTLTAKAAADDDDDPFAELYLIKWTSLFIPPLAIIGINIIAMVVGVSRCVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTIVYVWAGLISITVSLLWITISPPDDRVSQSGIEV >SECCE1Rv1G0029400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:413767102:413771325:-1 gene:SECCE1Rv1G0029400 transcript:SECCE1Rv1G0029400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BSK2 [Source:Projected from Arabidopsis thaliana (AT5G46570) UniProtKB/Swiss-Prot;Acc:Q9LS26] MGCFQSKPAGKPLPPDADAALPPDDPADPEAANGADGAGADGDEKDGAKRAVPVFREFGLAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRLSWPDPQQFLAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRLRVAHYIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLASKCLQFEARDRPNIKYLLSSVGPLQKQKEVASHVLMGITKTASVLPTILSPLGKACAGMDLTAVHDILLKTGYKDDEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKTAIDYYSKLVGMMSVPSATVFARRSFSYLMNGQSELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWRG >SECCE1Rv1G0001110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4026241:4028763:-1 gene:SECCE1Rv1G0001110 transcript:SECCE1Rv1G0001110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLYILLLGLLLSHTPRCSSSAPAGDTLTEGQVLGVGDKLVSTNGKFALGFFQPATSTISKSQNTTSSSWYLGIWFNKIPVFTVVWVANREEPIAHPNINSTKLKFSSDGNLVIVTNHGDAVTESLVWSTHIVNRTQASSVNTTTSGGAVVLLNSGNLALLTNTKVMLWQSFDYPTDIALSGAKLGWNKVTGFSRKFVSRKSLIDMGLGSYSLELDTSGVAVLKRRIIPSVVYWHWASSKTSSLSVIPTLKTIIDLDPRTKGLMNPVYVDNDQEEYYMYTSPEESSSSLFVSLDISGQVKLNVWSEADQSWQTICAEPADACTPAATCGPFTVCNGNAQPSCECMESFTQKSPQDWGFEDRTGGCIRNTPLHCSTSGNNKNMTSSTDIFHPISQVVLPYNPQSIDVATTQSKCEEACRSSCSCTAYSYNNSRCSVWHGELLSVNLNDGIDNNSKDALYLRLAAAAKFEKKKNQTNIRVVTAATIICFGLLLMLVLLLLIWKNKFKPLYNNQGTGGGIIAFRYTDLVRATKNFSEKLGGGGFGSVYKGVLKDSTSIAVKRLDGARQGEKQFRAEVSSVGLIQHINIVKLIGFCCEGDHRLLVYEHILNGSLEGHLFEKSNAAAAVLDWNTRYQIALGVAKGLSYLHESCHKCIIHCDIKPGNILVDASFVPKIADFGLAAFVGRDFSRVMTTFRGTAGYLAPEWLSGVAITPKIDVYGFGMVLLEIISGRRNSSLETPYSTRSSSTSYQNVDYFPVQAISKLHGGDVKSLVDRRLHGDFNLEEAERVCKVACWCIQDDEFYRPTMGEVVRVLEGLQEINMPPMLRLLAALTEQLGVVTSV >SECCE1Rv1G0060050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:708608387:708609368:1 gene:SECCE1Rv1G0060050 transcript:SECCE1Rv1G0060050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTDPAGCLIDTANRYCKRHPPSRMFQIFSLKLAKTPTDDRPVQLYGYIAVRDHLDPLLNYVVNFSRDDPISVEQGSSISMVGPKRGIDLSGNIVVEYDMRIKTEEVKHDLQLIDGASMIRHKDLQDCRTFTRHIHGDHGAIDVTVSALDDAVEATVEVIISEVRSGFALRLVCFIGGVDEEILIFDGAVGSSEPHGLKLKRFVVAVMIKSWMKLEFKMVSDPARCQFAFMANNHGVSSRNMKMDFALFSVNVTWSPLPSRAQSLHRRSKNSS >SECCE7Rv1G0462370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45291357:45292973:-1 gene:SECCE7Rv1G0462370 transcript:SECCE7Rv1G0462370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEQQGLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDLSKPDPGTLPPGVAAAVNGVAFCGTLTGQLFFGWLGDKMGRKSVYGMTLVLMVVCSIGSGLSFAHTPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIISSAFRAGFHEPAYQDDRVASTGTEADFVWRIILMIGALPALLTYYWRMKMPETARYTALVAKNAKLAAADMSKVLQVELEDETEKMDEMVSRGANDFGLFSPQFARRHGLHLVGTATTWFLLDIAFYSQNLFQKDIFTSINWIPKARTMSALDEVFRISRAQTLIALCGTVPGYWFTVFLIDVVGRFAIQLMGFFMMTVFMLGLAVPYHHWTQPGNQIGFVVMYAFTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAMIGAFGFLYAAQDPHKPDAGYRPGIGVRNSLFVLAGVNLLGFMFTFLVPEANGKSLEEMSGEAQDNEDEHARAAAAVQPSMP >SECCE2Rv1G0127870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:856852559:856852852:-1 gene:SECCE2Rv1G0127870 transcript:SECCE2Rv1G0127870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRRWSRAALVACLVLLAAACAESARPEPLLAAASEGLPAGAGESASTDGPRRSAFDVLVEGLVSIGLGRRWRAGGLVDGDKRRVPTGPNPLHNR >SECCE4Rv1G0295740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:899884047:899889511:-1 gene:SECCE4Rv1G0295740 transcript:SECCE4Rv1G0295740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAADGGSWQEMMRRILPPGAPVPEEAPNLDYSIALVYDGPPVPYDLPRVDPLEIPAEIPTAEPASGPHALRSGGRGIPVAPVVEPIRLPVSRIARCAEPGAAAARQGGDGGGGSSESVNSVLQNGEFDDEDDDSRSRSHASAQSSPGPGSRDGRRAPVVTFGFTPDSKYGESGDDMSEQYVAVTKQEKRRRRRRMACNRCGKRKWESKEACIVCDARYCSYCVLRMMGSMPEGRKCVSCIGGAIDESKRSKLGKSSRTLARLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLRPEELTDLLSCSRPPQKLKPGRYWYDKESGLWGKDGQKPDRIVSSNLNFSGKLHAKASNGNTQVYINGREITKSELKILKVANVQCPRDTHFWVYDDGRYEEEGQNNIKGKIWESALTRFACALFSLPVPPADSNGTKDEIPFVPRTVPDYLDQKRIQKLLLLGPPSAGTSTIFKQAKYLYGTRFTEDELDAIKLMIQSNMFKYLGILLEGRERFEEEALSRLDQTTSEDEGAQKDENKANGADSCIYSINPRLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDVIFAEGVTQGNGLSFIEFTLDDRSPMSEPYIDNPEAHSQPLTKFQLIRVSAKGMNDGCKWVEMFEDVRMVIFCVALSDYDLLGTPVNGNLQNKMMQSKELFEATIRQPCFCDTPFVLVLNKYDLFEEKISRAPLTACEWFGDFSPVRTQSNNQTLAQQAYYYVAMKFKEAYNDHTGRKLFVWQARGRDRQTVDEAFKYIREVLKWEEEKDENYYQEESFYSTTEMSSSPFIRAE >SECCE3Rv1G0145770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8301272:8303232:-1 gene:SECCE3Rv1G0145770 transcript:SECCE3Rv1G0145770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSAGVLLKLLDGMKTGAAKPVGEHRTAVLQVTDIVPAEMDEVDLFPKHGRFYVKVSDSSHSIYATLPPAQADLVLANKLSLGQFLHVDRLDPGSPVPVVVGARPIPGRHPLVVGTPEPAKKPAAPRRGSWGPENHGGATPKVVRPIALSFEERTPVKDRPSPARSSVSSVRKSTSVMPRLVTRSRSFVADRGDPPPPQPNKIPKSPFPPEKSSMSCTAVRTMSRRAREEEPSSPVSDDDVGSTATSSKRRPSSSAVRVPVPVKLSSLGKEAMEQREQAQKVALEALRNASATENVVRIYKMFADVSKAARPDAPAACFDGFLSFHQEAAQAVADIESIQAATSMAAAAVATGEVLAAASAAEAEATPAPNVLQEIAQNRTTTPARRRGLLGFGSVSKSVSFAPGTLQDPSSRHDGGGARSSSAGRKCLGTPVDGDDKSKRSSAPAAVAVAQSPLGSSLRMARQMQAEAGSWFMEFLEAALEAGLKKKRSSTGKPSAAAAQNCPQSLVLRVINWVEMEQSGGDRKAGHPRAAAIARKLRIKAKNP >SECCE4Rv1G0252180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:612820761:612822176:1 gene:SECCE4Rv1G0252180 transcript:SECCE4Rv1G0252180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASSPVVALNVGGELFHTTAATLSRAGASSPLASLGPSPADAPHFLDRDPRLFAAILSFLRSGRLASLPPSPALLAEARHFSLYGLLLASLSPASTFSPLSLRPTALLPLTGRVAPSAVAISPSPHAASLFAAHGGVVTSFDAAPASRTSVLTPLPTIDSLVAVSPTLALAGARDFPGVQLCRFPGDAPATASEALYWPDSPSSSVLSMAASEAASHWLFASFESARRNSSAVVAFDLKSLSPVVEIGRKEVFGADVEAAIPPTKLGWLAGHSLLLAAGSHSGPAGMVGDIRLWDVRASSTVPVWEVREKDDCFADVAASDSLSALFKVGAASGEVYMADLRRLSGDGTSVDPWVCVGDRQRAGAATASRRKDGNNCRIECYRNWVFVARGAYVEVWTQVEITSETGEKKVMRRNWVGNGPSIVTADGEEKDKIVSWAFGGSRMALARVSKRSVEVWDSASGAISGE >SECCE2Rv1G0116740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:766824676:766830099:-1 gene:SECCE2Rv1G0116740 transcript:SECCE2Rv1G0116740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal P-type ATPase, Xylem loading of coppe [Source: Projected from Oryza sativa (Os04g0556000)] MAAEPRALFLACFRGGGGGGGVAEGSGGRLALRPRYAPMPRRTKAAAVAGDLEAAAGATEEEEEEKVAVFAVTGMTCAACAGSVEKAVKRLPGIHDAAVDVLGCRAQVAFYPAFVSEEKIRETIEDVGFGAKLIDEELKEKNILVCRLHIKGMTCTSCANTVESALQAVPGVQRASVALAIEEAEIRYDRRVVAAIQLVNAVEESGFEAILVTAGEDRSRIDLKVDGVLDERSVMIVKSSVQALPGVEDIKIDTDLQKITISYKPDQTGPRDLIEVIESAACGDIAVSIYPEADGREQHRNGEITRYRKSFLWSLLFTIPVFLTSMVFMYIPGLKDGLDKKVVNMMSIGELLRWILSTPVQFVIGRKFYTGAYKAMCHGSPNMDVLIALGTNTAYFYSVYSVLRAATSENYMSTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATVLMYDKEGNVASEKEIDSRLIQKNDVIKVIPGGKVASDGFVIRGQSHVNESMITGESRPVAKRKGDNVIGGTVNENGMLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISKVFVPLVILLSLLTWLTWFLAGRFHGYPSSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAHKVDCIVFDKTGTLTIGKPIVVNTRLFKNMVLREFYDYVAAAEDNSEHPLGKAIVEHAKKFHPEETHIWPEARDFISVTGHGVKAKISDKSVIVGNKSFMLSLDIDVPAEASEILMEEEEKAHTGIIVAMDQEIVGIISVSDPIKPNAHEVISYLKSMKVECIMVTGDNWGTANAIGKEVGIENIIAEAKPEQKAEKVKELQLSGKTVAMVGDGINDSPALVAANVGMAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKAFFRIRMNYVWALGYNIIGIPIAAGVMFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKRPLITQERQK >SECCE2Rv1G0072920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:62376757:62381431:1 gene:SECCE2Rv1G0072920 transcript:SECCE2Rv1G0072920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSSPSAGWRPRLLLLLLVSAVAMVVEGRFVVEKNSLRVTSPAALRGVYECAIGNFGMPQYGGTMHGVVVYPKANAKACNPFADFGLSFNPKAGGLPVFLLVDRGDCYFTTKGWNAQTAGAAAVLVADDRAEPLITMDTPESSGKEHLENITVPSALVSKRFGDDLKAALENGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMDFVRDFRGVAQVLEQRGYTQFAPHYITWYCPEAFVLSAQCRSQCINHGRYCAPDPEQDFTTGYDGKDVVVQNLIQICLFKVANESRKPWLWWDYVHDFAIRCPMKEKKYTTDCAHGVIKSLGMDIDKITKCVGDPDADEDNPVLKAEQDAQIGHGARGDVTILPTFVVNNRQYRGKLDKRAVLRAICSGFEETTEPDICLTQDIQTNQCLENNGGCWLDKNTNFTACKDTFRGRVCECPVVNGVKFVGDGYTHCEASGVGRCQINNGGCWKETRNGKSVSACSNEQAKGCKCPQGFKGDGIHGCEDVDECKERLFCQCKDCSCENTWGSYECGCGGSNMLYMREHDTCISKVATSSVGWGFMWVIFFGLGFAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLENQETSSHQRHVEHADI >SECCE1Rv1G0052230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:659992462:659993223:-1 gene:SECCE1Rv1G0052230 transcript:SECCE1Rv1G0052230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVFIPSASPLGLSCGSILMELVELSSTSLAEAMFEKLGLPKPVYIVHHLPQDRFRTEIEFHRTKERYRASARRTKLSSRICQDGETSMNHAADMAIEYMQNTERKVLVDYNYYQLEQQKMAHTRLSARLLEQSEEINQHSKTIKQITKEACTYVEQVRTASNKIQDLAGVFLDPAASMCLSNMKQAIMEIHNAVGTLQSSTATTSQFLEEKGMYSDDEMPEEVYNGHSDEDSGDDIHQDMDDDYTYYVRSP >SECCE3Rv1G0146390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11382715:11394264:1 gene:SECCE3Rv1G0146390 transcript:SECCE3Rv1G0146390.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEAGTPSPDDAVLFFGVALVLGIGSRHLLRGTRVPYTVALLVLGVALGGXXXXXXXXXXXXXXXXXXXXXXXXXXXPPLSRISSSSSGFDPCPGIRIWAAINPDLLLAVFLPALLFESSFSMEVHQIKKCMAQMVLLAVPGVVISTVLLGAAVKLTFPYDWNWKTSFLFSGLLSATDPVAVVALLKDLGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGKTFDAGSIIKFLSQVSLGAVALGLAFGIASVLWLGFIFNDTIIEISLTLAVSYIAFFTAQDALEVSGVLAVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLQDNIHFERHGTSWGFLLLLYVFVQISRAVVVGVLYPLLRHFGYGMDIKEATVLVWSGLRGAVALSLSLSVKRASDSVQPYLKPEVGTMFVFFTGGIVFLTLILNGSTTQFLLHLLGLGKLSATKLRVLKYTRYEMLNKALEAFGDLRDDEELGPVDWVNVKKYITCLNNLEDEQAHPHDVPDRDDHVHTMNLKDTRVRLLNGVQAAYWGMLEEGRITQSTANILMRSVDEAMDLVSSQTLCDWKGLRSNVKFPNYYRFLQMSRLPRRLVTYFTIERLELGCYICAAFLRAHRIARRQLHDFLGDSEIARIVIDESTAAGEEAKKFLEDVRVTFPQVLRALKTRQVTYAVLTHLSEYIQDLGKTGLLEEKEMVHLDDALQTDLKKLQRNPPLVKMPRVRELLNTHPLVGALSADVRDPLLSNTKETIKVHGTVLYREGSRPIGIWLVSTGIVKWTSQRLCTRHSLDPILSHGSTLGLYEALTGKPYICDIITESVVHCFFIEAEKIEQLRQSDPSIEDFMWQESALVIARILLPQIFEKMAMREMRVLISERSSMNVYIKGEVIELGHNHIGILLEGFLKTENRTLITAPAVLLPSNTDLNLFGLHSSAMNQIDYCYTAPSYQVEARARAILFEMRRPDMESDLQRSASLLSPALGPSRTQSKEHVGLLRWPESFRRSRGPGNASLAEIRSQPGSFSARALQVSMYGSMMDGMHRARRQPRLDHVEANQKHSASYPKVPSRAADTRPLLSVRSEGSNAMSRKSAPAPAIAPALAPFPPPLAAGRQRRAVGEDDDSSDESVGEEVIVRVDSPSMLSFNPPSGPPRGS >SECCE1Rv1G0037210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:520240952:520242619:1 gene:SECCE1Rv1G0037210 transcript:SECCE1Rv1G0037210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAEDFQPRTFSVKLWPPSESTRLMLVERMTRNLSAECIFSRKYGILSKEEAHENAKRIEEVCFASAEEHFKKEPDGDGSSAVQLYAKETSKLMLQVLKKGPRTTEEPEAPVVDTPLEPADTVFDISGGKRAFIEAEEAKELLSPLTKPGNSYKRICFSNRSFGIGAANVAGPILESIKSQLTEVDISDFVAGRPEDEALDVMRIFSKALAGSVLSYLNISDNALGEKGVRAFTELLKSQGNLEELYVMNDGISGEAAKALSELIPSTEKLKVLHFHNNMTGDEGAMPIAEMVKRSPNLESFRCSATRIGSDGGVALAEALGTCTRLKKLDIRDNLFGVEAGVALSKTLPKLGGLVELYLSDLNLENEGTIAIVNALKQSAPQLEVLEMAGNEITAKAAKAVAECLTAMQSLKKLTLAENELKDDGAVTVAKSLQEGHPGLKELDVSTNLFQRSGARCFAQAVANKPDFVLLNINSNFISDEGVDEVKEILKGGKNSLEVLGPLDENDPEGDPEDGEEEEDGEDDDDEEKDGDDNGDGGLGSKLQGLKVVEED >SECCE4Rv1G0267410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735993029:735993564:-1 gene:SECCE4Rv1G0267410 transcript:SECCE4Rv1G0267410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQRLAAAKRCSKEAAMAGAKAAAVATVATAVPTLASVRMLPWAKAHINPTGQALIISTVAGMAYFIVADKTILSMARKHSFEDAPDHLKDTSFH >SECCE2Rv1G0117280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:771192992:771197052:1 gene:SECCE2Rv1G0117280 transcript:SECCE2Rv1G0117280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGGAKVERKAGRGGDGRNAGSNGGGGGGGGGSGGNGRWKWSGVYGSGGGGGGGHRQYPIIQAYPALLPLPINSGRAHNNGAVALPLPPPVLLYLQQPPPLHLLPAAATCYGKPMAGAAQRGPMWTHRPSKKPPPPPHAVTAALLPLPQDAKTLPHKKFSIHEKKASDVGMDHANGHHRPSSNHQRSPIARRPDNGGIEGAVIPLSANYFLVQFNPDQKIFQYDIDITPHPSKEIARMIKNKLVQENSSVLSGALPAFDGRRDLYSAIEFQQNKAEFFVNLPVASARCPVDKKNGHMLDKQNFKVFKVNIRLVSKLSGEDLNKYLTEDKDGISLPQDYLHALEVILREGAMESSVLVGRSLYPRSMGEAREIGGGAVGLRGFFQSLRPTKQGLALNVDLSLTAFHESTGMIVYLQKRFDFLKDLSHQKTRALSEEERREVEKALKNIQVRVCHRETDQRYHVHSLTKETTENLKFRDRSAKDLMVVDYFKEQYNHDIQFRNMPCLQIGRSKPCYVPMELCVVCEGQKFLGKLSDEQTSKVLRMGCQRPSERKGIIKGIVEEEFGAGSNSYADQFNLQVSKDMTQLSGRVLLPPRLKFGSGGRITDMTPHRFDRQWSLLDSHVTDGSKIKNWALISFGGTPEQHSYIPKFVNQLSSRCEQLGILLNKKPVISPLFERIQLLNNPGILESKLGKIQEAASGNLQLLICVMERRHRGYADLKRIAETSIGVVTQCCLYPNLSKLTVQFVANLALKMNAKLGGSNVSLYNSLPCQIPRIFSDEEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPAANKYISRMRSQTHRKEIIEHLDVMTGELLEEFLKEVGKLPGRIIFFRDGVSETQFDKVLKEEMHALRLACLRYPGYKPLTTFVVVQKRHHTRLFHRERNGGSTHYSDQNIPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYHVLLDENKFQSDEVQQLIHNLCYTFVRCTRPVSLVPPAYYAHLAAYRGRLYLERSDSVATSCTTLYRSTPLQTTPLPKLSDSVKRLMFYC >SECCE3Rv1G0163140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:145175172:145180377:1 gene:SECCE3Rv1G0163140 transcript:SECCE3Rv1G0163140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAAAALRPHLSLLSAGGGIPNPTLQTLSFVAPLLLLRRRCRRRSSVVLSNASSSPPSPPPSPEKEAEAAPTAESCVNLGLELFSKGRVRDALEQFDNALELNPNPTEAQAAFYNKACCHAYREESKKAADCLRIALRDYNLKFGTVLNDPDMAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVAFIAAAGISTFFTIPRLIFALQGGDGAPDFLETAGNAAINIGGIVVLVALFFWENKKEEEQITNISRNETLSRLPVRLSTNRITELVQLRDISRPVILAGSKASVTQAMQRAERYRTELLKRGVLLIPVIFGASLKVQGKPKGFGTTRSAASAPSIGGDFEKRTESIAAKSRLKAEVRFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGRGMPNWNDILKELPRLEDLLSKLER >SECCE2Rv1G0079430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:118830741:118835442:1 gene:SECCE2Rv1G0079430 transcript:SECCE2Rv1G0079430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPSRVPEPEAGEEPRVASSGARDAAGGAAAASPAQAKPGTPKQPKFPFYLPSPLPASSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGGEPELGLDKSFGFSKHFFAKYELGEEVGRGHFGYTCAAKAKKGEHKGQDVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDKILARGGKYSEEDAKVVMLQILSVVSFCHLQGVVHRDLKPENFLFSSKEENSPLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEGDMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLSAEAKDFVKRLLNKDYRKRMTASQALSHPWIRDAQQVKIPLDMIIYKLIRAYISSSSLRKSALRALAKTLTANQLFYLKEQFELLGPNKSGFISLQNLKSALVKNSTDAMKDSRVIDFVNTVCTLQYRKLDFEEFAASAISVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >SECCE4Rv1G0274440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:784622816:784624417:-1 gene:SECCE4Rv1G0274440 transcript:SECCE4Rv1G0274440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEDESSNDLSSLQYTNSSDEDGMLYRIPASIEDKDYMGIDTGSFVTCEQHGLPCERRVAFEGFETGRRFLACPLKERQNCGSVQWVDPEWPPTMQNALLKLWEMYQDSKSDRRKDNLESSLTIHHLKEEENNLEANYDKLVEDVHQLFNAQEDRLLDFRYLQFKMENAEERKAEMTNSAMSVMKTEMEKKEAEIFKMQEKYAVLINLTKAQATVIRNLKLNHLKEKEVLSADKRNLQFQVDEFIKSQEKLAQENLQLKAHIGDLEKVHDKLNQENLQLQAHMGDLQKGHEKLTKDTAQFKLQIAGLLKAEEKNKQKMKGIQAILDE >SECCE7Rv1G0463420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:52690064:52691641:-1 gene:SECCE7Rv1G0463420 transcript:SECCE7Rv1G0463420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQLNVLKALDVAKTQLYHFKAVVIAGMGFFTDAYDLFCIALVTKLLGRIYYTDPALNEPGHLPANVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHEAKGVMGTLCFFRFWLGFGVGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGFGILFGTIVTIIVSSAFRHAFPAPPFYIDAAASIGPEADYVWRIIVMFGTIPAALTYYWRMKMPETARYTALIAGNTKQATSDMSKVLNKEISEESAQGERATSDTWGLFSRQFMKRHGVHLLATTSTWFLLDVAFYSQNLFQKDIFTKIGWIPPAKTMNALEELYRIARAQALIALCGTVPGYWFTVAFIDIIGRFWIQLMGFTMMTIFMLAIAIPYDYLVKPGHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAATGKAGAIIGAFGFLYASQDQKKPETGYSRGIGMRNALFVLAGTNFLGLLFSLLVPESKGKSLEELSKENVGDDDTITPTGV >SECCE4Rv1G0221260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:47193895:47196402:1 gene:SECCE4Rv1G0221260 transcript:SECCE4Rv1G0221260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLLLLLLAFAGAQAQQMASFPAANDTRPWLPTESSRILVSPSRAMAAGFVPSESSTGGKYRFAVWVANVSSRAGPAGKTIIWHAHRGSNASDLLEADGNSTLVVNAAGVLTWAGANATIWTLPNAANATAPRLTLNDTGSLAFGSWSSFAYPTDTLMPGQDIPQGSNGSADVTTLQSASGRYRLLNSMALRYFSGESPSGSGSIYANMTGGGTLLNLTTEGELKLSAGNPSTLIASDKGARDRLRRLTLDDDGNLRLYSLVTAKRREWRVVWELVQELCTIQGTCPGNNTICVPKGADGVTCVCPPGFRNRAAGAGCEAKKKSVGTGGKFVRLDFVSFSGGKETKSSDPGPYMSVQPPSNLEVCKNMCRAEPGCPAFGYKFGGDRTCLLYKTRLVDGYWSPGTEMSTFVRVVATDNDSNPFTGMTTMIDTVCPVQLALPVPPKQKATTIRNIAIITTLFAVELLAGVLSFWAFLRKYSQYREMARTLGLEYLPAGGPRRFSYAELKTATKDFTDVVGRGAYGTVFRGELPDRRAVAVKQLHGVGGGEAEFWAEVTIIARMHHLNLVRMWGFCADKEQRMLVYEYVPNGSLDKYLFSSSSSAAPSGGDAEGEESSQGQGRQPAVMLDLHTRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLTSKKEKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEIVSGRRNYGFRQESVGSEDWYFPKWAYEKVYVERRIEDIMDPRILLAVDDDADSVATVERMVKTAMWCLQDRADMRPSMGKVAKMLEGTVEITDPVKPTIFCVQDD >SECCE5Rv1G0333100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:554480870:554486784:1 gene:SECCE5Rv1G0333100 transcript:SECCE5Rv1G0333100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGMHSSVEKSSPRAPPLLAPVLLLICGLSLLQRPASAEKKSYVVYLGCHSHGREGAALASNQERAKSSHYQFLGSVLGSEERAQDAIFYSYTRYINGFAATLEEEDATQISKHPSVVSVFPNRGHRLHTTRSWEFLGMEKDGRVRPGSIWAKARYGEGVIIGNLDTGVWPEAGSFSDDGMGPVPARWRGVCHDQSSDDDAQVRCNRKLIGAQYFNKGYAAAVGRAGASPASARDSDGHGTHTLSTAAGRFVPGANLFGYGNGTAKGGAPGARVAAYKVCWRPVNGSECFDADIIAAFDAAIHDGVDVLSVSLGGAPTEYFRDGVAIGSFHAVRNGVTVVTSAGNSGPGAGTVSNTAPWLVTVGASTMDREFPAYLVLGNKKQIKGQSLSPVPLPANKHYRLISSVEAKAADATVAQAQLCIEGSLDKKKVRGKIVVCVRGKNARVEKGEAVHRAGGVGLVLANDEATGNEMIADAHVLPATHITYSDGVALLAYMNSTRSASGYITLPNTALETKPAPFMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGLAGPTGLTFDSRRVLFNSESGTSMSCPHVAGIAGLLKALHPDWSPAAIKSAIMTTARVRDNTRRPMSNSSFLRATPFGYGAGHVQPNRAADPGLVYDANATDYLHFLCALGYNSTVIGTFMGGPHACPATPRRPEDLNYPSVTVPHLPASGEPHTVTRRVRNVGAAPAAYDVRVHEPRGVSVSVRPRRLEFAAAGEEKEFAVTFRARAGRFLPGEYVFGQMVWSDGAGRRRHRVRSPVVVRVAAHRTSETSVDSFGH >SECCE3Rv1G0171420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:271278470:271279528:1 gene:SECCE3Rv1G0171420 transcript:SECCE3Rv1G0171420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREHQLQQPGTLFLLRNPLVVASRTMRRGIRGFCHGVGSTSTQPHLHTSIDHQHLASGDADADAASSSFMTVPSSVVGSCAADSEAAAGGGPHAAAAVTLEQMILQLDLEEEAAAARKARCVAAAAVEEERYHPRRMSCVNSSDHVLRSARDALSQYPRFSLDGRDAMCRASFSSYHEGMGVACPVLQDSRNIPADRDGGRQRRASVCCAPAGARPCRAQECGMEGYEMDLERTLRMPSTVAGESVVWCKPGVVAKLMGLDSVPVPVGGAQRGGIAGGRRKASWAPPGSTLGGGVRKQRPRRMDIEELEKERLFMALHGYLGSGANALRATAGPDVSGFGRDGDGWEFHVPS >SECCE7Rv1G0513900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:827519324:827519698:1 gene:SECCE7Rv1G0513900 transcript:SECCE7Rv1G0513900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSGDQIILGAEDPYLNSSAAPMDATSSGSQHVTTLRKLLFRRMLVGVNDGRYFLGLFHCVDKQGNIILQDAVEYRSARRSSPSAPKEERCLGLILIPASCRSSCHVDSFIEEQMALLSLNK >SECCE1Rv1G0015000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:119178126:119180249:-1 gene:SECCE1Rv1G0015000 transcript:SECCE1Rv1G0015000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGDQGLCVAKPPSADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVVMEGASLTIAQVAAVAASGGARVELDESARGRVKESSDWVMASMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNAVAVAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASIVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVKNAVKNCVTRVARKTLITNDKGGLHNARFCEKDLLQTIDREAVFAYADDPCSANYPLMKKMRAVLVEHALANGEAERNMETSVFAKVVTFEQELCSSLPQEVDAARGAVENGTAAEPNRIVDCRSYPLYRFVREELRTVYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNGEPLPIC >SECCE3Rv1G0198060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:826381151:826381369:1 gene:SECCE3Rv1G0198060 transcript:SECCE3Rv1G0198060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCGAEEKQTPPKKRSGGKGAAMGVRADGGGGKGRRPSFPGRCARLVKEQRARFYIMRRCVTMLVCWRDYA >SECCE6Rv1G0416360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:648241622:648246529:-1 gene:SECCE6Rv1G0416360 transcript:SECCE6Rv1G0416360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIVSAATGVMNPLIGKLTALMGEEYKKLKGVRKEVAFLRDELSAMNAALEKLEFMEKLEPDTKNWRDHVREMAYDMENCIDDFMQDIGGVDANASAGFVKRTARRLKTLRVRHRIAGQIQELKALAVEANERRKRYKIDDCNTSCGSVDIDPRISVMYKDAAGLVGTDGPKKEVVSLLTITEKRLKVVSIVGFGGLGKTTLANQLYNDLEGQFDCKAFIPVSQKPDMPVLLNSLRLKLGINESSNICKVQDIIDQLREHLANKRYFIIVDDLWYEEAWDIIRCAFPEDGNGSRVIVTTRVEAVAISACSYHHEHIYKMKPLSSEDSRRLFTSRVFGSENKCPSNFEEVSNEILKKCGGLPLAIITIASLLASRRERSKNDWENIRNSLGAQFAINPTLKGMRSILNLSYMNLPLHLRTCFLYLGMYPEDYEILRDDLVRKWIAEGFVSGLHGTNLEDVGISYFNELVNRSLIQPGMNYNGVYCKVHDMMLDLILSKCAEDNFSSVAYTSKEMIRLRDCTYKIRRLSLMSSVDRPAKETIWTVSDRTSQVRSLVWFRACKSMPHLSQLKYIRVLSIEYAISQLVSGLLGSSTLDLTAISQLFQLRYLKVSGYYYAKLPTEIRGLVHLDTLDVGIGSIPSDIEHLPRLSNLTMGLYEMTGLPERIGIMESLRTLDGFKLERSSLEALEGLGKLTNLRSLKLYNSDDDECNLLEKAKFDALVSSFCKLRNLKCLLMMKGDHDDKVDILGSVSDPPVLIEEIYLGSWKMLGVPKWIGDLNCLHRLELYVREMKNDGITILGGLPSLVKLGLRVEACPKEEAVIISKGLFPVLERLTFSSEEDVTAYLGFEAGAMPKLRELRLQLNKPPWGGAAPVGMEHLLDLQQISFTARRNHKESLEQVKLEIESAFRNAVELHPRRPSLDINCYTI >SECCE4Rv1G0250000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:588561149:588561592:-1 gene:SECCE4Rv1G0250000 transcript:SECCE4Rv1G0250000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSAKSRRFAAACGVLSRCIKAAEARPAATVALPLMPGAEVPALQDEHAAGPAPEHAQMTIFYGGRVLVLDEVPADRAAELLRVAAVSGTARGSCEAADGDLPMARKASLQRFMEKRKGRLAARAVPYSRPDGDAVPYHLTLTL >SECCE7Rv1G0520020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866803862:866806013:1 gene:SECCE7Rv1G0520020 transcript:SECCE7Rv1G0520020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFVGRVLFASVFLLSAYQEFSEFGTDGGPAAKSLKPKFNLFVKQVSAGIGMAVPHIDIKSVIAFTMFLKAFGGLLFIISSSLGALVLLVYLAFITPVVYDFYNYEMESQQFVKLFTMFSQNLALFGALLFFLGMKNSIPRRHSKRRAAKAKTT >SECCE6Rv1G0412170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613261963:613266120:1 gene:SECCE6Rv1G0412170 transcript:SECCE6Rv1G0412170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGWKVVNDVKPYLAMVLLQVGFAGMNIVAVSSLKGGMSHFVLVVYRNIVATVFMAPFALYFERERRPKMTTTIFIKIMWLAFLEPVLDQNLYFLGAKLTSAGFATALLNTLPAVTFVLALILRMEKVRLRSLHSQAKIAGTVLTVAGAVLMVLYHGPAVWFPWTTGHHHATIGGQGAAARDWLIGTVMLIASCMIWPGFFILQSNTLGSYPAELSLTALICGMGSLMSGVVALVAERANTQVWVIGFDSRLLTVVYAGIVCSGVAYYLQGVVSRQRGPVFVTAFSPLSMIITAVMGSIILKEEITLGSVIGAVIIVTGLYFIIWGKSKDEISPGQVSDVGVKGAGELPLTSVTSGHGHGKRYELGNGNGGHVVETPTTDGH >SECCE3Rv1G0201230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:861531888:861532415:1 gene:SECCE3Rv1G0201230 transcript:SECCE3Rv1G0201230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPATIGEAVAGMSRATLVLKAAVVQIVLVGYYVVIGRAFQDLDPLFYSSLPWRVPLAVSWFACISMFFLVRCCIEIFLPRTPMAVHEALMWPGAAVGLIVIVVGGSVLGFASRECVVVGCTCVMALLIAGLLVVWTWLVREYGGEASDMCTEKHSEVEVTTVRHSYHPPV >SECCE3Rv1G0147150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:13478439:13478972:1 gene:SECCE3Rv1G0147150 transcript:SECCE3Rv1G0147150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVECVCPSKRKAATFSGEEPSPKAKRMVGCSRGGEEEIPAAKKMWRLPREEVDWIVDWSRYVPPAMYRDLKRDNPSLLPSPEEEKDEQTVLLYRSARECYEHVDRFAGFQARVRREYASKGFVEVDYDCVGSEAQRRIDQARGEAFRAIVAHLSSDVVVHADTFRQLKRKMMDGF >SECCE2Rv1G0109160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:673256642:673257808:1 gene:SECCE2Rv1G0109160 transcript:SECCE2Rv1G0109160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEREGSSTASVMDDEDLLWEILLRLPPQPSSLPRASAVCKRWRHMATDPRFLRSFYAHHRNPPLLGFFEYQRDNIVFTSILDAPDRIPHERFSLGRYSCYHEEDDIPSSEKYVLGCRHGRVLVIDHVCAELVVCTPVTGEQRRLAVPPEFRMVLRCINGAVFCAANDQGHVHGGCHFSPFKVVLVMMHRYDDQPLACVYSSETNEWGNLISTAAPYRLFNAHRPGSLIGNALHWLTMRNDMLEFDLVEQSLAVVSGPPVENSFLHSQIIQVEDGVVGFAVLSYPHFLIWRRNINGHGVATWMQWKTIEMHSILALPPQIVRGAETILGYSEDADAFFIYVDANFYMVQFRSMKSKILHETCYITDYHPFTGFYSSGNSSTQYFYFLL >SECCE3Rv1G0156950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:77925727:77929717:-1 gene:SECCE3Rv1G0156950 transcript:SECCE3Rv1G0156950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDLRNIPPPLLGLLKQCLLHLANHYAAAPSPPSSASAAPLPDRLALCYVHHAAASSSSSRSPPELKIAYRPGEKFSLRDFHHAVENLPLDGFHPEQHGSVPTEDVSLQNLFSNRAIYSWATDDISKKVIAICFSAQNTEPLRRSLMEASEQCITVEFVMLETEIAAFMYDDVSENSSSFIHRISDLENCVVRRYSPETQVLHGLVKRWLEELKDDKEEMMQAVLVFRVPIIKYVNQVTCSIYPSANQIIDGFPYCQVCRCHGLPIDDVTTNKAKRLCPTTSRQLAASDVTDSAVKIGDQTVLFLPTSEGGSNMRRASTSVSFDVIERTDLASLNEGVIMGKSHVVIPSSNDEVALTDESLDQNTQIFYGLCETLYKLDQGLVCSSACNTETMKIGTLACYYLLQPSEKGPMLLRRLAGSEEILPLPDVSRPPNYTVTKDTKNSIETSLSKIAVKEYNPLHHERGFHSKLNSLVKDSLQFGSIAPAYAEKDATHLDSFSEPQIPALQGPRGNMFMSQREKARDADRIYAFSEPQTTTPLFRAPKDRLPSQPREKKLPSQQPKEKASPSISEEWEKLIIIDDNDDFCTPVSSSRATTFPRPPVPTLPSPVKPLDEKTSRILERLEAPKAKKQRASKPPGAGAATASGRGGVSSTQAKKPLLPFEPSASQPLKPTFNRVRRKPVS >SECCE2Rv1G0117500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:773030397:773032788:-1 gene:SECCE2Rv1G0117500 transcript:SECCE2Rv1G0117500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQNSGGGGGAAVVLNVYDLTPINDYLYWFGLGIFHSGIEVHGLEYAFGAHDLSTSGVFEVEPKCCPGYVYRRSVWMGTTEMSRAEFRSFIQTLAGKYNGDTYHLISKNCNHFTDDVCKNITKKPAPGWVNRLARVGYFFNRLLPKSIQVSTVTHGATHPAFSDDDVDSSGSSLNGDSDVDDLDQHLLPAAGTDLQSIVVSPKLSKELV >SECCE2Rv1G0125440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:841142838:841145056:-1 gene:SECCE2Rv1G0125440 transcript:SECCE2Rv1G0125440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHPYEEHEEDDSMKMEAKFDGADGSLKNEHHERSKADMEHSDEQHEQDDGMEVEAGFIGADGSLKNKHQIQSKFWDEFEPIFLDDKVQFAECLSCHFLFSCNGDSCLRRHLETCPAKPEAAEKPQEDSCFTDGSSSSGATRRPVQGVADHQSLPASDVPNLKKKQKTSSVNTGDDMSASKFGQESPYQDVARMIILHGYPLSIVEHEEMRRIFRNLNPVVNTVSLSRSDMEEHLLDLFQKEKLNAKNKIALASRRVSLSASIWTDDGPEPTVKYLCLTAHFIGEDWKVNRRIIKFGMYWCSPTNLERTIHCKEACVPQSESGSYNVIWDAIRDWDLDHKILSLTSVGEIKNDANTARLKAMLMEKRCLPIRGKLCNIACLDDMINSVVDEGQSDILLLIGDVVMDFFVAHASSLPAQQQLLEAISQMSFKCPQEDAKWWHKFYFRLEVLLQFKKLFPHEEVLSPEDMRVTESICKILRTFYGVVEVISGPSSPTANIYFSEVWKVTTALQEEASNEHGEIATLVMPMQRAFDQYWQNSYVWLSIPVVLDPRFKMSFVEFRLRRAYGAGSASYLSEIGNTAQELFSEYYKPMNQPSCAVVSRSADDKDSLEDWDEHLSSQMSSELDDYLGEGLVPREDDFDILKWWMEHATKYPTLAAIARDVLAMPASAVHSEAAFSSTGPVILKHHSALSIRTIEALVCSRDWMR >SECCE2Rv1G0080550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:130728558:130738100:-1 gene:SECCE2Rv1G0080550 transcript:SECCE2Rv1G0080550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPEEVRRYWLPILLAAAGFLFQLLVLPKSFPPSHYDALGIPRYAPVEKVVEAYELLSKEWLTETNDQSTADVIKIRYAYELLTNPVLKRDYDLFGLDHHMDIFERVKEQYQKEHFLKIDLPLLKDSLLIHSNGHALNVLTHDSLMSAIAEDYPLLIQVYSKASPRCTQFFESWKQIDTRLDGVANTAMVELGDMQLAGYFAEKRFSQQPFFRNGIPALVAYPANCRSPSCYMRYPGELTVDSVVNWVASSIVGLPRILYYSKETLGPQFIGKSSHHKVKAIFFSSTGERAAPFLRQAAQQYSSYASFAFVLWKEEESQIWWNSLGVESAPALVFLKGPGAKPVVYHGTFSKSEFTEIMEEHKHQELQQLRSDTSLDLGCDARGHSRAGKEMMIWYCVIAAGRPGVELSKKRQILRKAQDQLLSSADGSTTENLENLVEVVSAATALKDDRLTFVWLDGELQKKICAFYLATDYSGACGPRGFEDDNDKPEVFIVRFQRNATYEALKADKKNNLIETLQGQDTPDASQLVARYNGPDEILEINKWVSQIIKDGDTREIPYFTSKVPDLLPEETSKEWLSGSKSIRSAGKSLKDRVQNSGFSFSDYLTDPRIGPALLMLACISWGTIWFKNIQSAQKTPEGEAPKDKTEKRRRPKLSPTLFGQPTETGADPEPKDAREWEIEDSDSD >SECCE2Rv1G0088180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:239872593:239874685:1 gene:SECCE2Rv1G0088180 transcript:SECCE2Rv1G0088180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSRLPAPCSLLMATLMLLIVQAQSITRHYDFNVQMANVTRLCASKSIVTVNGEYPGPTLVAREGDRVLVRVTNHVAHNMTLHWHGIRQLRSGWADGPAYVTQCPIQTGQSYLYNFTVAGQRGTLWWHAHISWLRATVYGAIVILPKLGVPYPFVAPHKEVPVVFGEWWRADTEAVVSQALRTGGAPNISDAFTINGLPGPLYNCSANDTFKLKVEPGKTYLLRLINAALNDELFFSVANHTLTIVEVDAVYVKPFTVKTLIISPGQTTNVLLTAKPLYPKANFYMSAAPYSTIRPGTFDNTTVAGILEYHNPRSTSESSFDKDLPLFRPTLPTFNDTGLVTNFTSKLRSLATPQYPAAVPQSVDKRFFFTVGLGTRPCPVNATCQGPTNTTQFAAAINNISLVLPSTALLQSHFTGMSRGVYGSNFPVMPLSQFNYTGVSPNNTNVATGTKLLVLPFNATVELVMQDTSILGIESHPLHLHGFNFFVVGQGFGNYDPVNDPTRFNLIDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTTWGLRMAWLVLDGSLPNQKLLPPPSDLPKC >SECCE4Rv1G0275000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:790070300:790070923:-1 gene:SECCE4Rv1G0275000 transcript:SECCE4Rv1G0275000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITLTTSIAFLAILFILLSVANTGEANDSGSGGARVTDLMVEACKNATGYRRGDANVTQEFCLSTLQSDNRSVMAKDHLELVLIAIDILKGRLTTAKHNIEKMLQNAKKGTVPMRDLSLCQVYYDTAMRIINMCDAMVTDFHRDKGRINSFELPRCVDKAGYLVDECWFDLQFDMPWADALINESDATRLLVSLDYALLAPYDVSD >SECCE2Rv1G0100750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:547516144:547517202:1 gene:SECCE2Rv1G0100750 transcript:SECCE2Rv1G0100750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISLAVAPRSDPDNGAERQPSSAMLGGVMGSLRVIELQLVAFIMVFSMSGLVPLIDLAFPVVTTIYLLALSRLAFPSLHSKLDDEAAAAHSAASQEIFRGSKLFQVYVVMGTTVGLFLPLAHVLGGFARGDDAAVRSATPHLFLLSCQILTENIVGSLGVFSPPLRALVPLLYTVRRVFVIVDWVYDVWTSKLITRSAPVQDKAWVWFGRYLAVANLVYFSINLFVFLIPKFLPRAFEKYFHTRDEVYAKTAEDKRARDLSSLDDDGAAKSEVSKKAD >SECCE5Rv1G0333270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:555718801:555719229:1 gene:SECCE5Rv1G0333270 transcript:SECCE5Rv1G0333270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAVLSPPTVAGLRLAPSPRAAASFRGSPAARRSVAARAALEPSVVISLSTGLSLVMGRFVFFNFQRENVAKQVPEQNGKTHFEAGDVRAKEFAGILKSNDPVGFNLVDVLAWGSIGHIVAYYILATTSNGYDPPFFG >SECCE5Rv1G0341400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:623741057:623742873:-1 gene:SECCE5Rv1G0341400 transcript:SECCE5Rv1G0341400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMAAAYTSRLGLPPAAHPYDDASVACALGQLYSTKDPFALPASCLLPPPELPPAFGLPVGGLAPVCCCAKAAGPPAFPPFPWAHVPSPPAPPRCAITEIDESREVESEDNLSPRSVLTPWRRPTPASALSPPPPPPLVVGGKRAFDPTSEKTSLMICNIPNGFVKRRFMAILDQHCVQENDNPEWRVVGGGKFVRSEYDFLYIPIDFRTKYNKGYAFVNMTTATAARRLHAFLHGHRWALAGSRKVCEVVHADIQGVDALSAHFSCSKFPCGNKEFLPVRFGPPRDGLRPTVERVIGRTLVHRPADQSARPTLHAARMCPQSYNLNFF >SECCE4Rv1G0225950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:102144749:102149579:1 gene:SECCE4Rv1G0225950 transcript:SECCE4Rv1G0225950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAMASASASMRGGEGAKGTARRPDRVLAARRRRAAVLLLALAYTAAMLVLLLGAGGTGLGTGGGRVVVGAPRPRAPAPPGSVYRSHLVFERLLPEMRALASRPSPLMASHSKKSGKRWVPCIRKKLTQSALPPSNGFLVIEANGGLNQQRISICDAVAVASLLNATLVSPVFHLNSVWRDSSKFGDIFDEDHFIEALRKHVRVVKELPENVSAQFDHNISSIPNMRTKAFSSHSYYLEKVLPKLLELGAVRIAPFSNRLANSVPSNIHALRCLANYEALRFSEPIRILADNMVDRMIKKSALTGGKYMSVHLRFEEDMVAFSCCIYDGDWKENIAMENARERGWRGKFRRPGRVINPEANRRNGRCPLAPIEVGMMLRGMGFDNTTSLYVASGKIYNAEKYMTPLRELFPLLQTKETLTSPEELAQFKGHSSRLAALDYTVCLRSEAFVTTQGSNFPHFLMGHRRYLYGGHAKTITPDKRKMVLLFDNLDIRWDRFRHHMQDIRRQSESKGFGFRKQHGSIYNLPMPDCMCQQAEA >SECCE7Rv1G0454300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2066588:2067136:-1 gene:SECCE7Rv1G0454300 transcript:SECCE7Rv1G0454300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNMVAPLLVLNLIMYLIVIGFASWNLNHFINGLTNRPGVGGNGATFYFLVFAILAGVVGAASKLAGVHHVRTWRGDSLATSASSALVAWAITALAFGLACKEIHIGGFRGWRLRVLEAFVIILMFTQLLYVLALHSGLFGNQFGGNHAGAGGYPAEHAYGAGDPHNKGMGTGGVARV >SECCE1Rv1G0048550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636191991:636193055:1 gene:SECCE1Rv1G0048550 transcript:SECCE1Rv1G0048550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKVYATLLEQLLASFANPLEDTQLREWERPPKPQYSVTDKRSGPRQDPKCPFFLITMKVSAKTAQISWSMKKYPRFPRERAMYYALRVARLGTGTQGFHVEQAGEGGQPGALSYFIDATYIGWPRPKEGIFEEGSSVPLREVAVIKRLPGGEGISVMSYVHGLGVKLDDILFVLHVPSAAMPLRFTEQSFHVHENFEEIPLYAPAGLDFIDITVPVKNLIKKLYQLYLQEEQEKKIKCEKQGHRGSEELVCQQGELMIQKHETEEEKLERLRRRREDSKKHRDLRKEMKEAVHRIKDTARTSEEEEQHEEEYDPMLLPFLFQEECGLVKPLEELCQSEKSHDGSLVEVMEQ >SECCE5Rv1G0303880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:51435214:51435675:1 gene:SECCE5Rv1G0303880 transcript:SECCE5Rv1G0303880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTVLICASVAALVVLSVLTFLCTSRRRQGHGSSSSLSHPSVDIELGCRCATAGIDEAELATYPTSVYSSPRRVDDDVQPDATPSTDHSDRAPDDTTCAVCLAEYADGDELRRLPGCRHAFHRRCVDEWLRRRPSCPLCRTSPQSTAAKNS >SECCE5Rv1G0365740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:809123992:809125629:1 gene:SECCE5Rv1G0365740 transcript:SECCE5Rv1G0365740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLAATPLPTTSSHPSPSSSSSSVQLLRSLARSRRADLAHRALLLFRSLHASPSPPPPHFSLPAALSAASFLSALPEGRQLHALAAKLALAPAHTVVANSLLHLYASCGLPDAALALFRCIPAKSLVSWNTAIDALAGNGDHLGALDLFREMQRDTGLAPDAYTVQSVLGACAGAGALSLGVYAHALLLRELGGHGDVSRDVLINNSLVDLYGKCGAVELARQVFDRMPERDITSWNVAILTLANHGCVRESVELFDRMTRAENVVPNAITFVAVLSACNHGGMVEEGRRYFEMMVSEYRIRPRIEHYGCMVDILARAGFIEEALDVVSGMNCRPDAIIWRSLLDACSRQNAGVELSEAMAKLALNVPDDAVSGVYVLLSRVYAFAQKWNDVGMVRRLMSDEGLKKEPGFSSIEMDGLVHQFVAGDTSHPRSEEIYGKLDEIEHRLASSGYKPDLSEARMVAGMDHTKGAALRLHSERLAISFGLLKATPGAPIRILKNLRVCKDCHTISKLISELYGVEIIVRDRIRFHHFKDGACSCKDYW >SECCE7Rv1G0522440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:877617167:877617795:1 gene:SECCE7Rv1G0522440 transcript:SECCE7Rv1G0522440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYEVKVGMFGGSNGDVYDIAELTSSAPSSLRSLEIWSTSGHEGIINAISFTFVGSKNHMNKAGPWGTPLPGQKSKTIHLTNVRITELSGYTYDGYITSLTFRTTDAQKHHGPFGKVRPKAGVDTHFRIPLMNGSIVAFCAQADDYLSAIGAYLKI >SECCE6Rv1G0437830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786417010:786417507:-1 gene:SECCE6Rv1G0437830 transcript:SECCE6Rv1G0437830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLAAMLLLALASAVIVTAQNGADDMLNAHNEVRAAVGVGPVTWDPIVAAYAQSYAEKRRTDCKLVLSPEVRPYGENLFHAPGTDWKAVDAVIYWASGKQYYDHATNTCSAPSGESCDEYLQLVWRDTKAIGCGAVLCDGNAGVFIICSYSPPPMVGQVPY >SECCE7Rv1G0466790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:81380249:81380892:-1 gene:SECCE7Rv1G0466790 transcript:SECCE7Rv1G0466790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDKGTKSVVGEIPLLSTRAGPRDGDAWRQRLKEEYRALIAYTSVNKAKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGLAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPADAAAASGSAAAS >SECCE1Rv1G0052550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:662029142:662030530:1 gene:SECCE1Rv1G0052550 transcript:SECCE1Rv1G0052550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTPAPWSELPQDILDLIIDRLVSSPPRGGFFSSAWSLVQGLLGSSAKPLDFKKVRRDRHWVYADRARFRAVCRSWHLAMRRHLSASRHLPWIVMSDGSFYTPLDAVCTSSPRLPTLPSNTTCIGSTDEWLALDFIDAKKMHSYSLHNPFTGITVSLPKLDAVIGQVSELFEVRKVLMRSTPSDIVAVMTNNWNHPIILIRPGKGVWLPEPQSAPFVDLVDVAFLGDKLYGITEAEDLVSLGIEFNSDGMPTVISTEYLIRHPSGNSSRGVWREVDDNFDSSNNNKDGKDEVTNSFEDHTEALSEDSEERALDELRNKTGDGMILEGATLLDDEVQHEPKDIITVIRYLIESHGKLLMVKRQLHWPEYSINFTSKVEVYEMDVNDEVHWVPVSGGLGSKALFISRFFCKCIHTCEDGDRDALHFIDSGEKYDMKSQIVSPSWRDIDLYRSTWIFSQGLVV >SECCE2Rv1G0075220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:83223007:83225656:-1 gene:SECCE2Rv1G0075220 transcript:SECCE2Rv1G0075220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSTTQHPRHRRGTGLKPGSRRRVAAKPDRLSALPDELLHHIMSFLKAWEVVRTCVLARRWRRLWASAPCVDLRVHHYSGRVDDPPEAFRYFVHRLFLLRDESAPVCTLRLRSSNEEAGFTDDDANAWMRAAIERNAQVIHLTGHHPEIVSLDRVSFISCHLKVLKLSYARLDYSTLKQLSSGCTSLEELDLKDCLVMGPRIVSASLKTLIMLECKTICAFSIAAPNLLLLHLVTPCIRVPSFKNLGSLVTATIILDDSFLGDNFEYFSDEDYCDGTTDDDGDGNDDNDWTESSKIHDNDFGDDDFIRFGYGHGFAEGICRHDRYKDNYDYGSDIENDNNTYAYSEIANDAKYGYKGKGLISSKDSIYGGYRECNDSKILGGRHILQSLSSARTLELLTDAGEVVLSRELNMCPTFCNLKILSLGEWCMAADFDALIFLLQHSPNIQKLFLQLKINFNTGKASETGIKLQGRSFTCKDLRMVKITCSKDDGRVHKLANLFMANGIPVEKIYVRHSRSAYLRSQKQMKEFRGM >SECCEUnv1G0536030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:61843135:61843602:1 gene:SECCEUnv1G0536030 transcript:SECCEUnv1G0536030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTGATLLRFLLFASIVAGFAAHLAAGEKDCYDERDRIMRICIGSIKKDGFYVPPSQACRNEVKKVDMPCICRVLTASDERTVSPVKLVHLAHDCRIDLPVGSKCGTYTVAPVPPPAHA >SECCE5Rv1G0354220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722582428:722583524:1 gene:SECCE5Rv1G0354220 transcript:SECCE5Rv1G0354220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTRSAVLVAVLAVAVLGLATDGQAQLQNGFYTGKCRGNDVEAVVQGIVQARFASNSDIVAHLLRLLFHECGVNGCDGGLLVDGTGTEKTAKPNLSVKGYELIVAIKTELEKRCPGVVSCSDIEILATRDAVAASTGRRYTVRTGRRDSRRSVASDVNLPGPDDTVPKAAAFFRNLGLSSDDMVVLLGAHTVGVTHCSMIKTSRLYSYGGKAGATDPSMDPELASTYKTYVCPNTASSDNNIVFLDDRSSASNLDNSFYKMLQRRRGALMVDQNLYNDSSTRWMVDRLANTDHFTWLFPQALVKLGEVKVLTGTQGEVRRVCSKFN >SECCE2Rv1G0073100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:63903948:63905636:-1 gene:SECCE2Rv1G0073100 transcript:SECCE2Rv1G0073100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRLSALADDLLQRILSFAPAKEAAASAALSRRWRPLWRRTGALNLDSKPYPRQYSYGDGHGRYDDFFRHGMAALDCRRETALKRLTLYLGMDAYLVGGRYYSSHDGNPEFDARVTGLLVNPAAAVLEELRIAAERSYRNSYVAPLASLPCATTTLRVLELDSCDLEPSARLAFPRLTDLTLRNCTYLEGYLQVMLDAAPALVRLALVNVTNKPPKPPASENDRYYTPKIFNLPLCLRSRTVTALVLKTTVCREELDDSRNIGIQLDMPSLCSFRYKGFPFKLTLTSPTPGLARVHLDTNHSERGVYQCEPTARALAGFSSTRALKLHLNKIENILSHNTSSYRYRFQNSTSNQDPQEELILPTFPNLKLLEIDAEFNYRDNNTALALATLLRSCPAMCELRLRLNMAWDYDYGCKIEQQAAGGPFTQSVERFNRLGSMCTEHRDDVELGGVSELPAAFANNSTFSCLRTCLRKVTLQFKSKELNCFQVQLAKFLVENAMVLEEMHVEDGDQFWPDHLLDKLTRWRTDAFRTRNLSDTAGFQVFQLANPVLDPKVKVHY >SECCEUnv1G0565370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:393430157:393430417:1 gene:SECCEUnv1G0565370 transcript:SECCEUnv1G0565370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERFFTALVFCEAPLDGYGTSVLTGGAINRLVTGHGSATKQAVASKEDAHKEQGLFNSKPTAQRRPVFELAFDGVNCFDTVVMH >SECCE5Rv1G0368110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826542773:826543675:-1 gene:SECCE5Rv1G0368110 transcript:SECCE5Rv1G0368110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKNLEFELVRIDTFKREHKLPEFIKLRDPTGQVTFKHGDKTLVDSRAICRYLCTQFPEDGNRGIYGTGSLERASIEQWLQAESQSFDAPSSELVFHLAFAPQLNMIPDEARIAENERKLQQMLGVYDEILAKNQYLAGDEFTLADLSHLPASHYIAGSQRGRKLFTSKRHVARWYDAISSRPSWQQVVKMQHEHPGTFE >SECCE3Rv1G0207410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:920579449:920591084:1 gene:SECCE3Rv1G0207410 transcript:SECCE3Rv1G0207410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDFSALLETNAASVVSSAFECSGYRWSLRVTPKHKQGVMGNPYVALCLEIHQPCLEQAHTVDVVFELSIFNHSKGEYCGYKASYNFDLKNTYSKPHCLIPLRELLKSSAFLVDDSCVFGVEILKIDVSSPEKKDVVVQKKATTVQNLFVQKKGFIKGTYTWTMDNFLELDLKPFVRSPTFEVGGLKWYIRMYPRGDKYSNDCVSLYLSLDESVELPLESGKVVELTLSILDQKNGKHRTSTSGLWVCGQGHKLVCVHGMGSSNFFGLKKLKDPSGGYVVGSSCIVKADLTIVGSSNDG >SECCE5Rv1G0357950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:750928559:750929794:-1 gene:SECCE5Rv1G0357950 transcript:SECCE5Rv1G0357950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARGSVGTAADGLDLELRLALPSAPIGYDIDLALVAGQIPVESVAVAKVERAGDLGIVGPVESVAAAAVEKGSDLAIVYEGSVRGDHVPPAVTEGVAVAEVEKARDLAIVVYEGPAGGNDAPPAVTERVAVAEVEKANNLAIVLYDGPAGGNDAPPAVGELGLLATPDDEPQHEHVEAGQIVPAGSTSSTALVLYYLPLQQVQPGRGIPLLSWAGHGARQSRRRAVAAELDPALNSADTVRAWVRDELEESHHLPRDLALTYIGEKVLSQSDLNPRQARFLLPASADVRLCAFLNPVEIAACGFNNTERKAKRTRIPGERAKATTYPGVPLSIYVSSGRGHGVSDQLKLNKFHRSGCTVINGRRYMRFMEFCGLKAGDGVEVWAFRWPPELRPCLLIAKRDGVLPARNP >SECCE7Rv1G0503510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:713154952:713157758:1 gene:SECCE7Rv1G0503510 transcript:SECCE7Rv1G0503510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSASPVGSGEPLLPEVRKCVSGGATMQRSLSRADDELQWFRSCLRWVCMDHSAPGRAALSWLLFLLLAVVVPATAHFLLAFRPSRRPFDAVVQVSLSAASGASFLCLSSSFRRIGLRRLLYLDKLRTNSDRVRLNYTARLAFSFRLLASLVAPCFAAEAAYKAWWYATSADRVPFFANVLLSDVLACSLEMASWMYRSAIYLLTCVLFRLICHLQGLRLEDFAGSLLVEVEEGRMGVERVLREHLDIRKQLKVISHRFRRFIVAALLIGTASQFASVLLTTRHDSIDDLLHTGELALCSVVLMSGLIIILSSAAKITHQAQALTGQTTKWHACCTIEPVPDDEIDPGSNQNSMLEEYREDESDCESSEETGDEDMLENTKFLQPHTHVISFQKRQALVTYLENNKAGITVFGFTLDRSYLHTIFMLEWTLFLWLLGKTVGFS >SECCE1Rv1G0017950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:169614935:169616254:1 gene:SECCE1Rv1G0017950 transcript:SECCE1Rv1G0017950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPHFNPLAMLRLRTVAVAATLLLLLLSSPAPTSQLSLGATFGAWINGAAPPPPPPSSASLGPSSTQIQGGGGQEYPALHALKAAIFEDPRGALSSWQGPNVCAYKGVYCSAPPAGAAAAGAVVAGIDLNHANLKGTLPAALSLLSHLTFLHLNSNRLAGAVPDTLGDLQYLTELDLSNNLFSGPFPAATLLIPSLVYLDLRFNGFSGELPDEVFAKNLDALFLNNNQFDGQIPETLWSSPATVITLANNRLTGPVPTAYGYGGRVRELLFLNNKLTGCVPEALGFLPYIEVLDLSNNLLSGHLPSTLSCLSGVEVLNIAHNQFTGELPELVCDLRRITNLSVSFNFFSGISQDCDRLAGRSVFDFAGNCVPGRGMQRPQPECDDAPGDVGLSCLRIPGSRPVACAEAAVSIGIGVTFGGPLPLGLSGGGAGVTVTVP >SECCE7Rv1G0499420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:653792501:653795956:1 gene:SECCE7Rv1G0499420 transcript:SECCE7Rv1G0499420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMIVMKVLVFSSLCLPCLANTVHVATLSTAETATTSATDHQALMSLRSLIKGDPFQALNSWGNQSIPMCQWRGVACGTRGHRRGRVVALDLRGLNLLGTIASSIGNLTYLRHFGLQQNHFYGIIPSEVGHLVQLEYLNLSRNSIGGEIPPTLATCVQLRVIDLRHNMHKGSVPRELASLHNLDVLALGHNNLTGSIPVEIGNLKGLTHLVLNSNMLVGEIPAEIANLRNLTELDLYFNGLSGSIPASLGGLEKLQILYLSSNQLSGPIPPSLGNLSSLLLLDVQENDLTESIPESLGNLNLLETLSFTFNNLAGSIPHTLGKLSSLVGFYLNDNQLEGSIPVSVYNLSSLQIFAVQYNNLSGPISDQLGNKFPQLQKLSLDSNQFHGSIPESLCNASMLEILQLGENFLSGVIPKCLGATMRSLSLLLLSSGQLEASNDGDWDFISSLTNCSMLQYLALGNNKLDGRLPNSVANLSTNLRLLEVERNMLQGNIPEGIGNLVNLQYLKMDGNFLHGKIPESIGNLGILAQLYLSKNNLSGPIPPMLGNLTSLNILILGKNMLTGHIPSSLGSCPLEKLNLAFNQLVGPIPKEIFLISTLSDFMDLEGNKLTGTIPPEVSNLVHLESLDVSDNMITGLVPASLAQCGGLQYLSMEGNLFQGTIPASMSQMKGLLVLDVSRNNLSGDIPVFLADMHGLVTLNLSFNNFEGEVPKRGLFLNASAALIEGNYGLCGGIPQFNLHPCSNHTSKKWSHKIVVLISVGSAVLCIIIVLFALFAQRKLRSKFTKIRRVPSLHSGQHMRVTYAELVRATSGFASENLLGTGSFGSVYKGTMMNGDQEVIVAVKVLNLQQRGASQSFVAECETLRCIRHRNLVKILTVCSSIDSRGLDFKALVYEFMPNGDLDRWQHCRLLEDGSHGVLSLTQRIDIAIDVASALEYLHHNKPVPIVHCDLKPSNILLDNDMVAHVGDFGLARFLHQDGTSLPEISSGWATRRGTIGYAAPEYSQGNEVSVYGDTYSYGILLLELLTGKRPTDGEFLQDLKLHSYVEIALRDQAANLVDLCLLSSLEEGTTIRAACITSVLNIGILCSKEQPTDRMQIGDVTRELLAIRDEYRTHLLSEGGSI >SECCE3Rv1G0146710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12223060:12229789:-1 gene:SECCE3Rv1G0146710 transcript:SECCE3Rv1G0146710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPENALKRAEELIHVGQKQAALQALHDLITSKRYRSWQKPLEKIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMQLSNEKAEEARNQAQALEDALDVEDLEADKRPEDLMLSYVSGEKGKDRSDREFVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRSTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESCQLYLDTRVEQLKIATELSLWQEAFRSVEDIHGLMSLVKRTPKPSVLVVYYAKLTEIFWISESHLYHAYAWLKLFNLQKSYNKNLTQKDLQLLASSVLLAALSVTPYDHKYGASHLELENEKDRSLRMANLVNFSLDSKRENREMVSRATLLSELAAKGVISCASQEVKDLYNLMEHEFLPLDLASKVQPLLSKISTIGGKLSAASSVPEIRLSQYQSALEKLTALRVLQQASRIFQSMKIDMLSRMIPFFEFNVVEKIAVDAVKHNFVAMKVNHLAGAVHFGNMDIESDVLSSHLSVLADSLNKALSLIHPPVQKPSKPSENLTSLAGVVEKEHRRLLARKSIIEKRKEDHERQILEKEKIEETKRLTIQKKSADEERERLLKEQRLREQQRIRKEIEEKEAREAAKMIEDLTLRGKNKKKIHIEGDMTKQHAMEVVLNQQVKERQEMEKKLAKLAKTMDHLERAKRQEEAPLIEEAFQKRLEEEKILHEQEQLREIELSKQHHAGDLQEKNRLSRLLEHKNAFQERIVQRRESEFSSLRKEREERINQLLSSRKRERETVRKLMYFLNMEEQRIQREREEQEARKREEEERRKKEEAERKLKLDAIAAKQLQRERELEEREKQRREALLRGAEPVRTADAPAAAAVAPPAREPAAAAPAAAAAATGAAPSKYVPKWKREGDSSSQRPAVAAEPDRWVRDDRPRPALRQDAPPARDVRPLRQDAPPARDVRPLRQDAPPAARQEAPPAARQPDGAAPAASTERWRPGSRTSANSSSTNSSTGWRR >SECCEUnv1G0542730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:102522766:102524828:-1 gene:SECCEUnv1G0542730 transcript:SECCEUnv1G0542730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASASMAAASSCRNPLVWFFSLAAALFFASWYLLLDSAAAPAALDAHRQGLHPASPGRKCDPDKALLRVYMYDLPLEFHFGMLDWEPGSGGGGLWPDVRHGVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCNAVRVRDPARADVVFVPFFASLSFNRHSKVVPPARTSEDRALQRKLIEFLAARPEWRRSGGRDHVVLAHHPNGMLDARYKLWPCVFVLCDFGRYPHSVANIDKDVIAPYRHVVDNFLNDSTSYDDRPTLLYFQGAIYRKDGGFIRQELYYLLKDEKDVHFSFGSVAGNGIEQSTRGMRASKFCLNIAGDTPSSNRLFDSIVSHCVPIIISDEIELPFEDILDYSKFCIIVRGADAVKKGFLINLIKGISREEWTSMWNKLREVEGHFEYQYPSQPDDAVQMIWKTIARKVPSIRLKVNRLRRFSRSEANKTNDSPARSSWLENQAR >SECCE6Rv1G0445220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:836377561:836378181:-1 gene:SECCE6Rv1G0445220 transcript:SECCE6Rv1G0445220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPASLLHRTALAAAGTGQKSSSPSPPQQQEQPAPVMAVNSDMVVIMASLLCALVCVLGLALVSRCACRRRRSASSSNHSPPPPKGLKKKAIDALPTVSFTTTGSPTPAACSSSECAICLAEFTEGEGLRVLPRCGHLFHVACIDAWLRTCATCPSCRAPIIVSALVQPPATPTVVVVVAANNRCGRCGEVAPPAGVEDSTTVLS >SECCE1Rv1G0026380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:370202822:370208822:-1 gene:SECCE1Rv1G0026380 transcript:SECCE1Rv1G0026380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSLSLLLALVVAAATSAAGARVPVDAAGNATLGELRARRGRREALENGLGRTPQMGWNSWNHFYCEISEEIIRETADALINTGLAQLGYKYVNIDDCWAELNRDYQGNMVPNRRTFPSGIKALADYVHAKGLKLGIYSDAGTQTCSNKMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRSVHERYTRMSNAMKKYGQNIFFSLCEWGNENPATWARGMGGNSWRTTGDIADNWGSMTSRADQNDRWASYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSPQTKTIISNQEVIAVNQDSLGVQGKKVQSDGGLEVWAGPLSGNRKAVVLWNRQGYQATITAHWSNVGLPASASVTARDLWAHSSFSAQGQLSASVAPHDCKMYILTPK >SECCE6Rv1G0415220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:640019470:640022480:1 gene:SECCE6Rv1G0415220 transcript:SECCE6Rv1G0415220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYSAAPSAAVSLPSAAAPPLTPDAAAVLSRAAGDASRRRHAHTTPLHAAAALLSGPAPLLRDACVAGLASPHPLRCRALDLCFAVALDRLPTSTEHHHSAHVDGAGFRGGPQPPPLSNALSAALKRAYAHHRRIGGSAVEPAGGDDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPAAPDSGVYVSATRSLQHRQAPINRDEEVAKVVDVLKRAKKRNPVLVGDTADVDAVVQEVVAMIQRQRLGNARVIEFGNLADMDRSELVAKIRELGEAITSELLAPAMVSQTAGVVVNLGNLQWLVEERCAVAFGEPQETRREVVLDTARAAVAEMARVLEHSGGEGERRRVWVIGTATCATYLKCQVYHPALESEWDIQAVPITPRPPQPPSLGLSPSAGVNRGILSSSVEVLSSAMTGATTHRPAPGMCNACVDGYERERADMQCADAASSLNPTEKTMSRWLQIGTPASARPQPIVGFQDKARDADELWRRWLDRCTQLHSHGRPSAVTCSEWNGASVIGSMQAALPVRPSAPARGTVVDTDLALGTPVPSVTPASASASAWDTDEKVLAKRLTEAVRWQPEAAAAVADTIVKARLGGGKRRRVGPKADSWVLFAGADVVGKRRMAEALSVAIFGAGPITVRLGCATTGDGDGGEESVVSCRGRTALDRVAETVRANPFRVVVLDDLDHADDVVHGAIVHAVESGRLTDSHGRDVALGSAIFIVMSSWSSSAPGQPTDSPWNLELRVRRHTTPKRRPEQELDGYRRVKPRKPLPLDLNLSMCDDHIDDDDGEDSGGEGSRNSSSDLTVEHEQEYAQPPSAATRSAQSNVHELIRAVDGTVVFRSVDFGPLKRSVSDVVSAKFGDAAGSGGWSVHVDAGVLDRLAGAAWTAAGASTATATSLESWADEVLCPSIRQLKRSLSSSDVDGATTVSLSAVEGIGRRRMDGDVLFPTSVTVAVDGN >SECCE2Rv1G0111070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:697653502:697655897:-1 gene:SECCE2Rv1G0111070 transcript:SECCE2Rv1G0111070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGRKVEVRDASRTDGKGDAADVHVVRESARTEGKGDHDTAGGAGLHGASRTDGHGDAGGMVGDLRGIGGNDSLKIVAMQAPVTVERPVRGDLEEHLPKPYLARALAAPDMYHPEGTTDDHHHHNMSVLQQHVAFFDRDNNGIIYPWETYDGCRAVGFNVFMSAFIAFLVNLVMSYPTLPGWLPNPLFPIYVHNIHKSKHGSDSGTYDKEGRFMPVNFENIFSKYARTYPDRLSYRELWRMTEGSREVFDFFGWVAMKLEWSFLYVLARDDEGYLSREAIRRMYDGSLFEYMERQRMEHVKMS >SECCE1Rv1G0053860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:672029022:672030197:-1 gene:SECCE1Rv1G0053860 transcript:SECCE1Rv1G0053860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLANRAITATIATVARGRRGAPPATSRRRPLRIWRAAMSSSSSSSSCSSSSGGGGGGGSGWGEDGEGRRARLVVIVGATGTGKTKLSIDAARALGGEVVNADKIQLYRGLDVTTNKVPLADCRGVAHHLLGAVPPEAGALPPSSFRALAAAKAASIAARGLLPVVAGGSNSLIHALLADLPDDALPEDPFALDCCYRPALRYPCCLLWVDVEEALLAEYLDRRVDDMVGAGMVEELREYFAATTPSERAAHAPGLGKAIGVPELGEYLAGRRSFRAAVDDIKGNTRRLAAAQVCKIRRMADGWGWPVRRLDASATIRARLAGAGPAAESASWERDVRGPGLAAIRAFLAADQTSSHGDDATATANGVDEPPTTPLLMRLPRMQCCDMVV >SECCE2Rv1G0136980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:915862318:915866924:-1 gene:SECCE2Rv1G0136980 transcript:SECCE2Rv1G0136980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MATPTVAAAAAAVLLRHPSPSSSARRGNASAGSARRGAAVRARVAGAAAPVTEERGRQQEPPAAPPAVEIPVTCYQILGVTEKAEKDEIVKSAIELRKSEIEDGYTEEVSTCRQALLLDVRDKLLFEQEYAGSTRAKVPPRSSLHIPWSWLPAALCVLQEVGEEKLVLDIGQAALRRAESKPYAHDVLLAMALAECSIAKASFEKSKVSLGFEALARAQYLLRKKPSLEKMPLLEQIEESLEELAPACTLEVLSLPRTPENSERRRGAIAALCELLGQGLDVESSCRVHDWPYFLGQAMDKLLATEIVELLSWDSLATTRKNKKSLESQSQRVVVDFNCFYRAMLAHLASGFSTRQTELISKAKTICECLVASENTDLKFEESFCSFLLGEESGATVFDKLQQLQSNGSSNSRNYGLAKKKDSSDKVTVNQSLELWLKEVALSHFADTRDCPPSLINFFAAPKRLISTSKQKLGATRRVLLSSQTSSSASTCNRTSGQQNPRLNSTSHLGEAVKQLAPTTLGGQGHSSTDRPVNGLSTTSVPLKRNPGSHPVRTLESWGLTGDVIGKIAYTAVLGFALFGTLKLLRFQFGNAKPAPSTRESAATSSLNEASTSDGSFISSRVREQFEKLSKMLWLSNRLHLRSERSDLSPGSSDVAAIARKERMSLQEAEALVKQWQDIKSEALGPDYEIDMLSEVLDGSMLSKWQDLALSAKDQSCYWRFVLLNLSVVRAEILLDEAGDGEVAEIDAVLEEAAELIDDSQPKKPSYYSTYEVQYSLRRQDDGSWKICEAAVRDLS >SECCE6Rv1G0400410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:451337518:451338143:1 gene:SECCE6Rv1G0400410 transcript:SECCE6Rv1G0400410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKMYSFQEVRKHSERKDCWLIISGKVYDVTPFMEEHPGGDEVLLACTGKDATADFEDIGHSDAAKELMPQYCIGEVDAGTIPAKLAHAVLPAKGAAAPASRASASQGVWRMVMQLALPLLLVAMAFALQNFAKTKTE >SECCE2Rv1G0115840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:757716608:757719765:-1 gene:SECCE2Rv1G0115840 transcript:SECCE2Rv1G0115840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYSQVPYASLCALAVVVAGWLAHCVYKWMNPACSTGRLPPGCMGFPLVGETFEFFKPSPSLDVPVFYKQRLKRYGPVFKTNLVGQPVVVSMDAEVNRFIFQQEGKLFRSWYPDTTNNIFGKESIASYDGSFHKYIRSFASRLFGLESLRDVLLAEMDRNVTQSLAAWAAEPEIEVKDAVANMVFDLTAKKLIGFGPEKSRKLRKNFDAFFQGLVSFPLYLPGTTFYGCIQGRKNVQKVLKDLLKERLGTPEKRHGDFLDEVVDELQSGRGVINEKFAVDLMAALLFASFATVSSSLTLAMKFLSGHPNVVESLKEEHEAILKKREEDDRSGITWEEYKSMTFTAQVTNEIARLSNVAPGIFRKTLTDVQVKGYTIPAGWLVMISPMAVHLNPELFEDPLAFNPWRWQDESKKSTLLKNFMPFGGGIRLCVGAEFSRIQIALFLHTLVTKYRWKEIKGGEVQRISEIVFPKGYHIQIIPREG >SECCEUnv1G0528660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:5504349:5504588:-1 gene:SECCEUnv1G0528660 transcript:SECCEUnv1G0528660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASKMVGALLLVALLALTHSNAQVLPTPCCNLKCCGYNCCGPPVTVAAPISPLSAAPEAGLAGPVSQRVPRKVFPGN >SECCEUnv1G0553790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:278095434:278096661:1 gene:SECCEUnv1G0553790 transcript:SECCEUnv1G0553790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDKEYYKACMGMEALPMSPAGLSAVTTEAVATASEDEGDLKRGPWTPEEDMLLVDYISKHGEGRWNSLARCAGLRRTGKSCRLRWLNYLRPDVRRGNITPEEQLLILDLHSRWGNRWSKIAQRLPGRTDNEVKNYWRTRVQKHAKQLHCDVNSDRFRDVVRQVWMPRLLERIQAESSSSAAAAAGLGVPALTRAMSSPAGASQYHYVHHASSGEPSRPVAVTMSPDTSSTLRSSLSSADASHGTHFPAWGAATATANVDGSMMQCSSGEGGAIGADHYVIHGDGLSGSWSELLAATEIPDFEFGNFDDNLWSLEDIYC >SECCE5Rv1G0333470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:557590513:557600236:-1 gene:SECCE5Rv1G0333470 transcript:SECCE5Rv1G0333470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIPAAAAHDGQKQRFDLGVFVGDLPLDDDDAASDNESLEGLQQELEDCKNDQEVANILANGIKMRDYTKGVENSIRQVELDSIQDYITESENLVLLHDQISDCDNILSQMETVLTGFQTEIGSISSEIKVLQEKSMDMGLKLRNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLEILSKKIKFIEADSMVKTSKALKDVQPEVERLRQKAVSKIFEFIIQKFYALRKPKTNIQILQQSVLKYKYTIVFLKEHAKEIYAEVRTAYIDTMNKVLSAHFRAYIQALEKLQMDIATSTDLLGVETRSTGYLFSIGKEPLKARSSVFALGERINVLKDIEQPALIPHISEAKSQKYPYEVLFRSLQKLLIDTATSEYLFSDDFFGEESLFQDIFAGPIQVVDEYFNAVLLNCYDAIGIMLMIRIIHQHQLIMFKRRIPCLDSYLDKVNMSLWPRFKMVFDLHLSSLRNANIKTLWEDDVHPHYVTRRYAEFTASLVHLNVEYGDGQLDLNLERLRMAIEDLLVKLAKMFPKPKMQTVFLINNYDLTIAILKEAGTEGGKTQLHFEEVLKSNIAIYVEEVLLEHFSDLIKFVKTRTSEDPASSSDKANISDVEPLVKDFANRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRLTECVKRVNGGSALNKDLVSISSILYEIKKYSRTF >SECCE5Rv1G0347860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:670854397:670854714:-1 gene:SECCE5Rv1G0347860 transcript:SECCE5Rv1G0347860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLASCSEALFKFAVFVLVQALVYLILSQSSDVFSGARRLSFRRPARSVSLRRMVTQLLTEMPAGGGEPSSPAAGRRDGGSSGGDVDVDLEMELMLIGCSFSS >SECCE3Rv1G0167990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:213387578:213391190:-1 gene:SECCE3Rv1G0167990 transcript:SECCE3Rv1G0167990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDGEGGEGWEAAVRAEMGGASWWDDPDGADLHARFKAFTGQRRDWPEPKLLFWKDLLLRVARRLRLCSAPAHLVTSVWFARPGGITPLCLPQVLEEMRADGEILLKSELIVPTAGSLYQLVRRVSQMAISRRPIVQEDILVFRSLVEERFENIATQLRGSHWTSTCVITMTKFNSFFYGREDAHAALCYLTQSGKARYLAIRKEDPVEGVKFPLVSAYAPAVSKFDCDTLHLVWQEEKLQQQFDVLDRRWEISRRAALMSFKTGDKQGAFRYVRQSKLFSESRNKCAQLLERVEEVISLIASAESTKQVYEAIQIGMRAMKEHNVSIEDINTHLKEVDDLVAAQRKINAALESAPLDSLADEEDIEEEFRNLEAELEDKIAPMHVEEPEPVLHANDDLPDETVESLSNNLSSMKLGAM >SECCE1Rv1G0040300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:557417124:557420340:-1 gene:SECCE1Rv1G0040300 transcript:SECCE1Rv1G0040300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSAVTAEDLVAALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILGLGFLWKPVAILAAFMTGFSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPITPVIRGRPSSKRSIHICGRPRWLFVLLFSAVSCMLWLTSCSLLTVLWALLIALLTTVLHASFRTPNLKARLNTFREEFRAVWRNYSEL >SECCE5Rv1G0364610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802308385:802309218:-1 gene:SECCE5Rv1G0364610 transcript:SECCE5Rv1G0364610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVDKPLFTATFNVQSSSADYVTFITGIRNKLGNPRHFSHNRPVLPPIEPKVPPSRWFHIVLKTSPASTGLTLATRADNLYWEGFKSSDGTWWELTPGLIPGATYLGFGGTYRDLLGDTDKLTNVALGRQQMADAVTALYGRTKADKSSGPKQQQAREAVTTLLLMVHEATRFQSVSAFVAGLLHPKAVEKKSGKISNELKAQVNGWQDLSEALLKTDAKPPAGKPPAKFTPIEKMGVRTAEQAAATLGILLFVQVPGGMTAAQALELFHASGGK >SECCE2Rv1G0088470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:245765407:245765944:1 gene:SECCE2Rv1G0088470 transcript:SECCE2Rv1G0088470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDPAKMCHCIPPRKAPRWISWSRQNPGRRYYSCVDAMHGGCGFVEWHDDPLPKYWSELIGDLRDEVWRLKGTATVARSEDQFAMVASSEDQATREAMVLSLQDQLREKNEEIAGIRAKYHNVVFLFTVFVLGLVAVKMLS >SECCE3Rv1G0153900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:57633864:57634784:-1 gene:SECCE3Rv1G0153900 transcript:SECCE3Rv1G0153900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPDRIPPSRFDLHLLDIDSHNMWMVELLDCRHGRVLLMDTLWDEVIVCEPITGEQHRLTVPAEFVRNRFTGAVLCAAIDHDHVHGSCHSSPFNVVLISDFGGNNQPIACVYSSEIGEWGDINPSTVSFELFYDHTLGLLVGNALYWLLDSIGGDILKFDLDEQSLAVIRGPPVTNDFRHGTHCIIQAGDGAVGFAILSYPHLQMWQRNINFHGVATWMLWRTIDIRTIIGLPKQIQGKRTLIRRILGYLEDSDEILLSVGRGAYKVQLKSMKSKKLCENSYLTRYHCFNSFYPPGDFSSLVLVL >SECCE5Rv1G0326060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:473222299:473223661:-1 gene:SECCE5Rv1G0326060 transcript:SECCE5Rv1G0326060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVWAASAAAINPTLLPLSSSPRPSMPAPSTRPSGQLRLRSRPPRPARVVCRRAKNAAFEDYKFPDPIPEFAEQETSKFREHMMWRLEQKKEEYFGEHVEDIVDICTEVLSTFLQHEYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDKDWKEWTGDY >SECCE7Rv1G0481830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:292116439:292121555:-1 gene:SECCE7Rv1G0481830 transcript:SECCE7Rv1G0481830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDRPVGRPAFRKPERRTLAPPRGTGPPAPPPIPPTTGVYLRGPPPVPPPGAILPRPIVVCLDLARMKQMDSSRSTSLMKFLEDTGVSPSEEETRRRERVILELHKIVMDWAKMVAFNQGGKPWITSGTVLTFGSYDLGAYGPESDIDAVCVGPCIASLQHHFFVVLRQMLEARPEVSELHSIESARVPLMRFKFNGVSVDFPYAQLPVINAAEAIHANDPRLLEKVDEASWRCLSGVRVNRQIMQLVPNMKKFQLLLRCLKLWARKRGIHCHLVGFFAGIHLAVLAAYVCRRHPNATANTLFCRFFEIFSHWPWPLPVSLHDQTPLWSPDGCSLMPIVMPCYPPEFCASNVTKSTFNKIKEELWRGLSLTKDTSSVDVDWNWIFAPFPYAAKYKHLLRIVLSAPTTEELRDWVGWVKSRFRSLILKLESLNIGCDPNPSEQVDHTIGGPNAVFLWGLIMYSNTQICTTSLKKDFMRSVTNNIYGKEKCAHSDIELSVVGMPQVPKSMSDHSAYLQKLPNLPPRMLGYPTMRQGCNAVG >SECCE4Rv1G0268050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:739138365:739138580:1 gene:SECCE4Rv1G0268050 transcript:SECCE4Rv1G0268050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPTMVGHTIAIHNGKEHIPIYITNPVVGRKLGEFVPTRHFTSYENARKDTKSRR >SECCE3Rv1G0212050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954222386:954223639:1 gene:SECCE3Rv1G0212050 transcript:SECCE3Rv1G0212050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGLPPPAAAAAAAPSVASVLGDDDLFREILLCLGFPNLLVRAALVSKRWLLHASDPVFLLRFRERNPPRPLGFYAGYPGRYQFVPLPQPPELAALSRRPACPCDGAFARGAERLMHCRNGRLITAFRSRWRQLRGGRSKHYLLAPLLAGESPTVLPPAPPPTHWRAQATSTHMFLPEDGGRDGITLVHLLMVGRKVYAEVHTLGSGGWGVPGTEVMEIELPHATTFLEEMLPPVHGKAFMVTTSGYTLGLDLATSTFFTLELPVGVRRGYLLSCADDSGLYLVSAAGFQLSVWLNGMTGDGHGAAGWLLVDTFSIREACTRFADQNQTSMPQDGDFLRVAAVGDNAEFVFLDYATYGLVLYVHLRSRVVEKVYEQAPYHHGNIHPGISRIHISPFMMMWPPVFPALNRRHEQEQ >SECCE4Rv1G0285580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:845346087:845347226:-1 gene:SECCE4Rv1G0285580 transcript:SECCE4Rv1G0285580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGEQPDWLNLPSDLLNLIARRSRDAVTGLAGFRSVCRAWRAAAGPAPRLLLPRVRASHTIVFPLSRGWSLVLDTRDASCHVSHLATHATAALPKLNAVRDDPGSDVVRHVRYVHGNDLDTAVRSNWMFPTYLEFTDYLRFAVHLPPSAPAAGMTVMMYHVMHGHTGMLFCRPGDAAWTKVEKPRRVGYGYFDFAYHNGKMFGMDTNGEMAVFDAATLGALQLVRRPPDMPNLCNKMYGYGSFPRKKEFDYVHLVALPSKLVLVVARTTVKSSRPVAFDLFELGSSSAPDGQLAWRKVAQAGNYELFIDRYHATFWENDGANEGGTRIYYVHDEQKVSTVAAYCYSMHDNKLECVYKSSPKDDGLPDCLTRPSWFVP >SECCEUnv1G0532240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19670491:19672122:-1 gene:SECCEUnv1G0532240 transcript:SECCEUnv1G0532240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARASCFSRVCCCWISLPSSASRPFPPPPPPPAAAGAAYHPCPDMDAETGPGTVTTEAHRPPQPRMPYADADRTLRALAAAAEGFGRRAIGGLHGALYHVTSLQDDGHGTLREACRAKEPLWIVFEVSGDIHLQTYLRVSSHKTIDGRGQRVRLTGKGLQLKDCHHVIVCNLQFEAGRGHDVDGIQIKPGSTNIWIDRCSLADYDDGLIDITRQSTDITVSRCHFARHDKTMLIGADPTHVDDRCIRVTIHHCFFDGTRQRHPRLRFGKVHLYNNYTRDWGIYAVCASVEAQIVSQCNIYEGGHKKTVFKYMPEKAADREETAAGWIRSEGDAFLHGALPCLVDGPGAECVFRPEEYYDRWTMEAASPALKEVIQLCAGWQPVPRPPDC >SECCE7Rv1G0495140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:594673429:594674076:-1 gene:SECCE7Rv1G0495140 transcript:SECCE7Rv1G0495140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITATAARAEIDSSRPFQSVREAVEVFGGVRYVAGCGGSSRGSSASSSKFSVPRAASPPSSTLLACLKNLETDLAESRSELAQLKQRQSQMEMAVSNIGMQFANSLGIFSDSVDLKKGKELAVVDVGRTAMVGAEEEYGDGRVRSDLWVDEARAEEWMASLEYLPSLSEALTIKMIDDDLRKVKSNKKAKKKQHKKQRKNALSLVGGIFSNKCR >SECCE4Rv1G0220470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:39774272:39776544:-1 gene:SECCE4Rv1G0220470 transcript:SECCE4Rv1G0220470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRRLLLLLLAVVFSASYVDVSVAQELITSSSYYCSTTGNYTRDSQYRRNLRALVIDLPVRAIDNRGYYDGMAGESPDQVFALTSCYADRNWNQCRNCLYGAAYGIEQSCPFSRQMKAAYDSCLLRYSNESFVSVADLTVAYYWWYTTYVDDPSSMNSTRWDLLTRLAAEAARSPLRLANGSVPYAASSQSPTTMHGLAQCTRDLNARECSRCLTKFVANLSSVFPNNTGAWVKGYSCYAVYSIGDGEDLRITIPPPGAQPPPSNLPPGARAPPLATGRTRSRKQLVARVSAGFVVVFVISSSLLICFVLRCRRRNRMARQVDTFDDDPLEDDFEKGTGPKRFRYSDLAVATNNFSDDKKLGEGGFGSVYKGFLKELKVEVAIKRVSKTSKQGRKEYISEVKIISRLRHRNLVQLIGWCHGGGELLLVYDLMPHGSLDTHLYSSNTILSWPLRYEIVLGLGSALLYLHQDWEQCVLHRDIKPSNIMLDASFCAKLGDFGLARLVDHGRGPYTTCLAGTVGYMDPECMVTGRTSTESDVYSFGVVMLEIASGKRPIVARGREDVIHLVQWVWDSWESGRTLEAADAQLNLEFDDREMECVLVVGLWCAHPDRNLRPSIKQAVSVLRFEAPLPSLPAKMPIATFTAVNGSSLVSASQLTGGR >SECCE3Rv1G0209220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:937227557:937231931:1 gene:SECCE3Rv1G0209220 transcript:SECCE3Rv1G0209220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSTNKLRHRCYPTQTDKHRVYIYKMSRAMVSLLVLAVLVLPPVPATTSTVTTARRNCPDTCGYHLIPFPFGVGPSCSLPGFNLTCAVDKQTNDSHPMLGNSMLEVSFPSDVPEPYLFTTSISYTVKMIPGVSDYSIHWEAPARPFAISGWSNISLFVVGCGVRALLFIDNSAVEAGSCSVVCAEAQVMEKLTVGTCDAMVGLGCCLIDIQVNVRAFTLDISRINGSPSSKQVQAYMSDSMDPRFTPIGAYSGFQYSGTVQLDWSIPYQPNCRRAMEDKDSYACVSNQSECVDSPIGGYLCYCQSGAGNPYIYDGCIKVLYGLYGSMQPRKDCPTSCGDVSIPFPFGTELGCFAKPHLYLACTPPVLKMTDRKLVTDISIDDGILQVQEVLGPDDSGSNPDPTLYISSVQSGMVKWAIDFMTCEHAMNESDYGCVSTHCVCIDVTDDRTLKHVGYRCKCSPGFEGNPYLQDGCTDTNECRHPDKYICKGICKNSFGSYTCTSCPHGTDFNSVTGKCKPTTIILGVTIGLSSGGGILFLAAIVAILTRRWKKSVQKRLRKRHFRKNRGILLEQLISSDQSASDGGTKIFSLEELQKATTNFDHTRVVGRGGHGTVYKGILTDQRVVAIKKSTLAVISEIDEFINEVSILSQINHRNVVKLHGCCLESEVPLLVYEFVSNGTLYDLLHREQNGSLSPLSWEERLRIATEIAGALTYLHSAASVSILHRDVKCMNVLLTDSYTAKVSDFGASRLIPIDQTHLVTAVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILVELLTRRKPIIQNEHGEKQNLSNYFLWAMRERPLEETVDAQILEEANGEGVLCMARLAEECLCLTRAQRPTMKDVEMRLQLLTGRRVAPRVERGEVAQPHYEAAGNNGRGGIALVIGQNGSRQFSQEQEFVSSLRVPR >SECCE5Rv1G0337820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:594253604:594257652:-1 gene:SECCE5Rv1G0337820 transcript:SECCE5Rv1G0337820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAATPSAFTPLGPRLLPRRASPGSRTSAAPLPRRFASASASKSRAPRPRPASPAPSASIDATAAARRRPGVREYVEAARELARRPGGGPPRWFAPLECDDGERIPGAPTLLYLPGIDGVGLGLIRHHERLAKMFDMWCLHIPIQDRTTFQGLVEYVERTVKSERTRAPDRPVYLVGESIGACIALAVAARNRDVDLVLVLVNPGTSFHRSQLQSLSALLDLVPDPFHLGTPQFLNFLTGNFMKMSSRIDGAGQALSEVTSGLLPSLKYLADILPKESIVWKMKMLRTAASYVNSRLHAVKAQTLVVASGNDELLPSRDEAERLRATLKNCRIRHFRDNGHKILLEDGFDLATSIKGSTYYRRSRQPDFVLDYLPPTPDELEKAIDHDRLLNFATDPVMLSTLPDGKIVRGLAGLPREGPVLFVGYHMLMGFELGPLVTGVLKSTGIHIRGLAHPFLFNENSEQLMPDTSYFDLPRIMGAVPVTGVNFYKLLSEKQFVLLFPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGAIIVPFGVVGEDDICDMLLDYNDLVKLPFYDTIDKKINEGGLRKLRTDSTGEIKNQDMHPVVLMPKVPGRFYFIFGKPIETRGREKELRDKEKAQHLYLHVKSEVESCIKYLKEKREEDPYRSILPRLLYKAAHGSDAEIPTFKP >SECCE7Rv1G0458770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22635540:22637269:-1 gene:SECCE7Rv1G0458770 transcript:SECCE7Rv1G0458770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCACIEQFRRTDELLVKYQYISDFFIALAYFSIPLELIYFAKKSSSFPYKWVLIQFSAFIVLCGATHLINLWTFTMHSKTVDIVMTVTKITTAVVSCATALTLIHIIPDLLGVKTRELFLKKKADELDREMGLIRTQEETGRHVRMLTQEIRSTLDRHTILKTTLVELGRTLGLEECAFWMPSRSGSSLELTHTMRQQIPTGSSVEINLPVVNQVFSTNRAIIVPHTSPLARIHPVQGRHVPPEVAAVRVPLLHLSNFHPELLAKSYAIMVLMLPSDSARKWLAHELALIEVVANQVAVALSHAAILEESMRARDLLKQQNDALNLALHETEMAIHARNGNRAGVELAQPEDTDSRTTMDSSACAAKVHNADP >SECCE7Rv1G0459260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:24983422:24986432:-1 gene:SECCE7Rv1G0459260 transcript:SECCE7Rv1G0459260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPENAAAPPAAQAPAPAPKPSPPTRSGIPPRYDLDAKWDACLDLSIRRVAYSSLAGAFGGLILFRSPTTRWASVALGAGVGIGAAFTECSYIFNGSPPKWSAKASTVPAHSEGGDN >SECCE4Rv1G0224460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:80563042:80564481:1 gene:SECCE4Rv1G0224460 transcript:SECCE4Rv1G0224460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSCERCKRYWSHLHGKVRCYVTRMDRNSRHSMVIPERFVTYFAWKLSGTIKLEGPNGDVYDVGITERRNKTVLGSGWEAFVDANHIVERDSLVFRYRGNCRFKVVVFDSSGCEKVVSFTARIQSNINDQEPSTNPNISTSSSDANTHSLARQSDDCQSGGSGHCRKRARKDAISSPSEDLSEDSPYEHESSESEDIERIRLTPEHDDTDPCMMPMGARLTQVQKKKVLEKVGAIASDLHIYVAVMTKINVRVALTFATKYCDTYLRKGSRSLVFQAEGKCQQWHGELHDNSRSLRISGGWTSFARDNNLREGDICLFELMKNEGGLKKMMVYIIRRERC >SECCEUnv1G0530680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13847315:13852402:1 gene:SECCEUnv1G0530680 transcript:SECCEUnv1G0530680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPLPRLYELFLGKERDRWPPEARFIEAAHHGDVLQIKKIAKELDVQGHGIPVTVANTTYMGMNALHAAGGRGRLLVYRYLVEEVKMDIHKPDTLVDFTPVEHAVKHGHLPAIKYLLDHGADLHLQREDNTLLHSAATRGQSEIVKFLLSKGADVDAISDLGTPLILAALNGHPSTLKILLQHGADPNKGGGLFGIIDVALRKSSVSCVKLLIQGGAYVRGDNPLAKAAEKGLTEAIKCLLEAGANPNVVDRLGRLPIELAVEYGTREDVEILFPFTSPISTMANWSVDGIISHVQMEMKQLEDDNFVEKRISDLKQQAEEAFKKQDYLNASVFYTQALKMDNFDAKLLSNRSLCWLRMGDGERSYDDATECKKLQPKWAKAYYRRGAAQILMEDYDDAYHSLLHALELDPGSQEIARLLLETIEVEMV >SECCE2Rv1G0140820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932942926:932944965:1 gene:SECCE2Rv1G0140820 transcript:SECCE2Rv1G0140820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGEAPPLLATIQEDDELASESMKSAGGGAESCRCEVEAAGINYHITVSARPHPLKIWSRPDDLLLDAAGGPAAPAPPPVSRSGGRCRLVLRNVSCRARPGELLAIVGPSGAGKSTLLEILSGRLEPSSSNPADFRVNGSPVDAAALRRLCGYVTQRDVLFPLLTVRETLHFSARLRLGPDAYDPAAVDALVDDLALARVADARVKDLSGGERRRVSIGVEAVRDPAVLVLDEPTSGLDSASALQIVGALRAMAESRGRTVVLSIHQPGARIVKMFDAVLLLAAGSVLHHGSVDALHSLLTGAGLCLPPHVDAVEFAIDSVDELRLHLHLQQQQRDRRCTLQQLFQQHKLQAQADDDSAAGMLAGGGSSGTGQHRYANSWAREVAVLSQRFFKNVARTRQLFACRTVCMLVAGLALGSIFYDLAEDKVAERVGLFAFLLTFLLSSTTEALPVFLQEREILAKETSSGAYRVSAYAVANALVFLPFQLVLAAVFAAPAYWLTGLRRTAPAFFYFLLLIWLVLYTANSVVACFAAAAPDFVVGNAAVQGVMGSFFLFSGYFIRRSAMPAYWVPMHYLSLFKWPFEALLLNEFGGRCAARAMGVCVASGDEVLRREGIGEECRWRNVAVMVGFVAFYRLLGYAVLRVRCSLTLRAAARSALMLSSSSHHSACFSASTAKA >SECCE4Rv1G0290620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873487669:873488206:1 gene:SECCE4Rv1G0290620 transcript:SECCE4Rv1G0290620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSAAAAEKEATKSPKKKTTTKSPKKKVAAKE >SECCE7Rv1G0462830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:48853608:48854291:-1 gene:SECCE7Rv1G0462830 transcript:SECCE7Rv1G0462830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTESDGWRKWWEKRRRDCSDCECSWTAAIVCLVVLAVTGGALALLIIAFAVVKPPTASAEDALLTRFELAPSANSTARPPLQLLSYNATVTVTLRNPNLHYGITFGAVAAAFAFNGTRFDESGTVPSLDIGARKETAVRLRVGGVGRALPKLPAAGATLFGKQKDAGVFDVEVRLDTVMQYKGRKTKCPLGIVCPLRLQLVDPDVAATAFQKTKCTILRAKISGC >SECCE6Rv1G0409720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:591328474:591329877:1 gene:SECCE6Rv1G0409720 transcript:SECCE6Rv1G0409720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACIVIRSFRHLARHPTSLCSLIFRALAELMGLSPSLLSKFRRDPPPMENMVGKSTEPEPEPEPELPPDMLSSIFALLEVPDLVRAGSVCSSWRSVYTGLRRQLRQHKQRQTPCLLYHTSESTGENAACLYSLAEKRAYKLTLPDPPIRSRYLIGSSHGWLITSDERSELHLVNPITGEQIVLPSVITLEQVKPIFDDEGAIHKYELWKPRYTALAEFLGHEPSIYALDELRDHLYFKAHLFLDPQTGSYVVVLIHNPEYQISFARAGDCGWTFLPLGWNYQECIYKDGLLYAVTGTGAVDAFDLSGSTVTRTTVLGDMKHSISEHIYIVQAPWGDMLQVWRQQPIVAGDADSENIMETSKIFVYKIDMAEKKLVEINGLHGHVLFLGHSQTQCLSVEEYPQLKANCVYFTDDDRFISLYQTSKRDIGVLNLENDTMEEIVPQIYCSWPNPTWITPSLRMMHSGLM >SECCE6Rv1G0401170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:463715100:463716722:-1 gene:SECCE6Rv1G0401170 transcript:SECCE6Rv1G0401170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMAMSRALAVRQPNHFRHRLVSTSSQQASPPRLPLLSPRRPSLTLAARPRMLPARPRLSGSESDLSPTPLSERTMTAWDLASLWIGLVVGVPSYYLAGSLVDLGMSALQGVATVAFANLIVLVCLVLTAAPAVTHGLPFPVLARATFGVRGAHVPAIIRALVGCGWFGIESWIGGRAVFLLLPSALKTYQPLLTPVPGLGAAPLEFACFLAFWAAQLGVIMNGMEGIRKLERYSAPVLIVLTSALLTWAYVTAGGFGRILSLPPRLTGAEFWKVFFPALTANIGFWATVAINIPDFARYARSQADQVLGQAGLPVFMGMFTFAGLAVTSSTEAIFGHIISDPIELLGRIGGPATTVLAIFGISLATITTNIAANVVAPANAFVSMSPRRFTFAQGALITALLGIACQPWRLLSSSESFVYTWLLGYSALMGPIGGVILADHYIVRRTALDVDALYSEDRESPYYFQGGFNVAAMVAMAAGVAPIVPGFLHKVGVLPSVSGALVAAYNNAWFVSFFVAGTVYCLLSRRRGGEVKYQTS >SECCE6Rv1G0421600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:684692726:684693100:1 gene:SECCE6Rv1G0421600 transcript:SECCE6Rv1G0421600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVVVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKFQEQEEELAHLMALFRAKVV >SECCEUnv1G0542790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:104207063:104208796:1 gene:SECCEUnv1G0542790 transcript:SECCEUnv1G0542790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASSSEASEEEPGRAPPPRMTTVSRHYFGGASSERDHDLRVDIVENIQEDYGMFVWPCSVILAEYVWQQRPRLSRSRVVELGAGTSLPGLVAAKVGADVTLTDIAHNAEVLDNIRQICSLNNANCTVLGLTWGDWDEPVFDLHPDIILGADVLYDSAKFDDLFATVTFLLENSPGAVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPSCKADSLQGNIQLVEIALENEKPK >SECCE3Rv1G0186200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:681970902:681974213:1 gene:SECCE3Rv1G0186200 transcript:SECCE3Rv1G0186200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISQLQRIAGPRHAGAPRPGTVRRASVAVAAGKAPLPRLEGASEELRAAAAQSLDWAPARRRVRGAFAPVLPTLDHCLFKMAPKGIQMEENFETNSKGVEIFWKSWLPREGTPTKAALFFCHGYGDTCTFFFEGVAKRIAAAGYAVYAMDYPGFGLSYGLHGYIASFDGMVDHVIEQYARIRGRKEVQGLPHFLLGQSMGGAVALKVHLKQPNEWDGVLLVAPMCKISEDVTPPALVLKALSILSCLLPEAKLFPQKDIGDLGFRDPVKRKLCEYNAISYNDQMRLRTAVELLKATKDIESQLEKICSPLLILHGAADQVTDPHVSQFLYEKANTKDKTLKLYEGAYHSILEGEPDDRISTAIKDIISWLDSHC >SECCE5Rv1G0300350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:25708148:25711896:1 gene:SECCE5Rv1G0300350 transcript:SECCE5Rv1G0300350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAKVASHGAFQGESPLDYALPLIILQICLVIVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSTTFLNAVFPARSMVVLDTLANLGLLFFLFLVGLELDLNAIRRTGKKALAISLSGIALPFAIGIGTSFAFRATLPGLQDSPKAPFLVFMGVALSITAFPVLARILTELKLLTTDLGRMAMSAAAVDDVTAWILLALAIALSGTGSPIISLWVLLTAVGFVAAVFVLLRPLLAWMARRSPEGEPVKEVYIVATLALVLAAGFVTDVIGIHALFGAFMVGIVVPKDGQFAGVLIEKVEELISGLFLPLYFVSSGLKTNVATIRGAKSWGLLVLVIVNACVGKIGGAVGTCLLVKIPFREAITIGFLMNTKGLVELVVLNIGRDRKVLNDEAFAIMVLMALFTTFITTPIVMAVYKPARPSASVPYKRRTVGGGDEDGDLRVLACFHGYRNIPTLLNLVELSRGTRRRLVVYAMHLVELSERSSAISMVHRTRRNALPFFSNASSSETTTEVAFEAFQQLSTVRVRPMTAISVLDTIHRDIIDSAASKRAAVVIVPYHKTLQADGSFHSLGSAYHAVNKRVLREAPCSVAILVDRGLGGHSQVAAQNVAFTVAVLFFGGPDDREALAYATRMAEHPGVAVTMSRFQPNRAFPEEGEDVADEAAVEAFKARVAAAVDDGSVRFEEREARSKEEVMEAIGSLSKCNVFVVGRIPPTAPLVENADELGPVGSYLASPEFKTSASVLVIKRYDPATNPKSMRFDPKAGPPVATDVDDEEMGSAGATVVPVPWSPSPSELA >SECCE6Rv1G0452290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:876015451:876016740:-1 gene:SECCE6Rv1G0452290 transcript:SECCE6Rv1G0452290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPGSPDGDAPAPPVPAMESQAELPDDIVEEILLRLPTAEDLGRTSTAGASFRRIIARHSFLRRFHSLHPPPLLGILGYDSAHHTDLTPAFLPAQPPHPSAAVAALTLAAADFSCSFLPSRELWSHCDFRDGRILLSKKGDFLANLAVCDPLHRRYLLLPAIPDDLAALSRQLDVMNCDPFLAPAGEDECDNGVAPSGFRVMCLRRSSTNLVLFVFSSSGARAGQWHTVAFDGWGAFLAAGFVVVGAFPDTVRTRRHYAHGCFYWEIYYPCKLLVFDTRTEEFSFLSLPRRRPEKTFVEATDGRLGMYSRHVKDHMLADKMRVDANHLLYDILQDNGDGTKHWQREGVIQVPQNYRYSLVGVAGGCLLLHALLHNPESSGNNCNYYSVDLQTLKLERFRMSRPVYVSLDSHLYTGFPPSLSPPTI >SECCE1Rv1G0048870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637784320:637789157:1 gene:SECCE1Rv1G0048870 transcript:SECCE1Rv1G0048870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPAPGGRTRAVARFCRQLHALLLKNLAFQRRNWRTNAAIAAFPVLLCALLVAIQAVVDRELDRPPFRCGCEGGHCGVQHSTPTQAISCEVAAPPRWPALLQVPVVPAFLHGHPARCSTNASCAPVSVLLTGLNRGLSQSLGRGLFPDLSPSDYLLMPTETMNSSSSLDHLSKVVPGSRTLPAHELFVEPGLVPNETLYVIQSKCSWPPRNVSGNSDGGMPLQLDVKCVQGLPLWCASSSVIDRRLFNGYKGANKRRRSNEFLAGYDFLDTSKGRFHAYVSYNSTFSRDNGHHSMTVLRVTRLVNMASAAYLKQVLPGVNAEMRLEFLKEMPKAAIKLKLDLTTLLDALFFTWTVQLLLPVILTYLVYEKQQNLRLMMKMHGLKDGPYWLISYSYFLSLSGAYVILFALFGSLIGLDIFRLNSYVIQFLFFFIYINLQIVLAFLLAPFFSSVKTASVISYIYVFGSGLLGEALLKLFIEDTTFPRPWLVIMELVPGFSLYRGVYELSEYAAAGSYMGKPGMQWGDLNDPINGMKDVLILTSIEWILLLPVAYFLDHRPAWHPIFLSGILSTKHSSPSYKANPRSRRVFADMAKADVSLEHKVVKRLLKETDARNMIICHNLKKVYRGNNGNPNKLAVRGLSLALHKGQCFGMLGPNGAGKSSFINMMIGLVAPTCGTAYIHGMDLRKDMSEIYANIGVCPQHDLLWETLTGREHLLFYGRMKNLSGASLVKAVEESLKSVNLSHCGFGDKSVSKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDTISRNDLWNVIKRAKKECTIILTTHSMEEAEELCDRVGIFVSGNFQCLGTPKELKTRYGGTRVLTITTAAEHEEAVARLVAGLSPSAVKVYGMSGTQKFELPKREVRLDGVFGAVEAARAMFPVHGWGVADTTLEDVFIRVAKDARAFDVLS >SECCE1Rv1G0012240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:90425123:90425521:-1 gene:SECCE1Rv1G0012240 transcript:SECCE1Rv1G0012240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLQLLGWAACLAGAAYVAVVCQLACVVSLLEDAMLFAALRKSGALLDGKFWAAAGVFVTLDGCLFAVLVAFHALVVDDALGLGLGFQVPAGVAMAVALCAVVLLTLVAQPVVYMVCKNHHHEVVDKVHLD >SECCE7Rv1G0495120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:594393984:594395564:1 gene:SECCE7Rv1G0495120 transcript:SECCE7Rv1G0495120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYHACKDGCACLPACARGRGQICFRTTRSGLYMAPCHARRLVAQTMGKDDLALSLLRARFRHGCALAAIVALAAAPAAPSVVDGSSSCDLFQGRWVADASYPLYDAASCPFVPGVFDCRRNGRPDATYLKFRWSPAACRLPRFDGVGFLEAWRGKTVMFVGDSLSMNQWVSLACMLHAAAPDPARVSSSTGDPVSSVRFEDYDLSVVLYFSRFLVDVVQEDVGRVLKLDSMQGAESWRGAHLLVFNTWHWWTYKGASQVWDYMQEGNRTYNDMDRLAAFSKGLATWARWVDANVDASLTRVVYQGVSPSHYTSKEQESDGAAPAPASGGCFQQTRPRQVATDGDERVFPQQVVVRGVIGSMSTPVSLLDITSLSQLRIDAHPSVYGGPGRDGMDCTHWCIAGLPDAWNHILYAMLLQRT >SECCE4Rv1G0216310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10414439:10417826:1 gene:SECCE4Rv1G0216310 transcript:SECCE4Rv1G0216310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEDDAAWERAISAAVKSAPLSPFSAPKTLALDGAVKSSTGRLPSPALFDRFPSLEELSVAGARLSSLAGLPRLPALRRLSLPDNRLAGADSLAAVADSCGGTIRHLDLGNNRFAAVEELAPLAPLGVESLDLYQCPVTKLKGYREKVFALIPSLKYLDGVDAEGNDRLESDEDEEEDEDEDEEGDEEGAEDGEGEEEDGDEEEDGEEEEGDEDEEEGDEAEDEEDEAEDDEPDSGAAEKSEAANGNKSALPSKRKRDNEEDAAGGDN >SECCE2Rv1G0071480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:45075270:45080767:-1 gene:SECCE2Rv1G0071480 transcript:SECCE2Rv1G0071480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDNSAVVDNDGKIYRLEQGQSGGAIMASNSSDRSDRSDKPLDQKTLRRLAQNREAARKSRLRKKSYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDLEYTRWLEDQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLVNHLEPLTEQQMLGLTNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQASRALLAIHDYFSRLRALSSLWLARPRE >SECCE2Rv1G0100420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:540945103:540946550:-1 gene:SECCE2Rv1G0100420 transcript:SECCE2Rv1G0100420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor FER-LIKE IRON DEFICIENCY-INDUCED TRANSCRIPTION FACTOR [Source:Projected from Arabidopsis thaliana (AT2G28160) UniProtKB/Swiss-Prot;Acc:Q0V7X4] MEHHQRLLHLSPHQEHLMIGPGFFDVDPMHFHGDDGGFALQPAAAVIDDGAWMEDLMHLGDELFGGGGGGGGGGGGGDDNDMVGAAAAAAADQAWRQECEGASPDEHPCSYDDVISPVSGEQGAGFEPSRDDSDLSGTRKRRDRSKTIVSERKRRFRMKEKLYELRALVPNITKMDKASIIADAVAYVKNLQSHARKLKEDVATLEARPGLAGRRQQQQQQKQGRRQGQHGRNGSDDEGNSGSSRGGGGARVTLVSAAQVGEGRFFVTVECERRDGVAAPLCAAVESLAGFLVESSNLGCSSDRVVSTLTLKVSETREEVMISDRTVKLWVMAALLSEGFRPEATSEIC >SECCE5Rv1G0376550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872830933:872831169:-1 gene:SECCE5Rv1G0376550 transcript:SECCE5Rv1G0376550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAPLGSLNPVGGVATEINAVNYVSPRSWLSTSHFVLGFFFFVGHLWHAGRARAATAGFEKGIDRDLEPVLYMNPLN >SECCE1Rv1G0061780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717344467:717350317:-1 gene:SECCE1Rv1G0061780 transcript:SECCE1Rv1G0061780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDGAVKDQQQQQESSPDIPAPSASAVTEASAVVAEAEAGAGQEEAVGAAGTSKPGSDKRLGVRHPVKYRRFRAKGKAMADPGVTPAHQPLEEELELEEGEGEVEEEASSPEREVRAGVVEVGVEVEMEVSSAAAAAVEMEVEEGAGMDVSPAAAVAMVDSEHSSEEEEEEVSSSPVLALPEQARRKQGAAVAAAVPALVVPKDQDLEKEKKEKERQRERERVDEVGYMSGGCKSDDGSLSCGYSSFRGKRASMEDFFDIKSSKIDDKQINLFGIFDGHGGSRAAEYLKEHLFENLMKHPQFMSDTKLAISETYKKTDSDFLDSEINTHRDDGSTASTAVLLGNHLYVANVGDSRAVISKSGKAIALSDDHKPNRSDERKRIESAGGIVMWAGTWRVGGVLAMSRAFGNRLLKQFVVAEPEIQEQEIDDELEFLILASDGLWDVVPNEDAVSLVKMEEDPEAAARKLTETAFGRGSGDNITCVVVKFQHIKTGGSGDTPPISPPGDKI >SECCE6Rv1G0433210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:757237426:757238619:-1 gene:SECCE6Rv1G0433210 transcript:SECCE6Rv1G0433210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESALPDDVLRNIFLRVDDVVALFRCAAACKRWCGLLVSDPSFLRRCLPEDACRSSPFSGFFTQQRRPRGLPQPCFVQGPRPVFGLLDCPRLLLGSSDRAVPLTSRKGLLLVRDGDVPVVCNPFTGWFKSLPPLKLNCGSSNYSTGYAVVLTAADCSSSHSEDGDELSFFKVLTMTIQKDTMQYRLHTFSSAGEWGWSTCSGRVNHNLCAPLSQQNAVVCGGAARWFFCNKSGLHSFDVDTRTGAVSMAKLLIVIPRDPLIPKTHDEPYLSLDAEGRLTLFSLQLDGNQVDIWTWHGDGRCTPTLLELDPPSKNKKNKNKKKAGRRVEYVCLGEKNGTLLIKDDQQRVYVVSVKTGAMQEVADCPRGGVGRREIVPLDIDWRALFVSRLRELAME >SECCE5Rv1G0349890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:687257198:687257866:-1 gene:SECCE5Rv1G0349890 transcript:SECCE5Rv1G0349890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLVAVAVVVALGCGVGLSGATDHIVGANRGWNPNINYSSWSANQTFYAGDLISFRYQKGTHNVFAVNETGYDNCTMAGVTGNWTSGKDFIPLPHSGRYFFICGNGFCQAGMKVAITVQWSDHGPEIYPPPAPGADNPSSSSTAGAWTAPAWAAVVTALGAAAIVVF >SECCE4Rv1G0280050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:818239978:818243901:1 gene:SECCE4Rv1G0280050 transcript:SECCE4Rv1G0280050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAAAAATSFVEWMVPKLFDFLDKNRGLRKNLERDIKFIKDEFAMISAAIQDEQNSRHGRGEEVHKEWIKIVRELAHAIEDCMDRFMHRVKMAETRAGWLHRAVHRVKTVEARNEFAVAIQELKKLSEDASKLKGTYSSSTTSSPGRSLSSEQTEMVEETEDNDDDDHSEASGPVPMGMDDPRDELLDLIQQQQQLKVITIVGFHGMGKTLLANHVYKAIESQYEARAWVLPAKLGGTAANVLKEILRQLGHGTDGRLAKLQESIKECIGTKRFFIVIDGLQKARYWHDIKVAFAGLSGRFLVTTTILHIANICSSSSVHDHVYTMATLANQHSRLLFFKEVFQDDDLPADAEELGSEALKKCDGLPLALVTTARFLQSTGNPTPMKWAKLCTDLGTHLESHELFARMRRVLVQSYTSLDSQVARTFLLYLSTYPSGRPIKRSTLIRKWLAEGFSPGDIRRDPLDIATSCFEKLVDQSIIQPINASGNSTEMKTCHTHGMMLEFVICKSMSDNFVTLLCNQPSAPALPSKIRRLSLHHARPRGVNDLSLVRSLTVSGTTHPSILDFSRYELMRVLDLEEYDLLLDSHLKVICSNLLLLRYLSLGAAVTALPKKIKKLRFLETLDVRRTKIEILPTEVMELPCLLRLFGKFKLRQDVGARRMSMLQAWLSANSILETVAGFVVDSNKSPGFAHLLDHMKQLTKVKIWYKSCADANSTGSLSKAIKGFIEGGTNSKPVALSVNLSGERSEDLLNFSMETGKSYYLSSLKLQGDSIFSGLPPFVTMLIGLNKVCLSFPHQLSSDIIAALSGLCSLKQLKLTATQLDKHILGDGAFRILEHLCITVEVMTELHIQDGALPCLKSLRLLCKDLDGFSGTSAIKYFKHLKEIALHREVGGDTKQKWKEAARKHPRRPKILFV >SECCE3Rv1G0150110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30558467:30558895:-1 gene:SECCE3Rv1G0150110 transcript:SECCE3Rv1G0150110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTNAAVIFCIVLLFLQVSCAVSRHPAEGKFELRQNVPAVMTVNGFQEGEGGGGPASCDGQYHSDDEFVVSLSSEWYAGGARCGRTIRIVDTSNLGINAKVVDECAGCDNEVGASSHIWKNFHLDTSQGEVNIRWSDLDF >SECCE5Rv1G0351060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:696179059:696182713:1 gene:SECCE5Rv1G0351060 transcript:SECCE5Rv1G0351060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASVKLGSKPDAFRRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSAVLEQLIEESSDQEESIIKLNDIPGGAKSFEMVARFCYGVKIELSSANVVHLRCASEHLQMTEEISDDNLIAQTEMFLNQVVLRNWKDSLKALETCDDLLPYAEDLQIVKRCIESLASKATTDPNLFGWPIREHGIMQSPGGSVLWNGISTGARPRNFSSDWWYDDVSSLSFPMYKRLLSGMESRRIRPEIIAGSLAYYARKYIPGLSRRNSMGTMPLAGTLSDVEQRNLLEEIDRLLPVQKGLVPTKLLLGLLKTSMILKASSTCIASLEKRVGMQLDQASLEDLLLPNYSYTMETLYNVECVHRILEHFLAMDQANGGCSPCIDDMMASPSMIPITAVAKLLDGYLAEVAPDVNLKPSKFQALASALPEYARPLDDGLYRAIDVYLKAHSWLSEGEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSSRPLRSGGIAMSGEAGGWTAAVRENQVLKVGMDNMRMRLAELEKECSDMRQEIEKLGRGGGGGKGGGGGGWASHVPRRFSLKMKPQMCSTQEGSVSEQQKSMSAKLDKLQAKLSKQKRQLSADA >SECCE2Rv1G0100670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:545665810:545666142:1 gene:SECCE2Rv1G0100670 transcript:SECCE2Rv1G0100670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASIAVASAGLGMLAGVAMANRSMQEGRPAAAVRWPLLQKPRCATCSGTGRVECLCSRWSDGDGSGCRTCAGTRRMPCRSCGGSGTGRRAPVRIATASATPLRPRASTR >SECCE6Rv1G0437630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:785570682:785572409:1 gene:SECCE6Rv1G0437630 transcript:SECCE6Rv1G0437630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAPGILLRDARTPRGFVQLLASSSSPLPPSPSAAAQLHGLATKLGLAAGNVFAGTALLAFYCRCRRPRDAQRLFDEMPERSAVTWGALVHGHARSSAPGLAVETFKRMVRAGFSPTAAAVSSALVACARMEDVGAGVMIHAAGLKCGGGVCGSVVVGTALVDMYAKCRDVSAARRALEEMEDKNVATFTALVGGFASAGRPREAMVLVREMEQSGVAPNMMTYSSLLSSFAGPEDLNHGRQAHCAVVKKGLKHNMYVLSTLMTMYSRCGSLEDFRKVQMAVSCQDQVSLNSVISGLSSLGRGDEAFQQFLEMRQHGAEMDMFTFGSMLKAIGTSSSLLEGRQVHALILKTGYDSDVNVQNGLISMYARRGEIGEARDVFASVKAPDLVSWNSLLTGYAQHGYGKEVVEVFEQMRRLNVQPDNTTFLLVLTACSHAGLVDTGLEYFNLMKTNGFLTGARLEHYACVVDLLGRAGHLQEAEALINDMPIEPGVSVYRALLSACQIHGNLEIAVRISRRLIELYPDDSSAHVQLSKVFAGDGHWSDAAEVREAMAGKGIVKNPAWSCVEDRVQVG >SECCE2Rv1G0099730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:525867638:525870468:1 gene:SECCE2Rv1G0099730 transcript:SECCE2Rv1G0099730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT3G07360) UniProtKB/TrEMBL;Acc:A0A178VJC7] MAKPPPAEEETAALRRRLRRLVATITAGGAGAEAFDEAAAALAELREAQVGGSRKGARGEETRSAKEAESVPAQFLCPISSKIMRDPVVVESGQTYDRRYIAEWFSAGNQMCPQTQQVLLNTTLIPNLLIRSLIAEWCTENGFALLPLENQEEDHICNSEQRTFDEIFNKITSSSNSTERKQAIKGLRLLTKRSSEFRAVLEERPDSISRMTFAQFSNPGLQNDPQVVEDMVTIILNFSLHDSNKKIIGDDPEAIPFLIWALKSGDMGSRSNSAAAIFTLSALDSNKEKIGELGAIEPLIDLLEHGSIIAKKDAASAIFNLCMLHENRSIATRSGIVDVAIRAIGDQSLVEEFLAILALLSSNYDMVELMIEFGGATCMLQAMRESECKRSKENAAVVLFSICMYNRAKLKEIEADENTNGSLASLAQNGTPRARRKAAAILEMMKKTKTMHMHNRHSSC >SECCE7Rv1G0460350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:31085877:31088388:-1 gene:SECCE7Rv1G0460350 transcript:SECCE7Rv1G0460350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVAYQGNTSAAVADWLNKGDNAWQLTASTLVGLMSVPGMVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVVWAYNMSFGEELLPFWGKAGPALDQAFLVGRASLPATAHYRADGSLETAMVEPYFPMATVVYFQCVFAAITLILVAGSLLGRMSFLAWMLFVPLWLTFSYTVGAFSVWGGGFLFQWGVIDYCGGYVIHIPAGVAGFTAAYWVGPRTKKDRENFPPNNILFALTGAGLLWMGWAGFNGGGPYAANVDASMAILNTNICTAASLIVWTCLDAVFFKKPSVVGAVQAVITGLVCITPGAGVVQGWAALVMGVLAGSIPWYTMMVLHKRSKLLQRVDDTLGVIHTHGVAGLLGGVLTGLFAEPNLCNLFLPVTNSRGAFYGGTGGAQLGKQIAGALFVIGWNVVVTSIICVVIRLVVPLRMSEEKLAIGDDAVHGEEAYALWGDGEHYDDTKHGAELSAAVAPV >SECCE3Rv1G0183030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:642538894:642539811:1 gene:SECCE3Rv1G0183030 transcript:SECCE3Rv1G0183030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPDEEAGLGLPEDERLLEVTIISAQGLKPPSGLRRRLQAYAVAWVDTAHRLQTQPDRSGGLDPAWHERFLFRVHEAALAEDSRAAVTVEIYASPNGAWHIGGDSLIGSARFLLGDNCLLSRPVGSPAMFAVGVRRPSGRVHGLLNVAASLVAAPPSPAASHALSFSPAVSLSGLPPAVAISSLSTAPISGRVLRVLNRAHPTPPPSPKVLTPKKLQASVKPNNKGSDNQQAAVKPSNKREDDASDQEGEDENTYMGGVMFCGPCVLPFPRKIHTSPSDENLQAFAGIFSSGVGIARRSPSPRH >SECCE5Rv1G0350920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:694580923:694588749:1 gene:SECCE5Rv1G0350920 transcript:SECCE5Rv1G0350920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEYEEGMEMDMGGHQHLQHRGYGAEEEVEGGYAGGGDGEDEEEEARDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVVKKGHDCEEVTETAEYPKVFIGKVPIMLRSSYCTLFQQSEKDLTELGECPYDQGGYFVINGSEKVLIAQEKMSTNHVYVFKKRQPNKFAYVGEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLMCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPQAAKIFVNGCWVGIHRNPDLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVENQRLLIKKKHIRALQQRETPDEGWHDLVAKGFIEYIDTEEEETTMISMTIRDLETSRHNPGEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQATRYSKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTHEVKGARDKHR >SECCE1Rv1G0051870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:657453164:657453878:1 gene:SECCE1Rv1G0051870 transcript:SECCE1Rv1G0051870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQDQASFRAGEAKGHTQEKAGQVMGAAEDKAYEAKDRVAALAGHSSGQGQGATEATKHKAGEATDKASQTAQAAKDKAAGTAQAAKDKTSEAAQAAKDRTVESKDQTGSFLGEKTEMAKQKAAETAEAAKQKAAGAAQYTQDRTYDAAQYTKETAVAGKDKTGSVLQQAGETVVNAVVGAKDAMANTLGMGGDNTNTTTEKITRDH >SECCE3Rv1G0209770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:940665097:940666014:-1 gene:SECCE3Rv1G0209770 transcript:SECCE3Rv1G0209770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLRRDSSPYSSVASASVPLDVTLQSAIGVHTKLLGSDLHGMTSSQMEEAIKPLREVADSLQGWMVRIGSFLERAEAALQRFPLAQAPVVESSVGFMDEAGVELFGSFSPRVGSSSMHLVLPDSVGEASAEVVSPVLQIMPELQVLCEEHVSPMSMEQLKLDSLPALKVDRVSSPPPVEPCQASEVDLVPSPPPVDPCQGLGNLPLSIVEHRVLDVAALPSSATVGQVMPVSGMTIEPLVLAPTPNPNALFAKELCDLLASVEVARPGLGRSIACLLTGTPIRGKQKKVGKGKNDAKSKVSMAA >SECCE1Rv1G0058680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701505866:701507428:-1 gene:SECCE1Rv1G0058680 transcript:SECCE1Rv1G0058680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGIAPPPAAPTETATEATPSHRRLPDFLQSVNLKYVKLGYHYLITHLITLLLLPLMAVIVLEAGRTDPDDLRQLWLHLQYNLVSVLVLSAVLVFGATVYALTRPRPVFLVDFACYKAPDHLKVGFQEFLRHSALCGFSDDALEFQRKILERSGLSEETYCPEGMHAIPPEPTMANARAEAESVMFGALDSLFAATGVKPKDVGILVVNCSLFNPTPSLSAMIVNRYRLRGNVRSFNLGGMGCSAGVIAIDLARDMLQVHRGTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGCSAVLLSNRGADRRRAKYSLKHVVRTHKGADDKAFNCVYQEQDSEGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPLSEQLLFFATLVSKKLFNAKVKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLQPAHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGDRIWQIAFGSGFKCNSAVWHALRNVKPSPSTPWDDCIDRYPVELVDGFPTHTHK >SECCE1Rv1G0010160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:60984588:60986633:1 gene:SECCE1Rv1G0010160 transcript:SECCE1Rv1G0010160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSLISLFFYFCILLLCHGSMAQLFGMSFNPWQSSRQGISGECAFNRLQASTPLRQVRSQAGLTEYFDEENEQFRCIGVFVIRRVIEPHGYLLPRYHNTHGLVYIIQGSGFAGLSFPGCPETFQKQFQKYGQAQSVQGQSQSQKFKDEHQKVHRFRQGDVIALPTGIVHWFYNDGDAPIVTIYVFDVNNNANQLEPRHKEFLLAGNYRSSQLPSRKNIFSGFDVRLLGEALATSGKIAQSLQNQNDDIIHLNHTLKFLKPILTQEQEQEFYPHTEERQSQAKHSREEQPQMGQSQGKQPQTGCQAKHTLGEQPQTRQSQVKHSQGDQPEEGEGGRSQEEQSQARPYLGCQPHPSQSTYGGWNGLEENFCDHKLSVNIDDPSRADIYNPRAGTITHLNSQTFPILNIVQMSATRVHLYQNAIISPLWNINAHSVMYMVQGHIWVQVVNDHGRNVFNGLLSPGQLLIIPQNYVVLKKAQRDGSKYIEFKTNANSMVSHIAGKNSILGALPVDVIANAYGISRTEARSLKFSREEELGVFAPKFSQSIFHSFPKGGEDSSSEGMT >SECCE2Rv1G0111030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:697501246:697506453:1 gene:SECCE2Rv1G0111030 transcript:SECCE2Rv1G0111030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRREQHRIAGHPYAFEVGSYFLAGYYSVLASTPELARQFYTDGSTVVRVDCQTMESQFGETAEEINDILMSMNVQKVEIKTANFLESWAGAITLLVTGLVQLKGYPLRKRFSQSIILAPQVKPDGFFVDSDIFQLICDEYDDHYLVADYGYANQFPQMVAHNTMTETASNYVAEELELKGFAAPADADERANGIIYENHEMQQQDPLEFESAVNGETHFEDPAPSLPSPADIKQDASLAPHPPSPPTPEEEPVGEPPKQTYASVLRANAGQVIHSTPVNRAMTGTTESQPVGQTQPVPVQEKSNLGTRRDVSVPEDEEEFLSVYVGNLSPATSVFDLEKVFQAFGRIKPDGVAIRSRKEAGVFFGFVEYENMSGIQNALDASPIELNGRLVHVEERRPGSGFFRGGGRRGRGRGQYGGRYDGDYAARSRGNGYQRRGGHQYDGYD >SECCE5Rv1G0363660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:795584333:795586098:1 gene:SECCE5Rv1G0363660 transcript:SECCE5Rv1G0363660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L17, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54210) UniProtKB/Swiss-Prot;Acc:Q9M385] MAAFASTSPSPAISASAWRMDSLRAALPALRPAPSAAVRPRRQAAPAAPFLRSSFVSTSSASSSVSPAPMSSAASASLAFSYTSSFSVESSFAHRLFGIDVRGRILAMRHGKRIPRLGRPADQRKALLRGLTTQLLKHGRIKTTKPRAKAMRKWVDKMITMAKDGSLHKRRQALGYIYEKHIVHALFAEVPDRYGERNGGYTRIIPTFPRRGDNAPMAYIELV >SECCE3Rv1G0197780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:823400789:823407248:1 gene:SECCE3Rv1G0197780 transcript:SECCE3Rv1G0197780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRRLRVFGHHHPKERKAQPPPPAKLDELAEAAQDVEQMRKCYDGLLSAAAATTNSVYEFSEALEEMGSCLLAKNALNDEDDDSGRVLMMLGKAQFELTKSLDNYRTHIIHTITTPSESLLKELQTVEEMKHQCDMKREAYEVMRASYGQKGQSKNSKVESFSAEQLQDSLVEYQEDAALFIFRLKSLKQGQFHSLLTQAARHHASQLIFFRRGLKCLEALEPHVKEIAEKQHIDYQFTGLEDNESDNDGSSSYQETCSDDRELSFDYEINDRDQDLIASRGSMDLDKGDLSTSTSPTPIKESKQEEVKLLKAEAVAPQVKPEIITHSAPMFADNFVDQSERLRQIRPSSARHSYKLPTPVDDDYPRSAAAHRSHHSSHFFESKHGAAGNLWHSSPRKDYKASTMHSGPIKLPSNSDFSKKLKRESWSGPIPSKAGSSKPDPKLSMGRPHAMISKSCVHARQSSPVSPKMFPPSLVSPKISELHELPRPPANVEPLRPCGLVGYSGPLVSKRQAPMAPVRASPTASQTASPLPRPPASLARSFSIPSNSQRTPLITVNKLLEARSSRESSEVSSPPLTPLFSSTSQHKKQLQAALREKGM >SECCE2Rv1G0107500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:649648344:649648832:-1 gene:SECCE2Rv1G0107500 transcript:SECCE2Rv1G0107500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATDEPDPDMEKQQPLLAAAAADEIACGNCRSCSCASPTATRTLSLVVLVAGALFAAQLKAREEYLLLSVFASQLLSFCVLTSLLALCALPEDGARQRLAWARAAAAQVLLWSFAMALLVSMSLWVAGSAPVALGAALLGLALAVVFACYAELVQSLWPVA >SECCE5Rv1G0320810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:392768901:392769905:-1 gene:SECCE5Rv1G0320810 transcript:SECCE5Rv1G0320810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRGGGGAKDVDRIKGPWSPEEDDALQRLVGRHGARNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTPDEDDTILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKRKYSSSSSASACASPTEDAAAMADDDDRRPLKRTSSDGYPGLCFSPGSPSGSDLSDSSHQSLPSVMPSAASQQPHVYRPVARAGGVVVLPTATPQLPQPSPASPPPPPPPPATSLSLSLSLPGLDAPEPAPVAQPMSMPPPPAPVQPAAAPQMPPMPFQLQPPPQPQPRAAAPFNGEFLSMMQEMIRIEVRNYMSGFDPRSPADGVHAAKRMMSMAKIE >SECCE1Rv1G0007910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42992929:42994951:1 gene:SECCE1Rv1G0007910 transcript:SECCE1Rv1G0007910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSAQHPWAFTFGLLGNVISFMTYLAPLPTFYRIYKNKSTQGFQSVPYVVALFSAMLWIYYALLKSDEYLLITINSAGCVIETIYIILYLAYAPKQARRFTAKILLLLNVGVFGLILLLTLLLTAGERRVVMLGWVCVGFSVSVFVAPLSVIRLVVRTRSVEFMPFSLSLSLTASAVVWFLYGLLIKDKYVALPNILGFTFGVIQMGLYALYRNATPRPAPKEVGAPISDDGAAKAPEHVVNIAKLGPVAAAIEVNTHYPVEPLPPMKEGAAKENGEACASGEKLDKAIHVEQV >SECCE3Rv1G0168350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:219199897:219203071:-1 gene:SECCE3Rv1G0168350 transcript:SECCE3Rv1G0168350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLWRWYQQSLSSYPVRTQVVSSGILWALGDIGAQAVTHKSASSRQHHGKNPEDKDKEFKIDWKRVGITSSFGFAFVGPVGHYWYEYLDRLVRRRYLPGSFKFVASKVAADGLLFGPLDLGLFFSYVGLASGRSLEQVKEDVKRDIIPALVLGGAIWPAVQIANFRFVPVRYQLLYVNLFCLLDSCFLSWIEQQGDAAWKQWFTSFQKKIEDQKSNA >SECCE6Rv1G0400940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:459898841:459902723:-1 gene:SECCE6Rv1G0400940 transcript:SECCE6Rv1G0400940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSATSSSCALLVSIVSAAFLFGVEETGAVHKVVDPEWHQATATWYGSAEGDGSDGGACGYGTLVDVVPMKARVGAVSPVLFKAGEGCGACYKVRCLDRGICSRRAVTVIVTDECPGGYCSLGRTHFDLSGAAFGRLAVAGHAGLLRNRGEISVVFRRTPCKYRGKNIAFRVVEGSTSFWLSLLVEFEDGDGDIGSMQLKQANSADWQEMKHIWGATWSLTPGPLVGPFSVRLTTLTTKKTLSAQDVIPRNWTPKATYTSRLNFA >SECCE6Rv1G0415190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:639705897:639706109:-1 gene:SECCE6Rv1G0415190 transcript:SECCE6Rv1G0415190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKKSRDPNKLPQGRSDRKSGSGMSGDPKKGGRGGKFTWEGADGYTDEDLDLIGKGKGTGASDNKKKS >SECCE4Rv1G0291000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875134996:875136525:-1 gene:SECCE4Rv1G0291000 transcript:SECCE4Rv1G0291000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVGSPAAATAAPINFSLPVDSEHKAKSIKIFSFGNPHMRAFHLGWMSFFTCVVSTFAAAPLIPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGAICDLLGPRYGCAFLVMLSAPAVFCMSVIDGPGGYITIRFLIGVSLATFVSCQYWVSTMFNSKIIGTVGGLTAGWGDMGGGATQLIMPLVFDGILACGATPFTAWRLAYFVPGMMLVVMGLLVLTLGQDLPDGNLRSLQKNGDMNKDKFSKVLRGAVTNYRTWIFVFIYGYCMGVELTTNNVIAEYYYDSFHLDLRAAGTIAASFGLANIFARPMGGYLSDLGARYFGMRARLWNIWILQTAGGVFCICLGRASSLPTSVTCMVLYSICVEAACGAVYGVIPFVSRRSLGFISGMSGAGGNVGGGLTQFLFFTSSQYTTGKGLQYMGIMIMVCTLPVALVHFPQWGSMLLPPSTDATEEEYYGAEWTEEEKSKGLHIAGIKFAENSVSERGKRNAILAVPSSPPNNTPQHV >SECCE6Rv1G0412370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614132698:614135561:-1 gene:SECCE6Rv1G0412370 transcript:SECCE6Rv1G0412370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-L1-1 [Source:Projected from Arabidopsis thaliana (AT2G26430) UniProtKB/Swiss-Prot;Acc:Q8RWV3] MIYTAIDTFYLTAEQLRDSPSRKDGIDEAAETTLRVYGCDLIQESGILLRLPQAVMATAQVLFHRFYCKKSFARFSAKRVAASCVWLAGKLEESPRRSKHIIFVFHRMECRRESLPIEFLDVFSTKYTELRHDLIRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRHRVPLPEEPPWWTVFDADEAAIQEVCRVLAHLYSLPKAQYIPVYKDNDSFSVRRALETHASKESPASAVASDRDSQEKNALTKAGREKVKETSDTKDKPLPAELNGKGDQAANSKSDRSEPNVDRAEERERSRSRGRDRDIRGRDSDHERERDRAKRHRSRDKSSGYSDKEKSRHRSSRDRAGYYSSGDKDHRHRRH >SECCE4Rv1G0273050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:773766998:773767738:1 gene:SECCE4Rv1G0273050 transcript:SECCE4Rv1G0273050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTEEAAPVAVVGAGEAEAVATPEKVEEVKEAGAGGEEVDVAGGEAMKAEEGSEGKKTEEQQEQGKGTEKKPRSRKPRSAGPHHPPYFEMIKEAIMAAGDGKAGASAYAIAKRVGERHGEALPGNYRKVLAAQLRSFAAKGRLVRVKASFRLAPAEEKKALPAKKTTTKKTASKKAATPPAPARPKRAKKAGPPTAKPKPKQPKSIRARKANKASA >SECCE2Rv1G0122250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:814918235:814919313:-1 gene:SECCE2Rv1G0122250 transcript:SECCE2Rv1G0122250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSGVLAFAVLAALATGGSCASNVPPGPYITADYGQPYLPAKATWYGQPTGSGPDDNGGACGIKDVNLAPYNGLIACGNLPIFKDGKGCGSCFQIRCTNHSACSRKPAGVIITDMNYELLSPYRFDLSGKSFGAMAKTGREQELRSAGMIDLQFRRVRCQYAPGTNIVFHVEKGSHANYLAVLVKFVAHEGTIVQMEMREKSSKQWKPMVHSWGAIYRVDRAEPLVGPFSFRLTTESSRRRIAHKVIPAGWKAGTTYKSDIQF >SECCE4Rv1G0224580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:81995078:81998820:-1 gene:SECCE4Rv1G0224580 transcript:SECCE4Rv1G0224580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAISMEAIDARARELGIDLDSVDVDSITLPPGEDFDILSDDEDLLHNEDIPELEMGFANIIVVDNLPVVPPEKYEKLENVLHKIYGQLGVIKEGGLWMPTDPETKKTQGYCFIEFNTPQEAEFAMEKTRGYKLDRSHIFSVNMFDDFDKYMKVPDEWAPAEIKPYTPGENLLKWLTDDKARDQFVIRAGTFTEVYWNDARRAMPELVYQKQYWTDSYIQWSPLGTHLATVHRQGAQVWGGDDKFVRLMRFLHPQLKLIDFSPGEKYLITYSSHEPSNPIDTHRVVLNIFDVRTGKVMREFKGSADDFTTGGNMGVSGVSWPIFRWGGGRDDKYFARLGKNVISVYDTETFALIDKKSLKVENVVDFSWSPTDPIISLFVPELGGGNQPARVSLVQIPGKEEIRQKNLFSVSDCKMYWQNNGEYLAVQVDRYTKTKKSIYTGFELFRIKERDIPIEVFELDNKNDKIIAFAWEPKGHRFAVIHGDGPKPDISFYTMKAVNNNVSRVSKLTTLKGKQANALFWSPAGRFIVLAGLRGFNGQLEFFNVDDLETMATGEHFMATDIMWDPTGRYLATAVTSVHEMENGFQIWSFNGKQIYKVSKDHFYQFQWRPRPPSLLTPEKEEDISKNLKRYSKKYEQEDQDVHHLLDEEERKRRMRLQEVWEAWVAKWKQLHEDERAFRMQLRGGEDSDKEEEAEYKEIEAEELVDVTEETVAFDLDQE >SECCE7Rv1G0494990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:593390906:593392807:1 gene:SECCE7Rv1G0494990 transcript:SECCE7Rv1G0494990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSILSKSRNAPSPAGHHRRLISSSPGRATILPPTTGIAATPPPSTNPHFASPPHSYRHYASILQSCVAAGSVRAGRQLHGRLLASGLGPDTVLFTKLVDLYAACGHVGHARHLFDGMPKRNVFLWNVLIRAYAREGPREAAVQLYRGMAEHGIEPDNFTYPLVLKACAALLDLETGREVHERVLGTRWGQDVFVCAGVVDMYAKCGCVDDARAVFDGIAVRDAVVWNSMIAAYGQNGRPMEALSLCRDMAANGIGPTIATLVSTISAAADAAALPRGRELHGFGWRRGFGQQDKLKTSLVDMYAKSGWVHVAHVLFEQLMKRELVSWNAMICGYGMHGHADEALELFNKMRGDAQVTPDNITFVGVLSACNHGGRVEEAKEFFCLMVDVYSIKPTVQHYTCLVDVLGHTGRFEEAYDLIKGMSIKPDSGIWGALLNGCKIHKNVELGELALQKLIELEPEDAGNYVHLSNIYAQSGKWENAARVRKLMTNRGLKKILACSWIELKGKTHGFLVGDASHPRSDEIYEELERLEGLMSDAGYVPDTMPVFHNVDDDEKRNMMRSHSERLAIAFGLISTPPGTKLLVTKNLRVCEDCHVAIKLISQIVQREIIIRDVNRYHHFVNGECSCKDYW >SECCE2Rv1G0138600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:922209913:922210311:1 gene:SECCE2Rv1G0138600 transcript:SECCE2Rv1G0138600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKKNASFVCLGILMLVMATIMLSCDADPKERCYEIEDGCDEIKCMENCVGTGHTKGFHCRDVGECCCLIAAKPKVDVIHSHD >SECCE3Rv1G0201210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:861480839:861481366:1 gene:SECCE3Rv1G0201210 transcript:SECCE3Rv1G0201210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPATVGEAVAGMSRATLVLKAAVVQIVLVGYFVVIGRAFQDADPLFYSSLPWRVPLAVSWFACMSLFFLMRFCIEIFLPRMPMAVLDALMWAGAVVGLIVIRVGACVLGLSSRECVVVGCTCVMALLIAGLLVVWTWLVREYGGEASDMCTEKHSEVEVTTVRHSYHPPV >SECCE5Rv1G0335790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:579674197:579680176:1 gene:SECCE5Rv1G0335790 transcript:SECCE5Rv1G0335790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDEALKELAAASSRPPQRRGISYSQPLSRGDTASARRAALRNLSLDDDHVLPASHSLSYSHHDPSAGVPAGYHPPLPPQQQHHHHPSASYSSGPSSRRSVGGASDGSMTLERAMSEYGGGAGTLPEFVGAGGGKGIFRVPLRAAMHPHRPPPLEVRPHPLRETQAGSFLRTLAADPKRRQLWAGAESGIRVWALDEVFAGWGAGARRGDEESAPFREGVPAPPALCVAMDRANGLLWTGHKDGRIRSWRMDLETAATAPAPPPPGSGGEGSVGGSGHGGPNSAPVFREALTWQAYGRTPVLSMAITSYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKYMLADHSRAKVWTLTSMTFALWDARTRELLKVFGMDGQVESARLDTPVMPEQPMEEVEVKVKPSKKDKSGGSLNFFQKSRNALMGAADAVRRVATKGTFVEDNRRTGAVAQADDGAIWSGCTNGCIIQWDGNGNRMQEFQHHTSSVQCIKALGDRVWVGYASGTVQVMDVDGNLLAGWTGHSCPVIRMAIGGSYIYTLAHHGGIRGWPLTSPGPLDDILRTELANKELSYTRMEKINIMVGSWNVAQGKATAESLRAWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWARKSLKPYVGDVEAAAVPCGLGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVARRNADFDHIYRTMAFNKPHGSTSSATSVQLHRTVNVNGNPVDEVRPDLADADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGLIKFPPTYKFQKHAPGLGGYDSGEKKRIPAWCDRVLYRDSRAVSVAECSLESPVVAAITSYVAVMEVTESDHKPVRCTFSVDIARVDELTRRQEYGEIIESNEEVRSMLKESCFVPDSTVSTKEIILENQENIVFQITNNCETSKAAFEILCEGQSTKKDDGTKSEILPRASFGFPLWLEVLPANGLIKPGETVEITIHHEDFYTQEEFVDGIPQNWWCEDTRDKEAVLMVNITGSTSTETKTHMINVLHRCPATSAPPPIINPPVTLTPPSNNMPSEAPSKRSSKKRETSRQQQQDYAQFASTEVHDLYRMRCP >SECCE4Rv1G0229400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:147855615:147856529:1 gene:SECCE4Rv1G0229400 transcript:SECCE4Rv1G0229400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEVTAASASSGRAGIIVWTNSMTKTMLGFLAGLVADGKRTSSGFRDVHHRQCAAVLNEQFKLSVTGEQVKNHLKKWRKIWGRVVNLKNLSGALWDEDTCTIRLSDKHYAGHCMTHKADAPFLNTPIEYYHALASIYGTMGAKGLGARSGNDLLSIDIEDEENGEVNTSPNVGESSDPKAPPKKKAKVKNVVDDPLVITLKDGFKLVAEALAKSSGDDDDIPDELWDVVSVLPDFDEEHLAHYYAHLVDNPKTARAFMKLTRINKSVWVSRYVKKNF >SECCE6Rv1G0417080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:654443292:654445793:-1 gene:SECCE6Rv1G0417080 transcript:SECCE6Rv1G0417080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKICIRVTILLSYFLISIGAKEVICINQGGHTGRNLVDHQANKTIVVEGGDVYDCIGVNLQPACNHPLLKDHKIQMEPGFLPQSVYTESPSIHALPQAQLPIIECPNGTIPILRKPRSDHTGSRTIDEVVSNDDQQEAAGIKYFDELYGSRARINVYEPKVKTNSKDLSASCIQIGGRPKVGHADSIGAGSWVYPNYSGDNFARFHVYWNDGLLRKYCVDHNCPAFVQVSQIVGLGGRIKPISVYNGPQYEIDVLIYKDPRTGNWWVAYGEQNTPIGYWPSSIFHYMKEKCDHAIWGGYVTGPTASTDSPQIGSGHFASEGFRKAAFMRNIKITDKNNKLVTPNANKAFPGSSDLHKYTAEGYEVTKDGINVYYGGPGAVV >SECCE7Rv1G0493290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:566296905:566303001:1 gene:SECCE7Rv1G0493290 transcript:SECCE7Rv1G0493290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGGDDCGGEGKPRRRPSSNSLRRLVSYNSSKRHEDLEEDEEEGGVVAATSSSIGHRVAKDASTARLIRKPLAPVVDAVVPLPEEVSATAASIVDVERAVAAPVNRRRVPNGVAEQEPRSGGIRPEGKPRIIDVPNGVVGEHVAAGWPRWLTEVATEAVRGWQPRKAESFEKLDKIGQGTYSSVYKARDLENGKIVALKKVRFANMDPESVRFMAREIHILRRLDNPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGIKFTEAQVKCYMQQLLSGLGHCHSRGVLHRDIKGANLLLDNNGALKIADFGLATFFNPSQKQNLTSRVVTLWYRPPELLLGATNYGATVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEFWANLKLSRATIFKPQHPYRRCVNDVYKDFPPSALALLDRLLAVEPDNRGTAASALESEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAAKGHESETGRRKQLAAQNGTNESQQRRVPVNPKSSSNKFTPKEDAVTGFPMDPLVVDNGHARRVPLMNAGRSSSTLGRSSGTDPNAQRFYTSQIAAAEMSNPSTATGQRGNTGKLSNLGDSARKQYLREHRSSSRYSQLAANDQSDKPKWSQSHQFQERPSSSHRKDEVVADKEPTGVNGTRKNRIQYSGPLMPPGVNMEEILKEHERQIQQAVRRARLDKGKGKHAERDQSESLLYAAHNGRS >SECCEUnv1G0527090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:43843:48612:-1 gene:SECCEUnv1G0527090 transcript:SECCEUnv1G0527090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVEVAMDMESLPSAPAIATEQAPRNRKRAPVVKSAVKRKRSKAQAPKAVGDGDSESVLVGEPFLAEEARSRWPNRYNKRQRGPRRRNAADEEVKLAKSHYPSAKVDETIYNIGDDVYVTAGENLPDYIGRITEFFKGVDGGRYFTCRWFFRPQDTVISNTKLVKDHTHDPKRVFLSDERNDNDLDCIVSKVKIIQVDPKLDQEAKAQLAADADLYFDMSYAVPYSTFENITPDINENSGISFDGEANSESDAIATKATILDLYSGCGGMSTGLCLGAVTAGVQMETRWAVDLNTNACKSFKYNHPSTEVRNEKVEDFLSLLEEWTVLCDKYIHKHNDDASASPLKDEGEKHELGKGKLVIDKLTAICYGGVKREKCIYFKVQWNDHQVKDSWEPMEKLRACPQKIKEFIQEGHRRKILPLPGDVDVLCGGPPCQGLSGNNRHRKCDKPLDDDKNRQIVTFMDIVSFLKPKYVLMENVADILKLNGGSVGRYALSRLVALNYQSRMGLMVAGCYGLPQFRMRMFLWGALPTMVLPKYPLPTHDVVVRGGAPSAFSQSVVAYDENQKPTLKEALCLRDAISDLPKVSNDETADETVYSVEAETDFQRYIRLSRKEMMDYSFGDNKGPSEGKLLDHRPLKLNKDDYERVKQIPYQKGANFRNLRGVKVGHDNVAYMDPTVKPPCLESGKPLVPLYALSFVHGKSLKPFGRLWWDETVPTVFTRAEPHNQIILHPEQARVLTVRENARLQGFPDYYRMYGPIKERYMQVGNAVAVPVGRALGYALAQAFLRKTEGNNKPLFVLPDDFSHVATMRARARASSSATEAAAEELEGASDLSSSGNK >SECCE3Rv1G0181340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:611065555:611069255:1 gene:SECCE3Rv1G0181340 transcript:SECCE3Rv1G0181340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT3G16060) UniProtKB/TrEMBL;Acc:A0A178V575] MNAGGRRRYTSEQLLFDVPANAATAGGAGRWTQRGGLRRGDGEIFVSVDPATPARLRGGDAAAGESPGQRHQLSPGLLDLHAFDTELIPDFQVQGMYDVAEKFGYANGEGFEDSDMSLGTDKQMSKSAVFAESNYLKAFPEKEKAAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEQNSLTVHETKLKVDLTEYVEKHGFMFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGFQLFFSFFEIYGGKLYDLLNERSKLCMREDGKQKVCIVGLQEYRVSDLETIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRRVDGNESKPPRLAGKLSFIDLAGSERGADTNENDKQTRIEGAEINKSLLALKECIRALDNDQNHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGSNSKKDVPLAAAPLRESSPSPLASVVPSFSGAEVMNDITERGKFGWPKQQYAKEQPSQSFVDRMPKGREDTDFSSANSGYFNEQRSRGIAAAGIAVVPDTMYQQGRQHARKGRDPALENNMRSSVAYPIRRAVPDEDDHLNDLLQEEEDLVSAHRKQVEETLDMIKEEMNLLDEADQPGNQLDDYVTRLSGILSQKAAGIVDLQDRLAQFQRRLNENSVLLYSECP >SECCE3Rv1G0196410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:808648781:808654321:1 gene:SECCE3Rv1G0196410 transcript:SECCE3Rv1G0196410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAADSTAEQAPNPAPHEPSDEPRKGPDQPDRGEDNGVDFDEEEDDAEELDGPAAGASYKEKVKAVFRRLHAEPVGIRVHDVIIRGNFKTRGSLIEAEVADLLRSAGTVQGLVRASSHATALLRRLDVFDSVSITLDAGPPEFPGTANVTIQVVEAARPYNRGIFFFPRKEAISWPVEGWFMLKNLFGYGDMWDVSGEYGWDQSSKIDIGVYLPRLKSIPTPLTARASILSEDWLKFSSYKERLLGLKFGLLSTWHHNLSYDLAWRTLTDPSHMASESIRRQLGHNLLSALSYAYKIDKRDSEFRPTKGYAFVSTSQVGGLWKNGLRFFRQGFDVRGAVPFGFCNAALNVGISAGVILPLSRGFMELPSPVPDRFYLGGLSSPVCSLGVISSLLGFKTRGVGPSEPRRSVPGESVTVDAAASTGRDYLGGDLAVSAFADLSFDLPLKLLRDNGIHGHAFLCAGNLAKLSDGEYKNFSFPEFRRTFRSSAGVGIVFPTSLFRIELNYCHILKKHEHDHGKSGIQFSFSLE >SECCEUnv1G0529400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7491929:7492462:1 gene:SECCEUnv1G0529400 transcript:SECCEUnv1G0529400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKVARPPSRRFRGVYERQPGRWVADFRSHRLNVRRWIGTFPSEAAYDDFVTRFSLSGYVQVAISPASPADSSRDGHKIDLVSHEPNQSEMRPKQGAGALVATTPPWPPLPAAVMPHEALPYADERQMVEPFLAEDLASNDLIAAADLASLPLPSLDDKLDFSSGDWSLASSGLQ >SECCE6Rv1G0424670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702051266:702053373:-1 gene:SECCE6Rv1G0424670 transcript:SECCE6Rv1G0424670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAAEPAAAAAAPEMSPPEARLAMMELANMIAVPMALTAVIRLGVPAAVWAGGANAPLSAAELLPPGHPDPSVLERLLRLLASRGVFSEHAADPSGGRERRYALTAVGRTLVPSGPSGASYADYVLQHHQDALVLAWPRLHEAVLDPAGPEPFARAHAGVPAYAYYGQDRDANEVMLRAMTGVSEPFMEALLDGYCPGGGFEGVATLVDVGGSSGACLEMIMRRVPTIREGVNFDLPDVVAAAAPIAGVRHVGGDMFKSIPSGDAIFMKWVLTTWTNDECTAILKKCHEALPEGGKLIACEPVVPETTDASTRTRALLENDIFVMTTYRTQGRERSEEEFRQLGLTAGFTGFRALYLDPFYAVLEYVK >SECCE2Rv1G0074580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:77751119:77752024:1 gene:SECCE2Rv1G0074580 transcript:SECCE2Rv1G0074580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDMYKMVAQANGEVTYQLTDPTTWGAWAHEGHRLWASMPEDFWLYEYKVRMCPQPYSHDWTVCPFAHKDERARRRDPRRFNYMAISCPEYRANARAHAQLRLALAGHPPPTCKRGLRCRYAHGVFELWLHPSRFRTRKCDAGARCQRQICFFAHCPRELRGDGPIPAFTGATVPPSTSAMPRTPARIIERAPSTSTTRPQDVPAPQLSDDVTPQATPNRLRMLSLYYAITGDDVFSSPISTATAAAVATAATSMPTMRVPLLAYEEGEEEDTKSVHYADDEDSLLNDYPHRDLIMDFMR >SECCE1Rv1G0041110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568613388:568614479:-1 gene:SECCE1Rv1G0041110 transcript:SECCE1Rv1G0041110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGEEVYGDGLEEEYIDMDLSPAAAPAGREFEFHFHMSAPLDRSGQPPLASPADELFYKGKLLPLHMPPRVQMVEELLLDGVRGRAGPRLGGLVVSTAPATPCERSRGVSPANSCFVSGELNVEEFFREYAAGMALADDDAASAAGGERKPWSRKLRFVRQLNLGRQLKASKAYLKTMFAGKHAGTGGDDKNGLGGKDLSGHGHHPRAWRKNPFGHIRSNKCITEQSSGGGRAGHRRSFSSVVVRYSASNKTSPAPAPPASSSSCSSTSSSSKSSTSSSVRCSSDSDGAGAPALRRSSSASSEAENPIQGLIAYCKKSQQLASVRKSASDAGFRFLSSSAASKVAAESEGLDELIEICRG >SECCEUnv1G0542340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98717114:98717455:-1 gene:SECCEUnv1G0542340 transcript:SECCEUnv1G0542340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQAQVLRIINKKSKKEQRRKNVTRKVFSRLEMLEGAKSIGAGAATIALAGAAVGIGNVLSSLIHSVARNPSLAKQSFGYAILGFALTEAIALFAPMMAFLISFVFRSHKKS >SECCE3Rv1G0210400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943576623:943579388:-1 gene:SECCE3Rv1G0210400 transcript:SECCE3Rv1G0210400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPTMTHSSSFLLPSPPPPPPTSSEADAENAVSYALVVLNQRLPRFAPLLWTRAKLRVCADGGANRLFDGMPDLLPEEDPDEVRLRYKPDAIEGDMDSVRPEVKEYYSSLGTQIIDDSHDQDTTDLNKCISFITRNPPGPDNSNLCILVLGALGGRFDHEMGNINVLYRFSNTRIILLSDDSSIFLLPRTHSHEIHIERTIEGPHCGLIPMGASSSSSTTTGLRWNLDNTSMNFGGLLSTSNIVEEEIVTVTSDSDLIWTISLRKHD >SECCE3Rv1G0198850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:836666611:836667714:1 gene:SECCE3Rv1G0198850 transcript:SECCE3Rv1G0198850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQSPSPLHPHQLQQHPLPPHQHPHPQYQIPPPSMPPPPGAPSKAMDLEVTVVSGKHLKNVNWRRGDLRAYAVAYLDPSRRTATRPDDAGGCKPAWNERITLQLPPHLSPHDPSLLLSLDVFHSKPSDSPKPLVGSARSPLRDLLFPANPNPSSDSPTSPIITLPLLRPSGRPQGKLRIRVALRERSPPPPEPQYPPPSSSPYYFPVPPPPTYSAPPQYGSDQYYRPSGYYAPPPQPPSQYEYTTGPSAPVEYGRQYEQRGRTEGVTGQYDPRRTEGVTGQYEPKGRTEGVTGQYEQRGRTEGGTPSERYGLGTGLAVGAVAGGVGALAIDEGVKYKEEKAAERVGEKVAPAARDDYSEYRREY >SECCE6Rv1G0406870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:551652332:551653194:1 gene:SECCE6Rv1G0406870 transcript:SECCE6Rv1G0406870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAASRRYIGPLLCINLVMHAAVLGIAGWSLNKFIDRETHRHLGGNTATGYLLVFSLMAGVVGACSVLPAMLHVRAPWHSESLAAAASTGLVSWALTALAFGLACKHITLGNRGRRLRTLEAFITISTLTQLFYLILLHAGALSSVLGVGRACGNHGETCCREIPRGELAADHKTVGGVPSSEE >SECCE2Rv1G0088720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:247638144:247643693:1 gene:SECCE2Rv1G0088720 transcript:SECCE2Rv1G0088720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVRVQALALLPLFLLFSPAPAADSISATSPVADGQTIVSAGGAFELGFFTPPASTARFLGIWYKGIAPLTVVWVANREAPITGTTASLAINGTGSLVLADRSGRAFWSSAPSNVTSSTPVAQLMDSGNFLLQDANGTGPVLWQSFDYPSDTLLPGMKLGWHLTTGLDRYLTTWASPSDPSPGDYTFAIDIRGVPEEFIRYNGTAPVYRNGPWNGLRFSGEPEMAPDNGNFRFEFVANGTDAYYRFQVDDGGGGGDVVSRFVLNQSSLQRYVWLQQQQAWSLYWSLPRDQCDRYAQCGAYGVCDASASPMCGCPAGFTPASPREWALRDGSAGCARRTRLNCTGDGFLPLRGVKLPDATNATADASVSLDQCRQRCLANCSCLAYSASSIKGGESGCIMWSSSLIDIRQFESGGQNLFLRLAASDLPSTGGNPSRRNTALAVVLSLSGLLLFGLGGFFMWTKFFRNKGRYQSTRRFTSFDSSNPLAPVQDRNMEDESSQSKGLHDVTLFDMATIASSTDNFAASAKLGEGGFGAVYKGELGGGQKVAVKRLSKYSTQGLDEFKNEVVLIAKLQHVNLVRLLGCCVHGEERILVYEYMQNKSLDTLLFDKARSAQLDWPKRFDIVLGVARGLLYLHQDSRFKVIHRDLKAGNILLDGDMNPKISDFGVARIFGGDGADSHTRRVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLEIVSGRKNRGMCSSGEQTSLLSHAWKLWREGNALALLGEAVAGGGGRESEEVLRCVQVGLLCVQERAEDRPHMATVFLMLANLSAVMPEPRHPGYCSDRGSTSTDADCSLSCTVNEITLTVVEGR >SECCE2Rv1G0106240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:632487403:632491128:1 gene:SECCE2Rv1G0106240 transcript:SECCE2Rv1G0106240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNGNGGKKPAPSGGRGGIRTLADINRGPSGFPGAGGSGSDSDEPQEYYTGGEKSGMLVQDPTRRNNVDSIFEQARVMGAQQVPLPSFDGQSSSSTSFTGTGRLLSGDAQTAPAAPQPPQDVLHNIHFWNNGFTVDDGPLRGYDDPANADFIESIKKSQCPQELEPADRRTAVHVNVIKRHGDYEEAARPRSAFQGVGRTLGGSSADESPAPAPVTQEPRSAPRSIGIVVDDSQPFTSIQLRLADGTRMVARFNLNHTVGDIRSFIDASRPGAARPYQLQTGFPPKQLTDPTQTVDQAGLKNSVIMQKM >SECCE3Rv1G0210840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:945992331:945993391:1 gene:SECCE3Rv1G0210840 transcript:SECCE3Rv1G0210840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKLYGMMLSANVTRVTTLLNELGLEFDFVDVDLRTGAHKQPDFLKLNPFGQIPALQDGDEVVFESRAITRYIATKYGAELLPTPSAKLEVWLEVESHHFYPPARTLVYELVIKPLLGAPTDAAEVDKSAADLAKLLDVYEAHLAAGNKYLAGDAFTLADANHMSYLFMLTKSPKADLVASRPHVKAWWDDISARPAWAKTVASIPLPPGV >SECCE6Rv1G0380040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:19079680:19080273:-1 gene:SECCE6Rv1G0380040 transcript:SECCE6Rv1G0380040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTAFLSIAPKISISANMSRVSIVASYGGTLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVPALRQTRVKYIADLGALAKTNPISAMTFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRLP >SECCE5Rv1G0325070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:460748463:460749260:1 gene:SECCE5Rv1G0325070 transcript:SECCE5Rv1G0325070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVVVVGGWASPFVTRVCIALRLKGVEYEFLQEAVGRKSELLLRSNPVYRKMPVLLHGGRPVCESLVILQYVDEAFSSAGKPILPADPYRRAVNRFWAEYADAKLQSPLRTLRGMVGGDKADAAEQVSAALRQLEEAFVECSGGKRYFGGDDVGFLDIVVGSYIGWFGAAERIAGLPVLDEARTPRLAAWAVRFCAHEAVGDLVPDAARLVEFGEVLRAALAANASSRP >SECCE5Rv1G0365890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:809971176:809980578:1 gene:SECCE5Rv1G0365890 transcript:SECCE5Rv1G0365890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MKLVPREAEKLALHSAGFLAQKRLARGLRLNYTEAIALIAAQILEFVRDGDKTVTDLMDLGKQMLGRRQVLPAVPYLLDTVQVEGTFMDGTKLVTVHDPICSDDGNLELALHGSYLPVPSLEKFSGSDVEDYPGEVHFCSGRIILNLHRRALTLKVVNKADRPIQIGSHYHFIEANPYLVFDRHRAYGMRLNIPAGTAVRFEPGDAKSVTLVSIGGHKVIRGGNDIADGAVDNSQLNEVMQKITENGFGHEDYPDASEGLIGDSTFDCSVDHEKYSSMYGPTTGDKIRLGDTDLFAEIEKDFAVYGDECIFGGGKVLRDGMGQSAGYPASASLDTVITNAVVIDYTGIYKADIGIKDGLIIAIGKAGNPDVMDGVHSNMIVGVNTEVIAAQGMIVTAGGIDCHVHFICPQLVNEAIASGITTLVGGGTGPAHGTCATTCTPAPSQMKLMLQSTDEFPINVGFTGKGNTAKPEGLSEIIMAGAMGLKLHEDWGSTPAAIDNCLSVAEAFDIQVNIHTDTLNEAGCVEHTIAAFKDRSIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVIIRTWQTANKMKVQRGRLPGPGDSDPAKDNDNFRIRRYIAKYTINPAIVSGFSDFVGSVEAGKLADLVLWKPAFFGAKPELIIKGGAIAWANMGDPNASIPTPEPVMMRPMFGAYGKAGSSHSIAFVSKAAKEAGVASEYKLAKRVEAVGGVRRLSKLDMKLNDALPKIEVDPETYTVTADGEVLTCQPAATVPLSRNYFLF >SECCE2Rv1G0141410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935574489:935576908:-1 gene:SECCE2Rv1G0141410 transcript:SECCE2Rv1G0141410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPSRSAGAKSSTTTTTTTTVRKKKLAATSPATPKPDKEKPADQGGKKQQSSHGAAAAAVESPSYRLALRSLFSCRNSHAAQHQQHNQRAAPPQPAPAPADDASSRRCKKKSKKQTQLGCSASICKLRDASPRRVTLHRPPSAEEEPPSGAAAGAGEPCKRRASVSGAGERRVKKPLQQPQPQQGQGQSEAAGAVVVGSSSRHWGSSTASSSSSTAGGGGSSFRLRRLSGCYECHMVVDPAGGGSSMRAAIFPCPDCGDVFVRAESLHLHQSTRHAVSELGPEDTSRNIIEIIFQSSWLKKQTPVCAVDRILKVHNAPATLARFEAYRDAVKARARGGRPGAGRCTADGNELLRFHCAALACSLGAGGATHLCDGAGNSSCAACGIVRDGFKFRAPGVRTMATSGRAHDDAVGGEEGEGCGERRRAMLVCRVIAGRVKRRCEGTAEAAGKEEAEASPSLSASEEAEAEEEYDSVAGTAGVYSSLDELEVLNPTAILPCFVVVYKA >SECCE7Rv1G0467230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:85292025:85292635:1 gene:SECCE7Rv1G0467230 transcript:SECCE7Rv1G0467230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA-binding protein-like [Source: Projected from Oryza sativa (Os03g0171300)] MSSRRSRSRQSGSSRITDEQISDLVSKLQDLLPEARLRGNDRVPSSRVLQETCTYIRSLHREVDDLSERLSELLATSDMSSAQAAIIRSLLM >SECCE1Rv1G0037690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:530145217:530160083:1 gene:SECCE1Rv1G0037690 transcript:SECCE1Rv1G0037690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAKKPPLKSSSSHNSAAGDATAAAAAAAGKTIEEMYQKKTQLEHILLRPDTYVGSVQKHTQPLWVYEGGAMVQRPVSYVPGLYKIFDEILVNAADNKQRDPSMDSLKVDIDVGGCCISIYNNGDGVPVEIHQEERIYVPELIFGHLLTSSNYDDNERKTTGGRNGYGAKLANIFSTEFVIETADGHRQKRYRQVFSDNMGKKSEPEIKKCKQSENWTKVTFKPDLAKFNMTELEADVVALMMKRVVDMAGTLGKTVKVELNGEKVAVKGFSDYVQLYIDSASKEGIELPRIYQKINDRWEVCVSLSEGQFQQVSFVNGIATIRGGTHVDYVANQIASHVMGVVNKKNKQANMKLHTVKGYLWVFVNALIDNPAFDSQTKETLTTRQASFGSTCELSEEFLKKVSSSGVVNNLLSWAEFKLNKELKKTDGTKKTSIVGIPKLEDANDAGGKNSDKCTLILTEGDSAKALAMAGIGVVGRDHYGVFPLRGKLLNVREASHKQLMENAEIQNIKKILGLQHEKKYDSTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHKEWPSLLKVPSFLVEFITPIIKATKGKAVKAFYSMPDYEAWKESLGGNASSWTIKYYKGLGTSTAQEGRDYFEDITHHKKDFVWADDKEDGEAIELAFSKKKIAERKDWLTNYQPGTCLDQREKRIKYSDFINKELILFSMADLERSIPSMVDGFKPGQRKILFCSFKKNLVKESKVAQFIGYVSEHSAYHHGEQSLASTIIGMAQDFVGSNNINLLEPRGQFGTRNAGGKDAASARYIFTRLQPITRLIFPKDDDVLLNYLNEDGQSIEPSWYMPIIPMVLVNGSEGIGTGWSTYVPNYNPRDIIANLKRLLNNETIVPMIPWYRGFKGSLKETSSKATGVTYTITGVIEEVADTRLKITELPVRRWTTDYKEFLESMCPIPIKEKEKSKDKNKEKKKDKDKDKEKEKEKSKEPPLLEEIRSQCDHADVDFELILTEQNMNVAKQEGLEKKFKLTTTIGTTNMHLFDSNGKIRKYDTPEDVLKEFFDLRLDFYVRRKKVMLENMGIELLKYKNKVRFILAVISGDIIVNNRKRAELFLELREKKYDPFPKKKPTSEPVAVGSTEVDEENDESPVEAAASDYEYLLAMSIGTLTMEKVKELIAQQDKVEDDLKILSNTEPETLWLRDLDALEKELDVLDAKLEAEQKDRSCKRAKNAKESNAAAKRQPKKAAAKSQKAGSDDQDFEPPKPKPAAQKKKAPPKKASAPVKDEEDDELANLKDRLAAYNLDDSSPEPSAMETKEQQKAKKGRNGPSKRGAAKKAMSSLAESSDEDMAEPEDGGSSMEVEKKTKGRKPAAEKPKATTIRKRAPAQSKGMRQKVMEEILKPTDDSNLSAPSPEKKVRRMRDSPFNKKSGSLLQRAAGASTGAEDAEAPSGSSAEAAAPRRTARERKVAIAYVDSGSDDDEFEDEDASEPSESDYSSED >SECCE7Rv1G0517970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856756978:856758970:1 gene:SECCE7Rv1G0517970 transcript:SECCE7Rv1G0517970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPAATASSRAVSHGGRSDGESVLLLPFPGAQGHTNPMLELGRRLARHGLRPTLVTTRHVLSVTPAPGAPFRVAAISDGFDAGGFASCPDPAEYFSRLEAVGSETLRELLLSEEATAVRVLVYDSHLPWAGRVARAAGVPTAAFFSQPCAVNIVYGEVWAGRLALPVTDGRELLARGALGVELGPEDVPPFASAPESQPVFLKTSIGQFDGLEEADDVLVNSFSDIEPTEVDYMELTWRAKTIGPTLPSFYLDDDRLQSNNSYGYNLFVDDVTCMDWLEKQSISSVVLVSYGSYSNYDVSQLEELGNALCNSGNPFLWVVRFDEAHKLSEQLKVKCEKNGLIVSWCPQLEVLAHKAIGCFVTHCGWNSTLEAVVCGVPLVGIPHWADQPTIAKYVESMWGMGVRVRKSESGTVRSAEVERCIREVMDGKKKEEYKRNATKWMQKAKKAMREGGTSDMHIAEFAAKVFVNLKFQQCNVYFSINK >SECCE7Rv1G0461420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:37688402:37692684:-1 gene:SECCE7Rv1G0461420 transcript:SECCE7Rv1G0461420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSGVEAAHAQGGAAAGEAAIRHRTVEANGIAMHVAESGPEDGPAVLFLHGFPELWYSWRHQMAHLAARGYRCVAPDLRGYGGTEAPADVASYTAFHVVGDAVALLDALGLAKVFVVGHDWGAIIAWYLCLFRPDRVTALVNTSVAFMRHVFIRAGAGAVKTTDYFNRAYGPTYYICRFQEPGVAEKEFAPAHARHLMTRILSDRFSERAAGKEPKDGGDGDGEAAALPPWLMEADIDYFAAAFEKTGFTGAINYYRNMDRNWELAAPWADAKVAVPTKFIVGDGDLTYHYAGIQDYLHKGGFKADVPLLEEVVVVPGAGHFIQQERAQEVSDHIYDFIAKF >SECCE3Rv1G0180400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:577793984:577823558:-1 gene:SECCE3Rv1G0180400 transcript:SECCE3Rv1G0180400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCGRGCSFLHPGVHPMPLLATPPYITPRTSAATAGPATAASSGTCSLRVRLRAPGRSAASSSSRLLRAMAATGTDAAAAPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTLEALSVRRLLGHRLPLDPSEAKLEWYHIVEGEHSLWDGVSRPYRETIRAFLVYFHNEILRRSIETFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRREVVDKDCNSCTALPSSIKRVFYMSSEGCNLLHEVFPEANHTVLEQLSKVDCIVYAMGSLFTSVCPSLVLCGIGETIASRSIPKVLLLNGSHDRETIGLSASGFVTAITDSLNRTYGDPDKSLKYHPKDYVNAILVPEGGQIPLDVENLASKGIFHVVTVKSVRDTKMGVIFDPQSLIQALTGLISEHMDASLAEPDGLIENVTSVC >SECCE4Rv1G0284970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841465875:841466186:-1 gene:SECCE4Rv1G0284970 transcript:SECCE4Rv1G0284970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE7Rv1G0524750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887011065:887011439:1 gene:SECCE7Rv1G0524750 transcript:SECCE7Rv1G0524750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQARSSSYRKKAAAGAASHHHNHGSKAHYGHGGRPAARWPTRIMDGFRKMVVGLFAFPPRPPKVTFSANARGAGEDAVAPKRPSCSSSNLQPVNNAHYDEAIADCVDFFHRSARVDVRSRP >SECCE4Rv1G0285400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:844306482:844307278:1 gene:SECCE4Rv1G0285400 transcript:SECCE4Rv1G0285400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKGTESTSSCRKEELLQFFSTYDKTKDIFAFIRLLVAIQICSHSAEYVPHILEVATGICSLKVWCCRNVTPAHVETEAPMMRALASALEVPLIVETFQGEYARDIYTGPGVPGRPAVTLLYTGNHYDIIYPRAPSAESSSHQASQREDPGDQSSSHQASKREDPGDQSSSHQAS >SECCE4Rv1G0238500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:379623772:379627190:-1 gene:SECCE4Rv1G0238500 transcript:SECCE4Rv1G0238500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGSHIAAVVATSTCAHCQREIPLSNIDLHSVHCARNLQKCEHCGEMVPRKLMEEHYNENHAPVNCSLCKETLRPEILDLHKSEQCTQRMVACAYCEYELPAIDIHEHQDVCGNRTEFCQTCKKYIRLREWIGHEMQCHVSSNGSVESSSARTIPEREVRPPPPARPARAVPAAQHRRLLFTIAVTGIAVMVGSILFQKEERF >SECCE7Rv1G0502020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:691909880:691914868:1 gene:SECCE7Rv1G0502020 transcript:SECCE7Rv1G0502020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEFPVLVSLLLALILSTGSAPISYAEIATNNSSDHFALLSFKSLIRGDPLQALESWGNRSMPMCQWHGVACGRRGRRRGRVVALDLSDLNLLGTISTSVANLTFLRWLRLPSNRLHGAMPSALGHLRYLKRLNLSDNTLDGAIPPLKGEVPRELGALTNLRSIVLYQNELEGEIPHELGSLHNLKVLSLGFNKLSGTIPLEISNLVNLKFLQIRNNELTGQIPPGIGSLDKLNKLSLSSNQLSGSIPTSVGNLSELAFLILHTNNLTGSIPSLHNLSSLSVLELANNDLTGCIPSGLGNLTSLFFIDLQHNHLTGQIPPSLGNLNLLDNLALSFNNLSGLIPHSIGNLHFLSEFNVDSNELVGPLPPLLFNLSYLQVLNVQSNYNLNGSFPFDMGNNLPNLQLFLASYNQFHGPIPPSLCNASMIQMIQLLHNSLTGKIPNCLGSHMKNLSVLTVAKNQIQATQDADWGFLVSLRNCSNLQMLDLGDNMLEGEIPSSVGTLSTNLEFLNMEDNSITGKIPEGMANLTNLHTLILYGNYLEGTIPTSLGKMKGLNLLILGMNGLSGLIPPTFGNLTQLSVLHLGGNMLSGGIPSSLIGCPLQKLDLSHNSLVGPIPKDLFLINTLSIYMNIQNNLLTGDLPIELGNLKNIGELDFSGNLISGEIPISLGECQTLQYLNVSRNNLQGSIPSSIEKMKALLMLDLSHNNLSGSIPEFLGSMEGLAGLNLSFNNLEGGVPTDGIFSNAASASASIMGNDGLCDGIPQLKLPHCSSLTTKKPTQKLVIIFSICGAVVFIAILFALWTFYSKSRKLKANKQTSSINEQYLRVSYAELVSATNGFASENLLGAGSFGSVYKGTMRINDQQVVIAVKVLNLTQRGASQSFVAECETLKCVRHRNLMKILTVCSSIDFQNRDFKALVYEYLPNGNLDKWLHHNSMEDGENRTLHLCMRLQIAIDVASSLEYLHQHKPMPVIHCDLKPSNVLLDGEMVAHVGDFGLARFLHQGSEKSTSWASMRGTIGYAAPEYGLGNEVSIHGDVYSYGILLLEMFTGRRPTDSEFGESLGLHKYVQMALPDRATGVIDRDLLAETKDGEESTSNSNSIMDMRIACITSVLCTGISCSQETPTDRIQIGGALKELLAIRDRFDKELRAEGEPAN >SECCE2Rv1G0110990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:697172086:697179858:1 gene:SECCE2Rv1G0110990 transcript:SECCE2Rv1G0110990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRSSKDKPNGAMIKRKRPSSQLSDLPMDILCHIISLLPLKEAVRTSILSKQWRYVWCSRTNLEFSFRSVMRRSYKVGPFILHPIQEVIKRIDAVLKQHSGVGVEKMEVDFSLNDEHANHIDRWLNFAIIAKTKQLILNFTSVHPTMEPYKFPFQLFDATKSSIFQSLTLGSVSLNKPANFKAFLNLKKLMLMDVTITDEELQLLLSNCNVLEFLGIARCRMLTTIKTPQLSDHFKHLRVFHCPLLQEIKLNYGLATLEYKYEGPSIPIAPLIESSDVCSALAYISTELPSTVRRLEMLSLKCEELERAILLEKPLKFIYLRHLRLEITFLSLTKRKSDVLDFASLLEAAPLMENLEFHMWMDCECVPYRKLDGKLRSLPPKPHSHLKLVDITGFYGVKDQLEFALYILRNSVVLEAMTIDPKPTVAAEQCRLTWKKDGFSYVDGYIVVKKYLLRADLRGVVDVVKAGRKDIEALRNAPYKMPQEAK >SECCE4Rv1G0216920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13325532:13331714:-1 gene:SECCE4Rv1G0216920 transcript:SECCE4Rv1G0216920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKIIKRGARKGARGHDAAAAEPRAAATSSSSGGAGAAPVTVNHASRASASPVPPSPTSPHHAAPAAAPSPAPAAQQPPLLEPLPLLRDVPAADRPGLLLRKLRLVAALFDFSDSLKHPREKEAKRQALLELVDYVQAPAAAANANAPARLPDAVQEALVAAISANIFRPLPPALHESAANIDPNATPDDEEEPYLDPAWPHLQLVYELLLRYVVSPDTDTKVAKRYVDHAFVLRLLDHFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNVFYRFIFETERHNGIGELLEILGSIINGFALPMKEEHKLFLSRALIPLHKPKSVGIYHQQLSYCIVQFVEKDYKLADAVIRGLLKYWPVINCQKEVLFLGELEEVLEATQPAEFQRCMVPLFKQIGRCLNSAHFQVAERALFLWNNDHIVSLIAQNRGVIFPIIFEALERNIQSHWNQAVHGLTANVRKMFLDMDSDLFDECHQQYIEKEEKAKELEEQRESAWRQLEAVAAKAAGDDMVLVK >SECCEUnv1G0532360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:20015496:20016056:1 gene:SECCEUnv1G0532360 transcript:SECCEUnv1G0532360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSRVAGGLLRALVFGVFGAAGAVVGAAYGLLSGFVDEEDGFAQGTLLGAVAGALVSLDLLHSLLTIWCRRHGSSSSSCTGRIKRTVAAVAGLTALADPHYCGRRGDRALDRPARSSSSGFFPPVAVAAAGGGCCPICLQEFEAGGEREGRRLPACSHVFHLECIRSWLLRKPHCPMCRHAVHDR >SECCE6Rv1G0438200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:788284519:788286176:-1 gene:SECCE6Rv1G0438200 transcript:SECCE6Rv1G0438200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRSSNAEVMSMDLSPKKPAKAYGSDGGAYYDWSPADLPMLGAASIGAAKLHLSGGGLALPSYSDSAKVAYVLQGAGSCGLVLPEAAKEKVIPVKEGDALALPFGAVTWWHNAEGSSAELVVLFLGDTSKGHTPGRFTNFQLTGASGIFTGFSTEFVARAWDLDQDAAAKIVSTQPGSGVVKIAAGHKMPEPRAEDREGVVLNCLEAPLDVDIPGGGCVVVLNTANLPLVKEVGLGADLVRIGGRSMCSPGFSCDSAYQVTYIVRGGGRVQVVGIDGTRVLETRAEAGCLFIVPRFFVVSKIADDTGMEWFSIITTPNPIFSHLAGKTSVWKAISPAVLETAFNTTPEMEKLFRSKRLDSEIFFAPN >SECCE2Rv1G0104920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:612674357:612676566:-1 gene:SECCE2Rv1G0104920 transcript:SECCE2Rv1G0104920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRNPPSGAGFRGGEGSGWEARRERPNGGWRGRLPAPVVKWSHAEAMKKKPKVGGGAALVGDGGGWRMETEAEMAGLDGRSCGRGGFLGTSWAEAAEKSADAAIGGEENEWCSPETEKASPCEAVEGGGGGDVRGDEVKEELYDWRWTEAVSPEIMALILRGRFAADEIARGPAAVCRAWREAAASPDMWGDVDIEVWCRRVNRRVRADAAVRRLVARSQGTIRRLSAYRVGDAALAYVAASGKLLNVLQIPMSEISDQAVEKYVKCFPALRVLDISYCEKVTSRGMEVIGRQCKSLAQLKRNMPPQGNNAAPKVVEDEALAVANTMPMLKQLELAYGLFSDVGLDAILTKCPLLRTLDILGSLNVRLDGDIEDRCCALESFREPWEPDYHENSSSGGDYDYDDTESDD >SECCE6Rv1G0403720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:509457139:509457681:1 gene:SECCE6Rv1G0403720 transcript:SECCE6Rv1G0403720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTARLLAVAALAAVLALASGVVDATVVTTCKAAAGSDGRVDYDFCVSELGKHHDSPSADTWGLAKVAALTGVVDADNAVYDIKDLLAKHGTDARAQAALGRCQELYDSMGFAFAEAQDDINNRDYAAGKEKAGEAASLAHQCDDAFAQAGVPSPVTQHSSYSVQIAVVCTAITNLIQ >SECCE2Rv1G0079190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:116323511:116324671:-1 gene:SECCE2Rv1G0079190 transcript:SECCE2Rv1G0079190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDKKLATLALLLALLGCMARTCEASYGFPYPLLPLSKFPPPSAPSLTYGHYPKVKNTCYGAETIVSNIVKEEVNYDRGIGAGLIRLLFHDCFVRGCDGSVLLDITTTPNEPTEKDGIPNKRSLRGFEVIDRIKDALESTPGCERVVSCADIVAFAARDAAYFLSNETMYFQMPSGRYDGNVSLASETLANLPPPFADITMLEALFANKGLNLDDMVTLSGAHSVGVSHCSSFGDRLPPNPSSDPTAMNSTLANLVKTKCSRGDNPTVDQDIHTPEYLDNQYYKNVINHEVLLKSDAALESPKTIKSVKQNAEFSVDWEQKFGEAMVKMGNIDVKTSKNGEIRHKCWSINKY >SECCE7Rv1G0480720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:272769576:272771869:1 gene:SECCE7Rv1G0480720 transcript:SECCE7Rv1G0480720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTATRRLMGLCCCLSGFLLLSFLLPGALAEERFYEFVVQETVVKRLCQSNRIITVNGQFPGPTIEVHNGDTLAIRAVNMAQYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGSSYTYRYTIQEQEGTLWWHAHSSWLRATVHGALIILPKRGMPYPFPKPHKEFPVVLAEWWRRDPIAVLRQSMVTGAPPNVSDTILINGQPGDFLPCSSQETSIIPVVAGETNLLRIINAAMNSELFVSLAGHKMTVVAADAVYTKPFETTVVLLGPGQTTDVLVTAHAAPGRYYLGARVYASAQNVPFDNTTATAIFQYKDAAGCPPTGAGVGGNAGLGRPRSSGNPGRAGPAPMFPMLPANNDTNTATGFSNLIRSPRPVKVPGPVTQEVFTTIGFGLFNCQPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHRIPGVFTEDFPARPPVVFDYTSQNVPRALWQPVKGTRLYRVKYGAVVQMVFQDTGIFAAEEHPMHIHGYHFYVLATGFGNYNPRRDEAKFNMVDPPSRNTIGVPVGGWAVVRFLADNPGVWLVHCHIDAHLTGGLAMALVVEDGKTELQTTMPPPLDLPLCGL >SECCE3Rv1G0182650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:634481173:634481583:1 gene:SECCE3Rv1G0182650 transcript:SECCE3Rv1G0182650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGAVVKKGHEEGLKLAASLLEEFGLPLGLLPLADVIEVGFVRATGYMWIAQQKKVEHRFKMVSKQVSYDVEITGYVKTKCIKKLKGVKAKELMLWPPVNEITVDDPPTGKIVFRSLAGVTKTFPVEAFAAGQ >SECCE5Rv1G0327670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:494518911:494521031:-1 gene:SECCE5Rv1G0327670 transcript:SECCE5Rv1G0327670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSWVANKISRKQEASRFPASSSGPSRANVPDCRNDEFSDWPQSLLAIGTFGNKQIEEVAQVQNTSEDGQSMQDAIKFTEEEVDRIQREFAMILASKDQTDAQDSHDDDQVTSHKNVDESINEKHRDQLMNKKMVISKAKDSLGKKASTLKPRSVASLLKLLMCKGGFASAVPDPRSSFPQSRMEKLLRAILQKKIHPQNSSTLVPKRHLDWKPDEQEINECLEDALRDLDDDGAKWVKTDSDFIVLEM >SECCE5Rv1G0325600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:467020642:467022573:1 gene:SECCE5Rv1G0325600 transcript:SECCE5Rv1G0325600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLGRFTHWLWPGNAARVGHHELPGMALTGASFPEFPSGFREADAVAFSSVANGGRRTRPRRVKNQRRSRGEPRIDREYDMVIVPSDGGGCLSGSDSDDSDWSIGWLEPQAPEMQTDGDQETSFAVLVPCYRRGRAEQPMMPQGRFLGAGPLADGGPSDGKNFVEQWLSSLQN >SECCE4Rv1G0234850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:304956299:304981259:-1 gene:SECCE4Rv1G0234850 transcript:SECCE4Rv1G0234850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGLVQLLVGFVVSWEALEFVLRYGLLLSTLKLLLLAAFAAAASCVAVLLFAKALAWVLRRTAKLSIGCRSYGFNYLTGITINNSPKGPLQSIYVGEIRLGLRRPLTQLGLTILTQGPVLQLRISELHIVLRQPAKSANKKKPAPRKPTSGSSPKPKENSKGQAKWRLITNVASLLSLSLVDLRLKTPKAALGIKDFKIDLSKSGALHPVLNVQIHLIPLFVQALEIDGTENDTSSPFNKLDWWVSGQYPSAMDTTDCSSFLLEDIALSCELHQRDKRIRVKNLDLMSGPIVVNLEEKLFTKKPSASTVADQKDESTVDNKSAAKSEGSKLLSLNKKIDLIPEKVSFNMSKLDLKVLPKDHGLSINNEIGSISVRLMKSQPENDLGVAPTHLWLETDVADIHLLMDGSTSVLEVVKIATVVSANIPTQSTVPIRAEVNIKISGGQFNLIISRIKPLILMKSAKKKPLVLHESPQQNKVPKEKLALALVLTFSVPELSVVLYSLDDIPLFHCCLLSTHFSASKLVNQGPELHAKLGELKFLVDVKHQQLVNESISGTLLHISRSTLNLEQKEAGKDSGVDHAKSALSVNISGIGMHFCFYYLELLCTTAMSYKGFLKSIRPPKKRPVHETSQKTTKNAKGAQLVKISVEQCSILYVGDMRLEDMSIADPKRVNFGSQGGRVMIIDDANCGPRMAYVNSTSLPDHKHVNFSTSLEINRFGVCLNKEKHSMQVELGRSRLTHKEYPFDDSPAEEVTLFDVQKAKFVKRSGGQNDNAVCSLINVTDIAVRYEPDPCLELLEVATRLKSVLHRLKLQNSATEVKDETAHMDTPTKKDPTDNSQQEKAQKKRESIIAIDVESLKISGELADGVEAMVHVGSIFSENAKIGVLIEGVAIIFCDAQLFKSSRMQISRIPISVSDSLPDKKFQSATTCDWVIQLRDAYICLPFRLQLRAIDDAVEDTLRAFKLISAAKTSVLFPEKKSSSSSKKSKSKSTVFRYVRLIVRDLTAEIEEEPLQGWLDEHMTLMKNVFNESIVRLDLLDQLESAKNKDSPKAKLDGSASEKSNDSPDVYVDAPGMQSLEKLREEIHIQAFKSYYQACQKLSVSEGSGSCSSGFQSGFKMSKQRASVMSICAKDVDVSLSKIDGGDEGMISFVKSVDPVCAKNDIPFSRLYGSNFTLKAKSLSVYIRDYTFPLFSGTSAKCDGRLVLAQQATCFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKTYADIPLSFQKGEVSFGVGYEPVFADISYAFTCALRRANLAKRWYFERPEPPRRERSLPWWDDMRNYIHGKFSLCLAETMWHLPAATSPYEKLDQLLITTGYIEIRYVDGYVSLSSKCLKVYITSLESLAKKCTLEPPPHTTIPFLETPSFFMDIAIEWGCDSGNPMDHYIFTLPVEGKPRDKVLDPFRSTSLSLKWSFSLKPSTAEPMESKQKTQASSNDSPTLNVGAHDLVWLSKWWNLFFLPPHKLRLFSRFPRFGVPRFIRSGNLPLDRVMTEQCIRFDATLLQINNIPLQADDPAKGLTLHFTKLRLEISSSRGKQIFTFDCKREPLDLVYMGIDMHLLKVFINNTPEQTSSKDAQVESKSLHTKVADNPACEKSKTKTRSTEKSRDDGFFLYSDYFTIRKQAPKADAARLSAWQEDGRKKSEVTSFKSEFDGGDESDDAQSGSDEEGFNVVVADNCQRVFVHGLKILWSLENRAAILSWVGGLTQAFQPPKQSPSRQYTQRKIFEKKQAIKEAEMSNDGAPNSSPSASQSSDPLQQTKSSDPASSIGSSKLEPTLSSETATKPSNSSDSEDEGTRLFMVNIVQPQFNLHSEEANGRFLLAAGSGRVMVRSFQSVVQVGQEMFEKALGASNVSIGESKPEMTWSRFEVSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYFRITRHKGGNPELKVKPLKELAFNSPDITAGMTSRQFQVMMDVLTNLLFARAPRTRKSNLCYPLDDDDSDIAEESDAVVPDGVEEVELAKIHVEVKERERKILFDDIRILSTSSELSGDPSQSPKSNDSTSIVTGSKSMLVKRLKKELVNVRNGRKEAYSMLRSAMQKAAQLRLMEKEKNKSPSCAMRVSVRINKVGWSMLADGKAFSEAEINDIVSLFFLQPSIILSL >SECCE5Rv1G0341140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:621509117:621510830:-1 gene:SECCE5Rv1G0341140 transcript:SECCE5Rv1G0341140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDDCAASWLLYLSLAAKCGGDHPGRLAGLLSVCAAAFAVTCLLHWCFPGGPAWGRWWLTRRLKGSLIPGPRGLPLIGSMWLMTGLAHRKLAAEASRLGGRAKRLMAFSLGETRMVVAAHPDVAREILNSPAFADRPIKESAYGLLFHRAIGFAPHGAYWRALRRVASTHLFSPWQVTASAPQRAVIAHQMVAALASAGAGVEVRRVLRRGSLHNVMWSVFGRRYDLDTGKESEEVRELSHLVDEGYDLLGQLNWSDHLPWLARFDPQGTRARCSRLVPLVNRFVGSIIDDHRSPAPSAAVKDFTDVLLSLQGGDRLADADMIAVLWEMVFRGTDTVAVLMEWVLARLVLHPDVQARVHDELDRAVGRDRAVTESDAASLPYLHAVIKETLRVHPPGPLLSWARLATSDIHVDGFLIPAGTTAMVNMWAITHDPDVWAEPDEFRPERFVAGQPAAELSVMGSDLRLAPFGAGRRSCPGKSLAMATVAFWLATLLHELEFLPSPDPARGVHLGETLRLSCEMAAPLAVTPRPRRPAA >SECCE2Rv1G0113800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:725732573:725737896:-1 gene:SECCE2Rv1G0113800 transcript:SECCE2Rv1G0113800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFAGVRFALLGFDPVSDAQYRSEMVRHGGADAGGAQEGCTHLVVSGLIYDDPVCVVAREHGTKVVTGLWVDDCLDLGAMADADHVLYRPLRDLEGIPGAESLCICLTGYQRQNGREAIMKMVNMMGARFSKPLTAKRVTHLICYQFEGEKYELAKMVKINIINHQWLEDCLKAWAILPVDNYTARSGWELEIMEAEAKDSEDESQSADRGSSESTGTARSIPAMEIATKTPADPYGHILIHGPSVFSGNAVVPAGTHVGASQQIREVKDASEWSADVMADTLSTPNTNVVTVSADPDACAHASQADRVEVVAADSEHDKLVSSAALQAGPKEIPITAVPGEFGVFPQEVSTSQQIKEVEDATERSVDVMADILSAPSTNSVTISADPDAHAPIHSPTVFTGNADAVVPAGRRLETSQQVREVEDVSKRPLDVRADRLSTPNTNVVTISADPDVHAHASQADRIEVVATDSEHDKLVSRATFQAGPKEIPITAVPGEYGVFPQKVSTISVRNPAAKRSRAPEDETAAVSVHSNCDFAASKHGKVLSNGTIEADLEKTCSLSAAESTTFVPEEILSRARNAVANSPLNSNSEMNDAIVVCKTKPANMNMEENPTTGTYPTAGKTKRVSFDLSFREAVNSEGSTSKVSSSASADNPETCSPIPLSIMKPRRKAVAKRRGASSFQKGRSGSEACRTVSVLSEASKLPAESSTNAGMVTVYKGLHNADEAWEDRPEDLQSSKPRSRKRQKTDHNKENIPPNTRLAPKSKRGKKRVSSECVKIAVENNESVIDDRSMTTGGNDDGSSAVWEPEPTWFILSGHRVLRKQCRSILLRLKGRVCSHSHHWFFQATHFITPELRRTEKFFAAAAAGRWILKPEYLFACDEAGKLVDAESFEWHGDGLNDNQTISLDAPRKWRHLKQRTGHGAFYGMRIIIYGDCISPSLDTLRRAVRAGDGTVLATAPPYTRFLKLGAISFAVVAAGTLGSNAWVQEFKSHGIPCVSPSYLVDYVCKPGHMEQHKHVLFGMQDLADESLRKLLSSAQEEGGDAEQSCGSGAMVVVHESQGPISYVAGDAREIASTS >SECCE5Rv1G0361090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:777498751:777500680:-1 gene:SECCE5Rv1G0361090 transcript:SECCE5Rv1G0361090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLAALALALAPALSHAAAGGGAGFYDPARVTQISWRPRAFLYSGFLSHAECDHLIKLAKGSLEKSMVADTDSGKSVMSQVRTSSGTFLSKREDEIISGIEKRVAAWTFLPEENAESMQVLHYEVGQKYDAHFDYFSDKKNVKRGGHRVATVLMYLTDVKKGGETVFPIAEGGDLQHKDETWSECARHGLAVKPRKGDALLFFSLHVNATTDPSSLHASCPVVEGEKWSATKWIHVRSFDNPPAVMTDAPCSDDNEQCPRWAALGECYKNAKYMVGTKDTLGSCRKSCGVCDA >SECCE1Rv1G0012230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:90389353:90392497:-1 gene:SECCE1Rv1G0012230 transcript:SECCE1Rv1G0012230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLLLLVTTCLWALSCAVPHHASSRDVLLRINLNKKSLTYESLAAAKVARQHGALRLKSSDSDSDIVPLVDYLNTQYYGVIGLGTPPQNFTVIFDTGSSNLWVPSSKCYFSIACYLHPKYKSSKSSTYKADGETCKITYGSGAISGFFSNDNVLVGDLVVKNQKFIETTRETSVSFIVGKFDGILGLGYPDISVGKAPPVWLSMQEQKLLADDVFSFWLNRDSDALSGGELVFGGMDPDHYKGNHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTAIVAQVNHAIGAQGIISTECKEVVSQYGEMILELLIAQTQPQKVCSQIGLCLFDGTHSVSNGIESVVGKESVGSDVMCTACEMAVVWIENQLRENKTKELILQYANQLCERLPSPNGESTVSCHEMSKMPNLAFTIANKTFVLTPEQYIVKLEQSGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFAKSA >SECCE6Rv1G0410290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:596338277:596339892:-1 gene:SECCE6Rv1G0410290 transcript:SECCE6Rv1G0410290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTVPAGVPAVAEDCEQLRKAFAGWGTNERLIVSILAHRDAAQRRAIRRAYAEAYGEELLRAIGDEIHGKFERAVIQWTLDPAERDAVLANEEAKKWQPGGRALVEIACARSPAQLFAAKQAYHDSFKRSLEEDVAAHVTGDFRKLLVPLVSAYRYDGPEVNTSLAHSEAKLLNGKISEKAYSDDEIVRILTTRSKAQLLATFNSYNDQFSHPITKDLKEDPKNEFLSTLRAIIRCFTCPDRYFEKVIRLALGGVGTDEDTLTRVITTRAEVDLKVIKEAYQKRNSVPLEKAVSKETTRDYEDMMLALLGAEY >SECCE2Rv1G0137280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:917512957:917513718:-1 gene:SECCE2Rv1G0137280 transcript:SECCE2Rv1G0137280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYHRVHPVIVDGAPPPAPEQNKDKQPAPASADYGDRLPITAPAPGAAAPLRAPRRKRHSRCCRCVCCTLLVLIVLVVALGATAGILYAVFRPKIPTFRVERLTATRFDVNTTSMTVSDAFEVQVTAENPNRRIGVYYDGGWVAASFNGTELCRGAFPVLYQGHRSTVRPLITLEGETRLDSAVAAQLAQQRQAGFVPLTVTARVPIRIKFGALKLWTMTGKARCSLVVDSLEAGTRLRIRSNICTFKLKPN >SECCE5Rv1G0374050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861879948:861881879:-1 gene:SECCE5Rv1G0374050 transcript:SECCE5Rv1G0374050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMWSPIIGLLLLLLTLGPSSAIKFPLEGNVYPVGHFYVTLNIGAPEKPYFLDVDTGSNLTWLECHHPVHGCKGCHPRPVHPYYKPAADKLRVECGGPLCAAMRRDVPGIPECDRKDPHRCHYEIQYVTGKSEGDLAVDAISFNGRGKKNIAFGCGYNQEEPADSPPSRVDGILGLGRGKAGFAAQLKAQKMIAENVIGHCLSSKGKGLLFVGDFNPPSRGVTWVPMRESLFYYSPGLAELFIDKQPIRGNPTFEAVFDSGTTYTFVPSQIYNELVSKVRGTLSESSLEEVKGRALPLCWKGKKPFRSVNDVKNQFKALSLKITHTRGTANLDIPPQNYLIVKEDGNTCLAILDASVDPVMKELNFILIGAVTMQDLFVIYNNESKQLGWVRAQCDRVQELESIIDSRL >SECCE4Rv1G0258220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:676611304:676613920:1 gene:SECCE4Rv1G0258220 transcript:SECCE4Rv1G0258220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPGAVKAGSRPPWLGLSAAVWVQVAGGASSTFALYSHALKLALGVDQRRLALLAVACDVGENLGLLPGVLCNRLHPALLLLIGAAACVLGYGTTWVAVSGAAPALPYWLVWLALCLAANSGAWLGTAVLVTNMRNFPLSRGAVAGILKGYAGLSAAVYTEIYTGILHDSAASLLLLLALGVPSVCLLTMYFVQPCQPSLVPNSSEQVHFLFTQIGSIVLGVYLVGATILDHAVTLSDAVNYSLVVIMVLLLFAPVAIPLKMTLFPSNRRKGPSDSSGADSDHTEPFLPPSASGSNLTDLEDEDSFDIDILYAEGEGAVKQTRRRPKRGEDFRFREALLKADFWLLFAVYFIGVGSGVMVLNNLAQVGIAAGAVDTTISLSLFSFCNFFGRLGGGAVSEYLVRSWTIPRTALIICTQVVMIFTYLLFALGLHSTLHVAVALLGICYGIQFSAMISASSELFGLKHFGKIYNFISLGNPLGALLFNSLAGYFYDLEVEKQHATTTDFDVACHGPNCFRLTFFILSGMACLGTLLSIVLTVRIRPVYQMLYAGGSFSQPRSSAH >SECCE6Rv1G0410790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:602475897:602477372:1 gene:SECCE6Rv1G0410790 transcript:SECCE6Rv1G0410790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKHLSLFLVLLGLSASLASGQVLFQGFNWESWKHNGGWYNFLLGKVDDIAAAGITHVWLPPASQSVAEQGYMPGRLYDLDASKYGNKAQLKSLIGALHGKGVKAIADIVINHRTAEHKDGRGIYCIFEGGTPDARLDWGPHMICRDDRPYADGTGNPDTGADFGAAPDIDHLNPRVQKELVEWLNWLKTDIGFDGWRFDFAKGYSADVAKIYIDRSKPSFAVAEIWTSLAYGGDGKPNLNQDQHRQELVNWVDKVGGSGPATTFDFTTKGILNVAVEGELWRLRGTDGKAPGMIGWWPAKAVTFVDNHDTGSTQHMWPFPSDRVMQGYAYILTHPGTPCIFYDHFFDWGLKEEIDRLVSIRTRQGIHSESKLQIIEADADLYLAEIDGKVIVKLGPRYDVGHLIPGGFKVAAHGNDYAVWEKI >SECCE4Rv1G0216530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:11357667:11360478:-1 gene:SECCE4Rv1G0216530 transcript:SECCE4Rv1G0216530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGNNEGRVGDDDNIILNPEFDSGLDGWSGSGCKIELHDSLDDGKVLPATGKYFVAATGRTDTWNGVMQDVTARLQRKTAYEVAATVRLSGASVSPCEVRATLAVQTADGRQQYIAVGKLQASDKDWAQLQGKFLLNSTVAKATIYVEGPKAGVDLLLNCLVVKHAQKAPPCSPPDFENLEYGANIIQNSNLDDGLNCWFPLGPCALAVRDGSPRVLPPMAQESLALDDEPLNGKHIHVTGRTQTWMGPAQIITDKLTLHATYQVSAWVRVAGQMSGAQNINIAVAVDSQWVNGGQVLARDERWYEIGGSFRVEAKPASRVMVYVQGPDAGLDLMVAGFQVFPVDRKARVKHLRKLTDKVRKRDVVVKLTGADGAVVQAAECVEVRVRQVSNSFPLGACIMRTNMDNEDFVDFFTKHFNWAVFGNELKWYWTEPQRGQVSYADADDLLKLCSDNGMCVRGHCIFWEVDSMVQQWVKTLSTDDLSAAVKSRIDGLLTRYKGKFRHYDVNNEMLHGSFYQDKLGKDIRATMFKTASELDPDALLFVNDYNVEGMCDIRATPEAYIEQIIGLQEQGAPVSGVGLQGHVSNPVGPVIRNVLDRLAVLGLPLWFTELDVSAANEYVRADDLEVMLREAYAHPAVEGVMLWGFWELFMSRDSAHLVNAEGDINEAGRRLLQLKKEWLTHAHGHADENGEFKFRGHHGAYHVDVVTPTGCKITHEFTIDKDDSPMVLNINV >SECCE2Rv1G0069390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:28375231:28378937:1 gene:SECCE2Rv1G0069390 transcript:SECCE2Rv1G0069390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSVVSPPILPVPVGAPSWILLDTAGYIAKRSNATFAKTLASNGQPVEVTFCTAAPPHVSHFCVHCPGLAPGDFVEVPRVIGAEADLVLFRLCADPLAVAGERLFDYFLYTAHPQRPSLELLPHPYPYLFHDSEVALLRCSEDEYAIAALRNIDNYFTDEPQMSFNLYLYRSSRAGEEWTARVVTVEEPLRDRVCPVEKPRRFHETTKAIILGGGTVGWVDLWRGILVCNVFDQKPVLRDVPLPLPARGNWEIYHRCGPYFARDITVSPQKDVIKYVEVEICLPRKETTKTTETCHPPEPESYLEWFQQQQCEDDDDDDDEDNEAWKATTWSLPVPIASWENWHFDYTVDVDDLTVDPMHCKLLPKPLATEAEALLPELITAVPIMSMDNDIVYLLSKANPKGQMEVVIAVDMRRRMLLGVAKLVTGKDFTFMRNCTSEISKYINNKSWTSEISKYINNKSAGDVSKASASLSAASRRIADKQTEGHTQKFTRRQCHGENSKERQKLFEYLRSRRS >SECCE2Rv1G0140380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931373785:931374547:-1 gene:SECCE2Rv1G0140380 transcript:SECCE2Rv1G0140380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRQSEVTCVDFWANEFGMRVRIARRELGVPFEYVEEDIRVRERSDLVRRMNPALHMVPILTHHGRPVCGSVNIVEYIDDVWGEARLLPGNPVDRAHARFWAHFVDHKVFGAQVRFLESKGEEKDAAKEELVEQLKRLEEVLGDKGFFSGDEFGFLDIVTIPFSSMFHGYEQLGRFHLDVECPKLMRWVKRCRQRESVRAVLPDDTEMYELHKQLYGIE >SECCE7Rv1G0500990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:677411492:677412142:1 gene:SECCE7Rv1G0500990 transcript:SECCE7Rv1G0500990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSREACAIAMAMAAPFIAMAGNISTDNVEKRRRTSSDMLQRTVSDVSFQLHHHGPAKEEEEAAAEAEMKLLHPVSEVEDAKCECCGMSEECTPEYIRGVRGRFSGRWVCGLCAEAVTEEAEKNGGSLEEALRTHMGVCKRFNGFGRTYPVLFQAEAMREILRKRSKLGPRSRSGINPREVRETASKAKAKASAGAGGIARSSSCMPFITDDFSD >SECCE2Rv1G0075400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:83908687:83909919:-1 gene:SECCE2Rv1G0075400 transcript:SECCE2Rv1G0075400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTAQRPGPRRGAGGKPGSRVQVAVKPDRLSALPDALLHHIMSSLKAWEAVRTCVLARRWRHLWASAPCVDLRVRHSSGRDVDPPEEFRDFVHRLFLRRDESAPVGTLRLRSGDEDAGYDEDDANAWIRAAINRNARVIHLAGHRSEIASLDHVQFVSCHLKVLKLSYARLNDRVLKQLSFGCTSLEELDLKDCLVTGPGIVSASLKTLIMLKCKINCAFSIASPNLLLLRLTTPYVRVPSFKNLGSLVTCTIILDDSFLGDDFEHISDEDDCDGTTDDDGDDSDDNDWTDSSKIHDDSSLGDDFGYDHFIRFGYGHSFAEESYTHGRYKDTFDYGSDIDSDDNTYEYSEIANDAKYGCKGKGHLSSKDGSRGGNRECSGRKILGGRHILESLSSARTLELLTDAGEL >SECCE3Rv1G0207010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:913701413:913721245:-1 gene:SECCE3Rv1G0207010 transcript:SECCE3Rv1G0207010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLQAPDYKYVTEECLREWKGQSAAAFRIPDPVPMPRFLYELCWATVLGDLPPHKCRAALDSVVFAEEAWQEDSGSVLADIVAHLGQDITISGEYRNCLVKMTKSFVESSLIAPRLLQERCEEEFLWEVEQSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLASQNASSATISIVKSLIGHFDLDPNRVFDIVLECFELYPDNSIFYQLIPLFPKSHAAKILGFKFQYYQQLDVNIPVPSGLFRIAALLIKSGLIDLDNLYAHLLPNDDEAFEHFGFFVSRKIDEATKIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIVEERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLGQLNPVEHIEICDGLFRIIEKTISSAYSAYCQTHHKVSRNFDTHMIDASSVSSRSYLVHPPKVLFQMLAVCGPYLHRDTQLFQKVCRVLKAYHASSKESARTAGVMSTESHIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYEVRYRLYGEWEKDAEQNPVVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVQQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKHFSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDGMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLLPKDEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYAEFLSSAVTPSTYVQLVPPLEDLVYKYHIEPDVAFLIYRPVMRLFKSASSGEASWPLDGNEEGESVSFDDMILHGDSSQKLFMWSDLLNTIRTILPTKAWNGLSPELYATFWGLTLYDLHFPKGRYDAEIKKLHDNLKQLEDNSDNSSIAISRRKKDKERIQDLVDKLNNESDKHQQHVASVLQRLAREKDKWLSSGPDALKINMEFLQRCIYPRCVFSMQDAVYCATFVQTMHSLGTPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYYWKSDEAIYERECGNKPGFALYFRFPNSQRVPYAQFVKVHYKWSTRITKVLNQCMESKEYMEIRNALIVLTKITSIFPVIRKSGINIEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHLDLKPVPAKPIPGNQSADPSMAKDRSIRAKSTEGRHERSENVMKPDAQHNKNASTANGSDSQMPSSSAQGKVSGPTRGADEPPKLLSNEGVKVLKHAAESETRAPQKRDAHNAAKVSKHDLVKEDAKPGKNTSRVLNQEVSTIPADREVLSQPADGGLDTNPTSSLVGTNGNVHPAPRKVSASSQRSTVLAAHNDATANPTGEGESIDLVDSTVKRQKKYVPVEEQERTGKRRKGEIEGRGDDLTEHHTDKEKRMDLRLVDKFHSVDHERGNSEDQNLIRTEKSKEKFDDKYDRDYREKTDRAERRRGEDVAERPTDRSLERREHSIERMQDRGTDRVPEKGREDRNKERSKIKHAEPSVDRAHTSDERFRGQSLPPPPPLPTSFVPQSVAANRRDEDSDRRGGSTRHTQRLSPRHDEKERWHVEENAPLSQDDGKYRREEDLRDRKREDRDVSSSRVDDMDRDKGNTMKEDSDPNSASKRRKIKRDQSALEAGEYAPSAPQPPSLGAGNSQFEMRERERKGAVSQHRPSHADDLPKMHAKDSTSKTSRREADQTHDREREEEKRPRTEARRKHRK >SECCE5Rv1G0319910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:379784684:379795248:-1 gene:SECCE5Rv1G0319910 transcript:SECCE5Rv1G0319910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFITFTHVTMESDRYICVRETSPQNSVVIIDMAMPSQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKIKIKSHQMPEQVVFWKWITPKLLGLVTQASVYHWSIEGDSEPIKMFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFATLKVPGNENPSTLICFASKASNAGTVTSKLHIIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLVYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSTTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPLDYNTITDLFLQRNMIREATAFLLDVLKPNLEEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYLRALQHYAELPDIKRVIVNTHAIEPQALVEFFGTLSKEWALECMKDLLLVNLRGNLQIVVQAAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIESAARTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDEELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPDNEYRRQFIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADSSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVDVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLISEAIESFIRADDVTHFLDVIRAAEEANVYHDLVKYLLMVRQKAREPKVDSELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQSAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVEIYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWTNNMLDFAFPYLLQFIREYTSKVDDLVKDRIESQKEEKAKESEEKELVAQQNMYAQLLPLALPAPPGMMGGPPPMGGMGMPPMGGMGMPPMGPGPMPAYGMPPMGSY >SECCE4Rv1G0216750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12400783:12406499:1 gene:SECCE4Rv1G0216750 transcript:SECCE4Rv1G0216750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKSRRGAGGGRAPASASDVQRAAAALSLAEAAASLGFEVTDVGTGGWSPQMQFLLACSNGDLRRVKALVNGMDEDDRESLASVRVEGCGALHSAAGSGDMAICRYLVEQLGFDVDSDASSGSTPLSFAVAHGEMTAARYFLEKGANPNTKSSSTGTTPLHEAVATGCDEIARLLLSKGANVDAPSPHGTPLVAAAAHGKFGAMKILLEHHADPNKVSWDFGTPLTTTLYATPDRMNESTCLECVKLLVKAGADVNCTIPETPLAIATNNGLTTCFKYLLEVGANINVPADQVKKSDCDSNAPLKSSGAKDVRGKNYVAASKFCSEGKSRLKSSDKDRKARLKSQGAKAVEGKDYAAALKFYTEAIKLDPDDAVLYSNRSLCHLKCGEEHDALVDANACISLNSKWHKGYYRKGAALMSLLEYKEASDAFSAGMKLEPNNKEMQEAHREAVEAMRKEQSEPSLYALD >SECCE2Rv1G0087410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:225693064:225695073:1 gene:SECCE2Rv1G0087410 transcript:SECCE2Rv1G0087410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPDLDRAQAAGAGRRDRLAALLELAAADDVDGMKAALEGAGEEAAELADDVGLWYGRSKAYEPRTPLMVAATYGSARVVSLLLGRAGWVDVARRPGGDGFTPLHCAASGGSCNAVQVVKMLLDAGADPATADSTGRVPADVVRAPPASEDALGDLEVLLGRRRALAVATSAASGASSPPLSSSPDDEGNRSPSSRSSSLSPITVDRAKKEYPVDPTLPDIKSSVYASDEFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDNCEFSHGVFESWLHPTQYRTRLCKEGAACARRICFFAHDEDELRHVPHNSGASLLSPRATSSIDMTAAAALGLLPGSPRHFAPPPGSPSAVNNGGAASAHWLQGSRLRSSFNARDATVEDLGLLLDWESQYLGALCLPPSSRPQPRLSTGLSIRPTAIAPTSLEDMYASEMAMSPRFTNDQGHSAYSPAHKSAILNKLNQQKGLLSPVNTNRMYSPRALDPAALVHSPIGGMSPRSPRLMEPTSPMSARFGATVTQREMYEQFSSLNKHQLPSVGSPRNSQAASWGNVGSSPMGKVDWGVDGEELDRLRCPDQPGFAEKEPDAPWGRSLNSNRGEMQLGMSGGMASGSANRPDWNNQADLLDQMAIGAWLEQLQTDQK >SECCEUnv1G0554580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:287021007:287021222:-1 gene:SECCEUnv1G0554580 transcript:SECCEUnv1G0554580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPTMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYENARKDTKSRR >SECCE3Rv1G0171080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:263202560:263206186:-1 gene:SECCE3Rv1G0171080 transcript:SECCE3Rv1G0171080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGQIKFKTFDLGGHQIARRVWKDYYAKVDAVVYLVDAADKERFAESKKELDGLLSDDSLANVPFLVLGNKIDIPIAASEEELRYYLGLSNFTTGKGNVNLSDSNVRPLEVFMCSIVRKMGYGEGFKWMSQYIK >SECCE3Rv1G0196380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:808388291:808388623:1 gene:SECCE3Rv1G0196380 transcript:SECCE3Rv1G0196380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARYLEELTALLPRARRITLPQVFVGGRHLGGAEELRRLHESGELRRVVAGAAPLAACGRCGGERYVLCGSCDGSHKRYSLKGGGGFRTCAGCNENGLVRCPDCSPPAV >SECCE5Rv1G0343620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:640087949:640088530:1 gene:SECCE5Rv1G0343620 transcript:SECCE5Rv1G0343620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAIGRGLERELYWLGCFFTAAELAAADLLLKLGGDDEEEAAASADASSYSRRFPSSCREVLAAVDEESKEERAIKDAATASAATPLSWRRSASSCHQVPVEEEEPRPIVKETAPSLGSMELDRRSRKRYRLLSELYAAPAPVTSSPAYAKKKIRKVHGDDGASTVESSVDQATRNGEGLVAQKGFYVITP >SECCE4Rv1G0243510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:503357867:503359762:-1 gene:SECCE4Rv1G0243510 transcript:SECCE4Rv1G0243510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHLRATLRSARLRWAPSTLDKPSRVPPCCAASWRHVQGSFPASGRVAPDHGIQRRPYYAAPIHSEGETLVDEEEDEVDRKIRQMERRREVRAAQKTFMEYLHVTRGMCFSDAEHISKRSPVYLSKLLEEVKDALKEPPEGGTELIFRSKVKKRDMKDERVSKALVRLFQFNPVNEFEPFLESIGLRPSECSAFLPRDLMFLTDDEMLLGNFRLLCNYGIARRKIGRIYREAMEVFSFGHGVLASKLKALEELGFSRTSVIKLVIATPVVLVHDPNVELKILEWLDDIGIQRDWISQFLSARKSYDWRKMVRVPQFFINLGFTKEGVGKLVRQNPDFLLDGSGKMLFTAVLMMLKAGCGKKELFDLFMDFPNVSVEDFTRNLRRGMLFLAEIGVSDEDVNKFVLSHGLMLGSAPLKMPNSIVTNLNVGKKRLRKIILEDPKLLMSYTLGSKVSQLPKVDPFEASVSERNKFLKSIGFVEGSEDMKKALKTFRGKGDELQDRYEFLVNNTGLDPKDVVNMIKLAPQVLNQRIDVLESKISFLVNGSGYTLSDLVAFPAYLSFTIERSKVRIFTYKWLLERGVVKPRPALSTILACSDKFFMSYYVKKHPMGPEVWENYKREVTKDKNMPCS >SECCE4Rv1G0287360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:854308314:854310428:-1 gene:SECCE4Rv1G0287360 transcript:SECCE4Rv1G0287360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSERFSDLLWMPHSQHKISKSWENPNICLNVRCHRVGIPVDPLCSTALQNLGFYQIAKMKKINVDKYLISALVERWRPETNSFHLPVGEMTVTLQDVSCLWGLPIHGKPLIGKADAAWSGLIERLLGIPIDEQHMKQKRRRKDDDNIAVKNSQYSLNLGKLRERFQVMPDNPVDREIHWHARAIVLEILGSTVFTDTSGDGVPAMYLQFMQNAVQPTEYNWGAAVLAMLYRQLSMGAEKERLEISGPLLLLQLWSWSHLPLGRPKNIIEKPKEREEPGDEEDEEVDLDYKPVFGAKWCAAHEFDVPHNAGTEYYRNQIDLIREGVIEWQPYDDLLDAMPVEVHDDTDWWFARVPLIHFWVVEFHYPNRVMRQFGLRQAIPPSPPRGEVEVRKLRKIKHSAGKPHNWEEVHAKYVQEYVQEYDRFRVGVVPEDIPFDVASLPDYRHWFQQNGMYTVFFDSQCLGGLDKPIPYPRDSIEWTGYMPSGPPLARISLREIKNAAWGIKCATTSGCKKIGKSILRSCVGNLMDLNLEPRLQSMLAEARLPLKIEDIPSDDDVSDIAHPPSPPKDSNSDVFNDWIYSGRGFTTYLNVGEAMVDGRWTTEDATQAPIFQSRSSSEVLN >SECCE5Rv1G0316220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:313410913:313412446:1 gene:SECCE5Rv1G0316220 transcript:SECCE5Rv1G0316220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCYAPADMDELHRRWLPREIFADIGIADAAPPSAAAVEDVAVHLTGILGIKEGLPRPPPPPPEPAPHHSHHASQVSGLGGTAPVVYGGGSNGGGVPAPWPVLPYSPPQWQVPTNFANGGGSARFLGPRHAPVRPSHGPPPVKRRLGGTGGTGVFLPRAQGYQYQHKAATKSPAKGRNPPKELLQWQKQQQRGDQEKATETTTQKQKESAALLALPQEWTY >SECCE3Rv1G0157600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:82761512:82763297:1 gene:SECCE3Rv1G0157600 transcript:SECCE3Rv1G0157600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLPLLVALSSLLLILAGLYMTRLALLGKRRRSYPPVAGTMLHQLLKWGHLPEYMTELSSRYRTFRMLTLTCSSIHTVDPANVEYILRTNFANYGKGTMTHDKMEDLLGDGIFNVDGDKWRHQRKVASFEFSTRMLRDYSSGVFRDMAAQLAGIVAAAAAAGERLSMEDLFMRSTLDSIFKIGFGVNLGALSGSNQEGAAFARAFDDASEQVLYRFLDPLWKAKRLLNILSEAAMKRSVRTIDDFVYAVIDKKIEQMGRDGQEFAKKQDILSRFLVEREKDPGCFDNKYLRDIILNFMIAGRDTTAGTLSWFLYVLCRNQRIQDKIAREVREATTGDHQDVGSVQEFMACLTEDAISSMHYLHAALTETLRLYPAVPTEVKCCFSDDTLPDGYAVRRGDMVNYLPYAMGRMKFLWGDDAEEFRPERWLDDDGVFVPESPYKFTAFQAGPRICLGKEFAYRQMKIFAATLLYLFRFEMWESDLTVGHRPMLTLKMDGPLYVRASPRRSIRD >SECCE2Rv1G0066550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14589178:14589885:-1 gene:SECCE2Rv1G0066550 transcript:SECCE2Rv1G0066550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRALVLAVLLTIAVANAEAASVVVGLAKCADCTRKNMKAEEAFKGLQVAIKCKNSAGDYESKAVGGLDGTGAFSVPLAADLHGADCVAQLHSAASNMPCPGQEPSKIVPVSEGTTYGIVAGENRATPSAASPECASMTLCGPIKKHIIEHFHHKKPVPPKPEPKPQPHPDYGPVPKPEPKPQPHPDYHPVPPTPTYGGGGGYHGHH >SECCE4Rv1G0287770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:856754827:856755348:-1 gene:SECCE4Rv1G0287770 transcript:SECCE4Rv1G0287770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSQALSLFVLLLLLAPSSASILKDACRSFGNADFYNICIKFFKAYKEDALANKRGLAVIATGIASKTAVATCKRIAALKAVHKDPKIQAILVYCDHFYSVAVGMFDEAATFIWSNKVGDAVTRLGSAWNVPRSCEDAFRKAGVKSPLHAENSEFEMECIITMGVTERLLNV >SECCE4Rv1G0246660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:547849381:547854132:1 gene:SECCE4Rv1G0246660 transcript:SECCE4Rv1G0246660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLMIFLLSSTAAQADANPGKIARSQFPRDFLFGTASSAYQYEGAVREGGRGPSIWDAFTHNHPEKIANGSNGDVAIDSYHRYKDDVNIMKDLGFNAYRFSLSWSRILPSGKLSGGVNMEGINYYNNLIDKLISEGIEPFVTLFHWDSPQVLEQQYGGFLSQLIVEDFKDYASICFREFGDRVKYWITFNEPWSFSIGGYSSGTYAPGRCTSSAKPGCSMGDSGREPYIVAHNQLLAHAAAVQVYRDKYQIEQKGKISITIVSNWIIPYSNSKEDKDASKRALDFMYGWFMDPLTKGHYPLSMKTLVGNRLPKFTKEQARAVKGSFDFIGLNYYSARYARNTKHNSNSKESYSTDSQTDQRVERNGTYIGPKAGSSWLYIYPRGIEELLLYTKKTYNNPTIYITENGVDEINNEKLPLQEALLDNTRIEFYQQHIYYVQRALKQGVDVRGYFAWSLFDNFEWVDGYSVRFGLNYINYKDGLKRYPKRSSQWFQKFLHH >SECCE1Rv1G0060630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710992933:710994028:-1 gene:SECCE1Rv1G0060630 transcript:SECCE1Rv1G0060630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVAARRLVGGGQTPAVAVEGAQRRLFPRLFQVDRARSASSSAASAAETNAAAGKGLTHDDRERRVKLLREIHNRREELYELTAEAERIYNIPGKPGREIRRLRQELSPQVLPRPNDSTWRFLRRKSIFERYGGQAACLFTTYVITSMLIGSIVQLEPHEQRWLKKKREAGMAKSGGGASSN >SECCE5Rv1G0366820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:816017723:816019381:1 gene:SECCE5Rv1G0366820 transcript:SECCE5Rv1G0366820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLHAPLPEGFISLPVVDFSCSRDEISRAIVDAGKDIGFFQVINHGVPEQVRRDMEAVCEEFFAMPAADREAFYSDDNNKPNRFFSGSTYKTGGTKFWFDCLRLSSTFPIGDSKNEWPEKPQRLREVFERFAVLTRGMGMELLQLLSEGMGLRPDYFEGPLGGGNMTMNLNHYPPCPDPNSIGLPPHCDRNLLSLLLPSTVPGLQFSYKGSWFNVETMPNAYVVNFGLPLQVVTNGVLKSIEHKVMTNSTHARRSVGVFITPTWECLITPAEEFLSNENPAVYKAVTFREFYDMHSVVKDGLSSVLTINQKSTEETTH >SECCE5Rv1G0342360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631816093:631818683:1 gene:SECCE5Rv1G0342360 transcript:SECCE5Rv1G0342360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADPAAPSSAPPISEAAFFDVPDALPTPPPPPSPHTPSSSTLRRRPRRAKILTQPDDPVSPSASAASTVTAADEPDKDKDKEKEKDKDKPDSDSDSSEATSAPRSPPPLEEEVDQKDAEPAAPEANGDEKDAEPAAPEADVDEKDTEPEAPEEEVDEKDAEPETSESEVDEKDAEPEAAAPDYRPPAAPPAFLESLAVFVIKAVVFQVSALVACLTFPFRLMQWWFLFVTDPLGSAQRARDWALGAAGQATGAVSAWLGAGDGVARVVARLLWGSLWAMYVCLVLCGLLVMAFTAGGILVGKVVEEPVQVTENLNFDYTKPSPVAFVPVGRLVPPHQRMQLEVSLTLPESDYNRRLGVFQVRAELLSADGKVVTASSQPCMLKFKSVHMHFIETFLQSLSLLSGYSSESQVIKLKMTGIKEAFQPITGVRIVLEQRAEFATGAGIPEIYAASLKLEAELPLLKRVLWHWRWTMFVWSSMAVFVFELFVAVVCCRPCIFPRSGRAA >SECCE2Rv1G0106190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:631550182:631550934:-1 gene:SECCE2Rv1G0106190 transcript:SECCE2Rv1G0106190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGFPGEVLKAVFPLLDGKDLVFCMLVCRQWCEIAKDDYFWKCICSRKWPSICKQPPSDTNFQKLYLTFSKPRKTVNLPVPKLTFEDLVFYIDMWLEGSLIFSQAVSGCILRAGLQNTPGGIPDVLVAHLNAADCILMMEVKPKLTVPMGPAITVSVLAHRKDTNKMTCIINTSSFDYIDSNAARALAYEYLRFSPRHPFISDIRAWMSLLFLYKGTNSIEVFGIELDFCDAARSEPEILWLLDMLDWK >SECCE2Rv1G0068970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25950473:25951129:-1 gene:SECCE2Rv1G0068970 transcript:SECCE2Rv1G0068970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPFSPLCALVILLAAAGSVSLASASVTDAVSVSLNSSGFPPPLAPATPPPSAVLPRKVLRPAGVGADVPHRVGLGCAGADDIAIEQSPGTTLPSGVPSYTVDIINRCSGVGRAACAISGIRVRCGWFSSVTLVDPSKFRRVAPDDCLVNDGKPLLADDTISFEYANSFQYKLSVAKATCVHPAADTSD >SECCE4Rv1G0284030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838020042:838024733:1 gene:SECCE4Rv1G0284030 transcript:SECCE4Rv1G0284030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKTLPNPHPHLLPAFLPFSLPARLPSHTHKSNSQRAECGEKGQKKKGIPRISSTVCGLTAPDSSRLHREERAAQGRKMACRAAPLLLPALLLALLAAAPAPARATDPYAFFDWDVSYVTRSPLGVPQKVIAINKEFPGPVVNVTTNYNVAVNVLNSLDEPLLITWDGIQQRKNCWQDGVLGTNCPIPPGWNWTYNFQVKDQIGSFFYFPPLSMQRAAGGFGGITVNNRAVISVPFDTPDGDIRLFIGDWYKKNHTDLRKMLDDGKELGMPDGVLMNGKGPYRYNDSLVPAGIEYETIDVEPGKTYRFRVHNVGVSTSLNLRIQGHNMAMVETEGSYTMKQNFTNLDIHVGQSYSFLVTMDQNASSDYYIVASARFVNESLWTRVTGVAILHYSNSKGKASGPLPDPPNDEYDKGFSMNQARSIRMNVTTGAARPNPQGSFHYGKINVTQVYKLRNVPPVTINGKKRTTLNGISYSPPATPLRLADLYDKKEVYTLDFPSMPSEGPPVIGSSVINSTYKNFMEIVFQNNDTKVQTYHIDGYAFWVVGMDYGEWSNTSRGTYNKWDGVSRCTTQVFPGAWTAVLLSLDSPGFWNVRTENLDTWYLGQETYIRVVDPDGGYNVTESVIPDNALFCGLLREKQKAQKPHGSTSSSASPALRQSGYLLAALVSLVALAVVRH >SECCE2Rv1G0126980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:849899948:849904318:-1 gene:SECCE2Rv1G0126980 transcript:SECCE2Rv1G0126980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRRSESTEGLFLFDERKDRRSDVENSEDERRRLSIGGSLKKKALNASSKLTHSLKKRGKRKVEHRASSFTIEDVRDEEEERAVFTFQQELLSRNLLCDKENDYHMLLRFLKARKFDTEKAIHMWAEMLQWRKEFGADTILEDFDFEELDEVLSYYPQGYHGVDRQGRPVYIERLGKVDPNKLMNITTVDRYIKYHVQEFERAFLDKFPACSIAAKRHIDSTTTILDVEGVGFKNFSKTAREMLTKMQKIDSDYYPETLHQMFVVNAGGGFKLLWNSVKGFLDPKTVSKIHVLGTKFQSKLLEVIDGSQLPEFLGGTCTCAGEGGCLKSNKGPWNDPNIMKVAHNKEAKFVRHTRRLSEIEQRRGSFARLHLLKGRNSDTSTAESGSDVDDLGSPMMRSTLGCSRLAPVREEMQMRARESAAYYSCDDHFVVVDKTVDYGRGGSMPDKSSAPEIRVQAQPLGTQNIPGSSRSGRGILVPKEIPEEGKFYRFLRLLLVLVVRVFTFLRTVCSQPETTMVNNPLPPATEFEPISGDHPAVEAFSVDLVRPVIERLQKLEGQVDELSSKPPEIPLEKERSLLDSWDRIKCIESDLERTKKVLQATVMKQLEIADSVEEVILSKLHRRRFCA >SECCE6Rv1G0449310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:861197509:861198156:1 gene:SECCE6Rv1G0449310 transcript:SECCE6Rv1G0449310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVWGSRKVSKLIAGSFFLLGTGSNDLFQTRPKSQADVAALYATLASNYSAAIIDLYEMGARKFGIINTGPVGCVPRVRVLNETGACNDGMNRLAAGLATPIKSNLTSTLTPTRLPGLAYSLADSFAGSRANFENPQATGLVNADSACCGSGRLGAEGKCMRNATLCSDRDAYAFFDNVHPSQRAAELSALALFVDGPTQITAPISFKELANQR >SECCE5Rv1G0304580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:59640790:59641395:-1 gene:SECCE5Rv1G0304580 transcript:SECCE5Rv1G0304580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDRNGFLASLRGDSDGFFNNWKILALFTFLALLVFGCACMLAHGLQFVGRIKFSMEPVAYTGFVSDSDLATSPSFNVTLHAKSTYQRTFCWGDDGSGGATMEVAYSGVAIAKADVAPFCVPGKGDTVIAATVTARWPALPTDFRERIEGDRRHGGVDVEVDLSFDWMWVRCRTTLDGKHASPTPCQYFSFIPPMSSAVL >SECCE1Rv1G0003200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:12688935:12690270:1 gene:SECCE1Rv1G0003200 transcript:SECCE1Rv1G0003200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLATVREIRRSQRAEGAAAVLAIGTANPANCVSQEEYPDYYFRVTKSQHLTDLKQKFKAMCQMTPTDKRYFHHTEELLDAHPDFLSHGKPSLDARLAIVVVAAPELAASAAAKAIAEWGRPATDITHLVVSTNSGAHSPGADLRLASLLGLRASVRRTMLYLNGCSAGAASLRLSKDLAENNRGARVLVVCVELTIISFRGPEEADAHPHTLISQAFFGDGAGAVIVGADVVHPERPIFEMVSASQTMIPGTDRVLTMQLTEAGLDGHIFTKELVPIAAQHIDQCLMDAFQPLGVLSDVTTLWNDLFFVVHPGIRGILDHIKGALHLESGKLAASRTVLREYGNMLGATVIFVLDEQWRRMEEDRGVRGEWGVMMGFGPGFTIETMVLHAVAGNPHNKN >SECCE2Rv1G0110460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:689854525:689856174:-1 gene:SECCE2Rv1G0110460 transcript:SECCE2Rv1G0110460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKDVLSWYLITLKLRETVDANLKKSPSPHWQALPRSLLVANGTPGAAETLTRQDRGQQAAVPAQSPAHSPKPQDPEWVVTIRGKLAQARAEEAACPWARLSVYRVPKSLREGDERAYMPQVVSIGPLHAGKRRLREMERHKWRALHHVLKRTGHDVTAYLDALRPMEERVRSCYDGRVAWMPANDFAQCLVLDGTFVLELFRGALDGAKGFVDDLGYSRHDPIFAMRGAMHAIRNDMILLENQIPLFVLDLLLGIQLGHPEQTGAVASLAVRFFDPLMPTDTPLHRKDRFRLESSVDADAAAAAAFDPLSDPMLHCLDVFRRSLLRAGMQPTPPPAARLWLKKWSGLRRVADKRRQQFVHCVSELREAGIRCRRRNTDRFWDIRFENGVLHIPRILIHDGTKALFLNLIAFEQCHMDIATPGGNNITSYAIFMDNLINSAEDVKYLHDRGIIEHWLGSDGEVAELFNRLCLEVVFDINDSYLSGLSDQVNRYYDYKWSTWVATLQHNYFTNPWAIVSVVAGVFLLLLTMMQTFYSAYSYYRPPA >SECCE1Rv1G0022240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:281837917:281839293:1 gene:SECCE1Rv1G0022240 transcript:SECCE1Rv1G0022240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSVTLKQKKRVKHTKNKYLKPGALAQIRYSRSTSRDIGKKRILLNVEKDDELEISPHAEVVFETSTPVMSPARLSFEPFSGIKGQLLPTTPKTPQAAECDGHSRLESLPLDLLIKIVCYLHHDQLKAVFHVSSRIRKAVELARQYHFNYTTPDRSRQELLQNKTPLRSEHWPFMSRIDGKDVRVATPRTPKAPKHGPRPSRFKLLDVKPITAVLFPDSLPSKKRRLRRLMPPGLPRPVPKAAASPRVLLYEEELCEAVAQNKLL >SECCE3Rv1G0169490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:237692828:237694357:1 gene:SECCE3Rv1G0169490 transcript:SECCE3Rv1G0169490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRVAELTTLLESRKTNFYMNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGISLNLENENVGIVVFGSDTTIKEGDLVKRTGSIVHVPAGKAMLGRVVDALGVPIDGKGALSDHERRCVEVKAPGIIERKFVHQPMQTCLKAVDSLVPIGRGQRELIIGDRQTGKTSIAIDTILNQKQMNSRGTNESETLYCVYVAIGQKRSTVAQLVQILSGANALEYSILVADTASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGGGSSTALPMIETQAGDVSAYIPTNVISITDGQICLETELLYRGIRPAINVGLFVSRVGSVAQLKAMKQVCGSSKLELAQYHEVVAFAQFGSDLDGATQALLNRGTRLTEVPKQPQYEPLPIEKQIVVIYAAVNGFCDRMPLDRISQYEKAILSTINPELQKSFLEKGGLTKERKMEPDDSLKESTLPYL >SECCE5Rv1G0375490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868308459:868314559:-1 gene:SECCE5Rv1G0375490 transcript:SECCE5Rv1G0375490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYVQLLRHNQSATCGLDCLALPRARRPWPWPRSTTAFTKRGLLLLATRVAATPEERVCSFEPSAWTDFFIQYDPDLHQDQISEQCMRVKADKLKKNVNVLFNTFNGTLVDKMILLDALQRLGIDHLFQEQINTAMQEIHKSEFNSCSLYEVALRFRLLREHGLWVSLDVFDNFKGEDGTFHKDITNEPRGLLSLYNAAYLSIHGESELDEAVSFASRHLESMKSSLKYPLSEQVKRNLEIPLPRTLKRINVPYYIEEYKEEQACNLSLLELAKLDFNLLQRLHQKELKAFCRWGNDLYKEVGLTNTRHRVVECYFWSYIGFYEQPYGRARIILAKIIVLVSLLDDIFDMHATLEDSRKLNEAIQRWDESAILLLPEYLKNYYVRLMNTFAAFDDELKRDQKYRVAYCRKAFQTLCRHHQQESEWFYSNHIPSFEDHVKCSIVSTGTPTIFLGSLIGIGDEATEEAFKWAIGCTDAVKACGEVARFMDDMAAFKEGKNKMDVASSVDSYINQYHVTSDQAFAVLDNLVEDAWKTTNQTRFDRRAILPLVNRIACFTKSMAWLYRDKTDRYTFSRGDKDMMKQQLVEPILL >SECCE1Rv1G0000370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1402893:1406211:1 gene:SECCE1Rv1G0000370 transcript:SECCE1Rv1G0000370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKGPPLDVLRASISSAPSTSSHGSAQDDCDSLGDVYVWGEVVCDNSARTSSDTVIRSTGKTDFLLPKPLESNLVLDVYHVDCGVRHASLVTRNGEVFTWGEDSGGRLGHGTREDSVHPRLVESLAACNIDFVACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGNDVGHWIPRRISGPLEGLQIAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGNLKSISCPREVESLSGLKTIAVACGVWHTAAIVEVIVTQSSATVSAGKLFTWGDGDKHRLGHGDKEARLKPTCVASLIDYDFYRVACGHSLTVGLTTSGKVWTMGNSVYGQLGNLNSDGRPCLVEDKIASEHVLQIACGSYHVAVLTSRSEVFTWGKGANGRLGHGDIEDRKVPTTVEALRDRAVRHIACGANFTAAICLHKWVSGADQSQCSSCRQPFGFTRKRHNCYNCGLVHCNACTSRKALRAALAPNPGKLHRVCDSCYSKLKNASSSSATNKKELAPGESNGEARAGRSILSSNMDMIRSLDSKAAKQGKKTDALSFLRNPQVSSLLQLRDIAFSGGADLSRSAAPRAVRTPAVRSVTSSRAVSPFSRRSSPPRSTTPVPTTHGLSLSKSATDNLVKANELLSQEVERLRAQVDSLRNRCDHHELELHKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERLPPEHGAYDFNEAKQVHVPNGVEPHVAAYSNMNGKVHQARNELLNAPSPNSGRSPHSNGGLSNQHKLLGNISENSEGSTHSLRITSPHGSDRPHRRAHSNSDEMLSASSRADDNVSIDARSLQNGEDGYKPRGTISISSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYEKYNVRSSERVSSAASTRSAY >SECCE1Rv1G0027830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:389777772:389781946:1 gene:SECCE1Rv1G0027830 transcript:SECCE1Rv1G0027830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLSQTQRYAAGALLALALRQAQIHQRVLLGSHGLDEGPGPETAVLSSDDPDGRDLWTHDSRGLLRPVLRFLEIDPKAWPGVEKTAATSEPKHHIGAFLRKVFEDEDDGEKAAADRSDLEFALAKAVDAMAMGLENDVAPGDLFKQDAFGGDNEEQEPADDGSPSSPGGGGRSKDYRKMAVLYMLLSACVADVNMGEDGMGSPRIRKGYDARHRVALRLIATWLDVKWIKMEAVEIMVACSAMAAAKEEEKSRESTSPRSRWQKWRRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALAPTLHALVPVIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGSKMAKRIGNVKEFEFKALGDNHSQGRLAVCIMVSGFAFNDDDFLKPWEGWKTNLERYILQWETKHIIALSTAIQDFLASRFAMELMREGAMQTVLSGIISAFAWPATLIAAADFIDSTWSIAIDRSDKVGKMLAEVLLNGLQGSRPVTLVGFSLGARVVFKCLQELALSGNNEGIVERAVLIGAPISVNDELWGPARKMVAGRLVNVYSTKDWILGVTFRASLLTQGLAGIQAVQVPGVENVDVSELVVGHSSYLGLMQQILEQLELNTYYPVFSPSTPRSSTPGAK >SECCE2Rv1G0124230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:830545739:830549741:-1 gene:SECCE2Rv1G0124230 transcript:SECCE2Rv1G0124230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDPNPFDEGTAGDENPFSNGGGRGGKKQYGFRPTEPVGFGGGGGSRGDATVDVPLGNMGDSNGKARELSSWESDLRRREADIKRREESLKNAGVPMEDKNWPPFFPIIHHDIANEIPANVQKLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLMWYRPLYRAMRTNSAFSFGWFFLCYLIHIGFCIIAAIAPPIVFQGKSLTGILAAIDTFSEHLIIGIFYFVGFALFCLETLLSIGVLQKVYMYFRGHK >SECCE5Rv1G0352000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:706202501:706204579:-1 gene:SECCE5Rv1G0352000 transcript:SECCE5Rv1G0352000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADVDVGTELRLGLPGGGAEAAKAGKRGYEDTIDLKLTLPTGGMQEDSAGKPEPAADKAKRPAEAAAADPEKPPAPKAQAVGWPPVRSYRRNAMTVQSVKIKKEEETEKQQPAAAAAAGANGSNFVKVSMDGAPYLRKVDLKMYNTYKDLSIALQKMFSTFTATGNEGKMVEAVNGSDVVTTYEDKDGDWMLVGDVPWEMFVASCKRLRIMKGSEAIGLAPRAKDKYKNKS >SECCE3Rv1G0187290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:697295379:697299146:1 gene:SECCE3Rv1G0187290 transcript:SECCE3Rv1G0187290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSASGVVQENKKVGNDLSSSPTKGKRGRKGSRIIANKKYPLRSAQSSARVLRSTSKDKSKTPNEPVSPLRSAHSSPRVLRSTPKDKSKTPKKQVNSLRSAHSSARVLSTTLKKKTPNEPVNNSTAAQPAARKRKRGRPSNAASPKNECVKIRQRVRYILNRMNYEQSFIQAYAGEGWKGQSLEKIRPEKELERAKAEILRCKLRIREAFRNMDSLLLEGKLDESLFDSEGEISSEDIFCAICASKHVTLKNDIILCDGVCDRGFHQKCLNPPLLAEDIPQGDEGWLCPACDCKLDCIDLLNELQGSTLAIHDSWEKVFPESTSNGLKQIGASDLPSDDSEEDYDPDMVDENKSSAEDGDEGSDSDDLDFITSSDESEPSKKKRSESKNKNTVNDLVLPSDDSEDDDFDPEGPNSSEDQKTKTNSEESDFTSDSDDFCAEISKSCGKDKVSAPSFSDQTNGVDIMEAELEQDSVLPASSRRQVGHLDYKKLYDEAYGKETTDSSDEEEWSGHSPNGNPEDSDTDSFAGPLKLAKPRRARGGRQNNERTPQSERHSGSVSEQHPEVLSNGTSSTTRKKGYGPIVNQKLKAYFEKEPYPSRPAKESLAQELGLTFHQITKWFSSTRHYSRVTAAKKGKRPENYTAENNDGIAADSIQQREHNGSVLGKPTVDRNGIFSEERMAQKNLDEGEKEDTPFRQHISCEQTLAPANKPNTANSREVDSPIGAPGGNQQNGTSRNAEGTPLGQDTGCEQTVAAANQNCTVGSSNVGSPKGVPVGNKCLNNSPRSVGSPRRGSAEKNIPGLEHVDEARRKAILRELRKMKSGR >SECCE6Rv1G0402640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:486226294:486227364:1 gene:SECCE6Rv1G0402640 transcript:SECCE6Rv1G0402640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA GLABRA 1 [Source:Projected from Arabidopsis thaliana (AT5G24520) UniProtKB/Swiss-Prot;Acc:Q9XGN1] MDQPKSTPSTAASPAGADAAPNPYAFTCELPHSIYALAFSPSAPVLAAGSFLEDLHNRVSLLCFDSVHPTAASFRAVPSLSFDHPYPPTKLQFNPRAASTPLLASSSDALRLWHAPLDDLSASAPAPELRSVLDNRKASASDFCAPLTSFDWNEIEPRRIGTASIDTTCTVWDIERGVVETQLIAHDKAVHDIAWGEAGVFASVSADGSVRVFDLRDKEHSTIVYESPRPDTPLLRLAWNRYDLRYMAALLMDSNAVVVLDIRAPGVPVAELHRHGGCVNAVAWAPQAARHLCSAGDDGQALIWELPEAPAAVPPEGIDPVLVYDAGAEINQLQWVAGHPDWMGISIENKVQLLRV >SECCE4Rv1G0266620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:732648043:732653450:-1 gene:SECCE4Rv1G0266620 transcript:SECCE4Rv1G0266620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain containing protein [Source: Projected from Oryza sativa (Os08g0296600)] MDPIFLASAAATWALNKLLDHLKDSAIKALLRSEGLDREVGPIEVTLMRANLVLGSVPTGSAASGVRIRNKELINHVNKVHQHASFLANELDKVKYQCIKQEVKKKNLENAGIVKSSQSKMKAITQIGTPEQKISGYNIKLIKKTADELEKICNDVHEALVVEKLGQIVEATKNMDIDTRETVDNRTEADVVRREEEKDIIELITESAPTHHQEPLVLPIVGDGGVGKTTLARQVYNDKRVKDKFEMMIWIYVSANFDEVKITQGILQQIPGCEYKPSTNLNVLQSSIKKHLTGKFLIVLDDMWEESQGRWDKLLAPLRCTEKGGVVLVTTRKLSVANATGRSSAPINLDGMKADMFWSFFQTCIFGHTSYRGKKLLSIGKEIATKLKGNPLAAKSVGALLGKNPNEHAWRNILDRDEWKIQHNETDDIIPALRLSYNHLPDRLQQLFSYCALFPKGYKFDKEQLIRMWISLGFVTHERMPVGDAARSSFDELVYGSFFQKEERYFILHDLMHDVAQEVSAFKCLTIAGPDPQEVSQSVHLIRHVGIWTEMVYNEGSMQRSETFEEKLDKIQDGDILKSLESFMLVGAYDENFSAKFAKTLEKLQYVRLLRLSMHFNVDALLSSVTKFIHLRYLELRYTSQERKPLPEAICKLYHLLLLDIMHWRGLDDLPKAMSNLVYLRYLLVPGSGSLHSRISRVGQMKFLQELNEFRVQEEKNFEISQLGPLSEIRGSLSILDLDNVKTKQKASEARIKDKKHLRTLSLSWGSASARGSAAVQKEVIEGLKPHDRLAHLHIINYAGASPSWIAMKGLESLHLHNCKGIDVLPPFEEMECLQKLSLIGMSSLRDIDIDMGLEDDELELSEVEIESCSALTSIRIHFGKILTKFDVKDCVALSSIEGLPSSGQRKHYVIQGCPQLPADAL >SECCE7Rv1G0501760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:687755447:687755993:1 gene:SECCE7Rv1G0501760 transcript:SECCE7Rv1G0501760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAGAGSALGGSHAGLALAATAMALSGTLVLFSLCRAKQTDHHLVSSDAAATSPSPARLRPCLSSSEKRKREKARRGSMKRVRFAADVVDHGPARPAPLEEVAAPEPSCRGAAMPANREALYRGMLRGRSMLRTACSY >SECCE1Rv1G0012330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:91174704:91175402:1 gene:SECCE1Rv1G0012330 transcript:SECCE1Rv1G0012330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVVRLSRSATRFVAKAASGFHLLRIDGYSQTKTILPGQKISSMAFAVGGHSWRMDYYPNGRDTAAKSNAVSVYLQRIDHNQEDPLQAQYKFSLVDHAGNAAYELPAQTGTFISVPEVNVYHRHAATGTFGSPPDGEPGPGCGLEEFIVKEELEKREHLIRNDCLMVRCDVGVTEVATSWLAMDEITNEDEEEYSDDDGYGAGTPRRRRRRRRRDDGEYVKWCLAQRPR >SECCE4Rv1G0246120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:540691735:540694257:-1 gene:SECCE4Rv1G0246120 transcript:SECCE4Rv1G0246120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWFLKISNWEGLPFIHMNAWRKCHGSSLCFIMLWMLVLPNLWITCSGSIQKYVLPPGFSGSEMEYIDNSGIFLLSNGSVFGFGFITSGLSESPSYLLAVVHLFTTTVVWTANANSPVSHSDSFEFDKDGKAYLQSAGSTVWTANISGKGASMQLLDSGNLVVLGEDSSSPLWQSFSYPTNTLLSGQSFSDGMTLVSQSNMTHTLQIKSGDMMLYADTGSQNPQPYWSALQDTRLIVNKDGAIYSANLSSTSWSFYDKSGSLLSQLIIAQKGDANTTLAAVLGDDGLIAFSMLQSGNGKTTLQTAIPQDSCDTPTHCKPYSICNSGTVCQCPSALGSFPNCDPGLVSPCKSKGAFQLAQLDSGVGYIGTSFTSPVPKTNITGCKNTCMGNCSCIAVFFDQKSGDCFLFDQIGSLQQKGGSKTNFSSFIKVSSSGSGQGGSGSDSGNHNIIIIVIIVGTLAVIGGLVYVGFFIYRRKRYPPSSQDEAGSSEDDGYLQTISGAPVRFTYRELQDATNNFSNKLGQGGFGSVYLGTLPDGSRIAVKKLEGIGQGRKEFRSEVTIIGSIHHIHLVKLRGFCAEDSHRLLAYEYMAKGSLERWIFCTKEDDPLLDWDTRFNIALGAAKGLAYLHQDCESKIIHCDIKPENFLLDDNFLVKVSDFGLAKLMSREQSHVFTTMRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIISGRKNFDPVEGSEKAHFPSFAFKKLEEGDLREILDAKLRYNDKDERLEIAIKVAMWCIQEDFYQRPSMSKVVQMLECVCDVPQPPISSQIGYRLYANALKSSSEEGMSSGMSDYNSEALLSAVRLSGPR >SECCEUnv1G0540150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78543275:78546336:-1 gene:SECCEUnv1G0540150 transcript:SECCEUnv1G0540150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQGRGRPEPRWALAEPPPGLFPAGIHDLLRLLAVLAIAAAVAAACSVLNRRPQIPTLLIRPSENSSCAVLACADSCEPCPENGRCVDGELRCVEGFKKRGRVCVEDGLLTHTANKIADLLQHRICDEHARALCGQPGKILFQQHDISSMADELLSKDAARLSDDGMKVVKDRVLQSAHGFLETTTNYDKVQAFKCPELAAELHRPLSCQARQWISSNIIFVITFCVLSAALLRILWSIYRRRALSMRAEQIYEQVCEILEDNAISAKAGNSECEPWVVTSWLRDHLLSTRERRNTLLWKKVEELLLEDSRIDQYPKVIKGEAKVVLEWQASGSLSGKIKKMQGAAGKTRSSGLGAIKLAEEICDSSSSRDKEHCSSTSKEATNPFM >SECCE2Rv1G0082170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:148379164:148380396:1 gene:SECCE2Rv1G0082170 transcript:SECCE2Rv1G0082170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGGARRNWSELPEDILLTTMSAMEVLDVVRASAVCSSWSSTYATFRRLRLPSPKQSPCLLYPDDACGPDGMALYSPTADATSRIRLPHDVVVLAGSAYGWLFVTDQAANPYLLNPLTGAQAMLPPATSFQCVVGSSLDDDGNIVYHTEDPRLASHGDDVRFFSVAAQVARSWMYHHVALSAGGGAPSCVVLVVHERPKDLHFARPGDERWSSLSSVGTGFVSAAYNDKDGLFYVLQCCGTVHALDLHGQTSPTARALIRTWGLVGHLRSLAVTPCGDVLLVGRTYCLLQRLDIYDSGNAFSVYKLDLSAKKKVKLEGIGDHVLLLGNKSSAVCAPIVEDCPRLRPNCAYLADFSRASILEVIKKRDIAIYWDFESGVMHNIGNMWPLHLLDIPDTAPAPIWFTPSPL >SECCE6Rv1G0409160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:582595046:582599227:-1 gene:SECCE6Rv1G0409160 transcript:SECCE6Rv1G0409160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHIASSSAAFLLLVASSSSSSSRRWRSSSPRSFGAAGTRLHWARRGFSRDGSVPCRRASAAAAAAGGEQGAANAAAGGSSRQAVPGQRGKLKAAENEDAASEKSVASAEQNGSAGSGSKADPSAPVSAAASGYWKDVVVAEQVGAKVDTGGVAAEASNSPVDSENKGSGSLAGPNVMNVILVASECAPFCKTGGLGDVVGALPKALARRGHRVMVVIPKYGDYAEARDLGVRKRYKVAGQDSEVSYFHSYIDGVDFVFLEAAPFRHRHNDIYGGERPDVLKRMILFCKAAVEVPWYAPCGGTIYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYTRSVLVIHNIAHQGRGPVDDFHIMDLPEHYMDHFKLYDPLCGEHNNLFAAGLKIADRVVTVSHGYMWELKTMEGGWGLHDIINQNDWKLDGIVNGIDTAEWNPAVDVHLHSDDYTNYTRDTLDTGKRQCKEALQRELGLQVRDDVPLIGFIGRLDQQKGVDIIAEAMPWITQQDVQLVMLGTGRPDLEDMLRRFEGEHRDKVRGWVGFSVRMAHRITAGADILLMPSLFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFGGTGLGWTFDRADAGRMIDALGHCLNTYWNYKESWRGLQVRGMSQDLSWDHAAELYENVLVKAKYQW >SECCE5Rv1G0336720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:586219906:586225627:-1 gene:SECCE5Rv1G0336720 transcript:SECCE5Rv1G0336720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVVSAMAPLYFALGLGYASVRWWKFFTPEQCDAVHRLVTYFAIPFFAFDAAARTDPYALNYRVLAADALSKVVVALVLAAWAAAYTARHRSRRVAVGSGPEFVSAWCITGYSLAALNNTLVVGVPLMDAMYGDWARDLIVQLSVAQFIVYFPLLLLAFEVRRASGGAWKPDAAVPVKDDAEGGVEEKRPELRIWPLVRVVWLNLTRNPNVYAGVLGIAWSCVTNRWHIKTPSVFQGSIQIMSRTGLGLRMFSIGQFMALQESIISCGAVLAAFGVAVRFIAGPAATAVGAVALGLRGDTLRVTILQAALPQSITTFVFAREYDLHADVLSTA >SECCE6Rv1G0415890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:644909848:644912921:-1 gene:SECCE6Rv1G0415890 transcript:SECCE6Rv1G0415890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MASGSSRPQILCVSFNQDNSMFSVGTKEGFKIFDARTGRLCNDNKLGGLNVVELWFATNLIAMVGTGEQPSRSPRRLCLFNTITGASKKDLNFRSTILAVRFSRTRLIVVLQDKTFIYDLNSTRILEEIDTVHNPKGLCAFAPNSEWCYLAIPASTSKGSALVYKASEPELICQIDAHESPLAAMAFSSNGMYLATASEKGTMIRVYIVAQATKSHSFRRGAYPSTIYSLSFGPCIDRPDVLAATSSSGSLHMFFLDAARNGRNQTNKLLGSIIPGSKAISDALDPANHHVIHNIAPAETKSCLAVHSVEYSQNSSKFPAVRTVVYVVTHDGYFHEYTISTTKSNESSWVLEREFSLLDTGYTLKQNEHHHVD >SECCE6Rv1G0406060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:539963601:539971996:1 gene:SECCE6Rv1G0406060 transcript:SECCE6Rv1G0406060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNEEAALKPVSCGARLRRSRDASLREEVSMRDPFLKHRVKKFDLSSLDWIDQIPECPVFSPSVEEFEDPFVYLSKIAPVAAKYGICKIVSPICASVPVGTVLMKEHGGLKFTTRVQPLRLAEWSRDDKFAFFMSGRKYTFRDFEKIANKGFVRRYSSAACLPARYMEEEFWHEMAFGKMESVEYACDIDGSAFSSSPKDQLGRSKWNLKKLSRLSKSILRLLRTPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGKAAAGFEKVVREHVYDHEILSGEGETAAFDILLGKTTMFPPNILLHHHVPVYRAIQKPGEFVITFPRAYHSGFSHGFNCGEAVNFAVGEWFPLGAIASQRYALLKRIPLLPYEELLCKEAALLDHEFSTSSYEDMTTSTGDTHIQHCMKVPFVQLMRLQHCVRWSLMKLGARTHYKADIDATVLCGICKRDCYVAHVMCNCRVDAICLCHEEEIRKCPCSHDRAVFVRKDIVELETLSKKFEEENGIVDAVRKQMSRGSSTHSYFNRINRNAEYFPYCKIHIDASPEVHSISETRVLGYDLNKPYPDASTITFCFGPHEYSTQSDECTSTNRRIFSSSCPENAFTPQTTIINRYPSSAPDQTCSSEKLAAEDADDSDCEVFRVKRRSGITPERRHTEDATITTFTGNQVLKRLKKIHADDRQQQKLVEVSCGTIDPVHTHHSTRCLDFISGKGDDSVHPTKLKMLHQLDANIMEDEFASNQKHNGCNYLSPSVELGPKRLKILGPLFPSRNHELEISYRFQEDSDLASHHAQ >SECCE5Rv1G0322480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:421113267:421113716:1 gene:SECCE5Rv1G0322480 transcript:SECCE5Rv1G0322480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGKSSSAVGAAKEAVANVGASAWAGKEKTKAVVQETVNKAKAHDPAAKADAEARKQERIHEVEAAKRDAMRHNAAAKEHATAASYHPTPGSAIDAREVEVEGATQPAAPRAGSSDDGFLQGPGAGHAVGEGGGRQLAARGTPGGHSA >SECCE1Rv1G0003940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:15777897:15784364:-1 gene:SECCE1Rv1G0003940 transcript:SECCE1Rv1G0003940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAQPIMLFIVLLLGCIPKGGCFHAIEEQGNSTISWPYEIHPEFFLPKGNLTSDKNSKITYFSSHTWSSGGGNKHYYGVEATLDVYGFDLQNGQESASMISILYRGDGHPSSFNGIQLGWHIFPSLYKDSRTHFYTAWISGGTSGKGCMNMICPGFHKTSSSIAPGNVISPVSRIGGQKRYITLRIFKEKYSGDWHIHFGAKGDPKPVGYFPKSLIRGLVNKPLEITFGGYVNHKKPRLSPPMGSGYLSTSGNAASLSNLKLIDAEGISYIVNVDLPSTDDGKGCYTPSKIDSGKFFYGGSGCID >SECCE5Rv1G0350930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:694745508:694752130:1 gene:SECCE5Rv1G0350930 transcript:SECCE5Rv1G0350930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRPPRSTSGGVEPKIRQVGFVTPGVSAPSEPPAVASAAVSLPGAAAGSPPASDLSPGSLSPVMIPPPRHVDRLSPDSPSPPASDILLLASSAPQPSSMRFAAASEFGEEDSRSLAPSAGELETNKGDLTEIRNEDAPASIPQKQKPSKAERRAIQEAQRAAKAAAKEAGLSGKSTGAASGANPATSKQAKPAKISQKKDVPHAPSTVASEKKVTERQPERDRKKDVPHPRMQFDDVHRVEKAKKRAIVNQSEARNRVELFRHLPQYVHGTQLPDLESKFFQLEPIHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFREAIKDYYTPPNKTLSRDLTAKVSSYVSFFIECRPLSISMGNAIRFLKNRIAKLPITLSESEAKASLQSDIDRFINEKIVVADQVIVSHAITKVRDDDVLLTYGSSSVVEMILEHAHELGRKFRVIVVDSRPKLEGQGLLRRLVAKGINCTYTHINAVSYIMHEVTRVFLGASSILSNGTVYSRVGTASVAMVAHAFGIPVLVCCEAYKFHERVQLDSICANELGDPDVISKIPGRADLGDLKNWADNENLQLLNLTYDATPSDYVSMIITDYGMLPPTSVPVIVREYRKEQLWV >SECCE7Rv1G0477910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:229683709:229687149:-1 gene:SECCE7Rv1G0477910 transcript:SECCE7Rv1G0477910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGILSVDGLKVLHMDRNDYYGGESTSLNLAKIWKRFKGSDTTPDHLGVSKEYNVDMVPKFMMANGALVRVLIRTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEDDPKSHEGLNLHKVTTRELISKYGLEDDTVDFIGHALALHRDDSYLDEPAIDTVKRMKLYSESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDESGKAFGVTSEGETAKCKKVVCDPSYLPDKVKKVGRVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDMYDRYEPVNAAEEDNCFLTTSYDATTHFETTVKDVLALYSKITGKELDLSVDLNAASAGENDAA >SECCE1Rv1G0026900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:375847203:375848300:1 gene:SECCE1Rv1G0026900 transcript:SECCE1Rv1G0026900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEPTAWTRNGCDDVSTVMAIGAREHHVFEFKGYSWMAASMPNGKGIQSAGCFRVGGCTSWHIDFYPNGDHPGSSDFVSLFATLDHASFLDVVKAKVQFDVLDAAGNPVPRYTRITEVHEFVAPDVSIGFDDFIERGELERSLLVKDDSLWVRCTITVVDFLGIEAARPPPLAVPPPDWPQQFRALLCSGQGADVRFWVDGESFDAHRCVLAARSPVFRAELFGAMMESATASHVLRIDDMRADVFWNLLDFIYTDSPPPECKEGDQEAAVMAQHLMVAADRYGMERLKLICEDRLCRCMNVGTAATTLVLADQHRCHRLKKACFQFLKSPSTLHAVMATGEFEHLAKSHPCILKELMLELASR >SECCE1Rv1G0036030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:503981311:503985280:-1 gene:SECCE1Rv1G0036030 transcript:SECCE1Rv1G0036030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPMRRLAAAPSLPAVHPHDSPAPPSVRLRRQHGRVSMERSSTTTARGVSCVMASGKEHAATTSGDEKKGGLRGKLKKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGDIELEGLEKKAKASGASQLVVKDLTEEFVGEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPIPVSKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVSPENAPSKPEYLEIGIVAGVPTSINGKDLSPATLLAKLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELESLTLDRETMQWKDMLALKYAELVYAGRWFDPLRLSMDAFMETITATTTGSVTLKLYKGSVTVASRKSPYSLYREDISSFENGEIYDQADAEGFIRLYGLPTRVRAMLEKGI >SECCE2Rv1G0104500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:607723568:607726911:1 gene:SECCE2Rv1G0104500 transcript:SECCE2Rv1G0104500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVLSSAIHPNLHSPEFVFRHYRGRRAGIVKALTEDVDEFYEQCDPDEKALCLVGLPDGTWEVSQLPEEVPVQLPEPVCGINFARDATPKKVWLSMIAIHSDAWLMSMAFYHAGRVSFDRVAREQLFRLINRLPTVYEAVTGYERQAQSPNGIRKNKSSSQPPNQFTSNCKPVTPAVPMPKEDNYADFNSWTVMGDRPMLKEEDGGKEGVGGEDQAITKCACCDEIYSANDGDLWICCDHCQRWFHGKCVHVTAEMANGIEDYMCPGCCYKATTKAPDSR >SECCE6Rv1G0414750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:636587514:636590318:1 gene:SECCE6Rv1G0414750 transcript:SECCE6Rv1G0414750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRCRLLLHVSFRRRPIHSSAPPQADGEVGAATDTTLLGRLTRLLLLHRFPAVSRLLSSSPLTHAILHAALRRVRLDPDAALHLFRLAPYRPSLLAHAQLLHILAHARRLPAARDLVASLLSARSSSAAPSLFPHLAEVYKDFSFSAASFDLLLRAHADAGQLTDALHVFDEMGKFGCRRTVRSCNRLLNQLVQAGDVGTAVAVFEQMRCDGILPDEFMVAIMAKAYCRDGRVTQAVDFVQDIERMGVEVNLVAYHAVMDGYCGVGQTEAARRILLSLESKGLLPNVVTYTLLVKGYCKEGRVEEAERVVREMKENEKIVVDEVAYGVVINGYCQRGRMEDANRVRAVMIDVGLQVNLFVYNTLINGYCKLGRMVEVEKLLQEMEDRGVSLDKYSYNTLVDGYCRNGSMNKAFGTCDMMVRNGFAGTTLTYNTLLNGFCSRGAIDDALKLWFLMLKRGVVPNEISCSTLLDGFFKAGKTEKALNLWKETLARGLGRNVVIINTVMNGLCKIRRMAEAEELFGRMKEWRCPADSLTYRTLIDGYCKIGDLDRATQIRVDMEHLGFAPSVEMFNSFITGFFVPRQSGKVNDIVVEMTAMGLSPNTVTYGALIAGWCKEGNLHDAYNLYFEMVEKGLAPNLFICSALVSCFYRQGKVDEANLVLQKLVGTDMIPDCSANTLDIGKVAHVIESVAGGNHQSAKIMWNIVIFGLCKLGRVSDATDLFEDLKVKGFVPDNYTYSSLIHGCSASGFVDVAFGLRDAMLGVGLTPSIVTYNSLIYGLCKSGNVQRAVSLFSKLQSKGMSPNAITYNTLIDGHCKDGNTTEAFKLKQKMIEQGIQPNVFTYSILIHGLCTQGYMEEAIKVLDQMIENNVDPNYITYWTLIQGYVRCGNMKEISKLYNEMHIRGLLPANGTGHVKHADPVVICKQPDCKYRQC >SECCE7Rv1G0492160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:544565016:544571033:-1 gene:SECCE7Rv1G0492160 transcript:SECCE7Rv1G0492160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPPSSGAPPAAGNTKRTPKRKPKTKPAAPSALNPNWAQLQSKLPASTFLGKRKRPAPSPPPVPSPIPEAAELSFKLEPTSDDTSLTKALALDCEMVGVGTGGSKSALARATLVNSFGNIVYDEYVRPMERIVDYRTHISGIRPKHMNKAKDFSVVQKDIAELITGRVLVGHALHHDLKVLLLGHPKKDIRDTSEYEIFRREGKRRSLKDLAAQELCVKIQQQEHCPIEDARAAMFIYKKHKKGWEKNKKEQFRFKNKQKKRGNKKSAEANEKDPNVPIVLL >SECCE4Rv1G0240900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448171795:448172283:1 gene:SECCE4Rv1G0240900 transcript:SECCE4Rv1G0240900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMQQGWLSNWLVKHEVVHRSLGFDHRGIETLQIKAGDWDSIAVILYVYGYNYLRSQCAYDVAPGGSLDSVYHLTRIQYGIDNPEEVCIKVFAQKDNPRIPSVFWIWRSVDFQERESYDMVGISYDNHPRLKRILMPESWIGWPLRKDYITPNFYEIQDAH >SECCE4Rv1G0225130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:88096257:88102864:-1 gene:SECCE4Rv1G0225130 transcript:SECCE4Rv1G0225130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNPRRAALLLAAGAAAAGTGRLHNRGDSATVVTVSASAPLRHLLSTAATGLFSSNPLLAPWQGGFPILNSFASASVPPANLSNHASGGNSDDSRCCSGCLGRNSIANAAAAVGPAVVNISCMQENGWVRGKSFGSGTIIDPDGTILTCAHLVADYQSTKPVLKGKVSVSLQDGREFEGVVLNFDHHSDIAVVKIKSKTPLPAARLGSSCKVRPGDWVVALGCPLSLQNTVTAGIVSCVDRKSSDLGLGGIRREYLQTDCAINIGNSGGPLVNLDGEIIGVNVMKLRAADGLSFSVPIDYVVKIVEHFKKNGRVVRPWLGLKMLDLNPMVIAQLKERSSSFPDVSKGVLVPMVTPGSPAERAGFAPGDIVTEFDGKPVETIKEIIDIMGDKVGVPLKVLVKRANNVPVTLTVTPEEADDDRFSA >SECCE5Rv1G0376840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:874083103:874083705:1 gene:SECCE5Rv1G0376840 transcript:SECCE5Rv1G0376840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPRSPGAGAFRFLGLLKQPEAGHDFELDESDVVWPAGGAGAEVDGWPAAPVAEAAVAPRRASARRRSAHAVPQSFGLSSLLAEGGDGVEVQQLHAGMALAVPARPGHGAATPRQSAPVRVPVWPGQAAREKAKRGAKEAEESEEDEEDGEEMVPPHVVAARRHARSSSVLEGAGRTLKGRDLCRVRNAVLRQTGFLDL >SECCE4Rv1G0279810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817002978:817005758:-1 gene:SECCE4Rv1G0279810 transcript:SECCE4Rv1G0279810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILLLLLSSLTPFLAAADVFCDNVKVLAATLPNKSSSSPVHFATATIGQAPDTVYALALCRGDVLNDTACAGCITDVFGIVQNATPPEVECFRAASYYADCILIYNFKDILAPSFTNSTEGENGGDPPFERWNVRNVTGDVPLITGLIHKLLVETVEKAASASPRRFATGVVDSGTNFPKVYSLAQPDLSSGDCLECLQHLLGMINSTMSLRMGGQMGVIRCYFRYDASQFYQGQPMISLGPLAPTPTQHKRRMNKLWVIPIVLIPLAAATFLFILYFRRIRKKGKGEEMRLQGSRRSKDLEGEEPLVWQGNNSEFLVFDFQQLLQATNNFSEENKLGQGGFGAVYKGKLADGLEIAVKRLSSHSGQGFIEFKNEVQLIAKLQHSNLVRLFGCCSLEEEKILVYEYLPNKSLDFFIFDEKRRALLDWSKLVAIVEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFNSDSTEGNTTRRVVGTYGYMSPEYASEGVFSIKSDVFSFGVIIFEILSGKRNSGSQQYGDFINLLGYAWQLWEEGRGIDLLDTSLVPNGQSPKIVRYINIALLCVQENAADRPTMADVIAMLCTDDMNIDEPKHPAYFNIRVGNEESSTATESCSINDMTISVAIPR >SECCE2Rv1G0064120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:1684260:1684925:1 gene:SECCE2Rv1G0064120 transcript:SECCE2Rv1G0064120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTGENRRLTGVREKGEGKFAAAITHPVTKTLLWLGTYKSPEVAACAYDLAARELKGTKAKLNFHYPPPARLVKEVIAAPRHRSHGHDAPLFQVVTSPPDPTAPPPPPPKLVVYFPFPFEAPAGDTPPVPAYPFLHMPLPARAHISMQPAHVHPPAPQPQQPIRRMQIVAQAESCLSSSRDPLGAPSHHMVFNKPKLFVVPVTTNAPTEGADDNFTKP >SECCEUnv1G0541900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98347991:98348398:-1 gene:SECCEUnv1G0541900 transcript:SECCEUnv1G0541900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPFQNWLGMGATIPARIQPGSIDHVPFPTVVSCLGASFFGFTIKPDAAPFRIIIYRPFFPPVPSRMKIVVCMFDFGCRCNRIGGSILWQDQSPGQTKTLLQEELCYAPPDIPIERKSCLVIPRLQHVRSLTPR >SECCE7Rv1G0471130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:128215220:128215580:-1 gene:SECCE7Rv1G0471130 transcript:SECCE7Rv1G0471130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWWERLVLPVRRAWLGVASRFGVRQSGLWRLRQEVSTCEYEDVRVMWEMLSGSASAPPPPPARRHSRFRQPRPWADRLRLCRDI >SECCE6Rv1G0405070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:526757197:526759693:1 gene:SECCE6Rv1G0405070 transcript:SECCE6Rv1G0405070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTVRARIRNPSPAVPHFSGEGRCRQASRVSFRPMASATPVEEPAAAAAVVEAEPRPSGASFIRHHLRSLSAYQPILPFEVLSARLGRKPEDIIKLDANENPYGPPPEVATALGNLKFPYVYPDPESRHLRAALAEDSGLETEHILVGCGADELIDLIMRCVLEPGDKIVDCPPTFTMYEFDASVNAALVIKVPRLPDFSLDIAHIIKKVEQEKPKCIFLTSPNNPDGSVINDEDLLKILDLPVLVVLDEAYVEFSSLQSRMTWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALENPVYLENVKNLLLQERKRLYNLLKGIPYLKPFPSHANFILCEVTSGKDAKKIKEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMEGFKALKL >SECCE4Rv1G0228570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:137243681:137248342:-1 gene:SECCE4Rv1G0228570 transcript:SECCE4Rv1G0228570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRNETPIRENEIRITALGRMRNYIGYGMSLLEENGHDEIIIKAMGRAINKTVMVAELIKRRVAGLHQDTSIESVGITDTWEPLEEGLVPLETTRHVSMITLTLSKKALDTSSPGYQPPIPAEEVKPAFDYEHEGSFPSARGRGRGGPGGGRRGRGRAISNGPPAYDWGEEWEEDGDYYTSRGRGGGRLRGRGRARGFYGGGRRGGYGYDYGYGGRGGYYEERGGYFDGEPDEYHPPPGRGRGRGRRMEQSEYYNGEPDEYRPPPGRGRGRGRWMEQNEYFDEPYEYPPPGRGRGMGRRGGPWRARGRGRGPMLD >SECCE2Rv1G0126000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844394742:844396696:1 gene:SECCE2Rv1G0126000 transcript:SECCE2Rv1G0126000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEGRKTACVTGGSGYIASALIKLLLQKGYAVKTTVRDPDDMEKNSHLKDLQALGRLEIICARLDEEGSFDDAVSGCDYAFLVAAPMNIGSADPERDLIEPAVQGTLNVMRSCVRAGTVKRVILTSSDAGVSRRPLHGGGQMLDEGSWSDVEYLRANKPPTWDYAVSKVLLEKAASKFAEENGMSLATVLPVFTLGAAPVSKARTSVPVTLSLLSGDETQLDILIGLQSVTDSVSICHVDDLCRAEVFVAENESSAGRYICCSHNTTVVHLARLLAEKYPQYNMKPERFAGSQEQPRVCLSSQKLIGEGFEFKHNDLGEIFDDLIEYGRTTGILPY >SECCE4Rv1G0268200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:740506229:740506660:1 gene:SECCE4Rv1G0268200 transcript:SECCE4Rv1G0268200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSQHLLSAVVIFSVLAAAATATSIYTCYEGMGLPVDPLQGCHFYVASQTCGAVPYLPIEVMKDRCCRELAAISWNCRCEGLRVFIDRAFPPSQSQGGGPPQPPLAPRCPTEVRRDFARTLALPGQCNLPTIHGGPYCIFP >SECCE3Rv1G0171440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:271590118:271591614:-1 gene:SECCE3Rv1G0171440 transcript:SECCE3Rv1G0171440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPLLPLALHRVFSLLNRLDSPRHLLQAHAFLLPRGGHRNARLLSALLLASLRLPLRPHALALLRRTHPSVSLNAAARIPHLRGTLGPQLHSIVIRAGLASDAHVSASLIQAYFSCACAASARSVFDETVHKDIFCWNVTISGYVKSGNLACARELFDAMPQRNVVSWTTLIGAYAQMKRPAEAVEVFRRMQVEEGIEPDGIAMLSVLSACGDLRAVDLGEWVHRFVVKRGLCWHIPLMNAVIDMYLKCGCVAKAVEVFEGMGQKSIVTWTTLIAGFALHGLGLEAVDMFRRMERENVAPNDVTFLAILSACSHIGLTDLGRWYFQIMVSQYRIKPRVEHYGCMVDLLGRAGCLMEARDLVKDMPLKANAAIWGALLAAARTHGDAELGEQALLHLIELEPHNSGNYILLSNIYAEQERWDEVSKLRKAMRDGGLRNVPGASSIEVDGVVHEFTSRDGSHPCLHKIRKVLCEITADMKSIGYVAVPPEALHDIEEG >SECCE4Rv1G0229530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:150779977:150782159:1 gene:SECCE4Rv1G0229530 transcript:SECCE4Rv1G0229530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNRPIMAARAIVSLSLILALVAITSGGASAQLSSGFYSSSCPGMLKAVRSALHPAIARERRVGASIVRLFFHDCFVQGCDASLLLDDAPGLRGEKNATPNKNSARGFEVIDAVKAAVEECCPGVVSCADILAVAAEESVVFLGGPSWEVNLGRRDSTTASFNGAENNIPPPTSGLANLTSLFAAQGLSHKDMVALSGAHTIGLARCTNFRDHIYNDTDIDTGFARSRQSGCPRATGSGDNNLAPLDLQTPTVFENAYYKNLVQKRGLLHSDQELFNGGAADALVREYVGSQSAFFNDFVEGMIKMGDITPLTGSNGQIRMNCRRVN >SECCE3Rv1G0205830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:903057322:903059547:-1 gene:SECCE3Rv1G0205830 transcript:SECCE3Rv1G0205830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVATYVAVALAAFLFWQSTRSPVPPPQPEMPPPPPSPGASVFPRAESTVLPDPARFFAPHLLAAPLPTSSFFQNFALNDGDQPEYIHPYLVKSAAAGLKVCYPKTVHSPSFHAQTFVADLTVSSPSDAAAAAGAGWRHVIAGFDDLSVTLDFSRSLRAFLVRGSPFVTVSTMCACRPVDISLASVHAFLEAAPRDDSLTRWRLRMNSGQTFLLYASAPIRLSMSSVTQLAAPGFLGVIRLAFLPDAAMESVLDRYSARYPTGGVAALDRPFSVDYTWWTQGSGDLLMLAHPLHLRLLSEDRRVRVLEDFRYRSIDGDLVGVVGNAWALRTNPVFPTWHSTRGVSEGGVPEIVAALRKDVDELASSPIATTSSYFYGKAVARAARLALIAEEVGCPDVIPAVHGFLNATVTPWLDGSFEGNGFLYDPKWGGLVTRQGMTDTGADFGFGIYNDHHYHLGYFVYAIAVLAKIDPAWGREYMPQACSMVADFMTPTREAGASYTRLRTFDLWKLHSWAGGLTEFGDGRNQESTSEAVNAYYSAALLGLSYGDKPLVSAAATLTALEMLAAQTWWHIREGDAVYEDDFTGNNRLVGVVWANKRDSGLWFAPPEWKECRLGIQLLPIVPISEALFPDVGFVKELVSWTAPALARDGVGDGWKGFVYALEGVYDKESALAKTRALASHDDGNTLTNLLWWLHSRGSPGADAAAAGIAGAAAVDAAGGTAHGMLLDMLAAEESLVST >SECCE5Rv1G0322060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:415735029:415737420:1 gene:SECCE5Rv1G0322060 transcript:SECCE5Rv1G0322060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLASAQLHVAAFSSVSSPSAHSPSVLRGIRGGGRAVRCAAAKEAILYALEHDEMFNSEEVIQWESGKTINSIAAAQGIRIRRRCRPRYPSEGSGDDKAVPRNILEQIVWDKEVEVSQRKAKKPLKSVVESSEHAPPARDFIGALTAAHHRNGVPALIAEVKKASPSRGVLRENFNPVEIAQAYEKNGAACLSILTDEKHFQGSFENLETVRNSGVQCPLLCKEFVIDIWQIYYARSKGADAILLIAAVLPDLDMKYMLRICKNLGMTALIEVHDEKELDRVLRIDGVELIGINNRSLETFVVDTSNTRMLMEKRGDIIKEKGILVVGESGLFTPDDVAYVHSAGVSAVLVGESLIKEEDPGQAIAGLFGKELLS >SECCE5Rv1G0368420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:828763944:828764354:1 gene:SECCE5Rv1G0368420 transcript:SECCE5Rv1G0368420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTIADLLTSIRNADMNKKGTVRVVSTNITENIVKILLREGFIESVRKHQERNRYFLVSTLRHQKRKTRKGIYRTRTFLKRISRPGLRIYTNYQGIPKILGGMGIAILSTSRGIMTDREARLNRIGGEVLCYIW >SECCE7Rv1G0523230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:881393954:881395711:-1 gene:SECCE7Rv1G0523230 transcript:SECCE7Rv1G0523230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIDGGALAFCVLHDLLGAAALLAAHPLHAAYALFFHRGLLALAAFFCPLLLSTALLLVVLLTAAPYVAPGWAGARCLGSTCGVAVAALCAGLRPDGGLGLLGQLCSFVLGPAGVGEIVFVGDVCDVGGGSCFLLEEKSSLYAYAAQEEMEVEPPLQSLSGEEICFLSNGEFHEEDILNFKDEIQEKNVVCEDLRKAPESLSSSSSEHCCPGQTFMPVPEMEEQEKSINNVSLRERKGFISDDAVEERRLECDPVPLSAMETKKPERVLKPRSSISQRIRQWESGNVKSALDEVEDSPVEICFEMESLKDVKEAMPLETESCDQKQSQDHLAQEESDRKESPEEELVDVKEELVRSKVAEECIIGLQVEETASIIGEPPQEQRSEDVQAQEDAQPDGQDQQEDVQEEQEQEDADGRESPPRTTSIARRVHTRTSSENLAGGAGEGSPTKGKEWKRTLACKLYEERIQHKLCRGRAVAGACADDMDMLWEAYETGGGNSAVAVAVAGDTKLKGGGSSKAREESVDEEEEEDEDEDEGPVRQLCCLQALKFSTRKMNLGGGKPSLSKISRVLKRMTALSRVGSRRK >SECCE7Rv1G0474370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:171262175:171263905:-1 gene:SECCE7Rv1G0474370 transcript:SECCE7Rv1G0474370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase (EC 1.11.1.7) [Source: Projected from Oryza sativa (Os03g0234900)] MVRGVHYGGACAVLLAAAVALGLGVRGGAAQLDDKFYDGSCPGVHKIVRRVLREAHKADARIYASLTRLHFHDCFVQGCDASILLDNSTSIVSEKYAKPNNNSVRGFTVVDGVKVALEKACPGVVSCADILAVAAKVSVELSGGPRWRVPLGRRDGTTANLTAANSLLPSPRNNLTMLQRKFAAVGLDDTDLVALSGAHTFGRAQCQFVTDRLYNFSKTGMPDPTLDGGYRAQLAGSCPRRHGNRSALNDLDPTTPDAFDKNYFTNLQGNRGFLQSDQELLAAPGAPTAAIVGRFASDEKAFFTSFAAAMVNMGNIKPLTGGQGEVRRNCRRVNGS >SECCE7Rv1G0474900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:178672255:178672983:-1 gene:SECCE7Rv1G0474900 transcript:SECCE7Rv1G0474900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLLDRSSGSFDYPKRGVYGGRPARPHGAHGSSRTCPQYHHFQKSGAWNSAPAPALPYAYARPPIYSSPSLPLLPSNQPPLLPLPPTATKYATFSYPPPPTPPRTVRPAAPTTVAPAAAPPTSSRQLSQRDRRRRPAKPPAAEPAREQKKKKPLERATPLPPAPAVTEALDDLEQELARSCVQDLLHALAPPPSSLPLPRFSLVVNASPHSATAGKVAPAAPSCNAEAATADGLRRLLRL >SECCE6Rv1G0440300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:803642724:803643988:-1 gene:SECCE6Rv1G0440300 transcript:SECCE6Rv1G0440300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSDGSNSSAHTVEYASSASDDGTIKIPATTEDSDFEGPETELHVLCHEHGKPAERRVAFEGIHTGRKFLCYAEKEGKNCGLLEWIDPAWPNTLENALSKLWFMYEQTKKDRTKDNLMHSFVVHDLTQQKNKLQESYDKLVEDVNGLLDAQQRRAEIERKDLESSKLQEKYNMVKNLAAAQATIIRNMKLKLAKERNNSQIQIDELQKTMEQSNVKLEGIKAIINE >SECCE2Rv1G0082720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:155638681:155639139:1 gene:SECCE2Rv1G0082720 transcript:SECCE2Rv1G0082720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVQARVEEEEEVACECCGFTEECTGPYIAGVRARYGGRWICGLCGDAVAEEMCRASPPLSPAEALDRHACVCGEGRRASAPPSPGDELIAALRLLLRRRLGSPSPPRLVRSTPSSPRRDAVAPAAAVGAGPGPGGPLARTESCFAALVE >SECCE3Rv1G0166470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:186863479:186866778:1 gene:SECCE3Rv1G0166470 transcript:SECCE3Rv1G0166470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAATRPVSSAPVALLAALALLFLVGSASLAMASHVLGGKSENPAAANSLETDGLARFAVDEHNKRENALLEFVRVVEAKEQTVAGTLYHLTLEALEAGRKKVYEAKVWVKPWLDFKELQEFRHTGDATSFTISDLGAKRGGHEPGWRDVPVHDPVVKDAASHAVKSIQQRSNSLLPYELVEIVRAKAEVVEDFAKFDILMKLKRGTKEENMKAEVHKNLEGAFVLNQMQPEHDESSSQ >SECCE5Rv1G0344770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:647417916:647418275:-1 gene:SECCE5Rv1G0344770 transcript:SECCE5Rv1G0344770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDVPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALF >SECCE1Rv1G0049200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:639404847:639407289:1 gene:SECCE1Rv1G0049200 transcript:SECCE1Rv1G0049200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTAVSAIMAIKAKYQVRKNWMGDPCGPGTVMVWDSLTCSQAIASPPRITRVDLSSRSLNGDISSSFANLKVVQYLNLSNNNLVGSIPDVLSQLTSLTVLDLSGNQLNGSIPSGLLVRVQDGSLDLRYGNNRDICTNGNSCQPPTKKEKEKSKLAIYIAIPAVLMVVIVVVVLSCFIRRKGQGSMKHSVRPRNEMITSYPSGDDLYGDSSLRLESRRFTYEELKMITNNFERILGRGGFGYVYDGFLEDGTQVAVKLRSHSSSQGVKEFLAEARILTRIHHKNLVTMIGYCKDGEYLALVYEYMSEGTLHDHIEGSKLNGQCLSWRQRLRIALESAQGLEYLHKGCNPPLVHRDVKATNILLNAKMEARIADFGLSKAFEGDNKHVSTTTLVGTPGYVDPEYQATMQATAKSDVYSFDVVLLEIVTGKPAILREVAPISIIQWARQRMVQGSIESVVDAHMSGLYDVNSVWKVVEIALKCTEYASTQRPTMTSVVVQLQECIDLEEGHTLEDANDGTHSSGGGNNLNSTFDGYFADQSIDIDQNNIAFQPEHNVNRVLAMSTGPIAR >SECCE1Rv1G0007270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39874179:39876182:-1 gene:SECCE1Rv1G0007270 transcript:SECCE1Rv1G0007270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFLCFLLCFGLELAFFTAASDDQLLYHGFTAGTNLTVDEAASVTPNGLLELTNGSLGCKGHAFYPTPLHFRKSHDDTVQSFSVAFVFAIHSNYPIMSRQGLAFVVAPSMNFSSALANQYLGLMNSQNNGNLSNHIFAIELDTVLNIEFKDINTNHVGININSLHSVESFPAGYYDDRNGTFQDMVLASGDAMQVWVDYSGEAKKISVTMAPLQMAKPTRPLISTDYDLSSVLQDPSYIGFSSSGGEVDSRHYVLGWSFGMNKPAPLINTAKLPKLPQPKHQSKLLKIILPIASAIFVFVVGSMVILLVRRKLRYAELKEDWEIEFGPHRFSYKDLFHATEGFKNKHLLGAGGFGKVYKGALPSTKLEVAVKRVSHESRQGLKEFVAEVVSIGRIRHRNLVQLLGYCRRKDELLLVYDYMSNGSLDKYLYCDDQMLMLNWAQRFRIIKDIASGLLYLHEKWEKVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGTDMQTTHVVGTIGYLAPELICTGKATPLTDVFAFGIFLLEVVCGQRPVNSNARGNQPLLVDWVLEHWNKGSLTEAVDTRLQNDYNVDEACLVLKLGLLCAHPFTNARPNMQSIVRYLDGDLQLPDLTDTDMSFSLLSQMQGEGFDRYALSYLSLNTSIGTISGLSGGR >SECCE1Rv1G0057720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694766226:694767377:-1 gene:SECCE1Rv1G0057720 transcript:SECCE1Rv1G0057720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKVIAAANLTDDLVVEILSRVPFKSFCRFKCVCKAWLAFASDPHYHRKLPKIPTGLFHGGNDGSVAELVSMSPNVEEINGALTFLPHHEHLEFVDCCNGLVLCKYKISYASAEACRFIMCNPATREWRSLPVPDTQPDPTEFVGDTFLAFDPSWSAQFYVFNFQEKFDGYWTLGINKLEVFSSDLSTWILDDAWSPFIKICNPHHFIGGVLYVHTDGGDILGLEVTGSGIPPRHFTVKLPHGHSDLVSGCFGQSSGFLQCALPEESGHTIAVFSLDTYHSYKWSLKYRLSMGNAFGRDDFLHSDDEGWYHWLDYQIVALDSERKVLFLVDKVRMKLLSYDIITGKLSEIKDGCRTDYLYYAACYSKLPVLSSFEHRQETC >SECCEUnv1G0532930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:25385541:25386041:1 gene:SECCEUnv1G0532930 transcript:SECCEUnv1G0532930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGREWTSYIPFLLNQETRSDVIPVRLHFSDTLPQARQPISHRRVCLNNGLVTITHLKVSHGDLISFKENDARTRGFEIRRSFYIDISVGKIIGKFLSAISVGKRRGKFLPAIIWRRTKIEWFRLLTTQRGCRLLLKSMELQELRSYMKEEDFEEQRSLDPQKYA >SECCE7Rv1G0489420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:456201732:456205331:-1 gene:SECCE7Rv1G0489420 transcript:SECCE7Rv1G0489420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGGDPSGFVDCAILLQSEIVVDNNPSKSVNTKEQIISITAEKTSATDARGSTSLKSPKGAQEKANFLGKGGEQPYVYQPNVYAPQPQTVYSGGYINPSGQWEEYPYYVSMEGLHSASPSIMLSPGYANNPQMMYGAYSPVSTVGEGQSYSPMHFPFSSPYYQPPASLSMGYSNSGAGMSQGDPMLQQEYFLPDGLLYSPTTVYHQPFGSYNRAATQPSNAPGFGQGNAPLPSGMQHGPMYNSGSYKARQQASKYGGTTPNWGSAGRRFYNFDYNSSQQWGSMPFNIQNGALEFLNEQNRGPRAVKPKKQDKDNSLVDDKSERTTQLIDSELYNRPDFATEYKDAFFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYCAAKQKEDHCRVFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNTLLRHITLENNDNKPVTNSRDTQEVKLEYGLQMLSIFKNHEAETSIVEDFDFYEQREKALKENRRQQQPGSAESLKPTDGKAVGNSVTHIADSFSRSVQLKEIEKSDNKLADAQTATVKAEESNANKTTGSVEESS >SECCE1Rv1G0063570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:725866519:725869774:-1 gene:SECCE1Rv1G0063570 transcript:SECCE1Rv1G0063570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGGEDKVMGPLFPRLHVNDTTLKGGGPRAPPRNKMALYEQFSVPSQRFAANAANTAPAAAHRPAASYAAVSSASAGQIGGVDRPLFPSFCVPSNEPVRLPQHIKANDGHATSGRLSTQLKSKDAYAAGSTAECTSSHRRDSNSNNVKNSSGNKLTNDDDFTVPSVFCSGVRPRSNHEEVRIQENATPFPATSPYKTTPTAAKFPNTDKSYLEGRNASDTRSRDSPSIIRDKAPANTTTNFLEAQERTSSFQFSADKTTGKRDDKVSSYARVKEPSSINVSDKQHSRNEGHQARARNENAAESQNAPKAGNGPYSTDIACNGASNLSEKGLRETGEKRKRSTGHDDVQRDDSSDSSVESLPELEISPDDVVGAIGPKHFWKARRAIVNQQRVFAVQVFELHRLIKVQKLIAASPHLLIEGDPCLGSALVTSKKKTAAANVEKQLLSAKSNDDDDAQLTLQQAEYSKDNTEGNQASPSQDNDVVEVRHENQVASNGAVSSNPPAMPAPPDNKQNNWCAPPPQNQWLVPVMSPSEGFVYKPYTGPCPPAGSILAPFYASCAPLSLPSTAGEFMNSPYGIPMPHQPQHMGVGGPPAMPPMYFPPFSVPVMNPVVSASAVEQVSRVAAVRPNTHVEHHSRSSCNMRNEAVSAGGVWRFHSSRGSELQGSSAASSPFDRQQGQGEARGPAAAAPAAPLPTSSAGNGNAAQQPQVSSGSQENPVAVAAARVIRVVPHTARTASESAARIFRSIQMERQQNGP >SECCE2Rv1G0108010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:656973458:656974968:1 gene:SECCE2Rv1G0108010 transcript:SECCE2Rv1G0108010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICAGFDSYRASPADMRVVTSDGQSIAAHSYVLASASPVLERMIDRAQRGWGTECTVPVLGVSFDAVHAFIHFLYSSKSKVVPAEEEAVGANWAQLLALAHAYRVGWLKRAAEAAVSARLTPERAVDMLKLARLCDAPRLYMRCARLAAKEFAAVEQSDGWRFARRHDAALELELLTLLENADQRRERWARERAAQEACRQLGEAMASLDHVFPGDSGAKAACAAMDAPCARAGCTCRGLQLLMRHFATCSKKMAPGGCARCMRMLQLFRLHASVCDRPDRACRVPLCSHFKAKAQTGKADKTWRLLVKKVTRAKVMSSLAERKVVPEVVAESWARYNNRRGAKLR >SECCE3Rv1G0206420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:908718772:908719746:1 gene:SECCE3Rv1G0206420 transcript:SECCE3Rv1G0206420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLGGKERGRGGAPTRPIAAIPVAGGGNRRMALEVRKAGLMDKAKELAEMADAPVAVLCSGLDGQGALQCWPSTETARAVVGQFLELPKEARITFDQANYIAGVRDAQGYRLAGVREGGLAAALGPWASPLDGMSEDALRELLASVGKSLAATRSRIRRLQSQREESVAENFVLAVAGEGHPSGEVQGTRRQPRGAATAPGAARKKQLVEKPAAAADGAARKKQLVEKPSAAADGAARRKQMVEHTRKKPVPAAPFVPGVIEYYINVGGCVMERDAYDFIRHDLGMLPPSLEPEPPEPDYDEPLRLWSWDSSSFPHSAPPLR >SECCE5Rv1G0361580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780269273:780270715:-1 gene:SECCE5Rv1G0361580 transcript:SECCE5Rv1G0361580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELPPSKKKSAPTTISCLGDDLLCEVFLRLPSLPTLVRAALTCPAFLRAVRSSPKFRRRFRDLHPPPLLGVFLDIYKPAMPAFVPIRCRSDPDHAAAVRGADVFLTRVPDVEEEDDEEEDDADVFVTRAPDGEGDDEDEGQGEGEGNDEVEEEDEGEGDDEVEDEDEGEDEDEDESEVDKHRWSMAGYRAAEGEGDSPRWSMTECRDGYVVLVNETVDGGTKRVAVYDPLTRGLHLLPAPPEEICGDPEDTAVEFHVVTPEEDRRSLRVVCIGKKREELRVAVFSLGSRKWQISPEAVSLQLYGEDNGTLVNGCVYWATGADIHVLNTATLQFSRIERHPHMRQGFKIGETSDGELCVAEATSSPGLYVWVRRADDSGVEKWIQDRPFGMDAIGELELEFVDELPVLSLHVVAIIGGTVYLSIFQPSLPSASGWFLYFCIETEELKKVCPISRSESSYPYLMAWPPVLVSNKANSLG >SECCE3Rv1G0201760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:867443579:867444982:1 gene:SECCE3Rv1G0201760 transcript:SECCE3Rv1G0201760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPQPQQKDKAAHEVPRATVASAVASLAKWMRERAAEAPPNLLADERDDLVVLQLSLRRVPPKPTTKPHLLPLPHPVVAHSAASVCVISDDRAGSGSPSPAAILDAARSLKLPVSEVVPFSALRTDYRPYESRRRFAASYDLFLADRALLPMLPRLLGKAFYSTKKAPIAVDFTRAGWPEQVRKVLSSTFLYLRTGTCSGMKVGRLDMEEGEIVENVIAAVEAAVEKVPKKWANVRALHLKAVDSVALPIYQAVPELGMKIEVPVGRLEAGDGAGEVIDAAQVETGSKRKDKKMKALKNADANGGAEGLKYKRKRNKKDQTEDVVMEEAQEASEKRRKKDGAPLVELSAGEGLKTPKKSKDNKRALDMEVEDASPAEKKGRKSEEASNKKSKGKKEGSKQVPEEAEDVGSKKNKGKKGEVKEGKKKKKSMKGGSDDGEVLLDGEITPAAVKADKRRRVCKGKLCC >SECCE3Rv1G0201880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:867883503:867885443:1 gene:SECCE3Rv1G0201880 transcript:SECCE3Rv1G0201880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVKCTSKRSKSEPPIHSLPEGIMHDIISRLTLKDAVRASLVSTNWRRLWACHSNLCFDSPMILNREYGTISRSSSRRGRRRRRFIRRINAILESHSGTRLRRFDITFALDTRHTEHLDRWLKFALDSRASEIAINLHPVFYKDSVRSYEWEDTYTLPFHMFSSQGASYIESLQLTFASLKLPGDFDGPLNLRVLDLQTVHVSEGDLELLLSKCSALECLRLGRCYPLLNLKVQKPLCRLRNLSVRGCQLQVMEFSAPNLAKFEYSGQAIPITLSESMKPTEATVTLLGYDDTLEHVFTELPKTLSRVETLSVNTCINTEVVGFSNCPMKFTHLIKLEVTVSILGDSRIRNGILRLVSLLEVAPLLQRLELNMLPHVSVGVSNEPEAYWHFQPCAHRHLEQFEVSGFIGLRGQLEVVLCILDNAVALRRMSIEPRVTAYDRVFGHWTGFEHNIGKGRACALAFFSPEDYPGVQIEIF >SECCE7Rv1G0482410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:304443169:304443624:-1 gene:SECCE7Rv1G0482410 transcript:SECCE7Rv1G0482410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALCAKHMGLSGGVKCQRRARVRVSAVAAAAERATMYDVLAVGKAAGPEEIKAAYRRAALRWHPDACPGGADRFMRAREAYEVLSDPERRRGYDIQLRCGAGAAGSRPAPRAGFADWEAQLAGLQWRMADTRGETWGSRMRRGAAQPTPF >SECCE6Rv1G0407150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:554820439:554823586:-1 gene:SECCE6Rv1G0407150 transcript:SECCE6Rv1G0407150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKEVSREAKVNKKLALGLGVKRKQLKKKKKDRVLDAAVESKGAAGHAVAEDKESKKIALMKQKKKTKHAKVISRRSKADNLVTLNEDETTPKLKKKSKKQLKESKSPIEVQSPLNDAGTMKLKKKKRKAKEGKSSVEPNDADAILHENPDEETLNADVNQLAAESEVMDIGEPEKAKKRKKNKTEKVKQSGEVNTTDMQVSVREDNLEVHEVDTANVDEIASVDEDCSRGMKKWILEYRQKRPGLKVLQENIDVFITAHEEQQEREKKEREAAAAEDGWTVVMHHKGRKKTTDAETGTAVGSVSLAAMQEKMAQKKPKEVGQNFYRHQKREAQMSELAMLQSKFEQDKKRIQQLRAQRKFKPYGF >SECCE4Rv1G0284060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838152379:838157388:1 gene:SECCE4Rv1G0284060 transcript:SECCE4Rv1G0284060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAHGPLFEAQQEGKPHVAGRATAGALSLTRHPFRSRATHQTMLLLRASPAPAPAPAIAVPISSFSTASYTSRIPIPSSFSTVARCSVGNLSPVQFEPLRADADPDPAFFQAAAATADGEDQDQPQPQPQQQQQEHGGDEDQADKEEGKKGGINVPRQRYIAVPKAALLDAALSLFPSQPAAAEDFRRFARCLDAVLHAEHKETLEQMRAYYMLPDNEEEDEKRGKVSGGNQASATVNGRGSGLFGMSQDGSDDDDRMSFLSRSLDWKTLLGLSPDPAPISPTRVAFATHFQRAFMNLLRNAQFEELSAQDLLLTYSLNSDYLLTLPVYVDWKKAAESNAIIFRRGYSTESQKGLLLVEKLDYLQSKLLQKIFFSLSKPLGKLGKWTNEALKKSTGSPGFQIWIDKLKLWLKEQTYAENSLLLIENYSRGKLTPDQLPDADLPIWIAAQRAVSRYEGFLSPVGPRGRLLRRVLTWTGLIPSLPEATLKSDTETKQSEGYVRPNFLPRITLANIWEPASRESCDNNIWEIMKASFGILFAKSTLQEPAFEELIILYSDDSAQSNEKESSEMQMLPPQLKIYEKIPIPDLPVVFPHKKLSFRILDTVRLDIATVIGLLAYAVNYKFESLASSPSAFLLDLAAFTALAILVFRVTLGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQYKEALLAYAMLLGRNKYQVSSRASIRDMCEQFMYEKFNAKIEMPIDKAMETLLRLGLVVELSTNGSSSSVIALPCPDAYEILKSRWDSLLEHTT >SECCE3Rv1G0202990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:879655910:879662294:-1 gene:SECCE3Rv1G0202990 transcript:SECCE3Rv1G0202990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIISEIYQLPADGEPNDRRIGKLCDYVSRNPSRIPKITEYLEQRCYKELRHDNFTLAKVVPCIYRKLLRSCKEHTPLLATSTLCIVRTLLDQKSSDDLQILGCLLLVDFLDGQVDSTHMFSLEGMIPKLCKIAEEPREDDKGIRLRSAALQALASMVEYMGDHSHISMELDEVVSVIISCYEANQTLSIKEVVRFQDDDDLTMLAVSGQNNAKVAADTMAASENPAHWARVCLRNMANIAKEATTVRRILDPLFRLFDSHNYWSPESGVALSVLQEMQTLMDKSGQNGHLLLSFTIKHIDHKSVAKMPTKQISIVKVASHLAKQAKSHASVTIASAISDLIKHLRKCMYRAVEASNAQADIDKWNSELYVALEECLVQLTEKVGDVAPILDMVSVMLENLSYTANIARTTVSSVYRTAQIAAYVYKSSYNQKAFPEALYHQLLLAMMHPDNKTRIGSHRVLSTIVAPSLLCPWSAIGFPVPMKVNGSQSVLLLALSAFSSETIMDELQSKSRIKESLQENEKPEAVVSAENGYAHTEPNTRQSSGNPYFNDRLSTFKDNSKLMKLNNGQLVLLLSSIWSQASLEDNSPSNFETMGHTYNVALLCSKAKTSSHVALVRCFQLAFSLRRLSLNQDNVVQPSRRRCLYTMASAMLIFSAKVADIPQITHLVKAAVPEEMVDPHLCLIDDCRLTVTSAQSSNSGMLYGSEEDESDAQVFLSAVNKDDTQLKDIVISHFKRKFENSPEKFDGIEEQLLQEFSLDDSFPLGAPLFMETPHSCSMYAEKDDHCFDEDVIPCEMDDDDDIVFEHSGSQSDRKTSGSMASSDVLNVNQLMESVHETARQVANIPVSTNPVSYDQMKSQCESLVMEKQQKMSVLMSFKHSRTDSRSSIGENGPETNESSAQSEPESHLTRKDYMRRNDSTSSDDRSFRLPPASPYDKFLKAAGR >SECCE3Rv1G0185420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:674443941:674444399:1 gene:SECCE3Rv1G0185420 transcript:SECCE3Rv1G0185420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPLLEMVIRGLPLLLDDVDLAQSLLDLVHAEIDRTEVRLGEVAARLEPILRLALELEVTRQALPVATEELLAANEASFHALQRQQRHLTQAVQVLLVLRAAAYTRSRSHLVPGVLLAAASAAVAPGFRTFVRFSVLVLGFLFASGRGRGG >SECCE7Rv1G0464600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:62639148:62641951:1 gene:SECCE7Rv1G0464600 transcript:SECCE7Rv1G0464600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEENLEVGESSPKSVQNSLEPKPTEGTNEDDNSNLEKIACANLETPVHQELPASLILSDEESNLQEISVEQRAPIGDSIIGSSEVDHSGLPSIKEDPHSFPSASNEVDESKDAISDLMTIQSSEENTHATPQTSVSSRDEVQCAPLHNVQDGASCSDSEKTACEAPPDILQKVKEDKPRFMHRLPDRQMSLRDTRQKISAPVRRLNSGNYSRTDNFFVDTTKPIESVKVAASRFGGSINWKTRKTEPVQVSEHVKLEVSMLKNEISDCKQQAEAAEAAKLSVFNEIERTNKLIEDLKHDLERAEHEEAHAKEDLDFYQFIVPEMEKGGASSDDSVAGEEILKNIQERHKVLVSKLKLVNDELKGVQEDYDSLLIEQDISIEKSQVAIIVSKESEKQAEELTVELNKLKEVLDLARATCHDAEKHKACASLARDEDRLKWEKDLGQAEEELCQLNKKLSSVEDLKSELETSSSLLVKRNEELNAYVEAKLIEEAQEEGNRTDETMQEETILPRNELEEHMKSIDKVRDEVCALKVAAASLQSELSKEKEAVATMQQLEAMASITITSLKAEIKLAQQELETVQAKEKKYRDRMGELPGLLQAAALEADEAKSVAMKAQETLRTSKGEMEQAKADLSAMEFRLQAVLKETEAAKESERLSLDALRALEESELAASIAEQGSPGMITLDFHEHASLIEKSHQAEELVHEKISFAVAQVEMAKESESLVLAKLSEVYKVLEERREALLAARKQADSATEGKLAMEQELRTWREEHGERRKATAEALKSETKHSNPVAVVVERDRDAKGTCKEDSCALVHPLSSDMSARSSPAGSGLREKAKKAKKPPFLRRMMMFLARRRLKGAA >SECCE2Rv1G0142130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:938673862:938678596:1 gene:SECCE2Rv1G0142130 transcript:SECCE2Rv1G0142130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTRRVNALRVEVPDGNADAANAPTAKRILDPKDEVWVFADEGTSAGDGNQPPLFRTMKVKGSILHPYRFLILVRLVAVVAFFVWRLEHRNHDGMWLWATSMVADAWFGFSWLLNQLTKLNPIKHVPDLTALADQYGEAILPGIDVFVTTVDPVDEPVLYTVNTVLSILAADYPIDKYACYLSDDGGTLVHYEAMTQVASFAALWAPFCRKHCIEPRSPENYFGMKAQPYAGSMAGDFTRDRRRVRREYDEFMVRIDSLSTTIRQRSDAYNNEDGEHATRMADGALWPGTWIEPAENHRRAHHAGIVQVILDHPGCKPQLGSSASTDNPFDFSKVDMRLPMLVYISREKRPGYDNQKKAGAMNAMLRVSALLSNAPFIINFDCDHYINNSQALRAPMCFMLDPRDGQNTAFVQFPQRFDDVDPTDRYANHNRVFFDGTMLALNGLQGPSYLGTGTMFRRVTLYGMEPPRYRAENIKLVGNADEFGKSASFTNSMPDGAIQERSITPVLVDEGLSNDLAALITCSYEDGSSWGRDIGWVYNIATEDVVTGFRIHRQGWRSVYCSMEPAAFRGTAPINLTERLYQVLRWSGGSLEVFFSHNNALMAGRRLHPLQRIAYFNMSTYPIVTVFILAYNFFPVMWLLSEQLYIQRPFGTYIAYLIAVIAMMHVIGMLEVKWAGITLLDWCRNEQFYLIAATGVYPTAVLYMALKLVTGKGMHFRLTSKQTEACSRDKFADLYTVRWVPLLIPTAAVVVVNVAAVGAAIGKAATWGFSTDQARHVLLGMLFNVGTLVLLYPFALGIMGQRGKTPVILFLLLLMAIAAVGLLYVTLYVPYPQEAAVSLLVKHL >SECCE5Rv1G0349810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:686789053:686789709:1 gene:SECCE5Rv1G0349810 transcript:SECCE5Rv1G0349810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVPRPVPPQLGHHATTSPHLPMPRPHPAVGTAFHPPKRVVALVRWSRPPLGWCKLNFDGSVKHDGSRRVSIGGVIRNSSGHAIVAYAERTGHAGVGVVEARALMHGLELALRMGCRSLVVEGDDLTLVRLLRRESRHTRIPSAMRDEIVRLLGCFRVCHIQHVYREGNQVADTLCHEAYRCPDVWTMDRPLPLAVRAKVECNRRGVVYERLQPA >SECCE2Rv1G0140730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932403409:932405400:-1 gene:SECCE2Rv1G0140730 transcript:SECCE2Rv1G0140730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSQELSIENKYAGFCRVEKQKVAEIQLQLLPYDVLRNILSRLSIKDVVRMSMLSPEWRQQPICHPDLVFTKDTFGISTDPDLDFTKDINGIIRDADTKRASWTAEFIVNVDSILRPLWSTSTTTTTTLDKFSVEFGLRRKHKYHIDRWVDFSTASRAKHIAFDFTFDVNCVGGKCDQYKYVFPVCKLCGPNGSCVTSLDLGYVWLKLPPSFCGIINLRKLTMKKVSISGGDLQCLLLSCAVLESINIERCSSFSSLRIRQELCRLQYMRVRHCKLEIIELHAPNLTEFEFDEDLAQIVLSDCLRLSEATFVSNLRTQEFNDYDFDDLAFTFTELALPHVQKLFILLNFDQVLRFSENQTSFINLRHLNINLELAWDPYDDSWATGFVHLLQLAPLLEELEMHLGRDRFCPPTMRMVTATQGPLHHHLKSVHMSGFCDVLGLAELALYILGNATVLQRMVVDPVAYAHTLRTDDIYSVSKAGSIEGDHYHVHQNRMFAKKILGSEEFRHIVTIL >SECCE2Rv1G0133140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894989701:894990003:1 gene:SECCE2Rv1G0133140 transcript:SECCE2Rv1G0133140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGKATSSSRAAAMSVGAGTVEALIKERHAGLCRLNGHALQQRTGSTNAAARNGACTNGKVPLAAGGAAARRRKARQEQQEEELRTVMYLSNWGPNN >SECCE5Rv1G0369730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:837418410:837424429:-1 gene:SECCE5Rv1G0369730 transcript:SECCE5Rv1G0369730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHALPLAEAAAAAAHAALLALALLLLLLRAARALASRCASCLKPPRRAAADGGLAAAPLASSPPAAGGARFRAALACCGYVLLAQLAALTYEVAAAPAPVQAEALLLPAVQALAWAVLLALALRARAGGRGRFPALVRVWWVLAFGLSAAIAFDDSRRLMGGADHHDDADYAHMVANFASLPALAFLCLVGVMGSSGVELESSDDDTGVHEPLLLGSQRRDAEEEPGCLRVTPYGDAGILSLATLSWLSPLLSVGAKRPLELADIPLLAHKDRAKFCYKAMSSHYERQRLECPDKEPSLAWAILKSFWREAVINGAFAAVNTVVSYVGPYLISYFVDYLSGKIAFPHEGYILASVFFVSKLIETLTARQWYLGVDVMGIHVKSGLTAMVYRKGLRLSNASKQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIATVSTLIATALSIAASVPVAKLQEHYQDKLMAAKDERMRKTAECLKSMRILKLQAWEDRYRIMLEEMRNVECRWLKWALYSQAAVTFVFWSSPIFVSVITFGTCILLGGELTAGGVLSALATFRILQEPLRNFPDLISMIAQTRVSLDRLSHFLRQEELPDDATISVPQGSTDKAIDIRDGSFSWNPSCSNPTLSDVQLSVVRGMRVAVCGVIGSGKSSLLSSILGEIPRLSGQVRVSGTAAYVSQTAWIQSGNIEENVLFGTPMDRPRYKRVLEACSLKKDLQLLQYGDQTVIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSDLFKDYILGALATKTVIYVTHQVEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVSAHNEAIETMDFGEDSDGDIAPSVPNKRLTPSVSNIDNLKNKVSENGKSSSTRGIKDKKKSEERKKKRTVQEEERERGRVSLNVYLTYMGEAYKGSLIPLIVLAQTMFQVLQIASNWWMAWANPQTEGDAPKTSSVVLLVVYMCLAFGSSLFVFVRSLLVATFGLAAAQKLFIKMLRCVFRAPMSFFDTTPSGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLFLIVPMAMACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELVSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKIPSEAPLIIENCRPPSSWPENGNIELIDLKVRYKDDLPFVLHGVSCIFPGGKKIGIVGRTGSGKSTLIQALFRLIEPSGGKIIIDNIDVSAIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEERSDQEIWEALEKCQLGEVIRSKEEKLDSPVLENGDNWSVGQRQLIALGRALLKQARILVLDEATASVDTATDNLIQKIIRSEFRDCTVCTIAHRIPTVIDSDLVMVLSDGKIAEFDTPQRLLEDKSSMFMQLVSEYSTRASCI >SECCE5Rv1G0331320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538095367:538095888:1 gene:SECCE5Rv1G0331320 transcript:SECCE5Rv1G0331320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGSSISNQKTLYEVLSVPEDATYDEIRTAYKCAALNTHPDKAQPTLESSVSPGEQHGFFGVQKAWETLRYPKCRAEYDKQLQSSRQSLDVIATDIEIEDMIVESSDDGLELLYACRCGDYFSITACELGEMGILVSEDGEIEARAPDSLSTSVVLGCGSCSLKTRLVINKT >SECCE4Rv1G0251820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:608131315:608132477:-1 gene:SECCE4Rv1G0251820 transcript:SECCE4Rv1G0251820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLKVQHQEQQLNLPPGFRFQPTDGEIITFYLVPKVLKKVFDTTVVGEVDLNKCEPWDLLKKVNMGEKGRYFFSQKDLKYSTGIRTNRATKAGYWKATGKDKEIVHPSTMSIISMKKTLVFYKGRAPKGEKTNWLMHEYRLKSGKHPTPDLPNDITKATIINESSKGEYVVCRIFHKSTGLKKVMMPSYDMSIPMSMEEEQQHSLLNSSTLFPPMDYDTLSSLAVPAPLPAASLYQMHTIEDGSFVMGSVVLPMMNNHYFGNHYQQMMALPQLSMPFYNQHHQQEMLEMSVDQGFMVGVDPGSGSSSILCHEDVVTWLGNNNLGNSGTTTSGEISSLNMGMDGMWNY >SECCE7Rv1G0464680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:63442239:63444329:-1 gene:SECCE7Rv1G0464680 transcript:SECCE7Rv1G0464680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G37560) UniProtKB/Swiss-Prot;Acc:Q38899] MAPRGGHAAASSGSEDEEEEAEAGFSRSYFLAKEKEPSSAKKRARAAAAGKLSDLNLVEEQVLRVSLAEIPPKHEKEVEALTRSYKEQYRNWLFELRCGFGLLMYGFGSKKLLLEDFASTTLTDFTVIVVNGYLPSINLKQVLATIAEMFWEQTKLKRKRQSGTRSQPSQTFASQSMDDIISFLNNQTSEDGVDGVCLLIHNIDGPALRDAESQQCLAQVSCCPQIHIVASVDHVNAPLLWDKKMVHTQFKWSWYHVPTFAPYKVECVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFRVLAEYQLANEKEEGMPVSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLIKIKKHSDGQDCLHIPLVPDALGKLLQELA >SECCE4Rv1G0239630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:414954956:414965730:1 gene:SECCE4Rv1G0239630 transcript:SECCE4Rv1G0239630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVNFIIRPPRADYSPDDDLLEQEFMLKGRWFQRKDLEVVNSQGKKLQCSYYIPAVIPEGTALPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSEGEHVTLGWNEKEDLKAVVNYLRTDGNISCIALWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKIVKKKASFDIMDLDTIQVAKRCFVPALFGHATEDDFILPHHSDKICEAYVGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPDVPDDHYFLTPHGSLGQGHWDTEHDIEYRIAQSPTAPSTTTEDAIAQLRSRRLMSRMEVPSGTATEGRADRTEVLDSDVGPSSSSASTVTPPNGRNGRMLTPTSDDGEYVEYSFDSVSDMPYTEEDEDRMLMQAILESLKDLDKSNTKNSQSAVSDVASKENSVAPDVVALETDASSISVRGADAPGKDVAARDSVANTASVQSADRCTVNDAVSANVSGASEANGSTQVINGKSGLAESQKTTQDMNGKFGSAESHKPTQNPNGEDGTRATLVVQKSRTGSLIDGLTQKWGSFFKNND >SECCE1Rv1G0028430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:400772313:400776694:1 gene:SECCE1Rv1G0028430 transcript:SECCE1Rv1G0028430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAGDDPESAGTRPPASSVWGASSGRWTRSRGRLLAAENTGPAQSVEDSRGDRRGVEGFSAKKKRAAAGSERMLSAEEVSLAARPLPPPEPPFPKSGQHDDVVAWSEDLMKLGDEDSSLLTIRAVRGTKSVKSAMSLDDRDMILDVACSVVNVSATEPDGNVVVYCTGIITEYDEVGKCAKILSSSSIMCSDEGKLRDPNQKVSVHLSNDTVVEARVLFFNDHYGVSFLDINTEFPLVPATLGSKPYYGQNVFVLDRDDEYALVVSRGSILYLEKPLFERNHYMFASYNSSLTCICGPVIDNNGEVIGLITSHLPQSAILSISIVKKCIQMWNKFGRIAHPIHHLELKTVRMLDTVYRDELRARHSIKSGFIAAQVCPDSTVEKIGLRRGDVIDLIELDHRSTVVELEEFLLGLGWDFLEKKLDSHSTIDVKIRVHDIRAKTSVCTILPMGFSDAVVRSYH >SECCE7Rv1G0505400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:735299368:735303150:-1 gene:SECCE7Rv1G0505400 transcript:SECCE7Rv1G0505400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEPECVNSSNPAHECNDYCLNKIAEAKRRLLEELPDSWKRAPEDRTVHPDCINASNPYHDCSEYCFKKIADANAAAERGEPEKPAGGSGKSGVAPEQADGDDDSGPQEAAAAADNGYPQMTEKQKKLFELQLKMNEARKANQQAMVAEKKRMEPRGESRGVSKEKWLEDRKKKIGKLLDSNGLDMSKSYMLDTQDMAEAKYKKWEKEPAPHGWDVFNQKTLYDAYKKRTKNIQVDMDAYNRAKDTDPEFYREASSLQYGKVSRVAEPNIDKMVNELKERDEKRKAFSRRRKFNEDKDVDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >SECCE5Rv1G0349320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682903333:682922815:-1 gene:SECCE5Rv1G0349320 transcript:SECCE5Rv1G0349320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFALGLTKTAVEGTVSLVKSVVEEESELRETVQKDLVFITDEFEMMQSFLRVAKKERVNNEVVLTWVRQLRKLAFDVEDCVEFVIHLDNKSRWRAWLRRMWYKVYCGAPLPPLDAAVAEIKQLKARVEDVSQRNTRYNLISDSGSKSEGASLVEEATSDGPSAYDILREVWEDAGKLRGMVDLQELIARPCSDLRVISLWGSTGGDVETKSVLMKAYCDLGICQQFENRAWVNLKNHAWVKLMHPFNSDEFLRCLLTQFYASSHKEDEGVEHLKKAELMQKVNEGRYLIILEEVSTMLEWEAIRMCLPDSQNGSRIVVSTQHLGIARLCTGEPYLISELRQYSDGQSLCALFRKISMRPSDMGELKWKISRGGIFSVCGRGQRKSVMVRKLVRSIIEKKEEFDGLAFRGYRWVRMVHPFNLKDFTRRLCSSLHESKFDYLDEFDSLDRFENKDLQEFFKKEDKDLIDECHREFLTENDCLLVINGLQSKDEWDSIKNTIFSDTTSCCIIVITQEEAVAKHCVENVKERILQIDDRESKAAEGPVFASGKEEAHEWVINFQLVGRVTEQQHLTYHLRGSGVVPVWGVAGAGKSCLIRNIFSRLMIGLRVGYTSYGLYRFASSFTKYSWVDVPHPFNLTDLSRRLLLDFHSHDLQIKEAVAVGIIEGQDTIQWCRRILSQHECLVVLDGLRSTHDWDLIKATLLPGSTTSCIVVITNEQKVASHCLRTEHLSEDQMVNIKGLEPNVALDLFKKIAQVDQSWTEHRMKLSKLITGQCGGLPKIIHHVGKYSNRVHEGGLLKISRDVMGFLDKDCSIHLGDLFGWMQSYFNACSDSLKPCIFYLLVFSPDHNIRRSRLLRRWLAEGYSRNSSSMSAEENGEKLFSELVELSIIQQEGKALMCHSGFFHEYIISRQMEDNLVFALEGFCDERLQRSGQHLTIRKNWHRDEMVFKSIDFSRLRSLTVFGEWKSFLINRSMRILRVLDLENTSGVTNGNLEDILKILSRLKFLSLRGCRDLTCLPQSLGGLRQLLFLNVKHTSIVAIPPAVINLEKLQYVGAGTTKSWKDGGSIVEAGDEYRASPPEVTITSLSSPPPEVTITSSSPPPPEVTITSSSSPPPEVTITSSSPPPPEVTVTSSLLPPPPPTAVKASWCIPAILVSKFHGCRSDDDGVKVPLGIGKVKALHNLGVVNVSGAGGKATLNELKYLIQLRKLRVSGINREKWRELCCAISDHFHLKSISVRFNEDCLEDKHQLPKNLKILKLSGRVRVLPEWIRKDHRDLSLDVEMAISCQKDLDDLAGSPSAAKLRILCVKPTQESISFALPERSLKVLRIDCTPTLQQVTFGSWSVRQLMINCSSGLRVSGVEELFLLEEVWLMGSYGDDLVRDLRQKLDRITRREVKTILKLVEPATSC >SECCE4Rv1G0268930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:746016615:746017824:1 gene:SECCE4Rv1G0268930 transcript:SECCE4Rv1G0268930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQADTIEVPTDAELLQAQADLWRHSLYYLSSMGLRCAVQLGIPTAIHRLSGVASLPDLMATLCLPSVKMPFLSRLMRVLVMSGVFAADKDSESGGELYRLTPLSRILVDGITDEHHSQKYFVLGVTAPHCTEAALGLADWFKKDLEPPVPSPFEDMHGAPIFDERTPLMDEEFDAVANQGLAAHDNLGIATILRECGDIFKGLDSLTDCCGGDGTTARALVKAYPHIKCTVLDFPKVIDKAPTDGIINYVAGDLFHTVPSSQAVMLKLVLHFWSDEDCVKILTQCKKAIPPHDEGGKVIIIDIVIGPSLGPIMFEAQLLMDMLMMVNTRGVQRSENDWRKLFMEAGFKDYKIVKKLGARCVIEVYP >SECCE5Rv1G0367070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819286496:819289661:-1 gene:SECCE5Rv1G0367070 transcript:SECCE5Rv1G0367070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMTKKEIGQTHDVLRFGVNDSVRGDLAPAHPVQATIHKETKFWDDKKRFGTEAIYGSAFNIRKDLDAQILSRFQRPPGALPSSMLGYEAMTGSLDDFGFEDYLNLPQDSDSLRIPDMHHGMEVRLGLSKGPVCPSFN >SECCE6Rv1G0410120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:595207697:595208611:1 gene:SECCE6Rv1G0410120 transcript:SECCE6Rv1G0410120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRGSSGTATDFLVCFPPRAHLALMPPKTACSPSRPSASSERRHSTSGARPSSSGAHLRPAANRNPSRRHHAVDVGEDNEPSSPKVTCAGQIKVSRSAKPKGGGAAGGKKKTTWMQALGIKKDALPFLNALHGAFRLNVSGCFGRFPGAVVEYTSGEEDEEEEEQVERAGKETDRHGDALAKWFMVLEEGKRVPRTKQEQELKPGEEEEEDAAPPANALMLMRCRSAPAKGLARRLGAADAGEDEEAESDNKKAGEEEAEKENLVVMRYPPDFFQVSMDIAKETWIVGGDDSVLRCRSWKK >SECCE1Rv1G0010270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:61468247:61470464:1 gene:SECCE1Rv1G0010270 transcript:SECCE1Rv1G0010270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICDQDLAAREENSSSMLPFYMQRVFRIARAEGLEISWWAQGGGSVERHMHWGIRTVKCFSCFRPEKKTSPRRTGSGEVATSPVANAAVSTRSGASLTESEAPKHRQSSETRANGEPTESSAMARNAKAFTYRELATATKNFRSDYLLGEGGFGRVYKGQLENGQIVAVKQLDLNGIQGNREFLVEVLMLSLLHHPNLVSLVGYCADGDQRLLVYEYMALGSLADHLLDITADQIPLSWHIRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILLDEEYNPKLSDFGLAKLGPVGEKTHVSTRVMGTYGYCAPEYIKTGQLTIKTDVYSFGIFLLELITGRKAVESTKPASDQILVNWAMPIIRDRRRYHELVDPLLRGEYPEKDLSQAVAVAAMCLHEEDSVRPYMSDAVVALGFLAEVPAGCEERPSIAPQKKQAEDPPLSNGTQQDKSTFDRQRVVAEAIEWGALRQKQKAQTQGKTTDSQCITDPTEADRV >SECCE2Rv1G0119040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:787838360:787850982:1 gene:SECCE2Rv1G0119040 transcript:SECCE2Rv1G0119040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKGKSKAIDEYMRTPSHSHGGSGTDSGKEIWEDDAATIALGGPQRDTSLFLSFLDEEDDPMSEDESTVHNQNMPQIGDTYIHPFQPVNSSASNTNSVVKDYTDLHSQMPLLNMDVVQDLRAQSSSSSSRSVPAYFANMMAMQEACLRALIDPDQELSCRLWRQSPEALKNHYALDVEMACSGGHVPNINAPGNSQFNSLLQMIKNPVNQSMRLINVKGHVPALSADPIGSCFIRQKLDEATTGEIVMLYNEITPHILTLATDAFSTYVIQKLLEHGPPVYFRILIANLMGHVLDLSLHLYGCRVIQKAFEISDIDQQIEMAKDLDSNLFKCICDQHANHAVQKCMECVQPQYIQFIYRRLCGRAKMLSTHPYGCHVVQKMLEFCKDPQIMGRFITEILDCVRELSVDPYGNYVVQHIVEHGGPRHRQIIMLKFAGSIVQMSHQKHSSKVIEKCLIYGSYHDCKLFINEILSAAGGQTADHLVGMIIHQYANGVVKQMIDVVNDWQFNVIVDVLRRNRDTLVKYAPGRKVIAQVERLLNGMAPSPDSLGQASSSRFV >SECCE4Rv1G0279470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:815114111:815115728:1 gene:SECCE4Rv1G0279470 transcript:SECCE4Rv1G0279470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMLSSLRYLAGSAGPSGYGSRTTADEATLPAGDLSHITAIVTGATSGIGAETARVLARRGARLVLPARNLKAAEETRARILADAGSDGGRVVVLPLDLSSLASVRRFVARFLALRLPLNLLINNAGQYAERFAVSEDGVEMTFATNYLGHFLLTRLLLPAMADTARATGVQGRVVNVTSVVHAWFAADAGDDGPLAYLRRVTRKATPYDPTRAYALSKLANVLHTTALAGRLREMGADVTANCVHPGIVRTRLIRDRAGLVTNTVFFLASKLLKTVPQAAATTCYAAVHPAVAGVSGRYFADCNEAAPSRLASSAEEASRLWSFSEAITADKEKEESSPPVTSFRFQVQSSNADRGMAIA >SECCE5Rv1G0309110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:146812417:146815546:-1 gene:SECCE5Rv1G0309110 transcript:SECCE5Rv1G0309110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGKKNFLPPHPLVMGFGILFRLDESCLASHLNERRIRGEDEALPETEAEPRLNGRRIRGEDEALPETEAELQKQQSNPEPDDKLATDNEMSKGTHDNESSRAHTGVHQNDDTNHSNLPTNVDTADKSQQVAETKTVENSGTDASVSSRLEDLLDKSIGLGPAKGSGKSSLLVSSLSSLGEDTDDLDVKKSMVREKPYVSKAERRKLKKGENAFESTSDPQKAVKKPDNPQQEKSKDNTKAANPKTSRGQKGKLKKIKEKYAEQDEEEREIRMALLASSGKASQKDNPSQNGEDATAKQPKSSTGEDDSLKVCYKCKKSGHLSRDCPESTSAVDPTDATVGRSRDGIDRSATPVDGSVAMDEDDINELGDEEKEKLIDLDYLTGIPVASDILLYAVPVCAPYNALQTYKYRVKITPGTAKKGKAAKTAMSLFMHIADATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKVAKSN >SECCE7Rv1G0494300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:581024521:581025186:-1 gene:SECCE7Rv1G0494300 transcript:SECCE7Rv1G0494300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTNEATVIHMDDTTGKAPVTAAPPPGAAPVPVQQQQRKSGGVPFILRSGAEGFRRCMAFVDLLLRVVAFGPTLAAAISMGTSDETLSVFTELFQFRARFDDFPAFTFFMVANAVAAGYLLLSLPFSIVGIIRPKATGVRLLLLVCDTVMVVLVTAAASAAAAIVYVAHEGSRRANWVPICMQFHGFCQRTSGAVVASFLAVLVFILLVLLSACAIRRHR >SECCE5Rv1G0336620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:585583205:585583603:1 gene:SECCE5Rv1G0336620 transcript:SECCE5Rv1G0336620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMEQGVPEGGEPKSVAAAVADILTKECPSSTFLQNVGLESSSKKKFNRFASALDAHVQELEYKLEKERQASELMREELAEVKQKSEETEAARAAEYQLLLQRVEATDARAAASDSRFARLMDLFEGKIV >SECCE4Rv1G0283530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:835779726:835787614:-1 gene:SECCE4Rv1G0283530 transcript:SECCE4Rv1G0283530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAGEAEAALGRAMTWAEAAWFRYSVATPDYYLYCHLMVIFLVVYTLAPLPLAVLQLRAPAILLPYKLQPRVRLKPAAFLRCYVRTVRVFLLAMGPVQLLSYPAVKMAGIRTGLPLPSAGETAAQMAVYLLLEDYLGYWVHRLLHTKWGYDKIHHVHHEFTAPVGYVGLYAHWSDVLIIGFPAFVGPAVVPCHMTTLWLWFLIRQLALIDAHDGFDFPLNPAKLIPFYGGAPHHDYHHRVGRKSQSNFASVFTFCDYIYGTDKGYMFHKSSLAKLKEMAQNSTEKRETMNFIGGKQA >SECCE7Rv1G0469960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:115050754:115054226:1 gene:SECCE7Rv1G0469960 transcript:SECCE7Rv1G0469960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQMGEPQAAPPPAAVEAAPAPSTLQHLKEIAAVIEAGSLSKEVRRISRAVRLTVALRRRLAARDVSAFLAFALPANSDAYARLTPLLPKEDEAEMDVDAVAPATQISIKHGLPEIEIYCSLLVLIFLIDQKKYDEAKSCATGSIARLKNLNRRTVDVLASRLYFYYSYVYELTNTLAEIRGNLLGLHRMATLHHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPAAARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKEALAPYFELTNAVRIGDLELFRAVADKFASTFSADRTHNLIVRLRHNVIRTGLRNISISYSRISLADIAQKLRLDTDNPVADAECIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQAAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >SECCE4Rv1G0243960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:508452366:508453822:-1 gene:SECCE4Rv1G0243960 transcript:SECCE4Rv1G0243960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVRAAWFLLLLALLAAADARRQKGGEAACDKGWECSGSRFCCNETITDYFKAYQFEELFAKRNNSIAHAAGFWDYQAFITAAALFEPRGFGTTGGREMSMKEVAAFLGHVGAKTSCGYSLADGGSLAWGLCYNHEMSPSQSYCDDSNELYRCAEGVEYYGRGALPVYWNYNYGIVGKGIKQDLLNHPELLEQNATLAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKKDTLSKRYPGFGATMNILYGDAICGKGSIDNMNDIISHYQHYLDLMGVGAQHSGDNLDCADQVPFNPSSKSPDS >SECCE1Rv1G0034640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:487004822:487005394:1 gene:SECCE1Rv1G0034640 transcript:SECCE1Rv1G0034640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTVDVTLVSATDLRDVNLVSKMEVYAVVYLAGDPISRERVLADRTGGRNPTWNATVRVTVPASGSGSGALRVLLRTERPLGDRDVGEVILPLTEILAGAGDEPTGATQGAYKVRKVGSSKVHGVLNLSYKLGGVIHPPAGQYQQAGVTGYLAAAAAPYASAPPPQQLSYPYPCPPPTMVRPLRLSSR >SECCE4Rv1G0261870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:704727607:704728017:1 gene:SECCE4Rv1G0261870 transcript:SECCE4Rv1G0261870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFHSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE2Rv1G0097500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:461905869:461906556:1 gene:SECCE2Rv1G0097500 transcript:SECCE2Rv1G0097500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPDREACHRAGRGGKMLLPVMAALAAVVLASLPSAAVATNYTVGDEKGWNPKVDYTSWVKKHRPFYKGDWLLFEYQNGRSDVVQVDEVGYDNCDKESAISSHSKGTSYAFQLKEAKDYFFICSYGYCYSGMKLAVTAKKGPASSSPDSSSSSSSSPAAKSSSKSAAAPIAARSAAPLAAAVGAAAMLLRML >SECCE2Rv1G0088830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:248833183:248835306:-1 gene:SECCE2Rv1G0088830 transcript:SECCE2Rv1G0088830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGSDGVCVAKPRSADPLNWGKAAAELSGSHLDAVKRMVEEYRRPVVVMEGASLTIAQVAAVAAAGGARVELDESARGRVKESSDWVMTSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNAVAVAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASIVLFEANVLGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAVAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISARKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVKNAVKNCVTKVARKTLITNDMGGLHNARFCEKDLLQTIDREAVFAYADDPCSANYPLMKKMRAVLVEHALANGEAERSVETSVFAKVATFEQELCAALPQEVEAARGAVENGTAAEPNRITDCRSYPLYRFVREELGTVYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNGEPLPIC >SECCE5Rv1G0319650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:377608150:377611778:1 gene:SECCE5Rv1G0319650 transcript:SECCE5Rv1G0319650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMKTKEQEDEAPEERVPHLPWMRHPIDIDSFSGCPVTSLPRLDPRLAEALHRMGIESFFPVQVSTWLETIGPGAFQRDICINSPTGSGKTLAYALPIVQMLSTRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLTVASAVGQSSIADEISDLIKKSKQELYPSLDDEYVEMEPQTKVDILVATPGRLMDHINMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQFTRPANQNHFRHDTPGRTLLHPLTTIRRSGVERGFKGKCYPRLAKIVCSATLTQDPSKLSQLELHHPLLLNSGKKRYRIPTKLESYKLICTSNIKPLCLIVLLQELRGEKCLVFTKSVDDSHRLSTLLGFFEDLPFKFSEFSSLQRESTRRKTLAAFKEGKIDVLIGSDIMARGIHIDGLRYVINYEMPQYVKTYIHRAGRTARAGESGSCFTFLRKNEVKRFDKMLKKADGSSCILRSLPDDSIETLRPVFETALEKLKDKLKGSAEPESSKKSNKLGDKAPGALKRKRGKQT >SECCE3Rv1G0205120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899151090:899153600:1 gene:SECCE3Rv1G0205120 transcript:SECCE3Rv1G0205120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSRRRRGGRGGRRGRNAPTTPATADEKETRNWAELPLDAISAILHKLDHVDILMGPGQVCRSWRGAARDEPELWRRINMIGHADLEHELNFPGMAQAAVRRSAGRCEAFWCEYAADHDFLLYLAGQAPSLKSLRLICCYDVFDDGLTEAIMKFPLLEELELSLCPNVDDSGVFGVIGKACPQLKHFRLSKDVFFDYEASDQEKDEEAMGIAIMHELRSLQLFGNSLTNKGLTAILDNCRHLESLDIRHCFNVTMDDTLRAKCARISTLRLPNDSTDDYDFIVKSPIWGNSESFLGDYVGSDSDYELGSEDYDDYCDPSRYLDGVYEDELNDEARMLLRGMRMLMK >SECCE4Rv1G0222570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:60678275:60683815:-1 gene:SECCE4Rv1G0222570 transcript:SECCE4Rv1G0222570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGVRHLGGVLLKCCDIDLKQPKGLEDPEVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPSAAPDEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >SECCE1Rv1G0051540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:655177016:655178554:1 gene:SECCE1Rv1G0051540 transcript:SECCE1Rv1G0051540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTDAFHRRRLYADEDRLGALPDDLLLDILRRLDTRTALGAAALSRRWASLPREVPVLDLKVTDILPPRYHRCIRLRSDARKSKISSTLSDRRLLEAIAARYERRAMRAMVRSVKNFLASRARRRVEKLSLEVFAYSTSACINRLVVDAVDSWGVRDLEVVATPTGPLASPKPPAYSFPHGIISRKPGESRLRSLKLANCLPPALLGFNALTTLVLRDLPAPTPAAAYEGVVAACPQLQVLHLLSCKLRIRSGRVVLDAPKSEIRELVVGGELMVVEIRFLPKLESLASQEADVLLCSSAAAPCLAHVSLAFSVGRLEGGGLAALNRSHRDCLIRVLVQFFQGAITVKDLVLRFTGPEMWIMPKNPFSALSNLRRLLVADVPSSWDASWPRLLIKAAPLLESLYVHVSHSEDEPRQEVPGETSALRHRHLKELVVIGFQRTERQMHLVRFAVEVSTALRCVALLKQGRVEDKGSCCDWEVVSQHSAWCDEERLAVLDGIGCSTGQIEVVLS >SECCE3Rv1G0145080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5718156:5723399:-1 gene:SECCE3Rv1G0145080 transcript:SECCE3Rv1G0145080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPQPPSSSSAAAPARQPPAGAASPETYLGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKVYEYILFRGSDIKDLQVKSSPPPPQPQPAPLHNDPAIIQSHYPRPASTASSLQSAAGAALPDLSSQPTQYGFQRPNFPSNIPLYQPGNNLWGSSGQPPAGNASALSVPPMYWQGYYTPPGGLPSHLQQPTMLQPTPGLSAPQTFQYPGLNPSLPSGPPLLQPSATAQGPSSSATPATTAPSASLLGPETSKPLLPNMVPLFTPPVPSHGASLPVASQPISMTETSATASQSLSSLVSSKTPAVNPGSTLSYQTASQAISSTVASSALAGLEIPAPLLASSGQLLQNAPSMLSSSQSMQTPLQTSNNIAKPADPKTRVAEPLLPDPPTRALPENNEPILPLPKQTPQRYNGAGSQGHHNYRGRGRGRGSALSQASTKFTEEFDFMAMNEKFNKDEVWGHLGKKSQSRDKDGEQGDDVFDEDLEYDETDNPELDVKPVYVKDDFFDSLSGGTFGRGGQNGRPRFSELRKMDTETFGEFPRHRQPYRGGGRGGYRGGGGRSRGSYYGGRGGDYGNMGRGGGGGQENYYGGGGRGGGYGNMGRGGGGRGGYGRGGGGQEESYPQRGGGASYGRG >SECCE3Rv1G0202760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:877392582:877396758:1 gene:SECCE3Rv1G0202760 transcript:SECCE3Rv1G0202760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNWLGFSLSPQELPPSAAASGDVSGADVCYNIPQDWNMRGSELSALVAEPKLEDFLGGISYSDHHHHHKQAGGNNMVVPAGSASGSAACYASSGGSSVGYLYHPSSASLQFADSVMVASSGGGVHHDGAGIMANTTANGDLNNGGGGGGIGLSMIKSWLRSQPSPAQPPEQQRAEAAAQGLSLSMNMAACMPPHVGGERGLPELAIVRKDDTAGGSSAGSGAVVSAGADSTGGSSGAVVETPASRKTADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGATTTTNFPVNNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMTRYDVKSILDSTALPIGSAAKRLKDAEAATASSLAQQQQQQHAGVVSGYDMGALAAYSAAYHHHHPSVAGAVAWPTIAFQAPPQQVSGGGGGHMYHPYAHVQPLRGWCKQEPDHAVIAAAHSLQELHHLNLGAGGGAHDFFSQHAQAMQQQHGGLGSIDNGAGASLEHSTGSNSIVYNNGAVESYMLPMSTTTTAAVSHAHEQAAAHAHARAVAAQAYSGGNTSRDHDDGKIAYENYLVSTAEAYGGGRMAAWAPASAPPATSSSDMTGTQLFSVWNDTN >SECCE2Rv1G0066700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:15094707:15096604:-1 gene:SECCE2Rv1G0066700 transcript:SECCE2Rv1G0066700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALRHFLVFFLGAAITAVFVVLLPPPSPSPCPCDGTPTADQKLASSDQSATMKKLDTGVNMNNAAREREEEDDEKLAELLRSAAMDDNTIIMTFTNEAWTAPGSLLDVFLESFRIGVRTEPLLKHLVIVAVDGKAFGGCQRVHLLCYRLAAPAAVDFAGEKLYNSPDYLDMMWARNKFQARVLALGFGFVFTEVDIVWFRNPLLRIPMGADIALNCDWFSGDNPYDLNKTANGGFLHAKPRARTLAFFADWYQARTRYPGEHDQFVFDQVKHELAARHGVTFIDTQYLSGRCEPRMDFRKLCTFHANCIIGLQYKLEYLTGVLDQWKRFKAEEELLGTNSTALTY >SECCE6Rv1G0387820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:123098097:123099158:-1 gene:SECCE6Rv1G0387820 transcript:SECCE6Rv1G0387820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDSLVTSRIVGDVLDPFRSTVDLTVLYDGRFVINGMEFRSPAVSGKPSVEIGGDDISVTYTLVMVDPDAPNPSNPTLREYLHWMVTDIPGSMDDTYGREAVCYESPTPATGIHRMVLVLFRQLGRNTVYAPSMRHNFNTRNFARCYNLGAPVAAKYFNCQRQAGSGGRKFTGPYTSRRS >SECCEUnv1G0530890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:14679337:14680485:1 gene:SECCEUnv1G0530890 transcript:SECCEUnv1G0530890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQKALPPELADNALRLFRECLRRAKFIGNQQHNTGLLVSMVRQQFKKNMHETDPEKIQKMKDDAARGLINHIIYESEKMTGRKFSG >SECCE5Rv1G0300100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:22332800:22335887:1 gene:SECCE5Rv1G0300100 transcript:SECCE5Rv1G0300100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYPTIRDDPLAEKKRKLDVWSEEEDPSAWVYPHDSLAKMQQARRDQESAPRPVKIPTLDRFKPPTRFHIAELFSVRESGSQAVLSAARFLLGVSSSLDGEPLRRCSGFWVDWDEEKKTGLVLTTARLIRTKDAPFSVWTGGQEYAPNADVTVHLLNGTTAKGELVYYQPHYDIAFLNVEVDQPIKLPSLREKDVEFAQEVFQLGRDNSLNLRITYARAEYLNPNLFERHHNVYFRSLDDHDDDNNKYDNGGLVIDLNGEVVGMVNDPERFGSFIPSSVLLNCLDSWKKYRYIGRPHLGMRFEAIKFLEPAHVDMLCCMYNIDDGLVVREVSKGSNAEKCGIQKGDLIECIEGKCISTTVQLENLLMSICKGPSDSKNGHKAEVHISLGVFQTLKKYRMTVELTANVSDLGEVIARGTRLLF >SECCE7Rv1G0515530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839856538:839857659:1 gene:SECCE7Rv1G0515530 transcript:SECCE7Rv1G0515530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGSPDAGTRPVRTVHMALKIPATTATEDRNQSYITARKFVVFGYEWQIDYHPNSHYPYHRHKSWVKLRISLVRAPSPDAGAVTASFTFCLVDPSRTLRPFREVAAHCPRLRQGSSEEVLLVSRAALEASGYLARDGNLFVQCRAVLSRETCTYGGDGAETVVHPRDLGHDLGDLLRTQNGADVTLLVGAESFRAHRCVLAARSPVFAADFAGGLLDETHEVKDTDADAFRALLRFIYTDALPPQLGKTGRCPETGKECKEAVSMARRLLELADRYGVERLKVICEEKVSASVGVGTVAQDLVLAERRGYKKLKERCMEFLVASPADMLAVAAAGGCKLLETSCPSVLTEILTAVAARSCQLLALGGATRS >SECCE1Rv1G0058220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:698259165:698259374:-1 gene:SECCE1Rv1G0058220 transcript:SECCE1Rv1G0058220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVVVGVVLFVLLSPGLLFEMPGSHRHVDFGGLHTNGKAIFVHTLLFFAAFTILTLALHIHIYAG >SECCE1Rv1G0063650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726190049:726194301:1 gene:SECCE1Rv1G0063650 transcript:SECCE1Rv1G0063650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVLRTILVTGGAGYIGSHTVLQLLLQGFRVLVVDNLDNASEEAIRRVRQLANAPQNSLDFRKVDLRDKEALDEIFSTQRFEAVIHFAGLKAVGESVHKPLLYYDNNLIGTITLLQVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLSATNPYGRTKLVIEDICRDLQRSDPDWKIILLRYFNPVGAHPSGYIGEDPLGVPNNLMPYVQQVAVGRRPALTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDRIGCEVYNLGTGKGTSVLEMVAAFEKACGKKIPLVYAGRRAGDAETVYAATAKAEKELKWKAKFGVEEMCRDLWNWASKNPYGYGSSDNGH >SECCE6Rv1G0390100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:173632569:173633306:-1 gene:SECCE6Rv1G0390100 transcript:SECCE6Rv1G0390100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRSSTGDPAPAATRDIRGGSSSSSTSNLSQVLSAKLRKCCKAPSPSLTCLRLDTEKSHIGVWQKRAGARADSNWVMTVELNKGAGPSGDAMAPQSTVSATTASSPASTMDDEERLTLQMIEELLSRSAPASPSHGEKGSFVV >SECCE3Rv1G0185220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:672820106:672841700:1 gene:SECCE3Rv1G0185220 transcript:SECCE3Rv1G0185220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRVLLLAFVLFLTSHLTTPASAATKSCLLVLSDLTSWSALIAGLTNQYKLLYLFKEISAIGLQIDDSFFPALKQLPGVLAVIPDRLHKVQTTHSWEVLGLESGGEPMNEWKYDAKFGEGVVIGNVDTGVSPTSQSFRDDGFGAPSGWCGKCDSGKDSTFRCNKKLIGARFFNAGIQGQNLPAGARMGTHEGSRQVSSGIQVPNFLDPEPPEEGKQLNQTDLNSPRDYDGHGSHTLSTAGGGFVQGASAFGRGKGTAKGGSPRARVASYKACYTAGCSSLDILAAILAAVEDGVHVLSLSVGGPAADYVADLMAIGTLYAVHKGVAVVASAGNSGPQPGSVSNLAPWILTVGASTMDRNFAADAVFGNSTIKGRSLSAGTLPAGQPYPMISGQNACAADQSIDNSTLCFPGSLDPTKVRGKIVVCTRGVNGRVEKGLVVKQAGGIGMVLCNDAGGDADIVADPHLVPAVHCSYPQCRDLFKYLQSTQFPVGYIAAKDELGVKPAPVMADFSSRGPNTITPQILKPDVTAPGVDVIAAYSEEAPATDLPFEDRRVPYNMVSGTSMSCPHVAGIAGLVKARHPDWSPAMIKSAIMTSASTGANDEGQIRDETGAAATPFSYGSGHVNPVQALDPGLVYDTTPYDYASFLCSVRPTQTQNLIPLSIPLLLPLFVGANADPFQCSLGAYRPENLNYPSISAACLSGSGATTVKRRVRNVGAGPWLPYKVAVVQPAAGVRITVQPSTLSFGKINEEEFTVKLEVYDIAAAAGYVFGSIEWSDGKHRVRSPVVATTKCG >SECCE7Rv1G0462130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:42780897:42782489:1 gene:SECCE7Rv1G0462130 transcript:SECCE7Rv1G0462130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRCASRLLGSAVAAPPASCSSAEPPRFELPAPAPPAPAHESRPGSMAPDASSSGEPRCELSRSPWDLMDQFDLSDPQAEQLFEETHFVSVPWRSNWLFLPSMPAFGSIKEDPEQEDMADGVELHIAAKKTAREKNVTKLFANKGVKVKKGVWTCRKNDGKGWFCRRLTRDPNSYCSYHSDQKLKPPGGEKPRRKRAPVNAGEGFYYYAGFGPGTKRRRTSSSDIVPEPEPSLPAEPVKEEAPTEMQLNFSTSQVHVQVNESDHQAVLPPSAHIMDEPTLNDSTAGISSEDDAPEAPLPAELPEEEEMKEEARSEMPLNFSAADDSDHQAAPVSVRVADEPKRNRGTAEIAGWDEESSDDDTLGCNGEQPRDTTRRKRPLKKRWRKPVKARSLKSLMMSS >SECCEUnv1G0529760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8986849:8987286:-1 gene:SECCEUnv1G0529760 transcript:SECCEUnv1G0529760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKEMAPVALCLLGVMFLVGMADMSGLPGCGRPTRMELQELAAQVRSTLVGTASAAAGILIWVGKKADDLSAAGWDWEMRAMFIVGVNLSLASTFLALSALVLELSCDLVVNYCIAAAIVGVHLVAAWVVRGRLRALRLRRAD >SECCE5Rv1G0354250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722724974:722727224:-1 gene:SECCE5Rv1G0354250 transcript:SECCE5Rv1G0354250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVARCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLIMEDQAQVLASPSATLVGVYDGHGGPDASRFLRSALFPHVQRFAKEQGGVTAEAIRRAFGAAEEDFLHEVRQAWPKRPRMAAVGSCCLLGAIAGDTLYVANLGDSRAVLGRRVVGGGVAVAERLSTDHNVASEEVRMEVSSQNPDDGQIVVHTRGAWRIKGIIQVSRSIGDVYLKKPEYSLDPLFRQIGPVIALKRPALSAEPQIHVRKLKPTDQFIIFASDGLWEHLSDDDAVQIVFKNPRTGIANRLVRSALKEATKKREVSVHDLRTIERGVRRHFHDDISVVVVYLDRHRGRRQTRVVDSSSNCTSAPVDIYSSSSVHSAQPRQAYRDSG >SECCE4Rv1G0220750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41479756:41481123:-1 gene:SECCE4Rv1G0220750 transcript:SECCE4Rv1G0220750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQQASPPPILTVLDTTLVAPCTSTGAFPPETSLPLTFFDVFWLNLPPVERVFFYRLAADADIPAILSNLKTSLPQALHAYYPLAGRLRVTPGTADRYEIHYQPGDGVTFTIAEYRGDVDVDELAVDHPREISRIAPLAPPLPKGGAVLALQATVMRRGLAVGMAVHHTACDGATSTRFLHTWAAASTGAVEPPRPLIDRTLVKDPTGLYDVFVKAMPTAGEMDRVKAWEDRLLATFALSNEEIQRVKGVIAGEAWRRGVAPPRCSSLVATFGFMWSCHQRAKDNAESTGADPTYLLFPVDHRSRMKPPVPDEYLGNCVGIATHAAPMDQLAAPGTGGLFVACTAVAAAIEEAVGGIGSPETIALWMHRVREAGVAGMWTVAGSPRFRVYEVDFGFGRPAKVEIVSVARTGAMAVAEGRSSRGGIEVGISLPAAGMQSFQKCFQDAIHSLHHQ >SECCE7Rv1G0522720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879078658:879082649:1 gene:SECCE7Rv1G0522720 transcript:SECCE7Rv1G0522720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILIVSEESPMSTEWEGVEAKKPLLVAQNNRASSIWVVVASTTVAVAGSFVFGISVGYSSPSQEGIMRDLHLSLAEYSVFGSILTIGAMLGAIVSGTIADRVGRRCAMAISDVFCILGYLLIVFSKNSVWLDLGRLSIGCGIGLLSYVVPVYISEITPKNLRGRFAAVNQLMICCGASLAYALGTCVTWRTLAIVGVTPCLLQLVGLLVIPESPRWLAKIGHPGALEEALQKLRGKETDISEEAADIKDFTEQLQHLPQSKILDLFQKDYIHAVTVGVGLMVLQQFGGVNAICFYASEIFVSAGFSSGNTGMLAMVAVQIPMTALGVLLLDKAGRRPLLMVSAAGTCLGCLLVGLSFLSKEHHWAKDLNVALALTGILIFSGSFSLGMGGIPWVIMSEIFPIHMKGSAGSLVTLVSWLGSWIVSYAFNFLLLWSSYGTFFMFASICGLTVVFVERLVPETKGRTLEEIQASMNSSLTPVSSGINRVA >SECCE6Rv1G0442970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:820161272:820164316:-1 gene:SECCE6Rv1G0442970 transcript:SECCE6Rv1G0442970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24090) UniProtKB/Swiss-Prot;Acc:Q8VZ55] MAMSLSLARLALPPVLQALPGKRPAHSALAFPANSFFGAPLAAAGAAALSPAAPLPRSLAVVAAGKGYKMKTHKASAKRFRVTGTGKIVRRCAGKQHLLSKKNAKRRKRLSKMVQVNKSDYSNVMGALPYLKVNKKAG >SECCE2Rv1G0122780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:819024847:819025137:-1 gene:SECCE2Rv1G0122780 transcript:SECCE2Rv1G0122780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACSFFFDAEPLGETGMPALDACALCAKPLARDSDIFMYRGDTPFCSEECRHEQMQLDAICSRQAARRQQRLSSGSDARRWHQESGKVSVAS >SECCE5Rv1G0311970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:213427104:213430496:1 gene:SECCE5Rv1G0311970 transcript:SECCE5Rv1G0311970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHTKLKFPEFSCLPKAQILARKAAKIGLDLIHLFKKASHVLMGCLCSKGANDHADATSENREPSSKDDPKTASGTNDGSKVMPYAGEKVVVAFDARFSSCNNAELKGLSGEHVVSGWPTWLANVAPKAVEGWLPRRADSFEKLDKIGQGTYSIVYKARDLETGKIVALKKVRFVNMDPESVRFMAREIHILRRLDHPNIIKLEGIVTSRLSQSLYLVFEYMEHDLSGLIASPGLKLTESQIKCFVQQLLHGLDHCHKNGVLHRDIKGSNLLIDSNGVLKIADFGLATSYDPDNPQPLTSRVVTLWYRPPELLLAATEYGVAVDMWSTGCIVAELFAGKPIMPGRTEVEQIHKIFKLCGSPMDDYCKKSKVPETAMFKPQQQYRRCVAETFKDFPPSTVVLIDSLLSLEPEVRGTASSALQSDFFKTEPLACDPSSLPKLPASKEYDVRLRQEEERRQRKAALGGRGAESSKPGNENHVTSHAVNGAAESKEHAHASSKSNSVKFYPEDSVPGFQVEPRPLPATVQVPGFGSTWNMGGYTDHPTTPGCTCSSFLVANSSTSRTKASSHSHIPQFRTTDLRNAVEVTYQNQPPDRPASSHNKNPLENHGRKFRRIHHSGPLVPPGGNIEDMLKEHERHIQEAVRKARLGKTSR >SECCE3Rv1G0210260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943137880:943139181:1 gene:SECCE3Rv1G0210260 transcript:SECCE3Rv1G0210260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRRSTAAAALLALVVLLGTSPPDAAAQRTAGRPLVARVNKDASTSLYTIAIKDGRVPLLLLDLAGPMIWVANCPCTHRAIACGGGDCLGISNMFSPVVCTTRGEWPVQGQGPCICTALPYNPVDGRCVPAQATIISLAANATDGKNPLFPVTFPVVGSCAPAEFLASLPAGVAGVAGLERLPNSLPLQVAQGFRLKEEFALCLPRGGDGVAVFGGGPFQLLAAPTVELADGLRKNPLPFLFSPINRAYYFTITGIAVNQQRVPTPSGALDMDRRGQGGAVFSTVTPYTALRWDIYWPLRNAFDAATSGIARADKVAPFDMCYQASELTMTSVGYAVASIDLMLDGGQNWTLPGASSLVQVNDQTACFAFVQTDSSVPAHAESPAVILGGHQLENNLLIFDLEKHTFAFSGLLLGIGTSCSNFDFSMGSSY >SECCE2Rv1G0142510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:940985783:940994639:-1 gene:SECCE2Rv1G0142510 transcript:SECCE2Rv1G0142510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEALGFREDDAIRFIFGEDVAARADSDSDSDSNPAAAFDRSLAELRVFRELFARAPAQTEAAGLAGRHFGVAETSLLPGAHFAAQRPADAPRAQAGLKVRAPPQFRGEHIVSGPVHAGPALGAEARAHSYQQQTTVTMEGRVDLGVSNGGHAHCCDEQRGTAVQEHAHCYNGQHGTAAQEHAHRHSQQTVIQEEHAHRHIQQNVIQEEHAHRHSQQNGGIDGLALELDAVLQGFLGYWPGGGTAGSCNQQQVFGAAAVGIGNTVDMRMQAMAEDTVAAGTSDAVGFAGSSSTSGVDDPMPSYMDALAEFSQFQTDPALADPFLYQWLQDQQPFPNDASCLSYDQGQIVDTSQALYTCSPADLSDRGAKEYPLFSKPAYDAAVPPRLSHDYVGSGQFLELEQHLEKGTPDANTSSLDDVDVPQSSSLQSVPPVVCTKRTLGRDLPDQLEAHAQCLFKDAGWTIKPRKRNDRAKMASYFTAPHREVVLSSLTQAWKFCGNKLYEASPGSQRGKHPMEWSDVDKFWKDLTDTMEYIQKVLVNQQNALTLLQRWEILDPFIAVVFIGRKITALQQGSTVRAVDSSIIVLDDNKNMPSEIKRKQKASDPLTARKIQPTPVITGSDCGARAIESCSRSQAVPSCHGLEGVQNRDINLKNGYTQGQNCGAIDRNENHINQSTETQQFYSGAALINNSVKKARKKPKMTSDVDANGLDGLYAQILMQHSMGNVFNQDSNVAMLDFSNPGNINLSGKHGIYSSVGTVKKHLKAESRPLKLNGNSQSDKPGMLLPPESKQMSMLKREGTVKEPLEHTTSGPDSDARESGANETAPIELVQKKLPSESNQMIMLRGEGTVKEPTEHTISEPYSNARESGANETVSTEMVQKKLPSVSNQTSMLRGEGIVKEPRAHTISEPDSSARESGANETVPIEMVQKKLPSESKQSMLRGEGTAMEHKRLKSSEPDSNARESGANEIAPVEMVYQKLPSGSKKSMLRGEGTVKGPTEHTISKPDSNARELSANGTVPSEMAHKKLPSLKESSSRTPPKDPPKVSVDNAVPVELSLESNAAPLKTDLSRDSQICKTIAAKRKPQGCDKHIKKRPLELRIHDDDLLITAIVKNRDVDSYNKFAASSDFSVAKYKKLKGQKRATKLLGKGGTNLLGGKRISLARKTVLCWLIATGFLTVKDVIQYRNLKSNEVMKDGQVTWEGILCKCCAKTLSVSDFKAHAGCCLPKSSLGLFLQSGKSYTLCQLEAWSAELMSRRSDACGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHEACLSAQELPEGSWYCHNCSCRKCGTPVSENEVPSSSDILKCLQCGDAYHDTCINHEMLPGDDKSSNTWFCGRYCKEIFVGLHSHVGIENVIDNGLSWTILKCCSDGRRLHSARKIAHMTECNTKLAVALTLLEECFIRMVDPRTGVDMIPHVLYNKGSNFARLDYQGFYTVILEKGDEILCVASIRLHGTKAAELPFIATCVDYRRKGMCRRLLDIIEKMLRSFHVEMLVLSAIPELVNTWVSGFGFKPIKDDERKQLRNVNLMLFPGTSLLTKRLDGNITAKPEKEEGTDNVSGLTNGKCLPSEKANELLELRDLELPEELNNEAAMNGSFRTLKHECSPAAWFNSTKLAVGEV >SECCE1Rv1G0043550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:594039842:594042719:-1 gene:SECCE1Rv1G0043550 transcript:SECCE1Rv1G0043550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHPAVRKTKVPPKKKLGTRPSGGTQRRQETDGAGTSATPRRAGRAAAPGAAEGATGQPKQRKPHRFRPGTVALREIRKYQKSVEFLIPFAPFVRLIKEVTDFFCPEISRWTPQALVAIQEAAEYHLVDVFERANHCAIHAKRVTVMQKDIQLARRIGGRRLW >SECCE7Rv1G0470560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:122507087:122508899:1 gene:SECCE7Rv1G0470560 transcript:SECCE7Rv1G0470560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSMGIRAAARAAFIGGYRSASNARRSVLPSSSAAAADTRPASTATTFDDWYIPDREVFGPVPSHDEAMAATLDLRDAFEIAKIESHGACLDISKTHISHDGLDDPTKVAQETFQDHHSEASKHEEKHDNLSVASGSSSARVIEAFTMLQESPEAQNVVASLASDKNVWEAVMKNKELVEFYKTNLSESSSVTDEAEQSDAESSQSSNGVVSPGDAFSDYIQKMKAFMSEMVTNLSSIMQDLVATSDEGQSKGRLKTLIINTKKDFTNGPSSFVLLAIASILVVLLKRA >SECCE6Rv1G0428840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:731347900:731349535:-1 gene:SECCE6Rv1G0428840 transcript:SECCE6Rv1G0428840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIGDKVISRAEITDDASATFADSTVIPDSYARADEVSDGVVVGSDESYELPVVDMARLLHPEFSEAEIAKLGSACRDWGFFQLTNHGVDEAVVQDMKDSTMHFFGLPLEKKKAVAIQANGFEGFGHHYNRASSEKLDWAESLILVTQQHEQRNIEFWPADPSTFRDALDKYSLEMSNLTSRLMVFMASDLGIEQEALMGTFQGKTQSVAFHYYPPCRHPDKVIGITPHHDGLGLTLLLQVDDTPGLQVRKDGRWYPLNPLPGAFVINVGDILQILTNGMYKSAEHRVLADVEKGRATVVMFQNACVAGMVKPLPELGEATYKAIDHVEYVKGNFRALAEGTRFVDSLKIV >SECCE5Rv1G0370240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841341818:841342390:1 gene:SECCE5Rv1G0370240 transcript:SECCE5Rv1G0370240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFKIHSHAQIQDLQARSDELGHSNKTMVVGLVSLESVRIARKSYALLCPLIMESRSWECPELDSLSDVAGLSLEIQKLEHDVLPQLMVQEAKLELFEALLLMKNSAIKLLHIMKCFKEALGVLLAEEDLVSAKVEKLSIVLKGTAAHVLQDKCNIVLLQERVPWLVQLVTDVLETPVRFCDPHKYSDE >SECCE3Rv1G0144820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5427898:5430366:1 gene:SECCE3Rv1G0144820 transcript:SECCE3Rv1G0144820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHHLLSVTVPVYILCLCYSLSLLISTAPPAGLATALSFSFNFSDSSGSSDLCDTELRCERDTRMGSGAIDLTKNDVRANLYSLGRASYVRPVTLWDNTTGQVASFSSNFTFQIRFKNETDQQLASLCGLSSTPNDGVGDGMAFFLAHYPSRLPPNSVGSNLALFNDNNNFDATGDDRVVAVEFDTFPNSWDHSDNHLGIDVNSINSKAYANVTKRLVSNDDAIMTAEVSYENLMGVLVALLHINGDGPPYIVNTSVNMKRDLPRQVSVGFAASTGACIEQHQVMSWSFSSTLDDATVAARTIPRRRPLVHVLVPSVVASFLVLLCAAAVLGRRIWKKLDDSEDKERDQAEFERGVGPRRYRYCELAAATKNFADEGKLGRGGFGNVYRGDSLSDQDRPVAIKMLSAESSTQGRKEFESEVKIISRLRHRNLVHLLGWSDSREGLLLVYELVPEGSLDRHIYNTSCLLTWPERYKIILGLGSALRYLHTEWDQCVLHGDIKPSNILLDSSRRTKLADFGLARLVEHGAGPLTTQVVMGTVGYIDPEFIRTRRPSTEADVYSFGIVLLEVVSGRRPESGTGEPANKAIPLLRWIWDLYEKGAIVEAVDERLKGENRQLDDLDICKGQMHRALVVGLWCTHPHPGARPSVIQLMNVLQSEDVTLPALSRPAPADINTGSHGYNYASTSSAIACSDVSWTSSGR >SECCE7Rv1G0504110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:719752103:719755753:-1 gene:SECCE7Rv1G0504110 transcript:SECCE7Rv1G0504110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEQVAVVWKQVRGPVIVPLLRASVMVCLAMCMILFVEKVYMAVVIVAMRLIGRRPERQWRWEPLRDDDPELGNAAYPIVLVQIPMYNEREVYKKSIGAACGLSWPSDRIVIQVLDDSTDPAIKELVQVECQRWANKGVNIKYEIRDNRRGYKAGALKEGMKHGYVKDCDYVVIFDADFQPDPDYLSRAMPFLIHNPEIALVQARWVFVNANECLMTRMQEMSLDYHFKVEQEVGSSAYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVYLGDLRVKSELPSTFKAFRYQQHRWSCGPANLFRKMLMEIIKNQKVTVWKKIYVIYNFFFVRKIIGHILTSVFYCLVIPATVFVPEVEIPRWGYFYIPTVITLLNAVGTPRSFHLVIFWVLFENVMSLHRTKATLSGLLELGRVNEWVVTEKLGDVLKMKVQSKVTKKLRMRIRERLQLLELGVAVYIFFCGSYDLFFGKRYYYIFLFMQSIAFFVVGVGFVGTLVPN >SECCE6Rv1G0415810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:644533271:644535279:1 gene:SECCE6Rv1G0415810 transcript:SECCE6Rv1G0415810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSSLPSLLLLLLFGLTVATFAAGDDQFIFSGFTQSSLALDGGAVVTQGGLLDMSNGTNNVKSHALYPTPLRFRNSSTGSKVHSFSAAIVFCIVGAFPGVSANGLAFFIAPSKNFSDALPTQYFGILKQQNNVNLLVIEIDTFQNPDMQDMNDNHIGIDINSVFSLSSHMAGFYEDSSGAFKNLTLNTQIELQLWVDYEEEETRINVTLAPVHVGKPSKPLLSATYDLSTVLTETAYIGFSSTAEIMNTRHYVLGWSFGMNGQAAPSIDISKLPKVPRPRQKAQSMLLAIILPIATAALIISIGTIVALMVRRKRRYKEVREDWESEFGPHRFSYKDLFKATQGFKSNNLVGAGGFGEVYRGVLKLSKKEIAVKRMRKGELMLVYDYMSNGSLDKYIHCQEDDKPTLNWAQRFQVIKGIATGLLYLHEKWEKVVIHRDIKASNVLLDDEMNGRLGDFGLARLYDHGTDPQSTHMVGTMGYLAPELVRTGKASPLTDVYAFGMFLLEVTCGQKPMKQDAEENQVFLVDWVLEHWNNQLLSRAVDTRLQGDHGVDEACLVLKIGLLCLHPFPCSRPSMREVMQYLDGETLLPELKTTQLSVDMQGLMQDNGFSTAVMSYPQLMSSFGAVSDSDLSGGR >SECCE7Rv1G0503470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:712523954:712526167:1 gene:SECCE7Rv1G0503470 transcript:SECCE7Rv1G0503470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHNSMATSLFLMSLLMLLLDSNPCTALSWRNNSSVDDIGIPCPVSPHPFTMCKSEAEAYGYPCEDHKVTTEDGYILSLKRIPHGHDTDNSTGDEKTRQPILLFHGLFVDGVSWLLGTPDQSLGFILADGGFDVWLANTRGTNTSRKHTSLSPKDPAFWDWSWDQIAEYDLPAVLEFVYHHTGRQKVHYIGHSLGTLIILAAFSEHKLLHLVRSAVLLCPIAYLSRTRSDLTRLAAQMFLAEAVYSIGVYEFNPVGKAAAQLLAKVCGDPKIDCHDVFSALAGPDCCLNKSTTCAFMLHAPQPTSVKNLIHLSQMVRSEGVRRYDYGNAKENMKHYKQPRPPLYNLSSIPTHVPMLLTHGGQDFLGDVPDTRHLLKTLVRNHDADNIEVQYLPDYAHADFVIAYNAPRLVYEPMVDFFQRH >SECCE2Rv1G0085120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:185973477:185974790:-1 gene:SECCE2Rv1G0085120 transcript:SECCE2Rv1G0085120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSYSSAMPMETDMMSQFLGGDHHCFAYEQQDESMEAMAAMFLPGLDTDSNSSSNCLNYDMSPQCWPQPQPQPGHSSSVTSFLDPAHGYEGFEFPVMDPFPHAEFQSHCTDIPYLVGSSEDLNPLDSNCAPAGAEEAAKDHTPVTNKRKSRAATTASKKAKKAGKKDFTSNDIEGDDTYVIDPQSSSSCTSEDGDLDGNAKSSSKKTGTRASRGAATDPQSLYARKRRERINERLKTLQNLVPNGTKVDISTMLEEAVEYVRFMQLQIKLLSSDDMWMYAPLAYNGINVSSLEMHIAALQK >SECCE6Rv1G0407520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:558794490:558794999:1 gene:SECCE6Rv1G0407520 transcript:SECCE6Rv1G0407520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEAGRTIPKFGAWDVNNPASADGFTVIFSKARDDKKAPVNAHKASRSADSKDTRPDTKRSHSYNNSRANTSKKWFCCVSPSPTQP >SECCE3Rv1G0190310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:737578952:737579203:-1 gene:SECCE3Rv1G0190310 transcript:SECCE3Rv1G0190310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTFITTELGGALAKVAVFLLVQGLVYLILSNSSDVFSKDKKLRSLSFRSMRSMSVRRVLAPLSDVPVGTDEPSSPSLLSSW >SECCE5Rv1G0326670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:483455350:483457816:1 gene:SECCE5Rv1G0326670 transcript:SECCE5Rv1G0326670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGETSFEDEIMESDIELEGEVVEPDNDPLQKMGDPSVEVSEEMRDKAQLYKKKGVDALSEGKLDEAVEHLTEAILLNPTSAILYAARAGVFVKMKKPNAAILDAEAALQINPDSAKGYKSRGMAKAMLGKWEDAAHDLHLAAKLDFDEEISSELKKVEPNVHKIEEHKKKYERLRKERDMKKADLERQRRHAEEVSAASAVLKPGDVITIRSSNQLEEIFTAASKLSKLVILYFTATWCGPCRFMGPVYKSLSEQHRNVVFLKLDIDEQGNIAHRWNVSSVPTFSCVINGKEIDKVVGADKTGLERKIAEHGSLKK >SECCE6Rv1G0436720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778948621:778949618:-1 gene:SECCE6Rv1G0436720 transcript:SECCE6Rv1G0436720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSVLLQILACALYNNWWPMLAALMYIIVPMPCLFFGDGSTRFLSSGEGGAWMKAAKFLTGMSAMGSLAIPAILRHAGLIETGAMFIEFTSFFILVCTVLCFHRATLDEEW >SECCE2Rv1G0111700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:702873208:702875364:-1 gene:SECCE2Rv1G0111700 transcript:SECCE2Rv1G0111700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTVHTRQPTIHSTLQTSGLSCSHKRRGAADAASNARTVTMRPRRFLLLPILLVLLGADGFGRGAAQAADQFVYNGFSGANLTLDGLAAVAPNGLLALSNGTSQAAGHAFHPTPLRMRNGAVQSFSVAFVFAIVSNFTVLSDNGMAFVVAPSTRLSTFNAGQYLGILNVTDNGKPDNGVFAVELDTMLNPEFQDMNSNHVGVDLNSLRSVQNHSAGWYDDATGAFNNLSLISRRPMQVWVDYDGATTRLDVTMAPLDVPRPRKPLISAPVNLSAVVTDTAYVGFSAATGVIFTRHYVLGWSFALNGPAPPLDTSKLPSLPRFGPKPRSKVLEIVLPIATAAFVLALAIAFFLFVRTRIRYAEVREDWEVEFGPHRFAYKELYKATKGFKNRRLLGTGGFGRVYKGVLPKSNLEIAVKRVSHDSKQGMKEFIAEVVSLGHLRHRNLVQLLGYCRRQGELLLVYDCMPNGSLDKYLHDKTRPVLDWSQRFQIIRGVASGLLYLHEDWDKIVIHRDIKASNVLLDADMNGRLGDFGLARLYDHGVDPQTTHVVGTMGYLAPELVRTGKATPVTDVFAFGVFVLEVTCGRRPLGCIAPDDQNVLLDWVQEHERRRAGLDTVDPRLCGKYDADEARLAIKLGLMCAHPLPDARPGMRQVTQYLEGEVAMPEVVPTFLSYTTLALMQNDGFDSFAMSFPSTVTTDASPTSGDVSAVSGLSGGR >SECCE1Rv1G0024040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:318341563:318347158:-1 gene:SECCE1Rv1G0024040 transcript:SECCE1Rv1G0024040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGILSEWPWKRLGSFKYLVLAPWVVHGCHLAATKGWRELDLGYVAILPSMLLRALHDQAWITVSRLYNARGKRQIVDRGIEFDQVDRERNWDDQIILSAILLLLGSLYLPGGQNLPWWRTDGAVLLALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHASIVTEPITSVIHPFAELLAYQLLFSVPMITCALTGTASIITFEMYVIYIDFMNNMGHCNFELVPNRLFKLIPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSTDTLYENSLKGKEKEVDVVHLTHLTSLQSIYHIRTGFAQYASKPYTSLWQLRIMWPVSWLSMVLTWAYGSWFTVERNSMKKIRMQSWAIPRYSFHYGLKKEKEAINDLIEKAISEAGKKGAKVVSLGLLNQAHGLNGNGELYLQKYPKLGVRLVDGTSLATAVVIDTIPRGTNQVILAGKISKVARSVAAALCKKNVKVIMINKQEYHLLKPCMPENEAGNLVLSTTSTAEVWLIGEGLDAAEQFRAPQGTKFIPYSQFPPKMARKDCCAYAMTPAMGIPESMQNVHSCENWLPRRVMSAWRVAGIVHALEGWSEDECGDTVLDLEKVWSAAIMHGFRPVAQV >SECCE2Rv1G0126810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:848789269:848794292:1 gene:SECCE2Rv1G0126810 transcript:SECCE2Rv1G0126810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPLLHVVLVAAAARAPPAQAWGKEGHYMTCKIADGFLTKEASAGVKALLPSSAKGELAEVCSWADSQRFRYRWSSPLHFADTPGDCKFSYARDCHDTKGNKGACVVGAINNYTAALQDPSSPYDRTESLMFLAHFVGDVHQPLHCGHVEDLGGNTILVRWYRRKSNLHHVWDVDVIEQAMKDFFNKDQDAMIDSIQRNITEDWSSEEKQWEACRGKTKTTCAEKYAQESAVLACDAYKGVKQDSTLGDEYYFAALPVVEKRIAQGGVRLAAILNRIFSGNGKLQSI >SECCE2Rv1G0098300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:480077271:480079757:-1 gene:SECCE2Rv1G0098300 transcript:SECCE2Rv1G0098300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKPSSPPNPNPSPNSASDADKARGKMKVTPLQVAFLVERYLADNGFSASLAAFRSDAAHLFAKTSNNIVPPKGLLPLADILHDYISLKESRLAVDSAMQAMQTLVSTYYHSGPSAHLAAPPSSPPLVPPFFVGPTTSSPPHPPMVAIPPPPTGSSGYATPMIHYTQSSSSLLVQNSSNANMSTPAASSLPTKKRKAAKPAGKTTSASKRICAAPSTSLNPKGKSAASQLQTAQPSSAEHSVVAKLPAQASSVAKSLFTPLESQVSSSPCTAQLSYPMGKEPASCQSQRPSSVVPNANAQQEIASSQYSIVSSKRLIVSPMKGGTYYSVERSCHVSSPLKSSTQRSSKREHVKGRLDFDSSDARPVSAEHVSEKPSSSTYNGEKQDDFDIDFANFDLFDGDFSFSELLLDFDLDNEGLQCENLSTNTEVQRLPPTVKSNNMTADSAFPDPMKPMSADPTEDINSQGATSVTSVRAITKRIKIVSPVKGRAAS >SECCE4Rv1G0296800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904707833:904708980:-1 gene:SECCE4Rv1G0296800 transcript:SECCE4Rv1G0296800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAEIVNKSSDEVLEVVTSVFQPGNLAVEEIQVDAKKVPTLPIPVLIVAPKDAGTYPVAMFLHGFFLHNHYYKQLLQHIASHGFIMVAPQFNNCIIPCGDANDIAAAAKVADWLPDGLPSVLPEGVQPELSKLALAGHSRGGHTAFSLALGHAKTHLTFSALLGLDPVAGNGKSSQLKPKILTYEPSSFDIAMPVLVIGTGLGEEKKNIFFPPCAPNEVNHAEFYRECRPPCCYIVTKDYGHLDMLDSNASKLMTCVCKDGSGCKDKMRRCVSGIMVAFLNATIGEKHGDLEAILRDPTVAPTTLDPVEQRLA >SECCE6Rv1G0407140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:554811820:554816409:-1 gene:SECCE6Rv1G0407140 transcript:SECCE6Rv1G0407140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSNGVLGALSIVSLVAAVPLIGAGAYLHDHGASTECQRLLRLPTLALGGGILLLSLMALFGACCRSCSPLLWLYVFAMFLLVIGMFFVAVFAYAVTNKGAAAAADGRGYGDYRIGDYSNWLKDRVEDYETWQQIQSCMADAGVCGDGRFSGRLGGVSAGIDATDFYSLHLPLLQSGCCKPPAYCEYRAVNATFYEAPASGLGTTDADCQAWSNEPSLMCFRCNACKVGVLATAKSNWRAVAGANVVALLLLLLAYSLGCCALRNHDRRPRGGYYY >SECCE6Rv1G0386830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:102351389:102352835:1 gene:SECCE6Rv1G0386830 transcript:SECCE6Rv1G0386830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSQGLLFAILAYACMLSALAARDLAGDRSIVARHEQWMAKYGRVYSDAAEKAQRLEVFKANVAFIESVNAGNDKFWLEANQFADITIDEFRATHTGYKAPVGGNKGRKTGFRYANVSLDALPESVDWRTKGAVTPIKDQGQCGCCWAFSTVASMEGIVKLSTGKLISLSEQELVDCDVDGMDQGCEGGLMDNAFEFIIDNGGLTTEGNYPYTGTDGSCNSNKESNAAASIKGYEDVPANDEASLQKAVAAQPVSVAVDGGDNLFRFYKGGVLSGDCGTELDHGIAAVGYGVASDGTKYWVMKNSWGASWGENGFIRMERDVADEQGLCGLAMQPSYPTA >SECCE1Rv1G0039560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:549813770:549818803:-1 gene:SECCE1Rv1G0039560 transcript:SECCE1Rv1G0039560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVSRFPVTQAALDECGIQWGISVTPFAAADETGQPPATAGRGDRLPRCERCWAYFNTYCEVERWGWACALCGTLNGFDDDALHRFQRPEACPELNASFIDFEMPVDEADGAGEGVTARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPVPVVKNVFIPPDSEEDGLSVALEDAMPLLSFLAPVDTCKDRIAAALDTLRPTSSWERGAASGQEADTVLLGGRGFGTAMSSLIDYLSSEYGSTFALARVFAFLSGAPDYGDGQLDTRRYGEQYASKGEDADLALLPEQIPFYRDLAAVAVQAGVCVDIFAVTDEYTDLASLKFLSIESGGSLFLYANADDSTLPQDIYRLLSRPYAFGCVLRLRTSPDFEPGHSYGHFFPDPQYENVQHIICCDSFATYAYDFNFTHADGFSRHTEPAVVQIAFQYSVVEPVEVASGNGPQSYPRFCLKRRLRIRTLQYRPANNINEIYDSVDQEAVLHILVHKVILVSLENGVREGRHSVHDWLAILITRYNDALRSDPRNPESHIDIDFSQCPQLQTIPQFVFGLLRSPLLRLHEEGIHPDYRIYLQCLFSALEPSSLAKAIYPLLISYSSPNKQAFPRHTLSRAALTMSESPIFLLDAFTNLIVYYSPTADPSLPFPPPHDCLLRTTINALKQDRCITPKLTIVRGGQDDSSLFENYLIEEQDVDGSGYASGNGFISFREGIRNEVAEIRKEESGS >SECCE2Rv1G0141520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935821503:935824451:1 gene:SECCE2Rv1G0141520 transcript:SECCE2Rv1G0141520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRAMTVRRPSFSSFLFLCSHVLLAAFHTTTDAAKSTHPVLQVNCSTSGNYTSASTYAANLNQFLAALPEKAISKNGGFFNGTVGEGPDTVYGLAMCSADYSRSDCGDCLAATASSDANGLPNLCPGSTTVLAWFEPCLVRYSDTNFFGTAEIGSIYTSDGPSAGPAGLQYSKDVQRNLKEATGGAVVSPQRFAASSTDPYTLVQCTWDLSPDRCKQCLDVLSANASGKWSSMTVYGQRRSYSCAVRYSNTSFMVVPLGGAAGAPPPQPVDQETTSATQSSGATGKGSLTIGAVGSVLGVILFACLAGLIWYVRYGRNQPNTIGRAHKFPYQLLAAAARDFVVDRKLGEGAFGAVYKGMLMLQGKEVGVAIKKNTHTTSDQEKAAFHKEVEIMSPLSHRNIIRLVGWCDERNNLLLVYELVEDRNLQARLYGHGACVDAELSGARAPGSALDLDWLKRYNILHGIASGLEYLHNNCAKAVMHRDIKPGNVMLDRDSNAKLCDFGLVTQLTHAITSCSTNNVIGTQGYMDPAYQSTGQVTKGSDVYSFGVLLLEVVCGVAPNLIGNPPKNSLIEKVRECWERNAILDAADQRLRGNFDEEIKGVLLIGLRCVETSRGDRPSIRIVLADLVSIAAKSTSHNRRTSAVVGAEV >SECCE1Rv1G0062220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718635382:718637275:-1 gene:SECCE1Rv1G0062220 transcript:SECCE1Rv1G0062220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPGNASASRPMNQAVSLREETSGKAQADTPSLLRVQHLQRLGAWASGEAGVGSIGALLGRRFATDAEAAGIPIGASTFLCQRCESILQPGFNCTIRIKNNRKKAKRRKKSNPGQNSVVYACHFCGDQNLIRGSGKGIVKGLLSSRKPVSTMLKAENMNVPTAITTKKGIEHSVTAAVQLESSRLKISTLEKDKQGNGPKSNLPEDYKMEKGAVFSMVDRGQLAAAHKDILQKIEVESAHDRCVNGIEPAACKNTSTCEHDVTSQAEFLARSSFVTPQKNKVAEVTAPIASAEALKTRSTLKSKAQNCGSVAGKAPGSCSKSASNTKTAAGGSFNQAAGSSRKRARKGWTTLKQIAEKEELERKEKMDNFVIPFFMQ >SECCE4Rv1G0241460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:453030659:453045177:-1 gene:SECCE4Rv1G0241460 transcript:SECCE4Rv1G0241460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RSH1 [Source:Projected from Arabidopsis thaliana (AT4G02260) UniProtKB/TrEMBL;Acc:A0A178V5K1] MQPPTAALSPSSLECASSCRALWKGGGGAGRPYECSVLSCAWNAPRALTGALASTTQCSSCSHAEAVGGGRRRGRPPHSNNNTLLHITWAEDTNKGGSSASFVSSGKNFRYWSTPVKSMWRVSCYSSEPFDLVSPETLWESLRPAISYLQSEELNFVHDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDMVTFETIQSEFGATVRRIVEGETKVSKLGKLQCKNEGSSKQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYQIKSELEYLSFMYMNPGDFAELRKRVEDIFKAHEQELEEANKILKQKIAEDQFLDLVSVETEVRSVCKELYSIYKTALKSKSSLNEVNQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNLDGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVIDYAYLIHTEIGNKMVAAKVNGNLVSPIHALANAEVVEIITYDKLSSKYAFQRHQQWLQHAKTRSARHKIMKFLREQAALSAAEITADAVNNFVADLEDESDSEQLIRTAQNEGYKFNWQKILSSSKLSFVNKNSDGFLPVNNVHTPKINGKHNKTVKELGIKINGSTVRGDSSTEFMRPGVPPYKEVFASLDNWKCGKISSWHNTEGNSIQWLCIVCVDRKGMMAEVTSALTACGITICSCVAERDNRRGMGVLLFHFEGTDENVVSACSSVEMILGVLGWSAGCSCNPLGVLEC >SECCE1Rv1G0045610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:610646843:610647043:-1 gene:SECCE1Rv1G0045610 transcript:SECCE1Rv1G0045610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKDVRIRVILECISCVRKGANEESTGISRYSTQKNRHNTPGQLEFKKNCRYCRKHTTHHEIKK >SECCE4Rv1G0244640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:518672221:518673363:-1 gene:SECCE4Rv1G0244640 transcript:SECCE4Rv1G0244640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRT100 [Source:Projected from Arabidopsis thaliana (AT3G12610) UniProtKB/TrEMBL;Acc:A0A178VMS3] MASPAPIAVTPLLAIAILAVFVSATTAGPACSESDRDALLSIRAALSEAHLGVFSTWKGADCCTNWYGVSCDPTSGRVADLTLRGEAEDAVMAPDGHPASGVMSGYISDHVCHLDALSSLILADWKQISGPIPSCVATSLPNLRILELPANRLTGEIPPSIGSLSRLIVLNLADNLLSGAIPSSIASLASIKHLDLANNQLTGSVPANIGNLATLSRALLSRNRLSGPIPPSIGSLTRLADLDLSENHLTGAIPDSLGSSGSGVLTSLHLGGNRISGRIPAKLLGTKGLAIVNLSRNAVEGPIPDAFTGKSYFIVLDLSRNRLTGGVPRSLASAAYVGQLDLSHNRLCGTIPAGPPFDHLAAESFASNSCLCGAPLGKCT >SECCE5Rv1G0370810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:844059592:844060851:-1 gene:SECCE5Rv1G0370810 transcript:SECCE5Rv1G0370810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLTPRLRRAVLSAGGATFSSSSTSNLRISEPATAATSNAIVSLVAAGGDGGRLEADLDRLGPLSDAAVSAALRALTERVAPACRFFAWLSRHRGVAPSARAHNLLVQNAGRLADYPAMARALDQVSARRLSLTEQAFAFLEAAAKSSASASSVDDAVRATLRTLDDASGPCRASGVFSLVKALASIGEFEAAVWVIEETARRASYYNVLLAAKCKAGDFGGAREVFDEMRKGSCDPNANSWNYLLGCLLKNGRVTEACDLVETMERSDHDDIPNSLTYEILTYHACKAGKMDSARQILDQLFSENLTPRITIHTAFIKGYFYTGRIEDACDYVRAMSTRDSHSTNRNYSLLAKLLHRSGRIVEAGSVLYELMEKGLRPDHSAYVTVSKGLHKMGRGDLCAELKSLFQKFIVQSGLEH >SECCE3Rv1G0182050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:621768064:621768552:1 gene:SECCE3Rv1G0182050 transcript:SECCE3Rv1G0182050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSGSSLPAFPRPARPRSGQASYSPRRRVQSSSAQLLVYLALAVTLAALLVLTGVTLTVASVVLVVLAPLLLLSSPLWAPVAVVAIVAVGGLLLGCSLTVLALGAGTWAHRHLTGRHPVGARRVGYALGRGRFAGAGGHATGYHGQGHRYDRMKDAVPGA >SECCE2Rv1G0073910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:72608215:72612681:-1 gene:SECCE2Rv1G0073910 transcript:SECCE2Rv1G0073910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPATSAAAHRRRGKRRVVGAHGAGPLPRDRSHRLAFRSFTLRFALAAPPHCRRLGGARPPAPALSPRRRGSVVAPSRFLALRPFVLRYLLAAGASTPCRRRKASVIDMGHYISRLLRESTDEGGSRARKRRGKGLREVIDLSANRVQADKASAARRDIGDVSYITLEEVPDDSPEEDLSELFAPLTNEEESEVNNLLNGSAHSKKIIVLHERSNIEITKEKLWCLRPRGWLNNEVINLYLELLKERAEREPKRFLKCHFFNTFFYTKLACGKTGYDYQSVRRWTTPNKLGYRLAECEKIFVPVHRDVHWCLAIIDMKEETFHYLDSLGGKDSAVLRILARYIMDELKDKNNIEIDTSSWREVSVHIPLQHNGWDCGMFMLKFIDFYSRGLILSFSQEHMEYFRRRTAKEILRLRAD >SECCE4Rv1G0216880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13095137:13096824:1 gene:SECCE4Rv1G0216880 transcript:SECCE4Rv1G0216880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAAPHAQLLPDDILACALRRLEPRSLAASRCVCKGWRDVIDARRLLRTDLLPLSLYGIFFMEIDVLSGDPPKLFANPLTSRRIDANLDYADTEDGDLRIKNHCNGLLLLSDNLVVNPATRQWVRLPPPPPRCTENGMEGFFNNVCLAFDPTLSPDYEVFMIPRVPYNLDPTAAAIFTKESEWPPTSCAIRVFSSRTWRWEEKSFVRRGEAAGTIADMQQYKEFQRCYTVYWKGALYVHCQNDSIMRITLSDGAYQVIKSPSGGKMDGSTHLYLGKSNKGVYCALIYHNVQHQFQVWLLDESCGRMEWELKNDIKFAAVMEKISMVKYKDGFPNKTKHKDQNLRSWIFLRGNCRDEDVKEGLMEDNAEWDYSKGFILETRDLKVNGDHMHFEEVYFLGFHPYKETVFLWMSRDTSVAYDFSSSKVQYLGKLPAHWIGNSFPYTPCWIGELSEK >SECCE1Rv1G0058780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701869652:701870278:1 gene:SECCE1Rv1G0058780 transcript:SECCE1Rv1G0058780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGDFTFAVAAPLAGAGGRRVGAGLLYPVFGQPRSPPRQRALDTETTTATARVPLGRLLLVDAFRAEAEQQQPDGGDKRAAKTCYCSWCPGSPAAAAASSPARCRKSGSTGSVLRWSQRLLGRSHSDGKEKFVFLDASPSRSKRKGGRSCGPEAAGGVGGHAHVWSSYAHKGGGIDGRRRSFLPYRQDLVGLFASATAFRRSYYPF >SECCE2Rv1G0117480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:772753059:772766981:-1 gene:SECCE2Rv1G0117480 transcript:SECCE2Rv1G0117480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIASQLQAIKSALGSAPESTRRPITRPSVLFDAKEAADIDLRAILPIALSGLEHLTGVDERFGRYSSTLFSQTSLEVNREQQTQKENDKLNKSISSYLRLLAGYLQLPAALKTLEYLIRRYLVHVYNVDELLLCALPYHDTHAFVRIVQLVNLGNSKWTFLDGVKSSGAPPPRSVLVQQCIRDTSLLETFSNYAAPKKGFLHSRTVVCFCTAVIVECLGAIPKLDSNIVQRVVGYVFDSLNPDIGADRDYKAGALMIVGVLSTRATLAAKLVQDLIIFVARAAQHDALESVDLPWLRVTVMAIISLVQSQSVQEFRKKPLMILKDIRDFSGVLSVLSSEFNIENFVRLYVESLVDYSTSDESCHVHLIETIETLPIKNFIERIVRKVLGNCIKASRVTENPDINRTGIWAKKIFAAIEKIYSCELRDAIRKFLEESEINPVKGDSTSKLLSLVFDESKSMPAEISDANIWFSLDHPKAVVRQSALSKIAKSDILKKNTANPQKFINMQDAIIRSLYDDDLSVVQAALSIEGLAAIASPRGLLKAYDDLLVKCTDIICKGGSKASKACDVAVSCLEKMVMEYLVHHAEHAKDIATVVFGLLIVHPKTLKVNLKALELAKKIQWDFYASSPLVYELTAPEGKNVPLESIASINMSNIQAFAVTFLSNPNKHVEWLADCGNRSSFSRTPFLLIVLQALLIPTEVLDKQVNLCQVCLPALKNEWSHIQPKGGCIGDEISIDNLEKCITELVKHIFNNDTDALNARILACIFWGLLRVQSSYVKQNSMIDAGEKTALDDLFMFFITSPDNNIFQKHLQYLVANCTGAPIQFISKYLVDEGLSAGVQAECLLVLASICSACALSESSSMDESLCMQLLLLFPSLIVPLSHENKDVRSSAMKFIEGLSLVWQRLSTSVSKNGNNGKFPMSSPAFGVFLESLANQKAMISSDARFLPAYISSMLSPSQDLMVPENLHERIDQPTKDAILNFILHSSLKFSPCGKLMVLSALKGVGSILFKAEEVKSLFLYLLDRRSEHQSGHDSKQILTTHETQILCLLLEVLFAAADQTNIGFDTSEALLKALKVDGLSHEDPVAVMPCLTALQNLQPVFFENLKNDTKDKVFGVLISLFRAENLEIRNATRDALLRINVHASTFVKFIELVVAQGDKRGNPKRIKRSENLNRDNHFEEYFGEHPVPSILVSLLDIIFLMKNVNQRLCLLQPLCQILSKLLSDQWISGIVCQYNKGSSEILDVPSFVKESQHLVLLTLKDIIDTLQPGHQDNLLNNGNVNLLINFIRSTEDVGTHNHGLSLISSLAKAFPQLVSENIIDLFVAIGDAVKQEDSHSQHVMEDLLSVLVPCWLSKTTSIEKLLQIFIKSLTDVAEHRRVTLMVYLLRTLGENSLSTVIMHLLYTLIERGSHSLSKQKKSHCVLSLSAMSQEWEYGLAVNMTGQYSYKLWFPCLCKLLQEIKVHQKQVLLPMLHLALQFILLKLQDTELRFELEAEEAANSIQNSLGTLMEEVVLCTVSVKDKKGDISGDIRKEVRNNANTVLKIITGWMHASTYFKGITRLLEHPEGLVKRKALGILCETARMNNMVQNKQRKARKLKRSSLSTALQVDKSSCPYFSELCYKILELIDGESDTSVKIAAISSLETLAKEYPSENPAYSKCLATVISHISSGDSVTSSGLINTAGSLINVLGSKALPQLPLIMKNMLQRAHLVSCCPSGKYDHGSPRTDASLSNQSVSMLLSVLTTIQVIVQKLGEFVSPYLEEILDLVILHPECATQIDGKLDVKAADVRGLLTETVPVRLILPPLLNLYSSATKCGDASLSLSFQMLANVVGTMDRLAVGTYHTKIYEHCLEALDLRRQNLDFLKNINLAEQSIIHTIITLTMKLTESTFRPLFLRTLEWAESDVDQSTSKRSMDRAIVFYKLVNKLAEQHRSLFTPYFKYLLEGSVQYLSEDGVLISSKRKKKAKLGDGTVKHKDSLSGQKLWNLRALILQSLHKCFLYDNDQKILDSSNFQTLLKPIVSQFVAEPPESLESVPGAPSVEEVDEILVSCLGQMAVTARSDVLWKPLNHEVLMQTRSDNVRPKMLGLKVVRYMVQQLKEEYVALVPETIPFLGELLEDVELPVKTLSQEILKEMETLSGESLREYL >SECCE2Rv1G0132680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:893674969:893679875:-1 gene:SECCE2Rv1G0132680 transcript:SECCE2Rv1G0132680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair exonuclease family protein [Source: Projected from Oryza sativa (Os04g0635900)] MLSCHPYLFAVECHCREDDRDTLRILVATDCHLGYMEKDEIRRFDSFEAFEEICSLAKQKEVDFVLLGGDLFHENKPSRSTLVKTIEILRRYCLNDLPVKFQVVSDQTVNFPNRFGHVNYEDPHFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGQIAVHPVLVKKGTTTVALYGLGNIRDERLNRMFQTPHSVQWMRPESQEGLSVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFVVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKGNQYRPNKIPLMTVRPFEYAEVVLKDEADVDPNDQASVLEHLDKIVRNLIEKSSQPTASRSEAKLPLVRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKAAKKRQTSTGENIDESEKLRPEELNQQTIEALVAENNLKMEILPVDDLDIALHDFVSKDDKTAFYACLQRNLDETRKKLNSEAEKFKIEEEDIIVKVGECMQERVKEISLRSKGDTGLASSTQNLDTTRGKSVAAESSLNTFSDDEDTRELLLGTRSTRSTAGFTRPSKDATGAARGGASKRGRGKGSLKQTTLSFSQSRSSAAIRSEEVDSSSDEDAANEANEVVENSDPEDSFPQSGRKRPAPRGRGRARGTTTAKRGRKADTGSMPSMVMSKDDDSDEDDKPKKPPPRVTRNYGAVKRR >SECCE1Rv1G0060140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709219329:709219766:1 gene:SECCE1Rv1G0060140 transcript:SECCE1Rv1G0060140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMRVVHRDEEGHKVAEKVPVPETRRPDTARHVERKLEEQGLHRFDRHPANAPRGVGIGAPPPKSGRGGKYTWEGPEGLVHSELDPAPAAIDRNDPNYDEEEGGGGEQDDVLVGEVDVAKVAQARAGVARVEVAPPLLHHDHQH >SECCEUnv1G0569230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:438326173:438326547:-1 gene:SECCEUnv1G0569230 transcript:SECCEUnv1G0569230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKGAPVPEGLEPKSAVEIVEEVLKTEVKQSTFLRNVGLQSSRNISGKATAEVAAHVRDLEQKLERCELQAEVMQEELAAIKMKAEEYEAARDKELELLRKKSQEQEEQLAHLMAHFGDKAV >SECCE3Rv1G0194390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:780757970:780761709:1 gene:SECCE3Rv1G0194390 transcript:SECCE3Rv1G0194390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTEEQIGEFKEAFSLFDKDGDGSITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRQVMTNLGEKLSEEEVEEMVREADVDGDGQINYDEFVKVMMAKRREKRIEERRAPSAKKSAAGASGGKSGSKCIIL >SECCE1Rv1G0024480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:327395158:327403123:1 gene:SECCE1Rv1G0024480 transcript:SECCE1Rv1G0024480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARRDASALDPELLQLPELAPGALRENSSIAEALYLQWLVLPESSKLVKSLIEDAKAGATLNVAGSSASTNAASSSSLPSMFPAGSAPPLSPRSTAGSPRVMRRGSSAGPSSLGSPLKLVSEPVREVIPQFYFKHGRPPPKDLREQCLSRLDHLFFAGEGLQIQEFRPVIKDICKLPSYLSGVLFKKIDAPCSGTVTRDAFIDYWFNDNKITMDTATQIFEILRKPGYSYLTQEDFKPVLKELLATHPGLEFLQGTPEFQDRYAETVIYRIFYSINRSGNGHLTLRELKRGNLVAAMQQLDEEEDINKILRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRVFSQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTTNEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENETYFTLRDLKKCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPNLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF >SECCE2Rv1G0096740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:444120176:444124901:-1 gene:SECCE2Rv1G0096740 transcript:SECCE2Rv1G0096740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKGKADPAAFSIAEAAFGDRTDIDDDGRERRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPAVLVAFSVITWFCSSLLADCYRSPDPVHGKRNYTYGQAVRANLGVSKYRLCSLAQYVNLVGVTIGYTITTAISMGAIGRSNCFHRNGHNAACEASNTTNMIIFAAIQILLSQLPNFHKIWWLSIVAAVMSLAYSSIGLGLSIAKIAGGVHAKTTLTGVTVGVDVSASEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSSPAENTVMKKASLIGVSTTTTFYMLCGVLGYAAFGSSAPGNFLTGFGFYEPFWLVDIGNVCIVVHLVGAYQVFCQPIYQFVEGWARSRWPDSAFLHAERVVRLPAVLGGGDFPVSPFRLVWRTAYVVLTAMVAMLFPFFNDFLGLIGAVSFWPLTVYFPVEMYMAQAKVRRFSPTWTWMNVLSVACLVVSVLAAAGSVQGLIKDVAGYKPFKVS >SECCE3Rv1G0211600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:951321791:951322819:-1 gene:SECCE3Rv1G0211600 transcript:SECCE3Rv1G0211600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRSRALLLLLLCSLLAGAANAEPSPDQTYKDCHPGDKAALLAVKAALGEAYHFASWTPDNPCCDWYDVTCDHFTGRVVGLAVFQDANLTGTIPSALAGLPHLQDLTLRHLPALSGPIPPAIGKLSNLSSLRISWTAVSGPVPSFLGALKKLTFLELSFNSLTGAIPASLGTIPNLSGINLSRNRLTGAIPPLFLTKSSDQDVYLWLSHNNLTGRIPAEFAAVNFTHLDLSRNALTGDASGLFGRGKELQYIDLSRNDLDFELSGVVLPEQLYFVDVSHNAIHGNIPAQVASLSNLQFFNVSYNRLCGPVPAGGNMARFDLYNFQHNKCLCGSPLPPCKKK >SECCE7Rv1G0469590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:110912573:110916628:1 gene:SECCE7Rv1G0469590 transcript:SECCE7Rv1G0469590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTPQGEASSSDPKSKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADDTCEEPKIRMNKTVRKNLRVRLGDVVSVHQCPDVKYGKRVHTLPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPVKREDEERLDDVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLFGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVELEHISRDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALTTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSSGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDVESRHQIFKACLRKSPLAKDIDLSALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRKDNPEAMEEDEVDEVAEIRAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPDQPAAGAASAAVADPFASAAAAADDDDLYS >SECCE3Rv1G0192450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:758478597:758479449:-1 gene:SECCE3Rv1G0192450 transcript:SECCE3Rv1G0192450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSLLLLTTLLAFAFKASVAQWTPAFATFYGGSDASDTMGGACGYGNLYNGGYGVNSAALSTALFNNGASCGMCFTITCDASKTPSCKQGTSITITATNFCPPNYALASDNGGWCNPPRQHFDMSQPAWETIAVYQAGIVPINYRRVPCQRSGGMRFTINGNDYFELVTVSNVGGSGVVSQMWIKGSKTDWIVMSRNWGANWQSNAYLNGQSLSFRVQTDDGRVVTADNVAPYNWWFGGTYTSWQQF >SECCE1Rv1G0039230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:546668275:546669598:1 gene:SECCE1Rv1G0039230 transcript:SECCE1Rv1G0039230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDKAAGEKAAAEPKRQTPRLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGMIKVDRILYSSVVYPHNYGFVPRTLCEDNDPIDVLVLMQEPVQPGAFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYHNLNHLSELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPADDARAAIQHSMDLYAEYIMHSLRR >SECCEUnv1G0545310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:140242365:140243033:1 gene:SECCEUnv1G0545310 transcript:SECCEUnv1G0545310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDTLNSHPEAQLELMNAMLQLEQLTALPDHAMPPVPPSPCTQAPRHHFSSAPHMASTNAGVAYHDPYSSQLPNSAPYNGGHRRSEYTATSQPQTDGAGTTGPAAMREMIFRIAALQPVNIDPDTVRPPKRRNVRISTDPQSVAARMRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAANGHRASPNGASAAAAYQGLNGPW >SECCE2Rv1G0114420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:734396567:734398669:-1 gene:SECCE2Rv1G0114420 transcript:SECCE2Rv1G0114420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDREKEREAELEGAMYTNCLLLGLDPAVLGSPAGAGAAPARVGLFRHSNPRLGEQLLYFLLSSLRGPAQSAKDFDKVWPIFDSAQSREFRKIVQGVISELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFPADAASNPLPAALTDVSYLHAAALLPVTKARIALERRKFLENANIAVQRQTTWSNLAHEMTAEFRSLCAEEACLQQELEKLQVMRNKAKLEGEPWDERISSSSGQNSHLVSKATRLWESILARKDQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDMGSSLDKQEQISLFQGKEEALSRLDDRNGRAQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGEGPELLRSASDGEASSHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIAELSEEVNSVPSNPMDQTNSRQLSVQNTVLGRSEESSSEVSEMTSRLSSIRIDKAGSSPALKLPPLFSLTPSSSGKGTQTQRRNALARQPSKEIMLEEKALIDLSTKDQANGSVPENDGYSAHDIRRSVREAALSKPLSSRESAQDKSSDDGSEHFFIPLSTVASRKDVGAVANRRKQRTGLPSSQSKLPKSTGDLYFNPDGPMPAAPALSGKLNGYDDPSSAANFFDPVSGQSFMTDDALDQVFSPPLMLEPSLFHDTYAYEDLLAPLSETDAALMEH >SECCE5Rv1G0351910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:705246689:705247792:-1 gene:SECCE5Rv1G0351910 transcript:SECCE5Rv1G0351910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHLGGDGLPSGSTSSIIAGAVSGYHLLKIVGCSRIKEVPNGKCIDSCRFRVGGRTWHVTYYPNGRKSEHIDFIGLYLTLDDTIAEAETVKAQAKFSLLDQDGKPVPVHTLTTKIKDFSVDKTWGFPMFMKTEELEKSEHLKDDSFTVKVDVTIMSELHAQVTPSILVPPSDLHRHLGVLLSSKAGVNVEFRVGGETFSAHRSVLAARSPVFRAEFFGPMKEGTTTEAIRIDDMEAQVFNALLTFMYTDTLPDMKQPEESAMAQHLLVAADRYDLERLKLICADKLCKHIDTSSVATILALAEQHHCHELKAACLVFLSSPTNLDAAMESEGFDLLTKNCPGVIKDFLVSHVVPSLLGKRKSKA >SECCE2Rv1G0112000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:706051925:706053344:1 gene:SECCE2Rv1G0112000 transcript:SECCE2Rv1G0112000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT5G12380) UniProtKB/TrEMBL;Acc:X5JAK8] MRAGENQICRRRTRVMATISVPQVVPSPVEDAEALMKAFQGWGTDEQAVIYILAHRDAAQRKLIRLAYEEKYNERLTDRLQSELSGDLQTAMGYWVLDPAERQAVIAKAATECIEEEYPVIVEIACANSPAELLEVKRAYHAIYKRSLEEDVAAGATGNLRDLLVALVSTYRYDGDEVNGGLARSEAKIIQADVKNGVTADHGELIRVLGTRSRAQLGATFNCFRDEHGTTVTKALLHAPDTTGYARALRTAARCIADTDKYFAKVLRNAMLKSGTDEESLLRVVVMRAEKDLRVIKDEFHKRASVALEQAIAKETSGDFKTFIMALVGTSQ >SECCE4Rv1G0293890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887375135:887377024:1 gene:SECCE4Rv1G0293890 transcript:SECCE4Rv1G0293890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGPLHVWNKWAIQILVLLSFTLQILLFLLASTRRHRSSTWLRICLKLILWLAYLMADSTAVYTLGHLSISGWLPEHELVAFWAPFLLLHLGSQDNITAYALEDNQLWTRHMLTLGVQAIGVCYVLYNHMTHKPAMVVAGSLMFIVGFLKYLERTWALKRATLESIRSSIKAQPPRDAPVGSTENDKRVPDEEELLLFAHHVLPTCMAALTDYSVDSGKVSGDWKGQHIGKVVELELSLMYDILYTKATMIHHWYGYFLRVISPLATIAALLLFHLYGKQGQSKIDVIITYILFGGALLLDMVSLVKAALSTWTCDLLGNKVGWGATLCKRLQSSRRLIKAASCRLICSRGWSGSIGQYNLFDVCSRDMTKRSIKVLEKIKLDDWWRKYQYKGTLVIQSNVKKLLFERIWQTIGSYKVKSPQGNFELQEVIFSWHMTTNIFLSYREEKEVESPCVKAIKALSNYMMFLAVARHDMLPALKLRSMCEQNCNDLQEIWSDAAPEERKSGFVGILAGLIAEKAKATYFSYDKRDYGTVFAQELQRGIGGGQHLIPRISLDGDTRPMFEYILPKYKTVRNLEHALDLVLDAWVRMLVAASIKCSRESHAKQLGRGGELITIAWIMTEHLKKD >SECCE1Rv1G0039970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:555408222:555411496:1 gene:SECCE1Rv1G0039970 transcript:SECCE1Rv1G0039970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRSCVSVKPAAGPARYRSARFGLASLEPTSLRISASSSSSSLGSGADACGRGVGCAASISGRGVAVAPLVGDGGRARRRGGREVVATCSASLEGVRHGAAAAAVPSLPALSERAKVVALVAAVMLLCNADRVVMSVAVVPLAAQHGWSSSFVGIVQSSFLWGYVFSSMVGGALADRYGGKKVMAGAAALWSLATFLTPWAASQSATMLLAVRVLFGVAEGVAFPTMSTFLPKWFPTHERATAVGLSMGGFHLGNVVSFLATPIIMSHIGLAGTFAFFASLGYLWLSVWLLNVESDPIDSRTISKSELQLILAGRSKSKVKGSKSPSLREVFSKMEMWAIIVANVINNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWGVMALSGYVAGASADFMIKSGLSIVRVRKIMQSIGFIGPGVSLLCLRFAQTPSVAAVIMTAALGLSSCSQAGYFCNVQDIAPKYAGSLHGMTNGIGTVAAIVSTVGAGYFVQWLGSFQAFLTLTAVLYFSATVFYNIYATGDLVFD >SECCE6Rv1G0404330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:515506587:515510599:1 gene:SECCE6Rv1G0404330 transcript:SECCE6Rv1G0404330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGVCGHYHKCEEGEICGVCGHRPAAADAVAPARVDSAFPSEVLKGFLFLGSYDNASRSEVLKTLNITHILNTVPDCHNLYRNSFTYHCLQDDKTLDFDGATQFLEQCERGASRVLVHCMSGKNRSAAVVTAFLMKSRGWRLAPSLQWVKDRRPQVQITEASQCQLVEYEQKLFGPSAGLPAQTTVLTESFPSLGFGFPQPSGDIQVPAFNQTPVPSIFERVGPNNVPSNFTFGAEGSMGVGVGPAAGINNGAVTPASTDNQMDSS >SECCE4Rv1G0285250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843315615:843316025:-1 gene:SECCE4Rv1G0285250 transcript:SECCE4Rv1G0285250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE6Rv1G0427900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:722041822:722042127:1 gene:SECCE6Rv1G0427900 transcript:SECCE6Rv1G0427900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSAVLKVVIGHLNSTILGEIKLDRNMRNDLANMKMTLESVDAVLSDAESKSIKHKSMLLWLKQLKDVANGISHMLEDFEDETDLNLVCKILIWSLSILI >SECCE1Rv1G0047090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:621320361:621322838:-1 gene:SECCE1Rv1G0047090 transcript:SECCE1Rv1G0047090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAELGGSISRALARMSSATVVDENVLRECLNEIARALMQADVRFKMVCDLQANIKKSVNLEALAAGTNKRRIIETAVGKELCKMLDPGKPAFVPKKGKPNVVMFVGLQGSGKTTTCTKYAHYHQRKGFKPSLVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGLEKFRQEKSDLIIIDTSGRHMQEAALFEEMRQVAEATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFDVFDVEPFVGRLLGRGDLPGLLDKMESIVTAEQQSELVAKLAEGAFTLRLMYEQFQNLVKMGPMGQILSMLPGFSSELMPKGQEKQSKEKIKRYMTIMDSMTAAELDSKNPKLMTESRILRVARGSGRQVKDVTDMLEEYKRLAKMWSKLNVSKLIPQNGKMSDQAVQKMLKAIPPQVVQQMGGKSGFEALVKQMGGGKDMSKMLAGMRGGA >SECCE2Rv1G0137010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:915939409:915942876:1 gene:SECCE2Rv1G0137010 transcript:SECCE2Rv1G0137010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAASAWRRSLRGALLRGSPWRAPAPAPAGARQASRTVSAVATAEGPTLPIKVPPPPRKGRLLTGAMIGLAIAGGAYVSTTDEATFCGWLFKSTELVNPLFALLDAEFAHRLAVSAAAHGFVPREKRPDPPVLGIEVWGRNFTNPIGLAAGFDKNAEAVEGLLGLGFGFVEVGSVTPQPQEGNPKPRIFRLKEHGAVVNRCGFNSEGIVVVAKRLGAQHGKRKMEETSSSSDVKQGGKAGPGILGVNLGKNKTSEDAGADYVQGVHSLSQYADYLVINVSSPNTPGLRALQGRKQLKELVKKVQDARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALSSKLDGLIISNTTVSRPPPADAHPLAQEAGGLSGKPLFDLSTQVLRDMYIRTGGKVTLIGCGGVTSGEDAYKKIRSGATLVQLYTALAYGGPALIPRIKAELAECLERDGFKSVQEAVGADFKPLKA >SECCE5Rv1G0325040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:459749468:459753068:-1 gene:SECCE5Rv1G0325040 transcript:SECCE5Rv1G0325040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRAMESLLGANPRLLCCLFLLWALAAAAEAKTVHEQWDISYQFTHSDCVRKLAVTINGRTPGPTIRAVQGDTVVVTVKNLLMTENVAIHWHGIRQLGTPWADGTEGITQCPILPGDTFEYRFVVDRPGTYMYHAHYGMQRSAGLNGMIVVAATPGGADAEPFAYDGGEHNVLLNDWWHKSTYEQAAGLAAVPIEWVGEPKSLLINGRGRYNCSAMAPDAAAACNATHPECAPQVFAVVPGRTYRFRIASVTSLSALNFEIEGHEMTVVETDGHYVKPFVVKNLNIYSGETYSVLIKADQDPNRNYWLASNVVSRKPGTPTGTAVLSYYGGRSSPRAPPPTAPPAGPAWNDSAYRISQSQATVAHPGHAHPPPPRADRTILLLNSQNKIDGRIKWAINNVSFTLPHTPYLVALKHRLRGTFDESPPPETYNHTGYDVYGVQANPNATTSDGLYRLAFGSVVDVVLQNANMLAPNNSETHPWHLHGHDFWTLGYGVGRFDPAVHPATFNLRDPVMKNTVAVHPYGWTALRFRADNPGVWAFHCHIEAHFFMGMGVAFEEGIERVGKLPEEIMRCVNTKGGRH >SECCE5Rv1G0361390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:779209912:779212998:-1 gene:SECCE5Rv1G0361390 transcript:SECCE5Rv1G0361390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPAGMAAAASTRRRALQGLAGLFVLAYALFALLLAPPPSLPLPAGAGAGARHLHVDGDEAGGRGAPLRPSKRAHREALEAAPSGIVSGLDLGRLNSSRAGGSLRKVAAEAAAAGARLFADLHALGGASLPDPLDDPVDDERAKCPHSIVLAGDEFRARGRTVELPCGLTLGSYITVAATPHAAHPERDPKIAQLREGEEPLMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTSLRCEGWMSRADEETVDGMVKCEKWIQDEDQSKESKTSWWLNHLIGRTKKVSSSWPYPFVEDRLFVLTLTAGLEGYHVNVDGRHVTSFPYRIGFGLEDATGLSLNGDLDVQSVFAGTLPTTHPSFAPQKHLEMFPAWQAPPLQEEPAEIFIGILSAGNHFAERMAARKTWMSAAQKTPNVVARFFVALHARKEVNLELKKEAEYFGDIVIVPFMDSYDLVVLKTVAICEYGVRAVSAKYIMKCDDDTFVRLEAVMAEVKKIPTGKSLYIGNMNYRHNPLRIGKWAVTYEEWPEEDYPTYANGPGYVISADIADSIVSEFTDHKLRLFKMEDVSMGMWVERFNNTRPVQYVHSVKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQAGRPRCCNMR >SECCE1Rv1G0017580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:157459366:157464689:1 gene:SECCE1Rv1G0017580 transcript:SECCE1Rv1G0017580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAQAGFGLTRVVMLVGAGVAGSVVLRNGRLSEILTEIQEFLEKGEMGRGGGGGADHGINDALNEVRQLAMQVRNLGSPRSITVLSGGSGQTGVSGLIVPAATVGALGYGYMWWKGISFGDLMYVTKQNMANVVSSMTKHLEQVQSSLAAAKKHLTQRIEKLDDKLDQQKALSGQIKDDVTGARLKLENIGSEIKNIKELVWGLDEKMDSMEAKQNFSCAGVMYLCQFIEQSGGKLPERLEGIKPSAKRFETIGIQGLQLAIETGNFSDFSNADSTDKISRSNSFKSAN >SECCE2Rv1G0075790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:85613893:85615635:-1 gene:SECCE2Rv1G0075790 transcript:SECCE2Rv1G0075790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSRAMALVMLLVSALFFVTSHASLPIPIQAPADDFLRCMSASVPGNLLFPRSSPSFGSVLASSVRNPRFLGPAIVRPLCIVTATNASHVQAAVACGRRHGVRLRVRSGGHDYEGLSFRSARPEEFAVVDLAALRSVRVTVREPAEAWVESGATLGELYHAIGRATDRHAFPGGLCPTVGVGGHLSGGGFGMLLRKYGLASDHVINAVMVDAEGRLLDKQAMGRDVFWAIRGGGGGSFGIVLSWKVRLVAVPPRVTVFTVVKTAQEGAADLVAKWQEVAPALTDDLLVRVVVQGDKARFQALYLGLGGRDALLSVMGSRFPELGVNPSHCKEMSWIQSVPYIYIGDKASVDDILNRTVARDSSPSKSTSDYVRRAISREVWAQIFSNWLARPDAGLMILDPYGGSIAGVAESATPFSHRAGVLYNVQYMNFWGVGGDGAAHTKWIRDMYAFMEPHVSKNPREAYVNYRDLDIGQNVIGAGNVTSFEAGRVWGEKYYSKGNFRRLAMAKGQIDPRDYFRSEQSIPPLVGEQLELELELASSRNVPHVDGVNAGWWAVNCGTGSLCSVLSSALTKLMPAFS >SECCE4Rv1G0267990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:738655259:738656861:1 gene:SECCE4Rv1G0267990 transcript:SECCE4Rv1G0267990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRDLGLALLLLFSAAVLLSAVPAARAQQETDHEEEFSYSLDAENGPAHWGDIKEEWSACGKGNMQSPIDLASPRVSLVRGLGYLNHSYVPANATIINRGHDIMLQFEGDAGSVSIEGTPYYLRQLHSHSPTEHSVNGRRYDMELHMFHESAQGKAAVIGVFYQIGAHDAFLHKLEPYLEMITDRKDREEKMGLMDPRGARGKASVYYRYVGSLTTPPCAEGVIWTIVKRVRTVSRHQLELLREAVHDDMEKNARPRQEANNRDISMFRPFEQNRH >SECCE1Rv1G0022330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:283704903:283706237:1 gene:SECCE1Rv1G0022330 transcript:SECCE1Rv1G0022330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMSKRKKMVRGAAVFVALAAMAVIVRAIVRKRRPRITYGPMHERDRIRYDYLNPKIWQSDVLCKNMLRFERAAFFNLCAIMRDRKLLEDSPHVSVEQQLAMFLHTVGHNLRNRVVSANFCRSYGTTSIYFRKTLHAIGELRNDYIRPPSLETPAKIEGNHRFDPYFKDCIGAIDGTHVRAGVTKDVEHSFRGRKAFTTQNVMAAVDFDLRFTYVLAGWEGSAHDATVLADALTRERGLQVPPGKFYLVDAGYGAKPGFLPPFRGVRYHLNEWGNNPVQNDRELFNLRHSSLRVTVERAFGSLKRRFKILDDAKPFFTFPVQVDIVIACCVLHNYALSQGTDEFIIPEVTWTTQPIRTSRQQASDTRAVVDRRLQMAAQMWADRQLMYANV >SECCE6Rv1G0438730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:792055048:792056437:-1 gene:SECCE6Rv1G0438730 transcript:SECCE6Rv1G0438730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVFGIPISVQTVIATGEYKEPITQKDVADYTMKNINAGGKDLEAQKFVDNLKERYGNGISVKCLMYNATGTTLSLAVYHDWHGHIYDTPYPSDIQNGQWGAFLHVHPTGAAAGSAGAVVYRSKIPSSSSSCDWLFSWTVPYIGGNGVYTEIREEGHYPSVGSWEYIYNVKLANSNLSSTDKYYGYVSKTDIGEGTTMNARAVFQLPY >SECCE7Rv1G0463670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:55945307:55946794:1 gene:SECCE7Rv1G0463670 transcript:SECCE7Rv1G0463670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSQARSINNQGTYIARMAARTVLDKLKVRRGTPSLVAPARATPRESMALSDIDNQPVMWFYSTVIHLYRGDPSKGLIDPAVAVRAALAEALVHYYPVAGRLREEAGGKLVVDCTGQGVMFVEADADIAIDDLGDVRYPPFPRSEEFIYDDHVYRAPPPGVPLVLAAIVNQPLLFVQVTRLKCGGFTVCHQSAHCISDAAGLAQFWKAVGELARGASAPSVPPVWARDFFNARQPPLPSFPHDEYREPADVCDGDRIASTPPCDMARVQFSFGPRAIAALRSRVAPRAASASQFDLVAACVWRSRTAALGYAPGDEVGLMMAVNARGRRMDVFGREIPAGYYGNAFAFTVARSAAGELCGRELGYAVGLIRAAKAKVTYEYMRSVADMMVLESRPVIARKRTFGVSDLSHAGFDEAEFGWGKPVYSGVTMDAHRGATFFIRGKNEEGEDETFVGIYLPADCTERYRKEVLALTTKLEPRMEKTWSAPFAPRARY >SECCE2Rv1G0127710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:855535003:855535377:1 gene:SECCE2Rv1G0127710 transcript:SECCE2Rv1G0127710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKFAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVVVHVRELEQKLEMSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE7Rv1G0475080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:182291221:182292099:-1 gene:SECCE7Rv1G0475080 transcript:SECCE7Rv1G0475080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRRFLFGVCAVALAIGLANAVHGEAAPVVVGLARCSDCTRKNLNAEAAFKGLQVAVKCKNGKGEYESKAVGNVDKSGAFSAPLDAGLVGDDGELKQDCFAQLHSASGTPCPGQEPSKIVAARPGHDGQTTFVALAGEVHQPSAECASAFLCDPLHKHHQAVFHKPVIVPPKHDHDHDHDHDHDHGHDHDHDHDHGHDHDLPPAVKTPTTPVYTPTVPTPTPIYHPPAQRDAVTEPELFKKMLPFLKKLPFFPPAKQSGGKP >SECCE3Rv1G0212360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:955044877:955047137:1 gene:SECCE3Rv1G0212360 transcript:SECCE3Rv1G0212360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVLLDREVDLCDQEAEMSQGPIRLSWGVLPGRGSAKGREKLSDAVAEYVQTFKAQPLVAEPPEISSLNIRPPKSAPVPPTSGLDTGRISSADKHLVALYVGGYRPGYSLPGSYLIYDATNDSISAVPCIPPDTRNRAMGYQSAVVMCGEGGYLLAELVWVCPQDSQAALCLWESSAKQWVLKRRDLPLPPGTTAFNFSVHSCFSYGGSFLCWVDLHRGMLLCDLRKDCNFSFIELPQGPPNYDASDYPDGLRAEEFRSVACVRGSVKFLAFNKFVERKPGETFGLTVWTLSPDQSVWSISYKCSVGDIWANANYQSAGLGQLSPSFPVLSIHQDGVVYLVVNDTIVVGRRLVFKAQYLLRVDMGNNNDVQVYKQKTTRIYSQLFASEFSAHRRQEHPREMEASKFGARGKRMKA >SECCE2Rv1G0122720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:818246552:818249153:-1 gene:SECCE2Rv1G0122720 transcript:SECCE2Rv1G0122720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPRHTLSKSSAHPRGIPRRALPRAAAALFLVTLVGVALPLAVLHRAAVSRLSLEDPWGRYALPSLAADDEEVAQGDDDLDSEDLKLDRVLQKASMKDNTVILTTLNTAWASPGSVIDLFVDSFRSGVRTSSLLNHLVIIAFDGDAYRQCVKIHRYCFALGTEGVDFSEEKRFLTSGYLEMMWKRLDFLRLVLEKGYSFIFSDADIMWFRNPFPHFYPNADLQIACDHYVGNATDLRNIANGGFNYVKSNERTIEFYSFWHSSRLRYPGYHDQDVFNAIKHDPYVADIGLEIKFLSTAYFGGFCEPSRDLNKVCTMHANCCIGLRSKIHDLRIMMEDWRSYLSLPPSLKRLMALSWRVPKNCSLSSLN >SECCE6Rv1G0446310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:843475268:843476383:1 gene:SECCE6Rv1G0446310 transcript:SECCE6Rv1G0446310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRCKVSATMVAQSEKKSSCVIKVDGYSRGKELFKKGMYMTSPPFNVGGHNWVVRYYPNSDSAKPAGFIVVRLVLDSADATNVEAETIFSMIDKDGLPMRPHPRDHVFPHKGSSIRYTFQQAALEGSTHLVDDCFSIRFDLTVVINNTQSEEKGQFVAVPSSDLHRHFANLLETMDGADVTFLVAGDKFLAHRLVLAARSPVFKAELFGPMKEKHDNLIEIHDMEPHVFKCLLYFIYTDTLPAPDMESLDVMMASHLLVAADRYNVERLKLICEHKLCNHIDGGMVATSLVLAEQHSCHGLKKACLQFLASPSNLQTMMASDGYEHLKSSCPSVLRELIARPLPIPLLAAKDIIMAIFIVWLVIVVHKLV >SECCE7Rv1G0493750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:574435625:574436275:-1 gene:SECCE7Rv1G0493750 transcript:SECCE7Rv1G0493750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMAITTATLVLLVASLLAPSALGSRSGPSSHHGHGGHAKHSPPPSPPPAPAAPVAAALVRTTCNSTAYYDLCVAALAADPSSTTADVRGLSAIAVSAAASNASASAAALGANVTAQGGAAVDGTVQALLRTCSAKYGEARDALAAARGSIAQQDYDYAAVYVGAAAEYPQVCKALFRRQRPGAYPADLAAREEALKQLCSVSLDIISLLSATS >SECCEUnv1G0539360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75611328:75616187:-1 gene:SECCEUnv1G0539360 transcript:SECCEUnv1G0539360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSSAARRTPRGSPPKHRHDGTSPLPLGMDWSPPPKRWEGRNTVWPHNPQTGWSYCVTIPSWIAQTPEAGAASDNFLKSIVFYRIHVGIQSPEGVSSSHGVLRRFSDFLKLCSDLKRMFPRKDIPPAPPKHAFLRINSSRLLLEERRNTLEEWMQKLLSDIDLSRSAHVAAFLELEAAARSYFQDWNQRPPEADTSVKSSTDSSRHSDDPGSGALSESSQINPGLVRSISLTGATGNGVLGESILGQSDQHAASVSKSRKQGLVFSEHDGRNGSVESSKGVVSEEDCDSNPGHARKDSSESLGSDLSSLRGSELSIPGASSSLWDGPVDLPSSVDGHSQTENLAGVDMQFLYDMDAQIILPTDQRQKLSRLLVTMQRRIGTAKTDMEDLIARLNQEVAVKEYLSTKVKDLEGELEATKQKGRDTLQQAILAERERITQMQWDMDELRRKYSEMESNLKAEQDEKTRVESEKATASGNREELVEELETKRKEVDSLQRHLGEVEAKSKADMKVLLKEVKSLRNSQKEMKKVLNQYLEEKTELERIINREKQRSARVKTSREKMLHECRLLRERLQECSAKFLAEEQDNLTVDPSSLPDALDLLATSDNRIRLLVAQAQHLALDDEQGGSSDDGENSDGKSSITMSSEDDEETTKMLSDLLIDNAQLRMRLNSVIRNAVNTSVKPEKEDADGAVPKKTVLNWLLDR >SECCE7Rv1G0503720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:715642678:715646892:-1 gene:SECCE7Rv1G0503720 transcript:SECCE7Rv1G0503720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASTVAARFAFFPPSPPSYGVEPPPSPAAAAADGAVVELSGVPRRGGVEARRLPTKRGTEVVAMYVRQPGARLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYRCLIETYGASEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPISSGLRVMYPVKHTYWFDIYKNIDKVALVKCPVLVIHGTADDVVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVTAIEKSPPLKDESPESSGPSDLETGSESMESSRKSTDVRDKSRSSTDHRRSTDRREKPRSSIDRKDKGRKSVDHPDKPRASVDQSDKPRKSIDRFGGMMRSVKLCNIDCFKVTSTSRS >SECCE1Rv1G0054630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675598449:675599546:1 gene:SECCE1Rv1G0054630 transcript:SECCE1Rv1G0054630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPLLHPAPLLGPFRRLFRALHPAPLLGLFIPIDGSAAPSFAPLRRRSDPDVTAALRRGDFFLTSLPLEADPSKGWSLMDCRDGYLLLWNMLSLAAVNPLTWAVDIIPMPGDGVRAGSRRHFSFLGFHLLCSDERPSSFRVVCICSNPRRVRAAVFSSETWDWVVHPWVHVGGNRSLKFNAGALANGSIYWPVDGEPRVIRINTATMDVSSVDLPSEVKVHGFNFSAGDTKDGQLCIVHESDFFLHVWIRGVDGDGVEIWVPDTVIDLSAEIDRVTHGFALDLHGDLKVMEVRHGYIYLSTTCLTPSGTLHCWFFSLSLETLVLELLVSGKFDGCAHLYNMAWPPSLVGDDGSTEHEVEGSH >SECCE4Rv1G0262630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:709062067:709063727:-1 gene:SECCE4Rv1G0262630 transcript:SECCE4Rv1G0262630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFEDGQHVRLRSRERGTYLHADDDGLGVSLSRRRASMNAAWAVHIYQGDRGPQYLLLHSAAYGRYLGATDAPAPRGHSGRRVEQCDYEPWEEMAIRWQAVRIGSGDDILLRQFAGRLRANGRYLSVDAFNSAGTMMHWVVEQIPAREDTPRLAAPTGLRLPRSLSFLLPWRVIQYQQAGADEPNPNFAWPSFLFRGRSVFHLRNKLSRRLDAAMDASNLVMCVQAGTLGRPTPLLVDLPHSDETLDIIVVMAGTPAHADLRYPNVNAE >SECCE5Rv1G0324960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:458977447:458978058:1 gene:SECCE5Rv1G0324960 transcript:SECCE5Rv1G0324960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEWWCWPLPAWLGSGAAWFVLLNLVVGAIIALSSRAQPQSPSPRRAGSGNRITRRASSAVLQRIRSFNIFSFPSSCFHTAEPSPGATATFREGETEDAATAKTSTPSTPRPPQPEAAVEEDEVDDESSMSMDEAYALVLAARRRAPPTEEEAARSEVDAKAEEFIQGLKEDLRQQRLNSIFNYTQMLKRRVAAGRPPAAP >SECCE1Rv1G0060640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:711187834:711194205:1 gene:SECCE1Rv1G0060640 transcript:SECCE1Rv1G0060640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATLHAKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVSRLLIDINQAWKIRPAVDHTVLPKGKAQAKYEAVTLPENVMDMEVEHPVLFTDTDTARFRGMRLEDLDEQYVNVNLDDDDISRADRHHQADAVNITLVDNFESGLAETDIFNRFERFDIADDDTTVYITPDGFPEAPSTLVPSPPRPEDPPQQQEQCAAPSPIREEPQQGGSLKEQEEQKTKKEQQPTRPAKRKARSKGPQVVMDNQIMIPGNVYQSWLKDPSSLISKRRQVRSKINPIKAIKIGELMDLPPSALMSCSDDSQEIYYPQQLMQLWKECTKVKPPKPSSSSGDKSSSSQSQEMQPKNSPPQPQGDQNEMGAQPMDFTDGIEKVRANKSGEFEGVFSGPHGDRSVTPGSPGLSRRSASSTGGSGRGGFLPLEPEILLQSESGRAKRRQLSSGRSLGNLDPVEEEFPMEQEGRDFKLRRLSDIEPTPDLMVETEPTQTLFSKQSSPPDHITESIHSYLKLHFESPDAPPSESLSQLTYGMNTSQAACLFYQTCVLATQDRIKVTQVEPYGPILISRGANM >SECCEUnv1G0551870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:240784336:240787206:-1 gene:SECCEUnv1G0551870 transcript:SECCEUnv1G0551870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGSEITYGEAMVPDDGWVEYLNNKWDKNIVLEETAKFSELKLQAETEQRPHKVSFLVDKKNAQGVIKSLSEKFEKRGVDAKIIYSGGQDLDILAQGAGKGQALAYLLKKLGSCGKTPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWHAENAKDNPKIIHATERCAAGIIQAVGHFKLGPNVSPRDVEFPYIKEDSFKPTAAIVKFYVLYEKWRRADVPKADSVIEYFKNITDANGVIIHPSGVELPIHSSIGELASCYGDKQGKKYRSWVDRLVILQTASDSWLVRFDLWEAEGAAWACCFTTLALNVKPETPGGFVVTHIHKTWHKEYPGAEQSSKL >SECCE3Rv1G0203600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:884230106:884232820:-1 gene:SECCE3Rv1G0203600 transcript:SECCE3Rv1G0203600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGMKRQASAGSLEQGPSGELRRQTSLESSPRSGRAANRYLFGRQSSMDPNRRRGRSQSPVGAVADQDLALPDNLDSTMQLLFLACQGDARGVEALLRGGVDVNSINLDGRTALHVAACEGHCEVVSVLLDWQANIDARDRWGSTAVADSKCYGHMDIYDLLKSHGGKAPRNRRTPMMASNPGEIPEYELNPGELQFRKGDEVLKGTYQVAKWNGTKVSVKIVDRETYSDQEAINSFRHELTVFEKVRHPNVVQFIGAVTQNIPMMIVSEYHANADLGSLIQRKGRLNGHKVLRYALDIARGMTYLHQCKPDPIIHCDLKPKNIFLDNGGLMKVGGFGLMRLSKMAPDKVKLMNHEAIVDTFSYYTAPELHRNEVFDMSVDAYAFGFILYEMVEGLPNMESSTAIARCEGMRPSLKNKLKGYPSDFKGLIEECWETHPMARPTFSEMIVRLDKIYAHCMKHGAWKESLKIWK >SECCE5Rv1G0366770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815832932:815833336:1 gene:SECCE5Rv1G0366770 transcript:SECCE5Rv1G0366770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHGERDEHELSLDLTLRMASAAEAEQGGFFLCVYCDRKFRSSQALGGHQNAHKHERSLAHRRREMAAATGAHGAGTRAPAAQDERPRYVSAGDIVEPSAGKAGRAEARPWKVAAAPEYGYRADDVDLSLRL >SECCE7Rv1G0522870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879487349:879487603:1 gene:SECCE7Rv1G0522870 transcript:SECCE7Rv1G0522870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGVTMIVGLIFINLCTCMPRNVANHGSHDTTVPQKEMHSLMSGTDGRNGPPSNDHQCPLGTYPNCQGMSQNTQEAAQDVGGN >SECCE5Rv1G0299260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:15707248:15707739:1 gene:SECCE5Rv1G0299260 transcript:SECCE5Rv1G0299260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGEEDRFTVAADEEDEAVVAATTPAGREEEAPLPPKAGSQEKEAAITAGRRGRRKGFPKAGSQGGPLPPAKNMMSEEEVRSILSWKKPAPFDRKKSKDAGFFNKLRDERFKYQRKIKDEFEEKGYVEIPDNFREDTNRMMREAHRKAYLEVFGTEPPAKF >SECCEUnv1G0549700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:206405681:206408076:-1 gene:SECCEUnv1G0549700 transcript:SECCEUnv1G0549700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNPTTSRPGVSTIEEKSTGRIDQIIGPVLDVTFPPGKLPYIYNALVVQSRDTADKQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDSSATFPLHRSAPAFIELDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESRVINEKNIEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERIASTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKETLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVALAETIRGFQLILSGELDGLPEQAFYLVVKEIILSTNSGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQKALEIAEANLSKAEGTKDLVEAKLALRRARIRIESVNWIPPSN >SECCE4Rv1G0283040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:832755169:832756839:1 gene:SECCE4Rv1G0283040 transcript:SECCE4Rv1G0283040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative septum site-determining protein minD homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G24020) UniProtKB/Swiss-Prot;Acc:Q9MBA2] MAFPPRLLLPPRPPLPSLSPVRASARHSGGVGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLGLPVVAVDADAGLRNLDLLLGLENRVNLTAADVLAGDCRLDQALVRHRSLRGLHLLCLSKPRSKLPLAFGSKTLTWVADALRRSADPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVRGEDMMSALDVQEMLGLPLLGVVPEDSEVIRSTNRGVPLVLTDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKRGGFFSFFGG >SECCE2Rv1G0106170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:631231172:631232298:1 gene:SECCE2Rv1G0106170 transcript:SECCE2Rv1G0106170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRARWVMKFEKGLIDILHENNNSHYRTPNGWRSEGWKKIVKDFNDRHPDAGFTKVQIQEHETQLKKDYKLIKSILQRDGVSWDQNASMIRTTDEIWDEIIDESPKARKYQSKSFPLLQSLEMLLERDIPEGVGNIDEEDNTTSTLRSMSRRLPALVPGSMDEGENNVSALQRTLELGSQGLEDTDLLQNHDEEALERPQPWADPKPQQRADEPAQSSSCIEPQKDKRKKRKASDIQQTMEAYLDFRMKQARTKEQTKKDGEQFSISRCIKALHSMTDVSDQVRVLAADVFKEAVNREIFLSYEPRLRALWLKREVNRLLC >SECCE2Rv1G0065080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:6699773:6700405:-1 gene:SECCE2Rv1G0065080 transcript:SECCE2Rv1G0065080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQNFFFFITSMVVPRGTATHLLLKWFVSRDVPTGASSSNGTIISIPIPLFPFLVYLHLRKFIHSMDRAKTGVLVKASRPILLPDKMEISSSARNALFRFVPILHFLIIESMGDLSYLESFSGLLCLQFFRTLFSLRRDRSAKRERAQRIKGQTLRPKGNEQQNDNMRCPGHPHIERRVEGFRPVAFPVPPPLVEWCLFGGCATRKRA >SECCE7Rv1G0465120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:67028755:67032873:1 gene:SECCE7Rv1G0465120 transcript:SECCE7Rv1G0465120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRRGGDEEACRVGLLDGHGGAKKDDWQVVHGGEESNKLGRRVLDESKKLWVIVAPAMFSRIVTFSMNVITQAFAGHLGDLELAAISIANTVVVGFSFGLMLGMASALETLCGQAFGAKQYHMMGVYMQRSWIVLLGCAVLLLPMYFFAEDVLLLTGQSPELSAMAGRVSVWFIPLHFSFALLFPLQRFLQCQMKNFVSAAASGVALCVHLLVSWLFITRFQFGLVGIALTLNFSWWATFAMLFAYVACGGCPETWHGFSVEAFADIWEFVKLSAASGVMLCLENWYYRVLILLTGNLKDAAIAVDALSICMTINAWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSLTSLVIGLFFWVLIMSFHDKLALIFTSSAVVLDAVNNLAILLAFTILLNSIQPVLSGVAVGSGWQSAVAYVNIGSYYLIGVPMGVLLGWLFNLGVLGIWAGMIGGTAVQTLILAIMTIRCDWEKEAMVASTRMDKWSEVR >SECCE2Rv1G0066790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:15497169:15516222:-1 gene:SECCE2Rv1G0066790 transcript:SECCE2Rv1G0066790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G51660) UniProtKB/Swiss-Prot;Acc:Q9FGR0] MSYAAYKMKHWPTGIEHCAAGFITHCPSDAAAFSAPAAGPAASSGADSDADSSAAPRRRGPTPNLVVAAANVLEVYAVRAEAAPAADGAAFDGILGARLELVCHYRLHGNVESMAVLSDGAETRRDSIALAFRDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKSDPLGRCGAALIYGLQMAILKAAQVGQSLVGEDEPTRALSSSAVRVESSYLIDLRALETNHVKDFTFVHGYIEPVLVILHEREPTWAGRISSKHHTCMISAFSISMTLKQHPLIWSAANLPHDAYQILSVPPPISGVLVVCANSIHYHSQSTSCSLALNNFSSQPDGSPEIPKLNFHVELDAAKATWLSNDIVMFSTKTGEMLLLTVVYDGRTVRRLDLMKSKASVISSGATTIGSSFFFLGSRLGDSLLVQFSSGVATSVVPDLIDERSGDIEGDLPFPKRLKRVPSDVLQDVTSVEELSFQNNMLPNSIESAQKISYVVRDKLINVGPLKDFSYGLRVNADANATGNAKQSNYELVCCSAQTPNIPPMPFEEFDQHIRTLIPCVLVVELPSCRGIWTVYYKSSRAHTTEDNEYHAYLIISLESRTMVLETGDDLGEVTETVDYYVQGSTIAAGNLFGRRRVIQVYATGARVLDGSFMTQELNFTAHSSESSSSSSEPLGVASASIADPYVLLKMVDGTVQLLVGDHSTCALSISVPSIFTSSSEKISACTLYRDRGPEPWLRKTRSDAWLSSGIAEPIDGSGSSSQEQSDIYCIICYESGKLEIFEVPSFRCVFSVESFFSGEALLVDSKGKHDDTKVSLKKEAADSIRVVELAMHRWSGQFSRPFLFGLLNDGTFLCYHAFCYEDSESNVKDNSFSPAGSLDLASASDSRLKNLRFHRISVDITLREDISSLARPRITIFNNVGGYEGLFLSGTRPVWVMVCRQRFRVHPQLCDGPIEAFTVLHNVNCCHGLIYVTSQGFLKICQLPEAYNYDNYWPVQKIPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSIMADQDLVHHMDNDATSADDLQKTYTVEEFEVRVLELEKPSGRWETRSTIPMQSFENALTVRIVTLHNTTTKENETLMAIGTAYVQGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIAAGPKITLSKWTGSELTAVAFYDAPLHVVSLNIVKNFVLFGDIHKSVYFLSWKEQGSQLTLLAKDFGSLDCFATEFLIDGSTLNLAVSDSDKNMQIFYYAPKMVESWKGQKLLSRAEFHVGAHLTKFMRLQMLPTPGLASEKTNRFALLFGTLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHMCGLNPRSFRQFKSNGKAHRPGPDTIIDFELLAHYEMLSLEEQIDIAQQIGTTRAQILSNLSDISLGTSFL >SECCE1Rv1G0035200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:496921756:496923345:1 gene:SECCE1Rv1G0035200 transcript:SECCE1Rv1G0035200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISHLAYALLFLFAAVVLYVQRRQASPSRTAYCPHPNPVLGNIVEFIRNRGRFFDWYADMLRSSPSNTIETWGPLGASHAVTTADPDAVDHLLRASFANYNRGAQFRAAQSDLIGDGLFGADGRLWSLQRKLASYAFSSRSLRRFSQDVLAVHLGRRFLPFLEAAAGSGEAVDLQEALRRFAFDNICHVAFGVQSSTLLEWGDPRHQALFAAFDTAVEISFMRTLAPSTPVRKITKLLNIGKSRRLREALGVIDDHAMSVIEAKEVSQRNSRDEGDPDLLSRFMAAMDEEDGGGELAAMFPTPEAKRRFLRDVVVSFVLAGKDTTTSALTWFFWLLAANPRCERRVHDEVSRSPDGNVKGMHYLHAAITEAMRLYPPVPFNGRVAVADDVLPDGTAVRAGWFANYSAYAMGRMEKLWGENFLEFAPERWLGDGGEFAPVDAARYPVFHAGPRACLGKEMAYMQMKTVASAVLRRFRLNVVAPAASMESPPAYEMTGTMKIKGGLQVQLRMSDANKSSLATSASGQEVD >SECCE2Rv1G0104190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:602068784:602070830:-1 gene:SECCE2Rv1G0104190 transcript:SECCE2Rv1G0104190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAEGVTRVLLVDDSPVDRKVVELVLGSNTFAGSFHVIAVDSAKKAMEFLGLKDGKEQAVDMVLTDYCMPEMTGYDLLKAIKAMSPLKPIPVIVMSSENEPQRISRCLKAGAEDYIVKPLQSKDVPRLRSCSNVKPKDTPCSTVSKSSDDIAAVDGTSSLQRRRAHLTDIAMVLHSSSAGLSHYFPFLFKFILLVYAILCVGELLHRWSNGCFLSYLR >SECCE1Rv1G0059530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:706464264:706466873:-1 gene:SECCE1Rv1G0059530 transcript:SECCE1Rv1G0059530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g15510, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15510) UniProtKB/Swiss-Prot;Acc:Q9M9E2] MPPPPTARPHPNPPLILLAPPRSPPARHLAPRSRRLSSSTTRVAVSPSPPPPPPSAAAASATPSDASAALRALCVHGQLPQALWLLESSPEPPDEDAYVALFHLCEWRRAADAGMRACEHADAAHPTFGLRLGNALLSMLVRFGESWHAWGVFAKMPERDVFSWNIMVGGYGKAGFLEEALDLYHRMLWAGVRPDVYTFPCVLRTCGGVPDLRMGREVHAHVLRFGFGVEVDVLNALVTMYAKCGDARAARKVFDGMALTDCISWNAMIAGHFENHEREAGLELFLNMLENEVQPNLMTITSVTVASGLLSDLEFAKEMHALAVKRGFANDVAYCNSLIQMYTSLGRMGEACTIFSRMETRDAMSWTAMISGYEKNGFPDKALEVYALMEVNNVSPDDVTIASALAACASLGRVDVGIKLHEIATSKGFIRYIVVANALLEMYAKSKHIDKAIEVFKYMPEKDVISWSSMIAGFCFNHKCFEALFCFRHMLADVKPNSVTFIAALAACAATGSLRYGKEIHAHVLRRGLASEGYVPNALLDLYVKCGQTGYAWAQFSAHGEKDVVSWNIMLAGFVAHGHGDIALSFFDEMLKTGERPDEVTFVALLCGCSRAGMVSQGWELFHRMTEEYSIVPNLKHYACMVDLLSRVGRLTEAYDFINRMPITPDAAVWGALLNGCRIHRHTELGELAAKFVLELEPNDAGYHVLLSDLYADAGKWAEVARVRKTMREKGLEQDYGCSWVEVKGDIHAFLTDDESHPQMKEIRAVLHSIYERMKASGFDPVESYSLEDKEVSKDDVLCGHSERLAVAFGLINTTPGTSIFVTKNQYTCQSCHGILRMISKIVRREIVVRDTKEFHHFRDGSCSCGGSG >SECCE5Rv1G0298290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10849918:10850738:1 gene:SECCE5Rv1G0298290 transcript:SECCE5Rv1G0298290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRRLSELLQEQQEPFLPLLRGGGGGSDAASCCSVQDRGARASWGRAARRALPRWRWDGLAAGCFPCAARRKRVSFRPLPRAGHARCDDDDAVATESDSDGDARRLSPVSVLDVLRCSDEEEEWSSSPTLSDWEEEEDDDDKPSLTPGSSPPPDPLPDEKTEEEWRKVVSSWERIAADIARVPALAQLDLFSSMSAREWHGGAEAERVGASVEAMIFEEMSVEAVRDMVEL >SECCEUnv1G0554430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:286982635:286982946:1 gene:SECCEUnv1G0554430 transcript:SECCEUnv1G0554430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSLIQREKKRQKLEQKYHLIRQSLKKKIRSKVSPLSLSEKTKMREKLQSLPRNSAPTRLHRRCFLTGRPRANYRHFGLSGHVLREMVYECLLPGATRSSW >SECCE4Rv1G0275550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:794299208:794303674:1 gene:SECCE4Rv1G0275550 transcript:SECCE4Rv1G0275550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLVNLGNGMLQSHQIIAEYNTAIPEAEREKLKDGAFEDVLRAAQEAIVISPWVALAIRPRPGVWEYVRVNVSELAVEELSVPEYLQFKEQLVEGSNKDFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGLPADTPYSDFHHRFQELGLEKGWGDCAKRAQENLHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLDITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTESGIVRKWISRFEVWPYLETFTEDVAHEISGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGMYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESQRRLTSLHPEIEELLYSDVDNNEHKYVLKDRNKPIIFSMARLDRVKNLTGLVELYGKNPRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHVRWISAQMNRVRNAELYRYICDTKGAFVQPAFYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVDGVSGYHIDPYQGDKDSALLVEFFEKCQVDPSHWTKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGESANK >SECCEUnv1G0549140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:197468851:197469348:1 gene:SECCEUnv1G0549140 transcript:SECCEUnv1G0549140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLNKVDGAGCRPHRRQGSPGVCPLCLRERLSRLSPSATLPSVVALEASSCCSDSEASSTEASTCASTASSSGSASPMFHREIKRAARPSLLMRHERVVAVDGDEVVLVMRKRRERPTTSFWTKLLRAATGGKKAVDGCSLAHSRTIEAADGSSAAATKWIIF >SECCE2Rv1G0076330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89980348:89981748:1 gene:SECCE2Rv1G0076330 transcript:SECCE2Rv1G0076330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVAVVTVPFPAQGHLNQLLHLSLLLAGRGIPVHFAAPEPHLREARARVHGWDAGSLLALHFRALDVSAHASPDPDPSSPFPTHMQPLFEAFCDGGAARASLAALLQELSASHRRVVVLHDRMAAFAAVEAARLPNGEALGVHCLAASYNVGWMDPGHRLLREHGMVFHPADACATKEFVALAKRMGQERRRAPGAGMVVNTCRALEGEFLDVLAAQSASSSDGHDLFAIGPLNPVLPVTDTAARAPARHECLEWLDRQPPSSVLYISFGTTSSLRAEQVRELAAALRDSNQRFVWVLRDADRADMRESGGARGLAAAAAALLGDAAAQGTGVVITGWAPQLEILAHGATAAFMSHCGWNSTVEGLSHGKAILAWPMHSDQPWDAELVCKYLRAGVLVRPWEERWDVTPAAAIRGAIERVMHSEEGATVRQRARALGEAVRAAVADGGSSRRDLDDLVAYVTR >SECCE2Rv1G0116180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:761741842:761742514:1 gene:SECCE2Rv1G0116180 transcript:SECCE2Rv1G0116180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAKPASRPAKPAAAAPKPKSAAAKPKAAAAAASHPTYFEMIKEAIAALKDRTGSSSVAIAKYIEEKHGKALPPNFKKMLSVQLRSSAAKGKLVKVKASYKLSDAAKKDAPKPKAKPAAAKAVAKPAKDAAKPKKAAAKPKKTAAAGAKRKAPEKKKLVAKAKKSPAAKAKAKPKTVRSPAAKKARKVAAA >SECCE4Rv1G0238260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:371741100:371766608:-1 gene:SECCE4Rv1G0238260 transcript:SECCE4Rv1G0238260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPLRLGALLAALVLAAGGGWWGRVEASIHTYGSESFREVGNAFLLSGGSEGIVADGADLAVPAFSFIKFVNVTFWRNAESAESHAKMAHSTGLVQAILFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRRPSSDDPDWPVVVDTHFSANHLSVKLDDEEVRITKTGMYNLFFISCDPKLRGLAMSGKTIWRNPGGYLPGRMAPLMRFYVFMSLAYLLVMVVWFSNYIRFWRDTLPIQNWITLVIALGLFEMTLWYFEYLNFNSSGVRPVGITTWVVTVGAIRKTISRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASELLNISENVGTINDISGKAKLFLVLPDAFLDAFLILWIFTSLSRTLEKLQARRSSVKLDIYRTFTNALAVSVIASVVWIGYEIYFKATDPFSERWQSAWIVTAFWDVLAFVLLIVICCLWAPSQSSQRYAYSGEAVDDDDEEAQSLTKGSDGDVGMVKIDKDRNAGVSSAFSLEDDEAEEDKRE >SECCE7Rv1G0490860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:511257619:511261162:-1 gene:SECCE7Rv1G0490860 transcript:SECCE7Rv1G0490860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMELKALPLGFRFHPTDEELVRHYLKGKITGQIKNEVEVIPEIDVCKCEPWDLPDKALIRSEDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRVIKSKGEKKKQHMIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFQKQLEKTERSIPEEMDRSGYSPTPSRSTPDNMEANEEAITLINKESPESGLHGCPIELPGNIDTPGTPVTRWLADQNGNSGTYEANGLGMPFHGIVDESPKADLSVGSLAHLVDSQTKNLGSHEFATVSAPMLSHDDLDDLLLQLPHNSVEDFLNETIADPDEHSSTACKIQYDSDNGIMQGELLYDGPNWFGNFLSDDTNPQPSGLYENATLLPYGTNPDVLSMDSGDESLQDLFNSMDDSSGQNDVWSNGFGFNPMHQQLQSTVHPNYIFSQQGIAPRRLRLLDSLSDVNVESRESMTRDEHEDEESDIVTSKYTSESVESSVDVDDSESTGVTIMSRRRVLTKSMPSDCDDAQSMGITIMGRRPAPSSNMPSDEADAEATGINIVSPHTAPSSSTDSSFTTEQGTAVRRLRLQSNLDSGSCSSVDGLSSCIIEHGSENEGLQAEIEEHVDTNFPDDAGKSHADEQMYMPDHEANSGTRLRKTAAEKSDKENKQECGLQSHVRAPRKRGGFAARMIFPVLSVALLVLISVGIYGWAQ >SECCE6Rv1G0402340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:483256339:483260258:1 gene:SECCE6Rv1G0402340 transcript:SECCE6Rv1G0402340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAACAWRLSQRAVTFLPRVRPQIPNPFPMAAAAGAASPKRLRVYSSAAGDADSSNGAGNGRRVGTHNGSFHCDEALGCFLIRLTSQFAGADVVRTRDSQILDSLEAVLDVGGVYDPSRHRYDHHQKGFNEVFGYGFNTKLSSAGLVYKHFGKEIIAKELQLNEDHEDVHRVYLAIYKSFVEALDAIDNGINQYDTEQTPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMALAGSEFMESVRFHVKSWLPARSIVMECLLSRGNVDPSGEIMVLDRFCPWKLHLYELEQELKTDPLTKYVLYEDERSKGWRVQAVSVAPDRFESRKALPEKWRGMRDDELSKETGIPGCVFIHMSGFIGGNKTYEGALEMARAAIKC >SECCE5Rv1G0344010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:642998415:643000758:1 gene:SECCE5Rv1G0344010 transcript:SECCE5Rv1G0344010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHQPLPPFACSNCVTQCAVVFCFAHGARLCLECDGAVHGASELAGLHMRAPLCDDCGAEPAALRRQIGAHCATLCAGCADRRAPPDGGGDASLVEVYTGCPAPAEALHILSSVDAPSSQEDFDAWLADNLPQILQEDQDGSQICDASGTTTIVGDQRSTSSSSFGCDDWNNASSSITCPPQCSGLENTNGVFVGHHSAGNSLTFEQQQQLLPPSICHMMSSPYSSYNPSTTSCQPVMTPTTLLQSMGNDHHTSLLLDGFPAFCPALPLISLPPPLGNGTDCHDANQPSQTMGTDEQAAAAHHQQDPSTVSKKREERERAKQRYNEKKKNRKFGKQIMYASRKARADTRKRVKGRFAKATNEHQHILHSDAT >SECCE3Rv1G0182970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:640583197:640584057:-1 gene:SECCE3Rv1G0182970 transcript:SECCE3Rv1G0182970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTVRIYWDDPDVTDSSSEEDEEGCAARRVGRMVRELPPAPAPLVQAAAAAAAATSPEQYSPGDDDLPRRVGGCTGARKRLAKGAQSTKFRGVRRRPWGKFAAEIRDPWRGVRVWLGTFDTAEEAARVYDSAAVQLRGATATTNFSTSTNSEGALTAAGYESGAESSQAVSSPTSVLRKVPSMSSLAGDRADSEACQGQGDAAAGSSLSVPEELGEFVPFEDAPVYSSSSFWDFEPQSGFLYAEPSSWDASAGGEPWAAPVQENDYFQDLRDLFPLNQLPAIF >SECCE1Rv1G0006340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:32464905:32467847:-1 gene:SECCE1Rv1G0006340 transcript:SECCE1Rv1G0006340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEQVFLGSRSLSYDGAHHPYDCAGEDPGDRRNGLEVAELHHQPAGMSTSDSDPCEVARRALDLMFPREEDAWSTWSAREEGSDDDVDEQRGEEVYDCSTQVGSDYEDDGDGNCQVILPETNCPGKLYPKSEADDIELRWADRFFERIIEHSKLCKEIMALGDDDETPFPPSPMKVFPEATALCILGLKSCHHRVYRTHDTSTTPSTLGYREPSHMLQIFSMRLSSFEPSYPISVYGIFAIRDYMDRRRNYVFNRPRDDAVTIEKQDSFVVPLCSPCRGMYVSDKALVEVDLWVKKEGDESDDKQLISAYAEIDVHAEADVMFYSRISGDNCNLDLKFKVLSESVEAVIQVYAKVDHPHHVRFTAFSTGYDDYPHRGVVLFDDKLFGHKKIFQHIVAVKANEELNVFLEVNGLEFQWTFQDEHVGAVISPDDSIFEYGQFFVRVIFAPKDCQ >SECCE3Rv1G0206950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:913395450:913396529:-1 gene:SECCE3Rv1G0206950 transcript:SECCE3Rv1G0206950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHRLGALKAFDDTKAGVKGLVDAGATAVPAIFHHAPESLNDAPHHHDHQFAIPVIDLAGLATPSGRASAVGAVKAAAETVGFFQVVNHGVPEEAMSAMLAAMRGFIEEPAEAKAPYYTRDPGRRVRYQSNFDLFQSPAANWRDTLFMDMAPEPPAPEEIPAACRAIAPEFAGLVQRLGSTLLGLLSEALGLRRGHLEEDAACLEGLSLACHYYPACPEPHLTLGTTKHSDPSFITVLLQDAVGGLQVLVDDDDKQQPAWVDVPAVAEALVVNVGDYLQLLSNDRFKSVEHRVVAKSAGPRVSVACFFRADGSTRALAPIVGDGGEARYRSTTVAEMVRHYRAKGLDGVSALQHFRI >SECCE6Rv1G0450000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:865541830:865544630:-1 gene:SECCE6Rv1G0450000 transcript:SECCE6Rv1G0450000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQALEHILDGSGKPTNLSFAFLKNITENFSEDREIGHGGFATVYKGVLPNGNIAVKRIRNSHSINEAFFYREVDSLLNIEHKNVVRFLGYCASTDQTAIQIEGSKQHIYAEIRERLLCFEYLSNGSLQKYITDELRGLEWNTRYKIIRGICEGLHHLHKEKQIYHMDLKPDNILLDNDMVPKITDFGLSRLDEKSKTMSENRHGSLGYCAPEYLHKGKMSFKSDMYSLGVIIIELVTGEKAICSNNNNVLRRWRHRWKKTGKETPLVYQQVAKCLEIGLSCQEIDPSKRPYIWDMIHVIREIEGANGKISNAYEHTFGQISPYSEDDMLGIEPLQLHFPFERNKQMSCTLKLTNETDSYIAFNVEKTSPLPYCTQPQKDIMLPRSKCNVEITMHLQGKAPGYMHRSNELIVRSTKLKDCLAVEDITTNMYISEVDNLVDEVHLDVVFDTSEPQEISDKTSEVSCQNTNFAKLCLFN >SECCE2Rv1G0074230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75040100:75041119:-1 gene:SECCE2Rv1G0074230 transcript:SECCE2Rv1G0074230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLQSAKNLKNVNLMSRLEVYAVATISGDPMTRQCTPPDPYGGRHPTWNTTLRFTVPATAAAGASGCLHVLLRTERSLGDRDVGEVVVPLTEILGLGGSFRDPRDLGPRPPQFAVYHVRRVHRPADTYGVLYLSYRLGGVVLPQPPPHHPPHAPHPHHVHAAAHEEHHVVAYPVARPPFHPHQQYAYMPAPALMPPPPQSALASSGSSGHMSSSPPSPPDYAGHMALAPPLSKAYGYGHLSVPPLQASGHTATPLQASGHMATPPAPQKAAAGYNAAGSPWTNARNHGSADLGMGLSAGMMAGDMMSDAAAYNAGYRAGMAREWSGVRGAVY >SECCE6Rv1G0422860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692371299:692375241:1 gene:SECCE6Rv1G0422860 transcript:SECCE6Rv1G0422860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRARALLLLRSSAPSSAPPQQNPLRSLARAPPPPPRLLSRFLSSSSSSQKAPPAASPPSSSSPAAAPGSAAPPAYTGNLKKALAGLRRIDLEGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVEAGDMCIVLNAKDICVTGRKMTDKIYYWHTGYIGHLKERKLKDQMAKDPTEVIRKAVMRMLPRNKLRDDRDRKLRIFAEGEHPFHDRPLEPFVMPPRQVREMRPRARRAMIRAQKKDQDREAKKAEGEAAKNGKAAVAA >SECCE6Rv1G0441310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810121892:810129850:-1 gene:SECCE6Rv1G0441310 transcript:SECCE6Rv1G0441310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24120) UniProtKB/Swiss-Prot;Acc:O24600] MVAVAPAPPPRPVTLLPIPIPTPPPLNTDAFDWLDLFAFLNSPADSYQIPHPPPSSSPLADDAAVKEELERAIEREKERERARRADQRRLRQRQVKAETEAWARAAEEYRQLEREMLDRHLAPQLPYVKSLFLGWFEPLRDAVARDQDVQRRKRVKHVYAKYLLLLPAEKVAVIVMHKMMGLLMSSKDGCGSVRVVQAAHSIGEAVEREYKVQAFFQKTRRKTKSKNESENDPALDKEQAKCRKLVKSLVRRRKLTEAQKLVQQEIELEEWGTEAQVKLGTRLIELLLDSAFVQSPADQTPDSSPDFRPAFKHVLRKPIVENGRLKKKHFVIECDPLVHEGFESTARHVEIPYLPMLVPPTKWQGYDKGGHLFLPSYVMRTHGVKDQKEAIKSVPRKQLRKVFEALDILGGTKWRVNRRVHDVVETIWSRGGGIAGLVDKGNIPLPEQPETEDPDEIQKWKWSVKKTKKANRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHPHLSHLGSDLCRGVLEYAEGRPLGKSGLRWLKIHLANKYGGGIEKLSHESKLAFVEDHLPDIFDSAANPVDGNCWWINAEDPFQCLAACMDLSNALESSSPHGAVSHLPIHQDGSCNGLQHYAALGRDYMGAAAVNLVPGEKPADIYSEIAARVLDVVREDSMKDPATDPSVPLAKVLVDEVDRKLVKQTVMTSVYGVTFIGARQQIMKRLQEKGHITDDKLLYDVSCYATRVTLDALGQMFQSARAIMAWLGDCAKMIASKNQPVRWTSPVGLPVVQPYKKYKNYMIRTSLQCLALRREGDAIATQRQKAAFPPNFVHSLDSSHMMMTAITCKEAGLQFAGVHDSFWVHACDVDKMNQILREQFVELYSMPILENLLEEFQTLFPTVEFPPCPAQGNFDVREVLTSTYFFN >SECCE2Rv1G0123560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:825288331:825288679:-1 gene:SECCE2Rv1G0123560 transcript:SECCE2Rv1G0123560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFLTIPASSVSSESTFSTGGRILDDYRSSLKPYMVEALVGGGSYIKGAHKDLNVLEVEEDDEEEDVDKVKLPKSVADCNY >SECCE1Rv1G0062270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719129615:719130612:1 gene:SECCE1Rv1G0062270 transcript:SECCE1Rv1G0062270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEGGDKYRSFLHGDGEKNTVWRHGAPPNYDLVNKLFQEERTKEWAEGSVEEKVQRLLKTWEMEMVHKVRPEDQKSVHPKNYSAATNGLKPLTREEVMGMGGYNAFLATTLPPEHRIYDPDAESAESATATFLTAFPRGFAIEVLDVYSGPPNPMIAFKFRHWGYMEGPFKGHPPHGRRVEFFGVCVFHVDEDTKVEKAEFFYERGNFLASFLSAPASSASPAASASGCPVMRGD >SECCE3Rv1G0180060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:560989309:560994978:-1 gene:SECCE3Rv1G0180060 transcript:SECCE3Rv1G0180060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVARAVVLAAVASLACLAFAEPPPSERSALLAFLTATPHERRLGWNTSTPACAWVGVTCDAAQSTVLQLRLPGVGLVGAIPPATIGRLPNLQVLSLRSNRIIGGIPDDLLQLSSLRAIFLQNNMISGAIPAGVGKLAALERLVLFHNNLSGPIPFALNSLASLRSLRLEGNRLSGKIPSITNPELRDFNVSANSLNGSIPQALARFPADSFSGNLQLCGKPLPPCSPFFPSPSPAPGMSPNDEPGTVSNNKRKLSGAAIAGIVVGAVVVALLLLAAIVLCARSRRRGGAREGPKGTSAAAVGQTRGVAPPASGDVTGMTSSSKDDMGGGTSGSAAAAAVAAGAGTGEASRLVFLGKGVGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVSRREFDTHMEALGRVEHRNLLPVRAYYFSKDEKLLVYDYLPNGSLSAMLHGSRGSGRTPMDWDARMRSALSASRGLAQLHSAHNLAHGNVKSSNVLLRPDYDAAALSDFCLHPIFAPLSIRAGAGAGGYRAPEVVDTRRPTFKADVYSLGVLLLELLTGKSPTHASLEGDGTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDSPDVVRMIEEIGVGHGQTTTEESARGTPEEERSRGTPPAAPTP >SECCE6Rv1G0396950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:380398635:380400780:-1 gene:SECCE6Rv1G0396950 transcript:SECCE6Rv1G0396950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14605) UniProtKB/Swiss-Prot;Acc:F4JVI3] MTSLQAAPRLSLHLTPSEHRGRLLPPWRLVLSPSSSCRLYTLISRQHPICNAQSYADDLLVASAQSSTTALSRLLAAEREEAKAVLSLFLRQKGLRSAVAARIANKSDGFIEHLSSKLQSAYRSRYAEGRELSTPEIRDALLPYLEALSKEHGDSLVEVVENFPDPFSAERESLSYSMILTPTSSNKQKAIARVSTPTSGGALPEIVLYLLDYGMDHEEIKNVVRKFPAFAYYNVDRKIKPLVELLLELGVPRSGIPGIIRKRPQLCGISLTDNLKPMMAYMENIGVNKAQWSKVICRFPAFLTYSRQKVEITVSYLTELGVSKENIGKILTRCPHLMSYSVNDNLRPTADYFRSIGADAASLIQKCPQAFGLNIESKLKPITEFFLEREFSIEEIGIMVNRFGIIHTLSLQENLIPKYEYFLTMGYPRYELVKFPQYFGYSLEQRIKPRYARMTGCGVRLILNQMLSVSETRFEEILQKKSGGF >SECCE1Rv1G0004020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:15996724:15997680:1 gene:SECCE1Rv1G0004020 transcript:SECCE1Rv1G0004020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFKTLAKALTGKTVTAGRNSSGRITSFHRGGGSKRSLRDIDVKRNTCSVGIVERIEYDPNRSSRIALLRWIEGVPQKNAAYKAERAPVNYIIASHQMEPGSMVVNSDSSKPSTTGSLMRPAHNADSFLRFQELFRKASQSENGTDDQAKDAAVPAAAPLMPADLLDLNSKVGNCMPLSDIRMGTWVHSIELRHGQGAKLVRAAGAYAKVVKESATQCLVRLPSGVEKLIDSRCRATIGIVSNPTHGARKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGYRTVPKKPKAQLSRD >SECCE1Rv1G0004150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16648452:16653927:-1 gene:SECCE1Rv1G0004150 transcript:SECCE1Rv1G0004150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWWPLILCLAGSVLHGRAQPDSRGFISIDCGLQGEESYVDDETKLVYVSDAGFTDTGMPYNISAENFRPWRSRIVRSVRSFPDGVRNCYTLRSVVSGLKYLFRATFLYGNYDGLNKRPASFDLYIGVNFWTAVNMSWWGLDQDNRATVEAIVVVPHDFVQVCLVNTDGGTPFISGLELRPLKLSLYPQATAEQGLFLLRRRNFAAINGTIIRFPDDPYDRLWYPRSDATMWAEMTTTERVDGVDGDEFEAPMAVLQTAIKPQDTSGSIKFGWEVEPQLNNASPGPGYLAVLHFVELELLDRDALRKFNISVNHDQSWVPGYTPPGYLHRAYVYNKFTDPRHSSYIVTITATTNSTLPPIINAYELFSIITTTNVGTEPQDASAVMAIKAKYGVRKNWMGDPCFPKTMAWDGLTCGYAAANPPRITSINLSSSGLNSDISSSFAHLKALQYLDLSNNNLTGSIPDALSQLPSLAVIDLSGNQLNGSIPSGLLERIQDGFLVLRHGNNPNLCTDGNSCELAAKRKSKLAIYVAVPVLVIVVIVSLALLVLFSLRRRNQQQGSMKKRTAVKPQNEEAMSTSYGGDDDSLRLVENRRFTYEELDGITNGFDRVLGEGGFGRVYDGFLEDGTQVAVKLRSHSSNQGVKEFLAEARILTRIHHRNLVSMIGYCKDGEYMALVYEYMAQGTLREHIAGNGRNGGCLPWRQRLKNALESAQGLEYLHTGCNPPLIHRDVKATNILLNARLEAKIADFGLTKAFDYHNNTHLFTNTLAFTPGYVDPEYQATMQPTTKNDLYSFGVVLLELVTGKPAILSDPEPTSIVQWARQRLARGNMEGVVDARMQRGYDINGVWKVAEIALKCTAQGAAQRPTMAEVVAQLQECVELEEGHAPSFHTGGSSGDHNYNAYARAQSTDVSSNTAFETELRIPTVAADPGPTLQ >SECCE1Rv1G0042200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:578258908:578263162:-1 gene:SECCE1Rv1G0042200 transcript:SECCE1Rv1G0042200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCRRRGPAAFFLLWFLMTGFDLCAALNHEGLALLRFREMVEADPSGALADWGEGHASPCSWFGVQCSDDGRVVGLNLSNLGLKGMLSPEIGQLTNMHFLVLHKNLFYGVIPGEIGDLRELKALDLGYNNFSGSIPSELINILSLEFIFLKGNRLYGGLPLKLNELISLCESQVHQGRALSNRMPTARGKDSATTRRLLAGKQNISPENEMLRSENSVLEPSDVSPFFSLREPHKDPTPPVLPYALAPPPPSEPAPSLASPVSPNKGASKGSKSKSGKSSYVTMYALIGAAICFVILSLSAAIFLCYRRGKASSVVPMSSSRELQTTILGGIALFRRSELEAACEDFSNVIGTLPGCTLYKGTLPCGAEIAVASTLIKHAYGWSAIAEAQFKNKVEMLSRVNHKNFLKLVGYCEDEEPFTRMMVFEYASNGSLFEHLHVNEAEHLNWQSRLRMAMGVIYCLNHMYQQYPPVILRNLNSSCIYLTEDNAAKISDISFGDDWKEGEDEFDEPEECTIVYKFALLMLETISGRRPFSNDTGLLVLWAHRYLTGEKPLTGMIDPTLRSVPLEQVAALTELVKLCISDDPWRRPSVSEVTRTMQEITGFSQDQSTPRNSALWWAELEILTV >SECCE3Rv1G0209560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939362684:939365333:-1 gene:SECCE3Rv1G0209560 transcript:SECCE3Rv1G0209560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSRRRRPASPMAPTPLSVPAPSASPAPRRLRRSAIAAAGAALAALLLLAAAAAVWRPDYLRAALLRRPAPARFYSFDLVREYPHDPEAFTQGLLYAGNDTLFESTGLYHQSSVRKVDLRTGKVLDQHQMDGHMFGEGLTLLDDRLFQVTWLKNDGFIYDRHNFSKRESFTHKMRDGWGLATDGKVLFGSDGTSRLYQLDPISLEVTKAVTVKYQGNDVSYINELEYINGEVWANVWQTDCIARVSHEDGQVASWIFLHELRQKLWNSGNTDIDVLNGIAWDEENDRLFVTGKLWPKLYEIKLRPVDGPPDGSVEKLCPRASFYR >SECCE6Rv1G0396700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:372881491:372883491:-1 gene:SECCE6Rv1G0396700 transcript:SECCE6Rv1G0396700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSESIVHMRAACELAARVLQYAGTLVKPSMTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRALRDGDIINIDVTVYLNGYHGDTSRTYLCGEVDEPTKQLVKVTEECMLRGISACKHGGSFKAIGERISEHVNKYGYSVDPFIGHGVGTIFHSEPIIWHTYDYEPGFMVAGQTFTIEPTLSMGSTRCEVWDDGWTAITVDGSLSAQFEHTVLVTANGAEILTKC >SECCEUnv1G0558630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:333957414:333957749:1 gene:SECCEUnv1G0558630 transcript:SECCEUnv1G0558630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFMDLRAFILRARVLKFYRQALRMTRRAPEHTRDELRQTVRAEIEKNRHCDDKQKITFLISEGLQRLKGLDEMLDMTGNG >SECCE5Rv1G0377040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:875428924:875429502:-1 gene:SECCE5Rv1G0377040 transcript:SECCE5Rv1G0377040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIYEINSHAQIQDLQARSDELGHPEDMMLVNLVSLESVRIAHESYALLFPLIHEFVFWACPELENLSVVARLSLEIQMLEHDVLPQLKVEEAKLEQGALEALLLMKDSAIMLLELRKRFMLALGVLLAEDDLVIARVKKLSIMLKDTADAVLKGNGNVAWLEERVVLLVQLVTNVLETPVRFCDPDEYSDE >SECCE2Rv1G0072390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:55199923:55200752:1 gene:SECCE2Rv1G0072390 transcript:SECCE2Rv1G0072390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFLVGLVVDGKRTSSGFRDVHHRQCAAVLNEQFKLYVTGEQVKNHLKKWRKIWGRVANLKNLSGALWDEDTCIIRLSEEHYAGHCMTHKADAPFLNTPIEHYHAMASIYGTMGAKGQNARSGNDLLSIDLEDEENGEVNTSPNVGESSHPKAPPKKKAKVKHIVDDPLVITLKDGFKLVAEALVKSSGDDDDIPDDLWDVISKLPDFDEEHLAHYYAHLVDNPKTARAFMKLTQINKPAWVSRYDKKNF >SECCE4Rv1G0243410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:502015514:502016865:1 gene:SECCE4Rv1G0243410 transcript:SECCE4Rv1G0243410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALAPEMARGHGFRGAGKGKQRLDSEETERNRGGGPNGFYVDEEEGEEVGREELRELEEALSDASSIGAASSDSSSIGEDSTSEKEEGEEEEVESEAKAPVDEVLAMGLGTLESLEDALPSKRGLSNFYAGKSKSFTSLAEAAAKAAAKEIAKPENPFNKRRRVLAAWSRRRASCSSLVTTYLPPLLSLDHTVVEGDEEEEEEEEEDRSDDGDDEGYGSGKGRREKAPASPRFPPPRRSLHSASQKASVMSRNTINPNASSFRSPRSFSLSDLQNAGYN >SECCE6Rv1G0384390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:63153156:63154123:1 gene:SECCE6Rv1G0384390 transcript:SECCE6Rv1G0384390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVARYGLGFACFALVAAMAGATQFKVGGDSGWSVAGASKESYNTWAMKNRFQVGDTLVFVYPKDKDSVLVVQPADYNACNTSSYDKKFADGNTVFTLDHAGAFFFVSGVEANCRANEKLIVMVLAGRNGTGTGAAPAPATSSPPPAASSPPPATPPSPLPAAPAPSAPSPTSAPPPASASAPPPASSPASAPPTSSPTAPASPPLPTPSAPTGAPPMAPSADAPAGADGGSTNSTGTSSSTPPAGSNERNGATVTVTGAAGLAGSAAACIVGYAMLAL >SECCE4Rv1G0220800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:42174760:42175148:-1 gene:SECCE4Rv1G0220800 transcript:SECCE4Rv1G0220800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNAQTEGSQPPVPKNPAMTSCRKKKTDDDVTFLEDVKEHIDEFIHASMDEHKTCFKKTIQKMFGMSKVVAERSAAAKEAEVESALPLQTSVSQ >SECCEUnv1G0533550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:31076146:31076415:1 gene:SECCEUnv1G0533550 transcript:SECCEUnv1G0533550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGGGLIWATAEDLTRNRPVVLSMYRQILRALNSPALPLGHAARLAKKAECRAIFIFGAEERSLHNIQDLLDAARHTLGLLNRGRLP >SECCE3Rv1G0167640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:206084396:206084644:1 gene:SECCE3Rv1G0167640 transcript:SECCE3Rv1G0167640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNSRTPEITIVPAPRPAAGRGGGTVEAVKAASKEPVSPGSPSPASKERHGAVSLPGWKLDSLCKESSSPPAMMARFPYF >SECCE6Rv1G0407840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:565684149:565686626:-1 gene:SECCE6Rv1G0407840 transcript:SECCE6Rv1G0407840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRRGRSDRQTEALLRKLPSESSDNSSEGQPAVKRTGTVWTAMAHVITAVIGSGVLSLAWSVAQLGWVGGPAAMVLFAGVTVVQSSLLADCYLSQGDSERGVAVRNRSYVDAVRLYLGEKSQMLSGFFLGFSLFGNSVVYTLTAAASMRAIERANCYHREGRGAPCCAGAAAGGSSDAYYMLLFGLAQAALSQIPDFHSMAWLSFFAAVMSFSYSFIGFGLGAAKVIENGVIKGGIGGVSLVSPAQKVWRVAQALGDIAFAYPFSLVLLEIEDTLRSPPAESETMKAAARASIAVTTFFYLGCGCFGYAAFGDGTPGNLLAGFGGPYWLVGLANLCVVLHLLGGYQVYAQPMFALVERRFGAGVVDAEVPLLGRVSVSRLCFRTGNVAAATAVAVWFPYFNQVVGLIGAFTFWPLAIHFPVQMYLAQGKVAPWTGRWLAVQAFSAGCLVACGFASVGSAMGVFSPERS >SECCE6Rv1G0384770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:68581171:68583509:1 gene:SECCE6Rv1G0384770 transcript:SECCE6Rv1G0384770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSPFFCYKSGATRQQISTHTEDLPGDINTIRYTYKELARATENFNPSNKIGEGGFGSVYKGRLRNGKLIAVKVLSVESRQGLKEFLNELMSISNISHGNLVSLYGYCVEGDQRILVYNYLENNSLAQTLLDSGRSNIQFNWRSRVNICLGIARGLAYLHDDVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPNASHISTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTSSRLPYEDQILLEKFPEVTNGVLLLQTWMYYEQGDLAKIIDSSTGDDLDIEQACRFLKVGLLCTQDVTRHRPTMSTVVSMLTGEKDVDSEKISKPATISDFMDLKIRSMRRENNIAFASSSTLLSTIMAHSSPLLSQETTQASITFTTISERESPEVGCKYEDHVEK >SECCE5Rv1G0303270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:47125319:47126085:1 gene:SECCE5Rv1G0303270 transcript:SECCE5Rv1G0303270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLLIVCVITAMLLLFSRDVAAARQLHAQNEDGDASKAVKKADHEKTKTIGDYGTSKEESPYTVDPHPKAPCYPPCKN >SECCE5Rv1G0326820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:486556615:486562654:-1 gene:SECCE5Rv1G0326820 transcript:SECCE5Rv1G0326820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGGVGRSGKDEDGEEERGGGAAAAEVQVYCAVGKEAGREWRANLRWVLANFPRSRHRLVLAHVHRPPHRINMMGAWVPVSQLSEHEVAAYSKREEDRASKALDVLIDICASQRVHARKVVVSGDDAARGLVQLVDDHGVAELVMGAAADRGYTRKLRTPKSKKAVTVQRKANPSCRIWFVCKGNLICTREVSEELNRGEPSTASSSPRSVASDYSRSKSSPPRLTLHGDGDDELCEMHHDSPDPMVASLLRRTPSKDDSDNATDHSVEDFGHEGAAEGGSSAVVQSLQDVEEDPPTPSHDGSEEAGDLEDALYEKLKDAIMEAGSLRHEAYEETRRRQKAERDLGDASRMATDAESSYHGEARRRKEMEESLARERAAMEQERRELDAILAKIREVDERSAELELQVTDSGHVMSELDVRMSESCSVLDALRRERRGEGPAADEESMPAVAGGDQSVSFLRLGLSELEEATDRFDESAEIGGACAGSRGRVYRGSLRGMSVAVKMICPDVAVHEARFARAVDAIARARHPNIVALVGACPEARAVVHELVPGGSLEDRLGGAAAPLPWHARCGIAYRTCSALAFLHSTATVHGDVRPANILLGDERCSSSKLAGLGMPGLVAPPQLPSGVALAYVEPRYLATGELTPQCDVHALGVVLLRLVTGMPAFAAKKAAQKAADGSTPWHEVVDASAGGWPLERATEVALLGLKCCDADESGGPRRPAELLDEALSVLEAATDATPGRTWSSLSASTASDSGGAPSYFLCPILKEVMRDPQIAGDGFTYEAEAMKEWLRSGHDTSPMTNLKLPTHELMPNHALRAAIQEWRHTRPSTFHRYQ >SECCE1Rv1G0004930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:22380988:22382360:1 gene:SECCE1Rv1G0004930 transcript:SECCE1Rv1G0004930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEWPQYNSVVHNDLDPFMTSEHSHQLQHDNGDETYGLLGATTNVGMIDNTNVVAGGQGNNNGETYSEQRMETRRTNYHRLRREQIQQLEVVFREIPYPDEELRKTLSERLGMSAQQVKFWFQNHRSSRKGKTQRQETNNLQLENQMLKSERQAIMSAMENSTCLKCRGAVVQTQDTSERQCLFTENMKLKEELSLAATHLIEGLQQNGMLPRLTRN >SECCE5Rv1G0336850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:587025071:587026021:-1 gene:SECCE5Rv1G0336850 transcript:SECCE5Rv1G0336850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSVALLLVLLINTGLCFGATKPDPTKDWDQPQDRVKFNFTDATAANYKAMLKQVGDKIVAHSSNKAYGIPVLAPQTPDAKPYRWLFVELSGRGSDTTTLALRQDNLYVAGFTDRAGRWYVFKNKATLNLIPGAIVLSFDDSYTGLVGGYKNLGALRLGRDASVAAVDVLANRPLADAAKTAVAVMAVTISEASRLTPISGSIAKHWVPGVLIGGDQADLVVNWKVISCALMVSYQHDQNWLGKEAGDLAKPPVNIKNLYQAKTTLHVMLWPKNNMCSEEIKWLVH >SECCEUnv1G0537640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69459973:69461307:1 gene:SECCEUnv1G0537640 transcript:SECCEUnv1G0537640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKLYGPTLSWNVTRCVVALEEADVEYQLVPVNLATGEHKSPDHLARNIRTPTPTPIYPFGQVPALQDGDIYLFESRAICKYACRKKKPELLKEGDLKESAMVDVWLEVEANQYTAALGPILFECLVHPMLGGTTDQKVIDANLVKMKNVLAVYEARLTSTKYLAGDCLSLADLNHASVNMCLAATPYASLFDAYPHVKAWWTDLLARPSVQKAVALMKP >SECCE1Rv1G0012810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:97338774:97346413:-1 gene:SECCE1Rv1G0012810 transcript:SECCE1Rv1G0012810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGRLRGRRRALKEAEPEPEPFTIEEEVSHLTRARSEPCPGTRAAIHGARRKRDLSAFEMLSSRESGRSGGGGFCSADRAYAAGKHLPSEGPWCVEDMDSEAYVSQFSSDGSLLVAGFRGSRIRVYDVDRGWKVHKNISCRSMRWTVSDIALSPDQRYLAYSSLSPIVHIVNVQNAGRESDANVTEIHEGLEFCDDDEYSFGIFSVKFSKDGREVVVGNNDCSIHVYDLGANKVSDRIRAHTADVNTVTFADESGNLLYSGSDDNLCKVWDRRCLVREKPAGVLTGHLDGITCIDSRGDGRYLISNCKDQTIKLWDIRKMSATVKGRQPRLYDWDYRWMSFPSHARSYKHPNDLSLATYRGHSVLRTLIRCYFSPMHSTGQRYIYTGSSDDSVHIYDVVTGATVKKLSWHGSIIRDCTWHPYRPTLISSSWDGYLARWEASGNSDDPSALTCDEQRTSPYDQTYGLTFAM >SECCE6Rv1G0412920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:618993983:618994357:-1 gene:SECCE6Rv1G0412920 transcript:SECCE6Rv1G0412920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIHMVLLLAMVLVTSSSGGMAGKAVAPQYFQDTCSAVIPTGTKCEAAKCKADCARQFKGGVGGCAAQGCRCIYTCAFPSSM >SECCE4Rv1G0286300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849100353:849101450:1 gene:SECCE4Rv1G0286300 transcript:SECCE4Rv1G0286300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAPPLDDDNLLSEILHRLPALPSTLPRASLVCKRWRLLVSDPGFIRRFREHHRHSPPLLGYFIHDRRGISYEPTMDPPDRVPAGRFSLRLEGSYGFTLLGCRQGLVLISHELRRQILVWDPVTGDQHHIAFPPWFDGMTNQIQGAVLRAAAEADHFQVVLVQATIWDEQHLQVLACVYSSEAGGWGNLVSTPIPAPAYCRGMSAVLVGNSFYWCLAGCFCAVLEFDLERQSLAVIQVPVGEEDSFTVMRAEGGGLGLLSVSGFTAQLWKRKTDSNGIASWGMGRTIQLDKLLFPNLDETAQLFIIGFSDENNVVFLMAGDGVIMVQLESLQSKVLCKIDNIFDQHHHAFESVYASGNSMPLH >SECCE5Rv1G0325270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:463766217:463768445:1 gene:SECCE5Rv1G0325270 transcript:SECCE5Rv1G0325270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPPQRDQERDRRRTLLLVSLASIMERADEALLPAVYREIGAAMHADPTWLGSLTLCRSIVQAACYPLAAYAAARHNRARVIAVGAFLWAAATFLVGVSETFLQVAISRGLNGIGLALVVPSIQSLVADSTDDGTRGSAFGWLQLASCIGLIAGGFVGLLLAQTTVLGIAGWRIAFHLVAVISVAVGALNWFFSVDPHFPTGDAAAGPGSDEKPAVRQVVQEMIAEAKFVVQIPTFQIFVAQGVSGSFPWSALSSFASMWLELIGFSHRETAVLMTIFWVASSLGGLIGGKLGDLLALRYPDAGRIVLSQISAGSAVPLAAVLLRGLPDDPSTGVIHGVVLFVMGVFISWNGPATNFPIFAEIVPEKSRTSIYALDRSFETVLSSFAPPIVGILAQRVYGYRPDDKGTSTQLDRENAASLAKALYTAIAIPFTVCAAIYSFLYCSYPRDRDRARMQSLAESEMQQVEREGSRLEGGDGDGGSAVHGFKESSPEAEKDTAKLLSDVEQGR >SECCE3Rv1G0202660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:876079696:876083911:1 gene:SECCE3Rv1G0202660 transcript:SECCE3Rv1G0202660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRASLRRAIASAASAATASCPEAYRQGICGSTFHCRDFASKAKKKSKSSGTDSGEENMSKKDLALHQAIDQITTSFGKGAIMWLGRSEGHREVPVVSTGSFSLDMALGIGGLPKGRVVEVYGPEASGKTTLALHVIAEAQKLSDGGYCAFVDAEHALDPTLAESIGVDTSNLLLSQPDSAEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTVLVFINQIRSKVQTFGGGFGGPQEVTSGGNALKFYASVRLNIRRIGMVKKGEEIIGSQVTVKIVKNKHAPPFRTAQFELEFGKGICRSSELFELGLKHKLVKKTGGAYYSFNEQQFHGKDAVKSFLTKNESVAKELEMELRRLIQTEPPRTPEAEDDLLDDSPEEIVRPETSSEEDLAAIIGA >SECCEUnv1G0529050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6342423:6343292:1 gene:SECCEUnv1G0529050 transcript:SECCEUnv1G0529050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRRGSKVVSSVVKTKVVEETVEVATTILPATQSQPDSDDQPEVLDVSRSRDVHVEVVTTPDANAQPTTAAKKQPAASKRGAAKSPLVVVPVSPSPQEDEQQRKKGGGKPPASLQSQETQEDPYAYQQDETAKKRPPQEEDDGAPPKPEGPETPTQKRSRAEGKAKTRKAEAAARKTLSAAGKKGGRRRRRLGGDKVDMGYKAYVYRVLKQVHPDLGASGKTMQALDMMMADMFERLATEASRLAQYAGRATLTSRDVQNAVRLVLPGELAKHAISEGTKAVTMYTS >SECCE4Rv1G0256360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:656324019:656325686:1 gene:SECCE4Rv1G0256360 transcript:SECCE4Rv1G0256360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAPAAGLVLASLAVVLATTLWTAAVHLVWRPYAVARAFRRQGIRGPAYRFFVGNNEEAMAMRAATADDVLDLGSHDIIARVMPQYKAWVASYGKMFLSWSGYTPTLCVGDHDMVKQILSNKTGLYGKTDPGPNLLALLGKGLVFSDGDDWARHRRVVHPAFTMDKLKMMTRTMAECAGEMVRPWEARAAASGGGVARVDDVGQQFVELTADVISHTAFGSSYKEGKEVFVAQRELQYIAFSTINNVRVPGLEYLPTKTNLRRRQLTGKVRGTLMAIIRERQAAAKDAKGYGNDLLGLMLEANASAGTGGQKAAAMSMDEIIDECKTFFFAGHDTTSHLLTWAIFLLGTHPEWQQKLREEVLRECGGTGTPLHGDALNKLKLTTMVLYETLRLYGAVIMIARQTTADTELGGVKIPKGTITMIPMAIMHRDEEVWGADAGEFKPDRFQNGVGRAAKHPSAMLAFSVGPRSCIGQDFAMLEAKATLAVILRRFKFEVAPEYVHAPAEFLTLQPKCGLPVLLKLLDQ >SECCE2Rv1G0071940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:48059673:48061898:-1 gene:SECCE2Rv1G0071940 transcript:SECCE2Rv1G0071940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITNLSVPLLLLTILFPTLTLCYINPAATSVHQNATKPFAYRAYIVLVEPPRSNAGEDAHRQWHESFLPSSLTGESNESRLLHSYTEVFSGFAVRLTDAELDTVAKKPGFVRAFPDRTLQLMTTHTPEFLGLRSGTGFWSQAGYGKGVIIGLLDTGIYATHPSFNDHGVPPPPARWKGSCKAARCNNKLIGAKSLIEGVDSGDEEGHGTHTSSTAAGNFVTGASYHGVGNGTAAGIAPSAHIAMYKVCDSRGCEESAVLAGLEEAIKDGVDVLSLSLGGTSPIYDQDPIAIGAFSAMSKGILMVCAAGNDGPDLFSVTNVAPWLLTVAAGSVDRSFGASVNLGNGKIIDGEALTQKASPSSKSYPLVYSEELRYCNYSDDRGITGKILVCEYTKSEAQQSNIRRIVGAGASGVVLFNDEISGYTTMVRDYNSSVVQVTASDGGILIAYAVSSKSSSVASLTYKNTLFGVRPAPVVPFFSSRGPSFVNLNILKPDILAPGLNILAAWPPSTASGRGPFNIISGTSMATPHVSGVAALIKSIHPDWSPAAIKSAILTTSDTVNSTGGPILDEQHRKAGVFVTGAGHVNPARAADPGLVYDLSITDYAGYICWFLGDSGLATIVRNSSLTCGMLPKIQHAQLNYPTITVLVSSTPFTVNRTVTNVGPANSTFKAKVDVPRSLTVRVSPETLSFSKIGEKKTFSVFVSGNLGKEELYEEGSLSWVSERHVVRSPIVALPASSS >SECCE3Rv1G0204170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:890086662:890088203:-1 gene:SECCE3Rv1G0204170 transcript:SECCE3Rv1G0204170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPAGVAYNAAISRCSRAGLHQRALALLHEMRARGHHADEYTLPPALNSAALLRLPADALHSLLLRAGLAAHLHVANALVDAYAKLSRHGAARAVFDEMPRRDVVSWTSLLTGLARSRSHDPALRVYRDMVSAGVGPDEFAVAAALSSCAGATALELGRSVHAAAVRLALDPFLSVGNSLVSMYAKTGSLGEAEKVFDAMRVRCDPITWTALIVGYAQNGRGRESLEIYGEMVRSGCRPDYITFIGLLFACSHAGLVDAGRAHFRSMQADHGIAPGPDHYACMVDLLGRAGRLDEAMDLLNRSTTRLDATVWKALLAACRTHGNAELAEHAAGMVWRLDPTDAVPYVMLSNLYSRAGRWGDVARVRSSMKARGVTKEPGCSWVAANGVTHLFYVEDRGHPRAAEIYRKVEEMTERIRGEGYVADTAWALQDEGPEGREKGLAHHSERLAVAFGLLAVPAGAPIRVFKNLRVCGDCHAAIKMVAKAYGREIVLRDSNCFHHMKDGTCSCGDYW >SECCE5Rv1G0337590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:592938780:592940122:1 gene:SECCE5Rv1G0337590 transcript:SECCE5Rv1G0337590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFSLPSYVCTREGPDHAPRFKATVTFNGETFHGPTCCTTLRQAEHAAAEVALARLSTRGPSTYLTARVLDETGVYKNLLQETAHRAGLKLPAYTTVRSGPGHSPVFASSVELAGLSFAGDAARTKKQAEKNAAMTAWSALKQMPEARKEPDNGCGGDEQEHVVVARVLAALKQRCDGNAASPLPKQHCHAGSSSSTALNPSLYRHQWLPLSSHAAHPRTRHVQPQLQPTGPRILPPLHMLKRPAPSTSRHGAELERQRRIDAAELVQMLERAMVSNREEAMPSAPCYYPHVPAYHHAGAAPRYFAAGGFHSPAMAVSVRSVIPVCSAPPQPAAATKDDDDDVRNDDPAAPPEH >SECCE6Rv1G0435350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:770827869:770829605:-1 gene:SECCE6Rv1G0435350 transcript:SECCE6Rv1G0435350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKAPTQRHSSGAVRPIVLVVVAASLVAVLFMATPVSPGCRPLLKAVYQQGLGRGGPSVAADPLLGGLLSPDFDDRSCLSRYRAALYRQPSLHNLSSHLVSRLRRYESLHQLCGPGTPAYSRAVARLREAMDGHASNSTSSDDPECSYIIWTPVEGLGNRILSTASAFLYALLTDRVLLVHHPGDDLDDVFCEPFPGANATWVLPEEGFPIQGIQGLNVRSRESLGNALGRGEGSSRDPPAPWLYVHLQTDYKPDDRRFFCDDGQDTLRGVRWVVLRSDNYFAPGLFFLPRHEQELARLFPRRDTVFHHLGRYLFHPSNTVWAMATRYYRSYLAPAEERVGVQVREFRYAPMAADERYSQILSCASRESLLPAVASSESDHHQEPEHEHEQTKRKAVLVVSLNGEYYDKLSSRYYEHGAAGGGAVSVFQPTHLGTQHSEKRQHNQKAFAEMLLLSFSDVVVTSAQSTFGYVSQGLAGLRPWVLMIPVDRKAPDPPCRLASTIEPCFMRPPHYDCRTRGDSDNGKLLPYIRRCEDVPYGVQLVE >SECCE5Rv1G0359730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:763713801:763714511:1 gene:SECCE5Rv1G0359730 transcript:SECCE5Rv1G0359730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTIGQRFGGYAEELERLVQTLGGYEQPRYRTRRQETHGIQLGLGVVTQLRLSSVQQYHPRRDYIDITIHRCSFEMGVQDLARRALLRLCGTHFDSLRDTEYRYFIGAIIPDTAPHHHEMAAAEGGAMRALGRLARALSRVAESVAEELTDVYHHLDDAQRRILELEERLRGGAPPQVAEPKPVGNVSQGSAQEEETQADQAAPAGAPAAAGISSFAPAALFRTPAPGNCGWLDD >SECCE5Rv1G0317450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:344762068:344763579:1 gene:SECCE5Rv1G0317450 transcript:SECCE5Rv1G0317450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAELQQHDPGDGGRPHFLIVAYGIQSHLNPCRVLAHRLVQLHDADGSGPVLATLSVPLFTHRRMFPSSGNGEPEGTDATDGAISIVPFSDGVDDGTTARGPEERARRRRASAESLFAVVARLASQGRPVTCIVCSMMLPWALDIARKHAIPLAVFWIQPATVLATYYHYFHGYGELIASHAADPAYEVTLPGLSRPLRIRDFPSFLVDTTGDEVGKVVNAVFCELFEFMDEQRRDVKVLVNTLDELEPATLAATRENMDVFAVGPVVGSSAEARIHLFNHAGDDKMRYMEWLGAQPERSVVYVSFGSIWTYSKQQMEEIADGLRRCGRPYLLVVRKDGRQEDVSRCLEDVVQERKGMVVEWCDQPEVLSHPSVGCFVTHCGWNSTLEAMALGVPVVAAPSMFDQPTNAMLIEEEWAAGVRGERNGDGVFSGAELTRCVELVMGDGARAVEVRKKVESLKGMARDAMAPEGPAERNLRSFVMEVQRSDEARRKDTNTISPQP >SECCE5Rv1G0375270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867611554:867614433:-1 gene:SECCE5Rv1G0375270 transcript:SECCE5Rv1G0375270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLWKWRKYLLLLATLVASVTYGAGLNPPGGVWSKDHDGGPPSLVVSPAPAVYPFRVGDPVLVSTYSSRYTAFFYCNATAFVASLVIIMFLLDRRLSGNRVGLTVLRSAMLLDLLALMAAFAAGSCRSVPGSIYVSALFATVFVYVAIHLHLASRGEAPATNAEEKRRLKEQRKFLLLLATFATPLAYGAGLAPPGGFWSETQDHDGGNTPEHRAGAPLLHDGPYKIRYHIFFYANANSFVASLAIIMLLMSRTLSDSLVRSKALLVCVLVELLGLMTAYAVGSCRWIPTTVYIVSLVGAVLLYIVLVSAFGLDAIEEWRKKLVASVGLGTKGAGRTISIGNGQEVAARTINIQEKLEQTRSLVLLLASLAATVTYQAGLSPPGGVWPEGHLNRIAGNPVLHDMHPKRYMAFYHCNTAAFVASVVVIIIVQSKVLSTVWGAMLLKTAMILDLFGLMGAYVAGSCRDPTTTIFVASLAVAVFLYTMAKVVGKQSWLTRWVQRMHVALLPPELPRKGGGEAQAPQEAFHVIQNPDESSRQGEGAHGAAHVPAAAVVHLGGESFRQRGEEETQGSERILEEKKLERKRKFLLQLAILAATVTYQTGLNPPGGFWTESNGDKLVTAGDPILLDYYGVRYQVFFYCNATGFMASVTVILLLVNQTLSKPGIRTKALYVCIMVGLLGLMGAYAAGSCRKLRTSIYVFALVAAVVAFLILEILLHWLGRKGWSKCLPMCLTRLFETLSSGPGGGSKNKSDEEAITTLDKLESDKYENRKYLMVLGILAASVTYQAGLAPPGGTWGDDDTASPSPLPSPLAHAHPPSVAGNPILLDFNAARYQAFFYCNATSFVASIVVILMLLQRTTKKQKPGAPLRALQTAVVLDLLGLLGAYAAGSCRDWEMSSYVIALVAAVVIFTMIYVLLSFNVVRAKAKKLTVWKYFCSKGLKYSGARNDHDQPAANGV >SECCE2Rv1G0079940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:125126615:125130920:1 gene:SECCE2Rv1G0079940 transcript:SECCE2Rv1G0079940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYAAAKTSVWWDIENCAVPRNCDPHLIVQNMSSALATAGYVGPISVSAYGDTSGIAHNVQHALSSTGVSLHHVPAGIKDASDKKILVDMLFWAIDNPPPANYLLISGDRDFSNALHKLKMRRYNILLAQPPNVSQTLTAAAKSVWLWRSLVAGEPPLAVSPYISSASNGNKVDLDASRNTVPNSSAAAQDTNPQVQNSSQRDYQNGGNGKVDKQSKVKQPRKNQTDNASKPAGKKENSVDGVADNSKGSTASQPSQPSTPSSSSSSSPEPQSRAKVNQTSIPKNPPLFLPKKPAKPTNSHQKSVPHDYFGSKKSGVSTEYAPKNCAPDSGNGSGHNHPKHQNLSSQPPKPHNPVNPRPHSGPGNFHTPNLHRSSSCPPQAQQTGHTGVPTAPLQSWPSAPPSPYHVPPVNYPDMSRLNISGYPIGGHDNQGSNVSYHPNYSGGVQPPYNNYSYRHPTPPNMPSNMQNAGQWGANTGCPQPSSDSQILIRNILSTLEVLKTEKLAPTEQHISDCVRYGGANLPQFDVKKALEVAIQHQAIVTKKLGPVSFFLGKDVNLWKCVNIMDTNARHSKETLDAVHRYLSTPGCSAIKNSQSRYHAATLLKKTCLKRLSLGEVLQVLYIATDKMKWFVPHSSGWQPLSWNVIVADTAPDASGKS >SECCE1Rv1G0048820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637392568:637393353:1 gene:SECCE1Rv1G0048820 transcript:SECCE1Rv1G0048820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAGPPETAPLPLAGRVALVTGGSRGIGREVSSHLAALGARVLINYASNSASAAALAAELNSRGLRAVAAQADVSDPAAVRALFDRAEEAFGSPPHIVVACAGLLNPKYPALADTTVEDFDAMFAVNVRGTFLVCREAANRVPAGGGGRIVTFSSSIMGTLLPGYAAYTATNGAVEAMTRILAKEVAAKGITANVVAPGPVRTELFLAGKDEAFLKSVETRSMGRIAETTDVAPVVAFLASDAAGWVNGQVIRVNGGFA >SECCE7Rv1G0481950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:297033461:297033835:-1 gene:SECCE7Rv1G0481950 transcript:SECCE7Rv1G0481950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVEIVEQVLKTEVKQSTFLRNVGLQSSRNYSSKATTKVVVHVREHEKKLERSELQAEVMQEELVAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE7Rv1G0468130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95439183:95441965:-1 gene:SECCE7Rv1G0468130 transcript:SECCE7Rv1G0468130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTLNPANASRAPLRLARHHPPQPYPHLPPRRRVAGGGVRPRAAVAVAVSGAVNEARRRPPPEGAVGKETDLSTLGNLCVDIVLSVPCLPPAPRDERLAYMEGLAASPPDQKYWEAGGNCNLAFASARLGLRCSTLGHVGEEVYGKFLLDVLEAESISVVGMLENADVTACRQAYETLLCWVLVDPFQRHGFCSRADFSKEPAFSWIRKLPAETKIAIHHSKILFSNGYAFDEFSPDVITSAIDCAIDAGTSVFFDPGPRGRSLLHGNLDEQRALEHALRLSDVLLLTADEAESLTNIGNPIQAGQELLRRGTRTKWVVIKMGSKGSIMITESAVSCAPSFKIRVVDTVGCGDSFTAAIAFGFLHDLPAISTLALANAVGAATATGCGAGRNVAHLDKVLYLLRESDLNEEGKTWTELVEGCSACPEVSVLSKTPVNGSSDPFVNVVPVSGVVSDLLLMLEAAPERSVVQA >SECCE5Rv1G0333600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:559528269:559540841:1 gene:SECCE5Rv1G0333600 transcript:SECCE5Rv1G0333600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRYSRFLLSGHIFLILSVIWALATADVPAGQRPGCPAMCGDVDIPFPYGVGKECALHDGFNLNCTTVKGVKMPLAGNIQVIKISLANSTATLNTTAISWKCYFPANGAMNSDEGWLNLTNTPYWISEVDNTVIVIGCNTLGYMRSSSYVIGCVSTCEGTILANNTCSGAGCCQADVPKGIQYYEGYFNENYNNTKNSQDISCSYITVMEKAAFNFKTSYVRSTMFYDTYKGKVPIVLNWQIAELACEDAKKNTSSYACVSSHSVCVDSTTQKPGYRCNCSHGYEGNPYLAGGCQDIDECLANPCGDGICKNTPGNYSCSCHPGNHMTNGVCVPIQKKPSRFQAMPVVGASVGLVVLVIAVACACLIQERRKLRNMKLAYFRQHGGTILFEEMKSQQCNAFKIFSEEELQQATDRFSEKQVIGRGGHGTVYKGLLKSNVEIAVKRCMTIDEQHKKEFGKEMLILAQINHRNVVKLLGCCLEVEVPMLVYEYVPNGTLFDLIHGNHGWRISLATRLGIAHDSAEALSYLHSGASTPILHGDVKSSNILLDDNHKAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTCKKPFNLDALGQEKSLSLMFMSAMKENRLEVMLDDGIKDEDNMEILEEIAELAKHCLEMSGENRPSMKEVAEKLDGLRKVMHHPWTQQNPEEMESLLGEPSAMVQSTIVSDQYFSIEKKAVTNLQSGR >SECCE4Rv1G0294520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:891459669:891461992:-1 gene:SECCE4Rv1G0294520 transcript:SECCE4Rv1G0294520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDKKRNQRRVLSRRSAGPKTGEGKDFLPLEGKEQRIREKRQPEEPENTATVLYIGHIPHGFYEDQMQGFFQQFGAVKRVRVARNRKTGKSKHYGFIEFENPEVAKIVADEMNNYLLFEHTLQIAPVPPEKVHAKLWKGVRKGFIPVDRVAIERKRLSKDKTVEEHKKMLEGIVKRDEKRRKRIKAAGIDYECPALIGSVQPSAKKIKFDED >SECCE6Rv1G0422350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689553508:689554643:1 gene:SECCE6Rv1G0422350 transcript:SECCE6Rv1G0422350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRHALPNSQAVLSAACTRPPVPAGRPSRARFVFSSTSVNRKLIVSSSSINNPNKAAGGMQQVAAGEDEHELKLLGTWRGPFAMRVRLALNFKDLSYEYQEEDLANKSGLLLASNPVNKKVPVLIHNGVPICESLAILEYIDEVYHGIGPSLLPADPYQRARARFWAAYIDNKLVAPWWKTFVGKTEMEQDEGTKQTLAAVEMLEGALRECSKGKPFFGGDNVGYVDVVLGGMVAWMQGTKALCGVELLHATKTPLLLAWMECFGELEPAKVVLPKVDRLVEFAKMKRAQRTLI >SECCE6Rv1G0433790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:761631392:761641498:-1 gene:SECCE6Rv1G0433790 transcript:SECCE6Rv1G0433790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDEHEQEHRLESILTDTSAPLAERAWAAGAVELRLLSRLAAPAVAVYMINFIMSMSTQIFSGHLGNLELAAASLGNTGVQSFAYGIMLGMGSAVETLCGQAYGAHKYDMLGIYLQRSVILLGLTGIPLAVMYAFSEPLLLLMGQSPEIARAASIFVYGLIPQIFAYAVNFPIQKFLQAQSIVLPSAYISTATLILHVLMSWVLIYKVGLGLLGASLVLSVSWWIMVAAQFVYIVFSPTCRHTWTGFSWQAFSGLPSFFKLSAASAVMLCLETWYFQVLVIIAGLLPNPEIALDSLSICMTIYGWVFMISVGFNAAASVRVSNELGAGNPKSAFFSVWVVTGLSTTISTILAVVILCLRNHVSYLFTDGDAVSDAVADLCPFLAVTLVLGGIQPVLTGVAVGCGWQQFVAYVNVGSYYIVGVPLGVVLGFFFNLGAKGIWGGLIGGTALQTAILLWVTIRTDWTKEVEEAHKRLNKWDEKKEPLLTGFKDNN >SECCE6Rv1G0414480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:632225027:632226440:1 gene:SECCE6Rv1G0414480 transcript:SECCE6Rv1G0414480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAMDAAKELDVPYVQLWTASTVSYLGFRYFRLLIDRGIVPLQDVKQLTDGYLDTPVEDVPGLTGMRLRDFPSFIMNPDDYMVEYAIKVTESAAGASAVIVNSFDDLEGEAVAAMEALLGRPKVYTIGPLTLLAPRSTGTIGSLSLWKEQEECLQWLHGKETASVVYVNFGSITVMTKEQLLEFAWGLANSGSQFIWIIRRDLVRGDVAVLPPEFMAETAGRGGWNSTMDSMCGGVPVISWPFFSDQLTNCRYQCNEWGVGMEIDNNVQRNAVTGLIMELMQGESGKKMRKRAEEWREKSILAAKPGGSSHRNFKELICDVLLPKK >SECCE3Rv1G0195990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:804567136:804567762:1 gene:SECCE3Rv1G0195990 transcript:SECCE3Rv1G0195990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAGEVARPQSPLRAMMPQSPLRIKQGGKFYERLLTKESSGANPSFRYYGSEPSSVPFVWESEPGTPRDASRMVGGALPAITPPPSYLLRHHGGVNVSRRGTAKGRKKRYRFKRTVKVGFIADMFRKLTVGKACWWRPGPSPAQVSSSSRWLVASETVPEQEQHGRHDDQVPAAKSSAVVCSGARQMSPCWMLPFRGAGNRNRDCD >SECCE2Rv1G0106230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:631838981:631842222:-1 gene:SECCE2Rv1G0106230 transcript:SECCE2Rv1G0106230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGGFDDNGGCEGLVVDFKGRPVDKSRVGGWFGAGLILVTELAERVCVMGISMNLVTYLTGDMHLSSAKSANIVTNFMGTLNLLALLGGFIADAKFGRYHTIAVSATIAAVGVSLLAASTAVPGMRPPRCAAAAGYEARRRAAGCVPASGGQLGMLYAALYTIAAGAGGLKANVSGFGTDQFDGRDPREERAMLYFFNRFYFCISLGSLFAVTVLVYVQDNLGRAWGYGVAAAAMAAAVAVFAAGAPRYRYSRPQGSPLAVIGRVLWGAWRNRRLPCPADASELRGFHEAKVQHTDRLRCLDKAAIVEADLATAVTVTEVEEVKMVVKLLPMWSTCILFWTIYSQMTTFSIQQAEQMDRRAGGRFVVPAGSLSVFLFLSVLLFTALNERLLVPLAARLTRRRQGLTSLQRVAAGLVLATLGMAVSALVEKKRRDASNGSGGVAVSALWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLATLAMGFFLSSLLVVAVDAVTRGAWIRGHLDDGRLDLFYWMLAVLGLANFAVFLVFASRHQYKRTRPDAATVELP >SECCE6Rv1G0383610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:54398444:54400228:1 gene:SECCE6Rv1G0383610 transcript:SECCE6Rv1G0383610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGKFEIQRIENATSRQVCYSKRRSGMMKKARDLAVLCDAQVAVVTLSSTGKHHHFCSDGADIKGIFDRYQQATGTSLWTEQYESMQRTLSRLKEINRNLRTEIRQRMGEDLDALEFGELRGLEQSVDAALREVRRTKYHVITRQTETCKKKMKHSQKTHKNLQQELSMREDPVLGFMDNPMMGGWDGVAAVEMGGGDAMADMYASHYPPFV >SECCE5Rv1G0323750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:439712148:439714416:1 gene:SECCE5Rv1G0323750 transcript:SECCE5Rv1G0323750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) UniProtKB/Swiss-Prot;Acc:Q8GW61] MAGGFAGVEAGGGRAEQYEGRITPYFILACIVGSFGGSLFGYDLGVSSGVTSMDDFLIKFFPDVYDRKHAHLHETDYCKYDNQVLTLFTSSLYFAGLVSTFGASYVTKHHGRRGSIMVGAVSFFLGGAVNAAAVNVAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPFKIRGAVNQLFQLTTCLGILVANVINYFTDRIHPWGWRLSLGLAVVPATAIFVGALFLPETPNSLVERGRLEEARRVLEKVRGTHKVDAEFEDLKEASEAARAVRGTFRNLLAVRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGSSAALYSSIITGSMLVVGALISMVVVDRLGRRVLFIEAGAQMIVSMVVVATILALKFGHGEELSKGVSTVLVVAICAFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAAMCHLRWGVFILFAALIVVMSIFVILLLPETKQVPIEEIWLLFDKHWYWKRVVTRDPKYQGHHQRQEMAAAAAAVKPVVTSE >SECCE6Rv1G0439650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:798033009:798033976:1 gene:SECCE6Rv1G0439650 transcript:SECCE6Rv1G0439650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKQTKKTTAATTPGKEEEAVAPGGFRKGPWTEQEDVKLAWFVRLFGERRWDFLAKVSGLNRTGKSCRLRWVNYLHPDLKRGRMSPEEERLVVDLHARWGNRWSRIAKAMPGRTDNEIKNYWRTHTRKLHKDTRAAAADGAGSGGSASASTTTSTSMSAASPTTTSSSSSSTTDNDNNSQHQGHGDQETAASLGQADHQLLYTAGIGMDSHLLWNDALMDTYAWGAAAPSMIVPPPSSPVWDYYCSDSLWGIGDDEVEYKKMLAVAGAS >SECCE4Rv1G0292960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884249286:884252282:-1 gene:SECCE4Rv1G0292960 transcript:SECCE4Rv1G0292960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARDGGSATLPCSYAPLPEDAEAAATVGRAHRTARPLCAALLLATAAVLLVVATLAGVRLVGQLPATDVAMSGHPTTVDTVPMSENSRGPESGVSEKTSGAAAHDGMLGADAGSNAFPWSNAMLQWQRTGFHFQPEKNWMNDPNGPVYYKGWYHLFYQYNPDGAIWGNKIAWGHAASRDLLRWRHLPVAMSPDQWYDINGVWSGSATVLPDGRIVMLYTGSTNASVQVQCLAFPTNPSDPLLINWTKYENNPVMYPPPGVGEKDFRDPTTAWFDGSDDTWRLVIGSKDDRHAGMVMTYKTKDFIDYELAPGLLHRVPGTGMWECIDLYPVGGMRGIDMTEAVAAASNNGGGDVLHVMKESSDDDRHDYYALGRYDAAKNIWTPVDSNADVGIGLRYDWGKFYASKTFYDPSKKRRVLWGWVGETDSEHADVAKGWASLQSIPRTVVLDTKTGSNLLQWPVEETEMLRTNSTNLSGITVNHGSVFPLSLHRATQLDMEVKFRIDPLDIAAAKEADVGYNCSTSGGTTGRGTLGPFGLLVLADARRHNGDMERTGIYFYVARGLDGGLRTHFCHDETRSSHANDIVKRVVGNIVPVLDGEEFSVRVLVDHSIVESFAMGGRLTATSRVYPTEAIYANAGVYLFNNATGARVTATSLVIHEMDSSYNQAYTASL >SECCE6Rv1G0430170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739850185:739850655:1 gene:SECCE6Rv1G0430170 transcript:SECCE6Rv1G0430170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHLVHVKLLAADLLTLTPRHTSPPSFVRYGRTVAHAEVVGVVVSRDRREKFLRFLVDDGTGCVPCVLWLNHQYLNANSSSDSDPTGEMASKMSEVVRMGTLLRVRGRIVMYRGAIQIAARDVVLKEDPNVEVLHWLQCVHMAKECYDLPLPSA >SECCE3Rv1G0148250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:18791897:18792425:-1 gene:SECCE3Rv1G0148250 transcript:SECCE3Rv1G0148250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCGGIGGNVRETSMSDVNRILQVIVRHGNAVDAISVIYDLKGNVAWTDRWGGEGGKPTTFSLQQEEYLTSIHGHCGQFKDFVVVRSLTFVSNLRSHGPYGKEDGVPFALHAGPGGKIIGFHARSGQFLDAIGTYVKMDNY >SECCE7Rv1G0454220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:1927756:1930977:1 gene:SECCE7Rv1G0454220 transcript:SECCE7Rv1G0454220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVSAAHGAFGPLLGKLAALLADKCGRLKGVRREVRSLRSELATMHAAVKEYAELEDPNDQVKAWISLVRELAYDTEDVFDKFIHHLGHSPDHAGFKDLFRKTVRRLKTLGARHGIAGDIHDLKDRIRQVKELKDCYKLMNDSPSSTAAPASVDPRLHAIFADEANLVGVDGPRDHLAKWVLDEANTSPKHCKVLSIVGFGGLGKTTLANEVCRKIQEKFDCKAFVSVSQKPDVKNIIKDVISQVPCHDGSTKDTSDWDEKKSIAKLRELLENKRYLIIIDDVWSTQAWHALKCAFPENNCSSRIIATTRIIDVAKSCCPGGDDRVYELEALSDLHSRRLFFKRLFGSEEHCPDILKEVSNKILKKCGGLPLAIISISGLLANRPALKEEWEKVKRSIGSALEKTKSLEGMSSILSLSYNDLAPHLKTCLLYLSLFPEDHLIYRDRLVRRWIAEGFISEERGQSKQEVAENYFYELINKSMVQPVNIGYDGKVPACRVHDMMLEIIISKSAEDNFTTVVGGGETSLPNHQGSIRRLSIQHIDMKLASELANVDLSHVRTLIVMPSCCITHLPSLDRFEALRVLDFERCEDLKDYDMKGMYKLFQLKYLSFRGTRISKLPSGIVMLGNLETLDVWNTNVRELPAGITRLTTLRHLIGYLKKLPNGIGGMSNLQLMPIFKLTSQEIDVLEDLGNLACLEELHVKLGDDVSCEDKRCEKEAFLSSLCKLGTCKLRSLDIDAFRGSLDFLGSWSPLPSSLQRFWAASGDSFTNIPKWITPALTNLADLWIGLTKLTEDGLLTLGQLPSLLRLQLGVSKKFVGLTVQATSFPNLKVFRLERTEQAYVSFVKGSAPRLEEIRSMPFSVSAAKANGFYSGLEHLKCLKQAHIELDDEAATPSECKAAAAAIRKEADANPNHPALTIEGEPEEEDNKEMAASEDGEAGDD >SECCE2Rv1G0108880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:670166646:670168470:1 gene:SECCE2Rv1G0108880 transcript:SECCE2Rv1G0108880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDMRRLETGAGGGYDGMGSSKRVDDDLDDDGRPRRTGTAWTASAHIITTVLGSGVLSLAWGVAQLGWVAGPGVMTLFAAVIYFTSALLADCYRAGDPVSGPRNRTYMAAVRATLGGSQVKLCGAIQFVNLFGIGIGITIAASVSMLAIKKAGCFHKEGHKGDCNSSSMSPYIAIYGIMEIFFSQIPGLDSMWWLSILATVMSFTYSTIGISLGVAQIVANGGIQGGLTGVAVGINAAGKSITVMQKVWRSLQAFGNMAFAYGFSIVLLEIQDTLKAAAPSEAKMMKKATAVSVAVTTVIYLLCGCVGYAAFGDGAPDNLLTGFGFYEPFWLLDVANAAVAVHLVGTYQVITQPIFAYVELRAAAAWPDSAFVGTREVRLWPTAVRVSVCPLRLTWRTAYVCVTTAVAMAMPFFGSVVGLIGAISFWPLTVYFPVSMYIAQRRVPRGSTRWLFLQALSAVCLLVSLVAAAGSVADVAAEFKAHNPFRRA >SECCE2Rv1G0106990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:641695167:641695703:-1 gene:SECCE2Rv1G0106990 transcript:SECCE2Rv1G0106990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAAVAVPLLLHARLGPRFAPALSPRRLLSCSTTAYEPHQSARPPASKQAEPESQVPWRAAEAEILGDVEPVVQLIKDILHSDRYADGECLGPADEIVVAEKILAYHPRAEDKIGCGLDGIMVDRHPQFRKSRCLFVVRTDGVWIDFSYQKCLREYIRRKYPSHGERFIREHFKRT >SECCE4Rv1G0278790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:810923089:810923784:1 gene:SECCE4Rv1G0278790 transcript:SECCE4Rv1G0278790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYNYGGVAYYGSTAGGVDDNGQGGYATVTSAPPKRPAGRTKFRETRHPVYRGVRRRGAAGRWVCEVREPNKKSRIWLGTFASPEAAARAHDVAALALRGRAACLNFADSATLLAVDPATLRTPDDIRAAAIALAETACPAAPAPSSSSVAAGAPPMMAMMQESAAVHYDDYPMQYGGIGELDQHSYYFDGMSGAGGGDDWQSGSHLDGADDDCNGSGGYGAGEVPLWSY >SECCE1Rv1G0040250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:557321245:557324367:1 gene:SECCE1Rv1G0040250 transcript:SECCE1Rv1G0040250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVLNTGARIPSVGLGTATGKAEPGVVREAVYAAVKAGYRHIDCAPAYRNEKEIGLSLKKLFDEGVVKREDLFITSKLWSGNQAPEDVPEGIDTTLQDLQLDYLDLFLIHAPLRSKKGAIPTPENFLPVDIPATWGAMEKLYASGKARAIGVSNFSCKRMEDLLAIASVPPAVNQVECHPGWQQMKLRELCQSKGVHLSAYSPLGKHGSPGSTGSSFLSNPIVISVAEKLNKTPAQVALRWGLQMGQSVLPKSTNETRIKENLSIFDWSIPEDLMANFSEIQQVKVLRAEFVVHPQGIFKTVEDFWDGEI >SECCE4Rv1G0248440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:572292090:572294123:1 gene:SECCE4Rv1G0248440 transcript:SECCE4Rv1G0248440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATVTPFSHSSTASSAEFERRKRAIPLLPAMSRNLKSPVQTQMAVSALSSSLIGDYPTKTRSEGRAVGWKRVFVQTDTGFVLPVQLDRGDSVHTVKRKLQVALNVPTEESSLTLGDRVLKNDLSSIRNDSPLLLTKTFMHRSSSSPCLSPTSKDLQQQRDRGGPIPIEVLVCPTRCFEAKQLVKDVSRGIRNGVDPILVSSGLGGAYYFKNSKGENAAIVKPNDEEPFAPNNPKGFTGRALGQPGLKRSVRVGETGFREVAAYLLDYDNFANVPPTVLVKISHPVFNVNEGVGSTNKKASVGDPRTVSKIASFQQFAPHDFDASDLGTSSFPVSAIHRIGILDIRIFNTDRHAGNLLVRKVTGADQFENLTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSEDELEYIGKLDPVKDAEMLRMELPMIREACLRVLVLSTIFLKEGTLFGLCLAEIGEMMSREFNGMDDQPSQLEVVCMEARRLATEREECSTEHDSADEDVTQFELDCEDHEMPKTPPAYHFEFKGGSSRNPLSKLDEAIEEEEDDIQGEEESNAEKLACPKPVNEWLPNISKLSTSLSSVSLMDKSQRQLPAIPKGVDSAKTSENNQVGNWRTANEQLPTSASFVKLADMGVETWGLFLEKFQELLPGAFRAHKLGATGQRGWLRMGTSCQF >SECCE2Rv1G0110600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:692200401:692200965:-1 gene:SECCE2Rv1G0110600 transcript:SECCE2Rv1G0110600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMLVAVFAVAILPGLAVATEHMVGDDKGWTLKFKYMAWAETKQFIVGDTLVFKYGGPAHNLMEVGGPDFTACTQPASAVVWTSGQDRLTLDTARRRWFFHGVGQHRGSGMKLKITVLETTAPTPQPAPTNPAGKLQACFGGTAAAITALAAAMLVL >SECCE6Rv1G0450090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:866045101:866047766:1 gene:SECCE6Rv1G0450090 transcript:SECCE6Rv1G0450090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAGDKFPEGLRVLAVDDDRVCLKVLEAVLRGCNYNPTTVTDAKTALKMLRAGKAKFDLVITDVRMPGMDGFKLLELIHLEMDLPVIMLSVDCDKKDVTKGITHGACDYLVKPVCISELKNIWQHVERRRNFEAKTRIVINNNNNNDDVDRVQPRTAAMSKDSENKGNEGYDSNENQEITHVSNTWKKARVVWKNELQKKFLEAIDQIGLDKAVPKKILELMNVDYLTRDSIASHLQKYRLHLRRVKSNPVGDASERHNSSHNSMNNQGSFMRNHEHERWCMSPGLLSPNNFCAMGHLAQPANTHRNSCMGSFIHDGRIHKYVAPKLSDGGRFAGSIDPPANLYNNIPNDTTLDEFPSYSFGNSYAGRMRGKLVETNKGKFPDPSYSSVTHATLTGVLHRGIISPISSNVNVEVQNEMATFIRNATPMAGFNEQIVPKNAPSNQSFVGMLNACDNRPVSSSEMVKGGSSSMSVDGLSEQMAPFNIAKNTSFVEMMLNENTAPGNGRISMTHTDMVNSGRTISTVSNHQTEHVFAMTDKLDGGDAVSNHPMQVGTNDQFNDINDFSWDDFSLNPLDADFTIEDDFMGGEE >SECCE5Rv1G0359170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:760028987:760030731:-1 gene:SECCE5Rv1G0359170 transcript:SECCE5Rv1G0359170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNSFREADPITMQRGYGFRPNQPAVVHQTRCRWIIGDVTEVFDHNTWKLGKIAKIVKNDYFVIRLADCIQLKEFHISSLRVPQPHDAPSPHSKQFPAADNKVSRRGKQLPADALRRSGKKRKSSEVLDFSPQPSQRRLFQVAREDAAAAECSVASCSAANDDAGVGVSSGRHGGCCSVGRGDAMSRVVAASPRAAWSSSDSDGALSDGGPAGVDVHELELEAYRSTVRALHASGPLTWEQESLLTNLRLSLNISNEEHLLQLRRLLSS >SECCE2Rv1G0064820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5143191:5144721:-1 gene:SECCE2Rv1G0064820 transcript:SECCE2Rv1G0064820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPKLPLLLLCTYLHALVAHGGDDLLRSYYKVLHAQRQLLPAQSPPSGGGVTVPLHHRHGPCSPVPSTEAPPLEEMLLRDQLRAAYITRKYSGVKGGEGDVGQSDVTVPTTLGTSLGTLEYLITVGIGSPAVTQTMLIDTGSDVSWVQCKPCSQCHTQADSLFDPSSSSTYSAFPCSSSACAQLRQSQQGNGCSSGSQCQYIVKYGDGSSGTGTYSSDKLALGSSSVSNFQFGCSQSESGNLLKDQTDGLMGLGGGAQSFATQTAGTFGKAFSYCLPPTSGSPGFLTLGAATSGFVVKTPMLRSSEVPAYYGVRLQAISVGGRQLSIPTSAFSAGSIMDSGTIITRLPPTAYSALSSAFKAGMKQYPPAQPMGIFDTCFDFSGQSSVSIPTVVLVFSGGAAVNLAADGIILGSCLAFAANSDDSSLGIIGNVQQRTIEVLYDVGGGAVGFKAGAC >SECCE5Rv1G0303510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:49038160:49045176:-1 gene:SECCE5Rv1G0303510 transcript:SECCE5Rv1G0303510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGQSYVSAPPAFSADGRFLLVCSGRSVSVFSTSTGMLVSELEGHEGDVTAVVVVPPQSSAAAAAKLATHCWTAGLDGFLIYWDFATAELVRKVKVDAPVHSMVIPNISKTLKVTELYAPVAFVSVEALSNKAIQDKAKGKPVTKNKELWGQMRIYDLTKGCQVGSELAQTRKPEKIVVSCSGEFLGIANKRHLYIWSIPTKDFNHDNIRKIKLGHTKKLSTLAFHPSERIVAGGDVTGRILIWRGFGKAKFSGAQGAKSQVDEERDGVRGKDDAGTCTTWHWHSSGVNFLKFSSDGAYLLSGGMEGVIVVWQLDTGKRRYKPRLGSPLLFFVDSPDSSISCVSCTNNQVHLLNMPNMEVLKTVAGIKLPLASADLSRRDVYGFDSTNGLVAIPTQDYCIQFYNLFENTEVSEVQVCERNFQPVDDITLYISLVSLSTGGNLMCTVEVKLPEEELGGLVTLKFWNQGSRAGQFHLSTVIYEPHSDAEISAVAFHPRKSMAVSSSFGCNFKVWVQSLSLQSSDGKSQSGWRCQSVGSYKKKPMTAAAFSGDGSVLAVAAESVITLWDPDNNALVGVIAEALSPITKLSFAGDSAYLMSLSQSSKPQVAVWNVSNLSMQWSYTLFAEAACCSSSKSEFAVLSLLSCPEGGAPAEQDGVILIFDAENSKPVSSWSVKKAKGGSIAFVKDDLSLDANTDDTRDGEASLLVYVNGSHEYVIFDPRSNEETQISQITRKNIQADESAPIGYASIYGELPKLELKKEVLDVPFIPSERPWETIFTGSSHALPPLTKLCSVFLASLLEKRPVTDE >SECCE5Rv1G0365400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:806957363:806959894:-1 gene:SECCE5Rv1G0365400 transcript:SECCE5Rv1G0365400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNAEKNVTPWQGAAKNLRAAQECLNDNHHTVAVESGPSISVEDCNLREAQECHNHTLHSFAVESGPSMSVENRNLHEALKLIKDDLVGVIGIWGPGGVGKTHLLNNIKKSLDGNITFNYVLQVTISRGCSVEKIQTDIAQQLNLDEFKKDSDVQFRCRIIYNFLKKRSFLILLDDLWDLIDLQAVGIPYPLGIENQLRRKVVLTTRSRKVCGQMEVRKNIKVGYLQEDEAWQLFKEKVCPETFSYNSGIENLGKELVKEMKGLPLALVTIGRTMYGKTDTAEWEYAIEYMKKSWYVKDDPLDMERVVFRQVKFSFDRLRNNILRKCFLTCALWPEDQKIPREMLARCWIGLGLVDEPEIKSSYRTAYNLMGELLAACLLEEGHGIIMYNEYLEMHDYVKMHDVVRDMALWISCGRGKNNNKWFVRAGVGWDEKFSIPWSQVECVSLMMNRLSDFPPVDSNPCHMRMLCHGNNLLSRLPYYEIRKFTSMTYLDLSFNKLSFIPEELCSLVNLEHLDLSGNSDIEVVPRCFEDLINLKFLYLEKTRIQMLPEGVISKLQALKVIDIRTHCLPRPVSENIRMLRELATLTNLKAVGIYVEYLCALLKEGANLPIRYLILEDHETRELNFSDILSHDFARRTLYELTIHMLNLDQIIIRQELALPSCCFGTLNQLSLCEFDNLKEITWIEISPASLFPKLKCLFVEYWQGLQDLSWAMYLPSLEQLSIFNCNVMQQPFMVHHNDNKLKFGVQDSSKTFPCLKYLTFSWCSSLVSIGDPDVTFPFLERLEFEHCAELKSLPFNMANLPRHLQFVQIDVKSWERMKWELEDGVKSFLEPKLKLYGVL >SECCE6Rv1G0407260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555618225:555619682:-1 gene:SECCE6Rv1G0407260 transcript:SECCE6Rv1G0407260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAISAVTGELASRFISFLMNKYADHLYSEQKVERLQQLLLRVHTVVEEADGRCITNSCMLTQLKMLSAAMYQGQHVLDSIRYMQHKEASKDLVSDSSTSSDYIIPVKRARTTNSCSPNKASNSELQSALQNLEAVVEHMVEFVVLLGGCERVSRRPYDAYLHVDNFMFGRHVEKQQIIGFLLQENMPGPPAVLPVVGGRGVGKKTLVAHACRYDRVRSHFAVILHLNGDHLTKMTNHEIPSGKTLVVVEFASDVDGDDWRTFYSSVTSMDRGSKVIVLGRNESLKKLGTVQAISLNRPALEEYRYLLKTLAFGSANPGDHPRLATIVEEFAVVLGGSLVPANLIAHAVRKNLNAHFWLSALNKIRTTMEMNISRLGFHPNELFDQGRPVHLRPHYLLSPAAPSCRIPSASASCPSSLPELKFGDLLAEESHVVPPKGDFRLVAWESRLPPYTSFVHLVRFVPSCVDDKPEASLSGKKRLGPSV >SECCE6Rv1G0397000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:384482372:384486920:1 gene:SECCE6Rv1G0397000 transcript:SECCE6Rv1G0397000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLPAGAGEPSSSSSSGPHGKHDGNETAAAAAGLRYGGGDISLGRGSDLLHGQFRGGEGEMKDDGADMLARHSSSPAGFFSNLMVDDGYHGSRGAGVASGSGGPGEAHRNANSSTKMKSQLSFTAGGQQTAAHLSRISEGASLFPGAAAHPGGEYPVSRSFSASGSSGGFSIVGPWDDSREIIGTLDLGGYESQFSGMASSSSLELAGMDKYMQAQQQQDQVAFKVRAKRGCATHPRSIAERERRTRISDKLRKLQDLVPNMDKQTSTSDMLDLAVEHIRGLQSQLQAMKHEQDKCTCCNKP >SECCE2Rv1G0064360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:3056663:3057792:1 gene:SECCE2Rv1G0064360 transcript:SECCE2Rv1G0064360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVKVYGPAMSWNIARVLVSLEESGVKYELVAVDLAAAEHKSPAHLARNPFGQVPVLEDGDFCLWESRAITKYICRKYKPELLGVGNLERSAMVDVWLEVEAHQYRPLIEAILMEIRIRPIFGQSIDERAVEENIDKLKKVLDVYESRLSSSKYLAGDFISLADLNHVSTMLCLGITMYTSVLGMYPHVSAWWDDLRVRPAARKVSDVMNMSMKNDLK >SECCE7Rv1G0493850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:575795243:575796824:-1 gene:SECCE7Rv1G0493850 transcript:SECCE7Rv1G0493850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREIEREKMERGKSELRVAMEELCLLSPGDGEEQEQEQQLRSSTVDLLCVSKQLLHVLDEIGPTLLVLRQDIQQNVQRLQDIHARDSSKYGTLTTIVIEEVEEGTSKKTNSCTRAIIWLARSINFSVHLLERLMKNPDSSLKEMVEEAYKNTLKPFHGWISSAAYRVALGLIPEREIFIQLLMGNCQDPEDFGGDVMILVSIVRPLLEEINAILVKHQLDRLKST >SECCE6Rv1G0427030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:717416696:717417790:-1 gene:SECCE6Rv1G0427030 transcript:SECCE6Rv1G0427030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGATPLLHNLPEEVVLWEILARLDPKSLIRCRAVRRAWRCATSTRRFLLAHHARQPSLTIFSSQGYRNILAFDHRAPATAVARLDKPFVLLASCDGLLLLSWSDRRACLTVCNPATREHASLGRIPSDFSVVGMYPHRPTGDYRLLLQRRGCRGGNQIGCYIFALGSDLTPRYIGWPETASLNCFETPALVRDSLHLFPFKTLFAPKKIWVFDTMVESFRQMRAPVVPPGGNLFEMDGTLGIHVHNYATEIITIWVLQDYESEVWELRYRIKLPVADIREQFEDNGKCWGFDVVSRDGDVLLLVHSGGWLLQVDSDGKLIDSFDCGHRDLRMYRYQLKQSLLQHTFFPALENYAVNAPLFI >SECCE5Rv1G0327640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:494378471:494382146:1 gene:SECCE5Rv1G0327640 transcript:SECCE5Rv1G0327640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRLFCSSEYHRLYPEFPRLPCSSPNAQKTTKLLHLPRMSRRPLLLLLLVPLVVSIAQPLPSNTSAGTGSTTSVLLSFVAALPPAAQRVLRPTWLRRHRTGGNSSSSFAISGRHHHRHRHCAFLGVTCSAAGDVTAINLSSTGLSGALAASAPRLCALPALSALDLSRNNLTGAVPAALAACSTVSTLVLAFNLLAGAVPAELLSSRRLRTIDLNTNALTGEIPAAPSGSSPLVYLDLSANSLSGVIPPELAALPELTYLDLSSNNLSGPVPEFSAPCGLLYLSLFSNQLAGELPRSLAHCGNLTVLYLPNNNISGEVPDFFASMPNLQKLYLGNNAFTGALPASIGELLNLEELVVSSNWFAGPVPRTIGQCQSLTLLYLNGNCFTGSIPQSIGNLSLLQKLSVADNSLTGRIPPEIGSCRGLVELELQNNSLSGTIPPEVAELSLLQKLYLFNNMLHGPVPPALWQLTDMVELYLNNNSLGGQIHPDITRMRNLREITLYSNNFTGELPQALGLNTTPGILRVDFTGNRFHGAIPPGLCTGGQLAILDLGYNRFHGRFPSVIAKCQSLYRINLNNNRISGSLPADLGTNRGLSYIDMSDNLLEGGIPGVIGSWSNLTMIDLSRNSFSGPIPRELGALSNLVTLRVASNTLSGSIPHELGNCKRLVCLDLGNNLLNGSLPAEITALGSLQSLLLGSNKLTGAVPDSFAATQALLELQLGDNHFEGIIPHSLGNLQYISKTLNMSSNRLSGQIPSSLGNLQDLEVLDLSKNSLSGPIPPQLSNMISLLAVNISFNELSGQLPAGWAKLAAHSPGGFSGNPQLCIQSDSAPCSNKNQSLKNRTRNARIIIALLLPTLAIMAASMFLLHIIAKRYSRRRSARRVSMRSMDSTEELPEDLTYEDILRATDNWSEKYVIGRGRHGTVYRTQSKLGREWAVKTVDLSHGKFPVEMKILNTVRHRNVVRMAGYHIRGGAGLILYEYMPEGTLFELLHGRKPQVALGWTARHQIALGLAQGLSYLHQDCVPMIVHRDVKSSNVLMDADMVPKLADFGMGKIVGDEDADATVSVIVGTLGYIAPEHGYSTRLTEKSDVYSYGVVLLELLCRKMAVDSSLEEGVDIVTWMRSNLKQADHRRAVMTCLDEEIVYWPEDEQAKALDMLDLAISCTQAACQSRPSMREVVNTLVRMDM >SECCE3Rv1G0179770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:549720025:549721338:1 gene:SECCE3Rv1G0179770 transcript:SECCE3Rv1G0179770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCSSAGKQGEIMLCEGGGGGGGSGLRLFGVQVHVAAGRSVGAGASMRKSYSMDCLQLAAAPSSIVSPSSSSSSSVLLSIDEGLERASNGYLSDGPHGRLVQERKKGVPWSEEEHRLFLVGLEKLGKGDWRGISRSYVTTRTPTQVASHAQKFFLRQSSMGKKKRRSSLFDMVPICENGIRVSEPLTNTGEGSSTSLPRYKSPDMASIDLNSTQEDDMAMAGVPLLSASGVSPRGPFPAVLMEQPPHGHGHGHHCSPLDLELGMSLSPPSIGT >SECCE6Rv1G0452000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874880003:874883234:1 gene:SECCE6Rv1G0452000 transcript:SECCE6Rv1G0452000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRRGGAGVCGGAGMLSLKDVFSLLVVLALCGRGASGLDADGELLMAFRRAVTADPLGALRSWTYSDASACAWNGVICNGFPQPQPSTLNLTSASADGNRNGSFSTLAGGRAANGTLLGLNASMAAATVSRVIGLVLPGAQLSGSLPAELARVEHLRHLDLSGNGLNGTLPAALLLNATELRVLSLAGNGLSGALPDASYARALQELNLSDNALAGWLPASLLRAPGLAVLGLANNYLAGELPAGGLGGLQVVDLSSNYFRGALPADFGGTQLKFLNVSSNSLTGALPAELSDVVPANTTVDLSNNNFTGAVPLAGPFAAQPAAAYEGNPGLCGPPLKHACSIPSSLSNPPNTTDSPPAFAAIPKSAARAPPGSPEAQAPRGGQGKLKPLVILAIVAGDLAGVGLLFMLFMYVYHIRKKRRQRREENPTAPRHKGIGGGAKALSVAGAKEDKAGSSMACCIGGGKNDGSDSSDCSASSSDAASDEGGGGGEDPKKRAGSYILWGTPQHHSKNKQERQQQQAPAPATLVTVDGGDGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGADKLKDFEVQVRAVARFRHPNILRLRGFYWGSDEKLLIHDYAANGSLANIAFTRRFGASSPMQLNLEARLRIARGVARGLAFIHDKKGVHGNVKPSNILLGADMEPLVGDLGLDRLVSGESASHRGGGGASARLFGSKRSMHSTSSLPDLSQMPGGGGAGAGSGASPSASAPPPYQAPECLKSLRPNAKWDVYSFGMVLLELLSGRVYSEVELCQWHAGAADDQRGRVLRMADPTLRGEAVDAGSEDALLGCFRLAFACCAMAPSKRPAMRDAAALLDRIQPTPSPASASAIETPPY >SECCE3Rv1G0169190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:233395458:233396693:1 gene:SECCE3Rv1G0169190 transcript:SECCE3Rv1G0169190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTDREAAMAGPPPPRQRLGQAPRPFRAAEEPAARGPPVADRARPPDPRPRPSLAEEGRASHEALLANVARPAGKPEGRAAREAPAVPVAKPPEQLYAAGAPEGRAAREAPVARSPEKLNGAAEGRKTRDAPVAPVARPPEKLYVPPDERKIREAPVAPVARSPEQLYGSPEGRAAREAPVATVARPPGPYYVPGGGAAAPVATTDRPPETSPWPYPYYYEPERPARRRTSALASCLMAAAFLLFAAGGAATALFLLFRPQPPEIAVAAVRLPSFAAANGTVAFTFEQVASVRNPNRAPLAHFDSSLRVAYAGGEIGSIYIPAGLIDSGSTKHWSTSFAVPAFPAATPPLLDTTAQQPAAAAAMMEVDSLLVVKGKVMVLRVLTHRVQASKVCRVGVSPIDGRVLGFRC >SECCE1Rv1G0008320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:46449777:46450786:-1 gene:SECCE1Rv1G0008320 transcript:SECCE1Rv1G0008320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFTTKLGRQASGYLQDKYKQARLALGDVTPAELAVQEATNDDACVPDAKTLASIAEAAFDIDDYWRIANVLHRRLGCVHDWKEWRPVYKALVVLEFLLTHGPEELPGDFLPDMQALRDLRGFTYTDDKGFDWGASMQRRADSVVGLLTDADRLKDARQRVRSLSFSHDGGGSPTSSLASSASSRASRGTWSFASSSPHYSDSPTFVCLCGPNVDYRHDKKFDAYTADDKRDLVGDQEVDDYPTPHSQGSWLEESTPLSGSPVSCCSARSAGGGRRASGFNSLSQPERRNSSKKLQRQLSLHY >SECCE2Rv1G0104270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:603955933:603979610:1 gene:SECCE2Rv1G0104270 transcript:SECCE2Rv1G0104270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAFAVSAAGLARPSAPRSGGAERRGRGVELQSPSLLFGRHKGTRFPRAVGVGGSGWRVVMRAGGASGEVMIPDGGSGGTPPSIDGPVQFDSDDLKVPFIDDETSLQDGGEDSIWSSETNQVTEEIDTEGTSRMDKESSTGEKLRILPQPGNGQQIYEIDPTLRDFKYHLEYRYSLYRRIRSDIDEHEGGMDVFSRGYEKFGFVRSAEGITYREWAPGADSAALVGDFNNWDPNADHMSKNDLGIWEIFLPNNADGSSPIPHGSRVKVRMGTPSGTKDSIPAWIKYSVQTPGDIPYNGIYYDPPEEEKYVFKHPQPKRPKSLRIYETHVGMSSPEPKINTYANFRDEVLPRIKRLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGSPEDLKSLIDRAHELGLLVLMDVVHSHASSNTLDGLNGFDGTDTHYFHGGSRGHHWMWDSRVFNYGNKEVIRFLLSNARWWLEEYKFDGFRFDGATSMMYTHHGLQVTFTGSYNEYFGFATDVDAVVYLMLVNDLIHGFYPEAVTIGEDVSGMPTFALPVQVGGVGFDYRLHMAVADKWIELLKGNDESWEMGNIVHTLTNRRWLEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALNGPSTPNIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGPQVLPTGKFIPGNNNSYDKCRRRFDLGDAEFLRYHGMQQFDQAMQHLEEKYGFMTSDHQYVSRKHEEDKVIVFEKGDLVFVFNFHWSNSYFDYRVGCLKPGKYKVVLDSDAGLFGGFGRIHHTAEHFTSDCQHDNRPHSFSVYTPSRTCVVYAPMN >SECCEUnv1G0561830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:359360119:359360895:1 gene:SECCEUnv1G0561830 transcript:SECCEUnv1G0561830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVASLLAGLLLAALAATTYAATITVVNRCSYTVWPGALPGGGARLDPGQSWALNMPAGTAGARVWPRTGCTFDGSGRGRCITGDCGGALVCSVSGQQPTTLAEYTLGQGGSQDTLAEYTLVQGGSQDFYDISLVDGFNVPMSFEPVGGIIDGFNTPMSFQPVGGAPCRAATCAVDITKQCLPELQVPGGCASACGKFGGDTYCCRGQFEHNCPPTNYSSFFKGKCPDAYSSAKDDQTSTFTCPSGTNYQIVLCP >SECCE1Rv1G0031580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:444183168:444183668:1 gene:SECCE1Rv1G0031580 transcript:SECCE1Rv1G0031580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPAGASRLEYPTVVDVELKVKLKKMNKNFKQLIELKQSNLIALGILALGIFYLMAISR >SECCE3Rv1G0191220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747463639:747468862:1 gene:SECCE3Rv1G0191220 transcript:SECCE3Rv1G0191220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MASCSHPWLFPGMSPPPAASTVPSFCKSSKVFASLRQRRKAGSVAPSRTRRCTIRCVKDESIHFDPSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTAARVRAARAPAPVGESAGTPSLGKKPGSRRKGYKEQVASASAGGGAETSGDDGEFIVATEVPLDDTLEETNDSLNEYVIYEAPKEESLSEYEMDKMMGRPHPFVDPAKAMLAEEPKSSEELWWNWRRKSETEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKTRRHLHKEERLEAEQRRLEEIGPIAYYSEWVEAYKQKDTSWEAIQKHFEETGEDANTQLITMFQHQTAGEYRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTVNYVQDPDMVIDYRSPEFHEPTPEVVPYLMEHGIMITKEELDARLMEEEEDINEDITYIPEVKDPMSTAVDIGEHSFNEDSDDEDEVDKAVTLPEALEDEEDGGDEAVEVEGKMSQNWSVLKTTGQDEKPKEKLKKDQMSLKDAINESENLTDFLMDFEEDE >SECCE3Rv1G0210630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944343285:944344727:-1 gene:SECCE3Rv1G0210630 transcript:SECCE3Rv1G0210630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGICKHLKYAYKLVVDNFLTMMTIPMAAPTVVVAGRLRALTPAHLFLSVFLPVLALTVYLISRPLKVYLVDYACFHATHHYRVPFASFVEHAREVPTFNEGSIRFMARLLESSGLGEETCGPTPARYIPPHKYCTLEAAREEAELVVFSAMDELFSKTNVSLDTIDILVVNCSCFNPTPSFADMIVNKYKLRGDIRSVHLSGMGCSAGLISVELARNLLRVAPRGARALVVSTETLSPHLYVGNERPMLLPYCLFRMGGAAVLLSTSAANARFRLTSTVRTTTAADDKSYRSIYQEEDEKGNKGAGLSMDLIAVAGRTLKANITAIAPIVLPISEQFLFAMSFVAQKLSSGRVRLYVPDFLTAFEHFCIHAGGSAVVDEVQRSLGLSEKHVEPSRMTLHRFGNISSSSVWYELAYIEAKGRMREGDRIWMIGFGSGFKCNSAVWECIVPAPDSNGPWAGCIHRYPVQIKSPKARARQ >SECCE5Rv1G0348420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673728191:673730065:1 gene:SECCE5Rv1G0348420 transcript:SECCE5Rv1G0348420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSTTASIAAASLRALAGASPAPLPKPFLVLLSPAPPRRLGLGLRAARPRGPLAPLAASESFFETSASVDVAEPEDEALEAVAEEEPAVAEEEVEEDAAAEEEVGEYVEPPEEAKVYVGNLPYDVDSERLAQLFEQAGVVEVSEVIYNRETDQSRGFGFVTMSTIEEAEKAVEMFHRYDVNGRLLTVNKAAPRGARVERPPRDSGSSFRIYVGNLPWQVDDSRLVEMFSEHGKVVDARVVYDRDTGRSRGFGFVTMASQEELDDAIAALDGQSLEGRALRVNVAEERPPRRF >SECCE1Rv1G0041140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568796203:568803508:-1 gene:SECCE1Rv1G0041140 transcript:SECCE1Rv1G0041140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAAPAAGSDAARVEVSGTGQPNQPAYPPLVSGDHSWSSTTGAVAAAGSWNYPVANQSQDAVYYDPQRDVSVSGDNHNVASSAPPAAQSTMGLTNASHSHVPYSSSLQHGYNPAEYANYYYNYPQATNSCSVQQGGANQHSGAAYQPLTSFQNSGSYVDPASNTYYNAGGHQTAPGYATTNYYYQTDTRNDGSSGNNYAQSYQNYPSSDTNAAQSSSTVPANSFSYQQQYNQWPYYYNHPVPTPAGNPVAGSSNIDNTVVNTTSGYSYPSMEPPPPGTTPWKSNSSTSVAPPVQAPSVPEPQNQYVQQAQVTPGFQNQYGYQAPGVPVSQNYYASQAPAYPQNNMNVNQVPLNNHGDQQKSGSLTTGIFSSENKTQIPTIPRIAPGFSMVIPKSEKKIVGADLAKKPAYVSVSVPKNDGKAVQNGSDARSLPFSLRNYATRNLSRCKDEAQRAACQTMIQQITSKAISNGTLLTKNWDTEPLIPLPENLLTMTEKSANNSSSLPTSSTPKRRLKSRWEPVPEEKVTEKVEPLAKALMNGNAYNYLKAQNTMGNSWNSGKSLQSPHTPSNKIIHRLSKKQKMGSYSSVVQNGNTSSDSDKEKDLTKYYANASALANSPEEKKRREHRSKRFEKSKVSSSKSRNSAVNKDAMAHIHTRRPISALVTGSYKDGNSLAVEDMDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEHVLEKALSMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLALQAGDLPEFNQCQSQLKRLYREGNNGCYFEFSAYNLLCVMLHSNNKRDLLSSMASLSKEAKQDGAVKHALAVHAAVSSGNYVIFFKLYKQGPNLNSCLMDLYVERMRFEAIKCMSKSYRPTVPVGYVAQVLGFLLDGEDRLEECEIWLKAHGAVLSVDNSGELQIDTKASSSTLFMPEPENAVAHGDASLAVNDFFARTL >SECCEUnv1G0560580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:348267410:348267667:-1 gene:SECCEUnv1G0560580 transcript:SECCEUnv1G0560580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLLAFMAAKGFVQVFQVSAPLLWPLNLWLPLPRNLPEVCVVVCSALASHVAWLRRAYARRRSRSRDDDDDELHRQALVDAAY >SECCE1Rv1G0013430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:104977133:104978506:-1 gene:SECCE1Rv1G0013430 transcript:SECCE1Rv1G0013430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACSLVRMMSLLQLDMRMLRMLLALSPPPSSHWKFRKHPPLMLMGTATMVEILPEPLLMETETVVETLPELPLDVLMDIFSLLEIPDLIRASSVCSFWHSAYSSLHSQLGQYKRPQTPCLLYASEADGENVACLYSLAEKRAYKLTLPDPPIRSRHLIGSSNGWLVTADDKSELHLLNPITGQQIALPSVITIEQVEPILDSAGAVNNYKMWYLTPDEDPSKFSDCLCIKAFVFPDPPTGSYIVVLIHNPEQYLSFSRVGDYKWTSLPGENYEQCIHMDGLLYAFTETGGVYTFDLTGPTITRNIIAEEMENYISATDGYMYVVQAPWGDVLQVCRDFESTAEEFIKTKKMMLYKADMAAKELVEMHGLHDHVLFLGRSQSQCLSTEEYPQLKTNCVYFTDDMTYISKYKNDDRDIGILNLENDSTEEIVPQLWCNWPNPIWITPNITRMNMVFYK >SECCE3Rv1G0195900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:803621150:803622052:1 gene:SECCE3Rv1G0195900 transcript:SECCE3Rv1G0195900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALALSPSQSSSFGLHQSMPSFKPSSASPARSVRAYAKADEQEEEKKKVPKQSLFGNITEALDFSQVRSEKDAELLYEARDSIKDEGRMTREQYAALRRKIGGTYKDFFKSYVEVDGEYVEEGWVDKTCKVCKKDTRGEPRQVDNLGRYMHVACAENSKPTNFFSKLFGR >SECCE7Rv1G0466880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82098044:82098244:1 gene:SECCE7Rv1G0466880 transcript:SECCE7Rv1G0466880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLMMALAFSAAPLTLYVPPVRSLSLFVEAMETVCRECAPYSQGAVSRFRLGLSRIFAGLARALR >SECCE5Rv1G0367730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:823558329:823559502:-1 gene:SECCE5Rv1G0367730 transcript:SECCE5Rv1G0367730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPASCMGAVATVLVVLCAAVSSAAAQPRRPLPPNSRVIRPGRFGKRAQTLTCDFTPCVATCPKRCPEECLVVCSSCKTYCLCDLYPGFICGDPRFTGGDGNNFYFHGKKNQDFCIVSDGNLHINAHFIGKRDPSMSRDFTWIQAVGVRFADHRLYVGARKTAKWKNDVDRLELAFDGAPIDVARDIGAQWQSIDVPSLTVTRISMTNNVRVELKGVFDIVTRVVPITKEDSRVHNYGVTEDDSLAHLDIGFKFYDLTGDVHGVLGQTYRSDYVNKFNVSSSMPVMGGVASFVSSDIFATDCKVARFGFNAKISMVTAKAN >SECCE2Rv1G0086560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:213914835:213918052:-1 gene:SECCE2Rv1G0086560 transcript:SECCE2Rv1G0086560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNACWELYCLEHGIQQDGTMPSDNTVGVAHDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEGDEGDDY >SECCE2Rv1G0125540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:841599181:841600715:1 gene:SECCE2Rv1G0125540 transcript:SECCE2Rv1G0125540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYWPPFFPNAAVEKPEMHSLCSDYAHIFDLSSENTSLGHLAVLLKRSALLTGSAVSTIAVRYRALLDRWILQKCAVSQGAPVQSTMMLRSCWQCRSLPLFVGIGVLMARLCSYITIQSHNNNRQVIRGTEANLQGDSIIESNKLCNQQSVLPSLLGSKHDYVRLLLHCICSTAIFKAYTTPKVVSFKERSIQKNYDFSALRSIVARNGHYLTYIGALVTLQIFLQMNRVNTTTSLLPMLIQTTSSRSKAAVFSKMVIILVNSCGILGSAFTTKHHGRAATFTVSVVLMVFCQMAIPLIVEFHIGLGGGSRMPRGYTAAMFLLTCVVSCGLSWSWGSMFWTSPGKVHSAGQLFGTAMNFALCYAQMQYFLMMLWRLKNAILAYYAMWIWS >SECCE3Rv1G0151010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:35136055:35136465:1 gene:SECCE3Rv1G0151010 transcript:SECCE3Rv1G0151010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTLQMRPRPRARSLWLLVRRLLCRGNKLHRPPAGAGGQQGDGCGEKRSLLGRSGSLEDLLGPDVAGAVRRSARKDVQVQVQHVLLPERQRQHHADVPEARPPVQQYRRSMFGGFRRRLMMRRQWRPMLVAIPE >SECCE5Rv1G0312890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:250614565:250622803:1 gene:SECCE5Rv1G0312890 transcript:SECCE5Rv1G0312890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPSDSSHHGIVENSPYRITKSGNKEAGKLSASWYFSRKEIEENSPSKRDGIDLKKETYLRKSYCTYLQDLGMRLKVPQVTIATSIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYELIHKKDPAAGQKIKQREVYDRQKELILLGERVVLATLGFDLNVHHPYKPLVETIKKFKITHNALPQVAWNFVNDGLRTSLCLQFKPHHIAAGALFLAGKFLKVKFLPDDGEKAWYQEFDVTPRQLEEVSNQMLELYEQNRVVQSQPTNGNEAEGSSAGVPNQRISVKAEANSEEPPVVHHPASKQSDSNRSTSTVAPVHNCAEHSKPHKQIGSQKSLQNDNGDHENDKTSSQSGGRVDTSTNDGLHDHTKSLPRSSRPSDKPGIPTEEKSLPSHASSSESRDGNLSNSGDPSVSSSMMDAMNKIDKDKVKAALEKRRKLKGDVARKVHVMDDDDLLERELEHGVELAVEDEKIKLERNDCSMHQEDHRNADGVAENGDNNGDQNVPETAKEAEVLVDIKVERSLTKRNDGFERKLQHPSDAPKHSASHHDAQLVGRHEEHKMPQPEG >SECCE5Rv1G0339690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:609815918:609816868:-1 gene:SECCE5Rv1G0339690 transcript:SECCE5Rv1G0339690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVGLIPFVYAAIKKKRRSSSARADRYAEIAASAAAARGREHARFDGGGAYLSQSCHFAVRPPSPPDELGFTCHDDFRTPPESLSRKLSSADRYERLLATGGREDATGESQSCRFAARPWTADETGVQCDGGSTRSTQPEGAPRQLLPPASRDDRLAAGETRGRDSARFDGGGYQSQSCRFAVRPSPVDELSVSRDEGGASRHPPARPEGLSRQLLLPASRKDPLGTGETRWREREHARLDGGGHQSQCCRFAARPSDVYKFELGFSHDEEYGVDSQPPEDLSRKLLLPAGRREREVPRSLRFSGKRVFAWASGA >SECCE1Rv1G0047780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:629075775:629078906:-1 gene:SECCE1Rv1G0047780 transcript:SECCE1Rv1G0047780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAARKDLYPHVEPFDTGRLRVSDVHTIYYEQSGNPGGHPVVFLHGGPGAGTSPGNRRFFDPEFYRIVLFDQRGAGKSTPHACLEENTTWDLVADIEKLRQHLDIPEWQVFGGSWGSTLALAYSQTHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAVFPDAWEPFRDFIPEEERNCFIAAYSKRLTSSDADVQIEAAKRWTTWEMMTAHLVQNHENIKRGEDDKFSLAFARIENHYFINKGFLDSDSHLLDNVDKIRHIKSFIVQGRYDMCCPMMSAWDLHKAWPEAEFKVVPDAGHSANEVGVAAELVSATEKLKSMLKK >SECCE7Rv1G0488650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:443865556:443869214:-1 gene:SECCE7Rv1G0488650 transcript:SECCE7Rv1G0488650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNAIHIIPDAAGPESWANSAAPPGGDSGIWATEDDYSQWNGDQGLSGCGYGGDRNSSQPHSRAGSEQPPPGKKPRGSGPSGGGDAGSTSKSRAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHGMEELRKPPPNWQEIVAAHEEATEQREEHQIPIMTSSNVVPGDSVSGRAYKGRHCKKFYTEEGCPYGDACTFLHDEQSKARESVAISLSPSVGGGSYNSAAAAAASPNGPTILKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDIDGRDIASTPDSKQATASSKLPVETAAATTVVPPHADVYHLGSQSQRSALASQRSGQLQRPIQKWKGPDKISRIYGDWIDETD >SECCE1Rv1G0050560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:647475510:647475824:1 gene:SECCE1Rv1G0050560 transcript:SECCE1Rv1G0050560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPYSGRDSKAAVRPLLVTIKVVSQEKVLRHTMSTTDKLQVLMDAWYHKVPDVTYGTGVFLFEGVRLRGNKTPVDLEMEDGDMIDFFEHMDGGAFIAGSMSAH >SECCE1Rv1G0044110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:599992321:599997583:1 gene:SECCE1Rv1G0044110 transcript:SECCE1Rv1G0044110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAPRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYNITVEKGVKTVSSSHLKQCIHSYDVYDFLKNVSSKVPDLGAPDSSVDDKLGKRRKHGEDESEEESKRTRNEAACHTSNGRGRGRGRGRGRRAGRGAEREIEHHELGCAQFSKPGNLKVEIGDGVLDTSETKEHTPLSNARASLRNIDLNLDLADYEEDTVAPQVQPSAPVVTVAPQVPTSGPSVSQLKDEVETKDFMGWQMPEMNKMAMDPVQFALSSNHRLEEDEDYDNEE >SECCE1Rv1G0062630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:720531341:720533432:1 gene:SECCE1Rv1G0062630 transcript:SECCE1Rv1G0062630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKLFMVATIFCAVLLAATRGEAAVVEHTFVVHEMKQTHLCNTTKIYVVNGQLPGPTIEVTDGDTVVVHVINRLPHGLTIHWHGIRQIRSCWSDGAGFITQCPIPPGGDQVYRFNVTGQVGTLWWHAHVSCLRATVAGALVIRPKGGRYPFPTPARDVPIIIGEWWQLDLVELDRRMRDGNFDDNPLSATINGKLGDLSINCSGKPEGSFVLDVVQGETYLLRIVNTALFSEYYFKVAEHTLTVVGADGHYLTPYKTDMVAVAPGEAIDVLMAADAPPGHYHMVALANQPPAPDPQIPVFMSRGLVRYVGYSRNNSGLPVPTPVMPNQHNTMASYYFHNNLTGLAHVDRGRVPMRVDERFYFTLGLGSVCRDGTKSCHRRRSPEAIVVATMNNVSFNHPTNASLLERFYDGRTSGLYTEDLPDHPPHPYNYTDHALIPPGPLEEALEPTLKATKLRRFRYNTSVEIIFQSTSLMQSDSNPMHLHGYDMFVLAMGIGNYNPKTDPYKFNYHNPQLRNTVMVPRAGWAAVRFVTDNPGMWYLHCHFEFHIIMGMATAFIVENGPTPETSLPPPPAEFKRCGDIHSRIRMSESAANIPS >SECCE5Rv1G0346190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660488336:660488761:1 gene:SECCE5Rv1G0346190 transcript:SECCE5Rv1G0346190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKRLAQLAKKWQRVEAPGRKRLTVSAKEDQDCCSSVPAKGHCVMYTADGRRFEVPLEYLNTTVFGELLRMSHEEFGFASDGKITLPCHAGVMEYVMSLLRRNASAEVDDALLKSIVTSCHYTGCAMPTVGASQQICCL >SECCE2Rv1G0064420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:3836076:3836699:1 gene:SECCE2Rv1G0064420 transcript:SECCE2Rv1G0064420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVAEISELAALRPIQTAVGGARATEGPVAGDGTAAAETCCVAPKASSARSAAAGGADDNDDAASDTGCVTPKAKASGSMAMLPIAPLQQDDGVDDGFATPLATATGGEIGPRDGCGAAASAGDEGSFTTPTTADSALVPATVCPPAPRKSAPAPTRKRAPLQQRLFFYPVPHDLTTVFVAVPQCPSPAKKMRAHVVESSVPLGT >SECCE1Rv1G0006350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:32562187:32562459:-1 gene:SECCE1Rv1G0006350 transcript:SECCE1Rv1G0006350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFEHVREVDCYPNISIAYRILFTLPVTVASAERSFSKLKLLKNYLRSTMTQERLNGLATLCIEKKLLDDIDIEPIISEFASRNVRRKI >SECCEUnv1G0535870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:61123776:61137445:-1 gene:SECCEUnv1G0535870 transcript:SECCEUnv1G0535870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVLMVAEKPSIALSIASALSGGRMSTRRGSTDVHEFDGMFQGSQAFFRVTSVIGHVFSVDFPPAYQNWEGTDPMDLFDAPVLRSESNPKAHIHRHLAQEARGCIYLVLWLDCDREGENICFEVINCTGIPENEVGRRIFRAKFSSVTEKDISNAMDNLVLPNKDEALAVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQINTFKPEKFWSLRTYIIKDGDEIQLEWDRKKLFDFDVTVMFQKMVASDGALKITDISVKEECKARPPGLNTVNLLKVASSALGIGPQTAMHMAERLYIQGYISYPRTESTAYPASFDFRSVLSTLAHNPLWSNNVRTLMDAGFVKPRQGHDVGDHPPITPMRLAPEEALETDAWRLYQYICQHFIGTVSHDCRYTRTAVEFASGGEIFRCVGHRVTSKGFTSIMPWLAVGENNLPTFKKGDTINIHKVDIYEGSTTAPDYLSESELISLMEKNGIGTDASIPVHINNISERNYVQVNSGRRLVPTALGTTLIRGYQCIDADLCLPDIRSFIEQQITLIAKGKADHLQVIQHVLQQFMRKYSYFVKKIENMDTLFEAQFSPLADSGRLLSKCGKCGRYMKYISTQPMRMYCVTCEEVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPCCYNSPPFEGIDKLFGALKLDDTGKVGKGAGMPCFLCPHPTCKQSMITQGVCACPECSGTLILDPVSAPKWRLLCNTCNCVVLLPHAAHRITTTDKKCPTCESTIIEVDFNKKATPLEDGATLHEGCILCDELLHSLVEMKHGKSFFMRRGRGRGRGRGRGRGGRGRGRRGNSRHDDPKMSFRDF >SECCE4Rv1G0228610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:137525742:137526246:1 gene:SECCE4Rv1G0228610 transcript:SECCE4Rv1G0228610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFHHKKEGEDFQPAADGGVDTYGYSTETVVTATGNDGEYERFTKEEKHHKHKEHLGEMGAAAAGAFALYEKHEAKKDPERAHKHKIEEELAAAAAVGAGGFVFHEHHEKKQDHKEAKEASGEKKHHHFG >SECCE6Rv1G0433820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:761783609:761786302:-1 gene:SECCE6Rv1G0433820 transcript:SECCE6Rv1G0433820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGRNPSIHTYHLCLCLLLLASSATLSAAVTASLHHTGCPFQAPAHDRYTDANDALSLTRSFQIFDGQFSGGAAGLFSPQDDDLYNNGSFAFFPHGVSRTQDPALVHLTATFTLTGHRRPGGWRHNYSFPASVSFVLDGHYSSASLQLCMAGAGTERADDGSLKHYAGVTLRLRVPSPPSLADPFVTGSLEGGSDLGTIRLLAYAEGDDYKYGSVRAACSPSPSEQPARESLRALVVDNSSCGHLTQQLMTSYRLEHGGALLPRMRVNQMHCTADGAGMHVRAYVLLSNDTRATERRQYYRRSPRSLVHEEAVVADGHWDSDRRMLCLRACQVALPVPSSAPAVREHGCGIGMSFWFSAVWTIQGRSIVSGMLWNSTNASSGLVHGVITASSIDDQRSSTNLSDVKYSYNATILGEARKHYLEISKGKKITGSHSFPDFNCTVSDFAFRFNGLDIWSGEAYPVTIGSVMLAGERLAADDAFFQHKVAMDEPGLLNVSYTIRYTAPPDNWVRPTNMFNYSVAYEKRKISAEGVYDPNRGILCMVGCQEHNGSTDCQTLVTVQFASLDSKAQGHGTGVISSLRDKTDRLFFEKVDFTSYGMYSSQVFEAISRMDMESVLLLASTTLSCVFTILQILRTKRNPEAAAATSITMLVVVTMGYLAPLALNSEALFASRRSQYYDFYYSTSRRLEMNEVMMRAPTLIAFVLQLRLLQLAWSGRRTSTVSERTVLWICLPLYALGGACAGITHGFNARAMSGDPSVINMGEGPTTIWEDLVSYAGLILDGFLLPQVILNASLGKSRVGAISPFFYIGGTMVRVAPHVYDLVRAHVYKPSMHSSDLYASSRGDLFSVSWDVVIPCAAALLALFLFLQQRLPRTTSLPSQRRSSGGYEMVSNI >SECCE6Rv1G0401290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:464435692:464438049:-1 gene:SECCE6Rv1G0401290 transcript:SECCE6Rv1G0401290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPGRLAALLLVAAATTAVVAHNDHGEHKNYLVIVRTPYEYDRNVYKNVSSWHASLLASVCDQAKEQLDADPASAERLIYSYRNVINGFAARLTPDELHHMGEKDWFLKALPEKTYQLTTTHTPRMLGLTGPMFHAGVWNRTNMGEGMIIGILDGGIAGAHPSFDGAGMPPPPAKWKGRCDFNSSVCNNKLIGARSFYESAKWRWEGIDDPVLPIDDSAHGTHVSSTAAGAFVPGANAMGSGFGTAAGMAPRAHLAFYQVCFVGKGCDRDDILAAIDDALDEGIDVLSMSLGADSAGDFAADPIALGGFSSVMRGVFVCTSAGNQGPFPATVANEAPWLLTVAAATTDRSFPADVKLGNGVEINGESHYQPSTYVSVQQPLVMDTSADGTCSDKTVLTAEHVAGKIALCLSGGNLSNLEKGSILHDAGAIAMIIVQPDDAGSVISPKAHALPATQVEFKSWEKIMAYVNSTQSPTAQLVFKGTLLGNRMAPVVAPFSSRGPSRQNQGILKPDITGPGVNIIAAVPMPNGLPQPPNEMAYKFDIMSGTSMATPHISGIAVLIKKAHPTWSPAAIKSAMMTTTDTRDGRRMPMLDQDGLPANLISMGAGFINPTRAMNPGLVYNQSAHDYIPYLCGLGYNDHEVSSIIHPAPPLSCKQLPVIHQKDLNYPSITVYLDKEPYAVNVSRAVTNVDNGVAVYAASVELPASLSAKVTPDILGFRGVNEVQTFTVTIRTKDGQKMKERIAEGQLKWVSRKHVVRSPILVSSKKFFKENSWANNGQRVGDS >SECCE7Rv1G0472580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:144420880:144426546:1 gene:SECCE7Rv1G0472580 transcript:SECCE7Rv1G0472580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDPGPDIAELFCHYNALYFQDSLGACAVSWADEPLPYGDASGCDYYPGGGGCIVLLSKSLYEVHTYSDLKNVLLHEMIHAYVCIKDNNTDHSNHGGNFQKLMNTINSSSVPDCHRPLGGYNIITLHDIRKKCYKYECNGCGDFVRSTKIRGPSHDDCIERMGANSSCPNSACQWHRHKKGCSGSYYRVGKSAPACAEPGGSVASVEEALDERKAAKSASGSWHAKHTSNKSGTSNKHELEDAPAEFRHPTDDAIDKSGLGSSSGDRCNKKIKLCKDVRLGNLTGATVQQAPKRPRTDTAKRNQECSRQKKKKTSKWDGSYSIIVERLNYYCFDDSDEDEVPLINKRTEMRKRQRLLKNSQATESNDGRKDVCFDSCSQGSGDKNISESAPASQVEERSLPDHLVHNRVAAPGQTYHETVDSAIVEIVDIPD >SECCE3Rv1G0213600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961549174:961550119:1 gene:SECCE3Rv1G0213600 transcript:SECCE3Rv1G0213600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGRSYWSEYVKEYNRAWRLEQVNTRLSNEKRELERQLAEKTRTAQVSSTQVFTLERQNTGLSGELSKQREDTRKAGLLFMNAADRYQEEAKKQIRAKAEELENMRKAGLMLMNTADTYQEAARKQIKEKAEELEDARKAVLALMKAADAYQEETNKKIKDKVQELKVMGAQKAELDARVESLESRLKAALAKNLELEDDYGKVKAENDNLRLEVEKDASAKAFDAEKEEILTELEDLKITPATKDLMEGENDKLQLDAFTGI >SECCE5Rv1G0371860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:850263138:850264477:-1 gene:SECCE5Rv1G0371860 transcript:SECCE5Rv1G0371860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAARYLLGSPGASGFGSKSTAEEVTAACPDLGSITAIITGATSGIGAETARVLAKRGARVVIPARSVKAAEDMRARILAECPGGDVLVLHLDLSSLASVHNFARRFLSLGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAATGVQGRIVNVSSSVHGWFSGDWADYLQLVTRRKIPYDATRAYAVSKLANVLHTKELAARLEEMGADVTVNCVHPGIVRTRLNRDREGLVTDLVFVLLSKLLKTIPQAAATTCYAAVHPRLAGVSGRYLADCNEALPSPAASSRREAARLWQASEDMITGSSSQPDRNI >SECCE2Rv1G0119350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:790410245:790411552:-1 gene:SECCE2Rv1G0119350 transcript:SECCE2Rv1G0119350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSCTNPCWSDLPADLLTSIVQLLELPGALAFASVCTSWRAAAGDIPHPRTPWLMSWEPDSRPAGGRSRSAVTCKFRNLLDVDRVYSLTFPEGTFVTCCGASNGWLVVVDELCNLSLHNPFTSQTIPLPPVTDFPCVMAAVHDEQGRTKAYRLSRSSGRYNDTRQYDAYHLATFFYWKAVLSGDPSGGGDYAVTVVHFGGRWISHVRAGDTRWRVLVANKYIWGHCHPDAYADCAYHKGSVYAVTYQGIVERWPLDDAGAGTPSKKQQEEEVVQDRRLHDAVLARHLVVSPGGGGLWLVSAVWEPGRAEYPEAVRFTFGVVDDDDDAGGERERESVQAHALFLGMNRPAWLPTGTGSFPGVKPGVLYFSAPWMRQYSPALLERVGDWGGARAYGALAKTRARTFERVFPGLLPESARLMDCPTEVWVTPNLY >SECCE7Rv1G0463760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:56909694:56910792:1 gene:SECCE7Rv1G0463760 transcript:SECCE7Rv1G0463760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPGPGTDDAIRQHLLDVDDLAGDAPKIRVRGLTLRPPGPNGEQTVPGSDLDVPRGVVMGVIGPSGSGKSTLLRALNRLWEPAPGAITLDGVDICRLDVLALRRRVGMLFQLPAMFHGTVADNVRYGPRLRGEALTDAQVEELLSLSDLDAALASRPASELSVGQAQRVALARTLANDPEVLLLDEPTSALDPISTQNIEEAIVRLKKARGLTTVIVSHSVRQIQRIADLVCLVVDGLVVEVLAPSDLSQAKHPMARRFLELS >SECCE1Rv1G0031860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:446999436:447001467:-1 gene:SECCE1Rv1G0031860 transcript:SECCE1Rv1G0031860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:Projected from Arabidopsis thaliana (AT5G51460) UniProtKB/TrEMBL;Acc:A0A178UCG0] MDLKTSHNSPVFVDPLPSLALPMTYTTPTSFPSSGLYLNTPKKKPLPSKIEEVRAAGWLDLMLASSPPRKRQSKDFLPHDVQADDLDLRYRNWMVNYPSALSSFEAITELARGKKLALFLDYDGTLSPIVDNPANAVMSDEMRTAVRHVASLFPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPIRKSESNGHHVECVRSTDSEGKEVNLFQPASEFLPMIAEVFENLSESIKDIEGARMEDNKFCVSVHYRNVAPHDYETVHQRVTAVLKDYPCLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLSESDDVLPIYVGDDKTDEDAFKVLKANNHGFGILVSSVPKDSDAFYSLRDPSEVMEFLRTLAEWKEGSS >SECCE7Rv1G0483820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:330127564:330128636:-1 gene:SECCE7Rv1G0483820 transcript:SECCE7Rv1G0483820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGKDQRPAAFSPMRESVAAAVQEEVWEVRPSGMLVQKRTPDSDPPPGGAPVPTIRVKVKYAGVYHEVYINSQASFGELKKLMSEKTGLHPDDQKVVYKDRERDSKAFLDMVGVKDRSKMTLLEDPTAQAKRLIEERRNAKAQRAAKAVSRVSLDVDKLASKVSALETIVSKGGKVVEADLVTLTEALMSELLKLDAIVAEGDVKDQRRIQEKRVQKNVETLDAIRAKTTKNKSTPAATANKARAPHLPPRPPPAQQHQQRRQFQPAAPTTATAPAPQTATASWDTFDLLSSAPSSSSSAPVSTMAPATTTSPSPRFEWELF >SECCE5Rv1G0330510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:530411183:530412242:-1 gene:SECCE5Rv1G0330510 transcript:SECCE5Rv1G0330510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSFPIIDMGLLRGEERPAAMNLLHDACENWGFFQVLDHGISTELMDEVEKMTKEHYKRVREQRFLEFASKTLEDGGKAAENLDWESTFFVRHLPEPNIAEIPDLDEEYRRVMKQFAAELEKLAERLQDLLCENLGLEKGYLTRAFRGSKGAPTFGTKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDDRVGGLQLLKDGEWLDVPPTHHSIVINLGDQLEVITNGRYKSVLHRVVAQADGNRMSIASFYNPASDAVIFPAPALADEAGGTYPRFVFEDYMKLYVRHKFEDKEPRFEAFKSMDSQSTNLVATA >SECCE5Rv1G0345170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649498115:649498447:-1 gene:SECCE5Rv1G0345170 transcript:SECCE5Rv1G0345170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAKRLAQLAKRVEALGRKRLTVTANEGQGCCTSAPAKGHCVMYTAEGRRFEVPLVYLGTTVFGELLRTSRDEFGFTTDGKITLPCDAAVMEYVMSLLRRNASAERRQC >SECCE6Rv1G0383070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:49607680:49609815:-1 gene:SECCE6Rv1G0383070 transcript:SECCE6Rv1G0383070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLSHSHRNGFPIPFFGLALVLLVSLDSPASSCTDQEKSSLLQLLTGLSRDGGLTASWRIDTDCCTWEGITCSTARMVTGVSLGSRGLEGSISPFLGNLTGLLRLNLSGNSLSGGLPLELVQSNSIIVLDVSFNYLSGGLSELPSSTPTRPLQVLNISSNLFTGRFPSTTWKVMKSLVVLNASTNSFTGQIPTTPCVSAPSFAVLELSFNQLSGHIPRGLGNCLALKSLNAGYNNLSGTLPDELFNVTSLEHLSLPNNQLEGALDGIIKLKNLITLDLGKNELSGNIPDSIGELKRLEEIHLGHNNMSGELPSALSNCENLITVDLKANQFSGELTKVNFVSLSNLRKLDLMFNNFTGTIPESIYSCSKLTALRLSYNHFHGQLSEKMGNLESLCFLSLVNLSLTNITRTLQILGSSRSLTTLFIGFNFLHETMPEDDSIDGFENLHVLSMSYCSLSGTMPHWLSKLKNLGMIFLQSNQLTGPIPDWISSLNLLFHLDISNNSFTGEIPTAIAEMPMLKSDKTAPKVFFELPVYAFSPFIQYLQPSACPKVLNLAINKFTGVIPGEIGQLRALISLNLSSNKLSGEIPQPIYTLTNLQTLDLSGNHLTGTIPNALNNLHFLSKFNISNNDLEGPIPTAGQLSTFPDSSFDGNPKLCGPMIANPCSSAEAGPESTISREQIGSKVIFAIAFGAFFGAGVLYDQMVLARYFG >SECCE5Rv1G0360500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:770462737:770463198:-1 gene:SECCE5Rv1G0360500 transcript:SECCE5Rv1G0360500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASATGAVSKAKKYVVGRKLGGGPRKKAVARSVKAGLQFPVGRIGRFLKKGRYAQRVGMGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIIPRHLLLAIRNDQELGQLLAGVTIAHGGVLPNINPLLLPKKTAEKEPKSPKKAAKSPKKA >SECCE1Rv1G0001330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4537351:4539455:-1 gene:SECCE1Rv1G0001330 transcript:SECCE1Rv1G0001330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLVDKTGEESLVVIEVKKQLYLAGPLIVGSLLQDVVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLSGMSSSLDTLCGQAFGAKQHHLLGVYKQRAIVVLTPVSAVVAVIWGYTGQILLLFGQDPEIAMEAGSYIRWLIPSLFVYGPLQCHVRFVQTQNMVLPVMLSSGVTALNHILVCWLLVYKLGLGNKGAALANTISYLTNVSILALYIRLSPSCKSTWTGLSKEAFHNILGFLRLAVPSALMVCWEWWSFELLVLVSGFLPNPKLEASVLSISLNTISLVFRVPYGLSAAISTRVSNELGAGRPDAARLATQVIMVLGVVSSISVALAIVLVRNLWGYAYSNDKEVVEYISRIMPVIAVAFLFDDMQCVLSGIVRGCGFQKIGSYVNLSAYYLVGIPAALCFAFVYHLGGVGLWMGITCALVVQTVLFMSITLRTNWDKEALKARDRVSITSLPLDLAT >SECCE5Rv1G0305550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:74095769:74100361:1 gene:SECCE5Rv1G0305550 transcript:SECCE5Rv1G0305550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPPLPEACSIATAVTPDLSLHISPPSSHAAVGDDGEVRLGLHEAAAKRHRGLEEVLHQPNKAHGFKKSSCPAVGGAVARTAAGGRKRSSRAPRMRWTTALHAHFVRSVELLGGHERATPKSVLELMNVNDLTLAHVKSHLQMYRTVKGTERSCVAGHGQTRDMGFLRRVVAGDELINCFDGFNSNMVNTTSNNTTPRRLESPAGGQDHQDAWRRQAAASATAMVPVPPYLTTSEPHDHGHIMLENGMAGPAATHRGKPSPSNTSGSSSDDANETESLGWLALPSRRYDEGGDGNCAGDRGLHDAPMVMAPSLEMRLGRQGWEQMEPSASASKELTPILKCL >SECCE4Rv1G0251690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:607136393:607138360:-1 gene:SECCE4Rv1G0251690 transcript:SECCE4Rv1G0251690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGFAVLAGGLLGYFRRGSTASLAGGAGVGGLLLLAGFVSLKAFEKRRNSYLALALETLCALALTFVMGQRYLETSKIMPAGVVAGLSALMSAFYLFKIATGGNHFSPKKE >SECCE6Rv1G0389240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:148024872:148026509:-1 gene:SECCE6Rv1G0389240 transcript:SECCE6Rv1G0389240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELPFYLLLLPLLAILPLLYLAVSRGGPGSGRRLPPSPWVLPVIGHLHHLAGALPHRAMRDLARRHGPLMLLRFGEVPVVVASSPDAAREIMKTHDVTFATRPIGPMLRRILQGAEGLLFSPYGDAWRQLRKICTVELLSTRRVHSFRPIREDEIGHLLRSVASDASPARPVNLSERIAAFVADSSVRAIIGSRTANRDKFLRLLEEGLKVIPGMSLPDIFPSSRLAMRLSRVPGQIERRRAAMLGFIDTIIEERENRDAAATGIEEHDEDLLDVLLRLQKDMDSQYPLTTLNIKTVIIDMFAAGSETSSTMIQWAMAELMRNPTVMQKAQEEVRRELAGYDKVTEDGLTNLHYLRLVIKETLRLHPAAPLLLPRECRSPCEVLGFDVPQGAMVLVNAWAIGRDPAHWDAPEEFIPERFQEHGGMDGRDFKGTDFEFVPFGAGRRMCPGMSFGLAHIELALAALLFHFDWELPEGMVPEEMDMTEAAGITTRRQSDLLVICIPRVPVLME >SECCE5Rv1G0366060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:811006067:811014355:1 gene:SECCE5Rv1G0366060 transcript:SECCE5Rv1G0366060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFAHSPAETAKVQLVQFGVLSPDEIRQMSVAVIEHAVTMDKGKPKPGGLSDPRLGTIDHRTKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSMILADEDDEKGNNKFKQALKIKNPKNRLRKIQEACKGKKVCAAGEDDLEGQDQMDTDEPVKKKRGGCGAQQPKITVDGMKMVAEFKATNKKNGDQDQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLDPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIIAEFAQLLQFHIATYFDNDLPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGRTGAKYIIREDGQRLDLRYLKKSSDQHLELGYKVERHLIDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANKPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSAWHDADAEKESGYSTPSDTMVRIEKGDLLSGILCKKTLGTSMGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADADTMEKINDTIKEAKDKVNELIKKARDKKLEPEPGRTMMESFENQVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFAGRTLPHFSQDNYGPESRGFVQNSYLRGLTPEEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIEQQRLDSLGMNKAEFDNVFRHELDDDYCMPTYMSPEHVDDLKTIRDIRIVFEAEIQKLEADRLQLGNEIATTGDITWHMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVEAMDKLQERLKVVPGDDAMSVEAQKNATLLFSILLRSTFASKRVLKEYRLTKESFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVFLKPEVSKKKELAKNVQCALEYTTLRNVTHVTEIWYDPDPLGTIIEEDVEFVKSYYEMPDEDIDPDKMSPWLLRIELNREMMVDKRLSMAEIAEKIKREFDDDLSCIFNDDNADKLILRIRIKNDDEAPKQDEDDDVFLKKVESNMLTEMALGGIPDINKVFIKERKVNKFDENDGFTQKSDNKAKNNEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAVLCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGGCELYLNDEMLKQAIELQLPSYVEGLDYGSGMTSACSPISGTPYHDVGMMSPVPSPHFRASPISGAYFSPYIGDMAFSPIPSNYSQSYTPVSPSYTPTSLSYSPTSPIYSPTSPIYSPASSVYSPTSPVYSPTSLAYSPTSPVYSLTSLAYSPTSPVYSRKSLAYSPMSPSYSPTSPAYSPTSPSYSPTSPSYSPTLPSSYSPTSPSYSPTSPSYSPTSLNYSRASPSYSPTSPNYSPTSPSYSPTSPNYSMTSPSYSPASPNYCPATSPSYSPTSPNYCPTSPSYSPTSPNYCPTSPSYSPTSPNYSPTSPSYSPSHAYSPSSPKMMSPYSQAPPNYSPASPTYSPTTPSYAAQPSPSYSPTSPHTTSGGPSPDYSPTSPNYSPSASYSPSSTGPQTTDMDDETAT >SECCE2Rv1G0088040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:237733735:237734382:-1 gene:SECCE2Rv1G0088040 transcript:SECCE2Rv1G0088040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKHHRHEHHLRRCCGGFAACLLALVVVVAFIALVVYLALRPTKPSFYLQDLQLRSINLGDPSLSATAQVTLASRNPNDRVGIFYRRLDVFVTYRDEPVTVPVSLPPMYQGHRDVTIWSPVLSGESVPVAGYVAEAMKQDIASGYVSLLVKVDGRVKWKVGTWVSGSYHLFVSCPAMLAAGPGGMPLGGGPNGTGGAVASLKFTQPTGCTVGT >SECCE3Rv1G0163460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:148076012:148077445:-1 gene:SECCE3Rv1G0163460 transcript:SECCE3Rv1G0163460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSTASRALVLVGVVLTAQMFLCMAYVGGDGFSVEFIRRDSIKSPYHDPSLTAHARVLDAARRSTSRAAALSRSYAHADAPSADGAVSELTSRPFEYLMAVNVGTPPTRMLAIADTGSDLIWLNCSNGDGVPGLAAARHAHAPAPAAARAPASAPPPGVQFNSSNSTTFGLVSCGSGACRALPDASCADSNCRYLYSYGDGSQTSGLLSTETFTFADDQGTRGDRKIRVANVNFGCSTTMIGSFIGDGLVGLGGGELSLVNQLGADTSLGRRFSYCLVPYSINASSVLNFGPRATVTEPGAATTPLIPSEVKAYYTVDLLSIKVGNKTFAAPQHSPVIVDSGTTLTYLANELVDPLVEELTRRVKLPPAKSPVDLLPLCFDVSGVREGQVAAMIPDVTLALGGGATVTLKAENTFVEVQEGTLCLAVAAATSEQFHPLSIIGNIAQQNMHVGYDLDKGTVTFAAADCARSSASLYI >SECCE2Rv1G0089660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:260080143:260081848:1 gene:SECCE2Rv1G0089660 transcript:SECCE2Rv1G0089660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGKVYATIVLIRLIYAGMHIFTKAAFEEGTSTTVFVFYRHAVAAIFLAPFAFFLEIRQRSAPPLTFRLSVKIFAHAFYGMAGTINLYSIGLNYASATSSSAIFNIVPVVAFILAVMFRMETLKLKSVHGMAKASGILLCILGVVALALYQGPKLKSLNHHPLLHTTNTVVQARPEKNWALGIFLMTASVVIWALWTVQQGPLLLEYPSKLLNTTLQCTFASVQSFVIALIMERDFSRWKLAGGMSLFAVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMVIASFLLGEDVGLGSIIGGALLVAGLYAVLWGKGREERGAAVDAALPQRRPEESKEIEIVSDATAKV >SECCE5Rv1G0344350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644762812:644764883:-1 gene:SECCE5Rv1G0344350 transcript:SECCE5Rv1G0344350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGHGIVAVVTVLLLLPPARPLEDSTCDPSTTFAPNSTYQANLNLLAAALPGNASSAPAGFATLSTGTASRAYAMALCRGDVNASSCAACVALAFRAAGQSCPNNTGVTMYEDDCFLRFANRQFLDFLKADQWQAGELSIQIRSADGSVPAVAVAWFSAAATSILAAVSAASINSTAGAGNGKKQYFATGEVDFDPRIYALAQRAPVLTPEHCSSCLWQLLVQIKVDLSTKPPWVSSRVEWCDLRYNVRPFYEGQAMLQLQAPPQPSSGTPGTGADKKKRSAVGISVGIVCSLVFISILSALAFIRFRRRINKATEHDNPFKKISRAQCVIYDLPALEEATENFSQRNKLGEGGFGAVYSLRSFLLCILGFSEVNLIQL >SECCE4Rv1G0256350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:656073226:656074909:1 gene:SECCE4Rv1G0256350 transcript:SECCE4Rv1G0256350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAPAAGLVLASLAVVLATTLWTAAVHLVWRPYAVARAFRRQGIRGPAYRFLVGNNEEVIAMRAATADDVLDLGSHDIIARVMPQYKAWVASYGKMFLSWSGYTPSLCVGDHDLAKQILSNRTGLYVKTDPGPNFLALLGKSLVFSDGDEWARRRGVVHPAFTMDKLKMMTRTMAECAAEMVRPWEALVAASDGGVARVDDVGKQFVELTADVISHTAFGSSYSEGKEVFVAQRELQYMAISTINKVRVPGLEYLPTKTNLRRRQLTGKVRGTLMAIIRERKAAAKDAKGYGNDLLGLMLEANASAGAGGQKAAAMSMDEIIDECKTFFFAGHDTTAHLLTWAIFLLGTHPEWQQKLREEVLRECGGTGTLLHGDTLNKLKLTTMVLYETLRLYGAVIMIARQTTVDTELGGVKIPKGTMTMIPMAIMHRDDEVWGADAGEFKPDRFQNGVGRAAKHPSAMLAFSVGPRSCIGQDFAMLEAKATLAVILRQFEFEVAPEYVHAPADFLTLQPKCGLPVLLKLLDQ >SECCE4Rv1G0277140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:801870159:801872870:-1 gene:SECCE4Rv1G0277140 transcript:SECCE4Rv1G0277140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSAAMGMGLGGYGQQQQQMQQMQRGAGPVFTPAQWAELEQQALIYKYLMAGVPVPPDLLLPIRPHPAAGTTFSFANPAASPFYHHHHPSMSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKSASPAHQSQQPPLSAVTSSAARDAEPLPSLPAGAKAHGLSLGGAGSSQMHVDASSYGNKYSLGAKSDVGELSFFSGASGNNNRGFTIDSPTDSSWHSMGSSLPPYQLSKPRDSGLMQGGFSYSHFEPSQELGQVTIASLSHSQEQDRRSFGGGGGGGGGGGGGGGLMGHVKQENQPLRPFFDEWPGRRDSWSEMDDERSNGTSFSTTQLSISIPMPRCD >SECCE5Rv1G0361820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:781112250:781114041:-1 gene:SECCE5Rv1G0361820 transcript:SECCE5Rv1G0361820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPMAVSRGAAACATPAAAAAVPRRSMLLSTAAAGAALQSDPIRLMSTPKLKLRASAGAAQAAATSFSSNDEAFAWAKKDNRRLLHVVYRVGDIDRTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEETNFAVELTYNYGVDSYDIGPGFGHFGIATDDVAKTVELIRAKGGKVSREPGPVKGGKTVIAFIEDPDGYKFEILERPGTPEPLCQVMLRVGDLDRAINFYEKACGMKLLRKRDNPEYKYTVAMMGYGPEDQNAVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEVVKLSGGQVIREAGPLPGLGTKITAILDPDGWKSVFVDNIDFAKELE >SECCE5Rv1G0350060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:688055212:688058884:-1 gene:SECCE5Rv1G0350060 transcript:SECCE5Rv1G0350060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGAGAETDDGYEAVFNVEVFSDRELRVEVAGRDDEAPGSGASRKRRREEDEGDGGEDIDSSCTMTSTPVLRVETIYVSSAILAAKSSFFYKLFSNGMKESGQRQAIVRIADSEEKAFMELLRFMYSGKLTATIDPTPLVDILMAADKFEVVSCMKRCVQRLMVLPMTLESAVRCLDLPCSISMAADLTEAAKIFLAERYTEFLSTEFQDELMRMPPAGIEAILSRNDFGVASEVAVYDFVLRWASSPCPNSEERMNISSSRLLPLVRLRITNADFISCRTVNWAVKREQCRSLPTMGPIFSWEEYVFALSVYWIGHLGLYIQMEENKGPTRTVHFEFQAKTKPLLQFVTWHKGTFPGGCDGAVSSMELLGIPWSGFIADDSPFFIDDMLHLRVKLKIMQEPQ >SECCE2Rv1G0073600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:69895873:69898062:1 gene:SECCE2Rv1G0073600 transcript:SECCE2Rv1G0073600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAAQPEQPDLGGLVDALCASGRSAEAHHRVALLLSSASASRRLDATTANGLLARLLRARTPLLTLRLVQAAPFAPSLPNYNRLLALLSSAAAPWLLLLAHRLLLRMRVPPSAVSYAALLDGYACAADPRAAQKLLDEMPRWGLAPSSLARTFLVKAFLRSRDVGAAMDLVDNQLWPSMERCHDENQGLKNAAFANLVQCLCEEGFFHIVFRVAEEMPQRRCLIPDEFAYAQMIDSLCRAGQHHGASRIVYIMGKRGLCPSTLSYNCIVHGLCTSQKPGGRLRAYQLVMEGVRSGYRPREVTYKVLVEELCREKELAKAKDILELMLQPQCGHDKPDDETRTRLYNMFLGALRAVDNPSEQLSVLVSMLQGDCKPDVITMNTVVHGFCKVGRTQEARKILDDMINGKFCAPDVVTFTTLISGYLDVGEHAEALHVLHTLMPRRRCAPNVVTYNSVLKGLFCLGLVDRAMQVIDEMKSSSITADSVSHTVVIKGLCDAGQLEKAKAFWDNVVWPSGIHDSYVYSAILRGLCKLGKLEQACDFLYELADSGVCPSVVCYNILIDAACKQGLKKLAYQLMKEMRRNGLSPDAVTWRILEKLHLYGNEEQGEEHQVPTFHVDQSSADDRVEPLVPTKNEIPLSSSSEHLDEVYKNNNEAKGEEAGRSPEMTENPSDLTEPAKEQNYLIDNSVVGPTMDKDHTISDDGFNKQDKQPLREPLSGVARRVFGLL >SECCE6Rv1G0437840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786427996:786428493:-1 gene:SECCE6Rv1G0437840 transcript:SECCE6Rv1G0437840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLAAMLLLALASAVIVTAQNGADDMLNAHNEVRAAVGVGPVTWDPIVAAYAQSYAEKRRTDCKLVLSPEVRPYGENLFHAPGTDWKAVDAVIYWASGKQYYDHATNTCSAPTGESCDEYLQLVWRDTKAIGCGAVLCDGNAGVFIICSYSPPPMVGQVPY >SECCE7Rv1G0463390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:52367093:52375211:-1 gene:SECCE7Rv1G0463390 transcript:SECCE7Rv1G0463390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDVPGVTSPMVYIGMLFSWFAWHIEDHELHSLNFLHTGAPKTWYAVPGDRAAELEEVIRVHGYGGNPDRLASLAVLGEKTTLMSPEVIVAAGLPCCRLVQHPGEFVVTFPRAYHVGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLYLLAVSFISRTPRELLYGIRTSRLRDRRKEERELLVKREFLQDMISENELLCAFLKKKLIDSAVLWEPDLLPSSTALHSCSSGPKAPLKVADVHSIESVPKENSSSDDIASRAGIQPKCMSMDSRSSDAMSAPEAQKLDTDTDDDGDLPFDLSIDSGSLTCVACGILGFPFMAILQPSKKALEDMSLVDIERFKLNCEEENHSNAIPSSPDDIISGHPVIAKRPSSTVAQSNFSHQNAESDKDGVGLDGPLPPHNNSSHSCSSENTLNPCINTETTETKIPSARFGIEFSKQTGRGDIDAQATESCGNTVDWNITSAFVRPRIFCLQHALEIEELLEGKGGAHALIICHADYTKLKALAISIAEEIEFQFDCKDVPLANASKSDLHLINISIDDEGYKEDERDWTTQMGLNMKYFAKLRKETPGCQEQPPLSFWKRLDISDKPSPISVVPNLKWLCRRARTPYRVVGYAASRNATVGPDVVSPAVTKAEMGTSGNAYENAKEQQTAEQDAPLEPSRLQEADDVADMHTCSEDIDQGMHCLIGSKRQRTAEQDAPLQPSRLQEADDVVDMHTCSVDNDQDMHRLIGIPVAVAEYPMMHQVCEGTVSVGTCELDDLVSASTSDDSICSAYSQDSPGVSDDFTTEQQCVQSDELTNSVAMSVQQFLVDGSMTAEDSSNHENLGSYNATSECKDKQLQVQQEQENIELCNNAGRNLATVVQVDSSHFGDKAINLESAIPTESQHEYPKRDAIVLEGMQAALTTVVSGENRDSVHTELDSLGILLGALAEESILADVPGKDEVDDASLTLMTLASIDQSAGDVAHNEVIETSSSSAGASLSCKGRTLSNLASDGSLRIQNAEIKNKQENAEEVGAWNCQGLKNSRGILDSSANSLSDTGKSSGTPKTYQPDILSRSIGSSKRTSIICYVRRKRKQKRKRGSELSTSSSQSIGSFARAPCERLRPRRKPAVIEEPAEQIETAKPSTAATKGKRSKVVELFQCEIDFCDMTFESRAELRAHERNICTDESCGKRFQSHKYLKRHQCVHRDERPFKCPWDGCGMTFKWLWAQTEHIRVHTGERPYECSVPDCGQTFRYVSDYSRHRRKFNHY >SECCE2Rv1G0108440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:664250592:664258618:1 gene:SECCE2Rv1G0108440 transcript:SECCE2Rv1G0108440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5 [Source:Projected from Arabidopsis thaliana (AT1G27880) UniProtKB/Swiss-Prot;Acc:Q0WVW7] MYSSLHAAGSDSDDSLLSDVSASPTRRCAPSPRPAPPKHRHTPDPTSKPKRKPKPKPKPVPCSTSAPASVSAPAPPIRAAALSDPHGLAARIAPGSALTASASTVSFSSFRRLVQSRNLSFEPAAAFTNPAPAPAPAPELEPEPEPAATPSAEPSPPPAATHPPPQLRPKRVHPNSVSEAPAVAVEQPKRPRGDPAGNFVRLNINGYGRKKSFKSQARRPTKYRSWKRQQPGGGKPRAGADEEGDFVAEALLEREKNAAGGDDGVLKIVEVAREDPSEQNLESLLRKVFGYDSFREGQLEAIQNVVAGESTVLVLPTGAGKSLCYQLPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHGTLRLLRAGEIKVLFVSPERFLNEEFLQIFRDTLPISLVVIDEAHCISEWSHNFRPSYLRLRASLLRRKLNIQCILAMTATATTQTLQEIVNALEIPSGNLIQTSQIRENLKLSVSMSDNRLRDLMLLLKSPSFVNMRSIIVYCKFQTETDYVCKHLCDNNINAKSYHSGLLMKKRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYRLPESLEEYIQETGRAGRDGRLSHCHLLFDSTTFYKIRSLSHSDGIDEYAMSKFLNQIFSPSNTIGCICSFPKEFTSRKFDIKEEVLLTVLTQLEIGEEQYLRLLPQFSVTCTLYFHKTSPQLLADKDILLRSILNKSEMKDGSYVFEIPRVANDMRITMNEVFDRLQKLKFSGELSYELKDPAYCYMILKRPDDLNALSANLTKWLSEVENSKIRKLDAMFALADYAVKGCKKTDGCSGSEHTPCIQKRIIDYFSKKEGTPDDDYCTPLRKSSTFLQSDIKVFLQSNSFAKFTPRAVARIMHGISSPAFPAATWAKNHFWGRYMEVDFPVVIEAAKAELVKFVGKGE >SECCE2Rv1G0120850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:802630687:802632132:1 gene:SECCE2Rv1G0120850 transcript:SECCE2Rv1G0120850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADVDIEIGGLPAEHRLRIIDDGSVDDDGKPKRTGTVWTASAHIITVVIGSGVLSLPWSIAQLGWVAGPATLVVFAGVTYYTSVLLTDCYRSGDAVAGKRNYTYMEAVESYLGSGQVWFCGLCQYVNLVGTAIGYTITASTSAAAMYKANCFHNGGHSADCGVYTAMYMVVFGVSQIVFSQLPNLHEMAWLSILAAVMSFSYSTIGVGLSLAQTISGPTGKTTMSGTVIGADVASSAEKIWLMLQALGNIAFAYSYSMVLIEIQDTVKAPPAENKTMRKANLMGVSTTTAFYMLCGCLGYAAFGNAAPGNMLTGFGFYEPFWLIDFANVCIVVHLVGAYQVYCQPIYAAVESWAAARWPGSDFVIRQYHPFGAGRFGVNMFRLVWRTAFVVVSTVLAILLPFFNDILGLLGALGFWPLTVYFPVEMYIRQSKVKRSSWKWVALQSLSFVCFAVTVGVTVASVQGITQSLKNYVPFKTKL >SECCE4Rv1G0247500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:560102311:560104209:1 gene:SECCE4Rv1G0247500 transcript:SECCE4Rv1G0247500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVLHLLTASRGISSTPHLASLGWINKIKNTFTGKKAADSPFPPSESFNLNMFADSMEMARKVGTFKNFVSGRCSEATVVAACEKHAAVLRYLATIDPTGEKLKTSDKISATKHCNCTIADVEHILAKYTWAKDAQKKIEKLKEEGKPVPKTFTEVQNLMGNTALDVGRANLAKSGQISRNALCPCGSKKRYKKCCGAS >SECCE3Rv1G0195570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:799317526:799323937:1 gene:SECCE3Rv1G0195570 transcript:SECCE3Rv1G0195570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPKGESFDFEDPRAQEAMGSASASYSPPGGVFGLSPPESSRRDSRKRRKDRPSWVKHTFTPHFDGHLWRKYGQKNIKDSVFPRLYYRCSYREDKQCLASKLVQQENHEDPPLFKVTYTYEHTCNTAPVPTPDVVAELPVPATGDALFLRFDSTSAGHRDAHRTEQERHYQQPAAPGSGWSSMMLSFDSSSRQQEQCTFPSELPPAASSSSSFSTDGLPAPPSTTDGGGDGFSTWDSLRYGLNDHLHFGDHSYLPNSGNDGDDNY >SECCE5Rv1G0303640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:50125491:50125739:1 gene:SECCE5Rv1G0303640 transcript:SECCE5Rv1G0303640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRSSGQGARRGQGRQQQDHPTAARSGGGGRRHYQQELLLGLHSNKGKGACRFKRSCFSEEEDAASSAMLLLACVVCTPSL >SECCE5Rv1G0309650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:157178046:157180831:-1 gene:SECCE5Rv1G0309650 transcript:SECCE5Rv1G0309650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter-like 8 [Source:Projected from Arabidopsis thaliana (AT1G47670) UniProtKB/Swiss-Prot;Acc:Q9SX98] MAAMAEGAGEPELVSIPVTPRGLSTPEGMSTPPVRRGGAATSGAGTPVRRVVEGLRGYLEEVGHLTRLNPQDAWLPITESRSGNASYAAFHSLNAGLGFQALLLPLAFPALGWSWGIISLTVAYFWQLYTLSILVKLHEAVPGRRYNRYVELAQAAFGEKLGVWLALFPTIYLSAGTATALILVGGETMKLFYQIVCGPLCSPSPISTVEWYLVFTSFAVILSQLPNLNSIAGLSLVGGATAIMYCTMSWVLSVSQQRPAAVSYEQVRSTSFGSSLFSTLNALGIIAFAFRGHNLSLEIQATMPSTFKHPAHVPMWRGAKAAYLLIAMCIFPVAIGGYWAYGNMMPPGGILTALYIFHGHDISRGLLAATFLLVVFNCLSSFQIYSMPVFDSFEAFYTGRTNRPCSVWVRSGFRIFYGFISLFIGIALPFLSSLAGLLGGLTLPVTFAYPCFMWICIKKPERFSYSWYVNWGLALLGTAFSLASSVGGVWSIINTGMKLKFFKPN >SECCE3Rv1G0201830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:867525971:867526372:-1 gene:SECCE3Rv1G0201830 transcript:SECCE3Rv1G0201830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLISSHFAGVTQVLLDLARSQNKIPLPKSIAPPGSIPLPPEQDTLLSENYQLLPALKPPTQTEEAEDGNEGVGAIPANPSPNYSQDQRGSEQHQPQSQSLSQSQRVSFQLNAVAAAAAKRPLVAVDQLNMG >SECCE5Rv1G0339200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:605952776:605954338:-1 gene:SECCE5Rv1G0339200 transcript:SECCE5Rv1G0339200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIALVLMVCFLGFFVPALSLASSSNGNDFLGCISTSVPSQLLQTPNSPSFKRLLVSSIRNARFVAPATASPPLCIVTPTNASHVQATVRCGRRHGVRVRVRSGGHDYEGLSYRSVYPNSEAFAVIDLAKLHAVRVDPRKATAWVDSGAALGDLYYHIATAAPGLGFPAGVCATVGVGGIFSGGGMGLMMRKYGLSADNVLDATMVDAYGNLLADKKAMGDDLFWAIRGGGGGNFGIVLSWKVRLVPVPPTVTFFKLAKTMDQGAVDAVTKWQTLAPALPDDLSVRVIVENRQANFEALYLGKCNAVVPTIRSRFPELGVTHADCKEMSWLQFTAYIYFGDKTINRSMALGPFIKNKSDYVQEALTNETWEKIFLWPNDAASGQLVLEPHGGRMGHIAANDTPFPHRSSVLYNIQYVQHWNGKGAGGDPTPTPNWIGSLYDFMAQFVSKNPRAAYVNYRDLDIGVNTVVGGVTSYESGKVWGERYFGPANFRRLTEVKRKVDAGDYFRNEQSVPPLPLD >SECCE7Rv1G0477980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:230329650:230330465:-1 gene:SECCE7Rv1G0477980 transcript:SECCE7Rv1G0477980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIVSTMALCRAPSWLLLLAMALLPLGSMAMDAIGSNCAGTRYAGSGKANVDSVLADLVAKGSSGGFATAVAGKGNSTVVYGLAQCRGDVSASDCSACLADAAKQLPAACSYQSDARIWYDFCFMRYDNTDFAGQSDTGAGVILVNVQAADDPKPFKKAVGKVMNKATAQASASGRAGLGRSKDQYTPFVTIYGLAQCTRDLAPLACAQCVSVALSKFGDYCGAQQGCQINYSSCRVRYEIYPFYFPLDGADGRATTDMTKYTKIVVHA >SECCE6Rv1G0421880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:687201486:687202655:-1 gene:SECCE6Rv1G0421880 transcript:SECCE6Rv1G0421880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSMETALMSDPTIKVPDDLLVEVFSRVPYKSTCCCKCVSTRWRDLISHPDHRDKLPRSTLAGFFHTTSATDDHHYLSHGYLSVSGNWCPHNASLSFLPKYESLQILDCCNGLLLCRGQKSTDPETMEDPKTLDYVVCNPATEKWVTVPGTEWSWLVDNACLGFDPAVSSHFYVFELVPALAWHPDKGDDYSIEAVGIYSSQVGVWTHPIVWDDTVEILHLSGGTFLSGVLYLCSDNNSVAAVDLEGSCRIIPLPTSHDDPGGPNVYVSRGQLHLTIQGSSELSFWVLEDSSSENCWTLKLKISYLRLFGIDYSSSEQSYGVISAHPEHNMIFITKGFRSRYRPETKLFSYEMDSGELRFICDLPWNSRLPYLSYVPLFLESLADGH >SECCE2Rv1G0089880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:263712053:263713582:-1 gene:SECCE2Rv1G0089880 transcript:SECCE2Rv1G0089880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPLTTMAGAAASPLEGGGRVVDTYKKALATAASVAAYAMLVRSMARELLPEELRAAVRWGAAFVRTRLGAGDKERHTIVIRRHLDAGYNENHLFEAARAYLATKIDPTAMRRLCLARTRYKEPDGSSSWSTLLCMDDGGSTTDSFDGVDFKWTSIETGGDEGKKGKGHRAPPRETLELSFDAEHTEAALERYVPFIMSTAEQLQRQDRALKIFMNEGRSWHGINHHHPATFDTLAMDPALKQAVTDDLDRFLKRKEYYRRIGKAWKRGYLLFGPPGTGKSSLVAAMANYLRFNLYDLDLSEVRLNSALQRLLIAMPNKSILVIEDIDCCFDAKSREDRAMPVPTDDGSSSDDDVPEDMAHHQGPRQQQTITLSGLLNFIDGLWSTSGEERIIIFTTNYKDRLDPALLRPGRMDMHIYMGHCCWEAFKTLAMNYHLVDDHALFPEIQELLAAVEEVKVTPAEVSEMLLRSEDADVALRVLTEFLQDKRRKARKEATEIKIGVAEKAM >SECCE2Rv1G0115010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:744940627:744941847:-1 gene:SECCE2Rv1G0115010 transcript:SECCE2Rv1G0115010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRPAPATPLPTGHHRQNTGVLLHWPSRRRFRLNAQKKPGSASPRTGTPSGSESDNVVLKAAWYGSEALGIAASFFRPPSPEADAGAADDGPSESQPVGRAQVAEAIKDDFARSYFVTGNLTLGAYEDDCEFADPAGSFRGLQRFKRNCTNFGSLLEKSNMKLTKWEDVEEKSIGHWRFSCVMSFPWRPILSATGYTEYYFDAESGKVCRHVENWNVPKMALLRQIFRPSRWVWEKEKR >SECCE3Rv1G0176790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:449880467:449895096:1 gene:SECCE3Rv1G0176790 transcript:SECCE3Rv1G0176790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNE3 [Source:Projected from Arabidopsis thaliana (AT2G01110) UniProtKB/TrEMBL;Acc:A0A178VUB7] MGSAGALLSHPPPQLGSILLRRHHISLPHLSSCMPEPLHCRRRHLRCSAIEGSSSQDTNSPASQREESPSSSLGATLQDPLPGRVENGSFEGATKEEDQSSLYNFLYPSKELLPDDKEMSIFDHLEELRARIFVSVLAVGASIIGCFAFSKDIIKILEAPVSVQGVRFLQLSPGEFFFTTLKVSGYCGLLIGSPIILYEIIAFVLPGLTKDERKFLGPIVLGSSVLFYLGILFSYTVLAPAALNFFVNYAEGAVESIWSIDQYFEFVLVLLFSTGLSFQVPVIQLLLGQVGLVSSKQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVRLTGR >SECCE5Rv1G0369200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:834295498:834298849:1 gene:SECCE5Rv1G0369200 transcript:SECCE5Rv1G0369200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVVIRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPVGKECADLWPRIASNANAIV >SECCE5Rv1G0325610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:467282537:467282773:-1 gene:SECCE5Rv1G0325610 transcript:SECCE5Rv1G0325610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARRRRSLCSSRRRRSAAVGRKVRELRRLVPGAAVMPTDRLLVRTADYIAQLRARVELLRALSELCEGHGRGDSPS >SECCE1Rv1G0029580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:416302831:416306188:-1 gene:SECCE1Rv1G0029580 transcript:SECCE1Rv1G0029580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSRTTPNAAASSRTKETAAPKSRTKKTPAAPRSRTKKTPPAATPPSPAPAPAPAPANQKEPAPAPANQTAPAPDVEVIEIFDFPTPSLSSGKRRQDRKRAGATASSPLDVDEIEIWTPRQKRRFDEDCLILSADPLAANKARPVVVPAAADDEDLAVVAERGPVACRDFPHARYLCVKFPFATTPHDKHCEQCYCFVCDVAAPCATWRGHANYGHCHASDQDKIWKTMRGAKKANPCKTY >SECCE2Rv1G0083810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:171078905:171090002:1 gene:SECCE2Rv1G0083810 transcript:SECCE2Rv1G0083810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVCVTGAAGYIASWLVKKLLDRGCTVHGTVRNLGDEKKTGLLRELPGAAERLVLFEADIYDAATFEPAIQGCEFVFLVATPLQHNSGTKYKDTTEAVVDATRTILQQCERSKTVRRVIHTGSVFTASPLRENGDGYKESVNESCWTPLGLSYGHSNDGFMDAYVSSKTVSEEELLKYNDDFSKGRAFDVVILLCGLVGGDILLPHISGSIHAMLSPLTGVKLHHDSLKYLQTLLGSIPLVHIDDVCEAHIFCMERLLDVAGGRYLCTTAHTNMQDVLEHYAGKHPELQIVAKEVVGEGVRVHINTNKLMELGFKYKYGAKEVLDGSVDCGKRLGVL >SECCE7Rv1G0498000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:634257242:634260057:1 gene:SECCE7Rv1G0498000 transcript:SECCE7Rv1G0498000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLAGLAVLLTAVLCLQLPVHSRAQLRVGFYNTTCPNAEAIVRQAVTAAFATNPGVAAGLIRLHFHDCFVEGCDSSVLLSVNPGGGKTEREAAPNNPSLRGFAVVDAARAALEQSCPRTVSCADILAFAARDSVNITGSNAFYQVPSGRRDGNLSTEGGAFTLPGPNLTADGLVSGFEIRGLTAEDMVVLSGSHTLGRSHCNSFIVRNRERLASGTISPAYQALLEALCPANTSQFTNVTTEIDLSTPVVLDNNYYKLVQLNLGLHFSDDQLIRNATLKAFVDTFAANETLWKEKFIAAMIKMGNIAPKTGTQGEIRLNCSIVNPSSSSSSAYAGVIEMLRRPGSDGKVAMG >SECCE6Rv1G0453180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:881289541:881291201:1 gene:SECCE6Rv1G0453180 transcript:SECCE6Rv1G0453180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASNISITPESIAHIASTMADHLPVQQHQLELPPGFRFHPTDEEIIKFYVVPKVLDEAFVAAAIADVNLNKYEPWELPEKAKMGEKEWYFYCRKDRKYPTGIRTNRATDAGYWKATGKDKEIFHPPLMLIGMKKTLVFYKGRAPRGEKTNWIMHEFRLESNKQLISNSSTATCTVSTTKAAFKEQWVVCRVFHKSTGLKKMVMPSYDMPMSMGAEDQQGFVDLDMLPPLMDYAMSSMLAHPSLFPGASSYQLHDVGTGPSIMGSVALPMMNDHYFANDHHQMMGAPKPSLSLYQHQQQHQQMMMHMGADHGFMVGAEPGSGLLSVLSQEDAMPGLRNNYPGNTAATTVGETPSVNMCIDDIWKN >SECCE3Rv1G0206180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:905998860:906002122:1 gene:SECCE3Rv1G0206180 transcript:SECCE3Rv1G0206180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGILGRSSTMPRSNEGMRLVFSAVIGIMLGYLFGISFPTVNITKLHFPSSIVSYIEDRNSGITTQTLLNHAWTSANSHKKNNSDSKSDEIPKIYVTSNPKGAERLPPGIVVSETDLYLRRLWGEPSEDLTIQPKYLVTFTVGIAQKANIDAAVKKFSENFTIMLFHYDGRTTEWDEFEWSKRAIHVSVSKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVQHFNAEEYIKLVRKHGLEISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCSDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLIHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQGVPSLGNQGKSENGRAPWEGVRGRCRKEWGIFQARLADAEKKYYLDQGITPPNSTSV >SECCEUnv1G0557360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:322571715:322573506:-1 gene:SECCEUnv1G0557360 transcript:SECCEUnv1G0557360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSSLYYSIYLGWALVLASLVLAITRMKTANNDLRLPPGPWQLPVIGSLHHLVRQLPHRAMRDLARRHGRPTLVLSSPDAAREVLKTQDLAFATRRLTATMGVLTCGGRDMIFAPYGDYWRQLRKIAVTEEEVATMLRAIQSAGPGRVVELRALLSALVTDGTFRAVMGNRCDSKQRDQFLHELDRIVHLATGLNTADLWPSSWLAGRLSNALRRAEEIHATVFGIIKGIIHEHLKRREEGQGGEEDVLDVLLKVHKDGVIDMVDIEGVIFDIFSAGSETSVTTLEWVMAELVKNPATMVKAAKEVRRAFEGDGTVNEGRLGELPYMRLIIKETLRLHPPLPLMLPRECREPCKVLGFDVLKGTQVIVNTWALGRDERCWGPDAAEFRPERFESSSGAGVEVDFRGTDFELLPFGAGRRMCPGMAFGLASVELPLASMLLHFDWEVPDISNPAEFDMTEEFGVTARRKANLLLRRSLRVPLPSSPLL >SECCE5Rv1G0370280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841551643:841553064:1 gene:SECCE5Rv1G0370280 transcript:SECCE5Rv1G0370280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANSVLLCPGFAPAPLDQWTKRFQEAERLVDEVVGNIAERGSVPASLPLELKRRTGEIRRKVAILETRLSLMREDLDRLPNKNVKEMRKLAEKFEALELKVKEVAAPFTMKKHSSNRNELLGPSDDRCTVVDIKSTANMENQEIVQLQRNIMKEQDGCLDRLEETIVSTKHIALAINEELDLHVRLIDDLDERVEDTSTQLQRAQKKLKSLNTRMRKSGSCTGILVSVIAGVIFVAVVWALIKF >SECCE5Rv1G0363370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:792531536:792534967:1 gene:SECCE5Rv1G0363370 transcript:SECCE5Rv1G0363370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGVIGGINECVTLWKWTKSAISSLHSQWSGSQEQDLQDHVLQLENGLQHMRDTLPAMHGLINGAEWRSHDDVVASLLQSLKDAVFDAEDLLDEFSWYEKKVQVEGTASQSPFIEFFDNVIEGSFNKLKDIRLRLSSLSSQMENMELRGVTRRFDRLVKQKTTSFTSEIQIFGRDKELKKVLELLNVPTNSKRKRATNSMNTSTSTSASNLVNSESSLPVWVIGGIGGVGKTTLAQLICSHQRVRSHFENIIWICVSYDFDVKRLTKEVAQSFTGLEATTDGSLQSLQGALKKHVNNKRLLIVLDDVWDDALKDNGQYWKRFCAPFSSVQEGSAMLVTTRCPNVTKGVCTMEHVVLAGLKGKVFWNFFKTCVFGSDGSNNDPELECIGRSILPKLKGSPLAAKTLGRMLSMDLQASHWNFILESGIWELKQKETDILPTLRRSYMHLPFYLKRCFAFCAVYPKNYKFQKACLAEIWVAEGFVEPQAGVPIQDTGCQYFEDLVARSFFQKVSGGYVIHDLLHDMAQKVSEHDCFILRNKSDFDKVPLNVRHLCILPSSDFDHSNLPSLCKYTKLRTLICKKSLGKKADIIMGCWCTKLLCVRVMSCASVNKLPDNIGNWKHLRYLEISTACPLKRIPSTFCLLYNMQILYAKKCKLEFLPRDFGKLISLQKFETHTLTLDSDNQVGPGMRLLKNLNTFRGYLEIANVGITKDHAAEAELKNMKYLEELKLNMHSSWGFSRNPDIIQNKDVFEVLQPPIGLKSLFLKDYGCSSLPRWFQPQCLPSLNSLAFIACVGLKSISSPMITQSRNLNGIFMSLTDLAIDRCQNMSSLEDFLLPDYVPAIKRIRIDNCKLLASVPTEKFGGFHLLEELHIWHCRNIRLQGLVSQSLKKLSLSDSGFFCNMNCCSLTDFDLTYGFVTSIQLQKWILPALQSLEIWCESLVSIRDSGSRRAFSSLNFIKIYACHKLPSLVDLLTPEYLPAIEKIEIGTCRELKSLPIERFGSFPCLKHLVVYHCPSLKWKRSLVLPTYLQSLCLKECGDISSCIPRCLQNLTSLVSFEIGGCNGITSIPGNIWRTNLVSLEKLVIENCLDLVSIGGAEAVANINDVEIWGCSNMEEEEQINRISRPRYLCLHLFSAQLLLL >SECCE1Rv1G0009560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:55483001:55487539:1 gene:SECCE1Rv1G0009560 transcript:SECCE1Rv1G0009560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHHLLRCRAMPLLPSPPRTLRVSGSLVHHRLVRCRGLPIPPRTPGASGGRLFSSLPLPPPLQSPREVHVWYLCPDELENQSQLNMYEELLSPSEREYADSMKATMLRKDAVLSRALLRTTLSRYTGYKIDPRSFEFKKNKFGKPEVLWPQDDSTADQPLHFNISHTTSLIACGIAINARIGIDIEEKKRNTTKNILSLARRYFTPSEVNYLSEISDSDAQRKEFLKLWTLKEAYVKALGMGFSGAPFSTFSIMLDTSKGIRISKALNDSRTGCDHLSENWLFTLAELNSSHYMSVCIEDSSRSQGPEDRPAPVGLKVWKTVPFVEDTLVSGTEAVKLID >SECCE7Rv1G0509620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:782626113:782626857:1 gene:SECCE7Rv1G0509620 transcript:SECCE7Rv1G0509620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLLLVLAVVALVSGHVEAFDPNPLQDFCVADPTSKVHENGVACKDPAAVVAEDFLFGGLDKPGGKTSKRFGFTAQQVQIPGLNTLGESHVRLDVAPGGVFPVHYHPRAAETVLVLEGSVYFGFLSSYPDNKLYAKVLHKGDVFAVPQGLVHFLYNNGTAPATLYASLSSQNPGLVLLGNSLFAGALPDDLLAKTLLTDQHTVQTIKANFQRP >SECCE2Rv1G0124050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:829296549:829298449:-1 gene:SECCE2Rv1G0124050 transcript:SECCE2Rv1G0124050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASTLSTVMVSNLSLKAALRDVKEFFSFSGDLVHVEMQSGDELSQVAYITFKDKQGAETAMLLTGATIVDMAVIVTPATDYELPADILAALEPKDAKSSALEKAEDIVGTMLAKGFILGRDALDKAKALDEKHQLTSTATARVSSFDKRIGLSEKISVGTSVVNDKVKEMDQKYLVSEKTRSALAAAEQGVSTAGSAIMKNRYVLTGAAWVTGAFSKVANTANDVGAKAKEKIAAEQEGKTVAAGYAQADMSDAHEKPRDLDGEITKIHVSENAEDIPISTAATVPIIVEDSSDAASPPPPPPPAAALPKKPEPAQGLIL >SECCE5Rv1G0331280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:537577296:537581575:-1 gene:SECCE5Rv1G0331280 transcript:SECCE5Rv1G0331280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAVPLGVLLRREVTSERMERPDVLCGEASRSRKGEDVTLLLADAGQRVAGDPSTSFSVFALFDGHHGSGAAIYAKNNLLNNVLRATPSGLTRDEWLAVLPRSLVAAFVKTDKDLQAAAESAGTTVTFVIIDEWVVTVASVGDSRCILESADGSLYHLSADHRFDSNPDEVERVTAWGSKVGKLNVVGGPEVGPLRCWPGGLCLSRSIGDMDVGECIIPVPHVKQVKLSNAGGRIIIASDGVWDDLTFEMALECSRGYPSDIAANRIVNEAILPRGLRDDTTCIVVDILPPEKLAPSPPAKWQGKTVLNNMFRVDLKNNMFRRKNSDASFKIDREYAEPDVVEEIFEDGSAMLSRRLSTGYALRNMFEPSSCAICQFRLKSGQGISIHANPLQHEKMQGWQGPFLCQSCHEKKEAMEGKRRPID >SECCE5Rv1G0299930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:20777962:20778567:1 gene:SECCE5Rv1G0299930 transcript:SECCE5Rv1G0299930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETFVMDVDFEDDVITTTVTSSGAAVVAWLRKIRYVYRWVYHKLIVGLDVEWRPSYSHAQNPVALLQLCVGRRCLVFQLLHADYFPQALVTFLADPDFRFVGVGVQDDANRLCTDHGLEVANTVDLRHLAADEMGRPWLRQAGLRDVADAVMGASLHKPHSITMGPWDAYDLSDEQIKYACIDAFVSFEVGRKLLTGDY >SECCE1Rv1G0036000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:503834451:503836097:1 gene:SECCE1Rv1G0036000 transcript:SECCE1Rv1G0036000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAPLSMLLLALLVVVPVLYLIRSSRRHEGSSRPRPPPSPWALPVIGHLHHVAGALPHRAMLGLSRRHGPLMLLRLCELRVVVASSADAAREVMKTQDLAFASRPMTPTGKALLGDSPGIVFAPYGDAWRQLRKICTLELFTPRRVRSFRPVREEEVGRLLRSVAVAVAPSSPVNLSERISAYVADSAVRAVIGSRFKDRGAFLRMLERRMKLAPAQCLPDLFPSSRLAMLVSRMPREMERERREMRDFIDAIIQDHQENSRAGAGADDDDFLDVLLRIQREGKLDPPLTNDDIKAVIVDIFIASSETSATALQWAMAELMRNPRVMRKAQEEVRRALDGRDRVTEESLAGLRYLDLVIKEVLRLHPPATMLLPRECRAPCRVLGFDVPAGAMVLVNAWAIGRDPAHWDEPEEFSPERFEGGGVDFKGTDFEYIPFGAGRRMCPGMAFGLANMELALASLLYHFDWELPDGTEPGELDMAELLGLTTRRRSDLLLVPAVRVPLPKQI >SECCE4Rv1G0295680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:899348754:899350821:-1 gene:SECCE4Rv1G0295680 transcript:SECCE4Rv1G0295680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRSSPLEDEDLLREILLRLPPQPSSLPRASLVCPRWRSVIFDHKFRRRFHEHHQNRKPPLLGFFTWPDDDNYVFRPLLDPPDRIPAERFAVPLSRPEGWDFMGCRHGLAVLICQSRRETVVRDPVTGQQRHMTFPKGLDDYAEWLHWHCTVLCVDTQDGHVHGDCFSSPFKLVLIRACCTHASVVVYDSASGVWGNIISIVTTGLMSSRPGVLIGSALYWFIHGGVVLAFDIERQSLSIIDKPTDVHYSHFLTFQLFRTQDSTLGIAVIPMSDHNIQLWARKSNSDDVVLWVLQKTVQLDKLFSRPSHVNILLMRGYDEDTNTIFLSSNLGDFMLQLETMQFTNIGIGDPWSFRIYHPYNNFYTTVRGVAGGDGGAEHVNR >SECCE6Rv1G0381820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:35593005:35593310:1 gene:SECCE6Rv1G0381820 transcript:SECCE6Rv1G0381820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRVNQSNLLNN >SECCE5Rv1G0311090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:186821892:186823247:1 gene:SECCE5Rv1G0311090 transcript:SECCE5Rv1G0311090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETGSSSPLHVVICPWLAFGHLLPCLDLAERLASRGHRVSLVSAPRNIARLPPVRPAVAPFVGLVALPFPRVAGLPDGVESTNDVPSDKFDLHRKAADGLAAPFSEYLESLCAEPGGRKPDWIIVDYFNDWAAAAAIQHKVPCAMLVLLAATVVATLDILLSESAVSRSAGAPRLETEKTELMSLQCAWGMSIAEQVSSTLQRCNLIAMRSCNEWEPESVVHAATFGGKPVVPFGLLPPSPHGGRRSNDDTAVRWLDGQPAKSVVYVALGSEVPLRPEEVRELALGLQLAGTRFLWALRKPPAIDADALLPQGFEECTRGHGLVITGWVPQLGILAHDAVAAFLTHCGWSSTIEGLLFGRPLVMLPIMGDQVPNARLMERRKVGVLVPRNEKDCSFDREGVATAIRAVAVEEEGRRVLTANAKKLQEIVSDTECHERYIDRFIQQLRCYK >SECCE2Rv1G0098210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:477792897:477800965:1 gene:SECCE2Rv1G0098210 transcript:SECCE2Rv1G0098210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LpxK [Source:Projected from Arabidopsis thaliana (AT3G20480) UniProtKB/TrEMBL;Acc:A0A178VBJ6] MATEKARQLLARLAATPDAAVPNLPFLHRALLLPLLSAASALLRLPVILSSSPLRSRRTLSVPVISVGNLTWGGNGKTPMVDFLARRLHAMGVSPLILTRGYAGGDESKMLRRRLADTSTKIGVGANRAAVGSSMLQKYGHVDPCDAFRREKFSSACNRVASGKSAKIGVAILDDGMQHRSLLRDVEIVMVNGLTPWGNTHFIPRGPMREPLSALTRADIVVIHHADLACEAQLETIARTVQDSGATSSVFFSKLAPSHIFEVHQPLQRLSLNVLDGMIVLCVSAIGCPDAFIHTVREIGPLIVDRLDFSDHHFFNDYDLELIQERVRQLVDQHNKETVVLVTEKDYDRDPDVLRMLGVKVWVLSSSLQIMALKEQGEDELLRKLKDIITATRHVVQP >SECCE7Rv1G0495170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:594699113:594701730:-1 gene:SECCE7Rv1G0495170 transcript:SECCE7Rv1G0495170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRQHYFGPYLRKPSIRKAPGKLKKKCLEQFKRTQQSFRRGHDNVAKRPQQNKVATKHTLRFPSNEPSHSRPVSRPNVPSPSSLPNKGMSSPREDVEKSNPSFSRTLETQKASPSRAMPNSGIDSLPNNTTKIPCGNTSRPNNGMGSSARNVESYSKLNNVEAPRSKNTKMPGSSYSRSNNEMAPQAMKARPFSSGLNCGSGPSVGNIEKSKPFSTRDQYSSVLKDDSNSTFRISKKSYPSSLVFNSRNEDHPIVMEASNSNLALKGKQVMEDILPNLGMNCVSLPGKNLSSRIQLDGRKCKSSLLKDGRTDVYKSKSKRMEPLLEEKFEAREVARLTESMANSTSLGEDERTVDDKFNKRKRTENSSRTNKRSRYVVLDDEDDDDGDQNLTGAKSGAAGLKTRKDCHQEVSNLYGSEPSKTHHYVSLPIDEPIWSGIIKIGSGKFVSLAAHLSTKYCEKVWKLSRSLEPVVQVTKLSRLEAWPKSFEASRPTDDNIALYLLPTEMRQDADMDQLVKEVMENDMVLRAIVGEAEMLIFPSILLPEQHQTFQGKPYLWAVFKRRKVEEEQHGKGRCAQEKGKRQASHFGVGEGLNMDAGLEASEEPEMQGMEQEQNPKLARPNSPSPTTKPPTTAAATMSAKHCQDHSNMAAPTGALFGFVVQRTPRLEQLIQEMQREGAVMVAMQGQMIGPGIGLGNQ >SECCE7Rv1G0479020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:245412862:245416207:-1 gene:SECCE7Rv1G0479020 transcript:SECCE7Rv1G0479020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIKEFRIIMPMSMEEYEIGLSYTIIKMEQQNTNGKEGVEVLQLVPFEDEELGEGQFTSKVYHLQSKIPSWMKGFASASSLAVHEDSWSAYPKSRTVIKCPLFSKCSLTIDTVIRPDNGCSENAHNLNSQQLAAREVEVVDIASVSRDYWSRVITAPKVDLTTFKSQRTDRGPLLNGWMDSCRPVMTIYKLVIMDAPIWGLGERLEDCLIAGERALFLACHRLCFAWIDEWYGMTMEQIREMERHTDLLLKKTLKKAATPASKHEGKRKSLKDEIAVMRSCT >SECCE6Rv1G0379210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:12767834:12770393:1 gene:SECCE6Rv1G0379210 transcript:SECCE6Rv1G0379210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHSSVGLAGETPTTAGPGPGPGREHDNGVDLEEDPGSAGNGISSLEQPLLKKSNTLTANHLAMVGAKVSHIESLDYEIIENDLFKHDWRSRSTVEVLQYVFLKWALAFLVGLLTGVIASLINLAIENISGIKMLHMVQLVRDKRYWAGFFYFSGFNLALTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKILGSICAVSSGLDLGKEGPLVHIGACLANLLSQGGSGRFRLRWKWLRYFNNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRGGRCGLFGAGGLIIFDVGDVTVRYQLGDLLLVTLVGVLGGFLGALYNHVLHMVLRLYNLINDKGRMAKLTLALVVCVFTSAGLYVLPFAVPCTPCDPAFGAACPATGGSGNFKQFNCPAGQYNDLATLLHATNVDATRNIFSTGTPGEFRLDSLLIFFAIYCVLGLVTFGIAVPSGLFLPIILMGAAYGRIVALVLQSAVGARIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLTLLPMTMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPEPWMKDLTVGELAAAKAQTISLQVVEKVSTVLEVLRNTGHNGFPVVDRPRPGVSELHGLVLRSHLVAVLRKRWFLAEKRRTEEWEARERFSSVELADKDCKIDDLQLTPEELGMYVDLHPFTNTTPYTVVETMSVAKAVVLFRSVALRHMLIMPKYQGPEISPLVGILTRQDLRAHNILGAFPHLAKKSKTH >SECCE3Rv1G0179790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:551298159:551300256:-1 gene:SECCE3Rv1G0179790 transcript:SECCE3Rv1G0179790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSTQSNRSAASSSSTPSSENSRARDTGSSARSTGASQPPPIERTGNSLRLDGRTIHFSINAWVLIVASLGILPILPKDVASKAYRLSLLGTICSSAYSLYCTYGKPRAWNMPAIQPWLQSIIVAKDFVHLMFSLMMFTSNVRFKIVLLPVLCWALDHVARFLRRNFARSSFYRRYLEETCLWVETNNTTISLLCSNAEITLGFLMIVSLFSWKRNIIQTFMYFHLLKLMYHAPVTSGYHQSVWARIGRAVNPHIHRYTPFLNTPISAVQRWWLR >SECCE2Rv1G0124510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833110988:833112732:1 gene:SECCE2Rv1G0124510 transcript:SECCE2Rv1G0124510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAVLLLLLLLAGGSAAASPPPPPCSRSCATLNCDSVGIRYGKFCGVGWSGCDGEEPCDDLDACCRDHDHCVDKKGLMSIKCHEKFKNCMRKVKKAGKVGFSKKCPYELAMATMTQGMDMAIMLSQLGSQKLEL >SECCE5Rv1G0317270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:338817682:338821813:-1 gene:SECCE5Rv1G0317270 transcript:SECCE5Rv1G0317270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPPPFLDDDFDFGDFAFAPAAPQPAPLAPQPATFAAFDDDWGDFVASSLGFSPDGLSAPAMPPTGKPAAGAWEKPRGPLPLSLFGADDKEEEEGEKDEVPVRPPPPTSTVHQRAPSFGSKASSPADLKDLIVGLYGSQPPPTPDAPELGALEEVDDDGFGDDGWEFKAAPSSDAGQDGAGQANGDGIEGMPKSLGSDQKDWSLFTGANNELNLQTTDHVRNHESTGQSVKTVSYSPDNSSSILNLYKESKFVDAVHVPQSCSESVVSSSDMFSNNEVNSSFGTDENHSIKSGSDNILIDFYHKLREESMAMIFRYNKDIKEAQKSSAHSDGNKKETAIKREIQEIWEKLRVSSLAEGFRIEEQPLRDESISGLLNSTKEEHIKDFEQHYHLAEKIALAQRDMSLAVELYKHSVSTLHTLGLASKEEQCDYVAAWYSMFLACAQELQHGAVLWQESCHAKVHDLVISEGANWFLALGEIYRVAHLLHLSLQYFKPWVLADPGMFSKMLACWDSCINAWTSGLETALKTLVGSNHLAAPAGKALLESIISINELEVANFQHCLANNELTCRLTLLPTGLLPGGKVVVWNSNHYFVKVANFWANRVSSDPPRFSLVHISSMDGTSNSCLPC >SECCE1Rv1G0036380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:508820920:508823967:1 gene:SECCE1Rv1G0036380 transcript:SECCE1Rv1G0036380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAASLPFPPRSHPAPFARSAPLASPWRGAGRRTLPVPAHAGGDGGGEGGAGAGRILDPLATPFQVLGLDASAGCSAAQLKAAFRARVKEFHPDVCKDKENADLIMRRVLEAYEMLSGNMGMMIERNNIDPFDEPECEARDIFVNELLCIGTGCPYSCVKRAPHAFAFVDDIGTARAISQGNGDDYPVQLAVGQCPRKCIYYVTPCQRTILEEVLASILMTPWDLSEAAVLDSLTSKAMFENNRYTKPKREAKSSSDYVDWM >SECCE7Rv1G0466440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:78721252:78727718:1 gene:SECCE7Rv1G0466440 transcript:SECCE7Rv1G0466440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPASSLLHVRAQIPSANPLLFARRLRLPRTRRSAPASQQRLHLIPRGIPAGAPRATADPSASTAVDVAPVAEEGASATVLLDVSGMMCGGCAARVRSILAADARVENAAVNLLAESAAVRLRSPAPGAGEELAERLTVCGFPSAARRGGATAGAAESALKWREMAARKSELLTRSRGRVAFAWTLVALCCGSHASHLLHSLGIHIGHGTFLDVLHNSYVKCGLAMVALFGPGRDILFDGLRAFKQGSPNMNSLVGFGSAAAFAISAVSLLNPELAWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELISLLSPQSRLVVTSSSDDLPSDGILNSDAITVEVPVDDVRVGDSVLVLPGETIPVDGNVTGGSSFVDESMLTGESLPVAKEKGCAVFSGTVNWDGPLRIKATTTGPSSTIAKIIRMVEDAQAHEAPVQRLADAIAGPFVYTVMTLSAATFSFWYLLGTHLFPEVLLNDISGPDGDSLLLSLKLAVDVLVVSCPCALGLATPTAILIGTSMGAKRGLLIRGGDVLERLAGIDAIVLDKTGTLTKGKPVVTSIASLAYDEMEILRLAAAVEKTALHPIANAITKEAELCKLDIPTTSGQLTQPGFGCLAEVDGRLVAVGNLDWVHNRFETKASPTELSDLGKRLEFVPSSEASSSNQSKSIAYIGREGEGIIGAIAISDVLRDDAKSTVDRLQQEGIATYILSGDRKEAVEGIGETVGIRSEYRRSSLTPQEKAGIISTLQGEGHRVAMVGDGINDAPSLAAADVGIAMRTHSKENAASDAASVVLLGNRLSQVVDALSLSKATMAKVHQNLTWAVAYNIVAIPVAAGALLPQFDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFQKAERPGTDDLKSRPKSQIL >SECCE7Rv1G0516870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:849599022:849600316:1 gene:SECCE7Rv1G0516870 transcript:SECCE7Rv1G0516870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSPRKRCRTSSPVRSFSFPHSDGGTAAERLTDDLLVEILSRVPAKSLCRFKCVSNHWLALIDHPEHRKKLPQTPAGFFYGSAITGEWRLKSPIRFADFPGRRSPPIDTSCAFLPNHRRVDILDCCNGLFLCREYDVSAQGDGFRYIVCNPATEKWVVLPNSGRAASEVATTRLGFDSAVSLHFYVFELVNEQECYWDPDIVGVAVYSSETGGWVYKEKKWSAQIRLIDHRFASVFLDGYLHFEADCRGSFPCLAVVDTEGETWMNFGVPDGLFDGFIQRSQGRLHYANFQRDEDGSTMVVLYVLENYQSREWVLKHSVKTSYIIEAPLLECVDFRLDRDFDWIAIHPECNMIFFTTCWGATFTCYNMDSGQVKLISGLEVHKPTYMPYVPLYAELESLHI >SECCE4Rv1G0277540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:804726020:804726603:1 gene:SECCE4Rv1G0277540 transcript:SECCE4Rv1G0277540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDSIGGLQVLRDGVWWDVPIVPHTLLVIIGDQTEIMTNGFFKSPVHRVMTNAKKERLSVALDYSVDHEREIEPSVQLIDEKRPALYMKVKVKDYIAGLYEHFSQGTMVIDTLQI >SECCE3Rv1G0206360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:908342985:908343298:1 gene:SECCE3Rv1G0206360 transcript:SECCE3Rv1G0206360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADRGSTSVQFDDFPPSISRKLGTEGLIQELCKGNAARLRLSELQDDELQEMVREGDMDGDGVLDQTEFCILMVRLSPELMEQESNRLFEC >SECCE7Rv1G0453890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:181620:184529:1 gene:SECCE7Rv1G0453890 transcript:SECCE7Rv1G0453890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRKNFRRRADDDDDGAKAEDAGPAARPSAKAQPPPKPAPPKPRPQGASRLSFADEEEEDDDAEEGPFAQQQRGRRPSASVSQARTASPAAAALHRVAPVRDRARSSPAVAAAPKPSNFQSHAGEYTPERLRELQKNARPLPGSLMRAPAPPPPPPPPAAAESRHQRLAAAAASTSSAPAAAGKAVPAEPVVVLKGLVKPMAQASIGPSRRPLPTEVQHHDSEEEDEAEDEDKGPLIPDKATIEAIRAKRQQLQQPRHAAPDFISLDGGGVLSSRRDAAGGSSDEDDNEMEGRIAMYSEKASDGQRSSKGVFQGINNRGPAASLGVMQDRFMEVEDDEADDEEEEERKWEEAQVKKALGNRMDDSSSAQRATNGVSAAARQQVQPQPSGYPGGPHYQPSFSGVVPRASVFTSGSAEFLSISQQADVASKALQENIRKLRESHKTTVDSLARTDTHLSEALSEISSLEGGLQDAEKKFVYMQELRNYISVMCDFLNDKAFFIEELEEHMQKLHENRALAVSERRAADFADESAVIEAAVSAAISVLSKGPSSANLSAASHAAQAAATAARESANLPPELDEFGRDINLQKRMDLKRREENRRRRKARSESKRLSSARKSATEHIEGELSTDESDTDTSAYLSSRDELLKTADAVFGDAAEEYSSLTIVKDKFEGWKTQYPLAYRDAHVSLSAPSVFTPYVRLELLNWDPLHKTTSFFDMQWTNVLVGYGVQDEDSADPNDLDLNLIQVLAEKVALPVLHHRIKHCWDILSTQRTQHAVDATFMVINYVPLTSKALHQLLATVCSRLTEAIADVSVPAWGSMLTRVVPGAAEYAAYRLGVATRLLKNVCLWKKVLAGDALEKLALEELLIGKILPHMKSIILEVHDAITRAERIAASLSGVWSSPNKKLQPFTDFVLELSNKLKSRHVSGVSEDEIRGLARRLKNILVALNEYDKARNILKTFQIREAL >SECCE5Rv1G0317520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:345276333:345277112:1 gene:SECCE5Rv1G0317520 transcript:SECCE5Rv1G0317520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFPLSSTSDDDGGDTPTPPGRAICHAGCGRPSRVCLCPHMPHSPLYTSTTVVVLHHPHALHRNPLSTLPLLARCLANLHLLPGRRLRPSSTPLIPPPSPNPVLLLFPSPAASDLASWCRSTPPSARSNPILLILDGTWKQAKEMHAASLPFLSSFAIPVSLPVDSGVDGDSMFESELVVKKEPHKGCVSTMEAVARALRLLEPEGSGAEIEETMVRVLRAMVAFQSEHLQHRAMKPRVKLRKKKDIKREEEMKRNA >SECCE1Rv1G0023090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:292748569:292748940:-1 gene:SECCE1Rv1G0023090 transcript:SECCE1Rv1G0023090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKTKYRGVRTRPWGKFAAEIRDPERGGARVWLGTFDTAKEAARAYDRAAFAQRGATAVLNFPGEAGFGSRGASGSSSSALAGSGATRGRGHGDTEKIELEYLDDSLLDELLDDKYYSGK >SECCE2Rv1G0122470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:816157232:816159662:-1 gene:SECCE2Rv1G0122470 transcript:SECCE2Rv1G0122470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRWAAELGVSDSPSAPAPSAAASSCLGRSLVVADFPDAGGRGFAAARDLRRGELVLRVPRAALLTSDRVMADDPRIASCVDAHRPRLSSIQRLIVCLLAEVGKGKSSSWYLYLSQLPSYYTILATFNNFEIDALQVDDAIWVAQKAVSAIRSEWEEAAPLMRELNFKPKLLMFKTWLWAFATVSSRTLHVAWDDAGCLCPIGDLFNYAAPDDDTSSEEQDMEEAMKCQERNVMLEEIKLDSSLERMTDGGYEDSNAYCLYARKRYRKGEQVLLGYGTYTNLELLEHYGFLLDENPNEKTYIQLDAELCTMGTWPKDSLYIHPNGHPSFALLCALRLWATPTNRRKSLSHQIYSGSMLSVENELEVMKQLGSKCVETLRQLPTTAELDGRLIHFLRNLQNSTNWRVDVEQSSFGQEFAAFVRFHGVDLGCTHNQLPVRLLRSLERWELAVRWRCSYKISLTKCVLYCKRLINELSLQ >SECCE7Rv1G0498750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:645188067:645192088:-1 gene:SECCE7Rv1G0498750 transcript:SECCE7Rv1G0498750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCATAGAQMLAARPCVSASQSMLTSRAAVSRIDLALGAGSFASCPRISCSRSLGSSRSEAVALRAVSGEGSSSGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIVKVYPLDAVYDSPEDVPEDVKTNKRYAGSSNWTVKEAAELVKKEYGSIDILVHSLANGPEVTKPLLETSRSGYLAAISASSYSFVSLLKHFLPIMNPGGASISLTYIASERTIPGYGGGMSSAKAALESDTKVLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTVSSLL >SECCE4Rv1G0297050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:906075581:906077431:1 gene:SECCE4Rv1G0297050 transcript:SECCE4Rv1G0297050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMLQLGETPLVVVSSREMAREVLRTHDANFATRPRLLAGELLVYGCSDIVFSPSGTYWRKLRQLCAAEILNPSRVRSFQHIREQEMMSHVENIHAAGPSTQVDITMTFFELTSSIVSRASFGNKQRNVQEFLSAIKSGDALAGGFKIPDLFPTWRSLLAMVTGMRRTLENVHRTLDSTLEEVIQERQGFRDRVGSGSSASAVEENLVDVLMGLQEKGDIGFHLNRNSIKGVILDMFVAGTGTLTSSLDWGMSELVRNPRVMDKLQCEIRDAFRGRATINEGDIEARDLPYLKLVIKENLRLHPSVPLLVNGYMIPTGSRVVVNVWAIGRDPRYWEDVEEFRPERFEDSSLDFMGSNYEFLPFGSGRRMCPGISYGLAILQMAFVQLCYHFKWSLPEGIPEIDMTEGGGLGLRRKWPLRLCATPFIPESMYAV >SECCE3Rv1G0213110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:958352302:958353800:-1 gene:SECCE3Rv1G0213110 transcript:SECCE3Rv1G0213110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSKIQEGVLRKLPQELPFDFLKKITDGFSKLRQFGNDASGKVYMGLLEDGGMIAVKKLEENAPGTHDEKVFSTKVQNIMALKHENIVQVVAFCREPQKKLVQCDGEYLNVRIIESLLCYEYLPKGSLHDFLFENESSTTIEWGTRFDIVKGICQGIQFLHKLPKPIIHLGLKPENILLDDKIVPKIADFGFSRLFGPEKTRMMTQSSVGSVGYMAPEYLYQGEISARSDIYSLGLIIIEIATGEKNQPSINQKSARKFIEKVKEDWTKDCIMGEYPDLDDDSLHQVNACIEIGLECVDLDQNKRPNIVQIVNRLNGQ >SECCE7Rv1G0504180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:720514635:720515231:-1 gene:SECCE7Rv1G0504180 transcript:SECCE7Rv1G0504180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLSHNHRSSVAPQQQPSSARVIAADGSLTEFATSSPVSVSDVLGAGNARGPFFLCSSDALYFDEDVPALGGGELLRPGQIYFVLPAAMLGRPLSSADMAAMAVRASEALAMRARPRGRARGAGIRKVRVTPVRAEGGRGDVDAQVNAKLNERTLGEYCVKASGSPARIGKKAAVAAFPPAKKALKPLSTIQEDAE >SECCE2Rv1G0133910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898780250:898782564:1 gene:SECCE2Rv1G0133910 transcript:SECCE2Rv1G0133910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDSRTVPQEQLPSDDLHPPPMPVINLGHLNLDPAIRARVVEDITKACRDLGYFQIINHGISQSVMDGAVEAASDFFKLPSETKEEFASDDLRRPVRYDTSSKDGISMSRAFLKHYAHPLGEWMQYWPENPPIYREYMGNFAIEVRRVALQLMEAILEGLGLGKDYEHEKFEQGLQLMSVNCYPKESEGDTAIGLAPHSDYGFLTILFTSCRGLEVVDRNSNSWKVVQPLPHALHVHVGDHMEVLSNGKIKTVVHRAVLNPEEARISMASINGFAMHEKVSSATALVNEQDPEKYTASSFNDFLDYLTSNLDNKHRNFLESLRMQGV >SECCE7Rv1G0512760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:815027316:815032761:1 gene:SECCE7Rv1G0512760 transcript:SECCE7Rv1G0512760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRLLALCLALFAAAAAAQRASPRPRAVSVGALFTYESTIGRAARLAIQLAVDDVNADRAVLAGTTLNLISQDTNCSGFLGTIEALQLMEKNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFLRSTISDYFQMHAVASIIDYFQWKEVTAIFVDDDYGRGGVSVLGDALGPKRARISHKAAIPPNSDTDLINDVLFRANMMESRVFVVHVNPDAGMRIFAIANKLQMMGTGYVWIVTDWLAAVLDSSGPGDLKAMSYIQGLIVLRQHTPDSDAKKKFVAKWNNAANNRSIASGMNSYGFYAYDSVWVVARAINEYLNSGQQINFSADPRLHNSNGSTLRLSNLKIFDGGEQLLQQLLLTNMTGLTGLVQFNADRNLVRPAYDILNIGGTGSRLIGYWSNYSGLSVAAPEILYRKPPNTSTSAQQLHSVVWPGDTTTKPRGWVFPNNGQPLRVGVPNKPSFRELVSVGKGTDNVTGYSVDIFNAAIKLLPYPVPCQFITIGDGSKNPNYDDIISRIATNALDAAVGDFAIVRNRTKIAEFTQPYIEAGLVIVAPVRKANSNAWAFFKPFTLEMWCVTGTLFIFVGVVVWILEHRTNEEFRGSPRRQVLTIFWFSFSTMFFAHRQNTVSALGRFVLIIWLFVVLIINSSYTASLTSILTVQQLATGITGLDNLVASALPIGYPAGKFVRNYLIDELNIPESRLVPLSTVEEYANALNRGPKDGGVAAVVDEMPCVEIFLSTHCNFRIVGQEFTKEGWGFAFQRDSPLAADLSTAILQLSESGQLQRIHDEWLTDPTCGDDDSGLGAVRLGLGSFWGLFLLCALICVFALMVYFARICWQYSRYSSSEPPGEPSDSAAAVTGASIAQIRPEKPKPTRLGSFKELIQFADMKEEEIKKVMKRRLSEKDTRGTGSTHSVSSA >SECCE1Rv1G0047620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:626873203:626876866:1 gene:SECCE1Rv1G0047620 transcript:SECCE1Rv1G0047620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVASAPRPLRATPRRHPAAPCHHRHPAPIHALRGRPRLAVSASTTDEASSASSSSSSRFYFNFTGFPFPLGPFLNRRTTRTEAVKGSVWLFEQEQALGFSSVSTNTRMTVVKLRSGGLWVHAPIAPTKECIQLIKELGAPVEHIVLPTFAYEHKVFLGPFSRKFPRAQVWVAPRQWSWPVNLPLEFFGVFRSKPLQDEDDGTPWAAEIEQKVLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPDCISKESLLAAAKNGLAVKILSKGRDVPDDPVEDNKLTRQRGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAGPINASRSDFLAAFAFLDEFLPDRPAASPGLPLIFASLMGKAASYFPPDDMKTLSSLDDFLVSVGAVKKTVSGRKR >SECCE6Rv1G0383630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:55227588:55227962:-1 gene:SECCE6Rv1G0383630 transcript:SECCE6Rv1G0383630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVEIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVDVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARNKELELLRKKSQEQEEQLAHLMDLFRAKVV >SECCE5Rv1G0349970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:687459807:687462177:-1 gene:SECCE5Rv1G0349970 transcript:SECCE5Rv1G0349970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLATDVRLSIAHQTRFALRLASTISSNPKSVASNAAFSPISLHSALSLLAAGAGSATRDQLVATLGTGEVEGLHALAEQVVQFVLADASSAGGPRVTFANGVFVDASLTLKPSFQELAVRNYKAETQSMDFQNKAAEVTTQVNSWVEKVTSGRIKDILPPGSINNTTKLVLANALYFKGAWAEPFSSYGTKNDFFYLLDGSSVQTPFMSSMDDQYLSSSDGLKVLKLPYKQSGDNRQFSMYILLPEAPGGLSSLAQKLSAEPDFLERHIPRQRVALRQFKLPTFKISFEIEASDLLKPLGLQLPFSDEADFSEMVDSPTPQGLRVSSVFHQAFVEVNEQGTEAAASTAIKISQQSARPPSDMDFIADHPFLFLIREDISGVVLFIGHVVNPLLSS >SECCE4Rv1G0227230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:119181680:119190225:1 gene:SECCE4Rv1G0227230 transcript:SECCE4Rv1G0227230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGPPNPMGPGQPVGGASLLRTSSSLLSGGQQGMGGGGGMLQSQSPFSSLVSPRSQFGGNGLLGATSNVSSLLNRSSFGNGSPVPSPGQMPNGGLPMNNLQQRGGLDGAGDFTGTGGSDPMSFPSSSSQVSLGNHLGSDILQQQQQQQQMDVRDLQHQQEQQHQLPMSYNQQQLPSQQSQPQAAVKLENGGSIGGVKLEQQMGLPDQNGPAQMMRNAGNVKFESQQLQGLRGLGAVKMEQSSSDPSAFLQQQQQQQQHHHHLLQLTKQNPQAAAAAQLNLLQQQRLLHMQQQHQQQHQQILKNLPLQRNQLQQQQQQQQQQQQQQQQQQQQQQQQQLLRQQSLNMRTPGKLAPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPTAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNASGQIILDYAKAIQESVFDQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGTVVQKYQAAAQSSTSLTTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQTGSGPINSLRNFPRRTPSGINPLQPQQQQPEEQQSVPQSSNQSGQNSAPMAGVQASASANADVTSNNSLTCAPSTSAPSPSVVGLLQGSVNSRQDHPMGTVNGLYNSGDDGVIPKVNSTSSLQSNPSPSFSSQVPTSSNNNMMPAPQNTNQLSSPAVSSSNLPPMQPPATRAQEPEPSDSQSSVQRILQEMMSSQMNGVGHGANDMKRPNGLTPGINGVNCLVGNAVTNHSGVGGMGFGAMGGFGSNSAASGLRMAMANNAMANSAMAMNGRMGMGMHHSAHDLSQLGQQQQQQQNQHQHQHQQHQQQHDIGNQLLGGLRAANSFNNLQYDWKPSQ >SECCE3Rv1G0193530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:770906110:770909781:-1 gene:SECCE3Rv1G0193530 transcript:SECCE3Rv1G0193530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPPATLLPPASRASVLPPRKAANPNTEPTPATSTTDRATPMGVAASWPRALGQRFNPGGVAAVVAVAASEPRLALPHVSVQDIRWLDWAELRRAGFRGVVFDKDNTLTAPYAPELWPLLTTSFDQCRAAFPGAIAVYSNSAGLKQYDPEGSDASAIEATIDGVHVIRHDAKKPAGAAKEIESYFDCSASDLVLVGDRYFTDVIYGNRNGFLTVFTEPLSFVGESYIVRKVRKLEAYIINYWYKKGHRPIKHPLLPDVRTIVKFDPCEGPVSPRT >SECCE3Rv1G0155470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:66133247:66135727:-1 gene:SECCE3Rv1G0155470 transcript:SECCE3Rv1G0155470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLFSMAMVALYILLGLLLSHTPPCSSATDALTAGQVLMVGNKLVSRNGKFAFGFFQFQPAASTIISKSINTASNWYLGIWFNQIPVFTTVWVANREDPITNPKFNQTHFQISSDGNLVIIQHATVIWSTHIVNSNRTLNITSDVLLRNTGNLALVSSFGQVLWQSFDYPTDISLPTAKIGWNKVTGLNRRGISRKSTVDPGLGSYSIELETNGNGIILKRQKPSVVYRVYEPETSAILKLLPRIQKILQLDPRTKGVILPNYVNTTEEQYYTYTSPDESSSSFLSLDISGQIKLNIWSQANQTWQTVFADPVDVCTPAATCGPFTVCDGTTQQPCDCMKNFTKKSPQHWEFGDRTQGCTRNTPLYCTGDNNTTTSTDMFHPIAHVTLPYHSQSIDLATTHSKCEEACLSSCSCTAYSYDNSNCSVWHGKLLGVSLDDGIEIHSEDTLYLRLAAKDLPSLPKDKRKPNVGAITAASISSFGLLMLMMLLMIWRKKIRWSSSSLYGNLGPSGIITFTYSDLARATKNFSEKIGTGGFGSVYKGSLSDSTTIAVKRLDGTRQGEKQFRAEVSSIGLIQHVNLVKLIGFCCQGDNRLLVYEHMSNGSLDSHLFQSDAVVLNWQTRYQIVLGMARGLSYLHRSCRDCIIHCDIKPENILLDASFFSKIADFGMATFIGRNFSRVLTTFRGTIGYLAPEWLSGIAITPKVDVYSFGMVLFEIISGRRNSPEENSGISDLVEYFPIQVISKLHGKDMQSLVDPRLKDIFDLEQAERVCKVALWCIQDNESHRPTMSEVVQVLEGLTEIDLLPMPRLLAAITERPNEIETSM >SECCE1Rv1G0013650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:107289871:107294565:-1 gene:SECCE1Rv1G0013650 transcript:SECCE1Rv1G0013650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVDAALWVLGKALAPVTDGLLESWAASAGLGHNIDALKMELLYAQGMLNNAQGREFRSPALKELLHKLRELAYGADDVLDELDYFRIQDALEGTYHATADLDAQGCIDGLVLNARHTARATAHKLRLSPCSPGASASRGDPGDEAEGGGKQGCLCSIRTHGRHQISSPPASPTNNGGCISKVVSRARSAAHIIGKNLTCYSFPSVDHDDDAMLKSSNMAASGRQILCGAWQSKAVQRNHVVRTPKMEFDRVGMSQKMIEIVEQLKALCGKVSTILNLELLGSNCIPNQDTTMNRPKTTPNIVEPELFGRNGLKKNIVDDITHGKYCTNELTVVPLVGPGGIGKTTLTQHIFRELESSFQVSVWICVSLDFNADRLLQEIVNKIPKVNGEKVNTSNQELIEQRLKSKRLLLVLDDVWTYHEDEWKKLLAPLKHNDGEKGNVVIVTTRIPKVASMVTTTNSSIDVDRLSHEDTMSFFEVCVFGDQRPWEDHPELRNVGNRIVTKLKGFPLAAKTVGRLLRNQLTLDHWTRVAESREWELQTNDIDIMPALKLSYDYLPFHLQQCFSYCALFPEDYEFGSKELTHFWIGLGIIRSHDRAKRTEDVALCYLNDLVNHGFFRKNENDNGPCYVIHDLLHDLAVKVSSYECLCIYSSNVRSIQIPASVRHLSIIVENTDVKDIITFKEYNSYLSALGKRLKVQNLRTLVLFGEYHGNFAKTFRGLFREARALRAIFLSGASYSVDDVLLDFSELVHLRYLRIKSVRNKDMCLPNALFRSYHLEVIDLENWGGSFGSTSQMSSLVKLRHIVVSEYNLKLHANIFEVGKLKFLEELRQFEVGKETKGFELSQLGELTELGGSLGIYNLEKVQKKDEANEVKLIHRNHLHKIILEWSFGRPCKDAEKEENVIESLVPHSNLQDLCIRGHGGTNCPSWLGKYLSVENLESLSLCEVSWNTLPPLGELRFIDDPGEECKSLISSQSFQILKRLELVKIPRLAKWVGNGKCHLFSILEVVIIQDCPELVELPFSHPSCHQAKQEENMIWFPKLTELKIICCPKLASLPPIPWTKAPCSVEIEQAGSVFEKLVYSKDYKSELNLAVLVKDGQHGMLWNGLAFHNLVGLKHLVMQNCPRLPLIHLQKLKSLKSLKIFLLRNKSLEITGMSNSLLLFEGESYNTECPLPVEQIEIEECNANGKELTQVFSHFPKLTELVVRRCDKITELGVAVLQTEMTTSSSPGNEIEIEYAQAGQHQTRGEEVKDAQAGLLLLPQQLEELIISSCRELRLLSDSLGKDSTHGGGLQNLCSLRLLRIFGCPEFLSSYSSSATSCFPFPTSLQLLNLVGVEGMETLAPLSNLISLTRLYVYDCGDLRGEGLWPLVAQGHLTRLNIFETPKFFTGSEPSRPHDQEIPSSSSKLEYLSTDDLAGVLTAPICRLLSSSLTEVNFHGNNEVERFTEEQEEALYLHGSLQELTFSRCRKLQRLPAGLAKLASLKILRICFCPDIQLLPKDGLPSSLQELEIRNCPAIKSLPKDGMPSSLRKLEVSFGISEELKRQCSKLNGTIPIIKVYDD >SECCE6Rv1G0423520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:696430858:696431610:1 gene:SECCE6Rv1G0423520 transcript:SECCE6Rv1G0423520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGAGVAGVAGSPCGACKFLRRRCVAECVFAPYFSSEQGAARFAAIHKVFGASNAAKLLAHLPLADRCEAVVTITYEAQSRLRDPVYGCVAQIFALQQQVAILQAQLMQARAQLACGVQSTASPVSHQWPDSSTTSSSIASLLRQQEINNSGSFASGGALLPELMAGDVSMLQQHCGGKVEGGGGAGDLQYLAQAMMRSSNYSL >SECCE2Rv1G0122610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:817549924:817553263:1 gene:SECCE2Rv1G0122610 transcript:SECCE2Rv1G0122610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGDGSLEGRPGVLLVGAPGVGKRTILSRLLAVEVPDTSDLSSGVLCHGWKIDTKYYSADISIWTAHLEEGFSLGSLPHPDQLAALIMVFDMNDESSLLTLRNWVGNIDVQRFEVLLCIGNKADLVPGHGAHVEYRRRMQKIGESSSDPHPEYLDFGINENEGCGLLSEEEPQIEIRDSTLKWCIEHNIEYIEACASNADFDKCLSVDGDSQGLERLFGALSAHMWPGMILKSGNKITTPSLVEKDESTDDELNYEFDYEVLSHASDEQWEFVGESSTSRSLEGLDEAKSMQDNTQQVVNGNASSTAPNPLPNDRSTEPAEENPATQSHTTEDSSNHVDNTEADTSEDQRTDTPEVNALFDDDHYGVDDLERLMSEIGNMRSNLRLVTDFQRREMAAKIAMKMATMFGDSDDEGFHAV >SECCE4Rv1G0291080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875717476:875719002:1 gene:SECCE4Rv1G0291080 transcript:SECCE4Rv1G0291080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVGSPGATAAPTNFSLPVDSEHKAKSIKIFSFGNPHMRAFHLGWMSFFTCVVSTFAAAPLIPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGAICDLLGPRYGCAFLVMLSAPAVFCMSVIDGPGGYITIRFLIGVSLATFVSCQYWISTMFNSKIIGTVGGLTAGWGDMGGGATQLIMPLVFDGILACGATSFTAWRIAYFVPGMMLVVMGLLVLTMGQDLPDGNLRSLQKNGDMNKDKFSKVLRGAVTNYRTWIFVFIYGYCMGVELTTNNVIAEYYYDSFHLDLRAAGTIAASFGLANIFARPMGGYLSDLGTRHFGMRARLWNIWILQTTGGVFCICLGRASSLPTSVTCMVLYSICVEAACGAVYGVIPFVSRRSLGLISGMSGAGGNVGGGLTQFLFFTSSQYTTGKGLQYMGIMIMVCTLPVALVHFPQWGSMLLPPSTNATEEEYYGAEWTEEEKSKGLHIAGQKFAENSISERGKRNVILAVPSSPPNNTPQHV >SECCE1Rv1G0062980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721849135:721852579:-1 gene:SECCE1Rv1G0062980 transcript:SECCE1Rv1G0062980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRCPAAASPARAPFPNSSSHSQSAARLARRPAGGCRCQSYHGDGPPRSYDHIPKQFREENLKDGLMDNYKNVPQFLYGLSPSQMEMFTTDDNPYNRHSKKVTEESISALRSYDEFGMYSLSGTHEGPASYSMGMGMPSMSMGRAGKGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAYAIADFINRSKAKVYTINLSMAYGQAAMLLSLGMKGKRGVLPNSITKLHLPKVHKSGGAAIDMWIKAKELETNTDYYLELVSKGTGKPKEELAEFLRGPRYFRAQDAIDYGLADTILHSLDGSFKPKDLTAQLAKAQEMRQSGKRAPAGAGRWSTPATPR >SECCE3Rv1G0171020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:262839549:262842819:1 gene:SECCE3Rv1G0171020 transcript:SECCE3Rv1G0171020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKPVRRIGDGYVDNVTPETVKTDILLLLDDIHGFYKAALDRLPVKRIPALAPCLLKAGVCFGFLDPVSNIILNTIAYSQRLPSTDSQEGATRKLIFSKISTDTQDRRIFRIPLPQHMANDMTIARLSLEGLVSFLLFHFRYLAQTEVLRYLRLARADLVAAVHLINGDRNKSCSPVSSIAKIHNKPAFDISSPTSRIALECAAASARHPEPAILVNASLSVVSRLSKVSNHLKVHRLPPRTIRRLELLLRREPKVMDQMAIRRPLNLAWSRLRDGQEEKRNLAGSPLHDGNEKKRKRVEPPDGDTGSMVSVAHGKVEIDVTVTFRYTESLKLLLLDKIHLLYLEALSKLPRDSLRKHHHCNLLRAGYCYGLMEPVSNIIFNTIWYDSVISTQHDYALDFEVDMICTKALMRIECCSLYGLIAFLRRLFHHLTEHDAIKFLLKSNADVWSAIDIAEQHGHHMSDVYLNAFREAAVNSWHPHPKALEKFILSALNMNSTELSTMLTHAVKNCRFEGLAMSLLPKSSPTKSDEQVQQLDKLASSSEFLSENQKMFISEIQKKFKADQNFYVRKVKAALKSYSQKQGVYYELHVICGVNPHAVKGGTADLFKKKGKFEYSHINFWATREGSNSAATYPVLFFAECSNDDEDDKETPPLCVPVFSSWISDVRCFHCEFLGKKIVHPYHESYYGRYEDFVGMARGDHSICNEEIISACDFHADMMCTLKEDWIFFDPNMDAMIARANPIKNWATEMLKWKNRIF >SECCE3Rv1G0175270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:421067397:421097239:-1 gene:SECCE3Rv1G0175270 transcript:SECCE3Rv1G0175270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSTLEIEARDVVKIMLQFCKENSLHQTFQTLQNECQVSLNTVDSMDTFTADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEEPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQIAQAIASEVSVVPPARLMALITQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEEAYPTTLSHQIKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYVSGKLKKDLQYQADESFMMHEDAVLCVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERSHAKGVTSVAFSRDGTQILSTSFDNTARIHGLKSGKMLKEFRGHDSYVNYAIFSTDGTRVITASSDCTIKVWDAKTADCLQSFKPPPPLRGGDASVNSVHLFPKNSDHIVVCNKTSSIYIMTLQGQVVKSFSSGKREGGDFVAASVSPKGDWIYCVGEDMNMYCFSQQSGKLEHLIKVHDKDVIGIAHHPHRNVVATYAEDCMMKIWKP >SECCE4Rv1G0275920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:795942908:795943564:1 gene:SECCE4Rv1G0275920 transcript:SECCE4Rv1G0275920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALTAAGRRVAGHSQTRPTPLLASSRSGASMNHSSASAQREEERGDAHGPAAAMTGAQVEAALNRKNVEVLQGEEEHVATVLADEAIGEGALDGGQEDAAWVPDQDTGVFVPADADADADAHRAGAHPTPPQHLYGGVGGSASVLDQAVFVREEELEDVERPAMDLTDADGGGNNN >SECCE3Rv1G0191020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:745217930:745218536:1 gene:SECCE3Rv1G0191020 transcript:SECCE3Rv1G0191020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDGKTPDLLPLSAAKKKIRDGVPLVCAWALINTFGIAVSYVAADYIPVSCSQSSFILPCIELTDAEEARVIALFIGMLCCALSQAAAAALALLLPRRHLRARRALAYLALAVTVLFHCLSASTVWIFLAADPGYIFGRIYFTVGFCFYVVVDLLSFRALLGGDGWGMKYVDYFF >SECCE5Rv1G0332950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:553431872:553432090:-1 gene:SECCE5Rv1G0332950 transcript:SECCE5Rv1G0332950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHFPDYDEKKSAYFNHIKNYNSKGQAFDPFYTKVANLVDRPDCYDANDLFLEFKKGYTFNYGGPSGCIG >SECCE6Rv1G0442750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:818839482:818840762:-1 gene:SECCE6Rv1G0442750 transcript:SECCE6Rv1G0442750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQWMMGQTSLSLGLKVGRPTARRGAPPVTKVLVEEDFMSSKKNHKVEVEALEAELQRVGEENRRLSHMLRALVAKYSDLQGKVNGMMLAAAGANNHHQSSTTSDGGSAASATRKRARSDSLDKAGRNPSPPLAVAGSNGGFAVSVTVCTDQAESTSVHEPCNSNRVRPDECMSSRISKLYVHADPSDISLVMKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPLCQVKKKVQRSAEDKTVLVATYDGDHNHAPPPKQQGSGGRKSGDAAAVCASPEPMLVQQQRKQEASTAEQVADSDRKNLVEQMAATLTRDPGFKASLVCALSGRIPVA >SECCE1Rv1G0052020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:658208251:658210905:-1 gene:SECCE1Rv1G0052020 transcript:SECCE1Rv1G0052020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVTALQAERFFTKLLDKEGDAEVHSPVVHDGAGVSYTFIQHNNVFLLTAARQNCNAASILLFLHRLVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEATILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDIIGALKMRTFLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLTRFENDRTISFVPPDGAFDLMTYRLTTQVKPLIWVEAQVEKHSRSRIEIMVKARSQFKERSTGTNVEIEVPVPYDATNPNIRTSMGSAAYAPERDAMVWKIKSFPGGKEYMCRAEFSLPSITSEEATPEKKAPIRVKFEIPYFTVSGIQVRYLKVIEKSGYQALPWVRYITMAGEYELRLI >SECCE5Rv1G0327500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:493122505:493124142:-1 gene:SECCE5Rv1G0327500 transcript:SECCE5Rv1G0327500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTELFSAKRLESYEYIRKEEVLALLGDLYRGGAGAGRVVVLKDYLSTVSLNVITRMVMGKKYLEKEVRDEAGAVITTPEEFKWMIDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSKMFDRFLEHVVDEHSERRRREGESFAVKDMVDVLLQFASDPGLEVKLNREGVKAFTQDLIAGGTESSAVTVEWALSELLKKPEVLAKATEELDRVVGRGRWVTEKDMPSLPYVDAIVKETMRLHPVAPMLVPRLSREDTSINGYDIPAGTRVLVMVWSIGRDPELWEAPEEFMPERFLDSKLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFTWRLPDGVELNMEEIFGLSTPRKFPLEAVLEPKLPAHLYAEA >SECCE4Rv1G0295840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:900185139:900189254:1 gene:SECCE4Rv1G0295840 transcript:SECCE4Rv1G0295840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGELPQGQGSAERAELMDPAEVLQLQCSAERAELMDPAEVSQLQWADPIPSSPRVYGRKQWRSVSKVLGNDNLLVEILVRLSPKPSSLPRASAVCKRWRGILSDPEFLKRFRKHHRKPPLLGFFEGYANRFAPVMDSPDRITASCFSMPNSSTPYNDHREYMGCRHGLAVLVNKQERKTFVWDPLTGQQHSVAFPPGLDDAFMGNFCMWRGAVLCADAEDGHVHGDCFSSPFKLVLLCCGGYNTQAFCSVYDSVSGVWGGVFSTAISRIVLLRPSILVGNALCWLISGGDILVFDFKCQSLDVIEKPAFYYVTDGCFQILRMEGGGLGLAVLLDLTIQLWERKSNSDGVVGWVLLKKTIPLEGMFPRRMNSVRFVGYDEDTNVIVLTSMTGNFTLQLDSMQLKHIVKRNNICYDPFYPYTNFYTAARQGSRVERGESGTNSDRTRSADMLSRSNNLGSES >SECCE7Rv1G0460230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:30620232:30622205:-1 gene:SECCE7Rv1G0460230 transcript:SECCE7Rv1G0460230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSDATMQWWDEWKLRILVLCSLCVQFILNFSFWVRIWPKLRRLRVVVWMAHIGGDALAIYALATLFNRQKQQTVDGGSDALELIWVPFLLIHLGGPEMSAYSLEDNELWKRHALTLVSQVTVALYIFCKWWSGQKKLLQAAVLLFVVGILKFGEKPWALKKASFNTMASKSLSTRREGSLPPCWKWCTSDCTDFASAIMKEEDQSNLSIEDYVKQARGLVLSTVVQTESDQKTEGGKKSPQATNYTSMMLVDLSTRYSLRLNYLEYFLKMDDKNGHILLRTWIMNTFNALYTKRASASTCFGCCSGLLLPFLALASLVLFAKSDKNGYNESDITVSYILFSCTILIQFAPFLFMPCNIWGAFNIATWQDMVSQHNLMLFCARKKQPTTLMKRATLECLREYINKLWCTWQEPAALQIEGLIRHYIQDGWKEYISDNDPTTYRRFNNLRGQWTLSRHSQLGQQLGWSLDLPFDEIVLVWHIATDLCFHTSSEGQSGVTIQYAWQISNYMIYLLFICPEMLMPGTRQDLFIIACDDIDRILEEQKDPPSIAQRIRHMKHDELKGGVLQNAHKLAEGLMGHPNEEERWKVIQGVWVEMLCYSASRCRGYLHAKSLGEGGEFLTNVWFLWSLMGMEILGDKIHIQNQPLKEEGIMGAPV >SECCE2Rv1G0142150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:938783555:938786338:1 gene:SECCE2Rv1G0142150 transcript:SECCE2Rv1G0142150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKRGAMLSPLCLCAVVCLMAQIGAANVVLMGNNLTLSFDDVEANFSPAVKGSGVNGLVYTAEPLNACSALTSKAVEGPPSPFALVIRGGCTFDEKVKNVQDAGFKAAIVYDNENSGVLVSMAGSSSGIHIYAVFISKVSGEVLKKFSGRTDVEVWIIPTFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRDQPRNLDSREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYTVGEQIRVLPCRHKFHAACVDMWLTSWRSFCPVCKRDAGAGMTGPPASETTPLFASAVHLPSPSSSFRSSVAASPPRPISRRPSSQSISRIYSVSGTPHSLNTQRPFRNSSAMSISRSSADLANMSSPYLRTSLLRGGSTHSLVGNHLSPPVNISYAYAPPQMYNSGYASPSPHAGSSYISNSGYGSSSGYYLGSSSQHRSSAYLRRCGESGPSLSTMAPQSPQQQQSLLLRHGGDSDASLNLAGASSAQSFRQSYLRHCGDSDASLSAMASSGQSLPGC >SECCE1Rv1G0044150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:600393861:600394281:-1 gene:SECCE1Rv1G0044150 transcript:SECCE1Rv1G0044150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAYGEGLRGHDELLGGVEDAPAGRENDLQTIELARFAVAEHNAKANALLEFERLVKVRQQVVAGRMHYFTIEVKEGGAKMLYEAKVWEKVWENFKQLEEFKPAA >SECCE5Rv1G0328970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:511172356:511173540:1 gene:SECCE5Rv1G0328970 transcript:SECCE5Rv1G0328970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase [Source: Projected from Oryza sativa (Os09g0424300)] MEMSLADCWASAPASPIGFEGYEKRLEITFSEAPVFADPCGRGLRALSRQQIDSFLDLARCTIVSQLSNKQFDSYVLSESSLFVYSHKVVIKTCGTTKLLLSIPRILELAAELSLPLLSAKYSRGTFIFPGAQPAPHRSFSEEVSVLNGFFGGLKSGGNAYVMGDSFRPKKMWHVYYATEEPEQPIVTLEMCMTGLDTGKAAVFFKNSADGGCSSAKEMTKVSGISAIIPEMEICDFDFDPCGYSMNGVCGPAASTIHVTPEEGFSYASYEAMNFNPGSLVYSDLIKRVLSCFRPSDFSVAVTIFGGQGFAKSWAAGAEAGSSYLLEDAVEQELPGGGLLMYQSFSAVAPGAVSPRSTLDDGWSSDGMETGAQGEETCVWGVEKKVAVKDVAAA >SECCE4Rv1G0227830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:128631378:128632868:1 gene:SECCE4Rv1G0227830 transcript:SECCE4Rv1G0227830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLVPVGNQRLSPTRFVKVFLHGEPFGRKINLATHNSYDSLSFTLKRLGSNYSLSQYQLSGLTMSEEEGAIDDDFMFLYDNVDGYRFFLGEVPWEDFTVSVKKIYILPGEKQDDNEEYLEEGDADNGDGNADAAADGDGAATGEDGALEAVVAEDEGDGTNDDRARAVNEDGYGAYDDEDGAVGDDGDDAGVEDNDGSTAK >SECCE4Rv1G0259370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:685454286:685456304:1 gene:SECCE4Rv1G0259370 transcript:SECCE4Rv1G0259370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLCRHRHGRRLFHFVLKPPPFSTTTTASAPPPPPPPVCTPDAAPHVFSLGDHDPGALAPDDAIAALSSLAESDGSAAALALFRRLASRPDVRNLMRLYVTAATAFVARGSLPMAHEAMRRMVAAFAEAGRLPEAADMVFEMRSHGLPFCVETANWILRAGLDTRNFAYARKVFDGMVARGGVCPDARSFRALVLGCCREGQVEEVDALLAAMWGQGFCLDNATCTVVVRTFCKKGRFRDVSDFFRRMLEMGTPPNVVNYTVWIDGLCKRGHVKQAFRVLEEMVAKGLKPNVYTHTSLIDGLCKIGWTERAFRLFLKLIKSSSYKPNVHTYTVMIGGYCNEGKLARAEMLLGRMVEQGLAPNTNTYTTLISGHCKTGSFDRAFELMNKMTHEGFLPNIYTYNAVIDGLLKKGKIEEAYKVLRRATTQGLELDKVTYTIFITEHCKQGHITYALDLFNRMIENGCHPDIETYTTLIATYCQQRQMEESQKLFDKCLAIELVPTTQTYTSMIAGYCKVGKSTSALRVFDRMVQNGCLADSVTYGALISGLCKESRLEEARALYEGMLDKHLVPCEVTPVTLAFEYCRRGRTSVALSVLDRLDKRRQIHATNVLVRKLSATANVDDASIFLKRVLDGDSAVDHVTYTGFINSCYANNRHALASEISDKISKRTL >SECCE6Rv1G0432460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:752071411:752073105:-1 gene:SECCE6Rv1G0432460 transcript:SECCE6Rv1G0432460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALGSVTKALDIVFKIKEAVDTVKQNEKDCEQIKKRVERVQHTLSLFQKDAELMNSAGSRTALEALSEVLAEALELVTGCKEETNLCCLLIKAGEVSKKLNKADQTISNINSEVSLTILLCIAPHTTKSLKLYQAPDGNCRSLQLDKQTRSVPPTISEIAVEIKLAVDRVQRNKAECIEVDKRVNGVNALLSQFGNTELMKDPSMSALIEKLHTTFCIARTLVMDCQKRNIIFIRSGSELSKQLREVLDQIDLALDDMITISANYAYTV >SECCE3Rv1G0198910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:837522486:837524016:1 gene:SECCE3Rv1G0198910 transcript:SECCE3Rv1G0198910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQVASPSSSLGAGCVRLSRAQSSSSLATWSSGIARRRAGHAMVTRALSASIDSVGSHGGDDEEFLRRIQELAVGLHPGAGGCGWPASVERSASSVGLPLSLRMLKRKKRQQGQRGRWDERLLDRAGESGRGAVGRAFSSMVLIIRELQSFALQMRQALFYEDMQSVLARVHAEMDASFVWLFQHIFAGTPALMVSVMLLLANFTVYSMADNIAMAANLPPPQPTVAAVAMLDAQHAEQFRPDQRFDSVSLNMFSVGRTASVDGNSGGGGKAPPVAGATGDDRSDESSYRQSGAVLPQDESQATPVGAAAEDTEDELVIWKRIADEATRMQASVRVEALMDPDILGQLVAPVEAKLDTEDVADHARTEQRYAMAVSEEPSNALLLANFAQFLYLVQRDHDRAEHYFKRAVRAEQPADAETLGWYATFLWKARNDLAAAEETFQEAIAAEPSNGHHAAAYAHFLWNTGGEDTCYPLD >SECCE2Rv1G0127510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:853455781:853457867:-1 gene:SECCE2Rv1G0127510 transcript:SECCE2Rv1G0127510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDSKAPSGEIMSLGGELYQAAISLHRSISRIGAKGDMMFEIGRLDTAHRVTLFELRSEMFELQEKIKRVMHDVARIEGKGEMDTGGRSSKGSNAADFEELRHAPSLMQTNKEAEIEELIHLLFKDTKGLFAGKEGNLPESISEILLKFSNFVGDINSVFKAEQTRKEAAAVLILGFRLQFFSKQIHELWQEMCKLQSLFSPENKDVMRTMIILKNEYLPRICKLQWIAECISMLQQVDSDFDSKVKLMMIQLKSESFLSAMDEVEREHICKSSMEEEERRFAAYRMNWDRIWGHHKNFEDHTFLSPMLYTHCTPRRIPIEAVAGSTLQIYSIKISATKELTLPVEVYGVVAARDTADRHRNPIFLRRSNNCQKLEENDSFLRLAGPVRAIVSMGTVYIEIQLKVKGASESEDRALISSYFFYNGDSSGGHVAKNDFCTVEFCWEQLRESVQATILSVLVTSVDGTLPFLHGGRIVCSSLPQDGNEDIAGLSSRQVVLVDSEGGRMPMAEHGYLELSREVVSVELNGKLQVLMEAYSPTQSAESAQVILMTPKKSNITKVARSLYAGGPKVEITVAWSLIPSKMLN >SECCE2Rv1G0115370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:749356561:749361296:-1 gene:SECCE2Rv1G0115370 transcript:SECCE2Rv1G0115370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPAAAAAAVSTLSALAVFVSTVDRGAVRSAHGYRVAGKGGGCGWERWVEREFAFTPSSAREVPLAANAQRLLPADWRGRPVYREGQIAGPWRCILAFDFVAAVSPPPVPPPVLCPLRNPRLMCVPSLYNDLTKVFQFQKVEEKVPGLVQCDSDEKLTTSDAKDRVSVKQEAGSDTDERPQFGEDLLAPAEKQRRAHREYIASITLDDIAQYFHLPIREASRTLKIGLSILKKKCRQYGIPRWPHRKLKSLDSLIHDLEFVIDDETERDGMKQEDDMQDEKENQDAIEALAKRKRMLETEKATIQQKPTLDLMAETKQFRQYVFKRKYRAKTLVSE >SECCE5Rv1G0320330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:386536405:386542037:1 gene:SECCE5Rv1G0320330 transcript:SECCE5Rv1G0320330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAALLLQLQLLLLLSLSSAQPGFISLDCGGADDYTDGIGIQWTSDAKLVFGGQTTNLPVQNQLQKQYSTLRYFPADNSKYCYTMNVRTRTRYLVRASFLYGNFDNSNVYPKFDLSLGATPWSTVIIDDADTPVVEEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTDYEAQFFLALSARINFGADGNKSVRYPDDPFDRIWESDSLRRANYLVDVAPGTERITTTKPVFVGTELEPPEKVMQTAVVGQNGSLNYRLDLEGFPGNAWAVSYFAEIEDLAPDETRKFKLVVPGMPLFSKPTVDVEENAQGKYRLYQPGYTNVTLPFVFSFEFKKTNDSSKGPILNAMEIYKHVQITMGSQDANTMSSLVSRYPQAGWAQEGGDPCLPVSWTWVQCSSEAAPRVLSITLSEKNITGSIPEELTKLSALVELKLDGNSFSGGIPDFSGCRNLQYIHLENNQLNGALPSSLGDLPNLKELYIQNNRLSGHIPRALSKKSIIFNSSGNNLQGPSDSISHGTIIIIVCAVVGAILLLAVAIGCCLCTHKRKKKPSRETVVLAAPAKKLGSYFSEVATETAHRFALSEIEDATDKFERRIGSGGFGIVYYGKLADGREIAVKLLTNDSYQGIREFLNEVALLSRIHHRNLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGGPNDVKITSWVKRLEIAEDAAKGIEYLHTGCSPTIIHRDVKSSNILLDKNMRAKVADFGLSKPAVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDIYSFGVILLELISGHEPISSDNFGLNCRNIVAWARSHIESGNIDAIIDASLDTGYDLQSVWKIAEVGIMCVKPKGAQRPTISEVLKEIQDAIAIEVQREAPQVQQLMSKRSMGSVSINTDNSVDLEQNASFDEMLMRPGLR >SECCE6Rv1G0443020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:820392164:820395429:1 gene:SECCE6Rv1G0443020 transcript:SECCE6Rv1G0443020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAIFRNYLSERDKRVIYFNGWDGLGASVVLRSIAEALPSRKTTPELCFDTIIHIDCSDWKSRRALQRAIGEELDLASSIMDILDKQDEEDDFNGVDESSRNEMTSVSREINQFLKSKRFVLIFHNGCDNELVDLINFGVPPITSFGDNFMIWTYRRRMLSIKDSEQHELLHTLQYTHRLLSAKIVYLSTRQLYAVLQKEAAIIVAGNPCIQGVNPMMVVYCCLYNLFLRYSFDKTGSDWDGLASSYRICDGILPKDIEKEISDALHREIRWDCDDNVLTMFGTRIKLPFLVIKPGYKFVEGSYRWISITSRDREIVGMQTLPVETTSFFLAFQISDRPPSLPICLFEHSSNLGVLILACCAFNFALPPFLKCHSIRFLGLDQCTDDETAERENHTEWVFLHSLWVLDLRYTVWDEILSAEKMDLMDNLMELSIEGVCSWQYTTRLEGRLPNLQRLRIIKPTRGTEISTDPSNSFVDKAKLEILDLSGNSEMKILPNSLSEASSLQVLILDGCNELKNVVVPDGLPHLLKSFSFDGYGPFSHRTPTVELPLRQDRPSSPATKKGAYISKISLQGCSQLENLFVRGLPNLVELDLSGAAIKTLDFNSMVLEVPMLKRLFLLGCEHLRAIIWGSNIDSFRLKLLCIDTRAGTNHPRPCIDQNKSFGLVLHAILVDVRLARSLWHPLRKYYANATKDVYLNIHVTSVVCSGPTQFEETYKERKIGMYGDQVGLPQFVRADQYSDVLRKVGDDPMQAFPKPPTNNLDRHIQITEGSHALDSGLGEVMRLFAESLHVHDVSTSANFPWAYWTLLKQCHMERCPKLDKVFMSGSKFEELEIFWASDLLTARWICSKGYASFNDGSFRKLQHLQLRSCPRLQFVLPVWVDSFPSLETLHIIHCGYLRHIFVLDEMYPEEISPQGVSFPKLTTIHLHDLPVLHQICEFKMVAPELKTIKIRGCWGLHQLPVVGPHSEDMKKPTIEIEKDVWDALEWDREVSPDHFEAPLHSRYYKKKLPRVSVLR >SECCEUnv1G0550070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:212339553:212340129:1 gene:SECCEUnv1G0550070 transcript:SECCEUnv1G0550070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLYKAGARRFVVAGVGSMGCIPTILARSVDGRCSEEVDQLVVPFNAAVRGMLDGLNAGLPGATFTYVDNYRLFKLMLAHPASYGFDVVDRGCCGIGRNGGQMTCLPFMPPCADRDRYLFWDAYHPTAAVNVIIARQAFDGASDVVFPVNVRRLAQL >SECCE3Rv1G0207620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:922905689:922908446:-1 gene:SECCE3Rv1G0207620 transcript:SECCE3Rv1G0207620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX6 [Source:Projected from Arabidopsis thaliana (AT1G65320) UniProtKB/Swiss-Prot;Acc:Q8GZA4] MAAVFYHHVVGDLTVGKPEIAELSDADTLDDAARAIAGSPEGAVPVWRARAAPDEPPSGARFLGMISAVDIAAFLAAAGAGDRAMRAAVGDVVQPNQDLLREVDPGTRLIDALELMRNGVKRFLVRKNGSWTGITKRFSMLYNGKWMKNSESGSPGSAGSSSTQLSPFIICADRFCCLSREDILRFLIGCLGALAPIPLSPICTLGAINPHYCHVEASAPAMEAIQKIPGDPCGVAVVETTLDGVRKIIGDISAYKLWKCDYVAAAWALANLSAGQFVIGADENGSTPISGFAVPSISSSPSEEAAEPGRSPRLLKKFSSRNIGFLNSQANQVRSMYRGRSSPLMCRSTSSLAAVMAQMLSHRATHVWVTDAEAEEDDVLVGVVGYTDIFAAVTRSRA >SECCE7Rv1G0504250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:721442377:721444852:1 gene:SECCE7Rv1G0504250 transcript:SECCE7Rv1G0504250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT4G12740) UniProtKB/Swiss-Prot;Acc:F4JRF4] MAKSPKATTSRRPTRKPRARSAAVPPSADIEDLAPPAVLAGPTPAAPALRSGLLRWYDAHRRDLPWRFSAAPGREGREKRAYAVWVSEVMLQQTRVPVVIDYYSRWMARWPTVETLAAATQEEVNEMWAGLGYYRRARFLLEGAKQIAEKGEFPSTASTLRQVRGIGDYTAGAIASIAFNEVTPLVDGNVVRVISRLFAIADNPKESSTVKRFWELAGQLVDPSRPGDFNQAMMELGATLCSKTKPDCSQCPVSSHCQALALSHKNPLVGVTDYPRVVPKAKPRRDFAAVCVVQIAQDLEQEMAAGKCNMFLLIKRPEEGLLAGLWEFPSVLVDECKTDPLNRRKEMDRYLKQLVDIDVEQESNLVLREDVGQHVHIFSHIRLTMHVELMVLKIKGDVGQVCNNGQDSTKLKLVDESSIDSMGLTSGIRKVYNMVKAFKEKKLLEQSQMPTKKRSRRQKQ >SECCE2Rv1G0103130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:586830563:586834645:1 gene:SECCE2Rv1G0103130 transcript:SECCE2Rv1G0103130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRAQESVGMSEDLTRAIPPYAAALHDAFLHSHCSSCFRKLPSQPPCAMSCMLCCSVQYCCSDCLSSDRDVHLSSGECCLFADHLKKASPSYVTEETSDARASLRLLYFLEMHGLVSSDSINRSSRIGGLSAIGIQEVLEEGGEVAERILKGSMLMSSARKMRTQTFAVLSNGLTVEIVALWAVTINSVEVQISEVWDLGIAVYGPSFSWFNHSCFPSASYRFVLAIPNEDSVSPKSEYRAVPASKGVAPDAWHAWQFEEGSTHAIGKYGPRVVVRCIKPINKGDEVCITYIDLLQTREARHSDLWSKYKFICSCERCTASPESYVDFILNCDFRNLNSPESAFISRAIEDFDDILQQAISEYSLGDDPKACCAMIESLLSENLMGDLQQVELSRKRHMLHPLHHICLRAYMTLASAYRFRALESNTGGFKGENSAVSFKMTKAAVAYSFLLAGATHHLFLSERSFMTPLAHFLLSAGRSMLDFVECVQGERRKNVSQAKFSFASCSASSGTRDSMQYHQFRSTCEEFGKRMLSLSLQCWPFLAQSSPCLQKIKNPIDFSWLGTSIFRSLHLSEVDSANLSCTDGLEIFTEEQKGCILSLAICCITFCKYLANICYGPQHYLANHAKDLLEAINLAQ >SECCE1Rv1G0012790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:96876161:96880336:-1 gene:SECCE1Rv1G0012790 transcript:SECCE1Rv1G0012790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAISDTPTAIFWTDYIATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPAPETIARIRNEKARRYLSSMRRKKLVPFTQKFPNADPLALNLLERMLAFDPKDRPSAEEALADLYFKNIASVDREPSAQPITKLEFEFERRRITKDDIRELIYREILEYHPNMLREFLEGAEPTNFMYPSAVDHFKKQFTFLEEHYAKGSTAAPPERQHNSLPRPSVIYSDNRPQGSDSRPQGAANITDDLSRCIIRDNAQKPRRDPASVGANRVPQGAAVARPGKVVGSALRYGNCSTSGTEQYEQRRVITSQGIVPNGVPSGSSYPRRNNTCKSETGEAERIDVSQAGPPKPYTGNKLPATVDGRNGHW >SECCE3Rv1G0148720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20199986:20200741:-1 gene:SECCE3Rv1G0148720 transcript:SECCE3Rv1G0148720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEASAELISPRISFSHDLAAFAACPATTQPEPRRSDASLMPRRRGRRRVPEPEFDFANAAAADVAPADRLFADGKLLPVPPLPPTAPHARCAKATRPAPRSWASPFARSSSVNSAAASGASGRFTCPAFPLMRSRSTGSAATGATVGAHQRPHCKKVAPSTTTAASGGVHNGNSGGGARSVYYYGYGGGRNGSDGHGGGGGVRVSPVLNVTSIGTSVVNMLSHLLCDCGEKAGKQQSRGFGVRCWVAR >SECCEUnv1G0534840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:47091283:47093806:1 gene:SECCEUnv1G0534840 transcript:SECCEUnv1G0534840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEESMVEQVLMKPHARSDGSLPTCADSAGAGDPISGPAAPKKWQRLGRNFAGAIAAFKNTLNLDNGGVPRDPSPRAGGEKPPLLLRGLAQLYSRGAAAQQLPEKLVSDLRRHFDALPNSYAQAGFDMKDVLLHARLVEQAAGEDQPALSIEEVHGSNGRESGAEGTVFLLTFACNAPLSWQSMSGSLDSPLFSCKKIQIFEKRGLTLGVVLIVVQSGSEELFKSRVEAALKSATKKHRKNSGGGGGGVKLPFGLCGCQEEGSRNFDEESMFDPEDGQVLDNEPARRPYLPTPLPQSSVFVSVDEWQTVRSGGEDLGRWIVSSEEIEFVDWVGQNSFKGVHRGRKVWVNKMRGCNMGSAYDVEIRQDLLQLMSCGQKNILQFHGICFNESHGLCIVTRMMEGGSVHDIIMQRNKRLSLRDTIRIALDVADGLAFMNSYGIAYRDLNAQRILLDRQGNACLGDMGIVTPCNNAGEVTEYETSGYRWLAPEIIAGDPESVSETCMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPPFLRSLMSRCWDNCPLKRPQFSEIISTLQKQSMR >SECCE3Rv1G0182740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:636407216:636412388:-1 gene:SECCE3Rv1G0182740 transcript:SECCE3Rv1G0182740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Site-2 protease [Source:Projected from Arabidopsis thaliana (AT4G20310) UniProtKB/TrEMBL;Acc:A0A0F6SCX9] MIGGGGRSRRRGRASATLPSGPAVSRTESSVSCWYCDCKIYVFNDMIFNLGWKHARYTRAWFSVGVYFSLVALVGMSLLLLWDPIGALYFRRGSVSAWLPNILAPSFSGSIMDTSIIIASTILSIAFHEFGHAIAAASEGVQMEYVAIFMAVLFPGALVALNYDLLENLPIFSMLRIYCAGIWHNVMFCAACVLMALLLPLVLCPLYVTGDGLIVMGVSESSSLSRSLSVHDVILSVDGLNIRRTDDWMKMLAQGTAEKTSSHEFLDGSQSYGATNSGKGYCVPNSWMDASKNLWQIDDKLSCPDELIVFGKFTCNGSKYFPGTDKVSDKKQIEDMYCLIAKDVVKLKKCGNGWRGTEDDGSNCTCLEDEYCMVPILTPGFSWIEISYARPYSLECLQKEGNLSSSHATNDNFGLSPCGGTFVYVGDLSSAASSVKLSPYRPRWALFLFIADVPYILENCLSCLLHVSAALAAVNCLPVYFLDGDAILETALRYIAWFNRRQQRVIIRVCRFLWTALSIIMFSRFLYSMTVYYG >SECCE5Rv1G0352740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711320120:711326890:1 gene:SECCE5Rv1G0352740 transcript:SECCE5Rv1G0352740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHKKQYNTMGMVNNKQNVKVKEEEDEKINTKEKRKQNKAEGGKKAKEKQNSSKRKMDKNKEEKGKKMRVVDKKNDEEKGREDRRKRKENEKKVKSHMERKEENEKEEQGRREIEKEKVEEQKEINKYKGRKGEEDWKERDKKDQNDKRNCPHFFRALISHSFMEHVTIPVGFHKYLEDCTGMVSLKGPSGNKWPVELAKISGELCFARGWKEFLSDNRIGYGYLLVFRYDGQSQFSLTVFLPSSCEAPYASLAQPQRKDIKVAGKEEKGLMSTNADGTAPQEEDAHTGTSADSTPQNEASEEEDASGDEEAEEVEDALSETPENVEDSECRMCSDDALEPTQQQHEDVRKTDDGFVVGKRARFRKVDDIMAEVDQSKKSKPAERKNFEGPSGDPSSRGAASNDSLTESEHRPPKKSMAEKIRSPSGDSPSKAAASSDNFAELESRPSKESKAEGKSYAAPLIFYTRSSDSASKRVPLKKASEETISNDNLAVHTGVFAPESVCTDLTMWHNSFGKRLSKQNQFPMFNKSNGENQPGRVLIKVMRRLGLTSQRRPVTQTEKEYAMERAQRFKSERPFTIKAMKHNNVYASYFMIIPDKFVKTFLPKEIRKMTLWDPQAKPWKVWYEYTDGERPRAAFSAGWGALAIENNLEKWDVCVFELLDQEYNIKLHVHKVVLMITPCVLAPKPRTYE >SECCEUnv1G0555070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:296050454:296050666:1 gene:SECCEUnv1G0555070 transcript:SECCEUnv1G0555070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADHGELPITTGDGTTAVIARFIKGVDKRATITRGWSNFFCQAHMKKGQTYAFAFKCTSKGLRLIIYST >SECCE1Rv1G0037400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:522731388:522734915:1 gene:SECCE1Rv1G0037400 transcript:SECCE1Rv1G0037400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASAPPPPPPSSPPADEGRPREATEVDDRVASHVDSFLVEALDNPRHRLMILRMELDIQKFMQNHHLHEFEFQHFPTSYLRCAAHRVAQHYGLETTVADSLVDGSNSRIVARKTPESKYPAIALSEVPVKQARNDIEAEEKLKFVICQRPKASQNGAYGAGTNNGAAKTVEERIDDYNKARARIFNGSIPAEVVGPSDFGALSVARNEPVNVEPAVDENKGCTFNSRSRVAVFKDTEKDRSDPDYDRNYKRYVRPPVPDYGVSPGAFNFAVPQFVQYGVGYMQSPSMPRNQPSVYFGQPDLLMGSSGTAVYPQWPTPAMMYPHCYDNLGHVISQVPVYQSFNHG >SECCE2Rv1G0098120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:475669235:475671927:1 gene:SECCE2Rv1G0098120 transcript:SECCE2Rv1G0098120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSATHHHRRSPRGIRARLRRRHNAATARTPPLAGATARALAAGLWRLRHAERQAVNPGPPRHDAHSPRSPRHRRKAKLCNGNKTRCGLGAGIPCRRHSNLDKIDACVVDLPYGCWMENATKWDQQHVCLHNMPASHDPCQLPPHVHHARAMPAHGHASAVALALEAELDKARARISELEDEKRVMMKKVERFLRKVTEEKASWKSRMRDKAQHVIATSKEDLKTERRHRRQLEAANGKLVKELAEAKASAKQAAQSYEMERKAREMMEDACEELTKEVEEDQAEVELLRRECLGMREEMEEERRMLQMAEVWREERVQMKLSDAKLALEHKYSQLNRLQAEMESFLRKDGKSIDANNSTLREARLISEAASSVRLRGVKELSHRNPHAPEDVDRVFRHFCRREGTASGGSPASSNLHSVSPATDIFLEKLDGSADLEDGSGSSWDTPDRDRRRDSCASAGTSDRSVARASNASLLSNGKGGSGLTDQVHHPSPSRGATGKNTALIRRLWRSAISESRKRTAGSAGTTASEQQRSAVITPTLPVGEQCSSSYSVKPQQQRQRSHESKGLARGPQKHSLQEKLLEARMDDRKPSPSSAAKHKMQLAACN >SECCE1Rv1G0010490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:62914771:62916047:-1 gene:SECCE1Rv1G0010490 transcript:SECCE1Rv1G0010490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHDSPFKEPLPKDRSTMDGDGPEPEEEVEEDEKSAEVEESAEVEEQERVVSADGERWPQWLRPMMSARFYTPCKTHPGSRRGGVRTMFCLDCAAAAGALCSLCADHSHRGHHVIRVRRSTYSSVLLVADVRGLLDVDSVQTYVINGARVVFLRERGPQQRHARNAWTFANQCGCGRGLLEAFRFCSLSCKFPGCRHDGNASPPSSPPRNDADQASMPPPPQPAHRRKGIPHRAPFGNLVV >SECCE3Rv1G0187190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:696464582:696470157:1 gene:SECCE3Rv1G0187190 transcript:SECCE3Rv1G0187190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGSALEAALQAVGRGLDAAGDHRLLYCKGAGRLVALDEARARDLPISGSVLCGVPPDVEVEAYRGKLERSRPAPPGAAPDEPFVCSFEKMAEHFNRRAGLLETVPLGSFNSLFSFTGSWKNDAAATKALAVDGHSVPLYRVKINSDELVLQESVKLAIPYTWDPPALASFIENYGTHIITSVMVGGKDEVYIKQHSSSQLSEMEFRNYVREIGNERFSDVENKSNAPPINYSEKDMTVIFRRRGGCDLVQNSVEWIKTVSSAPDVIGMTFLPIVSLVDDIPGKKHIARAIDLYLTYKPPIEELQYFLDFQVPLVWAPVPPGIAGQNRKEPVCPSLQFSLMGPKLFVSTEQVSVGRRPITGLRLCLEGTKQNRLAIHLQHLGSLPKIFLPHWDSHITIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEYTETNITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSMHRTDESSSSSSENAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGRIIVRAKYSLLNY >SECCE5Rv1G0366450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:813331102:813334767:1 gene:SECCE5Rv1G0366450 transcript:SECCE5Rv1G0366450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDLPSAGSGGGISPPPRTPPSASRKRRRGDSDDGGPPSLLSRETRGSVAAREAAVGAVRTARRAAAGAMWAAKAGRGSGRGLGDVGRMREVLLAVRRARCASVPDDDDDYPYPQGSRSSERANCKISYLSSYPLKCVRTDPVKHQASVPEWENAPSVEYIDDYKAATLPKLGTVVYPLNMDISATNRSEKQRKKLVDKCKCSRPGSVQCVQVHVKKARSWIKDQLGEEAFKNCGLNAMGEQVGEQWTTGFKRKLEKVDKLIPQNKHQKFMEIALKELGQKETKDLAKYYYNVFLPRILASLTRAKHKKDEAVDTNDEKSDQEDEENEQRPQKRSKKSSGSSSTRSKK >SECCEUnv1G0566230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:402323407:402324500:-1 gene:SECCEUnv1G0566230 transcript:SECCEUnv1G0566230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDRIPVTRFSMPKSSTPYHQNEAYMGCRHGLCLLINMHKHETVVWDPLTGEERIVAFPPGCTLGCSWAWHGAVLCIDAEDGHVHGDCFSSPFKLVLICAEYNTPAFCYVYDSASGVWGNIFSTMTITAGMSWLRRPSTLVGDALCFLICGGDVLVFDFKTQSLGLIKKPVGNHGTDDWWFQLLRMENDGLGLAVLLDLTIELWERKSNCDGVFEWVKLQKTIPLEGMVPTRRMDSVLFVGYDEDANVIVLATMAGNFTLQLNSMQIKHIVKRNNICHDTFCPYRNFYTPELH >SECCE1Rv1G0063710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726454211:726457119:-1 gene:SECCE1Rv1G0063710 transcript:SECCE1Rv1G0063710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADAGFSFSTYSSSPGPGYSYAYSSSSTSASGAPAQPPPALPLLHQQHQVQAANIHHHHQSRGPMGQPSLISEYDLGAEGDLFKAPEPIIEEPLLALDPVAAAISMMSGSDNAMNDDTIKASDMSLREALYKCEKELMEDSAIEETISELLDVKIAMLQVEAVPAELRASSSAAAAATGECLLQKSVSSGCLNSADWINGSAMRPNFFDFQGLDFEAAFGLRRACSEGDIQDLGANTPRPGIAANVQASGERLVTISDLKREERKQKLNRYRNKKIQRNFGKNIKYACRKALADNQPRVRGRFAKMDDGDMLKPRK >SECCE4Rv1G0284230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838793590:838794173:-1 gene:SECCE4Rv1G0284230 transcript:SECCE4Rv1G0284230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNSRKSVIMGVIILVLVIQQAQVEAKSCCCSTSGRNCYNACRVTGASRKTCASLCGCKILDKCVRPCDRFNIYPEADEAKVIEYCKLGCMSSVSNTTNTFIIGEQEKDVIENCTTGCYVVCTKDVEFATTIA >SECCE5Rv1G0336490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:584598926:584599697:-1 gene:SECCE5Rv1G0336490 transcript:SECCE5Rv1G0336490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTRFAPLLLALAVLAAAALAPSASAAAGTEYCRDTLGGLMACHAFMYEGAPAASPACCDSYSAAFNADPFCLCYIANGVYGRSTGYDVNVTHALEIPTSCGQVQPPIQLCDMQGVVLPPYEPESAEPKASSPAAQPPSGSVEPPRSSPAAPSSSTSPPPPPPTTTSGSDQSSVQMALMLFTVAAGMVAAVA >SECCE4Rv1G0226690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:112998754:113001730:-1 gene:SECCE4Rv1G0226690 transcript:SECCE4Rv1G0226690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLHLLALLLPVLVVLSLLYICEILWLRPERIRKKLRKQGVRGPRPTLLYGNTQEIKRIRQEALPAQKQDTSNYMSTLFPHFVIWRETYGSVFLYSTGAADILYVSDPGMVKDMSHFTSSELGKPIFIQKSRKPLFGEGILVANGDTWAYQRKIIALEFFMEKIKVMIELIVEASAPLLEAWDSMLDDAGGSREIDVDGYLRNFSADVIARACFGSNFATGEEIFYKLRQLQKAISQQDALVGLSSVWKCLPTKDNREIQKLEQEVRLLILDVAKEHIRRSSSEDDDCLKTKHNGLLRSIVNSARHCPASYHGSAEDYIVDNCKNIYFAGHETTAVTITWCLMLLATHPVWQDRARAEALEVCCGCTKLDVDILRRLKTITMVIQETLRLYPPGSLIMREALTDFKIGGLHIPRGTIIQTAIPMLHLDKDVWGQDAGEFRPDRFANGAAAACEPSHMYLPFGHGPRICAGQNLAMMELKVVLVRLLTKFSFTPSPGYRHAPLFRLTIEPGFGMPLVVTKLP >SECCE5Rv1G0340330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:614324690:614328095:1 gene:SECCE5Rv1G0340330 transcript:SECCE5Rv1G0340330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Holliday junction resolvase, 5'-flap endonuclease, Homologous recombinational DNA repair, Microspore developmen [Source: Projected from Oryza sativa (Os09g0521900)] MGVGGSFWDLLKPYARQEGPGFLRGRRVAVDLSFWIVSHSTAIRARSPHARRPHVRNTFFRTLSLFAKMGAFPVFVVDGEPLPLKSQARAARFFRGSGVDPPASSSAEAEGEASAPAPVKARNAIFTRCVKDCVELLQNLGMPVLWAKGEAEALCAQLNNEGEVDACITSDSDAFLFGAKTVIKVMRSNCKEPFECYNIADIESGIGLKRKQMVAMALLIGSDHDLHGVPGFGVETALRFVRLFDEDQILDKLHEIGKGIYPFLEGFDKAHVDDLPSPSTQSPPVARSPHCSHCGHPGNKKNHSKTGCNYCLVDSLEFCMEKPAGFICECPSCEKARDLKAQRRHENWQIKVCKRLAAETNFPNEEIIRLYLCDDNLDNKESGDRKLEWTEPKVDDLVDLLNYMQNWEPSYVRQHMLPMLSTIYLRRMASSPCKSLLLCDQYEFHSIQRIKIKHGNPYYLVKWKRATGDIVSGGASHKKPELDGESHAEVVVLDDDEEEEEEEEEATVNIESADSLDEPDLPQVLRDDDQVFLLTDEDIHLVNAAFPNEAWRFQEAQRLKEAKSRSRKSKLSPAESMLETPKGPRPSGVQLSIKEFYRSKKAAGDEAGKKPLVEGETSTSRAGLRKSPPVDLTKRIPKSLRRRLLFD >SECCE6Rv1G0410590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:600282599:600284160:-1 gene:SECCE6Rv1G0410590 transcript:SECCE6Rv1G0410590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAHQQRQPHAVLIPQPAQGHVTPMLHLAKALHARGFHITFVNSEYNRRRLLRSRGPGSLDGADGFRFEAVPDGLPPSDDHGDDVTQDIAALCLSTTKHSAAPFRELLARLNNSMTGAPPVSCVIADGVMSFAQRVAEEMGIPALVFWTTSACGFMGYLHFAELVRRGYVPLKDESDLTNGYLDTAIDWIPGMDGIRLKDIPSFIRTTDPDDVMLNFDGGEAQNARGARGLILNTYDALEQDVVDALRRTFPRLYTVGPLPAFAKAAAGSTELDAIGGNLWKEDASCLRWLDAQKQPGSVVYVNFGSITVVTAAQLAEFAWGLASCGRPFLWVVRPDLVAGEKAVLPEEFVRDTKDRGVLASWCPQERVLSHPSVGLFLTHCGWNSTLESVCAGVPMVCWPFFAEQPTNCRYACAKWGIGMEIGGDVAREEVARLVREAMDGERGKAMRASATAWRESARAATDGGGSSSENMDRLVEFLRAGCDGAT >SECCE5Rv1G0323170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:430634376:430638136:-1 gene:SECCE5Rv1G0323170 transcript:SECCE5Rv1G0323170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAMGATAATYGAPIPAPAPAAFCPHRAAGRRPVRAVTARPRPLFSPRAVSDSRNSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNIGGYKNDGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEERATAFGLMKIDDEGRIVEFSEKPKGEKLKAMMVDTTILGLDSERAKELPYIASMGIYVFSKDAMLRLLRDNFPSANDFGSEVIPGATEIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQSRYLPPSKVLDADVTDSVIGEGCVINHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETENDKKVLSENGGIPIGIGKNAHIKKAIIDKNARIGENVKIINVDDIQEASRESDGYFIKSGIVTVIKDALIPSGTVI >SECCE7Rv1G0505230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:731947280:731949066:1 gene:SECCE7Rv1G0505230 transcript:SECCE7Rv1G0505230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 33 [Source:Projected from Arabidopsis thaliana (AT5G35670) UniProtKB/TrEMBL;Acc:Q8L8M9] MGLAGGIVRRVLSKSPCGSSSSAGGGAGRGGHSERSPGEHKRRWGSLRLYLCGEENGAGAEDGEDDDDGTVSARSFETCAMTQDAQAPAAADQRRRDANGARSHAEQVHVQWNPVEPRKPFTEEEAATLIQSAFRRFMARGRWLQEELRRSSGQECCYGEAPKSPASASTIAASVEVQVGESLSNLLLSDDGASVSAQHRAGQNQKPRPQVFRAKEEWDDSTLSSNVLRMRIQSKMEATTRRERALAYAFSQQLRSGGGTKKRSSRSEQGEFNVGWSWLERWMATRQAEPGADDSASKNATDAGSAVAGRRVVVVRRRQDVAVEEKESCGSNDVSAISFDGSSAGGRSGLSCYRPGKNRLRGARNLPRRKVAAASSEHRLQPRSHKVSKKARQREEKQQLQKDHHQAEAEAYDPRQPPTDY >SECCE6Rv1G0396560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:368758951:368761541:1 gene:SECCE6Rv1G0396560 transcript:SECCE6Rv1G0396560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPYAVKGKKKRKLEGGEASRAPPVDAEAVEQEGKENEEMMEGEGEAAAEAFAEEVGEGIPIVPRPVDSKRRPGAIFVLERACLEVGKVGKGMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPHVRMPRTFKRFCGLMSQLLQKLSITAVGKREKLLNVIKNPVTQYLPVGIRKIGLSYSAEKAVNLFDYVAKSSDDQPLVFVVGAMAHGKVDKEYSDDYIQISGYPLSAACCLNRICSALEQKWNIQ >SECCE2Rv1G0082930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:158388412:158388825:-1 gene:SECCE2Rv1G0082930 transcript:SECCE2Rv1G0082930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLYVLTPKRIIWDCEVKEIILSTNSGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQKALEIAEANLSKAEGTKDLVEAKLALRRARIRIEAVNWISPSN >SECCE7Rv1G0494960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:592882394:592882780:1 gene:SECCE7Rv1G0494960 transcript:SECCE7Rv1G0494960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLNKASTQQQREMRRRHGHGERVAPEEREEELRSIGQVLLQEEEEEEQEAPASASPAAGMKVKVVLTRAELEWLMAQLKSGEQRLEDVLRQMGNARADDDKPPRADAWRPRLECILECPEPADAT >SECCE2Rv1G0128120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857989760:857990726:1 gene:SECCE2Rv1G0128120 transcript:SECCE2Rv1G0128120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRRAVLLMAVAATAIGLAGASFRDNCDIKWNAENAAFSDDGHGLTMSLKSNSSGCLLQTKKQFIYGSVSTLIKLVPGNSAGTVTTYYTSSVGADHDEIDFEFLGNETGQPYTLHTNVFADGVGKKEMQFVPWFDPTADFHAYTISWTPCMIVWYVDDVPIRVFRNYRDKGIAYPIKRPMFGYSSIWSAEDWATQGGRVKADWSKAPFVAGYRDMVLDVCPCDGSDSCVYGCEGAFGHGGQQQNCAGLSDQQRTKMLEKQKYNRIYDYCVDYKDNKKPGPECSLPQY >SECCE7Rv1G0519080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863254972:863257623:-1 gene:SECCE7Rv1G0519080 transcript:SECCE7Rv1G0519080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGCSPAGLPSALYRPLHEPLPQPPRCGRKYMFFLQTNVATPIVITAAAPGKGGGVLDRPAEKVSPGRQSEFDKKKSRKMSPPFRVVLHNDNENRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGMAVVIVCSQPEAEEHCTALRGNGLRSSIEPASGGC >SECCE2Rv1G0084140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172868283:172869167:1 gene:SECCE2Rv1G0084140 transcript:SECCE2Rv1G0084140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIASMSSPLVVLLAMLLLSRSGVGNAARRLEEAEYPPLPPSLPAPLPKPDVLPPLPAPEVLPKPGLPPLPTPDEQPKPELPPLPTPDALSESSLPAPDLLPKPELPPLPTPDEQPKPELPPLPKPEVPKPDVEPKPELPPVPKGEEPPKTELPPLPTGEIPPKPVLPPLPTGELPPKPEPELLPKTDEPPKPELPPLPTGEIPPKPEVELPPKTEEPPKPELPIFPTGELPPKPDVELPPKPALPPLPTGELPPKPDVELPPKPELPPLPASELPPKPAQPPLPKPEKPKP >SECCE7Rv1G0492680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:554919862:554923143:-1 gene:SECCE7Rv1G0492680 transcript:SECCE7Rv1G0492680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALVAVMRSRSLRDPDTNSLAKFSAKKTIWEGCSLEEDDVEASNYGRHSFSYNMYDHVQRRREEFGGRLANSPINIIKANARVKAALHNQNCCSAIPGMSRAAKDRAFSLVIEGEELGRSEPQEGARSLLQKYRPKSFSELAGQNVVAQSLSNAVSQGKLAPIYLLHGPHGVGKTSAARVFAAALNCLSPGGNQPCGHCEECVAIFSGNSSSVVEVDASKLDCKSRVAVLLRNACEIPASSHFKVLIVDDCQHMDKEGWYSIYSSLEGIPASSIFVMITSDIDKLPSNSAGWCQSYRFCKIDDAEIVRRLSKICTKEGMEFEADALELLARKASGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLSMSSDAATIVRRARELLSSKVDPMQLLAQLANLIMDILAAKHPSDSSEVREVTGKNTSAEVDVHKLRNALEILSETEKQLKTTKNQSTWLTAALLQFNMREPYCLEALDDTAVSSMFTESQTDDGAAALKDESLETSSHLCYQNKLGCLDMNLGDPDVLETIWMKALENCTSKSLHNLLQKDGKLSSLYTTQGVAVAELQFCHPEEVPTSESFWMPLVVSLQNLLKCNVDIRINLSPISISNRTVSKNSSVSLVMQSREDQQAQNPVATDCRTVASSRRECPSPPLPGQQPKERASHILGCLHSADSGAGDAESRILSYQKISVIPEASTTGNDTSKAGERTPKVDEVKGRRRGGCFSEILPCGACAPSRKSPPHDKHRAPRPRKGLFSCCFCKIRPDCRTKADAVYRSETQEVFHG >SECCE7Rv1G0523160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:880733309:880734492:-1 gene:SECCE7Rv1G0523160 transcript:SECCE7Rv1G0523160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNKEVDALVQKITALHAAIAKLPSFSPSPDVDAIFTELVTACVPPSPVDVTNSPLDVTKLGPEAQEMWEGLIRLYSEAEGKLEAHYSDVLAAFDNPLDHLGMFPYYNNYINLSKLEYELLARYVPGGIAPARVAFIGSGPLPFSSFVLAARHLPDTMFDNYDLCGAANDRASKLFRADKDLGARMSFHTADVADLAGELATYDVVFLAALEGMAAEEKAKVIAHLGAHMADGAALVVRSAHGARRFLYPIVDPQDIAGGGFGVLAVCHTDDDVVNSVIIAQKSKEVHANGLRSGRRGQYAHGTVPVVSPPCRFGEMVADVTQKREEFANAEVAF >SECCE7Rv1G0468680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:102539223:102539423:-1 gene:SECCE7Rv1G0468680 transcript:SECCE7Rv1G0468680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE6Rv1G0419670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:671542038:671547922:-1 gene:SECCE6Rv1G0419670 transcript:SECCE6Rv1G0419670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) UniProtKB/TrEMBL;Acc:O80962] MSPLVRPAVLPVCAVTSGGGGGNSDKWAPLQQRSWWGRSKQSLPHQPGGSGGRGGGALDQMLGVLRRDGEFLQAAAGAPLRDVLWLRFLEKQRKRPRPKPAEQQQEEAAAPPHPQAPAFPAYPPGLSCVELVMADLQALKVYADSSRQELVLRFLGSKQQPQSQQQSTELKPVFKKQPKPKGPKPNGQQKEQQQALQPPAFPPHPHPPGLSCMELMMADADALKLYVNYFLAILSTPLPQHYDPDLLAQYFVSRPHVLVFRTVQILFAFLMGAVKVQMFKKASLTTDATHSGSVSTEGFDASQFMVGQLLKDTFLDLGPTFIKVGQSLSTRPDILGSEISEALAELHERVPPFPREDAMKIIEGEFERPVSQVFSYISDEPVASASFGQVYQGRTFDGALVAIKVQRPNLLPSVLRDIYILRLGLSFVRKVAKRRSNISLYADELGRGFVDELDYNIEADNATKFLDTHSKYSFVMVPKVLKQLTRKRVLTMEWVAGENPRELLSLAKGISGSIAELSEKQKLDAKARLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPDGRVGFLDFGLLCEMEKKHSRAMLSSIVHIVNGDWASLVYDLIEMDVIPPRTNLRRVTMDLEDTLGEVTFEGGIPDIKFSRVLGKIWSIALKYHFRMPPYFTLVLRSIASLEGLAMAQDGTFKTFQAAYPYVVRKLLSDNSLDTRKVLHQVIFNRRKEFQWQKIAVFLKLASARGKFRQSTGALPERKGLDVSNLAEISDASSLDHATPERAMHTANLCLRLLLSRDSIVIRRLIMTANHKSLARDLISKDAAIFRALLSRALADVVCQWMVKVAGLKRSRDSTGDDLGMSLSKEAPISPVVSSLQEVVRDRRMKVIFSKFVKDLREEPMLMARVGWSALVVSAVSAAIGAHRFAVLLSEEYLPTPTPTPTLRMPALAQI >SECCE6Rv1G0425230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:705498665:705501050:1 gene:SECCE6Rv1G0425230 transcript:SECCE6Rv1G0425230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNFRKRSLESDAADNSDDEDTRRVALEEIRYTQKLRERKLGIPAESVATGAAAATTDASSTRGRGGSGAGGAGEEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKKGKTVEVNDKDDKDEVDELYVVPDHLKVRKKNMEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQERRLAGKTKSDANIPSSYSADYFHRGRDYAEKLRREHPELYKGQDSQANETGGKPTDSNNPGGPPAGRREAATDELLLERFRKREKFRVMRR >SECCE2Rv1G0099340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:515207529:515221913:1 gene:SECCE2Rv1G0099340 transcript:SECCE2Rv1G0099340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGAMRPCRLPPAIAAAALSAAALLVLFRASPYGIAYPRVRVQPGPPLVARGPGSPPSFAYYISGTGGEGRRVLRLLRAVYHPRNRYLLHLDAGASRDERTELAAAARDEAAWREFANVDVVGESYAVDRTGSSVLAAALHGAAVLLSIGADWDWFVTLSSWDYPLVTQDDLFYAFSSVPRHLNFIEHTSDLGWKEHERFEKLIVDSSLYMDKNTQPFPATETRQMPEAFKIFTGSPWVILSRNFTEHCVHGWDNLPRRLLMYFANAAYSMESYFQTVICSSSDFRNTTVNGDLRYFVWDDPPGLEPRILDETHFGNMVNSSAAFARRFAEDAPVLKKVDDELLNRSSAQLVPGVWCPGLGEKQGGGDVEACSKLGDISVVRPGRAGERLRRFISEIIHIRGCTVAAAT >SECCE2Rv1G0130930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:879667990:879670527:1 gene:SECCE2Rv1G0130930 transcript:SECCE2Rv1G0130930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLATVASPLGLCPAAAGALVSTGATRSRRSNSYSCAPSLRFTNRQRGAVLRLCSARPSAPALNQWWASELTPEELPTEETDGPTTGHGWEELVAIWNALVAEPFRPVLLALREIRDRGHFFRCRSYHAGVVAGPLLMIAGFCQLRNLVPTLFVDIVLGYIFYKLSVLAAELRRNGKANHLCARIQLVLLLILSFKGNNAFWDAYRLVTELIWFIALDVYLVAVTFEIIDEEDPRPEMLGIYKILKTKGGLTRVIKNWNLKDE >SECCE6Rv1G0414300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:631209320:631209970:1 gene:SECCE6Rv1G0414300 transcript:SECCE6Rv1G0414300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.4 kDa class III heat shock protein [Source:Projected from Arabidopsis thaliana (AT1G54050) UniProtKB/Swiss-Prot;Acc:Q9SYG1] MAELLFAPAVAGLAHLPEVLERLAAADADHRDHAHHHHAAHGHGHGHPRAQIGGVGGGAPVDIVETPGEYAFVLDVPGLSKSDIQVTLEEDNVLVMKSASNGGGGGANGKRKREEEEADCRYIRLERRSSPRSFVRKFRLPEDADAGAVAARCENGVLTVTVKKQPPPEKKTKSVQVAIA >SECCE5Rv1G0340190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:612836630:612838265:1 gene:SECCE5Rv1G0340190 transcript:SECCE5Rv1G0340190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPSASLLCCCLAVVVGVVATAGAGAGTRVPPPLPVLPIPTAAQLAWQRREVIMFFHFGMNTFTDVERGTGAEDPALFSPAALNATQWMDAAAAAGASLAILVAKHHDGFCLWPSAYTAHSVRASPWRAGTGDVVGEFTAAARARGVDAGLYLSPWDLHDRRYGQEVAYNEYYLAQLHELLTRYGSVWEIWFDGNKGANATKMTYHFQQWFDTVRQLQGSINIFSDAGPDIRWVGDEKGFAGTTCWSAVNQSSITIGSAGIEKYLNEGDPRGTEWVPPECDVSIRPGWFWHRDEAAKQLSELLEIYYNSVGRNCVLLLNAPPNATGLIDGADLARLREFGAAVKRIFGTDLAEGSRARASSERGGGFEAGKVLDGRDGTYWAPTAEDGRRNGYWVELRRPAGAPAFNVVRVQEHVALGQRVERHEVYVDGVPVARGTTVGHKRLHRLARPVAGRAVRIWFAARRGPPLVSAVGLHLDPYATDVM >SECCE1Rv1G0001050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:3554285:3555478:-1 gene:SECCE1Rv1G0001050 transcript:SECCE1Rv1G0001050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGEEATLDDDVITEILLRLPCTSVLRSRAVCKAWHRITTDPSFLEAHAERRPAELLAVSGGPGWACQDRVDTIPLSLGADGGGPTGRRRELHYPEHCDVHFLVGCCDGLLLFLMDSFPRGQSCSYFFVSNPVTRQGTWIDLMPPACRGNLMVLCGFYRHGPSGEHRFLVLANEQQSPKIPSNTGSVTGSAAHYVFNFSAATVSTEAPRRLGPVAGNNVVVKPNCSENQVPSHLYHRGKLHWTTHPQATSTGKILAFDTLSEEFRLISCPAWPKGENYYLHDLCLLELHGKLAITTTLLCGDFMELWVLEDYDDDQSWSHCFRIQLPPLFCLRWTMGTGVPNVILVGCYMEKLAAVYNLTEKWSVEKVGFVNSNTSARHFLFKESLVPHPFFDPY >SECCE6Rv1G0424140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:699395086:699398013:1 gene:SECCE6Rv1G0424140 transcript:SECCE6Rv1G0424140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECMNGVLLHLMEAHHLDRHVRAWMKQVVGLARHCEGNVELYIHSVTDAGHHGSGPLGYLRRILKVRAQDVGHRQLRYGITVPPAAGQEDAIFMDDDIQAPQGTEAEEEDARRRSLLVRCLWSEDKEAYEQKIMDDTIKSVLLSEKGPDDEAMQLTKKLEGFLKGKRFLIILENVYKGDWDTIRSAVLDATAHGSPGSAIVITTIYADSGCRDANKSVGKQMVKISYNDLPAKYRSCLLYLTIFPEGDPIRRTTVCRRWIAEGLISSRENRAEDEANSCFHALLSRCLIQPREISDTAKTKTFTLHRVVREVITMIARDVNFVDTDLQPNLARHLAVHSRTGVQASHANRSVQAADDNGIIAFLPYLAKSSQWQLMKMLDLEGCRGLKKQHLKSICKIILLKYLSLRNTDITELPKQIERLQCLETLDIRQTAIRSFASKSIILPMLKHLLSGQAESPSNSSEGPQDLFTAVRLPSSIRRMEHLETLSHVEASKRLSDLTDVGHLLRLRKLGVILSGKKCGLDILFQQIERLHGCLRSLSIQINQPVSKTEDTPCAEEMVILASPPKLLQSLKINGIRSDLLMWIAELDQLTKITLSETYLTEDYIRILGKLTAMCCLRLRRNSYAGRRLTFKDEEFRSLKSLVVDDGIIINITFDTGAAPKIVTIVWYFATMESISGLLCLPKLKNVELNGDCDPDTVRRALRKHPNHPDFKHKPRHGHQEDGATVAASTSMSK >SECCE5Rv1G0324200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:444001591:444002100:1 gene:SECCE5Rv1G0324200 transcript:SECCE5Rv1G0324200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGRHIAAGDPIRPPRLEDAGLEDCALPPESIAEAFSLAALAVSSRLPRLSLSDDEDEGDDPLAPRGGCVEDAGPTCGAIPDALVGAGGGSEGGADEVVVVGGGGRGGSDEVVVVGRGVDEDRVVVVGEELGQEKRCGEATREGERRKEEEEEEEMVEKAILVEDFA >SECCE1Rv1G0062060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718388741:718392852:-1 gene:SECCE1Rv1G0062060 transcript:SECCE1Rv1G0062060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNEADDDNFGYKGEKKEHMLQTMQCLLFARENLPGDVYHEFVKTMTGIWKKRADPDGEIRNIFPENCIETALKLFQGCPTVKQSFLNFTEGRCPIEGNPLMQKPMDFLSRVKTCPNISDDDYAAFLQTMQDFCRDRTMTPREVYSNVERCMRNCPELLEEFIDNFLPADLKALVKENDNHSLDGVYMKEGNGVSPHIEEDEEDKVKPLPEWTTSRIDELPPKLEPKELKRHCTPSYYLLPDNCTPLLSYRTKLGRSILNDALICPVSEEESPNHKAANEYEAKMLLCEEDMFESDMLLQWFSVTADFIANLQHRVGSDVKIKEQLTPLHRRCIIRLYGEEFLEALLDTDNATAVLPVILSRLNQSIVELREARLRLHKTCSEVIAKNHYRALDHRGPSFKQLDAKRMSQKALLAEAKEINAMKPKAEDQYAKEINAMKPKAEDQHAKEINKMKPKAEDQYANPDIHKDISSIISSACASEEKQMVTWTKIVHPFLSAHCARPSSEETVAPEKACEHCGTRKDILNNNPDAFTDNNLPLSSKRGGFLSKNSNDFSSSHDGSGAEIEEGEFIPDPETTVSDVVPGDGKEPVSCDVAASVRDGLSSRCRIIDTSEPSICGHANKHEMKHESRQTSAKPRGVKGGTCCFLVVLRRLYQILYNRLQNARYLCTSDDLYAEFKKKLTKLYYRAIDNSNFEDFCLKYLGPMSFELFTLDTVINQVIKQLCIISYKDPDNSIVQFLEKLQRPILPNELPKHDREKQEKALDDTVKLPRHFERRKKRKLENSATGCCQLGGMTETHH >SECCE6Rv1G0410510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:599007115:599008426:1 gene:SECCE6Rv1G0410510 transcript:SECCE6Rv1G0410510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPAATPSVREIWRAARADGPAAVLAIGTANPAHCVPQDDFPDFYFRATDSEHLTALKDKFKRVFPKLGVEKRYLHHTEELIRAHPEFLDDEAASLDARLDIVATAVPELAAEASRKAIAEWGRSAADITHLVVTTNSGAHIPGVDFRLVALLGLRPSVRRTMLYLNGCFAGSAALRLAKDLAENNRGARVLVVCAELTLMLLNGPKEGSFERLIHQGLFGDGAGAVLVGAAPLSPAESALFEMVSAAQTVIPDSADAITMHITKGGFGGNISTRQVPVFIGDNVERCLRDSLEPLGIGAKWNDLFWAVHPGSSAILDRIDTVLQLQPEKLAASRRVLSDYGNMFGVTIIFVLDELRRRLREQEGAGGVPEWGVMMTFGPGLTVETMVLHATGAGHMHASP >SECCEUnv1G0529700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8905866:8906303:-1 gene:SECCEUnv1G0529700 transcript:SECCEUnv1G0529700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKEMAPVALCLLGVMFLVGMADMSGLPGCGRPTRVELQELAAQVRSTLVGTASAAAGILIWVGKKADDLSAAGRDWEMRAMFIVAVNLSLASTFLALSALVLELSCDLVVNYCIAAALFGVHLVAAWVVRARLRALRLRRAD >SECCE5Rv1G0321970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:413876420:413878390:1 gene:SECCE5Rv1G0321970 transcript:SECCE5Rv1G0321970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPPPFYLLAVVFFLLPVQPPPTADAATTVAFSFPSFSLRNLTLLGGASLRSASVSLPPPSSHALFPLPLPFPPNASFSTSFLFASPASARPASRLSFVLLPDPVAAAEGTGGNRSLPLEVAFDASKNRVRTSSAGEHIGGNSTGAVDLRNGNEVGSWVIYDALRARLEVFLSHASLRPPTPALVVANATALAARFAEFMFVGFEVSCSSDNGSTDGGFVIHSWSFQTNGLRAVDLASRPSHSVSDSVRSAPASGGVASRKDGHRRRLAMGLGIPLPIVFLGAVMVFVILSMKKWRSRPAGFNGGVRAKAAGHPRQFMYQDLFSATKGFDPSLVVGSGGFGTVYKAVCPRSGVTYAVKRSKQSTESHNEFTAELTIIADLKHPNLVQLRGWCAEKDELLLVYEFMSNGSLDMALHSCSGVHRYPTLNWARRYNVAVGIASAVAYLHEEHDKQVIHRDIKCSNILLDSHFNPKLGDFGLARLKDPNTSPRSTLAAGTVGYLAPEYLQMGRATEKSDVYSYGVVLLEICTRRRPIDREAPGSMNMLNVVDWVWNLHSKGRLLDAVDMSLNGEYDTEQMMRLLLLGLSCVNPFSEERPVMKNVLGILEGKSEPLPVPKKKPLLVFVSNAPMDLEGIVSECNQSTVSSDLFELKIDIN >SECCE6Rv1G0422890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692543360:692548415:1 gene:SECCE6Rv1G0422890 transcript:SECCE6Rv1G0422890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MPPPPRPPVAAPAPAASCPIRVAPSRRRLPATLRAVSVAASHAHDAAFLRRAADVADSSAGLTCPHPNFGCVIARPEPGGPEEARVVGEGFLYAQGTRCAELLAAEEAGERARGATAYLNLEPGDCYGDSTAVSSLVQAGITRVVVGLRHPLKHLRGKAIQSLRSEGIQVDVVGEDLQSKLFKEALTSCLIVNAPLLYRAAFRVPFSVLKYAMTADGKIAASSGHASWVSGRASRGRVFELRGRSDAVIVGGNTVRRDDPRLTARHVKGHVPVRIVMSQTCNLPEEANLWNVHEAYTIVATQRGARRDFQKKLAMKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLSAAAISARVIHKVYAFCAPKIIGGVTAPTPVGDLGMNQMTQAIDLIDVSYEQIDRDMLMSGFIQPIPDLSPVIPSADEIPSDDPEVSPYETNIISFYKTWDTFGAFSNFSPHPIDMPDEKGDCLTWPTVEHYYQAHKFVGVDNPQASDIVQEIKQARSPEEAARIGRTRQREFPELIRPDWESMKIDVMYRALKCKFSTYAHLNEMLLSTAGSVLVEASPHDLFWGGGREGEGLNYLGRLLMQLRSEILGTVRTSVEARGQEA >SECCE6Rv1G0403660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:508778958:508781143:1 gene:SECCE6Rv1G0403660 transcript:SECCE6Rv1G0403660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVEAADGAKLSVRLFKPAAPVEETEDVAVVLVHPYTILGGVQGLLRGMAQGLAERGHRAVTFDMRGAGRSTGRASLTGSSEVGDVVAVCRWVADTLKPRAVLLVGSSAGAPIAGSAVDKVDQVVGYVSIGYPFGLMASILFGRHHDAILKSEKPKLFIMGTKDGFTSVKQLQNKLKSAAGRVDTHLIEGAGHFQMEGPAFDAQMVDLIVNFIKSLPR >SECCE7Rv1G0462500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:46256405:46257818:1 gene:SECCE7Rv1G0462500 transcript:SECCE7Rv1G0462500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIVEGPTPKYECLLFDLDDTLYPLSAGINLACRENIQDYMRDHLQIEESEIAEMCLELYREYGTTMAGLKALGYEFGNDEFHSDVHGRLPYDNLRPDSVLRTLLLSIPQRKIIFTNSDKVHAEEVLHRLGLQDCFEGVICFETLDPPAVTSNGLCKSQDDRMALSGEPSSDLDDLYGSDGRLKSPILCKPTIKSMEAVIQIANVDPKKTIFFDDSTRNIATGKAAGFHTVIVGRSTLVPGADRALESIHNIKEALPEIWEVNDCSESNAVPASAAVETSVIA >SECCE5Rv1G0302770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:41803575:41804834:1 gene:SECCE5Rv1G0302770 transcript:SECCE5Rv1G0302770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSKVLEDDNLLTEILLRTVFTTALLNSALVCTRWLAHVSNRDFLHRFREIHPPCLLGFYINKGQNTPHFIPILPQPHELGAVIRRATSSLGTYQRVPSVPTYILGCRNGNVLIRQHDKIGTTFAVHNVVCPERGMDILPPFPRPQSKCLFDGTTYSRIMSKEEGDHLSYLYVLMHQTRDGKHRVYIYILKHGIWCMNHSLITQQPPRPWSVLKSVLSNNKIYVPAGWTNIIVLDLAASSFSIIELPEGMEYGERNTILSQANNVAGVYLIVVKKFQLRIWLHNGYTWLLMDTIYLLEMFADLSMSDGNASLLINQAGDNAEFVFLDMGRCTFLLDIKHRTMHKVYEKEDGDDFFCEIHPLMMIWPPIFPTLKDDPTS >SECCE6Rv1G0406120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:540964551:540967322:-1 gene:SECCE6Rv1G0406120 transcript:SECCE6Rv1G0406120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVAAPEVQQRQDVAAEAVSALPEATIFRSKLPDIDIPNHLPLHEYCFAKAAELPDAPCLIATATGRTYTFAETHVLCRKAAAALHGLGVGHGDRVMILLQNCVEFALAFFGASFLGAVSTAANPFCTPQEIHKQFVASGAKVIVTQSAYVNKLRHESFPRIATGGREDTLAVITVDDEASTPDGCLPFWGIVKPADEGSVPEVSIAPDDAVALPYSSGTTGLPKGVVLTHGGLVSSVAQQVDGENPNLYMREGDVALCVLPLFHIFSLNSVLLCAVRAGAAVMLMPKFEMGAMLAGIERWRVTVAAVVPPLVLALAKNPVVEKHDLSSIRIVLSGAAPLGKELEDALRGRLPQAIFGQGYGMTEAGPVLSMCPAFAREPTPAKSGSCGTVVRNADLKVVDPDTGLSLGRNLAGEICIRGPQIMKGYLNDPVATAATIDVEGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELEALLIAHPSIADAAVVPQKDDAAGEVPVAFVVRAADSDIAEEAIKEFISKQVVFYKRLHKVYFTHAIPKSASGKILRKELRAKLVSPFTA >SECCE7Rv1G0486570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:413305620:413308918:-1 gene:SECCE7Rv1G0486570 transcript:SECCE7Rv1G0486570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWEARPKDCGGGDVGGSGSPGKIFVGGLPRDTTLATFQKHFGNYGEIVDPVIMKNKQTSQPRGFGFITYSEPAVVDKVMEDTHVINGKQVEIKRTIPKDYMQSNPKDFRTKKIFVGGLPPILTEDDFKDFFEKYGAVVEHQIMRDHQTRRSRGFGFVVFESEEVVDDLLANGNMIDLAGSKVEIKKAEPKKSSNLPTSTGSDSRSAYGRDSRDHTSGDDRGGLADAYRSYNSGGFGPYRNHGGFVGGHGGVRDYHERYGYYYPGLGGYEGMSSFGYPSRFGPYDGGFDWPYAGGNLRGYRRGGDESFGGPGSSSFGAMHAGAYDPALGVYAPGGTPDMNRGSFAPGRYHPY >SECCE6Rv1G0408050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:567839098:567840598:-1 gene:SECCE6Rv1G0408050 transcript:SECCE6Rv1G0408050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDTERSNDPSVKRLLLLLLCGYYSVAARAGDDARSYRVLAVGSLKAEAVCSVTPSSSSGATVPLSHRHGPCSPAPSAKVPTLLELLRHDQLRAKYIQRKLSGKNGTDGVQPLDLTVPTTLGSALDTWEYVITVAIGSPAVTQTMMIDTGSDVSWVRCSSTDGLTLFDPSKSTTYAPFSCSSATCAQLGSQRNNCSNSGCQFTVRYLDDSNTTGTYGSDTLALSDSDTVEGFHFGCSLQEEGFDNEKFDGLMGLGGGAPSLVSQTAATHGKSFSYCLPPTNRTSGFLTFGTPNGTSGFVTTPMLRWTRVPTFYGVLLRDISVGGRPLRIQPSVFSDGSVMDSGTIVTWLPRRAYSALSSAFRSGMRQYKRAPSQGILDTCYDFTGLDEVSVPTIELVFDGGAVVDLDYDGIMIQDCLAFTATSDNSIIGNVQQRTFEVLHNVGQGVFGFRSGAC >SECCE6Rv1G0383270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:51454291:51456435:-1 gene:SECCE6Rv1G0383270 transcript:SECCE6Rv1G0383270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFTVPPVVLQLFLLLFLASPATACTEQEKRSLLQFLAGLSRDGGLATSWRNNGTDCCEWEGISCNGDGAVTGVSLESKGLEGPISPILTNLTSLLRVNLSHNSFAGGLPAEFMFSGSIIVLDVSFNQLNRPLPELPPLVTTDRPLQVLNISSNQFASEFPSATWKVMKNLIALNASNNSFIGHIPSSLCVGSPSLALLDLSHNQLSGDIPNTLGNCSKLKVLKAGNNHLSGILPVEIFHATSLEYLSLPNNGGLQGELDGAHIVKLSNLLTLDLGGNSFSGAIPESIGQLSRLEELHLGSNNMSGELPSSMSNCTNLKTIDLKINNLTGDLGKVNFATLQNLKSLDLMENNLGGIVPETIYSCSNLTALRLSVNHFHGEISTRIGNLKHLSFLPLTENSFTNITNTLHALQSCRSISILLIGKNFMNEAMPPDETIDGFQNLQYLSVHHCSLTGRIPIWFSKLTNLKILDLFNNQLTGPVPSWINSLNHLLRLDVSNNSLTGEIPVILMEMAMLKSDKPASFWDPNLLDLDILIYAMDPSKLQYRINSDWCKVLNLGNNKFTGVIPQEIGQLKGLLYLNLSSNNFYGEIPQSIGNLTNLQRLDLSNNHLTGEIPAALEILHFLSEFNISNNDLEGPVPTTGQLSTFQASSFNGNPKLCGPMLINHCNSVEAAPISIVSAKKCSSKVIFVTAFCAFFGVGVLYDQLVLFRYFG >SECCE3Rv1G0197810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:823741681:823742946:-1 gene:SECCE3Rv1G0197810 transcript:SECCE3Rv1G0197810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSPPAPRLALGPLALLAAALLLLLPAPAAGVNVTAVLAAFPGFSDFARLLASSPVAAELAGRSSLTLLAVPNANLPRSPSAFAAAAGADLADVLRYHVLLEYLSPSDLARVPASGRLVTTLFQTTGRAPSDLGAVNLTAAGSVVVVRSPAPSTPGSNATVLGAVTREPYNISVLAVGGLIVPSGFDIAASGSESRPSPAVNITRVLTDARGFNVAASMLEASGVADDFEADERGAGITIFVPTDDAFAALPATDRLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATECTEAGRFTLNITRFNGSVAIDTGVVQASITRTVFDQNPVAVFAVSKVLLPKEMFGGGSTVSPGAAAPPAAMTPNVSDGPLTPPTKLSSPPVLRGQDSKPSSASARAANWWCIGLVCLLLPLV >SECCE2Rv1G0084790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:181161738:181163297:1 gene:SECCE2Rv1G0084790 transcript:SECCE2Rv1G0084790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIQCSPRVLLLRRQRFTPHHPFPAATFALPGRRSTALRAEPEPQPPPSTPAAEAPDTYDDSGDGPVEIRAPTLFSVDDNPTPLQVATSVMLTGAISVFLFRSLRRRARRAKELRVRSGGVEKPRNLSEEALEALRMVSTSPVETNKPPSPVQALLGGIAAGVIALFLYKFASTVEASLNRQTISDNFSVRQITVTIRTIINGLCYLATFVFGINGVGLILYSLQLTFNSLMDDDSSSSSVEKIIEQSSTMPSSSSSTSDTVSDSSDLQQISDKSKNSPE >SECCE4Rv1G0222370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:56577871:56580757:-1 gene:SECCE4Rv1G0222370 transcript:SECCE4Rv1G0222370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESPAPAATAEQTQQDEQAGGGWGGWGLSVFSEISRGAVEVAKSAIADIQQPPEQEAGPGEGGDKGNNEPAGEEEDERRKAALDKLENAGEDSLLGQGLKVFDTSVESITTGTWQALGSAWRSGSLIVQKLETSASSLAETIQQGELPAKASEIAPTILETGKSFTAKGMEMLERVGKETMELIIEETGMEIEKGGTGEGDQQTEEEQSEEVSFDRCFYIYGGPDQLEELEALSSHYALLFNRKKTKLVAEQKTYYDGKIKEIQQLFTLSAKIEEDGPDSDKGKQIEAADTDGDAEMKKLCDSSVSKAAKMAAGFATGLGGLSPSDIIKRTTDRLETIHSEGVHRLSEMCCLAVSQLLVLGKSVISAANKSNDEDESDVKIDWPEDLISKAEIIRWRAQSIAVDIEKVATSFATGISDVAEAYAAAIQNALADKQGDVPHQSVQEKAKDISSLLKSDQTSAVSKLQDALQYLAYVVVCTSMPSV >SECCE2Rv1G0125040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:838583548:838586542:-1 gene:SECCE2Rv1G0125040 transcript:SECCE2Rv1G0125040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSIAPSLSQMPQPPPPAMERIYKPEDLKRFEPRLRVVNRERSELVQKIRGSYDRARERLATATAFKEEVFLGAGLSIGLLDPTTNIIYNTLVASGLPAEKIEVGDMAERSMDGLVAFLTFFFRYLADWEAVRYLLRADADLLYAVRLVAHGRSLSPFHLNSDASKPVVRTALMCAALASKHTDAHHLVRTWLSPVRPLEEAAHAIQNDINNFTCVFNFDARHQAPGMQTQPLDFAWKRALELRQSPPTVVPYRPCQSLKFVLLQRIHAFYLKALALLPASELRSCYHRSMLKAGHCYGPFDPVSNIIINTIWCNTVSPPSQRPEIDMISTCSLLRVEARSFYGLVTFLCTSGKSLYEALRFLLITDCTLGKSAAPFSNPLAHFGDQDKICRAFRAAGVAAWHPDPAAQAEFMSSCSHTFSGLNINAPLDSADLNRITREMFQGRPTPGNSLQHHEVPMPKLSRTNEHTRISKKVRAALASYALENRELYDLHVICGVNPNVSGPEYCMDTNKPVFIYHHIHVNFLASPRGGNLKLFFAELSNDHEAEDGGEKVLCCPVDFPKPHQEQTRCMYCDYEGTKIVHPAMEEFQFKGRDLEFQNMACGLDPYEEEPCTGGVTQYYTNYTIISISCEALDNVAAVDDDTVDEDTDEEYDSDYISESESE >SECCE2Rv1G0122010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:812602244:812602459:1 gene:SECCE2Rv1G0122010 transcript:SECCE2Rv1G0122010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKKGRERKASQEHSDRKSGSGMSGDAKKGGRGGKFTWEGADGYTDEDLDLVANKGTGTGASASSNNKS >SECCE5Rv1G0354720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:726322673:726325355:-1 gene:SECCE5Rv1G0354720 transcript:SECCE5Rv1G0354720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDGNTDLDGVARRLSFSSASPGQRPPLHPRTSRRQPALPPIAEDPAEQQSASAATTRGRFTVYTEAPPSVPHTQAAPAPPAASASLLETQQATPVPPAASTSSLQTLQAVAAALGHLEQQRQAGLQQALVAAQQQQAFVAAYEGWERMRQTGLQQQPWGVAQAGAAAWTQLGQGRSPWPHQAWAQPQPEQQQLRLYTAEGGPAGYTTKWEELHPVSQHLLLQIEDKIRDERHACEQLEQCRRLSDPSVSNKGFELDARQITQEIGLISTIIDREKAPIQSLVADIREIMSHVDFAIRAYEEVRRSFVSGGAELAYCAGSSSTPTDFSRHSTMARTFRNCYGSVYRPSLFMQHIVERFEKQLEECCKSIGELEQLVQTKNDKTHPDSLKSLPKVMSNVHDYLIYVASKVENLHQHVETIRTQYLNDLRNSGNWNDPFVEADRKEAAKQEAAARIVHPTLPVTNGDTRSRRQMCN >SECCE6Rv1G0408310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:570921575:570922358:1 gene:SECCE6Rv1G0408310 transcript:SECCE6Rv1G0408310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGSCLALVALLLVARAFPAAATSFTVGGKSGWTIGVDYTTWASGNTFKVGDSLVFNYAKGLHTVAEVSAADYLACAAANALGSDGSGATTVPLKTGGKHYFICTITGHCAGGMKLEVTVSGSGSGSSSPSTPMPTPTTPYTSPTPTTPYTTPTTPYTTPTTPYTTPTSPACTGTTPGATPLTPLTPVTPGTMPFYSYNGAAGLAPAAWASFALVCAAVAQLGLL >SECCE3Rv1G0181560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:615540440:615542022:1 gene:SECCE3Rv1G0181560 transcript:SECCE3Rv1G0181560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLRPSNCIAYLLVLLALSCGTQHPRVEASSRLTQHPRILTTVSVMKPSYPTFTTPTSASYAMPASSRFPSLADANGGGDVGGGGIGGGGAAGGGATGGGGAAGGGATGGGGTAGGGVGGGGATGGAAGGGVGGGGAAGGIGGGGGGTWCVASQSASTSALQVALDYACGYSGVDCSAIQTGGSCFNPDTIHDHASYAFNSYYQKNPLPTSCDFGGTATITTTDPSSGSCQYPASSGGAQGSMPPPSPTSLTPTTPMTPTPMTPFTPTPMTPDTPSTGTPMYGSNSPPDFGSMSPPGGLGSNSPPDYSDVGAAPATAMGRATLALVSILAATLSMSLAT >SECCE3Rv1G0200680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:855943108:855951453:-1 gene:SECCE3Rv1G0200680 transcript:SECCE3Rv1G0200680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKQRPSSMFTSSVFKKPGSDRKGSSLAADSIVDDVIAEFAPDENDREERRRRVGKVSAPTPPLAPVAQISAIQAAVHAEMEVRSDNWFEADLVSDHGNGMEVELQPDVEMQPKLEAVPGSSAELVDENESLEELKQEANGEAKTEKVHRLNAKIKAEGIRNDDMLSATAGWMKICGDGENAEGEGEVALHGNADVDESLEFELKDGALPFYVLDAYEEPFGINSGTVYLFGKVVIGNRFHSCCVVVKNMQRCIYAIPSSSVFPRDTISRIEKNSTSSDASPSLRATLHELSSGLKSEIADKLSDLDVSNFVMTPVKRNYAFERTDVPTGEQYVLKINYPYKDPAVPADLRGEHFHALLGTNNSALELFLIKRKIKGPSWLSISKFMACPSTQRVSWCKFEVTVDCPKDISVLMTSTLEVPPVVVAAVNLKTIINEKHNVHEIVSASVICCHQVKIDTPMRSEDWQKRGTISHFTVIRKLEGSIFPIGLTKEASDRNQKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSSMWSKIGRLRRSVMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLREVSYSLTQLAETQLKKDRREVSPHDIPPMFQSSGTLLKLVEYGETDAYLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHSFHSKKFIVPDKFAARNKELNYAKRKFNADTEGGNAADPSIDDEVHNGDQGKARKGPSYAGGLVLEPKKGLYDKYILLLDFNSLYPSIIQEFNICFTTVERSSDGNLPNLPTSKATGVLPELLKSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANSMYGCLGFSSSRFFAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIYTGLDDISKAKVIAGKVIQEVNKKYRCLEIDLDGVYKRMLLLKKKKYAAIKLALDGSLRENIERKGLDMVRRDWSFLSKEIGDFCLNQILSGGTCDDVVESIHNSLVQVQAQMKSGQIDLEKYIITKSLTKAPEDYPDAKNQPHVQVALRLKQNGFSGCSAGDTVPYIICSQQDSDNTNSGGIAQRARHPDELTRNPNKWMIDIEYYLSQQIHPVVSRLCASIEGTSPARLAECLGLDSSKFQSRSTGSSNEDTPSMLLSVTDDEVERYRGCEPMHLSCPSCTSTFGCPPVSSLISTLSDTNEGKDSTVNFWRRMRCPRCPDDTDECRVSPAVLSNQIKRQADNFINQYYKGLLMCDDEGCKYSTHIVNLRVMGDSERGTICPNYPQCNGRLVRQYTEADLYRQLSYFCYVLDATRCLDKLDHKMRLPFEKEVAVLNQTISSAFLEIQKIRDRCAFGWVQLTDLAVSI >SECCE6Rv1G0418670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:664170549:664172904:1 gene:SECCE6Rv1G0418670 transcript:SECCE6Rv1G0418670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLINPSRKPLLHVPRPSSSSFSSSSSSNNNTPPFHPPPPPPSDDPHPRPNHGEGPQRPAASSLFLDIRERLKSSPAPPPPRRIPANPLRPGGPPAAPSVGLDDIKRSLESFRAGSPRLSGPGAGGGGANPSFQELLKNNAASPAGRPQGGAPNAGGGGGRPFSISFDTIRESLRKIDPQQERQRKPTLPFLNNTPDNIFGKELRQRAGIPQPGEGKEDEDAGILLADEYTYEALGQKLRELRPADAGKDGKEWFSLQELQGRIAKIAEQERARADPRFGGEFANLRQTLGTLHKDQKKKHITNVQNMSIFANIGGKPLPEYMLSNLPPQEELLERYFHPDHMSGEEKMKLELQKVRDEFKMSENDCGSARVQIAQLTLKIKHLSSVLHKKDKHSRKGLQDMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDTPEYKAPDYKKTQPTKAQSKKSKSKRKRKMKA >SECCE5Rv1G0358880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:758043929:758044447:-1 gene:SECCE5Rv1G0358880 transcript:SECCE5Rv1G0358880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVVCRKVYDYVRYDLKEIAFPSSLPDPPGTKRCPKLTLKEKWSILKEATRLYGASWVRDIGPELRPDDYKKAKEESDPNSTEEGKTKSEPTLLEDLAVAARGGAETLKPVLRRIYMTRASTYTSAVKNYVETYQKGLKDVLDEKAGKGHQQGNEPRRSSTSPPPPQSSS >SECCE4Rv1G0247150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:553097328:553100093:-1 gene:SECCE4Rv1G0247150 transcript:SECCE4Rv1G0247150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFLVLLLACSLIFPLAAPAREITNACTSQANVFQHVNGTGMHLPLHHPQSPCSPAPLPSDLPFSAVIAHDKARIARFASRLAKTPSRRPTSLRKSTGGTHLGDSQATSVPLAPGASVGVGNYVTQLGLGTPATSYAMVVDTGSSLTWLQCSPCVVSCHRQAGPLYNPRASSTYAAVPCSAPQCGELQAATLNPSACSASGVCIYQASYGDSSFSIGYLSRDTVSFGSGRFPGFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGDSFSYCLPTSSSTGYLSIGSYSPAEYSYTPMVSSSLDASLYFVSLASMSVAGSPLAVSPSEYGSLPTIIDSGTVITRLPTAVYTALSKALGAAMGGAPRAPAYSILDTCFKGQASKLRVPAVDMAFAGGATLKLAPGNVLIDVDESTTCLAFAATDSTAIIGNTQQQTFSVVYDVAQSRIGFAAGGCS >SECCE5Rv1G0310130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:164497820:164500961:1 gene:SECCE5Rv1G0310130 transcript:SECCE5Rv1G0310130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASPVEYAGTITSSQRHLSSVMPRCNGLRCTIGYENKSRAAGHLVVRAMSMDRPKLDFSNPNWKNQFQEDFDRRFSLPHLTDIIDVESRPTTFSLKSRTPLESVNGSSEESWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWVHRAGPRKQIYFEPQCVKAGIITCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLAEVPLNRHVVQNINLAGGSFLGVSRGGASISDIVDSIQARRLDMLFVLGGNGTHAGADAIHGECRKRKLKVSIIGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLQHLEHLIETKGFALMCVAEGAGQEYLQKSNATDASGNMVLSDIGVHLQQKIKSHFREINVHSDVKYIDPTYMLRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGICNTHNVYLPIPEVIKTPRLVDPNSRMWHRCLTSTGQPDFC >SECCE2Rv1G0074050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73253604:73255108:-1 gene:SECCE2Rv1G0074050 transcript:SECCE2Rv1G0074050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASPQVERPRLRRLEFVHVVAVQAAVCIAALYALARDHAGRLRPSVDAVDSAVRGVAGPVVGRFHGVPLHVLAFVDRKVDDAVQELGRHLPPAVKSASAQACHAVHAVPELAREIADGARRSGVKGAAKDVYGMVQPVAKDLYVRYEPAAEHLAVSAWRSLNGLPVFPQVAQIVVPTAAYWCEKYNRVIAFAAGRGFPGARFLPGIPIERIAKAFGASPATERAPDAKPPMGLDAQTSSEERSADAKAAGPDAQTAEESSPGAKPVESDAQTAGESQPEAAKPMDLGAQA >SECCE5Rv1G0351690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:701786589:701789155:1 gene:SECCE5Rv1G0351690 transcript:SECCE5Rv1G0351690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4 [Source:Projected from Arabidopsis thaliana (AT3G23100) UniProtKB/Swiss-Prot;Acc:Q682V0] MATAAAAPRHSCAKLSVAVEDPKAPGGGGVFVKATWLPTRFSLAVTDGAGAWVADASDAEVRLRAEQWDQPVSEYLALAERYLAFHQPSSTYSFHEAGAGRRLSWTFEKQGTKLEWRWKLQPAPHPQQTIAEVLDFLMDANIRLSEEVVRKTQSFEKLKQEAENCLQQSERFNTEKAEFEQASFTKFVAVLNAKKAKLRQLKDRIAALESADKAPKEEEEDEGHSTDRTEPIEEGSDKDQSVNDEPSETGSGGDPHSSPEKSAAAATSSWGRRGRKRTRK >SECCE1Rv1G0003360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13694598:13697760:1 gene:SECCE1Rv1G0003360 transcript:SECCE1Rv1G0003360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNPPSQPPPPPAAAAADTAGGEKQLAFEAPPQPVREEYVQNAVKFLSHPKVRGSAVVYRRSFLQNKGLTNDEIDEAFRRVPDPPPTTASPPTQTQTPAPAAATAAPPQAYAGPIVVAAPQPRLTWYRAFVAAGLLLGFGASAAVFIKKLLLPRLKSWIRRVVAEGDEATELKSKIDEETREAVKASATAVSAIAQTNQELLASKDEEKKILVTLTQALDSQAKVLKSLSESLAHSRDSVNITREDRFSHYRPLEDHAPSAARNGPVNTPWRPPQQPNMYGAPNSDFGSGRPSFAPAPTEPTSGSYSRSYVEQTVQRGDNRSSGTKTQQALEMLQQAQQKLGYASNAYLSEDGPYPQAQDNYAPSYHQNGKAPDFHAEEPRPLAYSSGGVVERPPPQRQWVPPQPPGVAMPEAAAAIRQQKSLTKQSSSDTSEAGGEVQVNGAASSPPAAAAEVPVNGSAASDAGRSEIEEQQAEAV >SECCE4Rv1G0260720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:698148896:698149968:-1 gene:SECCE4Rv1G0260720 transcript:SECCE4Rv1G0260720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAADATAASPKEQPANGSAAASTGGEQVTRHSEVGHKSLLQSDALYQYILETSVYPREHECMKELREITANHPWNLMTTSADEGQFLNMLLKLIGAKKTMEIGVYTGYSLLATALAIPDDGTILAMDINRENYELGLPCIEKAGVAHKIDFREGPALPVLDALLEDEANHGTFDFVFVDADKDNYLNYHERLMKLVKVGGLLGYDNTLWNGSVVLPADAPMRKYIRYYRDFVLELNKALAADQRVEICQLPVGDGITLCRRAK >SECCE5Rv1G0325430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:465654416:465657531:-1 gene:SECCE5Rv1G0325430 transcript:SECCE5Rv1G0325430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSISGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVKEHDASSDAARDRHPSHVSDDGTYAMKKVLIQSREQLDLVKEEIRVSSLFNHPNLLPLLDHAIIAVKSTQGDWSHEAYLLFPVHLDGTLFDNAAVMQTRKEFYSVVDVLRIFQQICEGLKHMHSLDPPYAHNDVKPGNVLVTRRKGQAPLATLMDFGSSRPARNQIRSRSEALRLQEWAAEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIMNAQLKWPALPSAPYPDALHKFVTWMLQPQPAMRPHINDILLHVDKLVEKYSP >SECCE7Rv1G0459790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:27137611:27140508:1 gene:SECCE7Rv1G0459790 transcript:SECCE7Rv1G0459790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein At2g13690 [Source:Projected from Arabidopsis thaliana (AT2G13690) UniProtKB/Swiss-Prot;Acc:Q9SKH2] MAEHAARHLRRRGPVAGWCCSFTGVPPSPDHRTLSHAAAPPTAPGSVGNEGPRKQPQQQLPPKSPSSFHGSPSSKLAGLIDYPRRILSPGRVSPIDPDAHHPPTTTPTPTDHPHHPEQQQQQPPPMAPFVAVREEEEEGQGDGLDLRLCLRGRDAGCVVVMDLDSKVLCGSSAFFAAMAPEPNAAAGARRIEVDGVENVAAFRDAVELMFQADAPRWLARAGVSRAIGVLEVASSIMFDKGIRSCLEYIEAVPWNENEEEKLKHLFARCTFDEVLSKDVLARLQTQPSSSSEDLTGQLIQSVTSSTNNSARKDMQSLINGLLSKSSVYQKDLSGLNKRSLYQICCSCLNLLVELFKEDSVPKCGTDQALKIRHSKPMIERVSKQSENLIWLFEILVNNDMAENFVVLWAGQDELIRMHEQASPMFRYELSRISAGVFVALGQGKVQCPSDLRSQLFRGWFTPMLTDFGWLQRCSKGLDARALEDSLGQALLTLPLREQQSLFEEWFQCFASSGAECPNLSRAFQVWWRRSFAR >SECCE3Rv1G0152390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:47189808:47193917:1 gene:SECCE3Rv1G0152390 transcript:SECCE3Rv1G0152390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGADGLEEAPPPLPLDADVHPRPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPLYGKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALAIAKLLSVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSAAISKDFETAHVISANRIRLWNKGVDSASFHPRFRSHEMRARLSDGETEKPLIIHVGRFGREKNLDFLKTVMDRLPGVRIAFIGDGPYRQELEKMFEGMLAVFTGMMQGEELSQAYASGDIFVMPSESETLGQVVLESMSSGVPVVAVRAGGIPDIIPEDAEGRTSFLFAPGDLDDCVGKIRLLLTDEEFRGDMGRTARAEMEKCDWRAASKTIRNEFYSSAIDYWGKKQAEIVQPLQWLAQMLMPAPNQVIGGIKQ >SECCE5Rv1G0311530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:193516940:193526060:-1 gene:SECCE5Rv1G0311530 transcript:SECCE5Rv1G0311530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVELVLWLFSFASVMALVGLTAYQLICLSDLEFDYINPYDSSSRINAVVIIEYALQGVLCTSFLLTLNWFPFLVMAPVTYYHVKLYMNRKHLIDVTEIFRQLHGEKKYRMIKLAFYFALFIISIYRLVMTAVLLFIDEDANLVETRTI >SECCE5Rv1G0372330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:852269456:852269812:-1 gene:SECCE5Rv1G0372330 transcript:SECCE5Rv1G0372330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRMLAVAVLAVLFAGAMAVKVKLTVEKGSDTKKLALKIDYTRPGDSLSEVELRQHGSEEWQPLTKEGDVWEVSCSKPLVGPFNFRFLSKNGMKNVFDEVFSTDFKIGKTYEPEY >SECCE3Rv1G0191040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:745424983:745426577:-1 gene:SECCE3Rv1G0191040 transcript:SECCE3Rv1G0191040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSKGRSKMRSTQRKPSILNFDAGWGSSLSFIVIGLVGCTLIVCLFFISHQVKTGHAHSYSSHLPATRELEAVEEEHFRLPPPHKVNPRAVKRAVKRRGSTKVSKIIDEYLDGSSALHDMFFPGETTAVNPTKGRNDSMYFYPGRVWLDTDGNAIQAHGGGILYDQNTATYYWYGENKDGPTYQVHPEGAQRIDIIGVSCYSSKDLWSWTHEGIVLPGERTNTTHDLHISKVLERPKVIYNDRTRQYVMWMHIDDANYTKASVGVAVSRSPTGPFSYLYSFRPHGFDSRDMTIFKDDDGMAYLFYASRGNTVIHVSPLTNDYLNVTSAMRRILVRRFREAPAVFKLRGTYYMITSRCSGWAPNPALAHATHKIMGPWETLGNPCVGGNHFLRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSDLRDSRYVWLPMSIGGLADEALDYSFGFPSWSRVSIYWHRKWRLPEGWSKRYTT >SECCE7Rv1G0496270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:610514364:610518989:-1 gene:SECCE7Rv1G0496270 transcript:SECCE7Rv1G0496270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLFHCSAPRSVPQPLRVQRNKIPDHLSLSNHMDKLIPEMQSASVSSYGQLKADGENLDRDDDDSSQISSWLESFENQEWLSWIGGTSQDAVASNIIVAAAFVVWYPSIVKVKLAKLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKACLGTDITHFLSDVLFQIECLSSAPSSNVIYKTAVAVTMREALVGTLLPSLAMADIMGFFGVIESQIWATSSDSPVHVVSLKTLIRVLRGSPKALAPYLDKAISYILHTMDPSNLIMRKACIISSMMALREIARVFPMVALNESMTRLAVGDAIGEIHSATIRVYDIESVTKIRILDACGPPGLPSFLKGPSDTTTTILITALSFSPDGEGLVAFSENGLMIRWWSLGSAWWERLSRSLTPVQCTKLIYVPPWEGFSPNSARLSIISNILGHDKHQNSESKTRELDEADNLKLLLHNLDLSYRLHWVGGKTIKLTRHDQDLGTFQL >SECCE1Rv1G0044760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:604835315:604835884:-1 gene:SECCE1Rv1G0044760 transcript:SECCE1Rv1G0044760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLPEARGHRSSSVGGGGAGAGGRADAAVQGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTYDTAVDAALAYDRAAVALRGPKARTNFGSAHLGPHPHQHQHQQQACQLLPRPPARPFGGRLDVAHPSPWHFVYFPSRLQEFLGQPLPAHAARDAAPSLPSTVLELRTGPSSCPQFDLNEPPSLMFGS >SECCE7Rv1G0474610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:174495102:174495644:1 gene:SECCE7Rv1G0474610 transcript:SECCE7Rv1G0474610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPDHTFPLDATAFAQVAPASWLLDLSTLSLPSAPRSAVVFLLPPAAAALPPGKAVAVYYQAAANRPFVFLGALGPARPSATFQLPEAGDEPEPPAGPAKLGVAVEDAAALPSPPDEQRAERVALRVGENLFNFMQSFCAADGGKLVVPTDILDRWFRKFQERAKKDPTYLKSFDF >SECCEUnv1G0554770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:291349163:291351394:1 gene:SECCEUnv1G0554770 transcript:SECCEUnv1G0554770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQVVAVLQLGGVFTTDDDGHMTYSGGEAHAMLVKSGWTFKAFKHEISSTLNNLKLDSYAFKYFLPRNNKTLISISNDKDLKRMVEFHADSETTDIYVIKKVDNRVNSSVADSSAPADSAIIGTTPDGSKRQKICASWENTITGVGQVFEGPKEFRDALHKYAIAHRFHYRFVKNDSSRVTVECTDEGCPWRIHASKSSANQEFMIKKVVGSHTCESETVKSNRLASQKWVASVIKEKLRDSPNYRPRDIANDLQREYGLSLNYSQAWRGKLIARKELYSPHEEACNQLPWFRDRIFATNPGSMATVEALEGSKFRFFVAFHASLHGFGNGCRPLLFLDVITVKPNKHWKLLGATSVDGEGDVFPVALSVVDDESQENWHWFLEQLKASLPMPGDITFISNGRSGLWDDVSLIFPDSYHGYNINFFIEEFKTKLDDSWSEEVKDIMVEHLKDAIYSCRVDEFNHYLDLIKTESDKLAEWLLETKPERWSDALFKGSRLGQYTCNISETIAEWIPNRYELPVVQLLDTIRCNLMEMIYTRRESSNTWSEVLTPSANQKLQEEMNKALSLSVVCSTENDGNNNVFEVCDGSVYTVNIDTWQCTCRKWHVSGIPCCHAIAVFEQTDQNPVDYCTKYFKRDYYCMTYAMSINPIPDVIVPPASTDLTQSMGLQPCPILARRQVGRPKEKPADPRIAIKRAVRCSRCKAYGHNKATCKVPLTT >SECCE6Rv1G0378600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9442427:9443308:1 gene:SECCE6Rv1G0378600 transcript:SECCE6Rv1G0378600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSVEVVAILAPIIVYWVAAGVYTVLGRVLADHRLHSREEEELRNLVPKRVVILSVLLQHLLQAAIAFAVFSVKREDRRVAEAGTRAAAPAMAVVCCQFMVAMVVLDTWQYGWHRLMHSSSLLYRHVHSWHHRLVAPYAYGAQYNHPLEGLILDTAGSALALALTGMAPLTAAAFFSFATLKAVDDHSGVLVPGNPLHLLFRNNTAYHDVHHQIRGGRCNYAQLFFVAWDKLMGTYVPYKVVRAQHGGLEAVPLKKKKMMT >SECCE5Rv1G0346000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:659916665:659917930:-1 gene:SECCE5Rv1G0346000 transcript:SECCE5Rv1G0346000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRGPPSPAPVATTTAITAIGDDLLCEIFLRLPSLPSLVRAALACRAFLHAVRSSPSFRRRFRAVHPPQILVFFNAGIHSLIPLDGRSDHDLTAAVHGSDFRFIRLPKGRGDSRWNILNGCRCRCGYVFLCNENTDQIAAYNPLKRALYIFPYPPQETCDDPHCPDFSIIFSEDDQRSFRVVSVQPKLARFSVFSSDSRDWQSISWVDTSTLQRVDNGGDNSVLPSYTDTVMMDEFDRLAYWKDKNTGYIVVLNTATLQLSRMDLPHPLKDMDSTQFELGRTNAGKLCMVCVDGFGAENTMISVWIWRADGDGVDKWMQPKVFPLNKFIDVTMCSAEYGVITVQVAVVIDGFLFLCIDFGRLTKFFMSFCLETENANEFFNHTFHNDIQPYIMAWPPTLLSNKVSTCLVHVACTWVTWE >SECCE6Rv1G0402010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:477188485:477189537:1 gene:SECCE6Rv1G0402010 transcript:SECCE6Rv1G0402010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHASPLALKSGGATGGAECGDEAENQRWPPWLKPLLATSFFVQCRVHADAHKSECNMYCLDCINGALCPLCLAHHRDHHAIQIRRSSYHDVIRVSEIQRVLDIAGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSGGHRPRKKHVGGGVGGKKKKRAALKDARSDSEHSCTSTSGGSSDKSSVVQSFSPSTPPATSSSYRAGNKRRKGIPHRSPFGSLIVEF >SECCE7Rv1G0509640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:782849640:782852380:-1 gene:SECCE7Rv1G0509640 transcript:SECCE7Rv1G0509640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDFKAIPLIDIGPLVEKIDDPAMAGDEGLLDVVRMLDDACREAGFFYVKGHGISESLMTEVRDVTRKFFQLPREEKLKIKMTPQSGYRGYQRVGENVTKGKPDMHEAIDCYTPIEPGKYGDLAKPMEGSNLWPDYPSNFDALLENYIRLLRDLSRKIMRGIALALGAPLDAFEGGVAGDAFWVLRLIGYPVSDDIPQEERTDIGCGAHTDYGLLTLVNQDDDICALEVRNQSGEWIYAKPVPGTFVCNIGDMLKVWSNGIYQPTLHRVINNSPRYRVSVAFFYESNFDAAVEPVEFCREKTGGVAKYEKVVYGEHLVQKVLTNFVM >SECCE4Rv1G0249420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:582715329:582716804:1 gene:SECCE4Rv1G0249420 transcript:SECCE4Rv1G0249420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPQLRRLKPAYQYVVNNFLAVLAVPLAVAGAVSAARVGPEELLARMHALRPVHVFLAAFLPAAAGVLYLMMRPRSVYLVDYACFRTKPSHRVPFGTFLEHAKLVTFIEGASIDERSVRFMTRLLERSGLGEETCLPPAHHFIPPYRNLEASRQEVELVIFSAIDDLLAKTGISPDAIDFLVVNCSLFAPIPSFTDMIIRKYKMRSDIRNVHLSGMGCSAGLVSVGLARNFLQVAPRGSHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAALLSTSRAKARFRLSRVVRTLTGAQDNAYRCVYQEEDEEGHRGINLNKDLMTIAGDALKANITAIGPLVLPASEQLLFALSFIARRVFNNKSIKPYLPDFRMAFEHFCIHAGGRAVIDELQNSLGLSDEHVEASRMALHRFGNTSSSSLWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIEPARDAQGPWADCVSRYPVFIPEVLKH >SECCE4Rv1G0266650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:732908099:732911701:1 gene:SECCE4Rv1G0266650 transcript:SECCE4Rv1G0266650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLTGNKHEDIKSLKDLQRTLELEVKSKRVLLILDDIWEDSQKEKWDDLLTPLQSNEVKGNKILVTTRKPSVGKFTRAKDKINLEGLDPDDFWHLFKECVFGNEDYKVPPKLRKIGKEILVKLKGNPLAAKSLGKVLRRDHNPDFWATILDNNEWKLRTDDYDIMPALMISYKYLPEHLQQCFSYCSLFPKYHRYEQKRLVNIWIAQDLVSSTDVRLEEVGGQFFHDLVEWGFFQKEFEFGGLHIMHDLIHDLAQKVSSDDTYTIENSEHKEAPWLVRHVSIITAREYMSEVDGTVLLNEPFLQEFANSFGKLKQSKLSTFMLFGPLDLAIGNTIRQEFSEVKSVRVLTLEMAVFDLDLVIANISAFVNLRYLELSCFYKGPRLELPEAICSLSHLQVLDIMKNWGDSTVLPRGMNKLGKLRHFIARDELHAKIAGVGKMVSLQELKAFCVRTASEFSIIQLRRLNQLRGSIRICNLKDVGSQEEAAGARICDKVHLTTLHLSWSGVNGQNVGFSSNCSILEDLQPHAGLLNLKIEAYRYPSPSWLSNNIHLTSLRSLHLDKCKKWCTIPEPKHLPLLRELDLRHMPRVREIVIGRLEILVLSCLPCLKRCAVLNEEQLSESLQVLEVENCDQLVEFPLPVLQDFQFTSLHRLVVHMYFCFSHTNIPQLLRMDSLKHVDLWLQPCCQEFQLRLGSSNALRMQIIGSHSQPVLRIEESLFGFDKLKNLVELEITTYPHLTYLPWEGMQHLTSLKKFKMRVCSKLFSNNVEFVLPLSVEELEFNSCNITEQHLSRLLLNLPSLKKLVITNCEEVTSLPVGLFTDEHSQMPEGSWHIASNCLPSLERLQITFPEGSSVIAAMHFSNRKGFGRFVSLSEVIIENCPTPLSTMVSGRASKVPPPSLVKLIVTDIEDSCLQFSQLSCLVELQVLRCSSLTSVNLDSCTALQDLKIKGCTLLSSIEGLQSCKTLRHLSIHGSEALQSLRASLSTLTTVSIEKNPNLACLDLHTCTALQKLRIEGCATMASFEGIKSLVGLKELRVQNSPGFIRSWISAAAEVESEDSYFMGTLQVLDIDDIDVLCMPICCQLTSLKTLTIDGARMVGVSTDDHEKALLLLTSLRHLELNNFKHLRSLPAEFQSLASLERFTLVNCERITSLPVGGLPASLKDMELKGCSEELNASCIENYQVRRLHLWVDGTAYE >SECCE6Rv1G0399170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:431930060:431931538:1 gene:SECCE6Rv1G0399170 transcript:SECCE6Rv1G0399170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSQPSSWLAAVAANAAARPAILHRAHAALITSGHLSSCTSVNSLLRAARIPAACALLLRFLLLHRLPPDHLSLSFSLHSCTRSPSLPVASLLHSVAVRLGHARDVYVLNAAVSAYFRATDVASAERLFSYTKDVADVVTWTTMVTGHANTGDIARARWFFDAMPERNVVSWNAMLGAYASAGMLSKARKVFDIMPNRNAASWSSMVTGLVQSNQCEEALRVFSEMIGMGVVPNEAALVSAVSACSLLRSIEHGMWVHAYAKRELNGMSIILATAIVDMYGKCGGIHNAVRVFAAMPVKNIYSWNSMITGLAMNGREMQALSLFWKMQMAGVRPNDITFIGLLGACSHSGLVDEGRWLFNRMVNDFGIQPLQEHYGLMVDLLGRSGHVREAVDFVNSMPVEPHPGLWGALAGACKIHGEVELGEEIAKKLIELEPRHGSRYILLSNIYGASNRWDDMATVRRLLKERKVPKGTGNAMVGNDVQSIKSMLG >SECCEUnv1G0531380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17307071:17308120:-1 gene:SECCEUnv1G0531380 transcript:SECCEUnv1G0531380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSEVIGDDVATTTPAVCRRVDRWDDWDQGVDSSNSAMGRRFKQLDMIGAGGFGVVYRARDRHTGEIVAIKYLRTNKYGHDDSGDRYLSAFAGEVSALEKCSGHPSIVQLRASGQHDGEAFIAMEFVGPTLRHVMKRVRFGRRHTEMEVCLMMRQLLAGVMRMNRLGLMHRDLKPGNVLVDDRRNLKICDLGLSCSMADGPPYSNTIGTRGYRAPELLLGSTNYDERIDSWALGVMMAELLAGHHHFYGKTDMDHLSEILDLLGTADIKEWTGYDGRRLPGGWALQSSLRSMFPCPAEARRRRRPQLSEAGFEVLSGLLRCNPAKRLTARAALRHRWFKETNFKASKS >SECCE7Rv1G0506800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:753881328:753884668:1 gene:SECCE7Rv1G0506800 transcript:SECCE7Rv1G0506800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPVSAATGGTGSVLGKLDALLAEEHEVSNGDVESLKAELQAVHASLKAISQAEDPGERGRRWMKEAREFSYDVEDALDEFMLPPRDGSGDNNPDGFSEKISTWLREAAARRRTGQEISDSISRAGGVEAEDPRRDCSLYKETDEPVGLDRPAAELVKMLNGGARQLEVVSIVGCGGLGKTTLARRVYNTLGEQFEYRAFVSVSRKPRVVTILRDILSQLGYEYDQILTEDAQSLVDSISNFLEDKRYFIIVDDVWDVQTWDIIKGAFPKSNFGSRIITTTRIHEVAKSCSASCGGRVYTLSPLSTVDSERLFFKMVFNSGEQCPSHLKRVSDKILQKCGGLPLAIIAISDLLIAKNHEDQWEQVYSSIRHGLGSNPAVERTMRILLLGYFDLTPCLKSCLLYLSIFPEGYAIKKERLVLRWIVEGFIPEEHGHTLYESGERCFNELINRNLIQPGEINKFGEVESCRVHGIILDFLVSMSNQDSFVTSFGAPGVNPEPQNKVRRLSLQGDSEIPADLILCNARSLSVFGGCVKMPSLLKFRHLRILDLEGSGEAEDQHLAEIGGLLHLKYLRLRSKIEKLPQQIAELQHLETLEICESNATTEIPSAINQLTRLVRLVVNDDTILPDEIGGLKALQVLRGINVNTQSTNFVRQLRLLPNLMELSISFINYYAGDNWKENQEEMVSSVCQLGRENLHVLHITINEGADEIFEESWCPAPLSLRELVVEVGIVSSVPRWIGSLVNLQKLAVPMWEVGEENLVILGSLPDLRHLSLTALAAGSKEGRLRVIQSHGFPSLINFQIGGEECALGLIFEAGSMPKLQHLELEFDAEETSSVTNGGFDFGIKHLSCLTSTSVRSAYDESIRPTLEAAMERCIKDHPNHPTLIWMK >SECCE7Rv1G0491280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:521406101:521414007:1 gene:SECCE7Rv1G0491280 transcript:SECCE7Rv1G0491280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERKAGEQAAEAAARAAEQARELQDAAATLLSRTWAEEEALRRRAAALREDLARLRKAAANAQTEKVHEDLDRASCLISDGDIATILPSKAHGTFLKLLLGPVNLRARKEVQLKVKEEYNSYRDRTAIVFLGFPMILLFLRSWLWNGCFPALPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWVCHHYCAMLMALVSLTWGIKGQPDCARKQRGVELFLCWAVMQGFAMMLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVEGQLLLLCPVLFLLQVFEGYVGFLLLRTAHRGIIPEWQVVVCGILLIAMAIGNFANTVDTLMVKSRFKAKMKKSKSKRDLAACTSPTRSSLTNSAAGA >SECCEUnv1G0537220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67881719:67884003:1 gene:SECCEUnv1G0537220 transcript:SECCEUnv1G0537220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWALLFLLAAHLAAGEPTSTATITATPAKLTKPHHAVTLQWSNLPDPSPLDYVAVYSPPSSGDLHYLGFLFLNSSASWATGAGSLTLPRLPDLRAPYQFRLFRGPPGVDQDVDPLPDASRRAAVSGNVAHEGSGARPAQLHLAFTDGVDEMRVLFVCGDGGRRSVRYGPAGRREEEWEEVPAEASTYEQRHMCGYPANHSVGWRHPGFVFDGVMKGLQPGSRYFYKVGNDLGGWSETYSFISRDTEANETIAFLFGDLGTYVPYNTYFRTPQESLSTVKWILRDLQALNNKPAVISHIGDISYAKGYAWLWDHFFEQIEPIAANTPYHVCIGNHEYDWPSQPWKPSWAANIYGGNDAGGECGVPYSIKFKMPGNSSLPTSTIAPDTRNLYYSYDAGVVHFVYMSTETDFTHGSDQYNYIKADLERLNRSRTPFVVFQGHRPMYTSSNESKDTAHREQMIQHLEPLFVKHGVTLALWGHVHRYERFCPMKNYQCLNTSSSFVYPGAPVHVVIGMAGQDYQASWEPRPDHPEVPIFPQPQRSMYRGAEFGYAKLVATREKLTLTYIGNHDGQVHDMVEILSPQVDTDSAPDKLVGVAPKKMRYLGIAGSVMLAMLLGFMAGFAVRRKTDPARWSPVQDEES >SECCEUnv1G0539350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75607500:75609985:-1 gene:SECCEUnv1G0539350 transcript:SECCEUnv1G0539350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVCGKRSSSIFADDLTSSSSPPSPRHSPAPKRSRYAHHHHHHRRDALLNHLRAAFPAMDPQLLERALEASGDDLDDAIKSLKELHLMESNQANLPATGSTFENGPTAVQPSVEGIVSSGGVDTATENQPAAGSHQPSNSGPEWVDLFVREMSNASDMDDARARASRALEALTKSILEGAGAEAAQSLHQENMVLKEQMTAVLSQNAVLKRAVAIQHERQKEFDERSHEVQGLKQLVLQYQEQLRTLEINNYALQMHLKQAQQSSSMPGRYNPDVF >SECCE7Rv1G0510190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:787636796:787639282:1 gene:SECCE7Rv1G0510190 transcript:SECCE7Rv1G0510190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAFHSLRPSLIKRRHKHKPTRRGGKRPKKTSESTNLAAAGGDASFDLKSSASASTASGCGVGGSLVQPLGNLLLAAAGRANLRDASLGGLRQLPDDLLLDVLGLLPARDLAALSAASKALYVISSHDPLWRAIVLDELGGDFAFAGSWRATYIAAVSGHAHRPPRALEISGFYSDYLFQSWLCANMEMRAEWLERDTIDRRRGLSVEKFIAEHEEPNWPVLLEGCLESWPALQKWTREYLLEVSAGKEFAVGPVSMPLDRYFQYSDNAEEERPLYLFDAKFADKVPEMGSDYEVPVYFQEDLFKVLGEERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAVIKGAKKWVMFPPEVPPPGVHPSSDGAEVTSPVSIMEWFMNFYGACRTWEKRPIECVCRAGEIVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELVSGTKDRVNLHDKFRNAIDKAYPGTISQLEVEAQQKAAARKKKVAFWDSAVDANTGGFKFSF >SECCE7Rv1G0475880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:194457977:194460997:1 gene:SECCE7Rv1G0475880 transcript:SECCE7Rv1G0475880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSERPPHSRATRAQNPSCEEEEAAAAAGLVKDEIADDLNADPAEDEDEEVVVEEGEDEVLVASDDDDDGAADGAGGDDDFVPSTLEEALVPRVGTVFDSVDEAFSLYKAYAFRMGFHAVRRTCHNYEGIRYRSTFSCTQAGKSREGAAAPSEVSGARYPLRSKRGSATPEKRARRTTADKTGCKAMLIIRDKRVNDRWKVEFVDLEHNHPCTPDMVRFLKAYREMPESAKKKAKITVEMDEMVEKSLSEIAETRKFPTRPKRSVSGGAAVGGLRFNRTDSFVQRFGEEDLIAVKKFIETMQEKKPNFIHSWDLDQESRMKNFFWTDLRSQAQYRYFGDVISLDVMYLQHSRASLPLATLLGVNNHGHLVLLGCGLLSKDSKENYVWLLRRWLSCMNGKPPEAITTCYSDVVAEAVSEVLPDARHRFCFWHILKKLQENVGRTHEKEAISLRFKEVVYDTVTLTDFEKEWEAMVEHYKLKDNEWFSALYSCRKQWAPGYVNHSFWAGTSAIRKVEKPDPYFDGVVTSKTTLPAFLEQYETTLRGKLERETYDDLRSYYSRLTLLSGLPFEEQLVELYTVPMFQAFQDEIKQLMHVICKEVDRSGNSITYMVSELIQGKKVDYTVVYNNSDKDVWCICRSFPSRGILCSHALSVLKQENVLMLPSKYILSRWRKDFRIIHASACSNSVALQRDLSIFDDLYMRGHEYLEDVVDIGAREPELKEFVLAAMKEAKDKLVRPGQTQQADQRVDVNMAVTGQVSADRRVDVNMTSNTTSIIHRDRRVEANMPLNTRALVHEDNMASNTTSLIHEDRRADMEMQTPHLIHREGRVDMNMTAPHLMQRERRVDVNMTSPHLIHGDRRVDMNLGSPHFIHSDRRVDMNLTSPHLIHGHRRVDMNMASPHLIHGDSRVDMNMTSPHMIHGDTRVDMNMVSTSQDDGMHTFDLVNVNLESSLPMAATDFMQMHPHPPVYHPKQLLNMRDQVMDSNKRSNVETNTYFIGGGMHVG >SECCE2Rv1G0127740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:855694297:855696067:-1 gene:SECCE2Rv1G0127740 transcript:SECCE2Rv1G0127740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKEWWQKAVVVPVRRAWVVVAARLRRKKHHDGRGGALVKLHDDVQTCAYEDVQVMWEMLQRSETGKMMAGAPPPKGSALVWLGPRRRGHSIDLRPRC >SECCE4Rv1G0229500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:150118867:150119826:1 gene:SECCE4Rv1G0229500 transcript:SECCE4Rv1G0229500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAMASRRAVLVFAVAVAAASASVAADDPDYSYVPGTKLGPENWAKLSPKYSACNGGAAVRKQSPIDIVTKTAAPKPDLEPLNRTYVATDATLVNNGKEISMTFNGKPGSVSIGGKAFSLKKLQWKTPSEHTINGKRHPLEVQLVHESDAGSGELAIIAILYKVGAPDSFYFQLKRKLAELAADRCSYGEQDARVAAGLVHLRSLEKRTGSYFRYTGSLTAPPCAENVYWNVLGKVRQMTKEQLDLLTAPLPAAAKQNARPVQPLNGRVVNFYNPPNSTISFDM >SECCE1Rv1G0046570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:618679205:618679715:-1 gene:SECCE1Rv1G0046570 transcript:SECCE1Rv1G0046570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQVAAHRAFLLCNYLLLGAASGCIFLTLSLRLVPSPCGLLLVFLHALTAVFAAAGCSGSFTTQAAGAGAQHTGHTAGALRSYVRGDDGAVILRLVGGLGAAIFVLEWASLALAFALRLSDGSGEELTDGDEYTKSWPSGYHV >SECCE6Rv1G0398990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:430365643:430369634:1 gene:SECCE6Rv1G0398990 transcript:SECCE6Rv1G0398990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSISPATASAQFSYPATAATAAAAPSYFPVPFHLQTSQYPTWPTVPPVQPAPASNAVYPMPQVQQAQQLFQRDSQIISPEALATVKAAIEDNDKDKKVEANKKAVPRKAAGQCWEDPTLADWPENDFRLFCGDLGNEVNDDVLTKTFSKYPSFNMAKVIRDKSTGKTKGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKNRIDYEALLKPKTRPQKKLKVQPRSVLHK >SECCE3Rv1G0156960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:77962023:77966688:-1 gene:SECCE3Rv1G0156960 transcript:SECCE3Rv1G0156960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPAASAMDWSIDLDKGLRSRHLGTRLKALEAAAPRIRDLAASPAVPAAVASAFGVLPAEPRVFAETMLLRLATEFRAASDDSVRARIVRTLLPAEGAAWGCAEPDQILRKVAAAHGAAGTPRARELALRMFGCLADLAKDSVHIRSLVLSGLRSSNAAEVKAALFAAGCFCKLSEDFSYITLQVLAGLVISPTSEAQVIIAAIKTLSKVDCSLAVIRRVHEVGKQMVLGDLEDVFKAEILFALSRLASKSIVLFGDQVPLLLSFLGHECSLPMRTMVLKCLCFMFRRNTFYLPGASIVFGTLLQLIDDEDFPLDCKSYAFRILQKIVCVKDPSIRHINDSELSKLALAAKRFLHCSSWEMQYNALEILLDIFFCLLKQTKPHQTISTLESSSFSYAGYPGNSNNMLSTHEETSEDERSLNKILTAIVDNIISLANQLANCRSKEVATGHMYMSSCELEKYRALFRLLLKLVSGYPSAASAALDKVRCLMKELAQVNASHYSGVAASCVESSVEPAAGSIKASCMETDTVMAKLASTEFCSKEKSSVVHDLILCTLKFVNVCHDMCHKTSASSCDLHHSVKGLTECVQQNASQYCSTYDFFRLIMCAHISWSTCKIRDGNKGSGDSNEHPKIFFSPAWIAHELCALRMAKMLTKKQSYWEAYRSAMYCCHEGLWFTASFVFRKVSVGFESGTFGFWFKSLLLFAAGELEMKLLLFPSAISKLVGELNTEVDLHEDLCCVETDVDSTLAGSLELHGCQEKITAICERICLANDVLSSNASSDREFFFQRWFISLRVSFLKILTDVLGILNAHSSAPKDISHHKSSSVAIENNQVLLALTNCSSRLSDLAKSYDLLAASHGDMDHESFTTIARLAFMCSILSFCTAFSVDFSNVPGSSEPCRLPERFSHKSILQDLHQRVDRNDSQVVSQLRQFIYVSSYELDSVHLRTRMNMSGILEKDSYSLFKFAVASLLRARADAKGVTTGEDALHHLHRGMQLLSSILQRFMELPFVLPRYFFSVRPCLGAELFMFDSNPANKDRISVQPGFQLSLTLCLQWKRVLERTPIRIAKLYCVLATSPSSPLHIAGTRSKQFEMRRTTSEMVVLHSKLLQHIKSDMRKTRHKENAHTELVTAFACFKPADSGQGFSDCLLDVSSFPRGKHQIAWQACCVDENGRCFSLLPLNDGVVFSIQ >SECCE6Rv1G0380090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:19441345:19442673:1 gene:SECCE6Rv1G0380090 transcript:SECCE6Rv1G0380090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVARVAERRTQPSLTDLRDELLEEIFLRLPTPAELARACTTRASFRRIITERSFLRRYRKRHPPPLLGFVGDEGGFHPAQAPHPSAPLARALADAADFTYSFVPKHGEGHLWVPCDVRDGRVLLEDGQFWESFGNLAVCDPLFRRYALLPPIPENLALQEKGDVPTKPLLMPMADNEDDTLFKVICLADYNTKLVAFLFSSVTGEWCIAATISWSSLGSQPQTWQNFRSYEYRGVSCFDCARGSYYSTSTFNDRLLVLDTRKMEFCTVDDRTGYHINLRCLPGQHENILDIYVPSRCRPGQSRSLPRIVVGREGALEMFSLVGDRTPNGSFDLYHTTQQNNSKEWQLENIIPLPRKYDYFTVGAAEGFLFLGATTEDQLDIVEGSPMSLSTTDWNVDYFALDAKTSELTKVCRRRRQFFHQEDVRCYFGFPLSLSKPSI >SECCE4Rv1G0220450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:39401437:39402456:-1 gene:SECCE4Rv1G0220450 transcript:SECCE4Rv1G0220450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPQAIQSPKAAVDSLVAILGRALPDSLAAADDPAAALLHDDGVARAVTGRLRGAGSGAGHDALCGWLYGAFQSGLPALQLAVLRFVPTLAGVYMSRAVSRKPLAGFEAVLLTLYAHAVAQRGAGEAETVTLPNLAKPSVYHEAIKPAAAAPAAKTGKADDPDVAELSPALEPHGTMRATRRGRIVGAVLELYHAKLALMPLSSKMDFCEFCIAWTGKHRSDDKARIASAESGEEKWRRVPMPWELFQPALRIVGHCLLGPTNSDELRTQAARASQCLYLRAMETMDARAVLACRSLVRLSQMVEEPIPEPSFSGAVEANMAELEAMRANILSGKN >SECCE4Rv1G0239320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:399904082:399910522:-1 gene:SECCE4Rv1G0239320 transcript:SECCE4Rv1G0239320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGQRIKTRKRNIAAPLDPSSFSDAIVQIYLDNAGDLELVAKSIESSDLNFSRYGDTFFEVVFIGVRTQPGTIKPEEEGERHPYSLIDCAAQREAILPYVLFIQKTLRRRPFLIKSLENVMRKFLQSLEFFEENERQKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFITEFFKEYLKENSLDDLIALLKKGKMEDNLLEFFPSGKRTSEALSEHFTKEGLTSLVEYNSKKMFEVKLKEIKSTLTTMINEEAEISEVTEVVKQQVKDAKFPDIEVVRMLWDVLMEAVQWSGKNQQQNSNSALRQVNAWAGLMNAFCTSGKLELELIYKVQTQCYEDAKLMKLFPEIIRSLYDQDVLAEDTILLWFRKGSNQKGRQSFVKALEPFVKWLEEAEEEE >SECCE6Rv1G0436180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:776658060:776660954:-1 gene:SECCE6Rv1G0436180 transcript:SECCE6Rv1G0436180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKKRRHRRRSPRQGPSRRRRGEEGASLSGSLVESDSSSTTSNAPPSGSFDEPAGRTSSAPPSGSFDEPPVARPARQSRYHDILASRLAQLQLEAGVAVDSPNLPSDEIVQVLVRSNFYDDELRVALVQYQVHKFLSEPHGPDVGHTYFGEDSGDDITKEEFVEYSAQLKTRRPAEIDTETGVDQEQLDSLLVKYRRYRFKAYLLLLGKPVDELEEAALESKYPMELALENEFFYPCHHDSAFGWYFDSDLCLLANLSDYQRLVLPNCGGNEYEYDKWSQYKAFYNTPDADREYVLYWEKMVKEMKWLENHVLKDFLEWEPMRRKGLYQSIKIANGFTNIHLGLACHGFEEYVWRTQLYRLFVEGLDRAFLEIWKRVNEGQRSFRDALQEVYNENPVPLRQHTLKAELEWPGGFLQLERQFCRCTEGISKELPDERVQELIAQEINYKRALPKTYAQYVRKKLQVAELLGIIPRAEIPA >SECCE5Rv1G0367590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:822615159:822621923:-1 gene:SECCE5Rv1G0367590 transcript:SECCE5Rv1G0367590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEGGAGGEPAGAAPAALATLHIRGTSGNKFAVRADLAATVGEFKALVAESCDVPAPQQRLIYKGRILKDDQTLASYGVEADHTIHMVRGAAPPATSAAPAAANLGTSTTTPANTPPAGLGGLFQGLGSTGTAGSGAFGLSGSGLPGLEQMQQQLTENPNLMREVLNTPAMQNIMNSPDLIRDLIMNNPQMRELVDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFMNATTMAGEGDTNPNPFAALLGDQGSNQTRDPTGDAPTTAPAPNTNPLPNPWGANAGAAQGAARPSAAARSATSGGLGGLGSADLGSMLGGGSDASLLNQVIQNPAMMQMMQNIMSNPQTMNQLLNMNPNVRNMMESNTQMREMFQNPEFLRQLTSPETLQQLASFQQALTSQLGQQAAGQERTQAGTTPGNVNLNSLMSMFSGLGAGGGLGGVPNVPTVPPEERYATQLAQLQEMGFFDPQENIRALLATNGNVHAAVERLLGNFGQ >SECCE5Rv1G0335970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:581078275:581078778:1 gene:SECCE5Rv1G0335970 transcript:SECCE5Rv1G0335970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIMKKCYHFEWIDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPAAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE6Rv1G0397840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:403899087:403903250:1 gene:SECCE6Rv1G0397840 transcript:SECCE6Rv1G0397840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKLTRSFSRQLSTGAARVWRQLSLEPHTPRRGAAAATGAVAAAGPTRFGLARQSSLDPTPAPDDGAVLAMPENLDATMRLLYAACQGDAASVEELLREGVDVDSIDFDGRTALHIAACEGRGEVVRLLLGWKANINARDRWGSTPAADAKHYGHFEVYNLLRARGAKLPKTKKTPMTVSNPKEVPEYELNPLELEFRRGEEVTKGYYVAKWYGSKVFVKILDKDSFSDGDSIDAFKHELTLLEKARHPNLVQFVGAVTQNVPLMIVSEYHQKGDLASYLETKGRVQSYKAIRFALDIARGLNYLHECKPEPIIHGDLSPKNIVRDDEGKLKVAGFGSLDLTKVSQDKLQMAQPVSNFDSVYIAPEMYRNEAFDRSVDTFAFGLILYEMIEGCPAFHPKPQEEAAKMICLEGLRPLFKNKPKSYPEGVKELIQECWDPTPSVRPTFSDIIVRLNKISASCSKQTRWRDNFKLPWKQAVHK >SECCE5Rv1G0326340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:477559724:477567924:-1 gene:SECCE5Rv1G0326340 transcript:SECCE5Rv1G0326340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGHVRRAAGSPVVAALVLLQAAALALSLRCDAAAATTDAEPDYREALSKSLLYFEAQRSGRLPYNQRVRWRGHSGITDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIEFGDDIAAAGEWQHTLEAIKWGTDYFIKAHTEPFVYWAEVGDGNTDHYCWQRPEDMTTSRKAYRVDKDNPGSDLVAETAAALAAASIVFRASNPHYSHILLHHAQQLFEFGDRYRGNYDASIAEVRGFYASVSGYRDELLWAAFWLHRATGKEEFLRYAVDKADCFGGVGWAMTEFSWDVKYAGLQVLASKLLLDGDPQSKQHRVVLEQYRAKAEHYLCACLRLNNGSNVDRSPGGMLYVRQWNNLQYASSAAFLLTVYSRYLAGAGARLRCPDAPAVPPSELLALARSQADYILGRNPLRLSYMVGYGPRFPAQVHHRGASIVSHKANNHFIGCMQGFDHWYTHKRPNPNVLTGAIVGGPNCRDEFRDDRANYVQTEACTYNTAPMVAVFARLHNLSTTAAEEGCRPGTALGLSAKCR >SECCE3Rv1G0168520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:222970503:222972102:1 gene:SECCE3Rv1G0168520 transcript:SECCE3Rv1G0168520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPAPPAWMAAAARKWLEDAGAIGEDGAGAGDGRRAFNALPLFGVRVSLAERGRAVCSLRVPAHLTDADGNWHAGAIAAAVDDMCAAAIMSVEGIIKVSVHYDISYFAPAKHHEEVEMDGRVVDRKGRMTAVTTEVRKKESGELVAIGRQWMTTSRPKGYQGSKL >SECCE1Rv1G0043490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:593179031:593181695:1 gene:SECCE1Rv1G0043490 transcript:SECCE1Rv1G0043490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRAWAPLPGPALSLLSVLFLLVGRRGAAGGGGGGRGSSVYPAPVVYPHHSRQISWHPRVFLYPHFLTDDEANHLVSLARAELKRSAVADETSGKSQLSEVRTSSGTFISKGQDPIVAGIEDKIAAWTFLPKENGEDMQVLRYKRGEKYEPHYDFFTDSVNTIRGGHRVATVLLYLTDVAEGGETVFPLAKARKGSHHKDLSECAQKGIAVKPRKGDALLFFNLRPDAETDTLSLHGGCEVIKGEIWSATKWIRVASFDKVYHMPGNCTDSSNSCSQWAALGECTKNPAYMVGTAALPGHCRRSCNVC >SECCE7Rv1G0513770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:825962171:825963376:-1 gene:SECCE7Rv1G0513770 transcript:SECCE7Rv1G0513770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRCTMGAVLPRQRSSDGQKSLAPDDFRDVFGGPPRTVLLSSFCGDAAAADYHAAAAGHGGQYPHYSYGDALCRRDGRGRPASAAVPTEEGFFDDIFGARARQVRSRSRSKSTKSSSVISSDEFGSGRSAFRSAAGGGGRGDAALSSFASKLRPIAIPSRRYDSSPPSSVSTRAEYQSSFTCSTAAYPACRYYYGNGGDWTNHSGSSVASSAISNNGAAPESSSSRHHRGGSSGFCCFTSNPETSSREPSFRRTQRRGRARSPAPDYAAADTSTECSGAADDYGYYYSPSSAASSSLFGNPPRPRPHRLEEAVMQEAMMMEVRERAPLLMDDDGDIDSVGAAAVDEAIAWAKERFWSQA >SECCE6Rv1G0433490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:759766222:759767425:1 gene:SECCE6Rv1G0433490 transcript:SECCE6Rv1G0433490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENHQAIKMPTTSDKELLQAHAELWNLTFSYLKSMALECAIKLGIPTAIHSCGGTASLRDLLATLPIPESKKSYLPRLMRFLVASGIFIVDVPATGECANVGATGTYRLTPLSRLLVDGDDTDAHQCTSLAPFVLSQTNKYHVTAAMHFSEWFMTDEGSASAEMPYRMANGTDPWAIMARDPKLNQVFNAGMAADTQFAMNFIVSNCGDVFEGVTSIVDVAGGTGTAARAIAKAFPHIKCSVLDLPNVINSISSDGTVKYIVGDMMSSIPRTDAVFVKYVLHDWNDEDCVKILTQCKKAIPKPGGKVIIVDMVVGSPSKSMLEAQVLFDLLMMVMTSGKEREEHEWGKIFMDAGFSHYKTRPIMGCMAITELYP >SECCE7Rv1G0514830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:837084138:837089094:-1 gene:SECCE7Rv1G0514830 transcript:SECCE7Rv1G0514830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVAALRKKEGAVVVPAPQPSKGVASWAVDLLERLAVRLGHDKAKPLHWLSGNFAPVRDETPPAAGLPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFFGLFMVQMQALRKKLKILDVTYGFGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGVMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGDFIYKFDPTKKARFGILQRYEKDEKTIRWFELPNCFIFHNANAWEEGDEVVLITCRLENPDLDKVNGTQNDKLENFGNELYEMRFNMKTGAASQKHLSVAAVDFPRINESYTGRKQQYVYCTILDSIAKVTGIIKFDLHAEPESGKKQLEVGGNVMGIYDLGPGRFGSEAVFVPKEPGVSGEEDDGYLIFFVHDENTGKSEVNVIDAKTMSPDPVAVVELPNRVPYGFHAFFVNEEQLVHQQAEV >SECCE3Rv1G0163410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:147813029:147814446:-1 gene:SECCE3Rv1G0163410 transcript:SECCE3Rv1G0163410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRVLLLVGVVLTAHKFLCTAYVGGDGFSVEFIHRDSVKSPYGDPSLTAPARVLEAARRSTSRAAALSRSYARAAAPSAGGAPVSELTSRPFEYLMVVNVGTPPTRMLAIADTGSDLIWLNCSNGAGATGLAFNSANSTTFGLVSCGSGACRALPEATCADSKCRYLYSYGDGSQSTSGLLSTETFTFADDQGTRGDRAMRVANVNFGCSTTMIGSFTGDGLVGLGGGDLSLVNQLGADTSLGRRFSYCLVPYSVNASSVLNFGPRAAVTEPGAATTPLIPSELKSYYTVDLRSVKIGNKTFAATQQSPVVVDSGSTLTFLPNEFVDPLVKELTRRIKLPRAQSPEELLPLCFDVSGVRDGQVEALIPDVTLELGGGATVTLKSENTFLVVHEGTLCLAVVALQFPPVSIIGNIAQQNMHVGYDLDKGTVTFAPADCARFSGSVYTSDQHY >SECCE3Rv1G0159260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:94897731:94899377:1 gene:SECCE3Rv1G0159260 transcript:SECCE3Rv1G0159260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTTAYYSLLLPLFLVVVPVLYLVGVFRRSVGQQRFSPGPWALPVIGHLHHLAGSSVPPHHAMRDLARRHGPLMLLKFCQLPVLVASSPDAAREIMKTHDVAFASRPLSPTMQLFLRGSEGLVFAPYGDGWRQLRKICTLELLSNRRVHSFRRVREEVLGRLLRSVASSSSAAAPLNLTRGLASFVADSSVQAMMGRLRSDDRDTLFTLLREGFKIVPGMTLPDLFPSSRLAMLLSRVPARIDHRNKTSAACADGREEEHAEDLLDVLLRLQDDMDSKYPLTTDNIKLVIIDMISASSETTSTTLVWAMAELLRKPAAMRRAQDEVRRQLDGHCRVTEDDLADLHYLRLVIKETLRLHPPVMLIRECGGPRQQVLGFDVPQGAMVLVNAWAIGRDPAHWDSAEEFVPERFESCGTPDFKGVDFEFLPFGAGRRICPGVSFGLVHLELALAALLFHFDWKLPDGMVPEELDMTEEAGLTTRRRAELVAFAVPHVRVPTE >SECCE4Rv1G0286070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:847835453:847835758:-1 gene:SECCE4Rv1G0286070 transcript:SECCE4Rv1G0286070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRRKQTAEAYVLAAGDAGGRGKKVPKGYLPLALVGEEGDADERVLVRIGALKEPCMAALLEMAVQQFGYGQPGVLRIPCDGRQFHQMVSAMCSKSKAT >SECCE5Rv1G0351950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:705503416:705504522:-1 gene:SECCE5Rv1G0351950 transcript:SECCE5Rv1G0351950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSHVGGDGLPSGSASSIISGVVSGYHLLKIVGYSGTKEVPSGEWIDSCSFRVGGCTWNVRYYPNGLRSQFSDCIGMCLCLNDKVAGEAETVKAKFMFSLLDQHGKPVPSYTSTTDTKEFLVHKGNGWGRFMRRVKLEESGYLKDDSFTVKVDVTIMGEFHAQEMPSILVPPSDLHRHLGVLLSSKACVDVEFQVGGETFSAHRLVLAARSPVFRAEFFGQMKEGTTTEAIRIDDMEGPVFSALLTFLYTDALPDMKQEEEYAMAQHLLVAADKYDLERLKLICEDKLCNRIDTSSAATILALAEQHQCHELKAACLVFLSSPTNLDAAMESEGFEFLTKSCPGVIKDLLMSHVAPGLRGRIKSKA >SECCEUnv1G0570490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:472847299:472848017:-1 gene:SECCEUnv1G0570490 transcript:SECCEUnv1G0570490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPHVSAVTALLKSVHPNWSPSMIKSAIVTTASMTDRFGMPIQANGVPRKLADPFDFGGGHMDPDRAVDPGLVYDLDAREYNKFLNCTLGLSDDCKSYNLNLNLPSITVPDLKDHVILRRTVTNVGPAEATYHLVVEAPAGIDVSVEPSVISFSQGSSRSATFMVTFTTRQRVQGGYTFGSLTWSDGSTHSVRIPVAVRTVIQDFVADTS >SECCE2Rv1G0067680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19630620:19632182:1 gene:SECCE2Rv1G0067680 transcript:SECCE2Rv1G0067680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTQLLSEDVLADVLRWVAPRDLAACRCACKALRALIDARRLLRADLLPLSVGGIFINFHDGLSELFARPSTGPMISGRFDYLPRPDGYPHHRPDCRTIKDHCNGLLLLGDHGEDLFVVNPAIRRWESLPPRLPMSPDFACQEYLVFDPMASSHYEVFVILIPRYKAKPGDFGYNSTKHRVDPAMEESEWPPSVCPVHVFSSRSGRWDERPFVRQGKAAGTVADMRRHCDGSLDRRHAVYYRDALYVHCKTDFVMRISLSNDKYQVILPPMDNDNEPNNYWRNLHLGQSEKGVYLASIHESRLQVWVLEESCGDTSWALTHNKSLTPILDYDRPVLGPWVLQDINFNEYLKEYEGHNERANNLEYLMEKKLELNSGKKELVEEKFDWDSENDNVLHKEDEVDDCGNGCLSILGFHPYKEIVFLDVSMYRGLAYHLKDSKVQDLGYLYPTTCHLALPNECFITESFPYTPCWIGHQ >SECCE4Rv1G0236280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:347186668:347207154:1 gene:SECCE4Rv1G0236280 transcript:SECCE4Rv1G0236280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-phosphate phosphatase [Source:Projected from Arabidopsis thaliana (AT3G02870) UniProtKB/Swiss-Prot;Acc:Q9M8S8] MEAGDSEAIGEEQFLVAAVDAAKSAGEIIRTSFYLTKNVEHKGQVDLVTETDKACEDLIFNHLRMLYPEHKFIGEETSAALGYTDDLTYDPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKIPTVGVVYNPIMNELFTAVRGKGAFLNGSPIKTSPQNELVKALMVTEVGTKRDKSTLDDTTNRINKLLFKIRSIRMCGSLALNMCGVACGRLDLCYEIGFGGPWDVAAGALILKEAGGFVFDPSGDEFDLMAQRMAASNGHLKDQFIKALGDAS >SECCE7Rv1G0461570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:38608843:38609894:-1 gene:SECCE7Rv1G0461570 transcript:SECCE7Rv1G0461570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNVVWQPQVVDEMLRYYKEKIQSEGKQFVFKETHHEECAKQINAKFTTTFTQRQVYHKFHKLKGQWKIILEAKNLSGANFDDVNKIILYDETEVVRMKNNKDKRAKYINVPIANFDEMEFIFQDKHATGEFTVLQTPYDRVHARDKDFIGDTEKSAIDIEVDPATQYDSDCLPDDTNNESSSSKRPRGGKRDKGKRVKCEESVVQDMTRSLRDMSDTMRFTHVTNPNENLFKIIDDMEEYPLFVRLALQTSLATNEQVASMLKGRPMAAIQEFVRRWVGDNFPEHHRILGK >SECCE5Rv1G0328280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:501161105:501162058:-1 gene:SECCE5Rv1G0328280 transcript:SECCE5Rv1G0328280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAIRKRPAAGQEPHVHGGKKPRYAFGSISDYKKLEVLGEGTYGEVFKARDRRTGKKVAVKWVRGNGAGGHGPPDIRAITREAGCLGACRGHETIIEIFDVATDAETGDVFLVMELVADGRTLRESLWRPVSEEATRVMMEQLLDAAKKIHGAGVIHRDFKPENVMVGFFGGLKVGDFGSAMRAKPAGVPYEECCVGTLIYTSPEQLEGNRYYGQAVDMWALGCIMAEMLGGGTLFVAETEEELLAEMYKLREQISSTGKLDLEFFEELSEAGREVLTGLLAFNPDKRITAAEALEYRWFNKPKAAEHPGFVSLKS >SECCE4Rv1G0270090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:752557967:752562490:-1 gene:SECCE4Rv1G0270090 transcript:SECCE4Rv1G0270090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASKPLLSPRPLTAMAIRPPPPPHAPTHYAPPHRRTSPFRSVARAAPSSSTAATAVSLPPSPKKVLVPIAMGTEEMEAVILAGVLRRAGADVTLASVEDGLEIEASYGTRIVADKPIAACADQVFDLVAVPGGMPGSVRLRESEILQRIMVRQAEEKRLYGAICAAPAVVLMPWGLHKGRKVTCHPSFIGDLPTFRAVESNVQVSGELTTSRGPGTAFQFALSLVEQLFGPHAVEDVDSTLIEAALERSTEVNRVEWPFDHKPQVLIPIANGSEEMEITMLVDILRRANINVVLASVDESTIIVGSQRMKIVADKYILGASDSKYDLIIIPGGPAGAERLHRSTTLKKLLKEQKQAGRMYGGISYSPLILQKQGLLEDKTVTAHPSIVNQLTCQVIDGSKVVIDGNLITGKGLGTVMDFSLAIVRKFFGHGRAKGVANGMVFDYPKSRNA >SECCE1Rv1G0024240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:321601921:321611370:-1 gene:SECCE1Rv1G0024240 transcript:SECCE1Rv1G0024240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHLFHCRKNSWPPEEYVGRTALQLLDLDGGSPPEQAWRRRLNSHANILKEFSVTFMEAMKMMTLGVRLWSYVREEASHGRKAPIDPFTRERCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCENSPVMENQFSIFVSRDSGNKRYSSVLAPGHHDGLKKCSDSGISSWDWNLSGQHSTYHALFPRAWTIYDGEPDPDLKISCRQISPFIPHDYKDSSLPASVFVYTLVNTGRDRAKVSLLMTWANSIGGFSHHSGGHFNEPFIGNDGVSGVLLHHKTAKDNPPVTFSIAACETQNVSVTVLPVFGLSGENHVSAKEMWDTMSKDGHFSRENFNAGCSMPSSSGETLCAAVSASTWVEPHGRCTVAFALAWSSPKVKFQKGCTYNRRYTEFYGTSERSSSINLVHDALTKYRLWEEEIEKWQDPILKDEKLPEWYKFTLFNELYFLVAGGTVWTDGQPPAFSETSPAYQHKHSKKGTKSESVKDNHVKPAAEQVSDGDDLPNGDERSVSTYAAVHGSQMPEQTSGLRLQEPIPYLLSKDGPENVGKFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELSIQRDFADAVLYEDRRRVKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMTFGRDVWPAVCAAMDYMDQFDRDCDGLIENDGFPDQTYDAWTVHGISAYCGGLWLAALQAAATMAHRLGDRPYAEKYKLKFMKAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYAASSGLPPIFDEHKIRSALQKIFEFNVMKVKGGRMGAVNGMTPKGKVDETCMQSREIWTGVTYGVAANMLLHGMEHQGFITAEGIFLAGWSEDGYGYWFQTPEGWTTDGHYRSLVYMRPLAIWAMQWALSPPKAILEAPKVNLMDRIHISPQAVRAVGEIGVRKIAPDNRCIPSSTFQCEC >SECCE5Rv1G0350040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:688001552:688002552:-1 gene:SECCE5Rv1G0350040 transcript:SECCE5Rv1G0350040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRFMYNGKLRPTTESTLLVDILMAAHKFDVISCIKLCSQRLIGQPMTLESAVRCLDLPCSISMAADLSEAAKKFLSTRYEKFLSTKFQDELMTIPLAGIVAILSRNHPGVAYEESVYDFVLRWAHLQYPNSEERHKILSSSLLPLATLGRIMTNAILTDHSSCIITFSIKREHCHGLFPSRSIRSPPFYCAGHGFFLSALGKTEPFNSFGLLIKKLEGNSPLRGTIDYEMEVMARLSSEFASISRRATTTDIRQAFGCRIPWPEISADDSPFFVDGQLHLRVHVKITPQP >SECCEUnv1G0558660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334062926:334065067:-1 gene:SECCEUnv1G0558660 transcript:SECCEUnv1G0558660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEKLHEDRCHSRQSLSPASYTSSIGAATINLVSPTRSLNFRDRYRDAGCNLKTSTELLKVLNRIWSLEEQHAVDVSAVKGLKSELQNTQARVQELTQERQRYHYEIESLARQVNEDKMARKNKEQEKLRATLCSLQEELEAERHLRKHSENLHRKLGKELSAMKPAFLKAVRDLEKEQKATRLLEDLCDEFALGIRKYEEEVRVLKQRHVKEYEHKTDKLVVHISEAWLDERIQMQNADARGDSEGKTSITERLSGEIQSFLHGRRSSNFYGVNKHTGNEKGDTSLCRQSLESLHLNGATSAPRLAEDDENSVASDLHCFELNMHGDAVQTHDLAGTRRTVADCMYSPMRRLEFSDGVSVEGSRISTARPRSEKGKAKPSSSKAQLHSSTPEISSRNCDRIDPIDEQNETVMTQVSRRLHDDLLKIKSEAPQHAFLERKPHDHHPRMYQFRESASSSDLLHNLHSPARQLKNHQRSSLDYQISECATAGDLRGLRSPSWQLKNHQRASLDYQMSECATTGDLHNLRSPSRQLKNHHRSSLDHEISEASPARSLGSKDNTLKAKLLQARLEGQHARIRASGYPLISTRRK >SECCE5Rv1G0298860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14208138:14208326:-1 gene:SECCE5Rv1G0298860 transcript:SECCE5Rv1G0298860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLQLFPFLLGTAIGVYAAQNYKVPNLRRLAKRYEEEYRRKPEIAGEGTKKKVRVEIDDEE >SECCEUnv1G0570810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:483424462:483424818:1 gene:SECCEUnv1G0570810 transcript:SECCEUnv1G0570810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFAPICIYLVISPLVSLIPLGVPFPFASNSSTYPEKLSAYECGSDPSGDARSRFDIRFYPVPILFIIPDPEVTFSFPWAVPPNKIDLFGSWSMMAFLLILTIGSLYEWKRGASDRE >SECCE3Rv1G0202840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:878339857:878341221:-1 gene:SECCE3Rv1G0202840 transcript:SECCE3Rv1G0202840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGIHGRRHLSAESDMEHGDGPGDPDLVRQQQGEEEISDSESGSETVEISDLKKRMWKDQMLLTRLEGRAGARGVAPAPAARASSSSSSGQEEPPDVRCRRKAMLRAQDGVLRHMLRMMEACNARGFVYGVIDEAGEPMSGSSDSLRGWWKENVSFDRAGPMGLVGPMGDSPLALASSLHRLQDIQDSTLGSVLSALIQHCEPPQRSFPLERGLAPPWWPTGHEPWWGTQGEMQAHQGVPPYRKPHDLKKAWKISLLSAVIKHMSPRFDQMRKLVWQSKRLQQKMSAKESEAWSKVLRQEEALSSRLKSSLRITPFDLEEDDQEEEEVRKKKVGKERDDDGLDSVVRGAQDKRKREISRSGSSGGSGSELTIMLPDQLAAAITEESRSPIDELMKLYYGCMQGVESYGDREKDDMSPMHSVLLGDMDEVAQDVLFDIIGNCPEIDDVLRLMGE >SECCE2Rv1G0083460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:166650836:166653957:-1 gene:SECCE2Rv1G0083460 transcript:SECCE2Rv1G0083460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGLRASILVKDDDATCHGEESQSLLEVSQQPELKRRSSDWRPPAIILGLECLESMAFNGIATNLVVYIRSVLHGGIASSASIVSLWYGTSFFVPILGAGIADAYWGNYKTVLISLVMYLLGMVLITVGSFIPSAPALCNFGSCSSSKGTENLIFFSGLYLSAVGCGGVRSALLPLGADQFNNENSLDTPKRRNFFSLFYICVIFGVITSGTIVVWVQENVSWAIGYGIATTCIALALVGFVSGTPIFRRREPSGSPMKSIFQVTFAAFKNMSLEIPADSSLLYEARSKNTHKRVPRLAHTDDFRFFDKAAVISDLPLDNSSCQSSWRICTVTQVEELKILIRLLPIWATGVFFAAAISQMHTTFIQQGTVMNTRIGPLSIPPASLYSFEVICVTLWVLLMNKVIVPATRAFFTSGAELTQLQRIGIGRFLMIFAMAMAALLEAKRLESVQHGNPLSIVWQLPQYFVIAGAECFVIIAQLEFFHGQAPDSMKSMLTAFALLTTALGNYLSSAIITLIAGLTREWQSPGWIPDDLNEGHLDYFYWCLTAISFVNFVVYIYFASKYRLKKIVIDG >SECCE4Rv1G0244180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:511818405:511820851:-1 gene:SECCE4Rv1G0244180 transcript:SECCE4Rv1G0244180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNLYMRFSCSTGDAMGMNMISKGVQNVLDYLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEEIVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSRDMSKAASLSS >SECCE5Rv1G0322700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:423242092:423251951:1 gene:SECCE5Rv1G0322700 transcript:SECCE5Rv1G0322700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIREEDHHHHQPSELPLGFRSTPPPPMIASSSMSKESTSYDMADFDQTAIFLYLDGHDPQSIQEQRQTLNIFPSQPMHAAEPLNPAAKINGGAVMAAMLANGGNPQQPSPKRPEQQQQQQLMLQGAAGGPNATPLLTGSSKDNKNSASLVKKEGTSSGRGATSSSTDPDREGSRRTQDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIRLSQIEQQVQAGRVQGVLLGTGDHHQGLPSAPSFAGMFDVEYGRWVEEHSKLIFQLRALLNDNAPDNQVQVLVGGAMAHHEELLNLKAAIARTDIFHLLCGVWASPAERCFLWLGGFRPTEVIKVMLKQVESLSEGQLLGIYNLQRWVQETEESLNHTMGTLQHSLSDTIASPEAAGGNFMGHMSLALNKISSMEAIVRQADGLRQQTLHKLHGMLTVRQAAHCFVAIADYFHRLRAVSTLWAARPRHDEQGPPAP >SECCEUnv1G0539090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75104349:75108254:1 gene:SECCEUnv1G0539090 transcript:SECCEUnv1G0539090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAPALGPLLGNTVNTVISPFYTLFSTHATYCFTARTNVRNHKTETEDLKGKLLSVKQRITDGERNGLIPTDEAEGWVQRAEQAISEEAANRESFDQRCRIFGCSLNCWGNYKTSKKAAEKVDAVRKYISSTPLPDNVTRQLPPPPVVDLYTHSVQLPPSREDTLKIALWCIKEEVEVGVIGICGPDRDEKTHLLKKINDYFLQDCPFDFIIFVTASSELSVQAQILSRLRTNPLPDVATQATRISELLSKKSFLLLVDDLRVQLDLQAAGIPYPLGHVAVVEAMEVSRRVQRKVVVTSISQSICHLMDVERDIHVPDLEEHEARQLFAKEYGQQDIYSDPIIGTLAEQLVRELKSLPSDLIRYGKVMQGIRDVRRWEDAIDAVRKANLQRDDPLNLAEKIVRNLKSATKDLDAKGNDVRREIMDVERQQRTPTNEVNRWLQKVDNIIRDVEVISQDCRQLKKDVTILASEKLREVQECLSSCPSTVAIESMPPLVQVMPGPSMSAENRNLQDALQYIKDDPKVGMIGIWGPGGVGKTHLLNNINNSFGDGMTFDFVLFVTASRGCSVEKVQSQIIERLRLQSTGSKRLTIYEYMKTKSFLLLLDDLWDEIDLEDVGIPYPIGNVNKLNRKVVLTTRLRKVCGQMKVKKELKVAYLQEHEAWQLFEENIGAETLSSPHIEALARELMKELKGLPLALLTIGKAMYRKDEYQWETAIQYMQQSCCADDKDPVELCMETNVFRQLKFSYDNLRNDTLRRCFLTCALWPEDTNISKVDLAQCWMGLGLVNEQDIESSFRKSYSLIADLTAVCLLDGCEYDDIGSYFQESHGSVKVHDVIRDMALWISCDCGENNDKWIVAAPGGRDKKVIILSNKAECISLMSNRIPIRFNLDPIKLRIFCLQNNEFDESIIVEAIKNCSSLTYLDLSRNNLKRIPEELCSLVKLEYLYLSRNEFGETEVPHSFGKLINLKFLYLMYSGVVRMPPGVISSLTALQVIDLRGSIIVERNLCLSEWIFRELGTLPQLKALGIMVSFAQFKPLLGEAANLPVRYMTLTLQDLGAFTRMLSTDFAQRTLYELDIMEEGDTQEITVTHDTEQPNNHFGALNYLRLSFMRSLREIKWMGATPAFIFPRLTCLELFDCTRLLHLSWAMYLPRLEQLYISYCSGLVQAFMRCHGDKLCNGQDKTKTFPCLKLLRLCFNPSLETIGDNGMEFPSLERLVLLGNPKLKRLPFQLDSLPLKLKELRFEKAYCWERLECEGGVKSFLQPALKFGWQSE >SECCE5Rv1G0328340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:501666942:501667904:1 gene:SECCE5Rv1G0328340 transcript:SECCE5Rv1G0328340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSSSQALFPAASSLCTPYLLLVPLGLLAAVVVIPSLGSSHARSDGLGVLCPSLGTDGHSVASGAEKAVSAATQPEFRLLVGVLTTPKRYERRDIVRLAYALQPPVPAYAQVDVRFVFCGVDDPVDRVLVALEAARHGDVLLLNCTENMNDGKTHQYFSSVPRVFADAPYDYVMKTDDDTYLRVAAMAEELRPKPRDDVYLGYGFAVGDDPMQFMHGMGYVVSWDVASWVSTNEDILRHNDTHGPEDLLFGKWLNIGRKGKNRYSLRPRMYDLNWNMDNFRPDTVAVHMIKDNRRWAAAFRYFNVTAGIRPSNLYHLP >SECCE3Rv1G0175660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:430309653:430310030:-1 gene:SECCE3Rv1G0175660 transcript:SECCE3Rv1G0175660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKNQLIRDGREEKRGTDHTRASDQCPHKQGVCPCVSMRTLKKPNSALCNIAKVRLSNRHDMFAHIPGEGHNSQEHSIVLVRGGRVKDSSGVKSHRIGGVKDLLGIPDRRNGRSKYGVERPKSK >SECCE7Rv1G0492410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:551000667:551001263:1 gene:SECCE7Rv1G0492410 transcript:SECCE7Rv1G0492410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFAVRTSSSSRISGSRQPWRWWRKCAGLAAAAHTKLRRSVLRVRWSATGRLGGHRRRAPAPPLPSVQRGDNRSFAPVYVDELYSQPKGLSVVHEEQQPQPSTSTSKLARAGAGAGVNKARVHGVAAATGGNKACAAAAAAKSLGVRGFLLSPGRGRVGMGEVDVRAEMFIRKFREEMRLQSQRSAEELQAMLARGL >SECCE3Rv1G0150100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30503091:30504289:-1 gene:SECCE3Rv1G0150100 transcript:SECCE3Rv1G0150100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCCVLLVLSLGALAAGRLSAAVADDGVDTIRLPSNGLADEVATAAAEKKRPWKCCDRPVCSRSIPPRCRCMDAVEQCDEACASCEESQSDPSKRICNDRYHGWPGPNCTNPDADDIPSGTDGPGVSGPPVVGQEAAAAVAAEAAVRDEIAVDGEKPRPWKCCDQTRCTRSSPPTCFCHDKVKKCAKTCKNCLKDESGSFLRVCGDPYFGWPGPRCHEI >SECCE4Rv1G0267110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734574257:734576657:1 gene:SECCE4Rv1G0267110 transcript:SECCE4Rv1G0267110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRCRATRKQPTPATINPVLLRLACSGDSAGLDFLVNNRVTTPAQAQEIRGNLALYTSSNGSSDDVEEGGALPGPSSLLEGVTVEGDTALHAVATCGHGDNFLMSADIIHRKARNLLFVQNKKGDTPLHCAARAGNLEMVSCLIRLAKLGGEAVSRVKSLLEKENKLKETALHEAVRIENHETALHIVEQLMKEDHDLVGFPRTSGTSPLYLAILLENDDIVEKLFELSDGGLRLSYCGPNGQNALHAAATRRRVFTEKLLRREKALSSQKDETGSTPLHFVAARSPKSSYSTQFHDDLHKWRKSHSTIGRQIRKKLMSLLRMKGHPRVCLQMLEANPAALYVPDHRGSYPIHIATSVGATRKVLLFLNRHPGSAGLRDGRGRTFLHVAVEKMAVGILYHATSDKSLAWILNMRDKDGNTAMHLAVQGGRLRTFCRLLGNRKILLNLPNAKGETCLDLACYKVPPGLYYSQNSEACIRRALAMVGARSGTSREDNFEEKYERNHRLKPEAGNIESDGMKDATQTLSIGSVLIATVTFGATFALPGGYKADDQHNGGSPTLAGTYAFDAFMMANTLAFICASMATVGFMFSGTPMVNRRSRKFHLRSSVYFMEASVTSLTAAFALGVYMVLAPVAYKTAIAVCAVAPFALLWKNVDYWKRWLRFAGPFWVRKGLFWTLQEFLRQFVWNILLELWPLVITFCWAAFANTGTHF >SECCE5Rv1G0334730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:567695817:567698371:-1 gene:SECCE5Rv1G0334730 transcript:SECCE5Rv1G0334730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSPSPRVCVTGGGGYIASWLVKLLLSRGYAVHATVRDPSDQKNAHLMQLDGAAESLRLFKADVLDRAALAAAVEGCEGVFHVASPVPADKIVDPESEVMVPAVKGTLNILEACSSLKVQKVVVVSSTAAVHYDPNWPQGKPKDESCWSDRKVCKDNEIWYCLAKTVAEETVWEYAEKNRLNAVTVCPCIVLGPQLQCVVNTTSELLLYVITGGPNALNDVLWHIVDVRDVADALLLVYEKPESSGRYICAPNYISTKALLELLKKTYPNYNYVKCKADAHQNSRITPISSGKLSNLGWEPRALEETILDSIEYHRKTGNLQDVEGQTYHLPDIFRHFQAADE >SECCE2Rv1G0084190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:173111480:173112277:1 gene:SECCE2Rv1G0084190 transcript:SECCE2Rv1G0084190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKNTMVSLVFLVALLLSCSSMSSAARHLAEAVPKKEYPPHPIIPELPKPELPPHPAMPELPKPELPHPLVPEVPPPVVPETPNESEVPHAVVPEVPKHELPPHPAMPELPKPELPHPAVPETPKEPKVPHPMVPEMPKHELPPHPTMSEIPKPELPHPAMHEVPKETQAPHPIVPEVPKKPEMPHPVVPEVPKEHKLPHPAMPELPKPEMPHHPEVPTEPHVPHPEVPKEPELPHPAVPEVPKHEMPLPPKPEFHFPEPETKP >SECCE6Rv1G0417890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659860578:659863559:1 gene:SECCE6Rv1G0417890 transcript:SECCE6Rv1G0417890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDQSGEQATSPAGQTAADSGEPAKPLVQPWEYRLRKYLLLLATLVVTVTYAAGFNPPGGNWEVTQDGQLAGDPIIRKTNYHRYLAFFYSNATAFAASLVVIVLILVLAIWHDKEGKDTLWVVVPLRFVMVVDLLSLMAAYGAGTCRDKVSTIYSAVLVAVVFLYVAVLKLVDWRCPGKSTDPGSSSTMTVANRSNGSGSGSGSGGAMQTTNLHSGADAIPAPGASSDPEAKARKKLKANERFCKVLMLLATFAVSITYVAGLNMPGGFWDSTGDSHRPGDAILKDHHNLRLTVFLLCNTTAFGASLLITMLLIIDGKKLRKKTARSRMLYGFIVVALVGLIGAYAAGSCRETDTTVYVVSLIGAVLAYILLHGFCSPAQKPDEKKHTDENNVSAREALDKARSLVLLLATLAATITYTAGLDPPGGLWQENGDGHMAGDPILLTTNARRYKAFFYCNSVAFVTSLVAIVLVQEENLVRHHVLEAAMILDLFGLIGAYAAGSCRDVSTSIYAMALAGAVLAYVVIHVVIFTLGHQDGQNNNQKDRLLAKRRKRLLLFAILAATITYQAGLTPPGGFLLQDDKLGHHAGDPVLLYNYPGRYNAFFYSNSVSFMLSIALIILLVNPNLYKPAIRSNALSVCTAVGLFCLMGAYAAGSTQHLKTSIYIFVLVAVVLFVAVILLLVFLLRWSNKKCNNSAPKEPDEEEGKKEEDKVEEKKGGEEREKEKKGGEEREKHARRKYLMLLGILVASVAYQAGLKPPGGAWQSSGSGHEAGDPVMHDNRRPRYLAFFYSNSISFMASIVVIIILLRQWLPKKEKGEWDRSLRVMNWTIRLDLVALLVAYAAGSNRWWKTSVYVATLIIAVLGYFAIHMTLTRIFCHHKKPKERPAARSPKACLQRRHTM >SECCE4Rv1G0220310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:37716475:37722794:1 gene:SECCE4Rv1G0220310 transcript:SECCE4Rv1G0220310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHAASVGSSDDSVHSTFASRYVRASLPRFRMPDNSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECNKLMMDSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGETETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKMLNDLLVKKNEETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRTKDDLPDELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYRNIMDNCQENAMVLKAGLERTGRFNIVSKDQGVPLVAFSLKDSSRHDEFEISEYLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTFAERLVIDIEKVLHELDALPSRSSGPALQHPNGDTVSERDLARQREVVSVWKRAVAARKKTQGVC >SECCE7Rv1G0508870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:775663508:775664185:-1 gene:SECCE7Rv1G0508870 transcript:SECCE7Rv1G0508870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTVLPPSQLPRRSAAAAADLQSCSSRVRLNPALMANPAAAKRPKRPGSVHDVQPPAPKRVRGACSGGELHVAVPANIKNGSKLPSPSSGKDPRTKLAVIKNQVAESPASATEPKPQMSMRELIEKARLTMALLDKARSASQEEVNRRRDIERSRAEARRKVEQMADTVQFNDPWIHFSDVTKSPEELLQARQQAWRYQAHLIEMSRRRDYAQEMQIHGWVLK >SECCE5Rv1G0303520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:49226019:49227995:-1 gene:SECCE5Rv1G0303520 transcript:SECCE5Rv1G0303520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRNTVSYNAMLSALARHGRLADARRLFDEIPRRNLVSWNAMIAACSDHGRVADARELFDAMPARDDFSWTLMVSCYARAGELKLARETLDRIPGKKCTACYNAMISGYAKNGRFDDAVALLREMPAPDIVSWNSVLVGLTRNEKIVRAVQFFDEMPQRDMVSWNLMLEGYVRAGDLNAAAGLFERVPSPNVISWVTLLNGYCRAGRIGEARELFDRMPERNVVSWNVMLGGYLRLSHMDEAYRLFAEMPDKNSISWTTMISALVRAGKLQEAKDVLDKMPFDSFAAKTALMHGYLQSKMIDDARHIFDALEVRDAVCWNTMISGYVHCGMLDEAMVLFQQMPNKDMVSWNTMISGYAHDGQMRKAVSIFRKMNQRNAVSWNSVISGFVQNGLCFEALQYFLLMRRDAKMADWSTYACCLSACADLAALQVGRQFHSLLVRSGYISDSFAGNALISAYAKCGRILEARQVFDEMAGQDIVSWNALIDGYASNGRGTEAISVFREMEANGVRPDEVTFVGVLSACSHAGLIDEGLGFFNSMTKEYSLQPVAEHYACMVDLLGRAGRLSEAFKLIQGMQIQPNAGVWGALLGACRVHKNDELAQFAAEKLFELEPRKTSNYVLLSNISAESGKWDAAENMRTLIKERGVHKPPGLAGST >SECCE3Rv1G0197480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:819580962:819582679:-1 gene:SECCE3Rv1G0197480 transcript:SECCE3Rv1G0197480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVVLEHFRSSWLYLTPMLAACVPIGVLRTYYNQYLRRPVRRLLPFVDPFVTIDIAAKPEDYSFSYEGKVKSSDAYSEVLAYLSAVCSREARELRAEGTAEGHGFVLSLREGQQVADDFKGVTMWWSAVAEEKTTWRTSGRCCRLTYHEWHRQLVVDEYLPYVRRAGQEVTFSNRPRRLYSNKKELSYHSRRDEVWSYIDFDHPTTFDTLAMDPEKKQMIIDDLDDFSNSKDYYRRIGKAWKRGYLLHGPPGTGKSTMIAAMANHLKYDIYDIELTTLETNSDLRKLFIETTGKSIIVIEDIDCSLDLTGSRCTKLPPPMAHDDAAAEAGIDNSRKRRNILTLSGLLNFIDGLWSAHSGERIIVFTTNHLDKLDPALIRRGRMDMHIEMSYCGFEAFKTLAGNYLCVDAHPLFGAIEELLRNVEMTPADVAECLMPSKRSARDADACLARLIEQLKERKAAEKDKEESNAADAEEDDEQNAAKEEDKGETEKVPSKSKKEKSEVASKPTRRVMTNGAHTGATGVSGSTSTDHYLS >SECCE3Rv1G0165460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:174738544:174741453:1 gene:SECCE3Rv1G0165460 transcript:SECCE3Rv1G0165460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRTVIAASIVALLLAACTAAAAAAALTITRKQQPRPASESSGGSCDMFAGTWVADESYPLYDSASCPFVRGEFDCARFSRPDKGYLKYRWQPSPPCSPPRFDGLALLRMWSGKKVMFVGDSLALNQYESLLCMIHAAAPGARATVSPRSGRIDPATTVTFDDYNVTLVYYLSHYLVDIVSEKAGRVLKLDKIDEGRNWLGADVLVFDSWHWWPRSGKDQPWDYIQEGSQVARDMDRTVAFTKALNTWAAWVDANLVQTSAKVFFQGISPSHYRGQEWGASPRRSCAGETEPLNSTGPYPGGAIPQQAVIRSALAGMAKPVRLLDFTYLSQLRKDAHPGKYGGMFGQDCTHWCIAGVPDTWNILFYAALTGQDG >SECCE5Rv1G0333350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:556274658:556277666:1 gene:SECCE5Rv1G0333350 transcript:SECCE5Rv1G0333350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEQQKVASFPDAPPESKDDDIPAAARSLTIKTATNAGASDWPSPASPYLGSPSPPSSAFVSALQSPYISPRIAEPPPPPNPPPPAPHREARASRAPALPSPASCGGAGFRAEDTDAPTSASRTPTSERGYDSQSQGADPRRRSSDGGTAPRVSFSFPVPRVSLTRGAVASPMSNGKLRSCDVYIGFHGQGAALTRFCRWLKAELELQGIASFTADRARYSGAHSHEIADRIICSAAFGIVVVTTSGFLNPFVLEEIRFFAQKRNLLPILFDTRASDIAGLFDGKPEDKEGMEAFEGLMRCHELKLETDESSWRRCVSRAVTVLQSKLGRGTFAEKESEGTEGFPFPRNRHFIGREKELAEIEGTLFGCAGEVEDVECPGGSTMPNCVSSGVSDGGFADEDSDRVRTSSGRFGTLELHKCKRPMLEASVDPAIDLSAAKGIGLLKQRSKLRKSRFRCNSKDHGNANVVCINGISGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSGYLGLDISAEAEREHGRIRSFEEQELDAFQRVKRELFRDVPYLLIIDNLESERDWWEGKDLQDFIPRNTGASHVIVTTRLPHFMNLEPLHLPQLSFHDAMVLIKGKKKMDYPPEELEVLKKFDEQLGRVSFGLWLVGSLLSELMIDPSILFEAVERVSLNENMIVLCSGDDNLWQNNLFLIKVLVFCFALMDQVKGGSLALRMITVGSWLAPSPMSSTLLAAMASKLPTKANSIQLLSESLKAALLCGTHCFLQPQARKAEVESAHLLVKLGLARKTAQRPGCWIQFHPIVQLFGKISGSLAPASAAVSGVIRTGNMSIYPDHMWASAFLLFGFKSEPPVVQLKAADLVLFIKKIALPLAIQAFMTFSRCGSALELLKVCTNVLEDAEKSVASRIQDLKQGSLCWKKKLRADNHVDEFIWHEMALLKATLLETRAKLLVRGGLFDSGEELCRTCISIRTVMLGHDHAQTLAAQETLAKVVRYRSKI >SECCE5Rv1G0319010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:369919270:369921167:1 gene:SECCE5Rv1G0319010 transcript:SECCE5Rv1G0319010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRGCAPGAEHGWAAADGGMQLQRRERELVAQLHELLFPSTSPSRSGASSSSGLAADLYCEQGSSQVKTAASCGGGKRRGGRKRVREDERHEEGQGQHGGAATATKATTRCRRKKQGATTTTLVTTVPDFDGYQWRKYGQKQIEGAKHHRSYYRCTNSANQGCPAKRTVQQNDDDGSDDGRPKYTVVYISEHTCKATESAAVPVILETTVRTDTAAAPDVAVVPGSSSGAISSDTQSPACSSDITWSSGGSDGGANVPPRERDDCSRLFAIEDDCCWGWNASPPAPAAALLQEMDFDGPIRSPVHVVAADGSWINDLLNEPPFVLNSCHLFGL >SECCE5Rv1G0338380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597805689:597809270:1 gene:SECCE5Rv1G0338380 transcript:SECCE5Rv1G0338380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPRHLENSKQQKRRTLFPPRSCSSVAMAKAWAHSERSGHCRRSLLLAVTLLSAALLLPVTKASAAVAVAPEGDGENRSRGAATQWATGKDEGELAAEREAAGGGSVVEDDFAGGFGSLDSMLQWAIGNSDPGRLKEEAADVQKLSEDELLKRRHEIKELMEKLKMPSDADLMKIAIADLNNASISLEDRQRALQELLILVEPIDNANDLDKIGGLVPVIQDLNNANEEIRTTSAWILGTASQNNALVQSQILGYGALARLVKMGYSTSAKEAAKAMYAISALIRNNINGQEAFALENGNAMLQHILGSNSVDVRLQKKAVFLITDLADFQLNSGSSGLPFLSERVFLKSVTDMLFKFDLDLQEKVLLAIRSLLKLPLTDAADLESCGLDSVLYRLGVQLEELPSEEQKEYAGEVDALRREVEMLFQHKLKPGTATAAAL >SECCE5Rv1G0320220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:383078750:383088235:1 gene:SECCE5Rv1G0320220 transcript:SECCE5Rv1G0320220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADEANAAAEGTEVKNWQRKGKHKKEKPWDDDPTIDRWTVEKFDPSWNEGGLLEVSSFSTLFPQYREKYLQETWPIVKGALKEFGVSCELNLVEGSMTVSTTRKTRDPYIILKARDLIKLLSRSVPAPQAIKVLNDEMNCDIVKIGSIIRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGSFKGLKQVRRIVEDCIKNIKHPVYHIKELLIKRELAKNPALATESWDRFLPNFKKKNVKQKKPNTKEKKQYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKKWQEKLDKQSEKSEEKKRKREAAFIPPKENTAGLSESAKSTKDNNEIADITKSLKKKAKKFRNSEAEENVKIESYVASNEESHSKKKRKSSSK >SECCEUnv1G0544820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:132553366:132556484:1 gene:SECCEUnv1G0544820 transcript:SECCEUnv1G0544820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTLLANHVYKAIESQYEARAWVLPAKLGGTAANVLREILRQLGHGSDGRLAKLRESIKECIGTKRFFIVIDGLQKARYWHDIKVAFAGLSGRFLVTTTILHIANICSSSAVHDHVYTMATLANQHSRLLFFKEVFQDDDPPADAEELGSEALKKCDGLPLALVTTARFLQSIGTPMKWAKLCADLGTHLESDELFARMRRVLVQSYTSLDSQVARTFLLYLSTYPSGRPIKRSTLIRKWLAEGFSPGDIRRDPLDIATSCFEKLVDQSIIQPINASGNSTEMKTCHTHGMMLEFVICKSMSDNFVTLLCNQPSAPALPSKIRRLSLHHARPRGVNDLSLVRSLTVSGRTHTSILDFSRYELMRVLDLEEYDLLLDSHLKVICSNLLLLRYLSLGAAVTVLPKKIKKLRFLETLDVRRTKIEILPTEVMELPCLLRLFGKFKLLQDVGARRMSMLQAWLSANSILETVAGFVVDSNKSPGFAHLLDHMKQLTKVKIWYKSCADANSTGSLSKAIKGFIEGGTNSKPVALSVNLSGERSEDLLNFSMETGKSYYLSSLKLQGDSIFSGLPPFVTMLIGLNKVCLSFPHQLSSDIIAALSGLCSLKQLKLTATQLDKHILGDGAFRILEHLCITVEVMTELHIQDGALPCLKSLRLLCKDLDGFSGTSAIKYFKHLKEIALHREVGGDTKQKWKEAARKHPRRPKILFV >SECCE1Rv1G0063620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726148518:726153033:-1 gene:SECCE1Rv1G0063620 transcript:SECCE1Rv1G0063620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGTGSGGGGSVMCQLVSAEGEHLGAALYLPHNVGPPQLQEIVNQLLHNEDKLPYAFYVGDEELSIHLGAFMQRNNANAEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLSTQTPLYTCKGHKNWVLCIAWSPDAKHLVSGSKSGELILWDPKTGNQFGTPLTGHRKWITAVSWEPLHLQSPSRRFVSASKDGDARIWDITTKKSVISLSGHTNAVTCVKWGGDGLIYTGSEDCLIKVWETTQGKLVKTLQGHGHWVNSLALSTEYVLRTGAYDHTGKTFSSPEEMKEAALARYEKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKVVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRARKLKQDLPGHADEVYAVDWSPDGEKVVSGGKDRVLKLWMN >SECCE1Rv1G0023990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:317206661:317208025:-1 gene:SECCE1Rv1G0023990 transcript:SECCE1Rv1G0023990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHAQASTAPLLCLPLCLLLLSSSQRATGMYLDAGGNHETFSGGTVPESFTVRSRNSSNSSGAGAAFCRLLSLQILDLSNNQLTGELPDCWWNLQALQFMDLSNNSFSGQIPDAKASHNCSLESLHLANNGFTGTFPRVLKGCDSLATLDIGSNRFFGAIPPWIGTWVPSLRILSLRSNDFTGEIPLELSRLSKLQLLDFANNRLTGKIPVAFGNLTSMRNPEIVSSTASLDGSTYQDRIDIIWKGQELIFQRTIRLLTGIDLSGNLLSWCIPEELTNLQGLRFLNLSRNHLSCGIPQDIGNLKNLDFLDLSCNELSGHIPQSISILSTLSIFNISNNQLSGKIPAGSQMQTLTEPSFYRNNSGLCGFPLDDCPNTSPASDEKAGEGEDQWLYYCVTAGVVFGFWLWFGLLFSIETWRDALLFSVDGMQSKIMQKVSHIDQFISKSSSDRYM >SECCE5Rv1G0342580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:632978963:632980222:1 gene:SECCE5Rv1G0342580 transcript:SECCE5Rv1G0342580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKPRPAASSSGDLPADALYEVLLRIPAKDLCRLRAVCPSWRALTSDPPFVAAHKSRHRTAPPLLAMGYRDHSGVSGVAISDLSGIVVKRIPSTEYELVFVNESGDAIGRFTSNDDSICVVRTRLDLICFNRNVLSGFFWVLNPVTGATIDLPLDFSEEIAHELEVKGIKEWGCLDESFAFGQVSSSKEYKALRISRVDGQKVCEVITFDDTKHGSWRRKQDPPSNICTSDKMRCAVVDGVVHFLMEFCSSYYETGFFNIEPGSVASFNLDTEEWMGVLHGPEQLQWFVQENEEYSVLELEQGLSLAELKDCLVMAHNIHKVSMDLWFLTDFEKGIWVKKYSLPSNVARLFWYPFLMLDDGRIVFSVMEGLEGILSGGEQGEGFLLIYDPRNDICADALKLKDPRSIGIYTGSLLSL >SECCE2Rv1G0082710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:155298524:155300048:-1 gene:SECCE2Rv1G0082710 transcript:SECCE2Rv1G0082710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGLFGTAAVAVILSLAVHVALHCPIQPLPSQPSPARHPPNNLLQGLEKLGEGRLSGPEDVYVDAAAGGTLYTATRDGWLQRMQPNGSWEQWRFVGGTDLLGIAPSADGSMLVCDAHKGLLKAEEGRVTILASTVEGSTIRFADAVVEASDGTVYFSDGSTRFGFGEWFLDYLEARPTGRLLRYDPGTGKASVALDNLAFANGVALSRDEAFVVVCESWGFRCSKLWLKGDKAGQKGTFVDNLPGSPDNIHLAPDGSFWIALLQLRSPWIELITRWTLAKRVVASIPALHEPIKATTKGAMVAQVSEDGEIIRVLDDSQGKVIHFITSVTEFDGDLFFGSLSTNFLGKLSLAKVPQVQATVSS >SECCEUnv1G0557170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:320118972:320119713:1 gene:SECCEUnv1G0557170 transcript:SECCEUnv1G0557170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSFEKDTKIMNAASFTIEREDHTVGNILRMQLHRDPNVHFAGYKLPHPLQYKIIVRIHTASQSSPTQAYTQAINDLDKELENLKQAFEFSDNLI >SECCE6Rv1G0407810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:565380642:565382900:1 gene:SECCE6Rv1G0407810 transcript:SECCE6Rv1G0407810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRRCAEGCDGAVDAMLQCQKVSDFLIAASYLSIPLELLYFASCAELAPVKWLLLQLAAFAVLGGAVHLLAVLTHHHPHSSGLLLASTAAKLLAALVSLATALSLLTFIPRLIRAKLREALLRAKARQLDRDVGLIRRRVEATSRLVRMLSRHIRDSPLDHHAILHTTMLHLADALALHSCAVWVPVPAPGDVLQLVHQLSLRDKGAVMLGSQAPIPAHDPDVVSVMSGVAAKVLRPGSALAAASGGGLQPPGAVAAIRMPILKVSNFDGGRTPVASSYAILVLVLPGTGRWSSQDLEIVEVVADQVAVALSHAAVLEEWQSIQDRLADQHRALLHAKHEAATATTGIHSAQSAMCGAMQRQVHSVIGLLSMLQHAAAEGMRPEQRLVVDAIARTSALSLALANDADAETLTMSRVPFGLHALVREAMAVARCMTGCYGVEFSYHSENSLPLPEWVVGDETRVFHLLLHMVSTLLSRGAGLGRLSFSAKSCSGGEEERHSRDWIPLRPSAGCSMRVKFEVGMETRNRAKFPHGSATSNVGLSFGMCNKIVQMMNGSMRSSGSEGGSMITVVLQFQLQQSGVRGRTSPPPVPRFDGVRVLLADSDGTSRQVTRMLLEKLGCRVMPVPSGAHCQRLLESAGSCFQLVLLDLDKHAAAAETEDVFEVALRIGELGNGGWLLVLAALAVGDVDDRVREVCQRSGINGVVQKPITLAALGAQLAAALENN >SECCE6Rv1G0400860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:459086308:459088128:-1 gene:SECCE6Rv1G0400860 transcript:SECCE6Rv1G0400860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGILRDAVVGSFMEVTACDSRSIAERHLAFCGWQLDTAINRYFTTGAADPAPDPPVAARSETMHDPIAARSATLYGDANLYTARSSTARAAPSMWSADRARPSPWSVQRPAPMEYTSMAHIPATGWESDGDTAPVAARSETMPHDRVRDPIPARSATLYGNDNFYAAGSSTAAPPSPWSAHRPAPMEYTFMPDIPATGWESDASSKETEEINISDHDEGMKVAEEDNRKEDKEAMEEDDEYGDMQAEDEYHGSESDGYSDDQQDDDGAYLEAAETESNDGHMEALEGQPRQTEKTLDELFRPPYEIMYGGSFHDAKAHATSKDRWLLVNLQSSGDFKSQQHNRDLWSNEVVVQVIKDNFIFLLLEKSGQEGDEGLKVCCYYNVHGDQLPAVLVLDPITGQLLDKWCGLVQQPDDFLTSIGKFTESKPSLTCKPKIVRTTAALQSGETPAAQEPATAMSNTAASDAHPATKVESSAAQEPAMPASDAQAAPAPKVDEVEAAPASKVDVIEAPAVDDGQPLEGEMVCKLRVRFPAGNMVNKEFGSTRKIAVLFAYCRSVVVEQTGTEQAFRIMRMAGQSFEELLDVGASFDDLKLNRDTISVVLDT >SECCE4Rv1G0282300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829030896:829031820:1 gene:SECCE4Rv1G0282300 transcript:SECCE4Rv1G0282300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAATTMLLLTLFLAAVTVSARGGAERAPTLVFILAGQSNMGGRGGATSGNRWDGVVPPECAPSPRTLRLSPSLRWEEAREPLHAGVDAGNVVGVGPGMPFAHALLRSPACPRGAVVGLVPCAQGGTPIANWSRGSDMYDRMVTRARVAGKGTGGRIAALLWFQGEADTLRREDALAYAGRMEAFVRDVRRDLGLPNLLVIQVGIATAQWQGNKQGKWLDLVRQQQRAVRVPNLKYVDAMGLPLANDITHLTTQAQVRLGKMLADAYISTL >SECCE7Rv1G0510350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:789090829:789091743:-1 gene:SECCE7Rv1G0510350 transcript:SECCE7Rv1G0510350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEVTAASASSGRAAIIVWTNSMTKTMLGFLAGLVADGKRTSSGFRDVHHRQCAAVLNEQFKLSVTGEQVKNHLKKWRKIWGRVVNLKNLSGALWDEDTCTIRLSDEHYAGHCMTHKADAPFLNTPIEYYHALASIYGTMGAKGLGARSGNDLLSIDIEDEENGEVNTSPNVGESSDPKAPPKKKAKVKNVVDDPLVITLKDGFKLVAEALAKSSGDDDDIPDDLWDVVSVLPDFDEEHLAHYYAHLVDNPKTARAFMKLTRINKSVWVSRYVKKNF >SECCE1Rv1G0026650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:372915488:372918661:-1 gene:SECCE1Rv1G0026650 transcript:SECCE1Rv1G0026650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDKHGRPLLALLSLLLCHSLLRASSAASVTSGNPDGTELWGFVEVRPKAHLFWWYYKSPQRVSTPSRPWPTILWLQGGPGASGVGIGNFMEIGPLDVNLKPRNSTWLQKADLIFVDNPVGVGYSYVEDDSLLVTTDWQAATDATTLLKALAKELPTLQQGSPLFLVAESYGGKYAATLGVSVARAVRAGDLKIKLAGVALGDSWVSPEDFTLAYAPLLLEVARLDDNAGDAAKKKAATVKEQIAAGRLADSQGSWSELLDFIDSKSASVDMYNFLLDAGMDPVAADLPATSSTPTTSSNTQVMKYSTYLGGNQAEASPNTLGGIMNGAIKEKLKIIPKDHEWHELSDPVYNALVNDFMKPRIDEVDELLSYGVDVTVYNGQLDVICSTKGAEAWVQKLKWDGLKNFLSLPRQPLHCGSSKVTKAFVRSYKNLHFYWILGAGHFVPADQPCIALSMIAGITQSPAS >SECCE3Rv1G0202100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:870166512:870168410:1 gene:SECCE3Rv1G0202100 transcript:SECCE3Rv1G0202100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYTDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEDNRRALRELLFCTPGALQYLSGVILFEETLYQSTKGGKPFVDILKEGNVLPGIKVDKGTVELAGTNGETTTQGFDDLGKRCAKYYEAGARFAKWRAVLKIGPTEPSQLSIDQNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAYVTEMVLAACYKALNDQHVLLEGTLLKPNMVTPGSDAKKVAPEVIAEYTVRTLQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLQTKKPWNLSFSFGRALQQSTLKAWSGKAENEEKARKAFLVRCKANSEATLGTYKGDATLGEGASESLHVKDYKY >SECCE1Rv1G0035030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:494721818:494729530:-1 gene:SECCE1Rv1G0035030 transcript:SECCE1Rv1G0035030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRKTKAKAGGAEAPSPALSIGNCKVEIHGGGLRCVSTEQNLTISGTRGAKILITVDGARSSSDGTGEGSDFILLNPNEADSLNESLLQEVLRLYKKELPTMDYAADTGRKSGFLEKCILNGKYKTLILRSSSLAGPEEIIAAVSYQIVPADTQYAEIPLAAVTSPFQRVGFGHLLYKELSQRLHNVGVTNIFCWADKVSEGFWLKQGFVSVGEVDTKGKIRRIPVRADIKRALCFPGSSTLMVTHIKKDLPTTSKNSLAELQTSQLHAVVPDSISPGDMDTVVPSCEKLSPQTTGCHKVSTTAKVVRNEASAGSEGCSLSDQQPKKRTYESSSSSLKSKRVRCSGHADHTQDMRQNGICDKSVSINSTPWTPSMVVHADNKISRDAKATTCSNGRPSVMLMNIADETKKMRLTEVVEMLGGVVTCEGSSCTHVVTGKAFRTMNFCIALSSGAWIVSPNWLKQSFKQGKFVGEAEHVLDDEEYKMKYKSEMRDAVMRAKERPCLLFSGYTFCLTKHIEPSPGVLSPVIKSSGGKIISKLDDIDEPSKTIFLACEEGMELAMDAAKRGIKTFSSEWLMTCVMRQEVDLEAPPFAESL >SECCE4Rv1G0232160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193890857:193891840:-1 gene:SECCE4Rv1G0232160 transcript:SECCE4Rv1G0232160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAPAFKFHFKGGRRTMILSVLPSPALVSGLMVVRAKNPVHSVLFPILVFCDTSGLLILLGLDFSAMISPVVHIGAIVVSFLFVVMMFNIQIAEIHEEVLRYLPVSGIIGLIFWWEMFFILDNETIPLLPTHRNTTSLRYTVYAGKVQSWTNLETLGNLLYTYYSVWFLVSSLILLVAMIGAIVLTMHGTTKLKRQDVFRRNALDSRRNIMNRTISPFGHSHIMNRTISPFGHSHRRSFSFGAGGPPDNYKETFQRWILCSEYQDFPGLKCKIDDLLSFLEPGEILFMVHTFPRDFPLLEILEPKDIRNIIAHSHKQWKPPKKGG >SECCE7Rv1G0511410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803157740:803161993:1 gene:SECCE7Rv1G0511410 transcript:SECCE7Rv1G0511410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSFFASRAAARFLEDIRRPAGVSTAALLLTAASGGGIVAYADSSRAEEAPEPPPRKKKVVVLGTGWAGTSFLKNLDSSRYEVKVISPRNYFAFTPLLPSVTCGTVEARSVVEPIRRMFEKKKKDVAYYEAECFKIDPTKKAVHCRSAVGTNLDGNGDFMVDYDYLVVALGASVNTFNTPGVMEHCHFLKEVEDAQRIRKSVIDCFERASIPNISEEEKRKILHFVIIGGGPTGVEFAAELHDFLVEDLVKLYPAIEQFVKITIIQSGEHILNTFDQRIAVFAESKFQRDGIELSTGFRVIKVSDDSITVKCKSSGVETLVPYGMAVWSAGIGTRPVITDFMNQVGQGKRRALATNEWLRVRECDSVYAIGDCSSISQRKIMEDISTIFKVADKDNSGTLTLKEINDVLEDICIRYPQVELYMKSMHMLDIADLIKGGVGDAHKESMVVNIEEFKKALCQVDSQVKTVPATAQVAAQQGYYLADCFNKMDRCVENPEGPLRLTGSGEGHHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSIYASKQVSWRTRVLVVSDWTRRFIFGRDSSRI >SECCE7Rv1G0474820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:177979348:177982067:1 gene:SECCE7Rv1G0474820 transcript:SECCE7Rv1G0474820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRNPVAVPPVPVVETTAKLQKERERAEKKKEKRNDKKASHQGGGETKHSKRSHKKRKHEDTIVAGPESKSASKEVFEQLEKSGLSEEHGFPSFVQTVHGSPESSQDSSKRRKVVLPGPTQNKNGTVLRIKIKRDQDSPSAMLDGSRVLQQPPVQQMATPSSLLSKQSAVQPHRDVMVKSAAGLQKSIKRDTQSLPKQMDVKPAATILQRVPSLTNIAQKVGTPTSGKIMQRVDPPISAKITQKMDPRVSVNATPSPAKVKGSADPLPTQLTRRVVPPPAKAAQRVDIPPAKVIDIPPTKALQKVDPLVPSKVLRRDAPPPSLPVLQKETIKVAASHQPGRQPQPVLHKPKVPVGTPLIKQQQSSTLPKEEPCSSGRNTEKGAVPEVKPSKSDRKKSRKAEKEEKKERKLRDLFVTWNPPSLEIEDTGSLGDQDWLLGGAKKPDASMSSCKASDGLAPMELEFSWQPRAIHLPDLHLYQLPYVVPF >SECCE5Rv1G0338520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:598752844:598754031:1 gene:SECCE5Rv1G0338520 transcript:SECCE5Rv1G0338520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSGGATVLDDLPEWIVVEEIFIRLPPRDIVRCRAVRKWWRSATSTDKFILGHHRRQPFLPILSHVVEPQKTSLMLSFDADAVQLQQRLCPVIRTLYSGILQATCDGLLIVSHGATAHEFFVCNPITRKCAPLRTPQNLLSYYYQIVGFYRHRPSGEYRVLWVSCPTYNTNHRTFYCVIALGSNYTRQIEQGCIPQPTSSSPSLERLPGSSGYPPIDHRGNLHWTIVRYDRCDAGYIMVFKTADETFRLMCCPAQLPSQQLLLEMDGTLALCGISSDRVTIDVWVVQDYDAEAWSFKHRIILSGMDESPFVDLKVPRMAVLNDGELLIQFAPTHVVRCDIDGRFVEYLKSEEHQGKNLWLTRHRLQESLIPIPLSNEIQGGGAASVKPPFFIGL >SECCE6Rv1G0434320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764818634:764819338:1 gene:SECCE6Rv1G0434320 transcript:SECCE6Rv1G0434320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFCFLVILSLSGLAMALLLTTPCNAAEAQPQPIYDIDGHELTGHDMYNIMPVDRNLSDHCVYVSSLRDHICRMRVILTPCKQFGRNPDGYVSIKLAEASSGSSKEASPRLSTDVLIEFRGIITWCMHYLQWYVHGGIANQTHVTAGHFAGMEGCQPSAGICKGKSFLFRVQKHGAGYKLTSCFSRPCRDLVLFDYDGRRWLTVEKDGRQPLVVVFKKFHLASLPPANPPQLS >SECCE5Rv1G0325260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:463686551:463689501:1 gene:SECCE5Rv1G0325260 transcript:SECCE5Rv1G0325260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPGRTLLLVNLAAIMERADEALLPAVYREVGAALHATPIGLGALTLYRSFVQAACYPLAAYAAVRYNRAHVIAVGAFLWAAATFLVAVSDTFAQVAVARGLNGVGLALVTPAIQSLVADYTDDNTRGSAFGWLQLTGNIGSVIGGLFSIMLASTTIMGIAGWRIAFHIVALISVMVGALVYLFAVDPHFCNGESDEQLVRKSAWAEMKGLAAEAKAVVKIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHNKTGLLMAIFALASSLGGLFGGKMGDYLSVRYPDSGRIVLSQISSGSAVPLAALLLLGLPDDSSTGVLHGLVMFIMGLSISWNAPATNNPIFAEIVPERSRANIYALDRSFESVLASFAPPVVGFLAEHAYGYSPVSYGAGVTSVASDRSNAAALAKALYTAIAIPMLLCCFIYSLLYRTYPRDRDRARMDSLITSELQHIELERCHGVGDLYAGREDASVIDIEYGEEELDANDDEKALMHHQVEQSGSLR >SECCE6Rv1G0444410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827790241:827791895:1 gene:SECCE6Rv1G0444410 transcript:SECCE6Rv1G0444410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGVGVGVGVVADTAAPLLLAAAVAVALFLVGALVRRHGGGHGKHGRLPPSPVALPLIGHLHLIREPPHRAFHRILARHGPLVYLRLGPSTHCVVVGSADVARDVLKFEGSIPERPQTAVTRQLAYDSSGFAFAPYGPHWRFMKRLCMSELLGPRTIDLLRPVRAAELAGVMGAAAAAAAKGEPLDVSRQLIRLSNNAMMRMVASALPGDMADLARDCAKQVAELVGAFNLEDYVALFRGWDLQGLSRRTRGVHARFDALLESIIRAKEKERRDGADEGKTKDLLDILMDAAADPAAEVKLTRENIKAFVLDIFTAGSDTTATTVEWMLAELLTHPDCMQKLRAELDAVVGRSRVVGEPDVARMPYLQAVLKETLRLRPPAVIAHREAVEPIRVRGYTIPARTSVFFNIYSIGRDAAWWERPLEFRPERFMPGGAGEGVDPKGQHLQLMPFGSGRRACPGMGLALLAVPAFLAALVQCFDWEVPCPPMDMEEGEGLVIPRKQPLLLRPTLRLGHLPLP >SECCE5Rv1G0344180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644266435:644267739:1 gene:SECCE5Rv1G0344180 transcript:SECCE5Rv1G0344180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLGSRLVKPARNAGAAPAPSTEYIPLSVFDKVTFNMQMAIIYAFAAPAPTTAAIENGLATVLAQYRAFAGQLGEAPDGTPSFVLNDRGARLVEATVDADLINMAPSKPTPELLKLHPDLEGEHEEVVLLQLTRFRCGSLAIGFTSNHVVADGHATSNFLVAWGRATRGLPIGLAPVHHHKDLFKPRSPPRVEHDHRNREYYLPSPTDVVSHHGDAADNIVIHKAHFSKDFIAGLRAKASEGRGRPFSRFETILAHLWRTMTRARDLSPEETSKIRLSVDGRHRLGQPAEYFGNMVLWAFPRSTVGDLLNRPLKHAAQVIHDEVAKVDGAYFQSFVDFASSGAAEREGLARSAVCKDAQCPDVEVDSWLTFPFYELDFGTGSPSYFMPAYFPTEGMLFLAPSNFGDGSVDAFVPLFQENLQAFKECCYSME >SECCE6Rv1G0395200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:319894340:319897003:-1 gene:SECCE6Rv1G0395200 transcript:SECCE6Rv1G0395200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTTVHGTIIGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGKPMGRGRGRGRGRGRGRGR >SECCEUnv1G0528860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6124955:6127618:1 gene:SECCEUnv1G0528860 transcript:SECCEUnv1G0528860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGRGVLLAAVLLTALAVSAVADIYKPTDSILVNCGSDKDGQDEQGRKWSTDKDSKWLPDGGKSSVMGTADVADPSLPSPVPYMSARVFPKEAAYTFPVADADRHWVRLHFYPAAYHSIPADHFFFSVTASTGVALLRNFSVHITAKALTQAYIVREFSLPPSTTGSLSLKFTPTAMNNASYAFVNGIEIISMPSFFADPAALVGLDDQSLDASAGNLQTMYRLSAGGSYIPPANDSGLSREWFSDTPYVYGAATGVTFEANDTVPIKYPTPADEYVAPVSVYGTSRHMGRDANVNKNNNLTWVFEVDGNFTYLLRLHFCSLMEDKINQVVFAILVNNKTATTTGSADIIAWAKEKSPTSPGKGVPVFKDYAVFMPAAPAGNDTILWLTLRPDTGTHSQFVNAFLNGLEIFKVSDASGNLAGPNPDISKMLAEAELGDVEGQFREKPSNVGALIGGAAGGAAAFGLVAAVCFVAYQSKRRRELSSSPSHSSSGWLPVYGGGNSQTSVSKSSGGRSAATLNPNITAMCRHFSFQEIKSATKGFDESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVLEFQTEIEMLSKLRHKHLVSLIGCCEDNGEMILVYDYMAHGTLREHLYKSGKPPLPWRQRLEIVIGAARGLHYLHTGAKYTIIHRDVKTTNILVDDKWVAKVSDFGLSKTGPTVQNQTHVSTMVKGSFGYLDPEYFRRQKLTEKSDVYSFGVVLFEVLCGRPALNPSLPREQVSLADHALSCQRKGTLEEIVDPVLEGKIAPDCLKKFAETAEKCLADQGVDRPSMGDVLWNLEFALQMQDTFENGGKPPEVDDYSSSFTIAQPSMEESLAANAAALSLISEDMDEEDIANSVIFSQIAKPTGR >SECCEUnv1G0528350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3826440:3828612:1 gene:SECCEUnv1G0528350 transcript:SECCEUnv1G0528350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGRKRPAPSPFAGFSPFARSLLFSPASGFSRLPLTNAAKPHQDAADMPPPPAKRAKQAEPTSDGEEERPSSDADEELSGSDGDGDSESSLECSSSDDGEDDASQEMETVQADFAFFDPKPADFHGVRLLLKSYLDSKPWDLTGFVDLVLEQTTVGTVVKMAEDEDEDGEANGGDKTDEEEDLFGLITVLNLGRYGENRCIKDLKEYLLAVCGDKDTKKKLKSLLEEKASTVGLLVCRRFVNFPYEMVPKMYDSLFDEVTWATEDEPTQELQDSFRFKQYLLLVRILERKTPPKQKSKNNKDEDEPIIYPKLEDEIFRELSSWSFTFPIRAEQSAQQELKNYKEMGLVMCVKADVIPKFRKKLEALVSE >SECCE6Rv1G0451690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873239958:873240377:-1 gene:SECCE6Rv1G0451690 transcript:SECCE6Rv1G0451690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFATFSPAVIASARPWRVAGAPRCVVARASATMAAPAAVAAGRTHYEVLGLGAGAGRGEIKAAYRRLAREVHPDAVGGCGDEVFIRLHAAYATLADPEERARYDRDVTCRAAGMMMRRAAAAGPAVRRRSWETDQCW >SECCE4Rv1G0267580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736646781:736649370:1 gene:SECCE4Rv1G0267580 transcript:SECCE4Rv1G0267580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAQIDQYVYDISWSLPTGQKLLLLVAATLVLHHSTTTYGAASSASISMARPGCPDKCGNISIPYPFGTGKGCFQEPFNVTCNGTGAYLGSNGLRVLDITLALGEVRVQNPYIASRCNFSNGSNSTSGFDVLTLDPFHTVSNTKNKLTSIGCGGLAMVVGQAKGKNQLEYLTADSCISSCMDVSSIGKGTECSGMGCCQAPVSGNINAFLTQSIPVSSIYNSTIQSFSPCSYSFVAEDDWFKFDRSYVNSTNFGRKYTDGVPLVLDWVVGNGSCSETSKMGSQYACQAMNSECVDVSNGPGYRCNCSQGYEGNPYLPGGCKDINECEPPNQSLYPCKGNCRNTVGSYTCSCSSGFRSDDPKSIPCVQADPNKALKVALGTSAGVVFLMVCMFALRADYQKRKLAKEKERFFDQNGGQILYHQIMSKQVDTLMIFTHEDLKKATNDFDESREVGRGGHGTVYKGVLKDGRAIAVKRSKIMNVAETDEFVQEIIILSQTNHRNVVRLLGCCLEVEVPILVYEFIPNGTLFEFIHRNRGSPPPSLDNRLRVAQESAEALAYLHLSMNRPIVHGDVKSMNILLDENYMAKVTDFGASRTLPKDEVQFMTLVQGTLGYLDPEYLQERQLTEKSDVYSFGVVLLELITGKTAIYHDGPKEGKSLASSFLLAMKEESLDGILDASILSAGMETLLSEVAELGRMCLSTRGEERPSMTQVSDMLKALRSTWREKLLQTHSETEHLVSLPAALAFDDPTSSIMFSTGPRMSGIGIETPR >SECCE5Rv1G0316290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:316828697:316832423:-1 gene:SECCE5Rv1G0316290 transcript:SECCE5Rv1G0316290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPHPNGGKVTPNLAMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNATQEVNGIWFYNQQECEAVASLFGRILNAYAKVPPKPKVPSIKSEFEELEAVPTSSAIDGPLEPPPSSTAVVSDTPDESFASYFSGVANAGNVRTAPMTGRAHPPAESVASSHVPIIISSAAPTHQMSASSAPPLPLHTNAHAGRSTDLVTPAFFVPPSSSSTSLVQPVSSLMPTAPPLHPTSASSERPPYGTPLLQPFPPPTPPASLTPAHNDGHIISRDKVKDALQRLVQSDEFIDLIYRELLNAC >SECCE4Rv1G0288790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861943198:861945435:-1 gene:SECCE4Rv1G0288790 transcript:SECCE4Rv1G0288790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVQPDIFDPRNWDGLDWKKIDILLQKGPKREDIEYGPYDKFSRKFSALSFSRILSNGEKFDREWLVYNSDLDKAFCFCCKLLKRGHVRGQLANEGLSDWIHFEARLKEHESSREQITNMIAWYDFRLRMQKNQTIDKVAQRELEKEKEHWRKVLLRILLIVKFLTERNIAFRGSNSKLYQESNGNFLGLIEMLAEFDPVIKEHVDRITNEKIRDHYLGPSIQNELINMLATAIRSRIIEKVKEAKYFSVILDCSPDASHQEQMSLIIRYVDTSSASVCIEESFLGFLEVNDTTGQGLFNVLEEELNNLSLDVDNVRGQGYDNGSNMKGNNKGVQRKFLDKFPRAFYSACGCHSLNLALCDMAKSCCKATDFFGIIQCIYTIFANSTKRWQILKDNLKKLTVKSLSSTRWESHVESVKAIRIQMPEIREALLEVAETDKDPLTSSEAQSLAENELGGFEFLVSIIIRYDILSAVNLVSKQLQSKDMLIDIATESIQGLLSFFKKYRETGFSKALEDAKEIALEMVIPPEFRTKRKIKKIRQFDEGADDASIASQSAEESFRVNYFLQVVDQAIVSLTRRFDQYQGYEKTFGFLFTSDRLRSMDDESLLAACVNLEDALKSGEYKDIDGAELFYELIFIQDLVKRSMGPVDILEILMKRPFYPNAITAYRILLTIHITVATAERSFSKLKLLKSYLRSTMTQERLNGLATIALENDVLEKINYEDVIEDFISRNARRMTLFNRE >SECCE3Rv1G0208280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:929554949:929558792:1 gene:SECCE3Rv1G0208280 transcript:SECCE3Rv1G0208280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGGEPGAGGSAPVCNFIQKPRKNIRKRPAASVGSDEEEGSGGDDSGAIAAARSKKPPPTTSKLFFSSADNSQEPRRFQFESSRTIQSSTDNRATATLETETAYDRDARAIRERQLKQAEESLKKNPSASSSSGELYKGIHGYTDHKAGFRREHTVSGEKAGGAHGPLRASAHIRLSTRFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEREWDEAEKARKRRIAMRELDGSDGEAEEEDSDDEEALPFACFICREPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKPTLGIFNAAQEIRKKIAQDKKQQDL >SECCE4Rv1G0264810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:722551926:722556388:-1 gene:SECCE4Rv1G0264810 transcript:SECCE4Rv1G0264810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEISSASAADERGLAGGKGPSTGPAAWETSPIEEVRLTVPEGDDPTLPVWTFRMWTIGLLSCALMSFLNQFFSYRTEPLVVSQITVQVASLPMGHFLARALPRRKFRVPGGGGEWSLNPGPFNMKEHVLISIFANAGYAFGNGNAYSVMIVDIIRAFYHRSISFLAAWILIITTQVLGYGWAGLMRKYVVEPAHMWWPTTLVQVSLFRTLHEKEESPGGSRQMSRSKFFLVALICSFVWAVVPGYLFQSLTSISWVCWVFSKSVTAQQLGSGMKGLGVGAFTLDWTAVSSFLFSPLISPFFATANVLIGYVLVIYVMIPTAYWGLNLYNAKTFPIFSSHLFASNGSNYKITSIVDQQFHLDMEEYTKQGRINLSVFFALSYGLGFATIAATITHVAIFYGKEIYHRFRASQKEEPDIHTKLMRKYEDIPGWWFYSVTALSMTVSLILCTVLKDDVQLPWWGFLFACAMAFTFTLPVSIISATTSQTPGLNIITEYVMGLIMPGYPIANVCFKVYGYISMSQAISFLADFKLGHYMKIPPKSMFIVQFVGTVVAGTVNLIVGWWLLGSIENICQDQLLPPNSPWTCPSDRVFFDASVIWGLVGPNRIFGSNGNYIALNLFFLFGAAGPVIVYILHRTFPTHKWILLINIPVLTGATALMPPATTVNFNSWLLYGIIFNFFVFRYRKKWWQRYNYILSAGLDAGAAFMGVLLYFTLTMENRTIDWWGTGGEHCPLASCPTAKGVDLGPDSVCPVF >SECCE7Rv1G0468010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:94518039:94519093:-1 gene:SECCE7Rv1G0468010 transcript:SECCE7Rv1G0468010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >SECCE3Rv1G0145190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5929394:5936481:1 gene:SECCE3Rv1G0145190 transcript:SECCE3Rv1G0145190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTTDDIAEGISFQAFEDDCRLLGSLLHDVLLRELGPGFVKLFERIRILAQSAVTMRAAGMEHTAAVVERQLEAELSGMSLEDSLCLARAFSHHLNLMGIAERHHRVRKSRSEVPLSKSCDDIFDKLIQGGVRPEQLYHTVCKQGVEIVLTAHPTQINRRTLQYKHLRIAHLLEFNGRRDLNYEDREMLIEDLVREITALWQTDELRRHKPTPVDEARAGLHIVEQSLWKSIPRYLRRVSNALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTSKVTRDVSLMARWMAIDLYIRQLDSLSFELSIKKCSDKLATLANEILLKDSESTSEEKKASPWTKTGPENNLKLPPRLGMPAQLPSGADLPSLTECNDSESQVRMVKLPWNPKHQGIQIPTEKSEDSHSPVQSPGRRPGSSHMSRTPSGSQLRKMLFTESKIGRSSFRKLLEPSLSDKPGITPYRIVLGNVKEKMMKTRRRLEHLLEDLPCDSDPAEYYETPDQLLEPLLLCYDSLQSCGSSILADGRLADLIRRVATFGMVLMKLDVRQESGRHTEALDAITSYLDLGVYSEWDEEKKLDFLTRELKGKRPLVPPYIEVNADVKEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLTVSGDLGRECPGGTLRVVPLFETVKDLREAGSAIRKLLAIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEHGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTAVRQLEIYTTAVLLATLRPPQPPRDPNWRRVMEEISRASCAHYRRTVYEDPAFVTYFQEATPQAELGYLNIGSRPAKRKAAITAAGGIASLRAIPWVFAWTQTRLALPAWLGVGTGLQDARDKGRTEDLRAMYEEWPFFQSTLDLIEMVVAKADAPMAKHYDDVLVPSPERRALGEELRRELARAESCVLAVSGHTKLSANNRSLRRLIESRLPYLNPMNMLQVEVLRRLRTDDDNRKLRDVLLVTINGIAAGMRNTG >SECCE4Rv1G0291100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875898523:875900046:-1 gene:SECCE4Rv1G0291100 transcript:SECCE4Rv1G0291100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAGSTGDTVAGRFLLPVDSENKAKSIKIFSFGNPHMRAFHLGWMSFFTCVVSTFAAAPLIPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGAICDLLGPRYGCAFLVMLSAPAVFCMSIIDGPAGYITIRFLIGVSLATFVSCQYWVSTMFNSKIIGTVGGLTAGWGDMGGGATQLIMPLVFDAIIACGATPFTAWRIAYFVPGLMLVVMGLLVLTMGQDLPDGNLRSLQKNGDMNKDKFSNVLRGAVTNYRTWIFIFIYGYCMGVELTTNNVIAEYYYDSFHLDLRAAGTIAACFGLANIFARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCLCLGRASTLPTSITCMVLYSICVEAACGAVYGVIPFISRRSLGLISGMSGAGGNVGGGLTQFLFFTSSQYSTGKGLQYMGIMVMACTLPVALVHFPQWGSMLLPPSADATEEDYYGAEWTEEEKNKGLHLASLKFAENSISERGRRNAILAAPTTPPNSTPEHI >SECCE6Rv1G0441680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811700163:811702521:1 gene:SECCE6Rv1G0441680 transcript:SECCE6Rv1G0441680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRFRAGDRGPAAPGGSPPARFAHARDGYTGAGAQGTQAGPSPSPSPLPRPPLDWEAARREHLIREEVKRRLVEEEVRREFEVQGDLAFARGGWGPDPFLAPGCFMPPPPPPPPHAPMPMRPPPYAPRPPVPFDEFGAWQGFGPRRHAGFGEGRPLPSEERGWSPPRQKPKHKLQLLEIEPSGTPEALSPKLKVPKMKRKADANAAATVPKKVLKLAKDWSCALCQVSATCEAGLNEHLGGRKHKAKLALCGASKAIKDDKNCLQATTGNKNSTDPCDAPKKVHMLVDGEMHEVVQKNNYLWCDRCRVRCDSNVIMAGHLRSKKHSKLNKVWTSIKAVRTNTDTKEGLASCGSQVNTNDSTETPAVIEGDIKMNSEVEESNPVENPARKEITSITTEVEIPAVIEGHINTTSEVDESSPVENPAGKEITSIATEVESIAMATELHENNPVETPVETKKESTDMTNDV >SECCE5Rv1G0341890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:628307531:628310590:1 gene:SECCE5Rv1G0341890 transcript:SECCE5Rv1G0341890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGSPSVAALPTCGRLPPIRTPRSAPAEWTTDDDSAAAEEPVTPTARLLEAMYIVVMVGLGSPVNLPVFSAGIAAQLARYPRFRSIQVTDDGCKNPRWTRTKVNVDDHMIVPTLDPAAVEADPDRAVEDYVASLQTLPMDRSRPPWEFHFLDFPTSEATSTVVLRVHHSLGDGMSLITLLLASARSAADPTRLPAMPEQPARTGAIYELRHRQPLPAGALAAFVAWVWPYLVLAWNTVVDVILFAATIVFLRDPNTLFRRGDSEVTLNPRRRFVHRSLSLDDVKFIKNAMNCTVNDVLVGVTSAALSQYYFRKSGYPKTRKLCLRSVLPVNTRPTASLQTYVNMIESGKSNDVAWGNQIGYILLPFHLVVHDDPLAYVRKAKKTLDRKKSSLEVIFTCKISELFVKMFGLKAGAFIIRRMLTNTTTTFSNMVGPTEQVEFYGHPFVFIAPTVYGIPQALIIHYQSYNSTIKVILSVDEEIIPNYTQLLDDFVESFGHIKDAASRLSTYVNKG >SECCEUnv1G0547000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:166519004:166523687:1 gene:SECCEUnv1G0547000 transcript:SECCEUnv1G0547000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGGVSANNAAAGNDDEEGNTAPFPETVQIGGSPEYRVERKLGKGGFGHVFVGRRLTGGNGRGAGAQEVAIKFEHNTSKGCNYGPPYEWHVYSALGGTHGVPKVHYKGRQGDYYVMIMDMLGPSLWDSWNSAGQTMSSEMVACIAAEAISILESMHSKGYVHGDVKPENFLLGQPGTPQEKKLFLVDLGLATKWKDPATQQHVDYDQRPDAFRGTVRYASAHAHLGRTASRRDDLESLAYTLVFLHRGRLPWQGYQGDNKSFLVCKRKMSTSPDILCGLCPQPFKLFLETVVNMKFDEEPNYSKLISLFDVLIGPNPSIRPINTDGAQKVGQKRSRLLNDDDDSNARKKIRLGVPATQWISVYNSRSPMKQRYHYNVADNRLAPHVEKGNEDGLLISSISSCVDLWAIIMDAGTGFTDQVYELSPHFLHKDWIMEQWEKNFYISSVAGANSGSSLVVMSKGTPYTQQSYKVSDSFPFKWINKKWKEGFYVTSMATSGSRWAIVMSRNAGFTDQVVELDFLYPSEGVHRRWDNGYRITAMAATMDQSALILSMPRRRPRDETQETLRTSQFPSAHVKDKWAKNLYLAGICYGRTVA >SECCE3Rv1G0145450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:7146112:7146465:-1 gene:SECCE3Rv1G0145450 transcript:SECCE3Rv1G0145450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPHGAPSSLDLSLTLAGGYDHGHGHGGSGGEARPSTRLFSCLFCEKKFLKSEALGGHQNAHKKERVGSWNAHLYEPVAHDYPPATATTRELARWANKRLDDNSEKHMQLDLNLKL >SECCE7Rv1G0489070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:451721651:451725684:-1 gene:SECCE7Rv1G0489070 transcript:SECCE7Rv1G0489070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQPQPGVAPPQWGAIPPPMPPHQLYAPPPLQMWGQPLPPQQAAYGQAQPPPQAAYYGRPAPPTQAPAGPNEVRTVWIGDLQYWMDESYVYNCFARTGEVQSVKLIRDKQTGQLQGYGFIEFTSRAGAERVLQTFNGAMMPNVEMAYRLNWATAGEKRDDGADYTIFVGDLAADVTDYVLQETFRVQYPSVKGAKVVTDKLTMRPKGYGFVKFGDPTEQTRAMTEMNGMLCSSRPMRIGPAANKQKATGVQEKVPSAQGVQTDDDPSNSTIFVGGLDPNATEDVLKRVFTPYGEVVHVKIPVGKRCGFVQYASRSSAEEALLMLQGTMIGGQNVRLSWGRSPSNKQLQSQQDFNQWGGATANAGYYGYGQGYGAYGYATQPQDPNMYGYGTYAGYPNYPQQAAQQLQQEPVKSSLIVDAV >SECCE3Rv1G0207100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:917531214:917535644:-1 gene:SECCE3Rv1G0207100 transcript:SECCE3Rv1G0207100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAGRASAPAVVTVTASAAAPSPPPPPPPATAAAAPADPPSPDPDALYEEGMWQQMAMSSGATMQSGPYPVRPGEPDCTYYLRTGLCRFGMSCRFNHPQDRNTAIASARMKGEYPERVGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGMVQLNTLGYPLRPNERECAYYLKTGQCKYGNTCKFNHPEIFNAVASSRGSPIYPPVHNSGSTGPHSYTGTMASWTYPRGSFIPSPRWQSPSNYTPMIVPQGLVQVPSWNSYPGQMVPVSSPESRLQSPGAQQYYGTSRQGEASAGNQGMQSPYRSSSFPAPQYALQRENVFPERPDQPECIYYIKTGDCKFGAVCKFHHPRVRSQPPPDCILSPMGLPLRPGEELCKFYSRYGICKFGVNCKFDHPMAAPMGVYAYGYSASASPNAPMARRLLESPSVSAYAS >SECCE4Rv1G0233800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:251399437:251403523:-1 gene:SECCE4Rv1G0233800 transcript:SECCE4Rv1G0233800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLNEGKLNKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCGGETLAVADSKLGNAIKEKLKIDCVHNSAVMELMRGLRNQLSELISGLGAPDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVTDNIQYAQVVKLMGNRTNAVNLDFSEILTDDEVEAQLKEAAVISMGTEVNDLDLSNIRELCDQVLALSEYRAQLYDYLKSRMNTIAPNLTSLVGELVGARLISHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLASKAALAIRYDALGDGEDNSLGLESRLKLETRLRVLEGKELGRSAGSTKGKPKIEVYEKDRKNGAGLITPAKTYNPSADLVLAQSTEETPKKTDAASKKRKHDDAETAPSTEPVGGAIQEDGGQEGRKKKKKKSKDVEVSPAVDAKSDKKKKKKSKETEEPGATTAEGEKKKKKNSEAQDEVVAMEIESRKKDKKKKKQAD >SECCE1Rv1G0047980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631259561:631261017:-1 gene:SECCE1Rv1G0047980 transcript:SECCE1Rv1G0047980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGDYKLISTEDMLQGHVELCIHAYGYVKSMALKCAIELGIPGAIHGNGGGASLGELATIIALPPSRLPRLRRLMRVLTVSGVFSVQHKQPDDSAGCAVVVYGLTSASRLLVGDGETSSGLSSLVSLMVDPNLTAPFSGMSAWFMDDEQPRSFFEMHHGEDLWDMAAREAALSRTIGDGMTDDSRFVVEVLLREGRARDVFSGVRSMVDVGGGTGTIAKAIAAAFPHVECSVLDLPHVVEEAPADGEVRFIAGDMFEHIPPADAVLLKSVMHDWRDDECVKILRRCKEAIPSREAGGKVIIINMVVGSEKSKGNSTKKEEAQALYDLFLMVFEGGEREEHEWEKIFLEAGFSGYNIIPVLGIRSIIEVYP >SECCE2Rv1G0116790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:767379594:767389473:1 gene:SECCE2Rv1G0116790 transcript:SECCE2Rv1G0116790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKRQREARKRFREANPGLCPPAPAPPADGTKKKKSKKSMFKKVKKAGGVGGGSGAGRSKHPLRVPGMRPGEQCFICKGTDHAARNCPEKSYWDKNKICLLCRERGHSMKNCPDKGDGDIKKFCYNCGESGHSLSKCPKPIENGGTNFASCFVCKQQGHLSKDCPENTHGIYPKGGCCKICGEVTHLARHCPNKRQQYFESSVDDGMNMEGDYQEDHTLHGGDDLEDDFIDEEEAKTTKTAKQPSGGEKSGSSKSKGKQAPKVVKFFG >SECCE7Rv1G0494850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:591294785:591299025:-1 gene:SECCE7Rv1G0494850 transcript:SECCE7Rv1G0494850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAANLRGLVGIAATGRRRVTASAATPRASPGGRGFRSVASGSGGRTTPESSSSSSPALPQLQPRRGLTARRAVLPNLISGGLESEDGKLNCGYSSFKGRRPTMEDRYDVKFAKMKGQSVSLFGVFDGHAGALAAEYLKEHLLDNLIEHPQFLRNPKLALKTTFLKTDVDFLESVTTPYREDGSTALAAVLVGDQIYVANVGDSRAIALKGGKAIPLSDDHKPNLKDERTRIENAGGGVSYDGFTWRVDGILAMSRAFGNRSLKNFVIAEPDIQEMQVSGDLEYLVLATDGLWDVLQNEDVISLMRATDEPEAAAVKLTEMAHSRHSSDNVTCIVVRFHH >SECCE2Rv1G0073950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73023689:73024816:1 gene:SECCE2Rv1G0073950 transcript:SECCE2Rv1G0073950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYLNLIVHDFSSRVYSLCRIDVRKHLFYKDSEMARRAAQPATEKKSNRGELAVMGGCKRLPQPAINFQSSPSVVNTNATYLFSTLGGESNILYSDNNCHTALCSLDTEVIQPFAPPNSCKTPFAISLPMTSLVKPPSLYVLDLSPVVGEAGCCFEVLSHRNNAPAVDKEWSWDILPPPPFFCSLSTAPYLYSYAVVDDSTICISSLEQAIGTYTFDMASKKWSQAASWALPFFGRAEYVPELDLWFGLSACNPFSSLCALDLSAMDPGQPPELQHTWDYIDLPEEKPWSPSQLHLFSLGSGKFCVAAFFGTELGTCGMSAYDSGYEATFRNECAVFTGLEVKRGNDGEGPLQFIKHMSKRFSVGSLNIQCVL >SECCE7Rv1G0526550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:895943712:895945117:-1 gene:SECCE7Rv1G0526550 transcript:SECCE7Rv1G0526550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSDDVLACILRRLNPRSLAASRCVCKAWRDVVDARSLLRAHLLPLSVYGIFFVEEVILSSMRFFARPLMGHKIAVRFDYLDDDVPRPSYHHLEVLDHRNGLLLLRDWVVNPATRQCAPLPPPPPPRAGMEAFFDTRYLVFDPAVSPHYHVLSMPEVSLRTGAPLTKFTEETEWPPSPYTLTIFSSRTRRWEVRSFLREEGEAATRTTIGDINFSPRKHRYAVYWRDALYVHSEDDSIIRISLSTHKYQVIPSPIGRQVTYLESLYLGKSINGVYCALIFNSEERLRIWLLNDDEVCGQTKWVLKNDVSIQPLVGITHQDFGLQNYGLWTLQNAGPMAEQKFEWDPDSGIALEADAKAPINHSHDHIRTLGFHPYKEIVFLWTNSKRAVAYHLNSSKAQDVGNLLVPSVESSFVYTPLLDGEVLGK >SECCE1Rv1G0016350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:137462326:137464808:-1 gene:SECCE1Rv1G0016350 transcript:SECCE1Rv1G0016350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGEKFQLGTVGALGLSVVSSVSIVICNKALMSSLGFTFATTLTSWHLLVTFCSLHVALWMKFFEHKAFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRTIQISLSVLLLGVGVATVTDLQLNAVGSILSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFNYTSNVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCSIETQPKNTDASAQQSKEGDSAPLISDSLSKVENGGDDDEPLKVPMWSSKYSRA >SECCE7Rv1G0468770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:103291906:103295660:1 gene:SECCE7Rv1G0468770 transcript:SECCE7Rv1G0468770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MAAFLLLLVVSSLSFAAIDPVQCTTTSRSHVHIVYLGHNNGLSPSLTTRFHLELLSRVFTELEEARQAILYSYSYGFSGFAAVLNSTQATTLSETEEVISVFRSRMLQLHTTRSWDFMGLSLHLQMEQPYSQMHLKYGDDVIVGILDTGVWPESESFRDDPHLGPVPSSWRGTCVGGQQFDPATACNRKLIGARYYLAGFEAETGPLNTSGGAEYRSARDRVGHGTHTASTAVGAVSPNASYFGGLGHGAARGGAPRARLAVYKVCWFKDLTGRCNDADILAAFDDALHDGVHVISASLGSPPPLSPLFATSTEVGSFHAMQLGVSTVFSAGNDGPDAAMVQNVSPWGVTVAASTIDRRFPTVIALGNNASFVGEGFIVKDMRMPLVESTSVFADGTCSFDQLVNRTAASGKIVLCFSTMGMVSGEGAALAVYAGGGSGVIFADSSSRRSNQDNFLPTVHVNLRQGTQILNYIQGRSRQRPTVHVSPSRTVVGKTPAPAIAYFSSRGPSSISPNILKPDVTAPGVNILAAWPPKSSPTMLPLDKRSTEWNFDTGTSMSCPHVTGIVAILRSVHPTWSPAAVKSALMTTAYVHDDTSDAMLAGGTQKAADAFDTGAGHVDPLRALDPGLVYDADARDHVRFLCGLGYTQAQVRQMVLPSPALDTSCAGGAIGDADLNYPAIVLPELRATATVKRTVTNVGLQRETVYHATVSSPQGTHVEVWPPALAFSPRCARAEYYVTVTPAKLSRGRYDFGEIVWSDGYHRVRTPLVVRVTNLPDAGVGAHPTNQHRDTTEYY >SECCE7Rv1G0465790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:73454998:73456554:1 gene:SECCE7Rv1G0465790 transcript:SECCE7Rv1G0465790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTAACGFGGRWLPCLVLLAVLSATPWLLIIHCHRAASVSTPHPSLVLVTAASASGREERSQSRFPSVQEQQVKKLLIASAPGGEVRRSSNVGVAGEDACRGRYLYVHDLPPRFNADILADCRHWYPWMDMCPYLVNGGLGAPLDNADGVFGDEGWYATDHFGLDVIFHARIRQYECLTNDSSLAAAVFVPYYAGFDIVRHLWSNNATAKDAAALDLVDWLTRRPEWRAMGGRDHFVMSGRTAWDHQRQTDSDSEWGNKLFRLPAVWNMTVLLVEKLAWTDFDFAVPYPTYFHPAKDADVLQWQQRMRSMKRDFLFSFAGGERPGDPNSIRHHLIRECGASSFCNLVQCHKSEKNCLVPSTFMRVFQGARFCLQPPGDTYTRRSAFDAILAGCVPVFFHPDSAYTQYMWHLPDDRNSYSVFISEEDVRSGNASSVEETLRRIPQEVAERMTETVIGLIPRLVYADPRSKLETLRDAVDFTVEAVIHRVNKLRKEMEHGASTGGHLRNVSSKVKADN >SECCE7Rv1G0505680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:739698719:739703175:1 gene:SECCE7Rv1G0505680 transcript:SECCE7Rv1G0505680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVEGSGGGGGLGRKIPAGEVELKEKSGTAWSHSFLNQKPWHPLSYPNQRRKWIAEQIHTNRARRDEEVQREFAQEQEFFRQTALFSKKDKEKMEIMKAVSFMYVRPPGYNPESAKAAEIQDEKKKLDQGDAPEDAVAADTSSMPDGPEKKKSRPKDVFGRSLPTEQEFEVLKNAPRLDTGAPARPKPFGVEVRNVRCLRCGNYGHQSGDRECPMKDIIMPNEESRLKRDDPLTAIKAQTDSSEPLKWELKQKPGMSPPRGGYNPDDPNQQIVAEEIFDEYGGFLGDIDIPALLTNFSTSKSKKRSKSKSRRRQSEPAAHAESGRHHISYHSSSDSEPEKCNRASGSKRKKKYCSDSSSYSDSEVEAGKRKAKQKSKHRHRKKHLLESSSESEVEVDTRKHPKREHRKKKEEMEIAPVSYSRDDRYTISKRQSRRSREKQHYSDSSSSESDQQHPTRWRENQSHSDSSSSESNRHSRRSREKRRRKMPDFPVSNRPLRKSNEKWHYTDPGARESDRHSRKPREKSRYSDPSASEYSDSDRPSRRSNGKRHCTDLTTREGDRRSRKPRGKSRYSDPSASEYSDSDRRNSHRRRRK >SECCE4Rv1G0245200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:525869422:525873142:-1 gene:SECCE4Rv1G0245200 transcript:SECCE4Rv1G0245200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIHADDIEISLCDGNSEDERRRRKIGSLRRKAIHALKKRGRRRVDFRFPPAISIEDVRDAEEERAVSAFRERLAAHGLLPDKHDDYHMMLRFLKARKFDAEKAMQMWADMLRWRKEFGADTILEDFEFDELDEVLCYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFREKFPACTLAAKRHIDSTTTILDVQGVGFKNFSKTARELVHRMQKIDSDYYPETLHQMFVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYLSRLLEVIDPSELPEFLGGSCTCIDKGGCLGSNKGPWNDPYILKLIHNLEAGSVRDIKPVSEGEERSDSSLRFEQLKWQGMMSDTSNAESGSDVDDFGSSFIRKGAEYGCLTPVHEEVKGIDSTYYVCYEQSSLETSLEAGRRLRRTTETVPKQLADNRQFSTSGSPRDLGSNVGKVDGSIVRWSFENLVKVVTALIKLFSFFRLFISSRTLRRLENAGPSTVPVPAAEKPQPRTISADEMSACLQRIENLESVCNHLASKPPEMPEDKEQQLLNSFERIRSIEADLERTKRALHATVAKQNSLAETLEAVQESSRVKRRLFCS >SECCE6Rv1G0389290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:148442926:148449402:1 gene:SECCE6Rv1G0389290 transcript:SECCE6Rv1G0389290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRGLGSGRRAGLRRIADYIGDDQTDASDNESFITSHSDELLASTSVAGGAGSSVGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTAGPAATHTPGSGRDLSRSSSTSSKIRRTFAWLRSPAAAPEQPREAAMASRERRRVQARLDRSLSGARRALKGLRFISHATGSTEATALWGAVEERFDALSRDGLLARDDFGECIGMVDSKEFAVGIFDALARRRRQNLQRVTKEELHDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLSKLKEKAEEYALLVMEELDPEGLGYIELWQLEALLLQRDAYMSYSRPISSGSGSAAQWSQDIADGGAQQQEKPATTAAARGRGGWRRWSPRRAAGRARVAAEENWRRAWVLALWFAAMAALFAWRFAQYRRSVAFEVMGYCLPTAKGAAETLKLNMALVLLPVCRITLTRLRSSWARFFVPFDDCIAFHKVIAMAIAAGICVHAGNHLACDFPRLIASSPGEYRPLAGFFGEERPTYRSLLSGVVGVTGVVMVVLMAVSFTLAARPLRRASTRTRLPSPLGHLAGFNAFWYSHHLLVVVYLLLLVHGWFIFLVTKWYQRTTWMYIAVPFALHVGERTLRALRSKAYAAKILKVCLLPGNVLTITMSKPYGFRYRSGQYVFLQCPAISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKHIFVENYLSPCLPIRASFGELDIAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLSMETSRSEDSINTFSVSTASSNKRRAYRTSRAHFYWVTREPGSFEWFKSVMDEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKNGIDIVSGTRVRTHFARPNWREEFTRISAKHPGSTVGVFYCGKPTLAKELKKLSLEMSHKTTTRFHFHKEYF >SECCE2Rv1G0125710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:842826761:842829469:1 gene:SECCE2Rv1G0125710 transcript:SECCE2Rv1G0125710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLIAVTKIGSILGDEAIKAIISELSVKVTNLKELPVKIEQIRQQLTMMSDVIMQIDTVYLMDKSVKDWIGEVRNVAYHVEDVMDKYSYHVLKHKEQSRLKNLLKGKDYAVFSQIADAVVAVEEEIEQVIKLRERWLQPFQLIADPLTEIERRWSQDSFPEFVKDQDLVGIEENRMLLTGWLHSEETENNVITVSGMGGLGKSTLVSNVYEREKIKFPAHAWIVVSQIYTVDALLRKILWKIGYTEKPLSAGMDKMDIYDLKREIEKMLENRTYLIVLDDVWEQEVYFKICDAFQNLQGSRIIITTRKDHVAGISSPTRHLELLPLSNPDAFKLFCTRAFHNRKDHTCPKDLETIATSIVAKCHGLPLAIVTIGSMLSSRQNLDVYKQKYNQLGHELSNNDHVRAILNLSYHDLSDELRNCFLYCSLFPEDYPMSRDNLVRLWVAEGFVPSKGKNTPEMVAEGNLMELIHRNMLEVVEYDELGRVSICKMHDIMRELASSVAKEERFASTDDYNAMMDIRRLSSCKWKEDTALKARLSHLRTVVFLGVVPSSPDMLPSILSVSNYLTVLELQDSEITEVPKSIGSQFNLRYIGLRRTKVKALPDSIEHLSRLHTLDIKQTKIEKLPRGLFKIKKLQHLFADRYVDEKQVEFRYFHGMQAPKELSNLEELQTLETVESSNDLAEQLKKLMQLRSLWIDNISAAECANLFATLSNMPLLSSLLLCARDENEALCFEALQPRSTDLHKLIIRGKWAKGTLNCPIFLKHGTHLKYLALSGCHLVEDPLELLARHMPNLTYLRLNNMHGASTLALSANSFPNLKTLILRCMHDVSELNISEGALPCVEGLYIISLPKLDKVPQGIESLSALKKLTLQCLHKDFISQWDTNGMHQKTLHVPEVRV >SECCE7Rv1G0506720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:752515176:752517188:-1 gene:SECCE7Rv1G0506720 transcript:SECCE7Rv1G0506720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGVVAVKQIAAPDKTAHSYACGSTQSSVHKLLDAKLDHLGLLDDNLSSTSQSSEIKTELIRSSGLGRSSLPFSLQRRSPEPDPESPLSHVSHPNFSEPMASNSSTFCTSLFSSSPTNSAPCRRMGALPFLPHPPKYEQQVLPGQSSTSSLQLSGDTGNVHDETEQTDDIKDFLNLSGDASDGSFHGENQAFAFAEAEQMEFQFLSEQLGIAITDNEESPQLDDIYDTPPPQMSSLPVSSCSNQSLQNPGSPAKLPLSSSRSSSGSAAANKSRLRWTLELHERFVEAVNKLEGPDKATPKGVLKLMKVEGLTIYHVKSHLQKYRHAKYIPEIKEEKKASSDVKKVQPGSSGSDPFKNKNLAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHAKYLQRILEEQQKAGSGSSLSPKTPTEPSESTSKDRTEPEEATTSSPQTTKNSETESPCS >SECCE6Rv1G0448240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:854783031:854784383:-1 gene:SECCE6Rv1G0448240 transcript:SECCE6Rv1G0448240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAISVCFGGRTRRPSKGRRLVAAAAGQVARWARRLCFSLPKRPASAVIQQLDAHGDNDQDCWSGLPEDVLLTAMALMEVTDVVRAGAVCSSWRSAYAEFRRLRLPAKPNPPPCLMHATADGAAAIYSPSTGATFPCGGGGDGFIIAGSAHGWVLAADVATANPCLLNPLTGARAALPAVATLARVKGTFFDDDGTAVYDVDHAFGNATPDMQLVTARTVRNWMFRHIAISAAGVVLLVHMPHGEASYARPGDERWTSLSSHLEPDAIAVVHNDGDGLFYVLCKGGGILFAVVVDGPAAPTAWPMSYLFTIFEPRHTHYLVLRGGELLLVTRQLLLTRPRESEKVVSTTGICIEKVGLGRSKLVTLDGIGEDYALFLGYGSPICLPVKEYPMLRRNCAYLADDSEQHFPPITRRDVGIWDFESKSMSRFEDLHPWLDNQPPIWIAPSLY >SECCEUnv1G0569450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:443046725:443048335:-1 gene:SECCEUnv1G0569450 transcript:SECCEUnv1G0569450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVRSLKLFQQLLLFLVLRGIYAMRIILLGAPGAGKGTQAQFIMEKFGIPQISTGDMLRAAVKAGSELGKKAKEIMDAGKLVTDELVIALVKERIQQEDCRNGFLLDGFPRTIPQADAMKDAGIKVDYVLEFDVPDELIVDRIVGRRVHPGSGRVYHIHYNPPKVEGKDDVTGEELITRKDDQEETVRKRLVEYHELTEPLVSYYSKEAEAGNTRYVKIDGTQQVSAVRDELAAILS >SECCE5Rv1G0367690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:823288365:823289381:1 gene:SECCE5Rv1G0367690 transcript:SECCE5Rv1G0367690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTEKMAVSRYSILLLPLLFLSTLPPPASACDRCVHRTRAAYYASSLTLAAGSCGYGAAAASLNGDLLAAAGPALYRQGNGCGACFQVRCKDEELCSTAGVRVVVTDSASTKTNDTDLVLSSPAFAAMARPGMAGRLAKLGAVDVEYKRVPCVYEGKNLSLRVEERSRAPSELAVTILYQGGQTDIVEVDVTQVGPASRWTSMTHDHGPAWSTSEAPPGPLQLRAVVTSGFDGAWVYAEHEVLPRQWHAGEVYDTGVQITAIAQEACLPCDTQEWK >SECCE7Rv1G0455040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5279695:5280163:-1 gene:SECCE7Rv1G0455040 transcript:SECCE7Rv1G0455040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLVFMATLFSIGYLAATGRRELGDERSHGANASANAPVLRSSLDESKISVRFCLVRDCKTKDVGWTEACYCCLVLSDMPCWHKLHECQANCPACHPNC >SECCE6Rv1G0392040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:247623223:247648047:1 gene:SECCE6Rv1G0392040 transcript:SECCE6Rv1G0392040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDEMGAGRHSVGPAVSSADAASAVADRFLRSRGAGASTQIELSLSASNLGDQEYFFKSNPIVVVYSSNDGALEEIGRTEVIVNSSSPSWNAKIILQYQFEVLQPLVFHIYDIDPQFHEVSEKMLKLEEQQFLGEAICNLSDVITKQNRLFTLKLGVSEHNLPNPSKFGELTVQAEESAGSKALMEMVFHCSDLEIKDLLSKSDPFLLISRMSENGTPIPICTTEVRKNDLSPKWKPVILNLQQVGSKENPLMIECFNFSSNGKHDLVGKTVKSVAELENMYHSGNGENFFVPASNAHDCHSKEVLKSQVYVEKYLENSRHTFIDYISAGYQLNLMVAIDYTASNGNPRLPDSLHYIDPSGRPNAYQRVILEIGDILQYYDPAKRIPSWGYGARPIDGPVSHCFNLNGSTYQPEVEGIQGIMSAYISALRNVSLAGPTLFGPLLSTATAIASQSLTSNQQKYFILLIVTDGVVTDFQETIDAIIKASDFPLSIIVVGVGGADFKEMEFLDPNKGGRLESSTGRVASRDVIQFAPMKDVHGTGISIVQSLLAEIPGQFMTYMRTREIQAVS >SECCE5Rv1G0318570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:363241816:363242100:-1 gene:SECCE5Rv1G0318570 transcript:SECCE5Rv1G0318570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQQDRSASKALANGTAVAPERKDGKVVHYKECQRNHAAGIGGYAVDGCREFMASAPAGAEALLCAACGCHRSFHKREVEAVDCDCSSDTSGR >SECCE5Rv1G0366920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:817090916:817092236:-1 gene:SECCE5Rv1G0366920 transcript:SECCE5Rv1G0366920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGYAWALAAGLNAALAAISAKFFAPMLLKYGMVILFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFQEPLPSKWFAGASLIILGVFILSKSSIEEKLNSD >SECCE6Rv1G0439240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795707088:795708983:1 gene:SECCE6Rv1G0439240 transcript:SECCE6Rv1G0439240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPESVLMTPAALPWSYLACGLLGLALLWPTTRLLDRLWWRPRRLERALRAKGLRGTSYRFLLGDMSDYGRQNKEAWSKPLPLRCHDIGAHVMPFLYSTVQQHGRRCISWFGPVPKVSITDPNLVREIMSNKFGHIHKVKFPALAKLLAIGVATHEGEKWVKHRRILNPAFHAEKLKIMLPAFSLCCEELVNKWTRSLGPDGSCEVDASLELQSLTGDVISRTAFGSSYLEGRRIFQLQSEQVGRFMAAIHKIMIPGYMSFPTKNNRRMRQINNEIESILRGLIGKRMQAMQEGESTYDDLLGLLLESNKTDMNENGQSIPGMSIEDVIEECKLFYFAGMETTSILLTWTMVVLSMHPEWQDRAREEVLGLFGKHKLDYEGLNRLKTVTMILYEVLRLYPPASAFTRQTYKEIEIGGVTYPPGVIFEMSVLHIHHDKDIWGDDVHQFRPDRFAEGISKASKEPGAFFPFGWGPRICIGQNFALLEAKMALCMILQRFEFELAPSYTHAPHTVMMLRPMHGAQITLRPISS >SECCE2Rv1G0128350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:859882480:859883853:-1 gene:SECCE2Rv1G0128350 transcript:SECCE2Rv1G0128350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTGMKLGGAPSWLGPLLGARFFQRCAAHPRLTKNEGNHYCLDCAGEEDAVCCPMCLSGHRDHHVLQIRRSSYKNNEVVRVAELEEVADMSLVQPYMVNHERVVYLNPRPQAPQHAIMCFSTAGACLMCGRKLVDEISRFCSLGCKLEGMVSDRNLTFILDPECKWEYSDSDSTVEEDDGGHLPGPSNFQPIGGTSYRRQPRKGVCGPPQRAPFY >SECCE3Rv1G0184780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:666234403:666236380:1 gene:SECCE3Rv1G0184780 transcript:SECCE3Rv1G0184780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to lysine decarboxylase-like protein [Source: Projected from Oryza sativa (Os01g0708500)] MSDTTAAAAAPATAPPRKFGRICVFCGSNSGNRAVFGDAALELGQGLVTRGVDLVYGGGSIGLMGLIAQTVLDGGCHVLGVIPRALMPLEISGASVGEVKIVSDMHERKAEMARQADAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLALFDKGAAEGFIKADCRHIIVSAPTAHELLTKMEQYTRSHREVASRTSWEMTELGYGKAAPQPEPEEEASC >SECCEUnv1G0564680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:386079281:386079642:1 gene:SECCEUnv1G0564680 transcript:SECCEUnv1G0564680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPLAVIMLFLLVALLMVAAAQPAPKIDCPSACQARCAKNWKNKICNKDCNICCDKCNCVPSGTSQATRNECPCYANLKNSKNGKLKCP >SECCE5Rv1G0370800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:844055735:844059293:1 gene:SECCE5Rv1G0370800 transcript:SECCE5Rv1G0370800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSALLFPALCRFVCLLGFFCSLPLLGICDESESDRQALLCFRSGISAPAGVLASWSNASMEFCDWHGITCGATPPRRVVALDLESQGISGSIAPCIANLTWLARLQLSNNSFSGGLPSELGLLSRLTNLNLSINALEGNIPPELSACSQLQILGLWNNSLRGEIPPTLSQCKHLQEINLSNNKLQGSIPPAFGDLPELCILVLTSNSLTGNIPPSLGSSRHLTYVDLGINALRGVIPESLANSSSLQVLSLMTNNLTGELPKALFNTLSLGTLCLEQNSFVGLIPPVTVTSSPIKHLDLRNNNLSGRIPSSLGNLSSLVHLRLTNNHLVGSIPESLGYITTLEVLSLQMNDLSGPVPPSIFNMSSLKSLAIAQNSLVGRLPFDVGYTLPNIQDLLLTENSFGGPIPASLLKAYHLRWLYLNNNSFTGSIPFFGSLPNLEELDLAHNKFKADDWGFVSSLSNCSRLTMLALDGNNLKGKLPSSISNLSNTLDTLLLNNNKISGPIPPEIGNLKSLSSLYMNYNLLTGNIPPTIGKLQNLVLLSFAKNRLSGQIPDTFGNFVQLGMLEMDHNNFSGRIPASIAQCTQLTTLNLAHNSLDGHIPREIFKLSTLSEELDLSDNYLSGGMPDEVGNLVHLQKINMSNNRLSGNIPSTLSQCVVLEYLGMQSNLFAGSIPQSFANLVSIKQMDVSRNNLSGKIPEFLKSMKSLQDLNLSFNYFDGAVPTGGVFDIAGAVSMEGNYHLCTSIPTRSMSLCSAVVDRKRKQKLLILVLLPTVVATAILFSFIATFCWRKRMKTNPHLQHHNEQINIEKISYEKVSYKDLVRATDRFSSANLIGSGSFGRVYKGSLQFQEDQVAIKIFDLNINGAHRSFIAECEALRNVRHRNLVKIITLCSSVDHTGADFKALVFPYMPNGNLEMWLHLKDPENGERSILTLSQRTNIALDVALALDYLHNQCAPPVIHCDLKPTNILLGLDMVAYVIDFGLARFLSRTENAHQDSSATLSHLKGTIGYIPPEYGMSKEISTKGDVYSFGVLLLQLITGCSPADEKINDGISLHEFVDRAFTKNIHEVVDPTMLHDTSSTTDMMKNCIIPLLRIGLSCSMKSPKERPDMRRVSTEILRIKHMASYTCMSDEAKNWQESSYQQKFM >SECCE5Rv1G0319470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:376010479:376012089:1 gene:SECCE5Rv1G0319470 transcript:SECCE5Rv1G0319470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRDSNGDAARDPLLASYRVPLPPADPLLDKPRRSEWLSFWRDGPTSEVDWGSVRATCRGWIKHPMNIALLFWLLCVGASGGMLVLLLLGLLDRAFPAPAARAHWVEVNNQVLNALFTLMSLYQHPALFHHVFLLCRWRLPGDAAELRAAYCGKGGGPPRRGERAHMAVVVALLHLTVVCQYALCWLYWGFTERSRPELAEDGFFALGVGAPVAAVVYTVCSPLGKDPCGDLAACSDAASLRQQCPAMPAHAIAVVEPEWAGGMFEFDCGGDAAAGCCLSLSCTFCVFGWNAERLGFGNACVHATTFALLCLAPLWVLGVTALHVHDVAVGDVVGGAGVLLCAGGLLYGGFWRIQMRRKFRLPGSAACCGSKSATDYARWLFCWPCALAQEVRTASRYHIDGESFFHRKAFAAATTDEPLLIAPHRIAIAASDHEVQSKSDGHLVVVVHDEMVPPAVVPGDDDTCEQCNAVHVEKKIEVIDVVEDGSSLLASNAEMVDHRLSGGRWRVEKVRRIINVVTMVSLLVLLYTRGSIL >SECCE6Rv1G0381790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:35591432:35591737:1 gene:SECCE6Rv1G0381790 transcript:SECCE6Rv1G0381790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRINQSNLLNN >SECCE3Rv1G0169620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:238697410:238699911:1 gene:SECCE3Rv1G0169620 transcript:SECCE3Rv1G0169620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPGHRHPRSAEAETAAAVAVGQDGDEEPSVEVAFAGQPPPPWWRQVTVRSVVVSAVLGAVLSFMSMRIGLTAGVGPTFNIVASLLGFFVIKSWTRLLARFGVASQPFTRQENVVLQTCIISCSTLSFYGGFTTYLLAMTETVAKSAGGTGTGKDVYTLHTGNVVAFLGLVTFASLFCTLPLRKLMILDYKLMYPSGSAIAGIVNSFHTPAGAATAKLQVLAMSKAIAGSFMWASFQWVYTGGSGCGFQDFPLFGLKAYKQKFYFDFSASLVGVGMICPVLINFSMLFGSTITSFILWPTLQSKKGDWYNDPSPTNFRGINGYKVPMGISMVLGDCLFQLGSITIRAANHFHKNRQQRNPGGTNIPANGNSDEQTSLSYDERRRNKIFLNEGLPGHVSVAGYILFATVSAIFVPRIFPQIRYYHVALLYAVAPILAFCNSYASGLCDWSLASVYAKLAIFLVGAWVGEASGGAIAGLAACGVMLMIIGNAAELMHDFKTGYMTLTSPLSMFISQAIGTALGCFINPLVFLSFEKLVGKEHLGEAGSPFSAPLATAYRGLAVLSVEGTKILPKHSIAFCVAFFLMAFCLDCLSAVAKAKKWKASNYIPNAMAMAIPFLIAPNIAIDMALGSLLLVIWKKTDKKNANMLAVVVASGLICGDGLWALPSALLSIFQIEPPICMKFLSSHQTEVMREHFLPKVTTSQ >SECCE5Rv1G0350600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691330979:691333545:-1 gene:SECCE5Rv1G0350600 transcript:SECCE5Rv1G0350600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G17270) UniProtKB/Swiss-Prot;Acc:Q7DNC3] MASRVGAGGEAAGADAARRGVGVAGGRRMRLFSPEYYALCFGGGMLAAGATHLAITPLDVLKVNMQVNPVKYNTICSGLSVLVREEGASSLWRGWGGKLFGYGAQGGCKFGLYEFFKKQYSDALVDSNRSTVYFLSSASAQIIADVALCPFESVKVRVQTQPMFAKGLVDGFPRVYAAEGLSGFYRGLLPLWGRNLPFSMIMFSSFEHTVDFLYQKVIQKKKQDCSTAQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAKNVIHAIKSIGLRGLFTRSLPIRITLVGPVVTMQWFFYDTIKIFTGLPPSGGLPRDLEKVDT >SECCE7Rv1G0495180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:594991710:594995200:-1 gene:SECCE7Rv1G0495180 transcript:SECCE7Rv1G0495180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRGLLLLLAAALCLSGGADARHAKKSYQAVFSFGDSLSDAGNLIVDGIPKSLTTARAPYGMTFFGRPTGRCSNGRVVVDFLAEHFGLPLPPASQAHGKDFKKGANFAITGATALEYSFFKAHGIDQRIWNTGSINTQIGWLQKMKPSLCKSDKECKDYFSKSLFVVGEFGGNDYNAPLFSGVAFSEVKTYVPLVAKAIANGVEKLIELGATDLLVPGILPIGCFPLYLTLYNSSKKSDYNARTGCLRRYNRLAFHHNRELKQQLDLLQKKYPKTKIMYGDYFKAAMQFVVSPGKFGFSTALQACCGAGGSGAYNFNLKKKCGEAGASVCSNPSAYVSWDGIHMTEAAYRMVANGWLNGPYASPPIMK >SECCEUnv1G0558440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:332862180:332862476:1 gene:SECCEUnv1G0558440 transcript:SECCEUnv1G0558440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTDRESPLRGLSPSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE2Rv1G0085750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:196547902:196548498:1 gene:SECCE2Rv1G0085750 transcript:SECCE2Rv1G0085750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGDAATGSGNRSGAGTSIQVTALDGIVNVNSLFTLAAFLGLAWRPSSDGPGLADGADHLGACAAGDRIESDLVSFHVLAFACFLFSSLVALCLKQIVRTFPHYRRASSAAPGAAVSWTVKINRAALRVGILASAVGSVCGCGFLTMALVNVVQVKLGRLGCGAGGSAAWGAVIPLVTLVPTAMLIYIGIVFYAFTR >SECCE2Rv1G0105690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:624293194:624295341:1 gene:SECCE2Rv1G0105690 transcript:SECCE2Rv1G0105690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVFLVLLCLVASTLVCVGGARKMVGVYMLKKGDFSMKVTNWGATIMSIILPDSNGNLADVVLGKDTLAEYVNDTAYFGPLSGRVAQRMARGRFVLDGKVYHTYINDGRNAIHGGHRGFSKVIWTVKEYVAGGDSPYITLHYRSFDGEQGFPGDLDVYATYQVSSPYVLSIRTNATALNKATPVNFLQHVYFNLGGQGSGDVLGHTLQLSASRYTPMDEELLPSSGRVEPVAGTNYDFRTPTPIGARIRQVMGGKGVRGYDINYVIDGAGMRKVAAVRDGASGRALQLWANQPAMQLYTGNGLNNTRGKGGKVYRQYGGFCLETQAYPDAVNHPEFPSVTVRPGQVYKHDMLLKFSF >SECCE7Rv1G0453870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:48107:50442:-1 gene:SECCE7Rv1G0453870 transcript:SECCE7Rv1G0453870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMHRDIRTMLIAGVATAVCCAAWNLYNYWTSLSLDTYGKDMTAAASRADPVVGRDDEIDRLVGILCRRTKNCAALVGAAGVGKTAIAEGLAQRIAAGKVPRQLAGARLVEVDLAAMVAGTQWRGMFEERMRKVISHAEASNGKIILFIDEMHTLPRAGDSDGVADAASMLKPALARGRIRCVGATTFDDYRKHIEKDTALERRFQKVHVEQPTTQATVAILHGLKQRYQDHYRLEILDAAVDAAVHLADRYITGRQFPDKAIDLIDEACTSVNGKAKKAIVGPDLVARVVSRWTGIPITELDQDEKVKLTHLAERLHERVVGQNEAVDLVAEAVLRSRLGLARRGQPVGSFLFLGSTGVGKTELAKALAEQLFGSDKMLVRFDMSEYSCPSSVTRLIGAPPSYHGYHDGGQLTEKIRRRPYSAILFDEVEKADYSVINVFLQLLDDGVLTDGQGRSVDFKNTVIIMTSNLGAEHLIEGNTMEVAHDLLMKQVRKYFKPELLNRLSEIVVFEPLFHHQLKEIVNIQMKEIIVRVASKGISLVLKDAALDVILSESYNPMYGARPIKRWVEKNIITIICKMLVNGEAGEGSIITIDAAVDKKGLKYQVAKNESVADLV >SECCE4Rv1G0287660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:856388115:856389545:1 gene:SECCE4Rv1G0287660 transcript:SECCE4Rv1G0287660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNRGQEPTGPVYAPVPLRTALSLDLGNGQNGTFVHSNIDGSTTFLLPDVRSAAAPTHPTAPATATQNQNHERAIHLIKLLYSCVAALDEGEKELANKGLEMICSLATDNGDPVHRLVSSFADALALRIVQPWQGVCRALQLPKTTPAPAAAAARRHFAVMCPFLRLAGTAANYAIIDAVQTESNAVLHVVDLGGADPSQWLLLLRFFAKLPGASAHHQILRLTIVNEDDEFLSSTAALLALEAKHLHVGFQFHPVKLHINQLLSVEPLGVRSGEALVIVSTLQLHRLLADEFTEVATRPHDKKGKTPVHATMTRADALLRDLAALSPKLMVVTEQEAKHNGVEFRVRFGNALKYYGALFDALEESVPARGSAIEREGVERCLLLDEIRDIIACDGAQRRECHEPMLQWAARMEAAGFVTAPMSPGNVAQTAILARMLAGGSRAYRVCSENEGRCVFIYRDDLPMFSVSTWRTV >SECCE2Rv1G0113690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:724945909:724948153:-1 gene:SECCE2Rv1G0113690 transcript:SECCE2Rv1G0113690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRVAMAAWWVCGLLPLLAVAAKGNSEPLIRLPTENGHAPAPAPAPGPAASAAEEEVTKWAVLVAGSSGYENYRHQADVCHAYQILKKGGLKDENIVVFMYDDIANSPDNPRRGVVINHPKGKDVYHGVPKDYTGEQVTAKNLYAVILGNKTAVTGGSRKVINSKPNDHIFIFYTDHGSPGSLGMPNSADVYADDFIKVLRQKHASKSYSKMIIYVEACESGSIFEGLMPQDLNIYVTTASNAKESSFAAYCPEDGTPTPPEYYTCLGDLYSVSWMEDSETHNLKNETIKQQYEVVKERTARNGSIIGSHVMEYGDKTFKEDMLFLYQGFDPAKSSITNRHRLPSLKGAISQRDADILFMWKKYERLNGGSEEKQRALRELKETVLHRKHLDVSIDFIGKLVFGFDKGPSVLEAARGSGQPLVDDWDCLKRMVRVFESQCGSLTPYGMKHTRAFANMCNNGVSEAEMKEASISACDGYDMGKWSPLVRGYSA >SECCE3Rv1G0200830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:858056477:858060735:1 gene:SECCE3Rv1G0200830 transcript:SECCE3Rv1G0200830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os01g0869900)] MEKYEAVRDIGSGNFGVARLMRNRETRELVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKEVVLTPTNLMIVMEFAAGGELFERICDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSAAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGGYPFEDQDDPKNIRKTIQRIMSVQYTIPDHVHISTECRQLMASIFVNVPSKRITMREIKSHPWFLKNLPRELTETAQGMYFRRDNAVPSFSEQTSEEIMKIVQEARTMPKSSRPSYGWGDERSDDEEEKEEEERPEVAEEEEEDEYDKRVKEVHASGELRMSSLRIS >SECCEUnv1G0535320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:55289800:55291371:1 gene:SECCEUnv1G0535320 transcript:SECCEUnv1G0535320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLNEYEKLVIRMDTPKVVIDNAVCPTATLVQVDSARKRGVLLEAVQVLADLDLSINKAYISSDGRWFMDVFHVTDRLGRKLTDDSVITYIQQSLGTWNGPSKPAALEGLTVLELTGADRTGLISEVFAVLADMSCSVVDARAWSHRGRLACLVYLRDEDVAAASVERIEARLAPLLRGDSEASRGAVSAVPAASIPHADRRLHQLMYASRDQERAFPTPSVFVESWAERGYSVVTVQCADRPKLLYDVVCTLTDMDYLVFHGTIDTNAGHARQEFYIRHADGSPISSEAEMQRVSQCLQDAIERRSFEGVRLELCTPDRPGLLSDVTRTFRENGLLVAQAEVSTKGDMATNVFYVTGTAAGQAVQQNAIEAVREKVGVDCLVVEEHRPQLYQKARGQDGRDDRNGAGIGLFYLGNLVKRNLYNLGLIKSCS >SECCE4Rv1G0230510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:164371011:164374201:-1 gene:SECCE4Rv1G0230510 transcript:SECCE4Rv1G0230510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPHGDSEKTEIGEIDTRAPFESVKAAVSLFGEVRFSSERSATRRAQAPQAERVLAKETELHLAQKELDKYKEQLSNAETTRLQALSELERAKKSVVDLTNKLDAVNKSKELNIRATENANIRTKELEGGSSSEAVGKDGPLKQELDNAREQYAIALADLDAAKQELRKMRKDFETSLDAKLLAAQQEAESVQSSESNKEKASQLRTEIAMVQESLTHAKAATEQAREEEANILAEKDVARRTCKESLEEAQRKLSNLRNDFDPVAFKGLQEKLDETYSEIASLQKKIEDARDRDLESVSAVSTELDDAKETLQKVAQEQTSLSSLVESLRLELHAVKEEHSQLKHKDAEIESIVGELHVKLQKCKSDLETAVATESDATLASDDLMLALEQLSSESKNALQEAEVMQKRAAELRDEAEAARASLVEAEQKLQSSLKEAEVAKAAETRALDQIKQLSEKASAVRASISEPGANITISKEEFESLSRKVEQTEKLAEMKVAAAMAQVDAVRASENEAIKKLEAARKEMEDMELATEEALKKAETAEAANKAVESELKRWREKEEQNKNNETYVPPVGATSLADAPSHKAPSAKANEKSNGHQKNSKAVLRKSFMLPSITGMFHHKKKNNADGSSHS >SECCE5Rv1G0359340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:761436302:761444177:1 gene:SECCE5Rv1G0359340 transcript:SECCE5Rv1G0359340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWAPGICAVALLWLAAAAAGDPDPDELERAFPIVEPDYGHTKLRLSEQGLEAIRRIENPIAIVGVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWIWGKPVEMDVDGTKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRVKGEEIAFEPAKLLWLIQRDFLQGKSVQQMVNEALQRVPNDNGNKYIDEVNQIRDSLAVMGNNSTAFSLPQPHLQRTKLCDMEDKELEPLYVTRREQLKQVVGSIIKPKFVQGKTLNGKEFVSFLQQILEALNKGEIPSTGSLVEIFNKAILERCLKVYKEKLEGLHLPVQVEKLQQIHEVANGEAKLLFDKQHFGKHHAVQSILKLEEEITKVYKNFLLANEYQSSKLCEARFSECEDQMDHLQVLKLPSMAKFNAGFFYCNRTFVMECVGPAKERYDHRMSKMLLKSRALFIKEYNNKLFNWLVTFALVMVVLGRFVIKFFLLEIAAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIALLLLFWLFYWRCFARRKRGSRSVLPLYKNSHKNSSRPRSD >SECCE5Rv1G0304800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:61963294:61967883:-1 gene:SECCE5Rv1G0304800 transcript:SECCE5Rv1G0304800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSGDEVMELNCVRAGDPGEYAAVLKRKLQLYCAAVAKTMEAKPQESSLNCLNSQASDTSPLVSQASFDGDGTVVQGKPANSCTSKEQSDDDDDGDLEENTDPASAKRVKRMLSNRESARRSRKRKQAHQNDIESQVTQLRADNASLLKRLTDMTQKYKEASLGNRNLTVDIETMRRKVNIAEEAVRRVTGASLMFSTTSNNAPLASCLSVAASADAAPTEESMSHFLLGLLEDNMIKQDPSEVATPLPNGEEMASRPAPLRRVASLENLQKRIHRDSVHSEDTSIFSDHEVPANAQ >SECCE2Rv1G0078500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:108248178:108250289:-1 gene:SECCE2Rv1G0078500 transcript:SECCE2Rv1G0078500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSYIHIFHETGSLHAKAMARGPLDLWNHWSIQILVLFSLALQVFLFVFARIRRRGANPLLRILLWLAYMLADSTAIYALGHLSLSRSSKGLGQEQLVAFWAPFLLLHLGGPDSITAYALQDNQLWLRHLQILVVQVLGAGYVLYKRIADNGFFVMLASILMLAVGFVKYVERTWALQRGNMGTIRSSLKNEPHAKHHQFHALDQGFREGAANEEELYVRRAHSMFHVCKRAIVDSWIEKDSENHGGEMLRDIRNEDYKGMWTLMEVELSLLYDILYTKAAVIHTWPGYGIRVISPLAIVASFLLFHFSVKDSHSRVDVAITYTLLSGAFLLEMASLLIALGSSWTYTFLCTTRWSWLRYTALCTGRWDQLRQLVKKFTRRQVGGQARRWSGEMGQYNMLHFCSRHDTVFSPLLGRLAKLWGQNELWNRKHYSGSIQITDELRLWLHWYIERLPRRNKVNTQGMLRTNWGAEALLHYGCFDDFKKYLGVELQEGIIIWHIGTDVFLARSSRSKAYDAAQEQVVRTIRTLSNYLMFLLVERPNMLPGLAQTRLYQQTCQNLVDMWRKSEPSSRSGPTKNFRAMIKELFRMRDDPNTSRLSERDKLAITLYNEKPRYSTDVPRLCYANWLTGALLKREEEKGSVAVLELVQRVWMDFLFYTANRCSRESHAKKLSSGGELTTILWLMADYFHKHSGLDAPEEV >SECCE4Rv1G0286120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:848091155:848093790:-1 gene:SECCE4Rv1G0286120 transcript:SECCE4Rv1G0286120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMPLPAAAPPLDDENLLTEILLRLPPLPSSLPRASLVCKRWRSIVSDTRFLRRFRLHHRRNPPLLGCFDKGPQGISFTPTTMDSPDCVPTARFSLQVDYSYDFLFLGCRDGLVLISLGSEKQVLVWDPFTGDQHRIAVPPCFERIAHMVQGAVLRAAGEVHHFQVVLVEAASVDEQHIQLLACVYSSETGVWGNLISTSTRVPFCCTMFTGVPPVFVGNAIYWMLGAYLVAILEFDLEKQSMAVIQVPMDISVENDFALMRADGGGLGVLTVSGFTAKLWKRKTDRDGVTSWRVGRTIEVDKLLSLNSDKERTNLFIIGFAEETNVVFLPAVDGVLMVQLESLQFRKLDVSDNKLCLHPFESVYTAGT >SECCEUnv1G0527390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1183451:1185431:1 gene:SECCEUnv1G0527390 transcript:SECCEUnv1G0527390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETGTEMERRAHARVGLLGNPSDVYGGRAVSLAVAGLWASVRLRPSDHLLVQPHPRHDLVAFPSLHALVERLDGGGYYGGARLLLAICRVFHNHCKHSGIALEDKNFALSYDTNIPRQAGLSGSSAIVCAALSCLLDFYGVRDKVAVEVRPNLILDAEKELGIVAGLQDRVAQDFSQEHMDKLGHGIYTPLDVDLLPPLYLIYAENPSDSGKVHSSVRQRWLDGDEFIISSMKEVAQLAYDGHNVLLQKDYSELARLMNRNFDLRRKMFGDDALGEVNIKMVEVARSVGAASKFTGSGGAVVALCPDGDAQAELLKTACQEAGFVVELIGVAPSALTKEELASLSSSHQ >SECCE4Rv1G0295750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:899956198:899959753:-1 gene:SECCE4Rv1G0295750 transcript:SECCE4Rv1G0295750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPRQPDLALQISTTSSSSSPPAAPPGSWGRPPDATGATGMDHFSQHLGFLRASATDNANKDGTPPSAWTPPPSAATAAGYSNHISNHYHHRMNALLKPIRGVPIYHHHPSFQQLQHQHMAMAYRTSGVSGGGGFLSSRSRFPPGRRSVRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKSTDKPMTSPGQNEGFDNGSAGEISDESLPEALNTHRGTDQNGSSANSHSSSSYNGGLWSNSSSRVGWPGFGTNNTENRGQCHKEADASKSLEMSAEMNVSCISEQTSSPPVPNLEFTLGRTHH >SECCE6Rv1G0424120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:699341674:699354044:-1 gene:SECCE6Rv1G0424120 transcript:SECCE6Rv1G0424120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPALLFFLVLAAASLLPSTAQPWHYPHYSNITTNLSTVWTNNGKFSFYNSSAVRPILQGLSLEQDPELPGFAFVAGFICALTPACDMSFFAILIVHVYAGILERVYPVQVVWCANRASPVGENATLELTTDGNLVLRDADGSHVWSSNTSGRSVAGMLITKIGNLVLFDHKNVTVWQSFDHPTDTLVLGQSLQEGTRLIASTSATNMTQNQLYVTVLPHGLCAYAESAPSQPYYCSPSVTNNNKGNVTFVNGSLVRSDFSDITQLPPAKSTQYMRLDSDGHMRLYEYEWSMEKWTVEYDILQIDDCVYPTVCGEYGICTQGQCACPLQNYSSSSYFKPVDERKPNLGCTPITPISCQEIQHHSLVTLTDISYFDKSHDIENLSEDDCKQACLKNCSCRAVMYSYDRLGPGRCTWMTKVFSLQAIQPIGNLSSSTYLKVQLNPSISASSSNKIRYISNRKKVMVGATIGAITSLALFVIVVILYLRRRNKYQDKDEEFDFNQLPGKLTRFSLDTLRECTEGFNKKLGEGGFGSVSEGKLGEQRVAVKRLEGARQGKKEFLAEVETIGSIEHINLVSLVGFCIEKSERLLVYEYMSRGSLDRWIYYRHNNPSLDWCTRCRIILDIAKGLCYLHEECKRKIVHLDIKPQNILLDDNFNAKVADFGLCKLINRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVVMEIVSGRKNIDHSRPEDTTRKTGFADGQIYADGCPQNDQLVDLIDKHSNDMISHQEEVIQKMKLAIWCLQNDSIRRPSMSIVIKVLEGSMSVETIDANSVMLVQHNASTYSVPPQASVLSGPR >SECCE5Rv1G0311960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:213424107:213426173:1 gene:SECCE5Rv1G0311960 transcript:SECCE5Rv1G0311960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g71460, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G71460) UniProtKB/Swiss-Prot;Acc:Q9C9I3] MAIAMASTSSPVAALKHPHFLNSKPLKPLKRARTRSCALADADADAAAAAPRTSQSELRPDSKNAPALSAEIRRLVRAGRLRSALCLLDHLSHRGVPASPSAFTALLSVCHSLAHARQIHSHLRVHGLDSNEFLLARLVEVYLAVGAAEEARQVLGGLPRASAFSWNALLHGHVRRGRREAGDAVAGGFVEMRAAGANANEYTYGCVLKSISGSARPSMVMATATHAMLVKNAFAGAPGMLMTGLMDVYFRCGKVKLAMMVFEEMPERDVVAWGAVISGFAHKGMKREALEHFRWMVENGVKVNSVVLTSIVPVIGDLRARNLGREIHGLVLKKFPDRKDVAKVHAGLVDMYCKCGDMASGRRVFYSTKKRNAVSWTALMSGYASNGRPDQALRCIVWMQQEGIRPDLVAVGTVLPVCTKLRALSEGKEIHAYALRRWFLPNVSLCTSLITMYGACCHLEYSRRVFHAMDKKTVRAWTSLVDAYLKNRDSSTAIEVFRSMLLSNRRPDAVAITRILSASSDIGALQLGKEVHGQVLKLRMEPLPLVAAELVNMYGRCGDLKAAQKVFNRTDSKGSLTCTAIIEAYAISQRHKEALDLFSWMLSNNFAPTIVTFNVVLRICDAAGLHDEALEIFDSMVQGYNLEASEENYDCIISLLTSAGRTAEAQRFADLKAALFSSPAPFLDFEQQ >SECCE5Rv1G0356700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741808522:741808908:-1 gene:SECCE5Rv1G0356700 transcript:SECCE5Rv1G0356700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTSLLAVIMACTLLLTGHTCHGARHLADTTPAAAPAAAVPSLAAVPTDTVTLLPPMPAVTLPTVPQVTLPPMPSVVVPKAMLPPMPKVTMVPMPAIVVPKMTLPPMPFVPNVNVPMPFLAPPPAA >SECCE5Rv1G0320680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:390938573:390961919:1 gene:SECCE5Rv1G0320680 transcript:SECCE5Rv1G0320680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVEMGEQEEGQDQDGIAVDDPEKKASAASGRLQLPPSSSLREALASTSSLSLREQHHDEEVELKWAAIERLPTWDRLHTSLPLHASVNADGARPLEPVDVRRLGAADRRELVHTLIADIHKDNLRLLRHQRRRMDRVGVLQPAVDVRWRNLRVQAECQVVDGKPLPTLLNSAISTLSLLTTMLGFNRNQERIHILKDVTGILKPSRMTLLLGPPGCGKTTLLLALAGKLNKNLKVTGEIDYNGVKLQDFVPEKTAAYIGQYDLHVPEMTVRETLDFSARFQGVGSRAEIMKEVIRREKEAGITPDPNIDTYMKAISVEGLERSMQTDYIMKIMGLDICADVLVGDAMRRGISGGEKKRLTTGEMIVGPSKALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYKLFDDIILMAEGQIVYHGPKGYIMSFFESCGFKCPERKGSADFLQEVLSKKDQQQYWSRTEEGYNFVTVDQFCDKFKASQSGQNLAAELSKPYDESKGHKNALSFSIYSLSKWDLLKACFARELLLMKRNAFIYISKSIQLGLLAVITGTVFLRTRMGVDRIHANYYMGSLFYALLLLMVNGFPELAMAINRLPVFYKQRDDYFYPAWAYAIPSFILKIPVSLVESIAWTTISYYLIGYTPEASRFFCQLLVLFLIHTVTLSMFRCVASYCQTMVAGSVGGTMAFLATLLFGGFIIPRSFMPNWLKWGFWLSPLSYGEIGLTGNEFLAQRWSEIKISGVALGRSILMDQGLDFSSYFYWISIGALLGFTLLFNVAFAIGLTIKKVSGTSRAIISRNKLTTFDGGDQDNNAEITMPKLQAETALSPNRTGRMALPFTPLIISFQDVNYYVDTPAEMREHGYMEKKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLSGRKTGGVIEGDIRIGGYPKIQQTFARISGYCEQTDVHSPQITVGESVAYSAWLRLPPEVDAKARNEFVNEVLETIELDEIRDSLVGIPGVNGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIDIFEAFDELMLMKRGGELIYAGPVGHHSCEVIQYFQAIPGVPRIKDKYNPSTWMLEVTSTSMELQLGADFAQMYRESSLCKDKDMLVKRLSIPVPGTSDLHFPTQFPQKFREQFKACLWKQCLSYWRTPSYNLVRMVFIAVACIFFGVLFWQKGSINHINDQQGLITILGCMYGTTLFSGINNCQSVMPFVSVERSVVYRERFAGMYSPWAYSFAQVAMEIPYVLVQVVIFMLIAYPMIGYEWTAAKFFWFMYTMVCTLSYFLYLGMMIVSLSPNMQVASILASMFYTLQNLMSGFIVPAPQIPKWWIWLYYISPMSWTLNVFFTTQFGDHEDRMIDVFGETKSVAAFMRDYFGFRRDLLPLAAVALAAFPVLFAVLFGYNISKLNFQRR >SECCE7Rv1G0461120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:35845848:35848647:-1 gene:SECCE7Rv1G0461120 transcript:SECCE7Rv1G0461120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPPPAAALPDDAVEEILLRLPPDDPGCLFRASLVCKAWRSAVSHPHFRRRFIDLHRHRPPPVLGFLHNWEDERIPDFVPTTASPFSLPAPDRRFWRPLDCRHGRALFLSDQRQETQELLLWEPITGARQGIPVPAAFRSQWPTAAVFCTADGCDHRDCAGGPFGVVFVFTVDISDDVDVVTSACLYSSETGTWGELTSREYEFTTDFEHHSSVLVGRSLLYFLTDGGMFLEYNLDSGELAAFRPPPDYYGSDCERFNLMLAEDGGLGAVEGIDFELLLWKREASDGTDARWVLSRIIGLHSFGGGTPAPVLGFAEGANAIFVKTIGSLFMIKLQSEQGKRVCGNHGFGNLIPVVSFYTPHSRLQVPDGEHHDPAQRLNLLRRSGQQRVSEETSLELAQVLFDKGCKAINEKDFANAADCFRHALEIRVRHYGGLAPECASTFYRYGVALLCKAQEATNRSGNVSKRAPNEESITPTTNNDDAGSSEASGSSVEHAPPSREGDYEEGANLHDKDQKDGNMTGDGDDSDLHLAWKMLNTARVIVAKSPDKTMEKVIILN >SECCE2Rv1G0123130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:821512348:821513627:1 gene:SECCE2Rv1G0123130 transcript:SECCE2Rv1G0123130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGEIHLQIAGTRRGAEEAEGVADNGTPPATAAPAPPSMSERVQWWAVVLVNIVLVLAGQSVANLLGRIYYDQGGGSLWIATVVQSCGTPLAIPLLLYFGRRPKATASAVTRPPLLKISAIYAGLGVLLAGDNLMYSYALLYLPLSTYSLICATQLSFNAVFSYFLNKQKFTALILNSVVLLTFSAALVGVSHGSDGTNSSVPAGKFPLGFALTLSASALFSLILSLMQLTFDKVLRSDTFYDVMEMQFWSNTAAAVVSVAGLFISGEWSTLDGEMDGYKKGRVAYGMTLAWTAISWQLTTMGLMGLVASVSSLFTNVISTVGLPLSPIIAVIFLGDRMDGVKVLAMLVAVWGFLSYIYQHYLDDAKAKKILAERSADDDDQHQTVKLATE >SECCE2Rv1G0081710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:143975231:143978933:1 gene:SECCE2Rv1G0081710 transcript:SECCE2Rv1G0081710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSIAGKVRSFFSFAAARDRCFSRRFLAAGLRPVSVQLPDSADPVTTVHMWVPARPPRNPLVLLHGFGASATWQWYPYLRPLIAAGFDPIVPDLLFFGNSSTRLPDRSDTFQAWSIKTALDAIGVTKFGLVGVSYGGFVGYRMAAMYPDAVERVAMVCAGVCLEEKDLAEGLFPVARVDEAAALLVPRRPEEVRRLVRLTFVRPPIIMPSCFLWDYIKVMGSDHMEEKTELLHALISGRQLSTLPKLRQKTLIIWGEQDKVFPMELAHRLKRHLDGNSRLAVIHNAGHAVNLEKPTEVCKSIIEFFQEPIPEASNDERV >SECCE7Rv1G0481650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:285939072:285940373:-1 gene:SECCE7Rv1G0481650 transcript:SECCE7Rv1G0481650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDEETASQKSRFFRDARVEAMQRRVDEVHAEAEDPCTIFRLPAAVRERHPDLYEPKVVSVGPYYHGRAGLGAAQQHKWRLLRDFLSRTNGGKAAGGGGSQLGAYLRCASALEADARRCYAEGFDAVGADEFAEMLVLDGCFLLEFFLRKGEGQLAAPGGAKWAWQHMYHDVLLLENQVPFFVVEKLHAIAFPGEDGGPDSEGLLDIFCKALAGDLPSSRAIRPRSGKTIHHLLHLHYECNVRNQSADASDAKKGKADANGASSLAVWKQSPVPSPRSSDGAVKGRLTSMVPQAAKMEEAGVTFKRKATPRDMFDVSFRYGVLHMPAFVVDEAAKVLLANLVAFEQGGGRAARQLDGGNLVTGFVALVGSLVNTTRDVEVLRRCGVMHCMVTHDEAVRYFSHVVQYTTMDYDRHLLACLFRDIREHCQWSR >SECCE5Rv1G0372840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:854925642:854927734:-1 gene:SECCE5Rv1G0372840 transcript:SECCE5Rv1G0372840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIPGFSLYRGLYEFGQYAFTGTAMGTDGMTWTNLSDPVNGMRTVLIIMVVEWAILLPLAFYLDQVSLLGGGGLRKRLLILLKCFKKRAASFRMHSFGRLGSKVIVEMENPDATQEREAVEQLLLEPNANYAIICDNLKKVYHGRDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLVPPTSGTAYVHGMDIRTDMNEIYTNMGVCPQHDLLWETLTGKEHLLFYGRLKNLKGAELLKATDDSLKSVNLFRGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNSLWSVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCIGNPKELKARYGGTYVFTITTSPEHEQEVEQLVHRLSPNANRIYHISGTQKFELPKQDVKIASVFHEVDSAKCRFSIHAWGLADTTLEDVFIKVAQGAQAFSVNA >SECCE5Rv1G0307000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:104164434:104172279:-1 gene:SECCE5Rv1G0307000 transcript:SECCE5Rv1G0307000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSVARCNECVVYHNWHRKGDWKKRFVKIVTGDFVDVPRKFLQNNIRGQIPDEVNLDLPNGKTYTVQVSKQENGLIFQSGWAEFARTYELVQGDILLFESSGSSCFEVRIFNQTGCEKELSCVAMKNTACLNEKSMPHDNDMQSSNNERCGNMSGKRHATCKVCAAFYYWHHMDKMRFFMVMMGVSASKNELTIPKEFAKNVRGKISDEIKLEVPDGQTYRVQADKEPNEVVLRSGWDAFVSAYELKEGDTLLFAYTGNSHFKVRIFNLSGCDKLLSCVMKNNPIQSPRESMKKLCTVCLECVDRHYWHMKDHDWCFVKVMSLSNFKDEMAIPDEFTTNFRGHISDKVKLEVPDGNIYNVQVAKEQDKFILRSGWVDFTGAYELKQYDSLFFIYSGDSHFKVRILNPSGCEKTLSCVTISCGPNVQERVICHALSLPSIKRCRNYSLTDNRKTAKVTPTDSPSQKSSSEINTQDVTSSKDIPEPRSSGGLPGSTESRYILEKGCILTSAQKARVDTFVKESWTGIQIYVTVMNEKSLSDGCLVICKDFAAKHLPRQDQTIKLCYPRNSKTWDANLAVITDGACTRSCILAAGWLDFVRDNNLREGDICAFEVSKDNDRVIITVHLLKNVVVTGHTKPTSQQQKKWTHPGYVVTKYTKLTCKQKRKIEERIQAIKPETRIFVSIIYSSRAKLSIEVRYATAHLPREEQWVRLQLPGKKHTWKAKLYIGDKDKGKCYALQTGWKKFVDDNKLQDDDMCLFELLKNVELTMNVHIIRGD >SECCE5Rv1G0318500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:362141702:362143869:1 gene:SECCE5Rv1G0318500 transcript:SECCE5Rv1G0318500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFGTLFGALFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIAEARDELHRMLNEDELRNAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSACATSGEGLYEGLDWLSNNIASKS >SECCE1Rv1G0004640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:19812988:19813650:1 gene:SECCE1Rv1G0004640 transcript:SECCE1Rv1G0004640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHPGLRRQLTAKQLESPDCRRSTIYGQTTAPTLLITVVVDSAFRARSSVQRHGFCQGPTTGTSPADGIDVVTSTYYYYVVDHARSERDSAEKFTGVQGGEARPTAGGCTRHETNNHVHPSGQGPYACPEAQLMANCPVIRLNEDSAVERILRWSAATLEAAASWVAGICGGRDHFVYACPESQLMADGLGG >SECCE6Rv1G0433960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763077973:763079024:-1 gene:SECCE6Rv1G0433960 transcript:SECCE6Rv1G0433960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNVVWQPQVVDEMPRYYKEKMQSEGKQFVFKETHHEECAKQINAKFTTAFTQRQVYHKFHKLKGQWKIILEAKNLSGANFDDVNKIILYDETEVVRMKNNKDKRAKYINVPIANFDEMEFIFQDKHATGEFTVLQTPYDRVHARDKDFIGDTEKSAIDIEVDPATQYDSDCLPDDTNNESSSSKRPRGGKRDKGKRVKCEESVVQDMTRSLRDMSDTMRFTHVTNPNENLFKIIDDMEEYPLFVRLALQTSLATNEQVASMLKGRPMAAIQEFVRRWVGDNFPEHHRILGK >SECCE7Rv1G0478820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:242010916:242012367:1 gene:SECCE7Rv1G0478820 transcript:SECCE7Rv1G0478820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYGAGDLLGEAMCAGARVVVVEDCVEAPAAFVLHLLLKRALAAGGGVALLALAHPFSHYDRVLRKMGCNLSMHRKSERLHFFDLQAFPGGTRGGAIADSLAQLYSGLQRVVETYRTGENAGRFTLMIDDVSLLEVAAGGSADDVLDFLHYCVTLTSEMNCSLVVLVHEDIYSSEDGVGLLAHLRYIADLVIKAAPLSTGLAADVHGQLSVVNKGMLIEQRPAKGRKVWNFHFKVKENGADFFYPGSRH >SECCE4Rv1G0276200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797263248:797268247:-1 gene:SECCE4Rv1G0276200 transcript:SECCE4Rv1G0276200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSQLLELLPQQWLLLLFILPLISLLFLHRRWNRSGGLRLPPGPWKVPLVGNLHQIGPLPHRSLSTLARRHGPVMMLHLGTVPTVVLSSPEAAREALKMHDADCCSRPPSAGPRLLSYGYKDVAFSPYSDYVRDMRKLFIIELLSRRRVQAACYARDTQMGNLVKNLTNIGRKPVVVADHIFATLDGIIGSFAFGENYAAEQFKGQFVPVLNETMDLLSGFSAEDFFPNSVGRFVDKVTGIKSRRERIFKKLDAFFEQVIDQCVDDDPNRRRQPGDKCASVLVQELVDLWKNPAGTTNSFTREHAKAILMNTFVGGNHTSSVTINWAMAELIRQPRVLGKVQDEIRAIGSKTELLQHDDMPKLKYLKMVVKETLRLHPPATLLVPRETTGPIQVAGYDIPAKTKIIVNAWAIGRDPNAWNDDPEEFRPERFEDKDVDFNGAHFELLPFGSGRRICPGLAMGVANVEFILANMLYCFNWELPDGVSCEDVNMEEAGALTFRKKTPLVLVPTRYSTCP >SECCE6Rv1G0382090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:38800056:38802533:1 gene:SECCE6Rv1G0382090 transcript:SECCE6Rv1G0382090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGTTAAGDGELESLLRNFHRFSQGYKDTLAEAQALRVNCSSESKKREALESHITDLKKDNERLKRLYTETLFKFTNQIKYHTEAQSLKEELGKANSRLLSMEEEHKREIEQLKHDNEMNCNALESKLSCALVQQAADEAAIKQLKLDLGAHKAHIDMLGSKLEQVTAEVHMKYKNDIQDLHDVIMVEQEEKDDTQRKLKTAENELRILKMKQAEQQRDSISVQHVESLKQKVMKLRKENESLKRRLASSELDCS >SECCE4Rv1G0234130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:267429062:267429436:-1 gene:SECCE4Rv1G0234130 transcript:SECCE4Rv1G0234130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVEIVEQVLKTEVKQSTFLGNVGLQSSRNNSDKATTEVAAHVRDLEQKLERSELQAEVMQEELAAMKMKAEECEAARDKELELLRKKSQEQEEQLAHLMALFGAKVV >SECCE5Rv1G0342760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633728685:633729701:-1 gene:SECCE5Rv1G0342760 transcript:SECCE5Rv1G0342760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPPNSNESGKKNPLDAMGAFFSAQVNRRKLVSTQKLAMSERCTSCGDDFPGCAHRPADRKTWMAELGPDRLRVHQVVWPGTHDSATNKIGIPFITRPFAQCQSLSVYHQLALGCRLLDVRVQEERRVCHGVLATYSVDVVLDDVLRFLAETESEVLVLEIRTEFGREDPPDFAKYLVERLGEHLIPQDEAVFHKTVAELLPRRVMCVWKPRKSPPPGRGEPLWSSGYLRDNWIDTDLPETKYESNLKFLGQQPPARDRRYLYRVENTVTPQADNPVVCVRPVTNRIRGYARSFIAEAFAKGLGDRLQVFSTDFIDGDFVDACAGVTKARVDGAA >SECCE2Rv1G0128410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:860126147:860130254:1 gene:SECCE2Rv1G0128410 transcript:SECCE2Rv1G0128410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVLNALASYVTKMLANMAREEVAMLIGVSSAIDDLTIKLGDLQNFLADADRRNITDESVRGWVEELKHAMYHPTDIIDLCQLKAMEQGPSEDMGCLNSMFLCMQNPLHAHDIGSRIKALNKKFDNICKRGRSFNFIKLEVYQDQKTNRSHGIDRKTNPLLERSGVVWEKIEDDTRSLVQLLTKEVIDTSDSIMVFAIVGVGGIGKTTLSKKVFNDEAIQGKFTKKIWLSTTQEFSEVDLLRTAITTAEGNLPGPGGGSQDKTLLVPALASAIKDKKLFLVLDDMWGTYEWTNLLKAPISHSAPGSRVLVTTRHETVARGMKAVNPYHRINKLGPKDGWSLLTKQVLMTEKSEPAVDMLKDIGMQIVEKCDGLPLAIKVMGGLLCHKEKTRRDWEKVLNDAVWSISQMPEELNHAIYLSYEDLSPCLKQCFLHFSLKPKKMILDDTQFIDMWIGEGFVHGNSDILEEIGTEYHTELRLRNLIELDTSYASHYVCKMHDVIRSFAQFMTRNEALVVHNGDTVKSKLHLQSFLRLSIETNGVESDEFEWRSLQEQISLRSLMLIGNFKVQSGDSLVTFSSLRTLHVESAEFATMVESLYHLKHLRYLSMDRCSDIDCLPENIHKMKFLQHISLEGCENLVKLPDSIVKLTELRYLQLEGTSIYSIPRGFHALTNLRTLSGFRIHMDGDWCSLEELGPLSQLRGIGLVGLENVSDASFVTKVRLDKKMHLSRMRLLSSTTCRKNQGIIEEVFNELSPPPCIEIISVEGYTGRQLPRWMMSTTTMPLNSLRMLVMKDLACCTQLPDGLCQLPWLEYLKVNCAPAIKCIGPEFVRPQSHRCYPSSRMVTAFPRLHEMDLLDFVELEEWKWEEEVQAMPVLVELTFTRCNLKRIPPGLASHAKALRKLTLWSMWSLHSLENFASVVELDLYDLPKLISISNFPELQKLEIKSCPELTSLEEMSALRRLVLTVPGYGERFQLSPSKMGLPLYLQTVNPSHLLVDCGLEILGFMAAGESGSEWDKFSHIQHVEAYADSDCWDDKKWHVMYTREPFSMETNVQPAFPCLLQ >SECCE6Rv1G0446960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846795251:846795863:-1 gene:SECCE6Rv1G0446960 transcript:SECCE6Rv1G0446960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLCHELKSSLPAAEVWEVYGGLLLGQLIPQLLPDVLSKVEVVVGDGGVGTVLRLTFPPGIPGLEYQKEKFIKIDNENFVKEALVIEGGFLDLGFLKYLVRFEIAGDADNTSTIRSTVEYEVDDEHTSNTSFVTTSTFACIAEAITKHIKLRKGDEKALERTS >SECCE1Rv1G0063720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726490209:726490886:-1 gene:SECCE1Rv1G0063720 transcript:SECCE1Rv1G0063720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIEFPLLDQTSSNSVISTTPNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQNKNRCFTTSHKLYVRRSTHTGTYEQELLYQSPSTLDISSETFCKSKSSVHSYKLVN >SECCE7Rv1G0478330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:236226822:236228354:1 gene:SECCE7Rv1G0478330 transcript:SECCE7Rv1G0478330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitric oxide synthase-interacting protein homolog [Source:Projected from Arabidopsis thaliana (AT1G61620) UniProtKB/TrEMBL;Acc:A0A178WN49] MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKALIDPLACPKGHTFCKECILECLLAQKKDIKRKLIAHDSQKKQEKEEEEEKLVLQKSKELDAFDQQNHGAVPQYYDRSGSQDKNGFHGANSVKTTSFEEEALRTMKAFWLPSATPEATVKVDAPSTDTICPEGQEKLKLKSLFPISFTEENARQKSNKSVEKSYICPSCKSNLTNTMSLVAISTCGHVFCKKCSDKFIATDKVCLMCNKPCKERNLINLEKGGTGFAAHDDHLEAKNFKHLGSGSGLGLVKPAPKA >SECCE2Rv1G0116510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:765085670:765090071:-1 gene:SECCE2Rv1G0116510 transcript:SECCE2Rv1G0116510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAVRSWGELQHDLLVAIISRVGAPDLLSGGAPRTCSAWWAAARDPLAWRRVDLRDWTARTSARRASGTGATRGNISVQAALTADLEVAATRADGRMEAVLLPEFADEEHLMFLAERSPNLHYFSFPTTCMASDEFCEVISKLQSLKGMAVDESLVNYDVLLHVHQCCPDFLELKVFALYVDEDMASIICDSLPRLKKLEIPNSNMSCAAIVKFLDCLEELEYLDISGYETSAISSSVLHKASRLKAFIWNSKFELGEFIDCSNCGEHNINPQEPCKCTMDNKVMDWLAGPGPSQTA >SECCE4Rv1G0252080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:612009585:612011238:1 gene:SECCE4Rv1G0252080 transcript:SECCE4Rv1G0252080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSDELLGTFVPIAVYWLYSGLYIVLDRMEIDDYRLHPKGEEEVKNVVSKWTVVKGVLVQQGFQIAVSLLLFTIIGDDSGTVRKQPPALVIALQFIIAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLVAGMTPRTAIFFFSFATIKTVDDHCGLWLPGNILHMLFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLEERKGGGLEARPVNLGLAAQSKSD >SECCEUnv1G0541570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98036862:98037935:-1 gene:SECCEUnv1G0541570 transcript:SECCEUnv1G0541570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRFKTCRLLPGNVQNRELSLIQRRILRRLRNKRRSIKRNLSRRENLNSNIKSQTTRKLSLYYGDLPIREMHRGRERTSYIPFLLNQETRSDVIPVRLHFSDTLPQARQPISHRRVCLNNGLVTITHLKVSHGDLISFKENDARTRGFEIRRSFYIDISVGKIIGKFLSAISVGKRRGKFLQARIWRRTKTEWFRLLTTQRGCRLLLKSMELQELRSYMQEEDFQRTKKFGSAKVCLGSSFAEHNRMKRNLFHFKYFFLLKRGKEKNRNLPTRTISPFVYKSSLYSNSTYCSGSPFTRKIRIKRIELPTHYSEVNHRTLKAVVSYGPNIGHIPHDIRLKDPNLPLRSGNGRGQNI >SECCE6Rv1G0409930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:592869302:592869616:1 gene:SECCE6Rv1G0409930 transcript:SECCE6Rv1G0409930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKLVGVGFKARTEREGRELFLKLGYSHEVHFTAPPAVRVFCFKPNIICCTGIDKHRVHHFAGAVRCSKPPEVYKGKGILYIDEVIKLKPGKKQQKK >SECCE5Rv1G0376170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871558015:871559811:1 gene:SECCE5Rv1G0376170 transcript:SECCE5Rv1G0376170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAKKVEVETTTATKDIAEEKAIVPVPDNSKAIVAVAKDAEGTRGSSERDAYLTKIMSEKRTTLINAWEESEKARAENRAAKNLSFITSWEHAKEAEMEAELKKIEEQLEKKKAAYKEKLKNKLAMLHKSAEEKRAMAEAKRGEEIIMAEEMAAKYRAKGEAPTKLFGLLKA >SECCE4Rv1G0293470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885926947:885930851:1 gene:SECCE4Rv1G0293470 transcript:SECCE4Rv1G0293470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGDEEGAAAPKVVGLALSGPKSSAHVLRWALGNFAHDGAPPAAFKLIHVLTPVLAVPTPMGHLLPIDEVSTSVAEGELEKMWIEKQEMLQRCKDTCDENKVEAQVLLVEGKDVADTISSLVSQYEIKSLVVGTPSSKSPFTRRSSASRTACKICNSLPSYCTAYVVSKDGLSSVHSPVSESGSPPSSPEPTGNSGSSSTKEFTDGTPSRSDLDGSSAPGLPVFTLRDYLTGNVPVHADKDRRIASRTGAESSLSSQLQGSDKVPTSSLQELMLSDNKDDVSTGLEKPNLEPSHNRLLATASKDADRESRLEKLVLQGDSYSTFTWEEIVNATASFSESLKIGTGSNGTVYKGHLKHLDVAIKVLHSEDSSSTKHFNQELEVLSKIRHPHLLMLLGACPDRGCLVYEYMENGSLADRLQRRKGTPPIPWFDRFRIAWEIGSALVFLHSTKPSPVIHRDLKPENVLLDRNLVSKIGDVGLSTLMPPKETLSNRTVYKKTGLAGTLFYLDPEYQRTGQVSVKSDTYALGMVILELLTARCPIGLPEHVEQAVEDGQITHVLDESAGDWPVKEAHDLAQLGLMCLEMRSKDRPDLNSVVLEELGRLKRIAASVSGVALPGSPSHFKCPILKTVMYDPCVASDGYTYERSAMEMWMCDKDVSPVTKTLLRDKTLVPNLSLKSAITKWVSEGGRPVKEE >SECCE1Rv1G0031320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:441248981:441252133:1 gene:SECCE1Rv1G0031320 transcript:SECCE1Rv1G0031320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAMDYLRSCWGPTSSPDGRPRKGVDAAGRQDGLLWYKDAGQLVAGEFSMAVVQANNLLEDHSQVESGSLSTTDPSLQGSFVGVYDGHGGPETARYINDHMFNHLKGYASEQKCMSVDVIRKAFRATEEGFLSLVSSQWSVRPQLAAVGSCCLVGVICAGTLYVANVGDSRAILGRLVKGTGEVVAMQLSAEHNASFEEVRREMQAMHPDDPHIVILKHNVWRVKGIIQITRSIGDVYLKRPEFNREPLHSKFRLPETFRRPLLSSEPAITVHQIQLTDQFIIFASDGLWEHLSNQKAVELVHSSPRNGIARKLVKAAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFFDSNAIATDAWSRPTVSLRGGGVALPANSLAPFSGS >SECCE1Rv1G0062300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719215450:719219656:1 gene:SECCE1Rv1G0062300 transcript:SECCE1Rv1G0062300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFAVGPLISMLNNKLSSYLLVRYKVMDGMEEQREILERRLPAILNIIQDAEEKGAHRSGVSAWLTAVKKVACEANDVFDEFKYEALRRDAKEKGHYKKLGFDIVSLFPAHNPIVFRYRMGKKLHRIVRIIEVLVTEMNDFGFKQLQQDPPSKQWRSTDSIIIDSERDIVCRSRDEEKKKIVNILIDHASVRRDLIVLPIVGMGGLGKTTFAQLVYNDPAIKEYFQLRRWSCVSDDFDVVKIANSICQTSENDRDKALHNLQNEVIGKRYLIVLDDVWNENADKWKKLRTCLKHGGKGSAVLTTTRNEKVAEIMKMSIDDSHDLGKLPKVFLKEIFENRAFSLQKPNASELGDMVEKILDRCGGSPLAAKAFGAMLSTKTSMKEWTGILTRSNSCNAKSETLPILKLSYDDLPSHLKQCFAFCAIFPKDYEIDVEILIQLWMAHDFIPLKEDDNLEKVGREIFDELTWRSFFQDVKRISPGKEQNVLRSRTVCNIHDLMHDIALSVMGKDCLTIVDRPNQKELLSAGPTRHLFLSYRCIRTILDDHLKKQCPTLQTLLYTNMYTVGSTTYFSKYNHLRALQLFELRNLPLRPRHLQHLRYLDLSDNHLLKELPKEISVLYNLQTLKLSDCTSLGRLPKDMKYMTNLRHLYTNGCTSLECMPPDLGQLTSLQTLTYFVAGCSPGCSTIRELQDLNLGGELELSCLEYVTEEHAKASNLGNKEELTHLSLKWSDDSIDELDQQSNVLDALKPHAALEFLGISCYGDTSFPTWVTSLTFPQHLTGLHLDGCTMCEEFPLFGQFKALEVLVLKRLDKLQSLCSHNSSAAFPSLKKVTFSNLEIFERWVATEGEELTFPLLEYVEIEYCPKLATLPEAPKLQVLDVAEDKAQLSLPILRSRYMSQLSELFLSVDDTEATPTSKLDQVAEVSLSSMQLTGCSFLFSSSPLQPAVAVWKWFSQLASLYIYYCDTLIYWPEEEFRSLASLKILIIQGCSELIGPAQVKGCCTRGRGQFLPYLTDLTIFDCRSLTELFVLPPSLTALRVGKCHSIEFRLGQNDKELESLQHFDTAASLEHCNDLASENMAEQSPSPTINPLPCLEYLVIDSCNKIHSVPVQLDTLIFLSITYCSGLESLDCLGDLPLLDNLVLVDCKRLASVPGITGNYSALKQIIIKYCPALNMKPVYGHLQRRGDSLETKDLSDACSSNPDEGPRLWEPKSWKYVIPAIRNRAREYSIYQ >SECCE7Rv1G0459620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26579351:26580151:-1 gene:SECCE7Rv1G0459620 transcript:SECCE7Rv1G0459620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIIFRRLSKTLTMSPAAAVASGMTSQHHQLQQRAPVSGTSKGKAKLKAGMPLRRSVIAKKGGAPATAGSGGSGRGRREAIERITQIAESCLKSSTPLRHLSPKERLREAKREELGLISKERQRELDAAKAKAKAKSKGASGGDGDRVLMGPPGLDYISLGLVDEEAIPEYELTVEDGRRLAKEYSRVLMRRHRARQTAESTLLRLKKEAIAALPEKLRAAAMVPDMTPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR >SECCE7Rv1G0457260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:15323099:15323711:-1 gene:SECCE7Rv1G0457260 transcript:SECCE7Rv1G0457260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRAGAGFPFSIGCMSQSAVAVADPLDKKPPAPAPQPRQQADTPSSSSSSTTTAATAQERGAGEESGEDKARTATATAASGIVTAGVQRLLRGIKTFFAAYDGEEEEDDEDREIVIGYPTDVQHVGHIGWDGLNTVGGMGMVGAFPLPSSLSIRQLEIAMDPGAGTATCIN >SECCE6Rv1G0394230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:292100793:292102226:1 gene:SECCE6Rv1G0394230 transcript:SECCE6Rv1G0394230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGMGYSSGKAGSGGGGALPMTAARARGASPLTTHHRSRKISRTFNNVKITVLCGLVTILVLRGTIGLNLSLPSQPSDADALAGAKAVEDIDRILREIRSDSDPSDPTDSDLDSSSVLPNATALNSSEAAAAYAVAVANYALGPNVSGWDEQRRRWLAQNQGFPATVPGGKPRILLVTGSQPGPCDNPLGDHYLLKSTKNKIDYCRFHDIEIVHNLAHLDKELAGYWAKLPLLRRLMLSHPEIEWIWWMDSDALFTDMAFELPLSRYENHNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGFIREEAGKILTAYLKGRPAFEADDQSALIYLLLSQKKWMDKVYIENSYYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVDRCLKSMERAFNFADNQVLRLYGFTHKGLESPKIKRIRSQTTKPINDKENLDVKAKMLITS >SECCE6Rv1G0449840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:864743352:864744436:-1 gene:SECCE6Rv1G0449840 transcript:SECCE6Rv1G0449840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQPAVQTTVLRVTIHCHGCKKKVRKVLKSMEGVQDVKVDAQQHKVTVTGTVDADTLVKRLYKSGKHALPWQHTPAAPASSPEAPAPATPADDGATKDAAVADKKPAEPVKPDSSDKKPPEQGTAPEKKPETEKEAESEKEAEKEEAKPSDEAKKDGGESAATEPKAKDTEPASESAASTKEAGDDEGGDKKKQSKPKDAPAPDRSLSPTPAPAHAHQEFNPYSPPQPVMSYHAAQPRASVSYYAPQPEQGYSVRQQPPQPQQAYSQQAQPMQQWSPSYLYMPYPHATPESYYHDYYSPPGTHAPPPPPPPPLQDSYRLFDDENPNSCSVM >SECCE6Rv1G0404500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:520249122:520249673:-1 gene:SECCE6Rv1G0404500 transcript:SECCE6Rv1G0404500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILENIQKARFLPTRPLRDDLPTFQGGGGSKEESHLMGLRKRLSSFSGKIQPISSASAEWAFRRTRSAPSLAAEFAGGPLKRWWDWGLGWLLSKKLGFAGDLEMNEEEAAALGRNSRGTWAHVLYKLRSGVRRLVVSDHSLPTTQGRKGALPAPSSAHHHCKPAPQFAYTQSFQYGQAMAH >SECCE3Rv1G0143680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2009196:2011828:-1 gene:SECCE3Rv1G0143680 transcript:SECCE3Rv1G0143680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVPMGTDGGTSASGTAASRKAWKDENGVTVLRPPKSDSPVLTFVALALWLGAIHFNLLLVLASIFFFQKRTAALVLGTQLFFMFVHLSDRWGRKIGRFICKHAVGYFPITLHVEDYKALDPRRAYVFGYEPHSAVPFGMWALSDRVGLMPITKMKILASSAAFYVPFQRQIWTWLGVVPVTRENFCSYLRAGYSCTLVPGGLREMLHMDHDYDSEVAFIRSGKGFVRIAMQTGSPLVPVFCFGQDHGYNWWRPGHKLFIKTAAAMKAPPVLYWGKFGTPIPFRSPIHVFVGRPIEVKQNDQPTIDEINEVHDQFVIALQELCNKHKNKAGYSRLHLRLL >SECCE3Rv1G0191210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747446810:747453402:1 gene:SECCE3Rv1G0191210 transcript:SECCE3Rv1G0191210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMARRGRWAVPLLLAALLALALLGGVRGSEDGTAVYIVTMKQAAVSHKRLDLERFGSSKVAGGGGGDNPATSILRKPRHASPKSVNYGSLLVRLQNSLLKKTLRGEHYIKLYSYHYLINGFAVVLTPQQAEKLNRRNEVANIMLDFSVRTATTYTPEFLGLPEGAWVQDGGPQCAGQGVVVGLIDTGIDPNHPSFADDLTTDNYPVPAHYSGNCEVTSDFPSGSCNRKLVGARHFAASAITRGVFNASQDLASPSDSDGHGTHTASIAAGNHGIPAIVAGHHFGNASGMAPRAHIAVYKALYKGFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALMSAVKDGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDREYSNYVVLGNNLTISGVGLAPGTDGDSMYNLIAAPHALQNYTTTPIEMSLGECQDPSHLDKDLIRGKILVCSYSIRFVLGLSSVKQALDTAKNVSAAGVIFYLDPFVLGFQLNPTPMDIPGLIIPSSDDSKIFLSYYNDSLVRDGTSDRIVNFGAVAKILGGLKPNYGSSAPKVMFYSARGPDPEDNTLANADILKPNVVAPGSSIWGAWSSRGLDSAEFTGESFAMLSGTSMAAPHIAGLAALIKQKFPSFSPAAIGSALSTTTTLSDKQGNPIMSQRTYSNPDSTQTPATPFDMGNGFANATAALDPGLIFDCSYDDYLSFLCGINGSAPVVANYTGNSCGASTMTGADLNLPSITIAVLNQSRTITRTVTNVASDENYTVSCNAPYGVAASAAPAQFFLPSGQKQLVTFVVNATMTNSSASFGDVEFYGDRGHRVVIPFTVMSKAV >SECCE4Rv1G0248510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:572545085:572560852:1 gene:SECCE4Rv1G0248510 transcript:SECCE4Rv1G0248510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLLPHGYAHRAVTLATKPLPPPPAMLHFGTSQRYSCSFMPYSPFRPCQMCGVAVRNSSTRKMSLRNSSQLALQLRSSAFLTTGLSGYHSSVSSRAAAIRGVALKATSSVNSRLFSTAYGKKLGLLIRNKSSFSHPNINREDGSAAHSYVHRSERRKSTLAACSTIADEASTSTSKCSESGTDTKKDIAKKKNSRGSKKEVSEDVKEKKVSTKKKSTSAKTSKTAAKTTEKASAKQEEKKVDASKPKKGVGSSKEKKTVTRSKKPVKAKESAAIAAAEAKNCMKTTVDSSGSERRPLVPLYPPVAKSVVVVESATKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPTAAWTHLKSIKVALKGAENLILASDPDREGEAIAWHIKEMLEQQQDVLGSHVTVARVVFHEITEDAIKNALMSPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIERFSPQEYWTVDTEFETQCSDSSKGLCLTSRIKLLNSKKLDQLSISSQEEARAIEKRIHSCQFEVIGIKRSKICKNPPMPYITSSLQQDAANKLHFGAGRTMKVAQKLYEGISLSSEQTTGLITYLRTDGFHISDGAAEDIRSLVKERYGEQYAPEDIRKYLNKVKNAQEAHEAIRPTSIRRLPSSLIGILDEDSLKLYTLIWKRTMACQMEASRTELIQVDISNPEGDMIFHSSASRLDFKGYQAVYEDTEASGSSESPEGEAAHQDNFEALSKLKMKDLASPVNVNLGQHFTKPPSRFSEGALIKKMEELGIGRPSTYASIMRVLQDRKYVTIKSRVLHPEFRGRMVSAFISHLFSEIADYSFTANMETELDNVSAGSTEWKGLLTDYWERFSKYCADASQWDVRKVERMLEEKFLPILFPDLDTDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARTLSDEDDENETSEEIPKSFEPRLLGVKPDTNEKVFLKQGPYGYYIQVGEDRKGASQKRAPISEVKDINSITIEDAIELLQYPKVLGKHPDDEHPVLMTHSKAGFSVRHRRSLAPVPKTHDPKKVTLERALKYLTGKNVKKFGRPKGKTNKNAEPIEWH >SECCE6Rv1G0380220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:19810874:19812133:-1 gene:SECCE6Rv1G0380220 transcript:SECCE6Rv1G0380220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATVSGVISALLVLAGVVVITAEPPPAAGGPPPVPAVPPKVPTTPPPAAGATPPPVVGPPKAPPLPAGPPKFPAILAFGDSVADTGNNNHIRTFIRSNFPPYGKDFPGHKHTGRFSNGKISVDLLASALGVKELVPPYLKKGLSIEELKTGVSFASAGNGYDNATCRTMSALTMERQLQLFQEYKEKVGGSVPDKALYFIVTGSNDIVEHFTFADGITEPGYAESMVSRAMGYVQSLADLGAKRIALVGAPPVGCLPSQRMIAGGLRKQCATDRNQLALLFNHRVGQEMAKLGVRLPGVTLVNVDIYTILADVIYRPEAYGLNNTHDACCGYIGLAAAVLCNFASPLCKDPSKYLFWDSYHPTESGYKIIVDAIVAKYFRFMH >SECCE3Rv1G0154950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62969539:62972586:1 gene:SECCE3Rv1G0154950 transcript:SECCE3Rv1G0154950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILESLLGSCVNKLQNIISDEAILILGVEEDLAEVLRRVELIRCCIYDAEKRRTKELAVNNWLGQLRDVIYDVDEILDVARCKGSKLLPDHTSSSSKSASYKGLSVSSCFCNIGSRHDVAVRIRSLNKRIENISKDTTFLKFSSSTQPTGNGPTSKLIRSSNLVEPNLVGKEIIHSSKKLVDLALAHKANKSYKLAIVGTGGVGKTTLAQKIFNDQKIKGSFKLQAWICISRDYDEVTVLKEVLRNIGVYQDQGETIAELQRKLAETIEGKSFFLVLDDVWHPNVWTDLLRPPFHTAISGVIMVTTRDDQIAMRIGIQHAHQVDLMSVEVGWELLWKSMNIEEEKEVHNLRYTGMEIVEKCGRLPLAIKVTASALASRDLTENGWRKFLGKYSGSQGMLSDEIEGALYISYDELPHRLKQCFLYCGLYTEDSIIYRGVVTKLWIAEGFIEEQQGQLLEDTAEGYYYELIHRNLLQPDNAIFNQAQCRMHDLLRQLACKLSRDECFIGEVETLRGENMSKLRRLSVVNKKDKLVLTSMDNMVLKVRTFLAFYGPWKIEDAFFKRFLLLRVLALNYSVVQNIPDCIGKLIHLRLLDLGHIGISHLPESIGSLKNLQVLSLINCDALHSLPSAMTQLRNLRCLRLTGTNVNKVPKGIGKLNLLTELRGFLVGDISDNADIQDGWMLEELSSLPQMMYLNLVKLERTAHCRTNVVLEDKKHLKELVLEWTTHGEGPYSEDVSNAEKVFELLVPPRNLETLYIFGFFGQRYPTWFGTTCLSSVTHLFLKNLRSCVDLPTVGQLPNLKFLRIDGAHAVTKVGPEFVGYRVGEPISSEFVAFPKLEWFFIKDIPNWEDWSFFKEVENVVDEGEDGGDEICNGDAQSTRLQLLPRLAKLKVEGCPKLRALPRQLGKYTASLKELLLIGANNLKAVEDLPKLELLVIEDCEGLEKVSNLPQVSKLQVGGCPNLSHVEGLGNLQQLGLGEDMQGISSRWVPGLQNQCQQLHGEDLDVYTLSTG >SECCE3Rv1G0213340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:960653553:960655329:1 gene:SECCE3Rv1G0213340 transcript:SECCE3Rv1G0213340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPADGKDGSPPPPPAGPALPGGAEEYVRGSIEASLGLPVPDRSLRAKLAASEDLRRRLQDRVFALEEDLHAAARRIDLLKNESAMNAEGIRRCVEEKEAVAAARDQLAAHAARLEKECGLYERDLERAMESCDELARDSDDLRKRLRDAPDVTALNNEVEALQRDKEILRTNLNKAEEEVKLLFEENRALDEANKRLLCLLEKEQKHRSERKHSASNSTKKRKSSSLKDTSPVGLAIDFNNSDASRQPLSPLQPNSPDCRVHKK >SECCE5Rv1G0335760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:579304104:579304617:-1 gene:SECCE5Rv1G0335760 transcript:SECCE5Rv1G0335760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDFPRTAPLKWLTLKEEKNGNFGREFVKWELPPEGQIVKKCHHFEWMDDYIQRLQGLGLLDSRGDAIREFNLPHDSAAPAAAARPEYPTVVDLELKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISC >SECCE4Rv1G0220380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:38845181:38847080:-1 gene:SECCE4Rv1G0220380 transcript:SECCE4Rv1G0220380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRFFGRADAGAAEDPAPEPFSFPEPLPTWPQGGGFARGRIRVAGGELELAAATAFDKICTLSPSAPLQRCDGATFYRPAGVPEGFTLLGHYCQPNSRRLHGHLLVARAADPPRSAEPPLRAPRDYELVWAFHSTAGAAGASASAGAGACSGYGRSDAYFWLPVPPEGYRALGVLLTTEPGKPPLDEVGCVRADLTDECEPHGSLLHLQLTRPTSASPGKAFAVRGVRPLCRGMWQKGIGAGTFWCAAADGCSSPAPSEQGLACLKNVDLDLSAMPTLEQVHAVIQHYGPTLYFHPKEVYLPSSVAWYFKNGAKLFKKGGGAVGEEIDAEGSNLPGGGCNDGEYWMDIPDGKRRQAVIRGDIESAELYAHVKPAMGGTCTDVAMWVFCPFNGPARLKLGLINLPLGTTGQHVGDWEHFTLRVSNFTGELMAVYYSQHSGGRWVDAAKLEYAAGNRPAVYSSRNGHASYPRAGVYLQGSAALGVGILNEAARSKLCVDSSVKYRVVAAEYLGDGVVAEPQWLQFMREWGPTVIYRSRTGSERMVKSMPQRLSCPAENMLNKMPNELSKEEGPTGPKEKNMWEGDERW >SECCE4Rv1G0261550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:702498561:702502137:-1 gene:SECCE4Rv1G0261550 transcript:SECCE4Rv1G0261550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGGLTQFSDPKVELEQYATGPHIASRMLYTAENSFDDIAGKVVADFGCGCGTLAVASALLDAEHVTGIDIDLQSLELAQENATDLELDIDLIQCDIKNLNLKGLLVDTVVMNPPFGTKRKGADMEFLSMGLKVASQAVYSLHKTSTREYIKKAALRNCNAISAEVLCELRYDLPRTYRFHKQKELDIAVDLWRFVPQARDERAS >SECCE6Rv1G0446080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842581288:842583281:-1 gene:SECCE6Rv1G0446080 transcript:SECCE6Rv1G0446080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(24)-sterol reductase [Source:Projected from Arabidopsis thaliana (AT3G19820) UniProtKB/Swiss-Prot;Acc:Q39085] MADLQTPLVRPKRKKVLVDYLVQFRWILVIFVVLPASALIYFNIYLGDMWSAMKSEKKRQKEHDENVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRVRHFEVDLSAFRNILEIDAERMVAKVEPLVNMGQISRATCPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVALEIVLADGRVVRATKDNEYSDLFYGVPWSQGTLGFLVSAEIKLIPIKEYMRLTYTPVKGPLKEVAQAYADAVAPRDGDPAKVPDFVEGMVYSATEGVMMTGVYASKEEAKKKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLFGWLMPPKVSLLKATQGDAIRNYYHDNHVIQDMLVPLYKVGDALEFVHHEMEVYPLWLCPHRLFKLPVKTMIYPEPGFEHHQRQGDTSYAQMFTDVGVYYTPACIFRGEEFDGAESVKRLEQWLIENHSYQPQYAVTELNEKDFWRMFDASHYEHCRQKYGAVGTFMSVYYKSKKGRKSEKEVQEAEAAILEPAYADEA >SECCE2Rv1G0071110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:40377398:40378420:-1 gene:SECCE2Rv1G0071110 transcript:SECCE2Rv1G0071110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGCCNKKVMEEEALVKKITGLAAAIGELPSLSPSPAVNALFTELVTSCIPASTVDVDALGPEAQEMRARLIRLCADAEGHLEAHYSDLLAAHDNPLDHLTLFPYFNNYIKLSQLEHGLLARHVPGPAPARVAFLGSGPLPLSSLVLAARHLPDASFDNYDISGEANERASRLVRNDADAGARMAFRTADVADVTAELAGYDVVFLAALVGMAAEEKARLVEHLGRHMAPGAALVVRSAHGARGFLYPVVDPEEIRRGGFEVLTVHHPEDEVINSVIIARKAAAPAAVAAEGDVPVNNGSMPAQCAVAVSRPCIGCACELGARAHQKMKEMAMEEMEA >SECCE3Rv1G0165430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:174334407:174335570:-1 gene:SECCE3Rv1G0165430 transcript:SECCE3Rv1G0165430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMPCLVLLLGIACLLQASLTAADPPQRPPWELPESEVRSRWTKWQTKYSKRYPSPEEKEKRFQVFKTNTNSIGAFASQTTVNAVVGGFGPQTVTTVTVGMNRFGDLNPSEVAEQFTGFNNSVFTPEHPSPLPYHSWKPCCVDWRSSGAVTGVKFQGSCLSCWAFAAVAAIEGMNKIRTGELVSLSEQQLVDCDTGSSGCSGGRTDTALGLVASRGGITSEERYPYSGFKGTCNVDKLLFDHQASVKGFKAVPPNDEHQLALAVARQPVTVYIDASTWEFQFYSGGIFRGPCSADAGRVNHAVTIVGYCEEFGEKFWIAKNSWSNDWGDQGYIYLAKDVLWPTGTCGLATSPFYPTA >SECCE6Rv1G0432870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:754923374:754927116:1 gene:SECCE6Rv1G0432870 transcript:SECCE6Rv1G0432870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPCHPWMAMVILPLLLLLVPLTAAQVWPSCGESGDYKSNSTYEANLRLLQSTLPKKAASSTNLFATDTVGNVPNVIFALALCRGDSNASACEGCLVTAFQDGLEHCPNNKDATVYYDSNPCMLRFSDKNFFATTVNEHMLVIVSIESLMMNISTRADDFRRLLFTLLNGTAQSAANSSRRFTTSRLDLSSFPTLYSLMQCTPDLTADDCMACLQPYSQYTLKYMDGKKGGRILGTRCTMRYEISQFFQGDPMLHIINLASYVPPINSTMQPITGNPPPQSPAPPPPEAQATTQELHGRNSRQRALWIIAVAAPLLSIFLCVICFVLWMRRRRKGTEILHDQATTNRPDEDAFVWRLEEKSSEFTLFDLSEILHATHNFAKENLLGQGGFGPVYKGQLPDGTEIAVKRLASHSGQGFTEFKNEVELIAKLQHSNLVKLMGCCIKGDEKLLVYEYLPNKSLDFFIFDVSRTTLVDWNKRCVIIEGIAQGLLYLHKHSRLRIIHRDLKASNILLDQDMNPKISDFGLAKIFSSNDTQGSTKKVVGTYGYMAPEYASEGIYSIKSDVFSFGVLLLEILSGKRNSGFHQHEDFLNLLGYSWHLWEGGRCLELLEASIVEEIHAAEASRYINVALMCVQEHADDRPTMSNVVAMLNSENAILPEPKHPAYFNLRVSKEDESGIVPYSDNGVTLCSNNDLTITEEPDGR >SECCEUnv1G0557880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:327947851:327950484:-1 gene:SECCEUnv1G0557880 transcript:SECCEUnv1G0557880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWQFKLRKPSPAIHLARRWRVVQSAEPQRRMRDCDAAMMSATHRLHAHEPSHDAASSCSGSLNKTMCTQDVEQTVQNCDWISTLPDDILIKILSLLTVSDAAMTDCLSTRWRHLWKNVDCLILDLSSLRMPESEICYYHEKPCLRKAQVKKFVRKTNGLLRNHYGNRIKEFTVRFPLTSVNAFELDHWIRFAASASTEKLCLDLYDKNRSSCLDISRDEPYKFILSPFSDGRGCRLSELTLSNCTVRTTPANLSGFACLHFLELSRVKIADATVSNIISNCCALKSLILAFCDQLIHLTVTCPQLLNLDVDFCDGLISVCIHADNLEAFMYKGQKINIEYKYAPFLDTLRVHFTKKNQCPLDFVSALPQLPKLETLILQFSGPVQVSRALRHTLRFANLKMIVFFLVKSWKECICSLVYLLKTAPSLEYFGVHGFSKLKEQPSELNMTWPEDLTFARLHIIVIKGFSGEPELMELLYFLLRRAPALESLQLETRAYEPFVFRKEKHKLEDEERCRYATEMASTHLAPKVPSTVAFSIT >SECCE7Rv1G0522410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:877496233:877497219:-1 gene:SECCE7Rv1G0522410 transcript:SECCE7Rv1G0522410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVTPAVRVSELMAQGRKSAAALLQIQGHDGIRELAAEVLRCCDRALAALHGKAGRKKRKLGLQGAATQTTRPKKRTRTSSGETAAATRVERKHNWDDGFVWTKYGQKDIRGSDHPRHYFRCANYTLDAGGCPARRQVQRSEEHDHPLYVITYFADHACCHGAEAMAALDDIKILDFGSVGSRSPRPDELPAEVAKVVSTPLSDLRPVGKVAELSSSADDIHCSTSWASGSWDPSAAVCSDWDFFGDCSFDYVSEFFDVEDITLY >SECCE4Rv1G0234440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:281540084:281580440:-1 gene:SECCE4Rv1G0234440 transcript:SECCE4Rv1G0234440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEEEVRLEVEAVAAVYGEDCRVYRDFPPHLVVHVRPNTADDSSQQFVELFLGIKASSQYPKEPPHVYAVESKGLDENRQAFLISNIQDKAKELSYYPMLVTLCEEAGEILSNMNHPAGDCPLCLYPLVSENDHDSALPFMKLMSCYHCFHSDCIMRWWGWLRHDDANSKKIDTTVSTREGLRLSNSDKLYNANHHKGFCPVCRKLFDEKDIEHVRDLLDANTSQLMACLTVDLGEDDKELLHSEAEKKRRDKFGSLFNLQQERNGLIEPKKDLAIQPGMYVSLPASEPTTSAGDSTNSWEATTSSTSETDPPGMGNISCGGKKQHNSGPPRRNRSYAPRRQPHGQPAGKQWQRSQPARQQWQKKDAET >SECCE4Rv1G0216450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10845429:10847878:-1 gene:SECCE4Rv1G0216450 transcript:SECCE4Rv1G0216450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTISAASKAASPRNATTSGGKVLGERNHDTPHLAPFSPANLAHKPKPDPRRLRLSFDAPPAPAPAPAGDAQDPVRAAAVSHHHHHHHAASDSVGLRHSFEAPPAAAAPLAGDDDDDAGMGDPVRVGAGAGVNHPHAAPDPVYDPKTNYTSPRPRFLHYKPNPRVHIYRHGRAGVRRLEDGFASASESSDETTEDDHTEEEEEQEQAQQNHPSEEQPALAAPSEAAEAACVLAPDPAPGSPPPAPLLLSSPAPAAVTPEPARVSAPEPRATPPRARALTPEPELGARAPPKKGSSWRFLLPLAFVLFMSAASVCVLLQPDSPIMSNTALSKASGFLSVQESHPVELAAWLKQWSSSSLDSITSYWEALASPSAQEQQYFGPHFGANWSAAAADGDHHGAAADFYYNFAEAWPVPPGEEPISSANALTAEPEGDAVVEGESVDDAGVGEQYDASDYYDMVVDELDVEMPEEEAPGSSYGSSVLEEQLKIQDAVSEASSADLIAESEGVAAAVVEEESDASGYSKVAVDELDLGASEAAPAGSSKGEEISQDLDTPSQPAEQGEQDVESEEPEDNHGNGKEGQEEPHLGLESDSSMPPSYLDRISKPAAAAGVALVVVILSAGIAALSMRKKQAQVATSANVPAEQEQAEEAEARSGSASSEGHRLAKSPRQAEEETERFGDSGFSQYSSSLSSGHGHGRGEKTKEEEENVVGLEPAFKRESMSYSTSSYGSFTTYEKIAAKKRNKDDEAMTPVRRSSRLRSVKSPEAGSS >SECCE4Rv1G0228350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:134736155:134739315:1 gene:SECCE4Rv1G0228350 transcript:SECCE4Rv1G0228350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLDTKPISIGGSPNHSQSPEAHSRIRDDETQETEAGKSLDEKLTCANGPNDSSPQHGELPPREVFTSVGDADMQDSVKSLSEKLSAALLTISAKEDLVKQHAKVAEDAVAGWEHAEAEVSNLKRLLDASSLKNGSLEDQVSHLDGALKECVRQLRQAREEQEEKIRDAVAKKSQELEFEMSELQNNIVDLKQQLEASDLREKLQIAEKECKDLKIELLMLSKELKMLALERDLSSQAAETASKQHLESVKKITRVEAECRRLRHVTRRTSLANDSSRPVPNNACMESLTDSQSDSGEHMLAIDSEVKNSDLWASALIAELDQFKNGNEGTRDLVNNPVEIDLMDDFLEMEKLAALPEADHTSSSFGTETDSDRAVTRDISRGETEALQHQVMDLQAKVEKIEHEKRELEMALAEARNQLDTSCDTLMAADSKLVDLQMQLNLANESKDAALGQAERLDGERKSLTLQLESKSAEVEKLQGVVTSLEESGDSKDLESQLESTTAEVANLRKMVASLEEKIDAEKTLSAQHKADADMAKAAKDTLEAQLRSANTEIGQLRGVIKTLESEVQKGKMSQKELVAKIEAMKTESERTLAVESAKESLEAQLLAANSEISKLHLTVNALESDAAKEREYSSEVKMQLEAVEGIRKVLGSELESAHQESMKLQEKVLSLEVRLKEQSVLLVEFTAKAEDAVSGRKAMGSRLEEANLELAKLTNKVSLLQGKIEQEKLLSEEYEAKCRKLEAQLSRDSREAKLWRLANTNGDLKFKQEKEVASAAGKLAECQRTIANLGLQLKSLTDLDSVMAEPGKLESKDTLLDFREDGTEPLTDESYGLHLPMSNGGCAASPVPRAQSPSSRRSVFSGYRRSVATGAEQGSEM >SECCE4Rv1G0275960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:796047448:796049319:1 gene:SECCE4Rv1G0275960 transcript:SECCE4Rv1G0275960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPPKMLAVMATMMMMATAAALVPEVAVLAGQARALLAWKASLDDQSQHTLKSWGNMSAPCSWRGITCTGQHRRPVISGISLRGMRLRGALGQLDFSALATLSRLDLSHNHLSGSIPAGIAVLGELRALLLQGNQIRGSIPLGLANLTQLRSLMLNENELSGGIPSHIGNMSNLVTLTLSVNHLVGQIPFEIGHLKHLVTLDFSNNNLSGSIPSNIGALTKLATLYLDANELFGHIPRELGHLVNLKELALSRNKLIGSIPNNWRNLTKLTALYFGENQLSGQIPQDLGYQVNLDVLDLCENRFSGSIPINLFNSTKLTILYLWGNRLSGQIPRELGQLVNLEELELNTNTLSGYVPMTIGNLTKLTRLYLFENQLSGQIPRVLGYMKNLKELALYENTLSGHIPRNLGNLTKLTMLLLGGNRFSGHIPRELGYLVNLDNLDLSSNTLSDSIPITIGNLTKLNTLSLYRNELSGKIPRELGYLVNLDNLYLSLNTFSDSIPITLGNLTKLNTLTLYRNKLSGKIPRELGYLVNLENLYLSSNTLSDSIPITIGNLTKLNTLSFYHNELSGQIPRELGYLVNLEKLDLSINKLSGSIPNNLGSLTKLTRLALAQNQLSGSIP >SECCE4Rv1G0221980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:53124487:53125389:1 gene:SECCE4Rv1G0221980 transcript:SECCE4Rv1G0221980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNEHVLLSQPDKQVLLAEIPASGSRDSQPTVTLRLLVELCSDYGAGPADVDTMEDVACRVPLADLGRQGAAERAFKELVARINNPVLRPEVAAETAAAAARVRARCGADRDGLRGVEFRLRVLFIDDASEEEEEEEDDESGSDMEFGEFDLSGARSLRGQQTDAGYGYDYEEDDEDEDGCGAQFTARPYHGALARGGGGAPSSLLLLGFEARSDGPELTEEHEVTSYDIQRVVRKVLGGAGSVVDDEAYRRALDGGAPVSPASRAAMVGQALRSARQQQQQPSKPPPRPIFPMRTGF >SECCE5Rv1G0355360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731587138:731590710:1 gene:SECCE5Rv1G0355360 transcript:SECCE5Rv1G0355360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFRGPPGRPSALVSWWRRRPPLGFAAKVSIAIALGLSFVVTWTTLAPTSSSQQISTERTYFAADVAAPPPGAPAASRNATARAVHRKPRPASPRGRKKRRSPPRSRRPNATPSPDAAAALKSNLTEPEQAGKPEPEWEWEDEQEPELSVPEDEADATGKAPKEEDNEAPALEWADESSELDGEEEDPEAAKSNKASKKKKKLPPLFSPAAHYHWKLCSAKSGHHYTPCVDFDGDGSQRHHERSCPRSPVTCLVSLPKEYKLPAPWPERKEKVWYGNVGHPRLSSYAKDHSWLNRTGEHLVFPPEESEFKGGARHYIDTIDEMAPDLDWGKNIRIALDIGCRSAGFGAALLEKDVITLSLGLTNDQTDLAQVALERGIPATIGSLGSRRLPFPSGSFDIIHCSECNIPWHSNGGKLLIEMNRILRPGGYFIISSRHGDLESEEGISASMAAVCWNVIAYNSDDVSELGVKIFQRPASNDEYDLRAKRDPPFCKEEQNKATAWYTPIKHCLHKAPAGIEERGSEWPEEWPKRLETFPDWLGDLQTRVSADHSHWKAVVEKSYLDGLGINWTNIRNVLDMKAVYGGFAAALSSEKVWVMNVVPVHAPDTLPVIFERGLVGVYHDWCEPFSTYPRSYDLLHADHLFSRLKHRCKEPVSILVEMDRILRPGGWAIIREKLEILDPLEAILKSLHWEIVMTFRKDKEGIMSVKKTTWRP >SECCE2Rv1G0110230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:687617156:687620884:1 gene:SECCE2Rv1G0110230 transcript:SECCE2Rv1G0110230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVANDVGENVGLLPGVLANRLPPWLILLIGSACAFFGFGTVWLAVTKTVAMPYWVLCIALCVGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLNNSPTNLLLLLALGIPVACVVVMYFVRPCTPSLDEDNATEHSHFVFTQVSSVVLGVYLMVATILGDTLNLSATITYLLFGIMILLLLSPLAIPIKMTLYPSKPKEEKASTLLIPSYSTDSLSGADQENGEPLLRGTSATFVTGNNDSDETDLDVLLAEGEGAVNMKKRKGPRRGDDFTFGEALVKADFWLLFIVYFCGVGTGVTALNNLAQIGTSVGANDTTVLLCLFGFCNFVGRILGGSISEYFVRTRMLPRPFWMMCTQIIMVVTFLLFATGLHSLIYVSTTLLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLMVNPIGAFFFSALLAGYVYDKEAERQNPGVLDPSNCFGPDCFRLTFYVCAMVCCCGTLICLVFIARIKPVYQMLYASGSFRHPRQQQLY >SECCE1Rv1G0047410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:624961997:624966896:-1 gene:SECCE1Rv1G0047410 transcript:SECCE1Rv1G0047410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRADAAGGGASSSSSASTAENSRFDSGQYSFFGKAPLEGLELGGSLADDAGLDGGYGGGFGGHDDGASYQLSPVGEEIDCMSNLSEIDDLASTFAKLNRSISGTRNPGVIGDRRSISRESSLTTTDWVQEAEFSNWVDHDILGSDELQDSKQLCPHPQYLPQFGESKPLSRTSSYPLEPLQHRSSEPILGHRSPSFTSYPPPGGGELSYPAQGLTRHSSIPSPGAGYQMGSPSSSLTGSPYNMTGLPHGLRLGRSMSYTSADLYANNFLQNEWPSQAGSHAFEHLNLQPSLLQQQLSFPSSSMSSLLFSQQQQQQQRLSLVQPLHHNYLNMQPHLYHHHSPEIIGRFDHIPSVPSPREKRSRSGRGKHSIRFSQQQSDTAGQNVDTGGTKFRSKYMSSEEIDTILRMQHSGSHSSDPYVDDYYHQACIAKRSVNSQQKVNFSPASIKDSHSKSRSGGDQHSYLKVDSLGRVSFSSIRRPRPLLEVDIPASGDGHKSSMRPLEKEPMLAARITVEDGICLLLDVNDIDRVLQSSQAQDSSFQLRRRRQVLLEGLATSLELVDPFGPNKPGNSSGLAPTDDLIFLRIVSLPKGRKLLARYLRLLAPGSELTRIVCMAIFRHLRGLFGGLPSDSGAAETTVSLAKTVSSCVHHMELSALSACLAAVVCSSQQPPLRPLGSSAGDGAALIIKSVLDRATELLADPHSAANYSRSTRSLWQASFDAFFGLLTKYCDSKYGSILQRFTMQGSNSMAGPEASKAVSREMPVELLRASLPHTSEEQRQMLLDFARKSMPVIGYNHSGASGGHSTTSEPVPG >SECCE6Rv1G0426870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:716315400:716316560:-1 gene:SECCE6Rv1G0426870 transcript:SECCE6Rv1G0426870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPATMAKAARAAAAHLLPGLPDEVVIWEILVRLPPKALLRCRAVRRAWRLATSTRGFLLAHHARQPTTPLLHSFNCAGDVVESLDIIPLDNAAAGAADQLQYCARLAIRSVYGHGLLLEDSCEGLLLLSLYSRHFSIYNPATRQYAPLQQLHGFTPLGMYRHGPTGEFRLLLHMFPRSMAPDAQDGAYVFSLGSGQLPRYVGRSDTEELIRLSAGSVLFHGSLHWYVNNYIKVFDTVAESFRSMRCPIVVGCEDLFEMGDMLGMFDLNCEGTSVEIWMVQDYEAEIWALKYRIEFPVAEISLQCGKFDHHWEVIVTSRDSDVLVLLRFDDWLLQIGMEGQLVASFHRKGLRPTRLRLKQSLVSHAFFPTLEGYVVNDSPFTRC >SECCE4Rv1G0253400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:626202856:626209179:-1 gene:SECCE4Rv1G0253400 transcript:SECCE4Rv1G0253400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDGAAPPPAAATAAEVKNPRCFMDITIGGEMEGRIVIELYASVVPRTVENFRALCTGEKGVGASGKPLHYKGSYFHRIIKGFMVQGGDFTAGDGTGGESIYGSKFEDENFILKHERKGILSMANSGPNTNGSQFFITTTRTPHLDGKHVVFARVIKGMGVVRSCEHIPVGEADCPTVDAVIAECGELPEGADDGVVNFFKDGDMYPDWPNDLDEKPTEVSWWMEAVESAKAFGNDNFKKQDYKTALRKYRKALRYLDVCWEKEEIDEEKSSALRKTKSIILTNSSACKMKLGDLKGALLDADFALRETEGNAKAFFRQGQAHIALNDIDAAVESFQHALDLEPNDGAIKRELAAAKKKISNRRDKERKAYARMFQP >SECCE7Rv1G0461580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:38612927:38614423:1 gene:SECCE7Rv1G0461580 transcript:SECCE7Rv1G0461580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGKAQAARDVCAASAAFASCSHRRRSPRRAIFVDWYLVLAIGEAASEDAIKRRYRHLALQLHPDKNRHPKAEVAFKLVSEAHACLTDKARRRAFDAERATAFCAAYHDRARAATTPCAAPTRRRASDKRMPPSAAASKQQHQARVAKQSSGGRRPTATQALREVQNRLRDECRVIDGCLRANAAGARRRQSFPLFDPSDRQRFPDYPHARPPPSFAQCRPFEEDELGAGQDHQSWCRDGSCESPVYQVSTTPERAARTKRPW >SECCE1Rv1G0029310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:412228538:412241774:-1 gene:SECCE1Rv1G0029310 transcript:SECCE1Rv1G0029310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKSSSGGSLFYRRKRSWRRDELVSRSTLHLLDFDDGTPPEHAWRRKLNSHANRLKEFNVTFREAFKMMKLGLRLWSYVREEASHGRKAPIDPFTRESSKPSASQGVPLGGMGTGSISRGFRGEFKHWQITPGSCDMSPVMENQFSIFITREGGSKKYSSVLAPGQQDGLKKSSDDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKLSCRQISPFIPHNYEESSLPVSVFVYTLVNTGKERAKVSLVMTWANSIGGLSHQSGGHVNEPFIGENGISGVLLHHKTANNNPPVTFAVAARENQNVDVTVLPIFGLSGESSVTAKEMWGTMVQGGCFDRDNFNAGPSMPSSVGDTVCAAVSASTWVEPHGRCTVVFALAWSSPEVKFKKGSTYHRRYTKFYGTSPRSAINLVQDALMKYKHWEEEIDKWQTPILRDERLPEWYKITLFNELYFLVAGGTVWIDSDLSSSLPEDSDLPLHNSTCNSTVPLIGSSPPDFDDKEKVGKFLYLEGVEYFMWCTYDVHFYASFALLDLFPKIELSIQRDFARAVLREDRTRVRFLADGTWGTRKVIGAVPHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATNDMSFGKDVWPAVCTAMEYIEQFDRDGDGMIENDGFPDQTYDAWTVLGVSAYCGCLWLAALQAAAAMARSLGHDDYADRCMVKFAKAKHVFEAKLWNGSYFNYDSGTSYSSRSIQADQLAGQWYTASSGLPPLFDEDRIRCTLQKIFDYNVMRVKGGRIGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMEDQAFTTAQGIFLAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQHALSPPRAILEAPKVNTMERAYVSPGALQFLQDSFRKITPKSGCF >SECCE1Rv1G0039120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:545610762:545614636:1 gene:SECCE1Rv1G0039120 transcript:SECCE1Rv1G0039120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEKEYVAVPMGQAPEPADPEDPVKSPPRPTSPATSTRQACFAVLQSWVSRKFMTGCVVIFPMAVTFFITWWFIRFFDGFFSPLYAKLGFDVFGLGFVTSLVFIFIVGIFVSSWVGSTVFWVGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTEAFKEVAIISHPRAGEYAFGFITSSMILQTDKGDEELCSVYVPTNHLYIGDIFLVNSAEIIRPNLSIREGIEIIVSGGMTMPQVITALGPAPDKNQGTRLNRMMTV >SECCEUnv1G0541500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98002654:98003124:1 gene:SECCEUnv1G0541500 transcript:SECCEUnv1G0541500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGSGGAIRKKEATHRMAEANRALAHFR >SECCE2Rv1G0097670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:466685758:466688402:1 gene:SECCE2Rv1G0097670 transcript:SECCE2Rv1G0097670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVSARRVVVDARHHMLGRLASIVAKELLNGQRVVVVRCEEMCISGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSRIFWRTVRGMIPHKTPRGEAALARLKAFEGVPPPYDRTKRMVIPDALKVLRLQPGHRYCLLGELSKEVGWNYHETIKELEEKRKEKAKVSYDRRKQLAKLRGKAEKAAEEKLGSQLDILAPIKY >SECCE5Rv1G0359570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:762765477:762770515:1 gene:SECCE5Rv1G0359570 transcript:SECCE5Rv1G0359570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGQPRRAPAARRPKPSASAPAPAADRKRKRAAVFKTVTLKNQIRSTERLLRKDLPNDMRVAQEKKLEELKRQQEKQTQEAMQRTIQLRDRKIKFFERRKIERMIRRLEKQRLNADEASKKLATLREDLEYVRFFPKKEKYFPLFTGGNTPDIVEKRNTWRKQIKENLIAAAANGKDLEETASDDDTLDVSEDDFFMSGSSSDEEADDELTDKSTKEPGASGRAASGMSSDEKNQRQRDARVLMPPPRSLPPNRARSADKRAVSSSSNASTSTNGNSFKNRRASNLSGDHNSTLSSNSDAHKPRRKRRPKKKKQA >SECCE6Rv1G0429990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739259456:739260766:1 gene:SECCE6Rv1G0429990 transcript:SECCE6Rv1G0429990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPPVQQRAAMSEPLLPWPASLPSSASSSFSASASSHSFSASSSPADATPVPGRGDSSAACKALAVLRDAHSPGGGGGSVSCLSLCGEFLLSASTGADIVAWQQPDLRVFARFGGHGCGDGAVKALAAAGGRVFSAHQDGRVRVWRVSRSSENAFKLVAALPTTRDYLGRVFRQASYVQAGPRRGRRGGRRLWIEHADSISCLAVAAQDGVVYSGSWDRTLKVWRMSDLRCLESVRAHDDAINAVAADCGVVYSASADGRVKAWERGKAGAGAHTLLAVLVARDGVSWNAVAASGDAGAAGRRVYAAGSDGHVLGWDRHGARWSLACDVKAHAMAVLCMCVAGDLLCTGSADKTIGLWRQQRCGGLAKVAAVEGHEGPVKCIHASLCRASNGYMVYSGGLDKNIRVWWVPNGANGDGRPPETDAKDHRPCVFLR >SECCE1Rv1G0059720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707619788:707620294:1 gene:SECCE1Rv1G0059720 transcript:SECCE1Rv1G0059720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAFPIGFTKGVRAQWRRRKYQRLEAGEGGGSRSQGTKRLGGSGARRVGWRVRLRGLLIRRARAVRAVVTAPRRLLARARDAYVGGMLAVARKASATALPGGGPEGIWSRRVPRRKQLPSATATARTSEFEQRLVMEIYKSIVASKELTTMLHSSTAHLQAPPARA >SECCE1Rv1G0008960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:50823495:50825231:-1 gene:SECCE1Rv1G0008960 transcript:SECCE1Rv1G0008960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AZG1 [Source:Projected from Arabidopsis thaliana (AT3G10960) UniProtKB/TrEMBL;Acc:A0A178VPT2] MTSPIIPRTDDGGQAAATRVGRLNAAVERSWVGRRFRLAARGTTFTTELRAGTTTFLTMAYILAVNASILSDSGATCTVDDCASPSPACKFPPVDPGYAACLSRARRDLIVATAASSVIGSFIMGAFANLPIALAPGMGTNAYFAYTVVGFHGSGTLSYRKALAAVFIEGLVFLLISLVGLRSRLAQLIPKPVRIASSAGIGLFLAFIGLQSNQGFGLVGFSTSTLVTLGACPASQRASVAPVITFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLAVVGLLIIAFCLIKNVKGAMIYGILFVTFISWPRNTAVTAFPDTPAGDESFNYFKKVFDVHRIQSTAGALDFSGIGHGYFWEALVTFLYVDILDTTGGLYSMARFAGFVDDATGEFEGQYFAFMSDATAIVFGSLLGTSPVTVFIESSTGIREGGRTGLTALTASLYFTAALFITPLLASIPSWAVGPPLVLVGVMMMRAVAEVDWEDMRQAVPAFMTLALMPLTYSIAYGLIGGIGSYMLLHSWDWACDAASRFGCRPRRKVGGGEATRADTPVVSSNSGSASGNGEHGKDVSHSHVELS >SECCE2Rv1G0109210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:673525414:673526308:-1 gene:SECCE2Rv1G0109210 transcript:SECCE2Rv1G0109210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILALGLAALVLSGAGLAAAQTCVCQPDECCSSAGYCGKDAAYCGQGCQSGPCTGIGVPVESVVTDAFFEGIKSQAQAAADGCPGKSFYTRQSFLDAAQEYRDFGKGSTSDDGKREIAAFFAHVTHETGHMCHTEEIDGPSKPVSEYCNTDEMYKKWPCTPGKGYYGRGPLQLTWNYNYGAAGQKLGFNGLDNPETVAKDQKMTFRAAMWFWMNSVHQAVPQGFGATTKAINGGVECGGKRPDLVSARAGYYTEYCKQFGVDPGSNLSC >SECCE5Rv1G0297250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:956955:959285:1 gene:SECCE5Rv1G0297250 transcript:SECCE5Rv1G0297250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTFTAAATLVLVMAGLLGAAAASVGDKCSTSAGCGAGQWCFDCEPKLAGSHCARSTATNPFQLVNNSLPFNKYAYLTTHNSFAIVGEPSHTGIPRITFDNQEDTVTNQLNNGVRALMLDTYDFKGGVWLCHSSGGKCNDFTAFEPALETFKEIEAFLSANPSEIVTLILEDYVRAPNGLTNVFKASGLQKYWFPVSKMPKNGQQWPLVRDMVTSNQRLLVFTSARSKQATEGIAYQWNFMVENNYGDNGMDAGKCSNRAESAPLNDKTKSLVLMNYFPSVPVKGTACLQHSRGLTDMANTCYGAAGNRWANFIAVDYYKRSEGGGAFQATDLLNGMLLCGCQDVKACQRGSSVVCSA >SECCE1Rv1G0054600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675560071:675560905:-1 gene:SECCE1Rv1G0054600 transcript:SECCE1Rv1G0054600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 12 [Source:Projected from Arabidopsis thaliana (AT2G30810) UniProtKB/Swiss-Prot;Acc:Q6GKX7] MACVARTLSIPFLLALFFVAEVSGSMNVESYKPAGAEGSVPLKECPAKCQIRCSATSHKKPCNFYCNYCCQRCLCVPSGTVGNKEECPCYNNLKTQDGKPKCP >SECCE5Rv1G0352910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:712475499:712477775:1 gene:SECCE5Rv1G0352910 transcript:SECCE5Rv1G0352910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMGCSSAAARLLLLLLLVVAVGTSVAGATYVRYKDPKQPIQARVADLLSRMTLEEKIGQMTQIERANASSAVIEKYFVGSVLSGGGSVPSEKASAATWQEMITKMQKAALSTRLGIPIIYGIDALHGNNNAYNATIFPHNVGLGATRDPDLVKLIGRATALEARATGIPYTFAPCVAVCRDPRWGRCYESFSEDTKLVQLMTAAVIPGLQGAPRHPTGIPVVAGPKNVAGCAKHFVGDGGTRHGINENNTVLSFHDLMRIHMPPYYDAVIKGVASVMISYSSWNGVKMHENKFLITQILKEKMRFRGFVITDWQAVDKITTPPHKHYYHSIQETIHAGIDMVMVPYDYPEFVADVTAQAKRGAIRMDRIDDAVTRILRVKFAMGLFEDPLPDKSLVAQLGSKPHRELAREAVRKSLVLLKNGKGRKDGKPVLPLSKNAKKILVVGTHAHDLGLQCGGWTKSWQGQSGNDFTGQGTTILEAIKSAVDKKTVIDYSEHPDKGSVSKNVDEYDYAVVAVGELPYAETAGDNQNLTIPGPGPEVIRKVCELVKCVVVLVSGRPLVVEPYLDTMDALVAAWLPGTEGHGVADVLFGDYGFSGKLPRTWVRSVDQLPMNYGDKLYDPLFPFGFGLTTKPAADRS >SECCE1Rv1G0038510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:540310948:540311679:1 gene:SECCE1Rv1G0038510 transcript:SECCE1Rv1G0038510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAGQQSRPWMGDAATPSGDARDDGASSMKDLGSSTNASAISFGFAATAILIAMFLLMAIFEHLIKPGWAASRGSHGGSDNSDGDVRAGPPHPGRHPRDHGSPEKLAPPPKMEAVAAAADLTVVMPGQRYPTFLAQPAPLLLSCPREGVRWPPHHDHRRPFLPP >SECCE6Rv1G0378330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:7431971:7441457:-1 gene:SECCE6Rv1G0378330 transcript:SECCE6Rv1G0378330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRTAVAAEARRRICLAPARFAHELAQAQAAAPERGGFEPSWAPLYRRISRLYGRPPGMVAAEMDKYLRRRRPLSADQIVAYVRKLRKFKSNACALELMDWMESRGAKLTLGHQALRLGLVSKVHGIQAAEEYFWSLPDKSKKTYSSLLNCYGEHRMASKGLELYEKMKVMDIVPNTLLYNNLMVLYQHAGQPDKIPSTFEEMRESGISANHFTYFTLIESYITMNDLEAAEEVLEELQKVAPVHWSLYTLMAKSYIKLELFGKAEVALKKAEEVMDKAELLSWHFLISLYARSGNSTEVKRIWEAWKSTFKKCLNKNYLVMLEALSMIDDFESLQQIFQEWESSNEHYDMRITNVMIKAYLDKGMIDEAEAIRQSTMSQGHCNVRTVYMFAEFYLDKSDVTGALEIYRDAKKMLTAHKWVPSEKLTSRFLKHYEESKDVDGVESFCECLKKLECLDAAAYEGMVRTYIAAGRTNPSIAQRIEDDGIHIGPETTKLLESVSGN >SECCE5Rv1G0324500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:447594010:447595919:1 gene:SECCE5Rv1G0324500 transcript:SECCE5Rv1G0324500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDVLTRVDAICKKYERYDADKHRNDAADPFSRLYADMDAVIDEAMEKSERAARETNRAAAVTLNADVRRTKARLVEEVAKLHKLAGKKMKGVSREEMALRPDLVSALQQRIQSIPDGGGAADQNGGGNSRPGIKFDSSVAAETLDEGYFQTSEESEAFKREFEMRRIKQDEGLDFISEGMDTLKNLAEDIGEELDRQVPIMDEIDRKVDKANTELRKTNVRLKETINKIRSTTNFTVDIILICIILGIGAYLYNVLAQ >SECCE3Rv1G0188830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:719228986:719230620:-1 gene:SECCE3Rv1G0188830 transcript:SECCE3Rv1G0188830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAEGQSPLASAERRPHFFKVLIGDFKKRLKIPPKFCKHIPWGASRKAEGLKEASMAATLEGPSGRTWQVVIRRTAEGTFFTAGWAKFVQDHALRELEFLVFRHDGGTSFAAMVFDKSACEREDLLLAGDAPRPRRKRGRPRTASRAVDDSAGMELVPYRAPADQLPQVVCSHRMPESDKSNCTSGHPSPMKAEVGADELPLCLIAAPPSESGQLLPGRLPAGTKDGCAVKTRSIHDDLAAASIPPSVRKYNGYVSRRRPVASAERQRAMELARAFRSSLPYCVIRMSTMHVYYSFMMRFPTGFSRQHLPREKTEMVLRDPDGKAWAALYIPSTRDRLSRGWCAFARGNCLEEGDCCVFELVGAAEFRVHLFRVVEPAVPAVRLRLA >SECCE1Rv1G0027700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:387051899:387057943:-1 gene:SECCE1Rv1G0027700 transcript:SECCE1Rv1G0027700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein-ubiquinone oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43400) UniProtKB/Swiss-Prot;Acc:O22854] MHRVLRAAAAAAAGIATAPARRHRAPSWGAATGRWFSVEREAMSYDVVIVGAGPAGLAAAIRLKQLCRAADTDLSVCVLEKGAEVGAHVLSGNVFEPRALDELIPKWREEDAPIRVPVSSDKFWMLTKNKAWSLPSPFDNKGNYVISLSQLVRWMSVKAEELGVEVYPGFAASEILYDENQIVAGVATNDVGIAKDGSKRETFQPGVELRGRITLLAEGCRGSLSEKIIANHKLRESGQGQHQTYALGIKEVWEIEEGKHKPGSVVHTVGWPLDMKTYGGSFLYHLDDRQLAIGLVVALNYRNPFLSPYDEFQKFKQHPAIRTLLEGGTVLQYGARTLNEGGFQSIPNPVFPGGAIIGCSAGFLNVPKIKGSHTAMKSGMLAAEATFKTLVEGSSMDLYWENLKKSWIWDELYRARNYRPAFEYGFIPGMALSAVERYIFKGKSPFTLKHGKPDHEATEMAHLHSPISYPKPDGQVSFDVPSSLYRSNTNHEHDQPPHLRLRDPAVPESVNLPQYAGPESRYCPARVYEYVSDENGDPKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >SECCE5Rv1G0300450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:26315968:26317779:1 gene:SECCE5Rv1G0300450 transcript:SECCE5Rv1G0300450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAPAPAATLRHWNRLIQLAAASGSYTLCLRHYASLLAAGLRGGDASTFPSLAKSCAALRLPRLGRSIHAHALLAGAAGDVFVRTSLLDFYAKCASLPDARRLFDEMPATSRTIVSWNCMVTAYSKASRLDEAVAMFNAMRGLGVSPGGGTLVGVLSGCSDSMATRSIGLPVYGYGLKSGLDEDLPVCNSVLTMLARDDQLHAASLLFESMHDKSVVSWSAMASGLLQTGDFNKVFALLNRMLGAGCRFDSVALLNLVSAAVLLGNLLVAKGVHALLIKSGFESEQDLVSSLVNLYAKCGDLEAAQEVFDAVHCKNVVLWTSMINGYVEGSRPDKALGLFDSMLHTDVQPNVTTVSSVLSACADLGSANHARKVEEHVVAIGLQSHLRVATGLIDTYCKCGSVELARKIFDAVTITDRDLPIWSAMINGYACNGEGSEALVLFSKMQKEGVQPDAIVFTHLLTACNYSGLIDEGLRCFRSMTEEYGIEPSIEHYMCITDLLCKSGQISIAKEFFKKIPIQLQNQVLAPIVSAYSSHCADSSIDSVSEELLNLDPQDSGHCVLMSNILSCLGNWKKATTYRTLLSKKGLVKEPGRSCIELSA >SECCE3Rv1G0183810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:655492903:655495248:1 gene:SECCE3Rv1G0183810 transcript:SECCE3Rv1G0183810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFLFLIILPLLTTLPCSYAQSTLSTGSSLFVEEHRQTFLTSPNDDFSCGFYEVGENAFSFSIWFMTTMEKTVVWSANPRSLVNGHGSMVSLNHNGNLVLTDVNGTVTWESKTSSGEGTVVSLLDTGNLIIKDSTGANLWESFSSPTDTLLPLQTLKKGTRLVSSYYSLYFDNDNVLRLMYDSPEISSIYWPSADYTVFQNGRTSYNSSRIAVLDTEGFFLSSDGLNVKASDWGDGVKRRLTIGSDGNLRIYSLNVSNGSWIVSWQAIAKLCDVHGLCGQNGICEFLPSFRCSCPPGYEMTDPENWNRGCKPQFSKSCSKAEEFDFIKLPQTDFYGFDLTNNASVSLEECKKTCLDICSCSALTYKAGSGLCYTKAVLFNGYSSTNFPGDNYIKLPKNMSISRQSRLTCNPDNPVVVQGSASMYGMNDVNKSYTIYYVFAAILGALVLLFIGTSWSFLYSKQNIPKSMEEGYRTVMSQFRMFIYRELREATGKFKEEIGRGGSGIVYRGVLEDKRVVAVKKLTSVSHSEEEFWAEMNIIGRINHMNLVRMWGFCSEGQHKLLVYEYVENESLDKFIFGNVSTERLLAWSQRFKIALGTARGLAYLHHECLEWVIHCDIKPENILLTRDFEAKIADFGLAKLSKRDSSSFNLTHMRGTMGYMAPEWALNLTIDAKVDVYSYGVVLLEIVTGNRISSEITVDGKELEFRQFVHAVKELLESGDVKVIADTRLNGHFNTEQVAVMVKLALSCLEERNSRPTMNEIVKALLACDDQDNHPAYSW >SECCE1Rv1G0032320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:453070487:453073112:-1 gene:SECCE1Rv1G0032320 transcript:SECCE1Rv1G0032320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAAAASASPFLLYGAAGSTSRRPVPAAAAPGRRAASSVRVRAAIKCDPSKVEPQSDRVLVRLETIPEKSAGGVLLPKSAVKFERYLMGEILSVGVDVSEVEAGKKVLFSDINAYEVDLGTEEKHCFCRESDLLAVVA >SECCE5Rv1G0338030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:596020770:596021408:1 gene:SECCE5Rv1G0338030 transcript:SECCE5Rv1G0338030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRQCTTLAVAIDRQLLGHLSATKMKIAKAPVLLKKAVTMCKSKTGVLAARLLFLASLRRRMATVGVVSHKIHALMAAADRAKAGGDCHKAVVSHKVQKTSAIRGGEMPDLAHQLSLFCQEQDGGGSFPDWTLHPIFNDDDNCCYTEDDDEDVDDVLLDGCDAHHDEPSVIDVIKSNMEVQGLEFNMEDEIDQAADMFIRRFRERMSKSI >SECCE7Rv1G0497120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:621206423:621210158:1 gene:SECCE7Rv1G0497120 transcript:SECCE7Rv1G0497120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSFPTLLLSLSTILVAFFPCTHSLDGAAPSWNNSDADRQTLLCLKSGLASNLTTGALATWRDDSQSFCQWRGVTCRTMQSAAPHVVALDLMSLNLTGQIPPCIGNLSFLTRINMSDNKIRGSIPPEIGRLAQLRHLNLSINSIGGMIPHELSMCSRLEIISLSSNLLEGEIPSALAQSSSLEQLVLSDNFLQGHILPGFALLRNLSILSFARNKLSGTIPQFLGGNSRLTKLALNNNAFTGEIPCFLANSSSLAYLNLASNKLHGEIPPALFNSSLLYTLNLSYNGFSGSIPSFSQASSPLKYLSLTSNNLSGSIPSSLGNFSSLSFLLLALNNLQETIPESLGSLAGLQVLDMTYNNLSGTVPPTIYAIPSLTYLGLGANQLSGRIPTNIGYTLPRIQMLVMQGNQFDGPVPASLANASDLKYLGLRNNTFSGVIPSLGSLTNLVTLDLGDNLLEAGDWTFLSSLTSCTQLQMLYLDRNNLQGELPTSIGNLPKSLQWLLLNDNQITGFIHPDIGNLSSLTLIHMERNFLAGNIPATLGNIQTLFVLNLAENNFSGEVPQSIGNLEKLSELYLEKNILSGSIPGSLAGCRRLTRLNLSCNTFQGSIPPTLFSISSLSEGLDLSYNQLTGPIPSEVSSLNNVEFLSLSNNQLSGKIPNSLGQCLHLESLHLDANYLEGNIPGSFMNLRGVVEMDLSQNSLSGEIPSFFESFSSLQLLNLSFNDFHGTVPTTGVFGNSSQVLIQGNKNLCTNSQILQLQPCITHTFKRKRTAYVLMVVIPVVVSVLVSLICVIAIVHRKRETTNQPSNQHSEGWKRFSYHDLYKATGGFSSVNLVGVGGCGSVYRGTFLAEPCIAAVKVFRLDHDGASKSFIAECEALKYTRHRNLIRVISMCSTFDPSGSEFKALVLEHMSNGSLESWLHPVPEKHGSERPLNLGLRIRIATDIAAALDYLHNRCLPPLIHCDLKPSNVLLDDDMCARVGDFGLAKFLYDHPSESLLGARGSIGYIAPEYGMGSKMSTEGDVYSYGIILLEMLMGKNPTDEMFKDGHGLHKFVELAFPHKIGDILEPNLIPQYQGEDTSKPSGHATHVIVGMQECTMQLVKLGLKCSVDSPKDRPTMQEVYTEVMSIKETFLGLHELTNEISVIHLFE >SECCE4Rv1G0216170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:9611272:9611631:1 gene:SECCE4Rv1G0216170 transcript:SECCE4Rv1G0216170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCGLREDCTQHYIAGVRASFYGQWLCGLCCEAVRDEAGRKKAHPGVVQEAVRAHMAFCNMFKSNPAVRVADGMRQMLRRRSGDLSSPPASSSSNKYSAAQVGDDSPVSLY >SECCE6Rv1G0446540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:844906589:844907858:-1 gene:SECCE6Rv1G0446540 transcript:SECCE6Rv1G0446540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRSSSSSMALALLPLLVAAAFFIPSMASSASGTLDHGLDGEALLMLGRFHGWMAAHGRSYATVEEKLRRFEVYRSNMEFIEAANRDSRMTYRLGETPFTDLTHDEFMAMHSSNESSWESEEMTVITTRAGPVHEGTTTVEESPRRTNLTAVVPPSVDWREKGVVTAAKYQGDSCSSCWAFTSVATMESAHAISTGGPPPVLSDQQLVDCRINGCGNSWMDKAFEWVIQNGGITTEAAYPYTGKVGKCQRAKPVAVRLRGYRKISPPGDEAALMAAVAQQPVAASFDYSDPCFQHYIRGVYNAGCSRSGVYTKGACKTAQNHALALVGYGTKPDGTKYWIGKNSWTDQWGDKGFIYFLRDSPPLGLCGIAKYPLYPII >SECCE2Rv1G0112980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:717883979:717884500:-1 gene:SECCE2Rv1G0112980 transcript:SECCE2Rv1G0112980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSARSATAKHAYRMFTPSRGPAARGSPGSAADEFDESDVWGSIGAADFGPARTVPSSARAGGRKKPLDHAAAAGAGAVPGSLPMSIPDWQKILGGEYRDHHAGEWELDGDDDEYGKVGAGCRGGSMVPPHELAWRSRAASLSVHEGVGRTLKGRDLSRVRDAVWKRTGFED >SECCE6Rv1G0448630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857351193:857352158:-1 gene:SECCE6Rv1G0448630 transcript:SECCE6Rv1G0448630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFALFAVLAMAVTMAVAEQCGSQAGGATCPNCLCCSRFGWCGSTSDYCGDGCQSQCAGCGGGGTPVTPTPTPSGGGGVSSIVSRALFDRMLLHRNDGACQAKGFYTYDAFVAAAGAFPGFGTTGSTDTRKREVAAFLAQTSHETTGGWATAPDGAFAWGYCFKQERGATSNYCTPSAQWPCAPGKSYYGRGPIQLSHNYNYGPAGRAIGVDLLRNPDLVATDPTVSFKTAMWFWMTAQAPKPSSHAVITGQWSPSGTDRAAGRVPGFGVITNIVNGGIECGHGHDSRVADRIGFYKRYCDILGVGYGNNLDCYNQRPFA >SECCE6Rv1G0434830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:767926804:767927646:-1 gene:SECCE6Rv1G0434830 transcript:SECCE6Rv1G0434830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMLGFLAGLVADGKRTSSGFRDVHHRQCAAVLNEQFKLSVTGEQVKNHLKKWRKIWGRVVNLKNLSGALWDEDTCTIRLSDEHYAGHCMTHKADAPFLNTPIEYYHALASIYGTMGAKGLGARSGNDLLSIDIEDEENGEVNTSPNVGESSDPKAPPKKKAKVKNVVDDPLVITLKDGFKLVAEALAKSSGDDDDIPDDLWDVVSVLPDFDEEHLAHYYAHLVDNPKTARAFMKLTRINKSVWVSRYVKKNF >SECCE1Rv1G0036130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:505373675:505377804:-1 gene:SECCE1Rv1G0036130 transcript:SECCE1Rv1G0036130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGDVAAMAVADGGKGGEARRGRRVERVALPAGMVLVQALTVVTMLLSKLALNGGMHPLVLLVYRNLVAAAFVAPLAVVFEREMWKKVTPRVLGWISLNAMFGVLLAMGLYYSGLRATSPTYAVNFLNLIPIATFIIAVALHAERLSLAAWASRMKLLGAVVGVAGTMVGTLCKGTHLLLPHLWQSSHANPHHGVHHSGRDIVVGTLFLSGSCVSYAFWFVVQAKVVKVFPSRYWATALTCAAGSLQSAVAAAVAIVLAPAGDGHGWTTTWRLRWDLQLATVVYSGVFNTGVTFVLVSWAVERRGPVYPPMFNSLSLVATTAIDAVVLGTDVYLGGVMGAALVVVGLYAFLWGKSKELAAAKAVNAEQELQRAGDDGIA >SECCE1Rv1G0028530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:403163206:403167725:-1 gene:SECCE1Rv1G0028530 transcript:SECCE1Rv1G0028530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalase [Source:Projected from Arabidopsis thaliana (AT4G24040) UniProtKB/Swiss-Prot;Acc:Q9SU50] MAPPPRHLLLLPLLLLVSLLRAAQMEPAHAAAAGEGDHDALLALLQRVQSGALRALGPRDFDPKLYVDLPLAPGADRAAAEAALAAVTTRGEMEAFLARYFATAGSDLVLADPPDFEAEPRGFLPRVVSGEARAWALQVHALWKDLARQVAPSVAARPDRHTLLPLPGRVVVPGSRFREVYYWDSYWVVRGLLVSKMYDTAKDIVLNLVYLVEEYGFVLNGARSYYTNRSQPPLLSSMVLELYTATGDLGLVRRAFPSLLKEHSFWVSELHNVEIMDNHGRMHNLSRYQAMWNKPRPESATIDEELASKLNSTAAKEKLYHQIASAAESGWDFSSRWMSNSTDMTTLVTTFVIPVDLNTFICKMERDIAVFAELIGEKATAEIFSQASKARHTAIESLLWNSEMEQWLDYWLPTDGNCQGVYKWESKSQNRNIFASNFIPLWLNAHNLGLGPFLDEAKSVRVMRSLQTSGLVCPAGIATSVSNTGQQWDFPNGWAPLQHLIAEGLLKSGSTEAKEFAEDIATRWVRTNYAAYKSSGAMHEKYDVEACGKSGGGGEYKPQTGFGWSNGVLLAFLEELGWSQDKEIGCPS >SECCE4Rv1G0222330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:56201322:56206287:-1 gene:SECCE4Rv1G0222330 transcript:SECCE4Rv1G0222330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNTGYLGVSEPISLGGPTEKDVVQTAEVEKFLADAGLYESQEEAVSREEVLGKLDQTVKTWIKKATRVSGYGEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRNDYFFRCLHDMLAEMPEVSELHPVPDAHVPVLGFKLCGVSIDLLYANLAHVVIPDDLDLSQDSILHNVDEQAVRSLNGCRVTDQILRLVPNIPSFRTTLRFMRYWGKRRGVYSNVMGFLGGINWAILVARICQLYPNASPSMLISRFFRVYSQWKWPNPVTLCHIEEGPLGLPVWDPRRNFRDRGHQMPIITPAYPCMNSSYNVSTSTRYVMVQEFTRGYEICQAIDENRATWDDLFEPYPFFELYKNYLEVGITARNEDDLRNWKGWVESRLRTLVLKFERYTHEMLLAHPHPRDFSDGSRPLHSFYFMGLWRKQTAQPQEAEQFDIRGIVNEFKNAVLAYAHRREGMDIEVSHVKRKDIPLFVFPGGVRPPRSSRTVARSSRTVSRNVVTADGQVGNPLGAESWSDPQSALDHSGGYQSTSLLVPSVSSKETQSILNGHSNLHTESLEHEHPGHFLGSASAPGNIAVLDVVTQPNSMPSTSSNGAPTNGLNICFNSLHREAEGIPANNPVNFSPAVVDELDELASYQAKPDNKHVLPVHGSSLEGCSERTVGQTCNLSSHGNNHLKRKAEEELEPLELAGPPVGATRASTSTVQRKPLRLRLSTVPQPKQAE >SECCE6Rv1G0440980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:808364818:808368576:-1 gene:SECCE6Rv1G0440980 transcript:SECCE6Rv1G0440980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLSSWPWAGLGAYKYLLYGPLVAKVAQEWREQGGAPTGSWCLHLLLLLALRSLTHQLWFSYANMLFFTRRRRVVRDGVDFTQIDAEWDWDNMVIMQTLLGAMVMSSPLFPAVGELRAWDLRGWAVALLLHVAVSEPGFRWAHRALHRGPLFSQYHSKHHSSPVTQPLTSAYGTPLESLVLTLAMAAPLAGAFLAGAGSVGLVYGHILAFDYLRCMGYSNVEVISHRAFRAFPLLRYLIYTPTYLSLHHQEKDSNFCLFMPLFDLLAGTLHPRSWELQKEVDQGKNDRVPDFVFLAHVVDVVSSMHVPFAFRACSSQPWTTRLVLLPLWPIAFCLMVLQVLCSKTFTVSFYCLRGALHQTWTIPRYSFQYFIPPMKDGINRQIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVDRHPDLRVRVVHGNTLTAAVILHEIPGSVTEVFLTGATSKLGRAIALYLCRKKIRVLMLTLSSERFLKIQREAPAEFQQYLVQVTKYHAAKTVKTWLVGKWLSPREQRWAPAGTHFHQFVVPPVIEFRRDCTYGKLAAMRLPKDVQGLGSCEYTMERGVVHACHAGGVVHCLEGWEHHEVGAIDVDRIDVVWKAALRHGLSPP >SECCE2Rv1G0084710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:179063505:179068370:1 gene:SECCE2Rv1G0084710 transcript:SECCE2Rv1G0084710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKKAVVSVTPAVDSSGVLRDRAPEPAAVSASSLRSSAAAAAAARLVEKKEDAEVPGKALVAVAAASRSFRLRNLRRSLEGEQVAAGWPSWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARDLDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLCSSPDIKFTEAQVKCYMNQLLSGLEHCHSRRIVHRDIKGANLLVNNEGVLKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTHYDSAVDLWSVGCVFAELFRGRPILQGRTEVEQLHKIFKLCGSPADDYWKKSRLPHATIFKPHCPYLSTLRDVFKEVPEHAFSLLETLLSVEPYKRGTASCALTSEFFKTKPYACEPISLPQYAPNKEMDVKLREELHRRKASSRGHGPEASKKSSRLNRAAREQSAVNRQTENREESKTKPKVTKDGAVQVHTKVNGDARLFTDTQLVPAAQVKERARHAKNDSREEIPFSGPLIVSSSSGFAWAKKPEGRSFTRSRNRSSSRGEFTDVDWDNKPQAKEKIGLEEQNSKDLHVAHVNSKVREPQEVAKRAVLKKWSQLERPDSFDSRDTYHSQNFSNAIYLGDALSSKNSMKDDHYQGERVEYSGPLLSQTHKVDELLEKHERHIRQVVRKSWFRRGIK >SECCE4Rv1G0248730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:574637565:574639133:1 gene:SECCE4Rv1G0248730 transcript:SECCE4Rv1G0248730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 11 [Source:Projected from Arabidopsis thaliana (AT1G68850) UniProtKB/Swiss-Prot;Acc:Q96519] MATAALCFRASALSVACFLLALPLLMAQDPSNLSLEHYSKTCPNAEHVVRAEMECAVREEPRNAALMLRLHFHDCFVQGCDGSVLLDDTATMIGEKQADQNVNSLKGFEVVDKIKAKLEAECPGTVSCADLLAIAARDAVVLVGGPYWDVPVGRLDSKEASLDLANKDIPTAEQGLVTLIAKFWEKGLDATDMVALVGSHTIGFARCANFRDRIYGDFEMTSKYNPSSATYLSKLKETCPLDGGDDNISAMDSHTSSTFDNAYFETLIKGEGLLNSDQEMWSSIAGYSTADTVNKYWADPALFFKQFSDSMVKMGNITNPAGGEVRKTCRFVNT >SECCE1Rv1G0034090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:477154433:477155107:1 gene:SECCE1Rv1G0034090 transcript:SECCE1Rv1G0034090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAVIADFVPAGARRPDGSSTDVPGSILTVTGEEMTEKPPAPGRKTAYRGIRRRPWGRWAAEIRDPRKGVRVWLGTYATAEEAARAYDVAARDIRGPKAKLNFPPAVGAPQAAAAVEGAPKKRRMAAAEESSASWSPLPATATAGGGTDSLRERMSGLEAFLGLEDGDVDPWEAVDLILE >SECCE4Rv1G0272930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:773059245:773062088:1 gene:SECCE4Rv1G0272930 transcript:SECCE4Rv1G0272930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLAKKVASQRIDLDVIPDVDLNKLEPWDIQERCRIGTGPQNDWYLFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSAAGSGRIGMRKTLVFYKGRAPHGHKSDWIMHEYRLDDAVPAPANPNNPGAGDASTYYSGASASSPIRGVAGDQSSAQEDGWVICRVFKKKNIVVNQGQNGGGAASNKLAGAAPMERSQSNCSSTVTTISNHIKAAQQQQQDQQHQLLHYSASDDALDHILNHYMHGRSPTTPCKQETKPAASSALDQLINSTACPNGTLYERFMKLPPLEHVVSGGLLQPPATEYGGGSGNNNNNIGTEWDSLDRLAASYELNGLSDDVASANKNSGMASFFSDGHGGTTVNGAGDGDLWSLARSVSSLHADLTTMN >SECCE3Rv1G0165470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:174743604:174745328:-1 gene:SECCE3Rv1G0165470 transcript:SECCE3Rv1G0165470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSAPKCIALFLLPLLACVHYAQAHPGRPSIHSIFSFGNSYADTGNFVKLAAPVFPVMPFSNLPYGETFFGRPTGRASNGRITMDFIASEFGLPFVPPILGEARNFTRGANFAVVGATALDLAYFLENNITSVPPFNSSLSVQLDWFQKLKPTLCSTPRACRAHFKGSLFFMGEFGGNDYTFLMAAGKTFGEVASYVPKVVGAISAGVEAVLKEGARYVVVPGQLPTGCIPIVLTLYASPNRRHYDRRTGCLRKYNALARYHNGVLFEAVYRLRIKYPAAKIVYADYYAPLIDFLKKPRKFGFSASSKLRVCCGAGGPYNYNMTAACGFPGASACANPAAHINWDGIHLTEPAYEYIAAGWLWGPYAHPPILKAMRH >SECCE3Rv1G0191130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:746409602:746411573:-1 gene:SECCE3Rv1G0191130 transcript:SECCE3Rv1G0191130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTPSAHAAPAAPAAPPPAEGAGLSEAIVAALPPDPYDQLEVARKITAVAVAARASRLEHEAARLRQKLADKDRLAAEHADRAGALDQALRDADARLRAVLDDNAKLVKERDSLVQTSRKLARDLAKLETFKRHLMQSLGDDNSSSQETVDIRTCEQSVAKSSSWRDDASASSNPAPASTARADGSSEAESVNQEVARPFEQKLTITHMTPRLIADPAPKQRSSSAASARRYSTAVSPKLTSRASSPRRYSTAVSPKLSSGSSGAGAGAATSPRLEGHMAMSAWLPSSKMSSAANSPPRGHSVSGRTTRIDGKEFFRQARSRLSYEQFAAFLANIKELNAHRQSQEETLEKADQIFGAENKDLLLSFQGLLSRSLT >SECCEUnv1G0531940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:18130017:18133282:1 gene:SECCEUnv1G0531940 transcript:SECCEUnv1G0531940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTATKSLVGGACAAPSPARRRTFVVPEARRKPGNGRRTSVSKVGSTSTTTTTTTTLSDSNGPAVGTVTRPDVHVRDPKQITEMKATVTVHMSKAAGVRDFLYDLILKTWLHVDLVSSELDSQTGQEWEPISGAVKHSGRVDDEWDMYEATFKVPASFGPIGAVQVTNYHHSEMLLGDIQVFPTGQEESAVTFHCNSWIDPSHCTPDKRVFFPARSYLPSQTPKGVEALRKRELEILRGTGCGERKEHDRIYDYDVYNDLGNPDDEKNPTTRPVLGGKEHPYPRRCRTGRPRSKKDPFSEERSHKDHIYVPRDEAFTERKMGAFDTKKFMSQLHALTTGIKTAKHKEQSFPSLSAIDKLYDDNFRNQPVQPEGGKLRFVIDLLETELLHLFKLEGAAFLEGIRRVFKFETPEIHDRDKFAWFRDEEFARQTIAGMNPLSIQLVTEFPIRSKLDEATYGPADSLITEELVEEQIRRVMTAKEAVENKKLFMLDYHDLLLPYVLKVRKLEGTTLYGSRALFFLTADGTLRPIAIELTRPKSKRKPQWRQVFTPGCDGSVTGSWLWQLAKAHVLAHDAGVHQLVSHWLRTHACTEPYIIAANRQLSQMHPIYRLLHPHFRFTMEINAQARAMLINADGIIEGSFAPGEYSIELSSVAYDQQWRFDMEALPEDLIRRGMAVRKENGELELAIEDYPYANDGLLIWDAIKQWALTYVEHYYPCTADIVDDEELQAWWTEVRTKGHADKQDEPWWPELDSHENLAQALATIMWVTSAHHAAVNFGQYPMAGYIPNRPTMARRNMPTEMGAEDMRAFVEAPEKVLLDTFPSQYQSAIVLAILDLLSTHSSDEEYMGTHEEPSWKQDGVIRQAFEEFKERTRDIVEQVDEWNNDPDRKNRHGAGMVPYVLLRPSDGDPTDEKMVMEMGIPNSISI >SECCE7Rv1G0517170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852359154:852359555:-1 gene:SECCE7Rv1G0517170 transcript:SECCE7Rv1G0517170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLALFLSLNLVLLAAAQGCGPYCPPVVPTPPIRPPPVVPSTGGGSCPINTLKLGVCAAVLNLLKLRIGVPENEERCPLLGGLADLDAAMCLCTAIRANILGLKLNVPIDLTLLLNQCGKKCPSDFTCPI >SECCE3Rv1G0155150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:64259796:64264354:-1 gene:SECCE3Rv1G0155150 transcript:SECCE3Rv1G0155150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSENMIRVNPLEEEFGASSDSGEGAWSLLSEEVAAHIDDSVVSLASFHKDNGKLVYFACTGIIIESNSTTTSFLTSLSLLRSIDDDSKIFQDMMIKVRLPNNYLSMGWLEHYDLKYNVAVISTVPFHVFRAAFVDHQRQFESHSEVVAVGRCFDSGKLMATTGMLTDNGKRIYREELAISTCEITMTGVGGPLVDFNGDFVGMNFYSKEETPFLPRNKILELLMHFRRTSPCWDTSKKRGSKIERGASKAQMSPESDTSDLEGSSRQEMKTKKQKPTICTICDPELNSGLEDTLLKSLPSIRRWPYDWGLVSLDARRDKFRSRGYPLPVLEDDGKRLRYSFEEEFSEDIWNKLSERVASNLSRVVVALASFSGKARLFACTGVFIDCSEFTTRVLTSGSLVRSNDDESKVADNLKIEVHLPDKRHVTGILQHYDLHYNVAVVIIKKLRCTRTAIINNKVETGTHSQVLAIGRVYESGKLMAASGIVINKESELDCKDLRISTCQITKAGIGGPLIDSDGNFIGMNFYGLEETPYMPRDTIVKLLGNFDGKGTADFTKVPAPNRWPVPKPYWCYPVWHDRKEGTDLLEFLDQEFRYD >SECCE3Rv1G0143840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2262571:2265826:-1 gene:SECCE3Rv1G0143840 transcript:SECCE3Rv1G0143840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKFLSTVLLICLLTYESYVDAASEEQDFLRTCSSHRCSKHGPEIRFPFRLSIDPPSCGAPGMQLSCSGHDTILDHHVLGSCRVTAIYYRHRVINVIPLVESSMECPLQKLISTNLETDVYKQPQSSQVTTLVRCSTDFIPADPYSIAGPASCLSNNATQFWYLASYSASITDLPWNCVAISKVIPIPFTYDEHGPNSDRDTFKEKAKAVVNFGETTFTWHLTTITDACQQCEHEGRRCGFSTQRRRAFCQHHDMHVIRIAASSVAAFVVLSLIVATVIYLSLKSRYNEEINMKVEMFLKAYGSSKPTRYTFPQVKKIARRFKDKLGQGGFGSVYKGELQNGVPVAVKMLESSTGEGDEFINEVATIGLIHHTNIVRLLGFCSEGMRRALIYEFMPNESLEKYIFSHISDISRQLLAPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSRRRNSDPSVENQDEVYLPEWIYEKVIGGQEWELTSEMTAEDKEKMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQIPPKPFISSGNHPMP >SECCE6Rv1G0421250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:682797062:682802392:-1 gene:SECCE6Rv1G0421250 transcript:SECCE6Rv1G0421250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLKDGEAPAALADGGEGEGSYDYDLFVIGAGSGGVRGSRTAAGFGAKVAICELPFHPISSEWLGGHGGTCVIRGCVPKKILVYGASFRGEFDDAKHFGWEINGDINYNWKKLLENKTQEIVRLNGVYKRILGGSGVTMIEGAGSIVDAHTVEVTQPDGSKQRHTTKHILIATGSRATLVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWKGLGAEVDLFYRKELPLRGFDDEMRTVVASNLEGRGIRLHPGSTLTELSKTADGIKVVTDKGDELIADVVLFATGRAPNSNRLNLEAVGVEVDQVGAIKVDEYSRTSVPNIWAVGDVTNRINLTPVALMEATCFAKTVFGGQTVKPDYKDVPCAVFCIPPLSVVGLSEQEALAETKNDLLIYTSSFNPMKNSISKRQEKSTMKLVVDAETDKVLGAAMCGPDAAEIMQGIAVALKAGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPPSKPKTNL >SECCE7Rv1G0508310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:771342816:771343473:-1 gene:SECCE7Rv1G0508310 transcript:SECCE7Rv1G0508310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTSLQSFLTGRPLCTAPQLTRSSIRPASARLSCRASDQKDAPPSWAGNLELKNLGKLAMVAMAAGVLVLAPVDDAMAGKSGGRIGGKAFRSAAPRSSGPRINNSRTNIYVNPGVAPPLVGGYGYGGYGGYGLSPFGFYGGPSVALGVGGGFDTLVLFIVGGAVVGAVRRFLNRRDNDDYDD >SECCE1Rv1G0025000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:338372933:338374073:-1 gene:SECCE1Rv1G0025000 transcript:SECCE1Rv1G0025000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKLTVKTEEDSNPRDKYITWTDEATKFMLDWYIELRKDKPSTFKFKKQHHLHCADALNGKFSLGVTQTQVDRHYRSYKEKWGWVRRAMANSGNGFDRSTFTFTLSESEKQSLNKTAVNYLTRPIRFFHQLQELFSDQSHADGSLATDQTTVNVDDDSDDSEEVRELEANAIPVESSDEVDSDTIDRRSPKVDLEGNPLNKKRKHVSSSPSKKATKGKANKKGKISNDDMAASIKKLADSLASPIVYVQPMPPTDPYANLWKRINALTITAKDKLEIVAYLSKPDQDIFRSYLNYADEKILGEWVLSFFEHRFQEDGGNGGSATAR >SECCE7Rv1G0474310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:169336448:169342804:-1 gene:SECCE7Rv1G0474310 transcript:SECCE7Rv1G0474310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAETAVASASGSGIWSRRRDEITFDRLQKFWNDLPPQARRELLKLDKQTLIEQARKNFYCSRCNGLLLENFKSLQQEVSDIDCLSSSGESKIRQQNGSQDPSVHPWGGLATTKDGILTLIDCFMKANSLRVLQNVFDNARLREREREMLYPDACGGGGRGWISQGMASYSRGYGTRETCALHTAHLSCNTLVNFWSALCDETRSSLLRMKEDDFIERLMFRFDSKRFCRDCRRNVIREFKELKELKRIRREPRCTSWFCVADYAFQCEVFEDSVIVDWRQSISETDGSYHHFEWAIGTDEGQSDVFGFENVGMKTQVQRSGIDLDQFEDYFITLRAWKLDGRYTEMCVKAHALKGQSCVHHRLVLGDGFVTITKGESIRSLFEHAEEAEEEDEDDAMERDENDLDGDGSHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGAAQQNAQSVFVSLALKLLEERVHVACKEIITLEKQNKLLEEEEKEKREEQERRMRRRTKEREKKHRRKERLKEKERDKGKRDEFKTSDDISSSTLSNSSTCTNDESGNTFGSRAASEEEDNSTAVALCHADIESSCIEIDGQNNIDCCDTVTKCPPVNSSEPFTSQQSKPSRRNLRLRKDVPQDHSSCWYDDGRDESRSVGNLQWRSMERMRNGDGRCNSVCSTNNRTRYRQDYSSCSCDHQESYKTEDNCFLPTARAGREMKMAKKTGVDKPIVQYRRVGSTHERNATPKQVWERMDTRKKTGLNDTDNMSGSVDNVESAKPVECDTSGCEKLDTGREPLGQASERSTDVCKSETDQSYGQHEENQSACSDGTPMTNKQKCHSTNNEGSKPDEELMTNSASSDGSSSCMSEADRESSSSSVTSLSAHTPESSSSDSEESSERVNIITETPSTRTASRSLFETCAGNGFREYHPKATCPPHNDRFGFSVLPFQNQSSHQQNMHAPPAYSPTTIGPHSHSCAAPTNGYFQYGQPPNFFSGPVGFRVPGNGPADFSVQYNNVHRYPAPAFSCIHPEQILKTPTSFRVMPPPPLPPYRHGTAPTGGHPYGGLNPDRHNSMLKPMGPKDAPDGNKLPDKSASFSLFQFNLPIAPQGPPSPKDGKSGESVARMPPFAPVQAQPCSREQTDVKEYNLFSTDQSGYFPLSR >SECCE5Rv1G0354330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722897512:722898669:-1 gene:SECCE5Rv1G0354330 transcript:SECCE5Rv1G0354330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTKAAALVAVLAMAVLGLATEGQAQLQNGFYTGKCRGNDVEAVVRGIVKARFAQDSAIVAHLLRLLFHECGVNGCDGGLLIDGPGTEKTAKPNLSVKGYDLITTIKMELEKRCPGVVSCSDIEILATRDAVNASTGQGYAVRTGRRDRRRSVATDVNLPGQDFTVPQAAAFFRTLGLSSDDMVVLLGAHTVGVTHCSMIKKSRLYSYGGKAGATDPSMDPELASTYKTYVCPNTASSDNNIVFLDDRSSASKLDNSFYKMLQRRRGALMVDQNLYGDGSTRWMVDRLANTDHFRWLFPQALAKLGEVNVLTGTQGEVRRVCTKFN >SECCE3Rv1G0195650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:800988889:800994481:-1 gene:SECCE3Rv1G0195650 transcript:SECCE3Rv1G0195650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADFTQSKQAMSHALCKHLNLDPNSISSTLTKENDIASLFSHIVTSPQDEVKKWVEFSSNFVQSDGEQHALLGNLNQHLSQTSVLLAGFKPSAADIVVFATVHVFMCHLSDSELQKYPNILRWMDYIQNVVDFGTTLQKIKLTKSIFNPPAPAPSHPKKADKGDGEPNSKKAVSGQKIADNSDGAADSKKAAGENKVPGDKANPASAKNNKPSGDKKKVQEKTAGKTTEAAAEKAPQKSAEKDSECSVSILNIQVGVIRKAWKHPSADSLLVEEIDLGDGNVRQVVSGLAKFFSPDELVNRHVVLITNVKPGKLRDVMSAGLVLCASNKDHTVVEPLIPPEGAKLGERISFAGFDGKPEDVLNPKKKQLDKITPHLRTDENGIATFRGVPFTTSAGPCRSSVPNADVK >SECCE4Rv1G0275310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:792949751:792951061:-1 gene:SECCE4Rv1G0275310 transcript:SECCE4Rv1G0275310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSMLGGAITSAASAAADEMSLLMGVRKDIWFIKDELATMQAFLVAAEGMKEKDMLMKVWAEQVRDLSYNIEDCLGEFMVHVASQSLSRKLMKLKDRHRIAIQIRDLKSRVEEVSNRNTRYNLIEKNQITRTIDERDSCMEDIRNHSASNIDEAGLVGFSKPKQELIKLIYVHAKNGPAQVVCIVGMGGLGWSLSRL >SECCE3Rv1G0165880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:180009438:180009914:-1 gene:SECCE3Rv1G0165880 transcript:SECCE3Rv1G0165880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAEKATELQDPDIQEQQAEKAPELQDPGIRAQQVKKAPELQDPEIRAELDRRAREEGETVIKSGAGGKTLVAQERLAEGRKKGGLSRATGSGNDRAEDEGGVVIEPDEKKLEEVKKDLGRE >SECCE4Rv1G0257040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:662404194:662404568:1 gene:SECCE4Rv1G0257040 transcript:SECCE4Rv1G0257040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAAHNKELELLRKKSQEQEEQLAHLMALFQAKVV >SECCE7Rv1G0517930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856659284:856660040:-1 gene:SECCE7Rv1G0517930 transcript:SECCE7Rv1G0517930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHDMVPDHSFFEALATCLIAITPKDHYKRLDAGSIVLKKSKTFSFCKEGVLVEGESSPIKSDIVIFGTGFKGDQKITNMFTSEYFQSIAVGPISSTVPLYRECIHPKIPQLAVLGYSESLANLYTAEIRAKWLAHFVDGGFRLPSVKVMQGDILEWEKFMKRYSRVYFRRSCIGLLHIWYNDHLCQDMGCNPRRKNGIFAELFEVYGPRDYVNLHPK >SECCE3Rv1G0169550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:238179900:238180774:1 gene:SECCE3Rv1G0169550 transcript:SECCE3Rv1G0169550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPARAIAVVLLTFVCYGCAMAADKAPIKWLRAHATFYGGADASDTMGGACGYGNLYSAGYGTRTAALSTVLFNDGASCGQCYKIACDRKLADPMWCKPGVSVTVTATNFCPPNNALPSDNGGWCNPPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCMKKGGVRFKIDGHDYFNLVTVMNVAAAGSIKSMDVKSSDSNDWMPMSRNWGANWHSLANLTGKMLSFRLTDTDGQTVECNNIVPDGWKFGQTFASKQQFK >SECCE3Rv1G0193790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:774870179:774875035:1 gene:SECCE3Rv1G0193790 transcript:SECCE3Rv1G0193790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLRCGSLLRLYECSGGLPRTNYSCRKLVLPILNVMSERTRITYSRRAVSKNSEIKKDEQTVIEKEDDTESTLEIEQIRGDPSMLQSMTVKELKELTRRMGVAARGNKKDLVSALVESLGEEVIGKEGASTIEQIGPSEVPSKRKGAASVVVEQKLETSEVISATPSKRSRTKQKSIKGATPEESPVTTVKINKTSHKKETVVANGAIAKAGLGLNVDGAEPWTVLVHKKPQAEWTPYNPKVMRPPPLSKDTRALKILSWNVNGLKALVKNRGFSIHELAQREDFDVLCLQETKIQEKDVDVIKESLLEGYTNSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDTEGRIVTVEFDDFYLLTAYVPNSGDGLKRLTYRVTEWDPCLGNYMKELEKSKPVILTGDLNCAHQEIDIHDPAGNSRSAGFTKEERKSFETNFLSKGFVDTFRKQHPDVVGYSYWGYRHNCRKTNRGWRLDYFLVSESIAERVHDSYILPDVSASDHSPLGLILKL >SECCE1Rv1G0026880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:375445287:375448154:-1 gene:SECCE1Rv1G0026880 transcript:SECCE1Rv1G0026880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPAPTPPPPPPPAAAPARPVRYDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAAAPPAVGRGRGKPPGEDEGGDEGGDEEKGYDENQKFDEFEGNDAGLFSNADYDDDDREADAVWESIDQRMDLRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLADVSVQEWESIPEIGDYSARNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLRSVTQTNPKHPPGWIAAARLEEVAGKLQSARQLIQRGCEECPKNEDVWFEACRLASPDESKAVIARGVKAIPNSVKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARMLLHRAVECCPLHVELWLALARLETYDQAKKVLNKAREKLNKEPAIWITAAKLEEANGNTQSVSKVIERGIRSLQREGLDIDREAWLKEAEAAERAGSVLTCQAIVKSTIGVGVDDEDRKRTWVADAEECKKRGSIETARAIYAHALSVFVAKKSIWLKAAQLEKSHGTRESLEAILRKAVTYNPKAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPISEEIWLAAFKLEFENNEPERARMLLTKARERGGTERVWMKSAIVERELGNVNEERRLLEEGLKLFPSFFKLWLMLGQMEDRIGHVAKAKEVYENGLKHCPGCIPLWLSLASLEERINGLSKSRAFLTMARKKNPATPELWLAAIRAELRHGNKKEADSLLAKALQECPTSGILWAAAIEMVPRPQRKSKSSDAIKRCDHDPHVIAAVAKLFWHDRKVDKARSWLNRAVTLAPDIGDFWALYYKFELQHGNADTQKDVLKRCIAAEPKHGERWQAISKAVENSHQPVDAILRKVVLVLGAEENPNAAEH >SECCE7Rv1G0460710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:32997174:33002155:-1 gene:SECCE7Rv1G0460710 transcript:SECCE7Rv1G0460710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGEPGARPLKQQNRGACQICGDDLGLGPGGDPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRYKRLKGCARVPGDEEEDGADDLEDEFNWRDRDDSQYAAESMLHAHMTYGRGGDLDGVHQPFQPNPNVPLLTNGQMVDDIPPEQHALVPSFVGGGGKRIHPLPYADSNLPVQPRSMDPSKDIGSYGYGSVAWKERMESWKQKQERLHQARNDGGKDWNGDGDDADLPLMDEARQPLSRKVPIPSSLINPYRMIIVIRLVIVCLFFHYRVMHPVHDAFVLWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQPSQLAPVDFFVSTVDPAKEPPLVTANTILSILAVDYPVDKLSCYVSDDGAAMLTFEGLSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVVPNFVRDRRAMKREYEEFKIRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYVNNSKAVKEAMCFMMDPLVGKKVCYVQFPQRFDSIDRHDRYANKNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCVCCFCFGNRKNKKKVTKPKTEKKKRLFFKKEENQSPAYALSEIDEAGAGAETQKAGIVNQQKLEKKFGQSAVFVASTLLENGGTLRCDSPASLLKEAIHVIGCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLNQVLRWALGSIEIFFSNHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELSNLASIWYMSLFICIFATGILEMRWARVAVDDWWRNEQFWVIGGVSAHLFAVFQGLLKVIAGVDTSFTVTTKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIVIVWSILLASIISLLWVRVNPFLAKTDGPLLEECGLDCT >SECCE3Rv1G0150840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:34378469:34380705:1 gene:SECCE3Rv1G0150840 transcript:SECCE3Rv1G0150840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein ER-ANT1 [Source:Projected from Arabidopsis thaliana (AT5G17400) UniProtKB/Swiss-Prot;Acc:Q8LB08] MAAAAAAAATRKDGADARLRSPSRVAADFAMGGAAAVVAKTGAAPVERVKLLLQNQAEMLRRGALTRPYRGIADAFARVLREEGAAALWRGNQANVIRYFPTQACNFAFKGYFKSFFGYDKEKDGKWKWLAGNVACGSAAGATTSSLLYHLDYARTRLATDAIESRANKRQFRGLLDVYKKTLATDGIRGLYRGFSVSIVGITLYRGLYFGIYDTMKPIVLVGPLEGNFLASFALGWTITTFSGACAYPFDTLRRRMMLTSGQPFKYRSALHAVKQIVSTEGFFTLFRGVGANILSGMAGAGVLAGYDQLQRFAGRHDYKIENKMKGALK >SECCE1Rv1G0016870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:144709971:144712290:-1 gene:SECCE1Rv1G0016870 transcript:SECCE1Rv1G0016870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTAEEEGEDPRWRRSNTDCVFFLVSRVSCAKGSKCEYRHCEGARFNPRNCWYWFHGSCVNPSCTFRHPPMENFNRTKSLTVSPSSYGSMSVKTANPCYFYYSSGCKKGDNCPFLHEPTPNTESAISSEATTFNPAVDENSAGDEMVEASKDAHASPCQDTLYHIKKCHSKEVPESIYLEFDGAISITPETSIDTGEYIKCFTHSDQSSEYSTMEDTEQDGSRDSSPGFDVLVDDGLTNKNDLEKQLAQKRDAQVLHAKYDIGDPVCYDRDYYDSWYYGQAFCSFDDQHGYLSHPEGVQDPDVESTLGHIAHNTRKLARPNSDEYDRTFFSSGIFISSAADDVFPRQHTNTKHTSKRRPEKRKGAKSRKGRTKRRRGLEPLSGFQGIELRSTHYRQESLMEECPQSVVCATFRGQKKKRRGKQHNVISARSSEHPTTDFTGPKTLAQIKEENCVSKSRFNHSAARMPHGGSFSNDFEGPKSLTELLKVKGRISISRRIIL >SECCE4Rv1G0287780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:856793448:856794694:-1 gene:SECCE4Rv1G0287780 transcript:SECCE4Rv1G0287780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSCRAWHCLLALFLLSSSAYGQLSPSFYSKSCPTLQLIVRATMIKALLAERRMGASLLRLHFHDCFVQGCDGSILLDDVGSFVGEKTAFPNVDSVRGYEVIDEIKKNVELLCPGVVSCADITALAARDGTFLLGGPSWAVPLGRRDSTTASLNEANSDLPAPSLSLDLLIQAFDKKQLSPRDLTALSGAHTIGFSQCLNFREHIYNGSNIDPAFATLRKRTCPDKAPNGNKNLAPFDVQTQLLFDNAYYRNLVAKRGLLNSDQVLFNGGSQDALVRQYVANPALFASDFVTAMIKMGNIKPLTGTAGQIRRNCRVVNG >SECCE7Rv1G0469530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:109854621:109855874:1 gene:SECCE7Rv1G0469530 transcript:SECCE7Rv1G0469530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haem peroxidase family protein [Source: Projected from Oryza sativa (Os03g0152300)] MDACRLVLVLGLLVAVTPLASAQLVPDFYKESCPDAEKIVTAVIEKNLKADPGTTAGLLRLLFHDCFANGCDASILIDPLSNQSSEKEAGPNISVRGYEIIDEAKKELEAKCPNTVSCADIISLGTRDAVRLSGGPDYAVPTGRRDSLVSNREEADDNLPGPDVPVPQLTADFVKAGFTDEEMVLLLAGGHSIGKVRCIFIEPDATPMEPGYHASISKLCDGPNRDTGFVNMDQSNPNVVDNSYFANAIAEKMPLTIDRLLAIDSKTGPMLKDMLNKPKDFLPAFGKAMEKLTVLKAITGKDGEVRKLCNEFNNPMSSDGPSVIRISSVNPEDLDGLAAGNKQEQVSSIVSQGHKDGQQEVPAGKADGNAEKRHKKASGRHMKLRGE >SECCE6Rv1G0401410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:465537274:465538827:-1 gene:SECCE6Rv1G0401410 transcript:SECCE6Rv1G0401410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDCQRQWSATQSTSARVVREGVLGPDHVAQIVSRWTCIPVATLDEEEKDKLIHLANRLHERVVGQDEAVKLVAQAVLRARAGLDQSGQPIGSFLFLGPTGVGKTELAKALAEQLFNAEKMLVRFDMSEYVNGGSVLRLIGAPSSYRDHEDGGQLTEKIRRRPYSVILFDEVEKADPSVFNVFLQLLDDGMLTDGMGRTVDFKNTIIIMTSNMGAEHLTAGMNGETTMEAARGLVMEQVQKCFKPELLNRLSEVVIFEPLSHDKLKEVVKIQMKIIIANVANKGISLVASDGALDVILSESYNPMYGARPIRRWVHKNVMTKLSELLVKGEADEGTVVSIDATTDKKGLKFQVVKKVIEALGKKPMMEVPSDSYDSNDVVEVFPVAKKAKVVDF >SECCE7Rv1G0465610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:72163196:72166407:1 gene:SECCE7Rv1G0465610 transcript:SECCE7Rv1G0465610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein ING1 [Source:Projected from Arabidopsis thaliana (AT3G24010) UniProtKB/Swiss-Prot;Acc:Q9LIQ6] MPAGEIPSSSVPGAPQFHVLAQLPWPRPPDHNDVESLEKFQAGVETLPAMLHKNYSLMRELDKSLQGVQLENEQRCQQEIEDIKHGLESGSITTYEPAKLKFSDEAIEEQKHCVRIADEKVALATQTYDLVDAHIQQLDQYMRKLEELRLEKEAAAAAAAAAADAAVAATSAAPAGAGSLRFAAADPAPKTGRAGERNRGGRKKAKVPTEMPIEMPMEQPAIDLELPVDPNEPTYCFCNQVSYGEMVACDNPDCKIEWFHFGCVGLKEQPRGKWYCLSCSAFQKRRKGR >SECCE2Rv1G0111310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:699304330:699305648:1 gene:SECCE2Rv1G0111310 transcript:SECCE2Rv1G0111310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCLQVALFAGTDAIARRSNFIFSPLSMRTGLALLATGTNGETLTQLLAFLGSQDLHLLNAASASLVAEMRAWPQLTFAAGIFADKSFSLRPEFVSAAASLHRASVRSVDFQNQPAAAAAEVNALVAETTRGRIRDLVSPDSFKGDPKIILANAMHFKATWSRRFDRSDTVRRDFHRLDGTSVRVPFLSAPGMQYATSFDDDLGFKVLQCFYKKAGRDGKLDAKAPLFSMLVFLPHRRDGLRDLLRMAVTEPDFVMRCAPRREQVVNPCLLPKFKFSFRFDATDALRGLGLAAPFDPLAADLSGVVLNMPSEGLYVSAVEQMCAVEVDEEGTTAVAAFYTPTSPSYSPSARPPPPPMSFVADHPFLFAIVEYGKGEVLFLGHVVDPSD >SECCE4Rv1G0264460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:720719290:720720637:-1 gene:SECCE4Rv1G0264460 transcript:SECCE4Rv1G0264460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAVQVLLAAMAMALTYLTWTAASRRRRSQCYLLDYVCYKPPDDRKVTTGMGVAVAECNKRLGAPELRYLLRLVSRAGLGEQTYLPSGILAGREKCLTHQDALDEMDAFFIDAVAGLFTKTGFGPRDVDVLVVNVNVFCPQPSLASRITHHYGMREDVAAYNVSGMGCSATLVLSPVWYGGTDRSMMLGMCLFRCGGAAALFTNDSAHRRRAKMKLRRLVRANVAARDDAYSAIFQREDADNLVGFSINKTLPKAAVRAFAFNLKRLVPYIIPVRELLRLAASFTRQKMSRLGRVKIDVNLKTGVDHFCLHSGGVAVIDAVKKNFGLNEADVEPSRMTLHRWGNTSASSVWYVLAYMEAKGRLKRGDRVLMVTFGSGFKCNSCMWDVNRDLKDKGAWADCIHEYPVESTANPYADKYSWMMNDNADDDSMLPVL >SECCE2Rv1G0137430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918050339:918050791:-1 gene:SECCE2Rv1G0137430 transcript:SECCE2Rv1G0137430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFCALFLLALTTSSAYAQCTFVILVTTGNRDDAGTDARVSLTVSTANGQKLVIESLKPWGQKGHNNFEKGHTDTFEGSGKCLPSKPCSMLLRSDGKGNKPGWFVDKVAFTQIETKNLSQKEKTFNVNRWLARDEPPGTLLVVVDECAK >SECCE3Rv1G0159570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:97461007:97462998:1 gene:SECCE3Rv1G0159570 transcript:SECCE3Rv1G0159570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMQRMPTRKAHSWWWDSHISPKNNKWLADNLEEMDKQVKEMLKLIEDEGDSFAKKAEMYYQRRPLLVTHVENFYRMYRALAERYDNVTGELRKNMPSSLKSQGSGISESDSEAQSTPASPESEKKTSKQKGKKGSDGSSSSSESDSEVDEVDQENGNGISHALSERVIELEDELKEAMEKLEALEEKNMRCQCENLEEKLLASQSEINSLQKDLEEKVRSLENIKEISSEKEDLEAVVLENKNKFEELKGEMALAAKHHEAQLSDRDLEIEKCKRELEQVSERYTYDKSTLETEIRELQEVVKNLEGNLAKLSEEKLQLEAQVMELEQTSHSLDDSSAEIKKLQKVIKDLQARLENDSNEKRVLEERAIEFEQVHRELEDSRAETVELQTRIEKLKADLEGALQEKSMLEGCVKDLEHAIACKMEKFSLEKSSLDAEILQLSEANASLEDKLSSTEAQLKQLHAEKAEASAESEKLISGLKQDIANLKTELELLSSQKAAVDNKVSGLLSDLATCDEKMKETDSHLHQLHLEHVQVIAEADAARKSVAELRARVRELEEEVEKQKLMAFDSAEGKREAIRQLCFSLEHYRDGYQHLRQVLQGHKRPMVMAT >SECCEUnv1G0567720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:417331728:417332353:-1 gene:SECCEUnv1G0567720 transcript:SECCEUnv1G0567720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRVVVVVLLAVSCALLSVAADTTNTPSPQPFVWQKAHATFYGGADASDTMGGACGYGNLFSEGYGIRTAALSTVLFNDGAACGQCYKIACDRKRADPLFCKPGVTVTVTATNFCPPNDALPNDNGGWCNTPRPHFDMAQPAWEKIGVYKGGIIPVMYQRYICASYKFIN >SECCE3Rv1G0170380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:251956869:251957873:-1 gene:SECCE3Rv1G0170380 transcript:SECCE3Rv1G0170380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSQPSASLLLFSLGLVLLCLTSGSTVRLAEAQKTWCMAKPSSDEKVLQANINYACSNVSCAVIQPGGPCYNPDNLLSHTSVAMNLYYAANGRHSWNCYFADSGIIVKSDPSYGSCTYY >SECCE7Rv1G0502080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:692886033:692890743:-1 gene:SECCE7Rv1G0502080 transcript:SECCE7Rv1G0502080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACDDAVQQLARLLDQVEEPLKKTFQNVHQGYPTETLVRFLKAREWHVTNAHKMLVDCLNWRIQNEIDSILEKPIIPVDLYRSIRESQLVGLSGYSKEGVPVFAFGVGQSTYDKASVHYYVQSHIQINEYRDRIILPMATKKFRRPITTCIKVLDMTGLKLSALSLLKILTAISAVDELNYPEKAETYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLSGRGKDELLKIMDHSSIPHFCRREGSSKASLSSIDDCFSLDHPFHQELYHYIEQQALNQELIKQGSLHVDIPDQDPEDAMIVEVIQAEFHKLGEENGSTDDDHK >SECCE3Rv1G0192710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762103593:762105605:1 gene:SECCE3Rv1G0192710 transcript:SECCE3Rv1G0192710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLPSFPHALSKPHHHHHHHSHIAAASARPEAPSPASAAPASSRLRRLIARDDLAEAARLVETSSSLGEAPDVYLCTKLIRNLCRRGRTSDAARVLRTAEASGAPVDVFAYNTLVAGYCRYGRLDAARRLIAAMPVPPDAYTYTPIIRGLCDRGRVGDALALLDDMLQRGCQPSVVTYTVLLEAVCKSSGFGEAMNVLDEMRAKGCTPNIVTYNVIINGMCREGRVDDAREILNRLSSYGFQPDIVSYTTVLKGLCAARRWDDVKVLFAEMVEKNCVPNEVTFDMLVRFFCRGGMVERAIEVLQQMSQHGCTPNTTLCNIVINAMCKQGRVDDAYDFLNNMGMYGCNPDTISYTTVLRGLCRSGRWEHAKVLLPEMVRKNCPPNEVTFNTFICILCQKGLIEQAIKLIELMPEYGCSVGIVTYNALVHGFCVQGRVDSALELFNHLPCEPNTITYTTLLTGLCHTERLDAAAELLAEMIQKDCPLNAVTFNVLVSFFCQKGFVEEAIELVYQMMEHGCTPNLITFNTLLDGITKDCNSEEALELLHGLVSKGISLDTITYSSVVDVLSREDRTEEAIQMFHAVQDMGMRPKAGMYNKILFALCKRCETDQAIDFFAYMVSKGCMPNESTYIILIEGLAHEGLLKEAQYVLSELYARGVLSKSLIEDQH >SECCE5Rv1G0340600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:616145292:616145993:1 gene:SECCE5Rv1G0340600 transcript:SECCE5Rv1G0340600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTGSDQQWSSSSSPSSTSSHPKRPAGRTKFKETRHPVYRGVRRRGNAGRWVCEVRVPGQRGERLWLGTYLTADAAARAHDAAMLGLLGRSAACLNFPDSAWLLAVPPALSDLAAVRRAALAAVADFQRRRASNGAATVPADEETSGASAVSSADNAGGSSASSQPSAEGTFEVPSALGSDMFELDLSGEMDLGTYYADLADGLLLEPPPSLDGGACWDTGDGGADNGLWSY >SECCE3Rv1G0188370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:712625306:712626721:-1 gene:SECCE3Rv1G0188370 transcript:SECCE3Rv1G0188370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGSGGEWWWNLPSLRRKNESRRRGRRNQDARGRRRGPPREPLSSSSSDSVGQSRGWPLDFPFQQAVTAACLTLTGDTIAQVHRRIVDRRNRGPEPDSKALVPDLLLNHDWLRGLRIASYGFLLYGPGSYAWYQFLDKSMPNQTLATLSAKVLLNQIVLGPCVISVIFAWNNLWLGKLSELPSKYQNDALPTLLDGFKFWIPVSIVNFGMIPLPARVAFMSSCSIFWNFYLSTTMNK >SECCE2Rv1G0099520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:522803511:522804677:-1 gene:SECCE2Rv1G0099520 transcript:SECCE2Rv1G0099520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor, Positive regulator in defense response, Negative regulator in GA signalin [Source: Projected from Oryza sativa (Os04g0287400)] MMTMDLIGGYGRADEQVAIQEAAAAGLRGMEHLILQLSRTGTSESSPVGSSEAPEQQVDCREITDMTVSKFKKVISILNHRTGHARFRRGPVVAQSQDHAVSEPTPVRASSSRSMTLDFTKVSSGYGNDAGFSVSAASSSFMSSVTGDGSVSNGRGGGSSLMLPPPPSASCGKPPLASSAASTGAGAGQKRKCHDHAHSENVAGGKYGASGGRCHCSKRRKSRVRRMTRVPAISSKAAEIPADDFSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPSDPSMLIVTYEGEHRHTPADQEPLAPLPEL >SECCE3Rv1G0160930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:110298489:110304402:-1 gene:SECCE3Rv1G0160930 transcript:SECCE3Rv1G0160930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTIHKITIRRHEDRQHHEDPTSSSNVKLKISQKRKRSDLDPHWSKDELMHFYEAYRRHGKNWKKISAVVGSKSADTVEALYYVHRTFLSLPECEGTARGFIALAAGHDNALESPSHRETDLMVRSSGKGRRRGEAAWQKENAAPNPHTAITNQEMRIAGFTASFKKRYHGELVRYIKRHPVQKRTPRVPVLLPLDSNATDDSTPQIKNTVSATNKANEEINNDGSSPDGSSGITEAKVVQGQTFLEIKGTEDTEISQPQQHLNLKKRRIQQIMDEGQTNKVEPGTTMVAEKEGHNLSDYERLSQLFSPEEMIVLDVLESLVTVPNEMSQAKINSPPGTCGKRISASSTQEEGLSPVDQSKQTKQDSDSSASEERKKRRKKLPDEEVLAEEQISSGNTSVIPQACQLDTTEQPSLNSDLEKEAIYIPESTANISAEVSPDAPMEIDPQINMSRKSKRKSKVQCKKNYVFCNEGADNLQAKELLHCLSSESLRRWCTYEWFYSAVDYPWFMNNEFVNYLNFAKLSHLSRLTRSEWSTIRSSLGKPRRFSDLFLAVEKEKLEDYRQNVRKYYAELSDGLRDSLPTDLARPFSVGQHVIVRHPSTRELADGKVVVMERDCYKVQFDSPDLGVDIIKDTDGMPVNWLDNLPDDLKKRRSLSNNAYHKPDFEHIPELTSKESWGSIMNDISVPESSSSRQAASSVGGELVPSKSTTICRSTLPPLHPLQSVDASSQSRGRSNNNSGQSDELDSHVTAFVQKSLSQAKRMVGEVMQASYGSGNESGEEATPCISLESEDDSQLPSTLIENCVATVIAIKDLSEYRHPPAKIAGVLERAFSMLRPSCPENLAIYSEIESYISVVKNQILALVPTTSGNAALAM >SECCE1Rv1G0013870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108702579:108703041:-1 gene:SECCE1Rv1G0013870 transcript:SECCE1Rv1G0013870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKSELSSAPPASHHCSTQTPPLPLIRCPECNAGNVLWFVSGTVENPGRHFYKCERHGFGGCRFWKWENKYVQYFSTRWGHLLSHASVYRHVTLLEHNQAWLRNIFILSVLNKVLMAICCMHKF >SECCE2Rv1G0116550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:765434590:765440562:-1 gene:SECCE2Rv1G0116550 transcript:SECCE2Rv1G0116550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQVTEFLGQVPLLQCLPGSSIRRIAEAVQVKHFEPGDYVAREGEPVDGLCIILDGQAEVSAPANTEEANRPDYVLNKYDYFGNGTNSSVHQVNVIAVTKLSCFVLPSQYGHLLQTKTIWNAEETPESHSLMEQILHLEKLEVDIFRGFTLPEAPTFNQVFGGQLIGQALAAASKTVDCLKLVHSLHAIFLIAGDNNMPIIYQVHRERDGTSFATRKVEAKQKGLVMFTLIVSFQKEELGFEHQAAIMPVVPPPEQLLNMEEIRERRLTDPRFPMQYRNSAAKKKFVPWPIEMRFCQDSKSQHEPSLHYWFRARGKLSDDPALHRCVVAYASDLLYSGVSLNPHRKRGLKTYSLSLDHSMWFHKPVKADDWLLYVIDSPSAHGGRGFVTGRMFNRQGELIVSLTQEALIRRAKTPGQTPRPKL >SECCE2Rv1G0092860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:368182768:368183334:1 gene:SECCE2Rv1G0092860 transcript:SECCE2Rv1G0092860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTFCSRKWILKKRPFTLMHCYLEFSKYPKWQTREVETSLKKQKKTIDASPGTATNDPADASSICTDAISIRTDALEHEKIPDGVKKDKRGKADDSACKLSLETVWAAKQEKDEIKEAARNARYAQQLELRKEEIALKKEDARNEREDARRKFELDERVMLIDTSCMTDEQKLFYQGKQKEILAPGLR >SECCE3Rv1G0158750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:90792702:90795343:-1 gene:SECCE3Rv1G0158750 transcript:SECCE3Rv1G0158750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQYYLMNIASKTMFQMCVMVASLLIASGDVAAATQQHARMRGFVLLRMLVIANLWIICSGSFQKQVLLPGFSASENDYMDNNGFFLLSNSSVYAFGFVMSSMSESNSYLLTVVHLPTYTVVWTANANSPVSSTDTFVFDKDGNAYLRSQGSTVWAANLSGKGASIRLLDSGNLIVLGKDGSSLVWQSFSYPTNTLLSGQSFTDGMTLVSQSSTHNISYTLGITSGDMRLYAGFENPQPYWSVLQDTRLIVNMDGDIYSSKLNSTSWYFYDKSGSLLSQLLIAQEADANDTLTAVLGDDGSLSFYILQTTHDTNKIALPTTIPENSCDMPAQCSPYSVCISGAGCQCPSALSTFPNCNPGLISPCNSKEGFQLAQVDSGVGYIGTRFTPPLVRTNITGCKDACMGNCSCIAMFFEQKSGNCFLFNQIGSLHIKGESEPASVSFIKVSSTNHGSVKGGRHTVITVVIVVGPLAVIGVLAYVGFGIYRRRWRQPSPSQEQDDGFLQTISGAPMRFTYMELRGATNNFADKLGQGGFGSVYLGTLPDGNSIAVKKLEGLGQGEKEFRSEMTTIGNIHHVHLVKLRGFCVEGSQRLLVYEYMVKGSLNRWIFSTTVDAPLLDWDTRFRIALGTAKGLAYLHQDCESKIIHCDIKPENVLLDDIFHAKVADFGLAKLMSREQSHVFTGLRGTRGYVAPEWITNHTISDKSDVYSYGIVLLEIISGRRSFDPLEASEKAHFPPFAFKKLEEGDLRNIVDAKLAYNDEDDRVEIAIRVALWCIQEDFSRRPSMSKVVQMLEGLCDVPQPPTFPHAVDRLHPNAFMPTDTLLSAVQLSSPR >SECCE5Rv1G0340110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:612703111:612705736:1 gene:SECCE5Rv1G0340110 transcript:SECCE5Rv1G0340110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSHRRHLLPLPGPHGRPRASPSRPPAAGPTWMPTTRQSMRIGPLIPSPPTSHPAAAADLFSSSSLHRLPPSLRLPPAHPASVAARFVPGFGYYRVPPPPPPPLPPATSGGAGSHHSRSLSQPQFFSMDFLFRPSYPDPSAPAAIALSTPPPPPSGSDRGASGLPPPPGSERGASGLPPLRAGHQRSQSDVLLAFSSQRNLLMPPPAPVNAEALVAANNSTLDGILVAYNMGTNGLGAVGSSGTGGQERRDQLDSQARAWSPVDSSENEAESADGSLPRHCRSLSADSLVGKFKFGPSGLEPSNSNSNLPPASPGPGAAARLARSGSGSIGGAAALFAKEFAHSKEFSEAEKKVIMDSEHLAQIVLTDPKRVRRILNNRLSAAKSKERKAKYIVELEGKVQVLQGETMNLSAQVKMVKKGQARLSIHNHEMRNRLQALEEQAQLKEALNEALNAEVERLKLVVDEASNPHMVNSSEQRMSSRMIQLHQLQILRQPSQLQQGQQRQRNF >SECCE3Rv1G0168660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:224902532:224904022:1 gene:SECCE3Rv1G0168660 transcript:SECCE3Rv1G0168660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCLDQQQQQQKQKSNNGQLQRLEGIEEEGGPAPAVKWPPTTVRAPETPTETMEFLARSWSLSAAEISKALKVLSSKGVCDIPDVGVVAGAGKKQRSPSPPLDATMATAQAGGGVTSPPFSPRASSMDAQVLRAAAAAGRAGGGKTTMGAWIKEQKEKKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSPSPAPPPPPAPERAPRGDGGAKTAAAIASAAALVASHCVEMAQAMGASHDQILGSIQSAVNAQASGDIMALTAGAATALRGAAMLRARLHKEIQATALPGDGGRASSERDISPLIFVSRGGELLKRTRQGILHWKLVTAYIDPNFQVIIKMKSAHMAGTFIKTKKCVVVDVRSEVAAWAGREREAEERGRRRGYFGVGTEERVIEFECRSRQEQHKWVQGITEMLNRRDNMNLNLNLNSTHLVN >SECCE7Rv1G0494610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:586162359:586162982:1 gene:SECCE7Rv1G0494610 transcript:SECCE7Rv1G0494610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAITAMSAEAKRAEFESGTAADPGNGELWRRFVKFELEEGGGIEAARAVYERLLAALPDGETYMWDWFWREREFGDVNSQRRALQRWARWAQAQGGGFIRPKSGWKTYLKFEINNGGGVERVRAVGEALVATFPMDPHAYVMYIRALAALSRHVEAEALARRGVKELSAFCRGHDEFIWRFMAVYLKRLRDRRSTAWDDDMFNE >SECCE5Rv1G0335210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:571287644:571288550:-1 gene:SECCE5Rv1G0335210 transcript:SECCE5Rv1G0335210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDAAPALKRKGADAPELWVDDDVGPGFPVASRATKIRRLDPDMMPFVPGAVVSPPRPGTQPPVAGFGAVEEAPMCGDVAVVPVDMATAPLLPANEERAIVLYRPAEAERRLLLGPLRPGGHLRVSPEWIQALNGTTLQEASNRRALFEGLAGAESSNLAMVPWAPPRAQAASTAAETMEVEDGEGASMEVEQDRAGQQLPMPQWPQQQQHYMVQQQPIPVAWSL >SECCE5Rv1G0310890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:180850383:180853897:1 gene:SECCE5Rv1G0310890 transcript:SECCE5Rv1G0310890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGSVTWVVGAQASVLGRCSGGLPYPSSSSSSASSGRSQGLGTVRCSVQAQEKKPRVRKTKEERREMVESFVGTYRVSNNGKFPSVNLTHKEVGGSYYIVREIMRDIIQENRVLGPGDLNAKTLSFEDCPGSSELSNRHELGQGNIEILDMSNGYLGNKDSVLETSDKDETFSLQTNFISTQQLLTSSDILESGILNSVLQNGNVADATCLELNLEKQDEALRGQPRESQTNSSEMQAPSLAHVSDLHKENELNKAGDEHGETTSSVTDEVTLSPEHSVVSLTNGALLHEHVTSPDDCGVTTNTAVDEAIVCSDNNGVLQTDQILIQEHEIVPETASIKTEVVQIADGQFRSATPAAQTDAYTLNTSAATIESAVFIDHHDVVEQPLLGASPNKQRKPEDLASRPAMDTKGFLQKEDNHNTLEKDESEFKKSVSGITDEEREASKANHEQGITATTTISRRTGKGQQKKEDNLFWLIVRAFVVSMSKLWAK >SECCE4Rv1G0248560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:572945507:572945788:1 gene:SECCE4Rv1G0248560 transcript:SECCE4Rv1G0248560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRIGPILGHTMHYRRMIITLQPGYSIPLLDREKN >SECCE4Rv1G0261860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:704603654:704604157:-1 gene:SECCE4Rv1G0261860 transcript:SECCE4Rv1G0261860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRLCSVLLSLLVVVLAARPAAVSGASAHLHFYMHDVLTGPAPTAVQVLNGPRSHFGDTIVIDDALTETSSPTSTGVGRAQGQYVWASRGNPELLVIMDVVLTSGPYAGSSVTVVGRDDIGAAVRELSVVGGTGQFRMARGYVLWKTVRLDHPNAVLELDVFVNP >SECCE3Rv1G0185480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:674986571:674988001:1 gene:SECCE3Rv1G0185480 transcript:SECCE3Rv1G0185480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRATITTPQKMVVLYPSPGMGHLVSMIELGKIIAARGLAVTIVVIDLPHNTGASATGPFLAGVSAANPTISFHRLPQVELPPVNSNHPEALTFEVARVSNPHLRDFLAATSPAVLVADFFCNVARGVASELGIPIYFFFTSGAEVLALYLHLPVLHAQTTANFQDMGDELVHVPGIPSFPATDSMLPIMDRDDVAYTKFVNVCSDLCQSQGILVNTFRSLEPRAVETILAGLCSPSGLPTPPVHCIGPLIKMKEVGTKCGDECIAWLDAQPKDSVVFLCFGSLGQFSAKQIREVAAGLEASRQRFLWVVKSPPSDDPTKKFDRPSEPDLDALLPEGFLDRTKETGLVIKSWAPQRDVLMHAAVAVFVTHCGWNSVLESIMAGVPMIAWPLYAEQRVNKVFLEKELGLALAMEGYDKEVVEAEEVAAKVKWMMDSDGGRVIRERTQTAMRHANEAMREGGESEATLAQLVDVWALA >SECCE6Rv1G0409640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:590278061:590279215:1 gene:SECCE6Rv1G0409640 transcript:SECCE6Rv1G0409640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFHKQLLSLRLPASPVIFSLRRALLSTSAATDAPPAPFAVDEYLVTTCGLTPEQALKAAKHVSHCRSTSNADSVLTYLAGPTLRFSKADIAHIVARDPRILNCSVDNTLKARVAILRGYGLSEDHVRTFLRMTPGVFRSINIQEKLGFWLPFLGSPEKFIRILKGNYYLLTSDLEQVVKPNIAVLRESGVSAGDIAKMCVPNSRLLTSSPKTLMSILERADKLGVPRGSLMFNQAVITTTSLGAETMAAKLKLFEEILGWSEAEVAKVVRMNPTVLRISGEKLRRVKEFLTKVVGVDTRYILTRPSILMHSLECRLVPRHYVMKVLQEKGLIQKDQSFYPMVTCSENTFQLKHIDAHRHVLPGLADAYAAACQGKLPTEVAV >SECCE4Rv1G0296710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904257917:904259446:-1 gene:SECCE4Rv1G0296710 transcript:SECCE4Rv1G0296710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISFSQQLLSSMLLILLVSVYLYFRSTIRSKNSSELSTNWPIVHMLPAIISNLHNLLDFFTTNLAQAGHNFRLPIPQRNIFLTCDPANIRHIFTTNHTNFPKGPEFAAIFNSMDRGIFTVDGESWRLQRAKFQSVISSPQLVASMVACCHDKVKNGLLPLFTNMASTSTPFDMQEVIARFVFDLAATPLFGVDPSLLSLDMPPMEVAVAMDTVMDVALFRHIVPASCWKAMRLLNIGPERKLNTAHRVIRRFFMEMIERKRVNGGHLCNDGEQQRVDVLSSYINDPDYADDDLLRAKLLSIMFGGRDTIGFTLPWMFYSLTRNPIIVSIIRNELKPIASQKVATGTSDMVIFEPEETKSLVYLKAVLYETLRLYPPIPIERKTVVADAIMPSGHQVHAGETILISLHSMARMEAIWGEDSRDYNPDRWLSEDGNKLRYVPSHKFMSFNSGPRMCPGKEIAVVQLATVVAAVVWNFDMELVEGQSIQPKLSCTLQMKNGLTMILKKREI >SECCE6Rv1G0413880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:626886497:626893153:1 gene:SECCE6Rv1G0413880 transcript:SECCE6Rv1G0413880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAGWTVAAVLLQVAGLSLFLYGFFPVKPTLPGFSGAESYRAPSCGPVDGGEEPALPPDQLRSLYRELSEVPHVYDRLVLMVIDGLPAEFVLGREGKPPTKQMMESMPYTQSLLAGCKAVGYHAKAAPPTVTMPRLKAMMSGAIGGFLDVALNFNTQAFLDDNLLDQLHTIGYKLVMLGDETWIKLFPKLFYRQDGVSSFYVKDTVEVDFNVSRHLESELAAKDWDALILHYLGLDHVGHIGGRQSNLMTPKLKEMDDVIRRIHAAVTSIQDNSHRTLLVVVSDHGMTEVGNHGGSSYEETDSLALFIGHSVESSYCSPYDQKEALQVDLAPTLALLFGIPIPKNNIGVLLPELFNSLTDDQKLRTLELNAWQILRLLQEQRPAFCSEDCVDSKDGIGVDMLRGSIEEKLCRLLSKAFASHRSSLLHRESDFRSVEAAGLFGTAVDSYSGFLRHASEWLSHRATDKSLYLLASAISLMIISCFSLAGIISCIFKGKSQIKVEHHSELDLDKNWHLDEVFILMGILLYVASLGSSSFVEEEQYIWHFLTSTLYLIFLIKTVQSMLKKSNSTVVQISETKAFHKNNSSYLASYKLTPGQQDGCKLCTVLIVLVAGRILRAWYQGGINWVHFPDISKILTQSDSSIVKSLQSISVLAVVVFYSVSLMLLRTRRMLVIGLWLSHLSCGLLVMLHIWKSQVDTSVPINHSTTSIAQIFYVIASISVTCTFLLSTWIFPTHSKEAEPTSSSGSNPEKAHGLNHSVFLTGVTYTMFWCLLQLLLQQPINAIPVLLILLQIISSVIYFSLEKSLHRQWVQIVAMQFLGMTGHFGLGNTNSLASIDVAGAFIGISSYSTVLSGVLMFIITYGSPLLLYLGMVVHMSVKDSTDISTPQQLSSILSRMITLPCLLPLLINSIALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTYAGVLVIAVTGAYTCAVFSFRMRNHRDRSVDQIDG >SECCE3Rv1G0185780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:678139434:678141035:1 gene:SECCE3Rv1G0185780 transcript:SECCE3Rv1G0185780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAATTSSLSLLFAHPHSQSHHPSTKQRFDRSHLRLPLRAAAHRTRCATEGASASTATKHRRPAEENIREEAARLRGPATTFSAWYEPFPPASDGDPNERYSLDEVVYRSTSGGLLDVRHDMEALARFPGSYWRDLFDSRVGRTTWPYGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERLGREHLGGMNDLWVKQCGISHTGSFKDLGMTALVSQVNRLRRAPLSRPINGVGCASTGDTSAALSAYCAAAGIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFNWQVPDWVIIPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRYYKSGWTDFQSLVAGTTFASAIQIGDPVSIDRAVVALKATDGIVEEATEEELMDATALADLTGMFACPHTGVALAALFKLRDQGIIGPNDRTVVVSTAHGLKFTQSKIDYHDKNIKDMLCQYANPPISVKPDFGSVMDVLKKKLNGKI >SECCE2Rv1G0106580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:637413646:637414113:1 gene:SECCE2Rv1G0106580 transcript:SECCE2Rv1G0106580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTRINSAVLVFALLFSPLLMLCLPLACARHVVSLEAKDGLNIGGSRQHVVGGDAGKDVPVANAGGSRRVRTVEMRMARRHRRDAADEVHDMLRRDYAWRARGRKPIHNDEPRDDEP >SECCE7Rv1G0471800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:134023465:134028430:1 gene:SECCE7Rv1G0471800 transcript:SECCE7Rv1G0471800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSSDSPASRVTRWRSTGIVALRDAKLKVVPHEVLQVGNSLRTLDLTNNKIVEVPQEVGTIVNMQRLVLAGNLIENIPANIGYLRNLKILTLDRNRITVLPEELGSLSNLQQLTVSQNSLLCLPKSVGDLCNMLLLNVSDNKLDALPESIGGCKSLEELQANGNAIEDVPSSICNLACLKSLSLNGNKIRQLPPNLLKDCKALQNLSLHSNPISMDQFQQMDGFGEFEARRRKKFDKQIDSNVMMGSTALDEGIDLR >SECCE3Rv1G0171920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:279217751:279219157:1 gene:SECCE3Rv1G0171920 transcript:SECCE3Rv1G0171920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYRVAPARPVFLSGHLQPAAASRRSGGADRELDIFTAERYFNAADAVKYSAAAVHADDTPRQLPVAAVDAAASQSGRTAASSEASWNSRSGLLASNQSSVARQHDKGYGGGVNGVVVPDTRDDRYHRGGRQRWGIFSRDCPCAGRKAVTVDVASEPTTPRIHAREESAIFKANGLPPPSPDDKPGVMKIFTTGSCANNSILASAPNQGGTAPFPAFPPDVGRRVVSSGGFTFPAVISPVKFTVVDEPPRESLEVFRPIDEDTVVLVDPPPPLAAAGFLRASAVEDDAMSDASSDLFDLESFAASSSYPTTYRGGRSSRRNSGDDDLAYAAAANTEPALSECMYAPSEASVVWSVATAEGVAYDAGSVANFSSAASACGVDEFRFAPPGPGSGGGHDGFTAAMSRSAGRKKGGGFLDSCRCEKAVSVGPTPVRVARPPAVPGKTAMGLESGGVARYHNRRVHMPVRT >SECCE2Rv1G0089570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:258280474:258282201:-1 gene:SECCE2Rv1G0089570 transcript:SECCE2Rv1G0089570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT1G11545) UniProtKB/TrEMBL;Acc:A0A178W0W2] MGRPCVGALLACAAIAASCCSCFRFQGAEAAATPSFGDNFDITGAEDHVKTSPDGQTWYLSLDNKTGVGFQTKQKYLFGWFSMKLKLVGNDSAGVVTAYYMCSDLDAAPERDELDFEFLGNRTGEPYIIQTNVYRSGVGGREMRHSLWFDPTAEFHSYSILWNPKQIVFFVDKVPIREYRNSDKPNKFFPIMKPMYVFSSIWNADDWATRGGLEKTDWTKGPFVSSYSDFTADACSWASGPAPPACAAATGDSWWDQPPAWALDDGQRRDSGWVARNLVIYDYCDDRKRFPTVPEECALRTKTS >SECCE4Rv1G0266800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:733732507:733733943:1 gene:SECCE4Rv1G0266800 transcript:SECCE4Rv1G0266800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRVRVLEATHVRPEPAHTTLRQPAAVRLSLFDTLFLPLPPIQRLFFYDGEDLPPFSALGGSLRSSLAATLATFAPLAGRIAACPDGDIVIDCSPDALHPGVRFVVAEYSGSAADMRRLARDAEHDTEAFVQLVPELEVRMLPAPVLAVQVTRPAQARGDDEGAGAVAVGVSMHHAVADGQSLWQFMRAWAGASRAGSDSQAVTGPLPTFDRAGILRHPKALAVAHEFTRLSAPDLPRVNTLPEPDWTRQRRRTYLLTASQIQSLKRRILQQNQLVAKNGDNQPPPEPPSTYVAIASLLWTSIARAKYPNHAVAAAAVDNDDAYFVFPADCRRRLRPPLDPGYFGNCIKVCYARASTGDLCGRDEDGALARAAAAVRRAIRENVEEEDPLGDADRWAETIRRVPQDRLTRQGSSHRFMAYEVDFGWGEPSRVEIVSTFSAEIAMLAGARDGAVQVTVALGREHMDGFDASFVSQASA >SECCEUnv1G0533480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:30737626:30744576:1 gene:SECCEUnv1G0533480 transcript:SECCEUnv1G0533480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPASTSAPDAGMLGRRLVLLPASAAAALARGERRRARLRLGCVLEHVAPRLALASAALVGAGEVIAAAAVAGGSGGAVHGAVASTIAQLAVSAVAIASGACLSTKVDFLWPRIEQLPDTLVFEGVEVTGYQIFEDPKVQKAIVFASTAHIGQFRRTGDPYVTHCIHTGKILAALVPSTGERAINTVVAGILHDVIDDTAENLKSIEVQFGDDVASLVSGVSKLSYINQLLRRHRQKNTGGSTLTSEEANNLRAMLLGMVDDPRVVLIKLADRLHNMRTIYALPIPKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPQVFKKIRSELTSMWNSTSKDKSMRRSSIRSDLLASTKEVQATSTHDLFSSNDQEQSNMEDLLQAVLPFDLFLDRRRRSDFLNNLQSSSEASIRKPKIVDAAAIALTSLAACEEELQQELLITTSYIPGMEVRLSSRLKSLYSIYCKMKRKHVGIKQVYDARALRVIVGDKNGALHGPAVRSCYSILDIVHRLWTPIDGEFDDYIINPKGSGYQSLHTAVQASDSSPLEVQIRTQRMHEYAEYGLAAHWLYKESNVNTGSGMGNKIKQSTSYASSSSEDETTGGMPSKYISMKVGHPVLRIDGNHLLAAVIVSIEKGGKELLVAVRFTLEASEDVAERRSSFQLKRWEAYARLHKKVTEKWWCAPGHGDWSTNLEKYTLCRDGIYHKQDQFGRLLPTFIQIIDLTEEEEEEYWMVVSAVFEGKETSTLTSESSNGDRSTSDLPISTPLSDPINNKVHLLRTMLQWEEQVRRRASVAERSLGANSLTDPILREVAIICWPYGKIMRMSTGSTAADAGRRMGVDGKLLWVNGQLVLPQTELKDGDIVEVRT >SECCE3Rv1G0156660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:74017183:74019554:-1 gene:SECCE3Rv1G0156660 transcript:SECCE3Rv1G0156660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELYVPALVLAAVTVVLLQLLKAALNPMRERPPPGPWKLPVIGSMHHLVNVLPHRKLRDLADAHGPLMMLQLGQTPLVVASSKETARLVLKTHDSNFATRPKLLAGEIVGYEWADILFSPSGDYWRKLRHLCTAEILSPKRVRSFRHIREDEVRMRVDQIRQAGLSTSVNLTVMFHSLTNSIVARAAFGKKRKNASEFMAAIKAGVGLASGFNIADLFPTWTTVLATLTGMKHSLQEIHKTVDAILEEIINERKAARAEKVKADAENVDENLVDVLIGLQEKGGFGFHLDNSRIKAIILDMFAGGTGTSASAMEWGMSELMRNPRVMKKLQGQIREAFKGKAVVTEADLQESNLQYLKMVIKEALRLHPPAPLLVPRESIDQCELEGYTVPAKSRVIINAWAIGRDPKYWEAAEEFLPERFEDSTVDFTGSSYEFLPFGAGRRMCPGFNYGLASMELALVGLLYHFNWSLPEGVGEVDMEEAPGLGVRRLTPLMLLATPFIPAAVA >SECCE2Rv1G0091190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:308634146:308639743:1 gene:SECCE2Rv1G0091190 transcript:SECCE2Rv1G0091190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAAVLRVAVVGLLVLGLALPPLTAALRPLRERVASAGAAASSGSWADEHAFYKRDDNDMSPYSWNITGTYKGSWSFAGATNGSSRFLEFVTSKGDSVLELLSTPTKISGVHYVQGTITFHDVIDKSHDRGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQDSSEEKNRRKNSLTHDMEKHCNIEIATKVVRVSSNQNEGEHEKYRLEGLMESPAVDDDGECFSSILLNSTSLNVEAYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSIIMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLSSGEGWEIMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLFLMYSFWIPQIVTNVIRDTRKPLHPQYILGMTATRVAIPLYIFGCPSNFMRIEPDKTWCIAVTTFMGIQAAVLLLQHYLGSRCFIPRQILPEKYCYHRKVEDNTNQPIDCVICMTTIDLSQRTSEYMVAPCEHIFHSGCLQRWMDIKMECPTCRRSLPPA >SECCE7Rv1G0518050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857083504:857087429:-1 gene:SECCE7Rv1G0518050 transcript:SECCE7Rv1G0518050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHLQPPFDRATAADPHERERCAELMLAYIYHNLPDCPLYAGARFTALPAARAADRLSRLPRDLLRSILARLPVKDAARTALLSSRWRALWRSTPLVLADIHLLPKAQDFTPTPADSPAVTAAVSRILEAHPGPFACVHLICSQMDGYRSQLARWLHLLAAKGVQDLVLVNRPWPLDVPLPATLFAITTLTRLHLGVWKLPGTAALRGASFPHLRELGLYFVEMEHGVVVDSLVARSPVLEVLNMMGYINGGLRLRLVSQSLRCVQICGSVLEDIAVVKAPRLERLILIESCKTARNLCTRLRILDAPKLHTFGYLEPGQVLELGETVIMPGINASASAMLTSVKILTLNVRFGVRNDVKMVPTFLKCFPNAERLHIVSDKCDKPTGDHLTVKFWEESGPIENVVSRINAMTFREFKGHRGEVGFLEYVFQSARALEMVAIFMADPSSTPFSEDEAFVKAQYSARNMANKSCQKCIIWSSHPAGRDAWSLKIGADFSFEDPFTVRSASEEAS >SECCE2Rv1G0138950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:924503269:924506649:1 gene:SECCE2Rv1G0138950 transcript:SECCE2Rv1G0138950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLLPLPLLLLLLTAVVATAATIHPGDLAVLEDVRRSITNPDLLGWPKGADPCAGGVKGWAHVSCDRDGRVNNLDLKGIGLAGALPASFSALDALQDLSLQTNKLSGPLPSFRGMAALRHAYLNDNAFDAIPRDFFDGLDSLQEICLDNNPLNASAGGWEIPAALAASSPQLQSLRLTNCSLVGGIPAVLGGITGLQMLTLSYNRLTGPIPDTFAGSGLQKLWLNNQLGEAKLSGTLHVLAGMADLQQAWLHGNQFTGPIPDAISNCKQLVALFLNSNNLVGIVPPALTALPLLHDVKLDNNNLVGPAPALKAGNLTLSHNGFCADKPGDKCAPEVMALLQFQAEAGYPVKLTGSWSGNDPCKGWLGVTCSQGKVSVLNLPSSGLNGTISKSLGDLSALSDIRLDSNNLTGHVPDSLTGLKLLKKLDLGMNDLNGPLPAFRPDVNVILTGNLNFNTPSSPGGSAPKDAPHSPTTPGAPGSQGQGAAPTPGKGNSKNKVVLLATTIPVAIAVVSLLSLGAVVLFCKKNGSSVQPQATSSVVVHPRNSSGPDNLVKIVMTSNDSFGATSSGTSSRDSDIHMIEARNFVISVQVLRCATKNFAPDNVLGRGGFGVVYKGVLHDGTMIAVKRMESSVISNKALDEFQAEIAILTKVRHRNLVSIMGYGIEGNERLLVYEHMSNGALSKHLFHWKQHGLEPLSWKKRLNIALDVARGMEYLHTLAQQCYIHRDLKSANILLGDDFRAKVSDFGLLKSAPDGNFSVATRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELITGMTAIDERRIDEETRYLASWFCQIRKDEEKFRGAIDPTLELTDEIFESISVIAELAGHCTSREPSQRPDMGHAVTVLVPMVEKWKPSSNEAEDYMGIDLHLPLLQMVKGWQESEASMTDGSIMSLSLEDSKGSIPARPAGFAESFTSADGR >SECCE2Rv1G0132060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888236526:888238105:1 gene:SECCE2Rv1G0132060 transcript:SECCE2Rv1G0132060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPKRRSVSVGSGDQQLPPGAGGGGEQHGGLDDLDLISRLPDELLGNVISLLPIQDGARTQALSRRWRPLWLSSNAPLNLSVDFALYGEECSAFISKILSDHPGPARRFKASVRTHHEVDGWLDSGTLAGLQELEIIDVLMRPPYPLPPPALVRFAPTLRVLSLCGCRFISVPPSFPHLKLLDLQYSRISEDSFQSLLSRCSALESVSLNGIESRRVCINSPTIRSIIFYPSYHHLVIEDAPSLERLVPIDPGGGGESTIEVVRAPKLKILGFISKGISTLQIGTTVFEEMVAVSLTTKMHTMKILVLDSSGPNLDSVVNFLKCFPCLQKLYISSCPEKDINNVREYDPLDPIECLELHLKKVVLEYYDGNKKHKNDGNKWPVIDFANFFILNAKVLEEMEIGLVNHPSNKWMCYQHSQLQVDNRASRDAQIELKRDVKQKCRCHWHTHDFLVDDPFDRPY >SECCE3Rv1G0211000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946601894:946603586:-1 gene:SECCE3Rv1G0211000 transcript:SECCE3Rv1G0211000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAADARCGMAGQSKRTKAPAPMEFPRPITQPVPPPTTQLIPPPAIPSMFGPGAWLSPRPPQSMAATSPPCWITGIQSPHMAGSSAQVPLWRPSVGIGGCAYAAPTAENLEDCDLQAWGLDCHPPGGFLNLINSTSSHAQGMNNESSSQPISVGNVNVGGDCARTEKRLTWTKEEELRLVSAWLENSNGPIQSNYKKNEQYWKDVTAVYNSTTSKNRERLVKQVKDHFAKIKKRVAWFCASYRETKALYASGENDADLKKRAMQTYEEDHKKDGPFMFEHCWEVLKKEPKWDAYLERLEDLEPDRRKFSVDDEVGKHFTLDDAKDERPPGGKQAKGKLKWKRNDEGCIIDLEDELSKLVDVQNAANEGRKEILETQRRVSSENLEARKLACLAAKDHKESVMLETYRSLMMQDTTGMSEDVRSEHVLAFKCFREKLFGKTD >SECCE6Rv1G0431830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:748037095:748046343:-1 gene:SECCE6Rv1G0431830 transcript:SECCE6Rv1G0431830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVVDPAFQGAGQKVGIEIWRIEDFKPVALPKSDYGKFYSGDSYIVLQTTSPKGGAYLYDVHFWIGKDSSQDEAGTAAIKTVELDSVLGGRAVQYRELQGYESDKFLSYFRPCIIPMEGGFASGFKTPKEETFETRLYICKGKRAIRIKQVPFVRSSLNHDDVFILDTENKIYQFNGANSNIQERAKSLEVIQHLKEKYHGGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKTVSDDDVVLETTAPKLYSINDGELKFEDIPLTKAVLENTRCFLLDCGAEMFVWVGRVTQLEDRKAATKAVEEFIISQKRPKTARVTQVIQGYESHAFKSKFESWPAGTATGNSGAEDGRGKVAALLKQQGVDVKGAPKSSTPVNEEVPPLLEGGGRLEVWCIDGNARSPLRKEDNGKFYSGDCYIVLYTYHSGDKKEEYYLNYWIGKESTADDQVMGAELANTMWNSLKGRPVLGRIYQGKEPPQFVALFQPMVILKGGISSGYKKITEEKGAASGSYSPEGIALLRVSGTAIHNNKTLHVDAVATSLSSTDCFVLQTGSALFTWHGNSSTYEQQQWAAKVAEFLKPGVTVKHCKEGTESSAFWFALDGKQSYTSKPIMQDAIVREPHLYAFSIRKGRLEVTEIFNFCQDDLLTEDLMILDTHGEVFIWIGQCVEPKEKQKAFEIGQKYIEHAMSIEDLSPYVPLYKVSEGNEPCFFKTYFSWDNTRSVIHGNSFQKKLSLLFGLRSEGASRSSGNGGPTQRASALAALSSAFNPSSHQKQVNDNRPPSSGDGPTQRAAALAALSSSAFNPSPQQKQANDSRPPSSGDGPTQRASALAALSNAFNPSSKPKTPPPSRSGQGSQRAAAVAALSSVLTAEQSGSSDNLRASKMSTTSEKTDPEVAVISPSEASPRSEAGESSEFQSEKDAAVGEVPSEVDVAEPEALKVPEEQTTEHVGEATFSYDRLISKSTDPIRGIDYKRREAYLSESEFQTIFGITKDAFYLQPGWKQELQKRKADLF >SECCE6Rv1G0388080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:128733951:128741370:1 gene:SECCE6Rv1G0388080 transcript:SECCE6Rv1G0388080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRRIMEGQAPNHFSVSTVASHSQGLALANLSDLLLSPPSPASIAAMVDEPLDPPPPTPAAAAASAPLLRPRREAFEHGLLPIPKLIFPEGTLAQTLSQLKEKLAPAAAAAGDGRVGAAALAEALQIPPDQAALAIGMLAAVLPVEDPALDAGGGGGGADLRDVLLFLYIQSYKRLVPRPHKDSPAVADVWPSTSAFDGYLSALSPIQLVRSNSRRFIPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLVHFSEGTSLSQAATFFANSDPDMPAAPVSAAQVHDWILQNIVSSLEFYTEKSTAKEGTQQIASDLDVTMADANTSHPRNSTPTVANPAFHRNSTFVEGFSKTSVVKHASDVKGNSVKVLNCHDSVIYILAPVKYATVYGCSDTTVVLGAVGKVIKVEHCERVHIIAAAKRICIANCRECIFYLGVNHQPLIVGDNHKLHIAPFNTYYPRLGEHMTQVGVDPSTNKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCVDPDLFTNFLIPNWFEDEVQEPTKCNPFPLPEIYQASQSKKQAVLEDTKKTIRELQLDENRKKELASALHSQFKDWLYATGNIRQLYCLQGD >SECCEUnv1G0527070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:33210:33692:1 gene:SECCEUnv1G0527070 transcript:SECCEUnv1G0527070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSAAVSVPSFAAGAKVAATPMRGSSRMVVRASLGKKAASAAVAMAASAMLLGVGGSAMAQDVLLGANGGVLVFEPNDFSVKAGETITFKNNAGYPHNVVFDEDAVPSGVDVSKISQEEYLNAPGETFSVTLTVPGTYGFYCEPHAGAGMVGKVTVN >SECCE3Rv1G0176890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:451028540:451042609:1 gene:SECCE3Rv1G0176890 transcript:SECCE3Rv1G0176890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g68370 [Source:Projected from Arabidopsis thaliana (AT1G68370) UniProtKB/TrEMBL;Acc:Q1H5A8] MASSGKMEGPSAPALRRDPYEVLSVSRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSPTVLEEAMNGTVTVRPLPVGTSAMGKVEKQSAHFFGVTISEEQAHSGIVVRVTSVAQSKFKLLFFEQEVNGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSTLKPGTHIFAVYGDNFFKPASYTIEAMCAKSYEDTTERLKEIEAKILEKRNDLRQFETEYRKALARFQEATTRYTQEKEAVDDMLRERDDIHSSFSTDRTITSSLGAGSSSSRYPVEQNKTESPENGNIDSRDKSSKKKWFNLNLNRSDKRA >SECCE7Rv1G0520440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:868620553:868621941:1 gene:SECCE7Rv1G0520440 transcript:SECCE7Rv1G0520440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTNGKMGMRQQAEGPAAMLAIGTANPTNLVQPQDAFADKLFRMTRSDHVTELKQKLNKICQKTGIQKRHFHLTEETVGAHPEFLDRELPSLGARIQMVATAVPKLAQSAAAKAIAEWGRPPTDITHLVFSTYSAREAPSADLKLATLLGLRPMVCRTILSLHGCYGGGRALHLAKELAENNRGARVLVACAETTLVCFGSPDQANLVGHALFGDGAGAVIVGAGPFSEGERPLFEMVTATQTTIPRTEHVLGKQATAGGIDFHLAIQVPMLIGQNVERCLLDAFGRDGDAPGSWNELFWAVHPGGRPILDNIDTVLKLEPGKLAASRHVLREYGNMSGATIVFVLDELRRRLKEEDGGHLLPEWGVMLAFGPGITMETMVLRSPR >SECCE4Rv1G0231260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:180614187:180617937:1 gene:SECCE4Rv1G0231260 transcript:SECCE4Rv1G0231260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4 [Source:Projected from Arabidopsis thaliana (AT2G30280) UniProtKB/Swiss-Prot;Acc:Q8GYP3] MASAAEAAGDASTSAAEVREKPVVVRVKRKPSQARPDVFWLEINERPMKKAMLDFSSLSISQPSSSAQASEEPRVKKLLVQHVETVHHSGAVEDVLHSLLHSDLSTKEIKSKTKERNERMKQDKKQDQLRSAARQRHENLGRNARFAQIWKSRKGDNNEADETLREMCHLYDAVQVDSDGEKHPAEPQMTSVEEGAILCNFLPLLREHLPSAAEEIESDIVSLAQSEDSDVYDIYTVKEVDDTNMEEGASAASYPLLQVDDDDGECYDDDEYPYDTDDSNAEDNPLYDYPEEQSEDEDDDSSDENPFADIDGSGSEYEKEEVEKSDDDDDEE >SECCE6Rv1G0435620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:771920796:771921973:-1 gene:SECCE6Rv1G0435620 transcript:SECCE6Rv1G0435620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLAAAVIAVVALGSVGATEQDAGGGRDDDLRQPPVARGLSFDFYRQSCPRAESIVRDFVRDAVRKDIGLAAGLLRLHFHDCFVQGCDASVLLEGSATGPGEQQAPPNLTLRPSAFKAINVIRDRLERECRGAVVSCADILALAARDSVVASGGPEYRVPLGRRDSPRFATAQDVLSGLPPPSSNVPSLLDVLRKIGLDATDLVALSGGHTVGLGHCTSFDDRLFPRPDPTMSPDFLGKLKRTCPAKGTDARTVLDVRTPNVFDNKYYVNLVNREGLFVSDQDLFTNATTRPIVERFARSQRDFFDQFGVSMVKMGQIKVLTGDQGQVRRNCSARNPGTADGLQWSSLVQTVVDAAAETLGF >SECCE1Rv1G0017970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:171276224:171280625:-1 gene:SECCE1Rv1G0017970 transcript:SECCE1Rv1G0017970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLHHLPRRFGGSTTASSSPSSHGVLSPAPRADLRVTRLAAAAPPGRPRRLMANAAVRSETGEQPKWWEKNAGANMIDIHSTQEFLDALRDAADRLVIVEFYGTWCGSCRALFPRLCRTATDNPNIVFLKVNFDENKPMCKRLNVKVLPFFHFYRGADGQLEAFSCSLAKFQKLKDAIAVHNTDRCSIGPPVGVGDVLDSSSSQEKPAEAAS >SECCE3Rv1G0173560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:343749477:343752948:1 gene:SECCE3Rv1G0173560 transcript:SECCE3Rv1G0173560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVATFVFSCLLFLSKPCARDMRLFLASISQQLALSLLGFLAGYRLLGGVASRATAADAMPLMPSFKRKRPAAKVESTDMTGEPSVLDLPELAIDCILAKLPPAELRNMAAVSQSMRERCRSDHLWEHHMSDKWGSVLGTAARDEWRSYLSSSTAAGGGASGCGSAGSGKHRMWLAALSCVCPVVSWMRPRAAGGAGTSAGPVLDDSIMSWYLHMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDFCTDKFHARYPPHGRRTVVLEDGVQWDRVRAPRVGTLAHDLHASDCLHELRPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGNEHFCRCHLSATVVLEFNQYTPGSRWRQSLVNRKDHREEGNESDGFYGGIRKLQNKDEISKWKQLWPTDILE >SECCE1Rv1G0044680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:603991459:603992346:-1 gene:SECCE1Rv1G0044680 transcript:SECCE1Rv1G0044680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAKYWMITRRKQGDQKAPAVFSAPHIAVGSGGGTSSASYYESWEERAFAEDSAGNLGGCIWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRARLKLSGMVEDGGSVDVHGMPLHQGYMIQPLPCPPPRPSAPSTEANPNSVRRFLSDPAGRSLVDAATARTIWGKQVLAAPLTSPSDTQEHGEKEAFLQDAEVRSEQELRAGGGELKLSLLGRRTRSVFEDEKEDDEKIVHLCRKRRRIDLEATEFVLCSSSSDEHLQRDDPRDDGADNRRVKVLKLCPSTPPEELDLELRL >SECCE2Rv1G0098220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:477804791:477805522:-1 gene:SECCE2Rv1G0098220 transcript:SECCE2Rv1G0098220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANYYWGMWGAATTSSTTAATCPAPASAAEPSWEEQAFARDAAGHLGAGCVWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLRQCASPPDHPAQPQPTSPHDHHEHLRPGMQYRAAERPKSPQDQQACMIGPSTTSPSYISSIIKESKNKVFISMPAEEASESGDEGERRKRRRVDQPPAGALPFFVVRRSPASCEREGMQGADDRDTKVPKAAPSPSPLLLALSQQEVDLELRLGTS >SECCE4Rv1G0245540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:532067336:532070606:-1 gene:SECCE4Rv1G0245540 transcript:SECCE4Rv1G0245540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTADRASVKSPGDASPWPASILLAQEAYVAASRNDTTATAQSRANHTVEVTFWIADPPAVSFYTFQCFKLPISDPEDTKLEVQPHVVGAEGRFVLVRTFFASGDDDYEYFIYKGDPKSPSLESVPVPDDNRLRGVSDFGIVPRGDGGHYLLIGLCNAVTLKDYKLHIYSSEDRTWRTKELLNPCPGVSMITPDKVMLLRDGVLVWVDFLLGMLVCDVLQEPLQARFIPLPEPLPGNRQEISQQLIPGASVRGYRDVACVNGLIKFVEMEHRVIVTEIVDVPPEKPSDPRSKDALYDSDLIKFIKRKHAEIKPKTQRSMNGWSAMTWTREFGSDCWLKGIIIDVDDILVDDLAASALLSGQRNESAGSLTFKNMYSACPTLSTDGNDILYLKSSRKLSDSYGWVVAVDLVEKTLKVETPGAHPFGTYSPSRQLFVPCALVNHLKITPGIKVSAIQIAQTGSSANEPNNTAICVGEPDSYKPENKRPRPSAKKVNHAQDGAQSTVQNVFSSQARPDQNNMPPQLCFNRWEQPGYGGYSPCLPQNNPPLPQCFNMFTGPCNPVYAPAAPAPNLSSYGNYQSLWQQTLLPEQQTAPHVAPRPYFNNWWGASLHGNSQQHPAGISYCYGAHSGNSNQGFGYGPIYQDYRC >SECCE3Rv1G0190540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:740617596:740620897:-1 gene:SECCE3Rv1G0190540 transcript:SECCE3Rv1G0190540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRAGPPKHQNTYAWKPNLGRKINETEPGGRFRPLSEITGVCQRCRDQIDWKRKYGKYKPIVEPSKCQKCSKRNVRQAYHNVCTGCSKELGICAKCCTSVKQLVGRDAEEANSERKELEEAIRYARERERRTLVRLMNKNKDEESGPSVPKIADRDREGDLFPVASLDEYAEQAVQKDESDEEDARDFVKD >SECCE6Rv1G0384560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:64875799:64876908:1 gene:SECCE6Rv1G0384560 transcript:SECCE6Rv1G0384560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPAERGSGEEVGTKKLTQGRKKIPMERIEDANRLQVCFSKRRKGLVKKAFELSVLCGAQVALIVFSPAGKPYTYGHTSVDAVLDRFLLSSTGDVEAEAASQQTDLSELLRQEEELIKARDAEARRSKELQAEVRAAGVWIDGDMRCWELPELEAALAALERVQAEAAMRAHEIFAQEAMAQQCTAGPAGLLGYLGPGSSYTGDPSAGGHEEVAMDTTMKLTGGGYSNNLFDYHGSGTFPADGTRSQEVAMDATTMRLTGSDASSLFHYLGGSGPFTGDGPGGGGNDVSVDTTMTLMGGNVSYALTPMMPPPPPPPLSLPFNHGYGYNNLGAGYGYNNHSAGYGYNQTDHGGFYEMEGVYGTTCNFFA >SECCE3Rv1G0163110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:144930524:144931951:1 gene:SECCE3Rv1G0163110 transcript:SECCE3Rv1G0163110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMERVGQEAPHFLVVTYPAQGHINPARHLALRLLRATPGARVTVSTAVSACRKMFPDDPDAAAVDHVDAAGVRYVPYSDGYDGGFDRSAHDSMHYMSNLKVVGGRTLDGVLARLRDAGTPVTQVVYTVLLSWVADVAHAHGVPAALYWIQPATVLAAYLHFFRGTDGLDQAVTAAASDPWADVRVRGLPPMRVRDLPSFLTIASDDHPYSFVLAAFRELLDVLDREDSPTVLANTFDAMEPDSVATLHQHGINVVPIGPVLSFLDASAAAAANNSNDLFKQDGKGYLEWLDAKEAGSVVYISFGSLSTMSKRQITEVSRGMAESGRPFLWVLRKDNRGEVDGDDLCTGGGMVVEWCDQGKVLSHPAVGCFVTHCGWNSTLESVACGVPVVGVPQWTDQGTNAWLVERQLGTGVRAAVSEKDGVLEADELRRCIGFATSDVVRAKAELWREKARAAAAVGGSSERNLRAFVAGN >SECCE6Rv1G0431440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746471580:746472284:-1 gene:SECCE6Rv1G0431440 transcript:SECCE6Rv1G0431440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSTSLPSRPPVSETEVEQELQSLEASISSSNSISTVCDGLRSLANIYDALEEIICLPSNQVCSSQQRNILDGEMEGSLELLDLCSAMQEIFVEMKAIIQELQVALRKGDDAATQAKIQSYTRLVKKAKNLFKKNTKKTPADCKMVMLLTKARESSASLLESTLRLLSKQIEMPKQSLVSKAFHKKKAVVCKEEQLSELECSIGDLESGAGHLFRKLVQSRVSLLNILSS >SECCEUnv1G0532620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:22254976:22256645:1 gene:SECCEUnv1G0532620 transcript:SECCEUnv1G0532620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDEIQPLEHPDAAAASGDVGEEDHLARLPEDVLAGVLRGVPPRWLAASRCVCTAWRDAIDAHGLLRADLLPLSLAGLFVHFDEHKYPEFLARPSSAAGAPAISGNLSFLPSTTPHAGTIWDHCDDWDDYNIEDHCNGLLLLSNNCVVNPATRWWNTLPKCPAKNDQGIVRYREHLVYDPMVSPYYEVFMTPWLGDYPEDEVDPLMEESEWPPSLCKMYVFSSKSGSWEEKYFLREGDAAGIVREMRVGYWPFNSVYFRGALYVHCRGDWIMRISLSNNTYSVIKPPVNPRAHYSHVEVVRSKKGVYFVAFDKRWPQRKYWLGVWILNESCGQMEWMLMHDKNLKHVLARHRRYHGRFHWILEDINYNLFRSSSFPEDIKKAVTQEYLGWYSDDDVENEGMVKQCCLENNKKSIVENKFDWKSDNHNVPNDDDVVEERYWDEEHYDGSYYYDIEILGFHPYKEIVFLSASESTALAYNLNGSKIEELGTIYPKEYVYFKELSNEREKIKSFPYTPCWIEEFPGNN >SECCE5Rv1G0356580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:740466555:740469297:1 gene:SECCE5Rv1G0356580 transcript:SECCE5Rv1G0356580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATYDPLLGTWVVQETVFHRLGALLSSSQSRISSSWERVEPLVLIGTAAGLGWAAWRYYDGKAALRKYGRHMTGKAVGPVVGRDDEIDRVISILCRKTKNCAALVGPAGVGKTAIAEGLAQRIATGKVPAALAGARVVEVNLGAIVAGTRYRGMFEERMKSVIKQAEKACGKIILFIDEMHTLLGAGGAGGRGVTDASSMLKPALARGRIRCVGATTFDGYRNYIEKDPALERRFQKVHVEEPSTQATIGILRGIRQQYEQHHGLEIQDAALVAAAQLAGRYITGRQFPDKAIDLIDEACSTTIKRLMQIDNQAEELNAKHSCSANSVKGATVVPNDVAQVVSQWTGIPVCTLEQEDKDKLIHLADRLHERVVGQDEAVNVVVEAVLRSRAGLNHPGQPIGSFLFLGSTGVGKTELAKALAEELFASEKMLVRFDMSEYVGSGSVLRLIGAPPSHQGCDDGGQLTEEVRRRPYSVILFDEVEKADPSVLNIFIQLLDDGVLTDGKGRTVNFKNTIIIMTSNLGAEHLTAGMAGEITMDAARDLVMKQVQKHFKPELLNRLSEIVVFEPLLHDKLNEIVKIQMKSVISRVADKGISLFASDAALAVVLSESYNPMYGARPIRRWLQKNVMIKLSQMLVKGEASEGSTISIDATDDKKKLKFEVVKKVEE >SECCE7Rv1G0515350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839434920:839435400:-1 gene:SECCE7Rv1G0515350 transcript:SECCE7Rv1G0515350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKLRVATMAVLCVLLLLVEPQQVAAMSEYCQCYRDCYSECRQDAPRWLCLPSCVNYCSSSPGTSQAAAGDGGDGGAMCRMACKWSVCGWPAAPGDAADTAICLKNCNRKWSHKAN >SECCE4Rv1G0248880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:576849144:576853481:1 gene:SECCE4Rv1G0248880 transcript:SECCE4Rv1G0248880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAESGGGGGGAARAARRKPWSAELLLAYQSLGVVYGDVATSPLYVFKSAFAGGDIEHSAGNEEIYGVLSLVFWTLTLIPLLKYVFFVLRADDHGEGGTFALYSLICRRVRAGLLPDGEELAARREGATPPAPLSAVRAALERHRVLQRMLLLLALLGTCMVIGDGVLTPAVSVFSAVSGLELELDNEQHEYILLPVTCAILVGLFTLQHYGTHRVGFLFAPIVCLWLLCISTIGLYNIIHWNPHVYRALSPYYAYKFLQKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFTSLVYPALILAYMGQAAYISRHHNFENINHIGFYVSVPEKIRWPVLVIAILAAVIGSQAVITGTFSIIKQCCSLSCFPKVKIVHTSSTVHGQIYIPEINWILMILCLAVTIGFRDTKHLTNAQGLAIITVMLVTTCLMSLVIVLCWNKSILFSLVFLLFFGAIEVLYFSASLVKFREGAWVPIMLSLFFMIMMCVWHYGTIKKYEFDVENKVSISWLLNLGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPIPHIRPEERFWVGRVGPKQYRLYRVVVRYGYRDVPKDDIEFEKDLVCSIAEFIRCGDSDDQNGFVDGATDHACERLSSISKGLPFQEDDDSEINGSDSSILSTHKEAYRNAVGGPKAKRVRFVLPKDAQIDSEVRSELQELTDAREAGMSFITGRAHMRAKSGSGLVKKIAINHIYEFLRRNSRGSVTAANIPHASTLEVGMVCQV >SECCE3Rv1G0146600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11958188:11963182:-1 gene:SECCE3Rv1G0146600 transcript:SECCE3Rv1G0146600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPTPVIPREAWDGCSVLLDINDGDRLAFFRLTAGATVKIGDKSCSLQPLLGRPFGSLFRVGPSGLVPCAATDASSQDDKPREGGAGGQAHDETRDNRSLVDNNTAQTLSSEDIEAMKREGASGDAIVEALIANSSTFGNKTVFSQEKYKLKKQKKYAPKVLLRRPSSRSICETYFKKYPARIGFMRVDALSLLLSMANVGAYSDVLAVDMVGGLVVGAVAERLGGTGYVCSTYLGSAPSSIDIIRMYNLSSDMTSRIFHAPLGDLCSLQSAGDAPSVLSGSIQCDVVKPAAVPDESLQSSPAKPINTEVSDGNAQSMTVQPINMEVPEPATDDHLNQGDISMSDCKGSNGNSIAPKAPRAGRAPSPERMKYWGEHGFSSLIVAAPDHEVESVVADLLPLLSYSSPFAIYHQYLQPLATCMHSLQVSKMAIGLQITEPWLREYQVLPSRTHPHMQMNAFGGYILSGIRINKPDP >SECCEUnv1G0544630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:130914015:130914590:-1 gene:SECCEUnv1G0544630 transcript:SECCEUnv1G0544630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATSSPMLGALLLLALFSAAGVHGAAPSSPLDQLCGSLGSFYVTPELCASALCVDASSCRSARGAPELAALATRLAAANATAAKASIESALALDAERVPAPASAADADARKGMRSCLQLYAGAVPALRWAARSVAAGRYSGAREVLDAAQYVASGCAGMAGEATLPKENDRFSSMAIVAHAVVASMSTT >SECCE2Rv1G0114800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:738828304:738829524:1 gene:SECCE2Rv1G0114800 transcript:SECCE2Rv1G0114800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTAASSSSRLSKEGDEEEEGEEMEEEEADEASPREIPFMTAMTAAASSSSPTSAASPSAAAASASASGSGSPFRSSDGAGASGSGAGGGDVEVIDKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAAANEKGLLLSFEDRGGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFCRGAAEATRDRLFIDWKRRAELRDPHRLARLPMPMPTSSPYGPWGAGPGGFFMPPAPPATLYEHHRLRQSFDFRSISPAATPRPQVLYFGSAGIFPHATMPPPHPQPPLHIAVQPSPPVTVGMPMVLDSTPLVNSPTAAAKRVRLFGVNLDNPHSHGGESSNDANALSLRMPGWQRPAPFRSLELPQHGAAGAESSAASSPSSSSSSKREAHSSLDLDL >SECCE1Rv1G0027300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:381272871:381277642:1 gene:SECCE1Rv1G0027300 transcript:SECCE1Rv1G0027300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G00570) UniProtKB/Swiss-Prot;Acc:Q8L7K9] MWRHAAARRSAQIRRLLSTSAPSAGAGSAVRGPCIVHKRGTDILHDPWYNKDTAFPLTERDRLGLRGLLPPRVMSFEQQYERFINSYHSLEHNTQGEPDSVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNEKLLEDKLYLGLRQPRLEGEEYLAVVDEFMEAVHARWPKAIVQFEDFQMKWAFETLQRYRSRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLADFTKQKIVVVGAGSAGIGVLNMAKHAMLRMPGTHKIGELGEGHNQFWVLDKDGLITKSRKDLDPAVARFARGYGPEEVEDLHEGASLVEVVKKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPCPAIFAMSNPTTKAECTPEDVFKHVGENAVFASGSPFSNVTLSNGRKGYANQANNMYLFPGIGLGALLSGARHISDGMLHAAAECLASYITDDAIRKGILFPSISSIRHITARVGAAVARAAVDEDLAEGCSDVDPRDLRSMSESDTVDYVARKMWYPVYSPLVNDK >SECCE3Rv1G0212650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956125581:956127233:1 gene:SECCE3Rv1G0212650 transcript:SECCE3Rv1G0212650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMNPKFEGVKTVLMKSYDGLPYYLKSCILYLSIFLEDHTVSRRRLVCRWVAEGYSEDTSTARRYFMELLERSMISLCGIQGTDSSQVHDLIRDIIIEKSMEENLVFRLEEGCNSNNQGTFRHLAISSNWDGDQGQFEATMKLSCIRSLTVFGKWRPFYISDKMRFLRVLDLEGTKDLAGHHLEHIGNHLHLRYLSLRGCEGIYYLPDSVGNLRQLETLDIKYTRITRLPRTIAKLSKLCQLKAGNEFYIGEEWPSLACCVPFASCCAMVIQAYAVKVPVGIGELKSLNTLRCVHLEWENAIIQEIEGLTNLRKLGVFGIDRGNGPEFCSAISGLDRLESLSVRSEVWDLCDILNGMSSPPENLRSLKLLGWMDELPQWIKVLRNLAKIKLESTEVSINDEEMRTLGNLPNLSILSIKEKSFQSALPITFHSGLFRSLVTLELVYMDVEVGSLEFEASSTPKLEVLSLRLIDCKIGFSGLEFLPSIKEVRLLVVVTPTLVALKENMTEEEAEVEARHREERVKADMRKQLANNENCPIMNELSPPIVF >SECCE7Rv1G0496610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:614226647:614228509:-1 gene:SECCE7Rv1G0496610 transcript:SECCE7Rv1G0496610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTTTVTEPDNADASSPPPKNVMYELAARNIYYAKPAAAPTLSFGRLLRPCGGALATPEYILRDVSLTARAGEILAVVGPSGAGKSTLLDILAVRTAPTHGRLLLNSAPLRSSSFRRLSAHVPQADVALSLLTVAETFAFAASLLYHTSASAASTAVTALLADLRLAHAAHTRVSASRLSGGERRRVSIGLALLRDPGVLLLDEPTSGLDSSSAFVVVGCLRAVAAARGTTVVLSIHQPSARLLSAVDSLLLLSRGTVLHHGSLASLDAALLSHGFAVPAQLNPLEYALEVIDQIPHPSPSSPEPKSAQDLTTKASDSDRHRPAMATPPPLLCTSPCSRIHEFAVLYKRAWKVVYRSKQLLLTNFLEAVIVGTLLGTIYINAGYGEAGAHKRLGLFAFTLTFLLTSTTETLPTFVTERPIVLAETAAGLYRLSSHATAATMVFLPYLLAVALLYSSCVYFLVGLCASPAAFAVFVLVVWAVVLTANSFVLFISSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRESTPVYWVFMHYASPYKYALDAMLANEYSCAANRCFGVAGAGDECSETGRDVLAARGLTAEERWTGVQVLFGFFLLYRVLYWVVLSRRASRAKR >SECCE7Rv1G0463500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:54497855:54499420:1 gene:SECCE7Rv1G0463500 transcript:SECCE7Rv1G0463500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQLNVLKALDAAKTQLYHFKAVVIAGMGFFTDAYDLFCIALVTKLLGRIYYTDPALNEPGHLPANVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHEAKGVMGTLCFFRFWLGFGVGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGFGILFGTIVTIIVSSAFRHAFPAPSFYIDAAASIGPEADYVWRIIVMFGTIPAALTYYWRMKMPETARYTALIAGNTKQATSDMSKVLNKEISEESAQGERATGDTWGLFSRQFMKRHGVHLLATTSTWFLLDVAFYSQNLFQKDIFTKIGWIPPAKTMNALEELYRIARAQALIALCGTVPGYWFTVAFIDIIGRFWIQLMGFTMMTIFMLAIAIPYDYLVKPGHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAATGKAGAIIGAFGFLYASQDQKKPETGYSRGIGMRNALFVLAGTNFLGLLFSLLVPESKGKSLEELSKENVGDDGIEA >SECCE1Rv1G0016710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:140891674:140894574:1 gene:SECCE1Rv1G0016710 transcript:SECCE1Rv1G0016710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLVLLLSLLFGILLAPECVATPDMAPTTLLQVKSDLIDPQGILSGWSLEADVCSWHGVSCLPGEGIVIGLNLSGYGLAGTISPAIAGLVSMEFIDLSSNSLTGLIPAELGMLQNLKTLLLYSNSLVGTIPPELGLLGNLKVLRIGDNRLHGEIPPQLGNCTELETIALAYCQLSGAIPHQIGNLKNLQQLILDNNTLTGSIPEQLGGCANLRSLSVSDNRLGGIIPSFIGSLSVLQSLNLANNQFSGAIPADIGRLSSLTYLNLLGNSLTGAIPEELNQLSQLQVLDLSKNNISGVISISTSQLKNLKYLVLSDNLLDGAIPGGLCPGNSSLESLFLAGNNLEGGIEGLLNCISLRSIDASNNSFTGKIPSEIDRLPNLVNLVLHNNSLTGVLPPQIGNLSNLEMLSLYHNGLTGVLPPEIGRLQRLKVLFLYENQMSGTIPDEITDCTSLEEVDFFGNHFHGTIPEKIGNLKSLTVLQLRQNDLSGSIPASLGECRRLQALALADNRLSGALPDMFRLLTELSIITLYNNSLEGALPEALFELKNLTVINISHNRFSGSVVPLLGSSSLSVLVLTDNNFSGVIPTAVTRSRNMVRLQLAGNRLTGAIPAELGSLTQLKMLDLSSNNLSGDIPAQLSNCLQLTHLNLERNSLTGAVPSWLGGLRFLGELDLSSNALTGIIPVELGNCSSLLKLSLSGNRLSGSIPREIGRLTSLNVLNLQKNSLTGVIPPTLRRCNKLYELRLSENSLEGPIPTELGQLSELQVMLDLSRNRLSGQIPTSLGNLVKLERLNLSYNQLHGQIPTSLLQLTSLNRLNLSDNLLSGAIPAVLSTFPAASYAGNDELCGAPLRRCGANGRRLPSATVSGIVAAIAIVSATVCMALLYIMLRMWSNWREVSVSSSDGEEPEAHGKGGKCCAGDGKYWKVGSGLVVAPSTEEKYSSASESSVLHVHGKVTEASAINSKG >SECCE1Rv1G0002500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9237888:9240917:1 gene:SECCE1Rv1G0002500 transcript:SECCE1Rv1G0002500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDYVVILVILCFLCITFHLIFAESIDVDVNLQTNEKNTTLLRPKPFHFPWRANIMGEGSGIISHGYTLSPFSYAMWHTEPGQFYGLRADMSIWASPNQETSQESGASLQIYCQDGGNYNLIQVGFHISPSLYHNRDIRFFTYWTKDLKSKGCYNLQCPGFVSASRANLVPGQAMAPPSIYGEQDHYVRLSINKDPNSGDWVVYRHDLQKPSFLGHFPNKLCPGTRRIQALTGFVNYLKNAHGPPMGSGHFPDYDDKKSAYFKHIQNYNPNGHSSDLLGIPMIKLVDRPDCYTANNLFLEYKKGYMFNYGGPSGCVG >SECCE4Rv1G0268460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:742559365:742560492:1 gene:SECCE4Rv1G0268460 transcript:SECCE4Rv1G0268460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAATATSTAGDDRRRALQVFDDTKAGVKGLVDAGVTAVPAIFHHPPESLPLNSPQPQHEHRFAIPVIDLVGLVTPSGRASVVGAVRAAAETVGFFQVVNHGVPEAAMSEMLAAVRRFHEEPAEARAPYYSRDHGRRVRYTSNFDLFQSPAANWRDSVYIDMAPEPPAPEEIPPALRGVVPEYARLARRLYRELLGLLSEALGLRRGHLEKDAACTDGLNLAAHYYPACPEPHLTVGTTRHSDPSFLTVVLQDDIGGLQVLVDNLEEDGKPSFWVEVPAVAEALVVNVGDYLQLVSNDRLKSVEHRVVANGAGPRVSVACFFRTYGAAASTRVLQPIVADGERARYKSATVEELLQHYRAKGLDGTSALDHFRL >SECCE6Rv1G0429580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736456598:736457962:1 gene:SECCE6Rv1G0429580 transcript:SECCE6Rv1G0429580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASDWSILLPELLEEISRRVGDVKRDRDAFAAVCRPWRRASSATGARLNRHALHLVSLRSGATAVDFSDRAGEVKKTVYLGTDAGVARPHRVIGCSRGWLVVVDRACGASLLEPVTDGRRLPLPDITSFDCGFITTLLADDDDHGGGVCFSVDNHAYRSHIRGPNKKARPSPPETVPIQAMRDDFFHKAALAVSGGDRRESFTVMVIHNGGSGLAFARPGDKCWTSLRTSPSTRYQDIIHHDGAFYTITRGDGSIEAWEPPANGGRTTLKPRLVTGPVMTWEFKRCVEFHTETFRQQAYYEGARYLAERDDGGAGLLVVSTVAIFTDGNALRTRRFKVFAVDEREDRWREVHDIGDDVALLVGINHGRRVSTREYQCMEPGRIYYVLKSFAPEFDAMDEDGDDVVEECSRYESGVHELRSGVASRKAVFRHAAGGHPVWFVPPVPAAAPRR >SECCE5Rv1G0330420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:528873705:528875954:1 gene:SECCE5Rv1G0330420 transcript:SECCE5Rv1G0330420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein MALE STERILITY 1 [Source:Projected from Arabidopsis thaliana (AT5G22260) UniProtKB/Swiss-Prot;Acc:Q9FMS5] MAAKMVISLGGSRRRKRGEVLFRFDSFCQPGYPAQLAGAFRDNVRTLLGLAHLEAGVQGETRCWSFQLELHRHPPTVVRLFVVEEEVAASPHRQCHLCRVIGWGRHLICSKRFHFVLPKRESSVETDGLCYGVGHGGGGGAEKASKGTATSRGHLLHGIVHLNGYGHLVGLHGFDGGSDFVSGHQIMDLWDRICSALHVRKVSLVDTARKGHMVLRLLHGVAYGDTWFGRWGYRYGRPSYGVALQSYQQSLHALQSIPLCVLVPHLSCFSQELPMVVTKYQAISGHKLLNLGDLLRFMLELRARLPATSVTAMDYRGIMSDASCRWSAKRVDMAARAVVDALRRSEAPAARWVTRQEVRDAARTYIGDTGLLDFVLKSLGNHIVGNYVVRRAMNPVTKVLEYCLEDVSSVLPAAVGAGAGVPPGHGKMRVRFHLTRAQLMRDLVHLYRHVLKEPSQALTTGAFGAIPVAVRMILDIKHFVKDYHEGMTATNSGVVGHVYISLCCTLIVRNGSPELVPPYETVTVPAHATVGELKWEVQRLFRDMYLGLRTFTAECVVGIGAGLEASPALGLIGVGSTVVVEGVVGEQQEPAEEGDQRKKAAAVCEGGGDVGERVVDCVCGADDDDGERMACCDICEAWQHTRCAGVADTEDVPHVFLCSRCDNDVASFPALNC >SECCE2Rv1G0137090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:916274184:916275116:1 gene:SECCE2Rv1G0137090 transcript:SECCE2Rv1G0137090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYSSRLRAGGGGGGLGATTVLAAKVAFASAALAAAACMLPQLVSGADAFLWKLYLFVTVHVIIFVIWKLSDSKHFHAAQHKDPWAPSPLHHHHPAAAAAPPLLTEQAVLAAVKRRVEFAPAVVSAAPAEVYRVPPPVSRWHEAADSAVVEEVVSPVSWGGESCVTTESEEDTSSVAASAYIVTADNSRSVAPAPAPAREHAVLERGISLPPRKATAPADEHFDGAEDNHDGGDDDLDATWNAIMQKTRPATAPASTTSSPPAPRSSPPPPTPAPRARAREPSVGAAELSKRSEDFIKKIHNSFGRHQ >SECCE4Rv1G0253260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:624651213:624659798:1 gene:SECCE4Rv1G0253260 transcript:SECCE4Rv1G0253260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MGRFGWLRLASRSLALRSGEASAQRGAWIRPSTPCSSSGTYVADRCFGRSTFTSSGPSRFFHSTGQRYSMEKDYYKILGVTKDASQDDIKKAFQSLAKKYHPDTNRGNTAAKRMFQEVRDAYETLRDPSKRQQYDMLFSGGSAANSTRGRGEFDGSYQDPFSRFNKQNEDPFAEFYRQNDGPFSSQFYKVFSEVFQHDVDVHASDIEIELNLSFGEAAKGCTKQVPFSAKNLCDSCDGRGYLSNARKYVCPSCKGAGKVSMYPFTSICTTCRGFGKVIKDHCLTCKGAGVVDGMKYANVIIPAGVDSGDTIHVREAGNSGGRGAIPGSLYIKLRVASDPVFVRDGADVHVDKKISFTQAMLGGKIEVPTLDGKTEIKIPKGVQPGQVVVLRGKGLELPNQAGYFGDQHVRFKIDFPLKVNERQRALLEDFAAEEAMKEQSFFAAGNWSELIVENMKSQNFMIGLGFVMLIYLMLSKAVS >SECCE3Rv1G0186250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:682403833:682409041:-1 gene:SECCE3Rv1G0186250 transcript:SECCE3Rv1G0186250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGASKRFGENEDFELLLSKSDIRESSYRKHSLWMAHWTRDGHSAEPQNSKSCSPFEEINDVGYSKDCGNLPFELMKARMAERLMVGVSHGGASSGNTQQFSSNMWGVAHDVCQEVQCKNTDQFNRPFERSMVQKNLNVYAAKTVISERFSVHKPSDLSVDSHKLLSSDNLSSEWSHFPMFAINRKIDSILNPRRSALSASSDKFFVPQYNLKANISASNTMAFSSKEYQFHTQQVSDENMTHCKSAAVIQPHQDDCLSLNSDHAGRKLKGHLSVEESCSCSKDETNSLGSPSKGSPYQSSKNKDIFSASRKDDEIVEPLLEQKLGTSERCQKQQDLEEVVFYEPALDREYQMKSVDASSIGKGIDVDINSQGVTFPNLLQGEQQYRKVDSTGNFTEISKLLDKIEKAPVMKSKGESLACRKPPRQKLKNSKQKGSCLFEMLTVPSKSHLTCSKGPPYSVNSRSNTSRCSLETQKQFSTKTDTLYSDTHDASKSIAGFASTSTQKDSGYPDSEKTERVVSSSTKRVSSCCEGNETINMSSEHQNSYSKATCAGKQEWSIPKTSSMNLDLVLFQISRMRNPISKAPTESPVCSDPSDNWLKRLKCDSSDPHVPCSKKPKTGDITTPGGACTVFGQVLDYKMDGACMIKQVKKDQVMHETLMDQQNQDASPMSTKSLNHWIGRWCQGGTPNFHGASSPGKQSRKSNTPPDCLEGQFPSIAAMAMMGRVMNKLRPCELEKRGPSVVWKTEGL >SECCE6Rv1G0447000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846830826:846832724:-1 gene:SECCE6Rv1G0447000 transcript:SECCE6Rv1G0447000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYFHACSDELKPCIFYMSIFPAEQSIRQRRLLRRWIAEGYSSGGGAGAAAEERAEKLLSDFMKLGIFYQGQISSTRRSCKLNGFFHEYIKSRPMKDNLVFELQGSCGPSSRLTGQHLTISSSWDRDEIVFNSMDLSRLRSLTVFGKWVRFIISSDKMKMLRVLDLEDTSSILESDDTGVVTDDDLEMIGKLLTRLKFLSLRGCMQVTHLPDSMGAMRQLETLDVRHTSIFELPHAIITKLHKLQYIRAGNTATTSLLAPTPPSPILPPPSPTQPEPLEQVHGGTSPFLGAKAAALCVTQQASARINGAWKSRAHALVESSNSSSSWRESRKQRRCRVAANGGGVEVLCAAAKGIGKLTELHTLGVVNIAGGRGALLLFLKEIKKLTHLRKLGLSGINHKNWKDMCSAISGHLPRLESLSLQLLLLEEDDNYEFACFDDISRPPKTLKSLKVFYSSTGGGGGASLASISTAWINQFPNLKRFNHEVRVSSQDDMDSIDHRCFKYVNLEYSENELHVPGFERRLGIKPVQQHVSIGEAHTLVLDSLVIYCSGTSSRVTFVSSNIVKAVIIHCCSSCGGRSCLQIDGLKSILYLKEVFVTGPCSDGFKEELQKQLDKHEGKPTLRLLQFVADQ >SECCE5Rv1G0308030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:124030633:124032711:1 gene:SECCE5Rv1G0308030 transcript:SECCE5Rv1G0308030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase S.4 [Source:Projected from Arabidopsis thaliana (AT3G55550) UniProtKB/Swiss-Prot;Acc:Q9M2S4] MPLIPASFLLLLATLAASQEFTYTGFGAGRNGGAPNLTLNGVTELQPDGIMRLTNDTSRLKGHTFYPSPLRLLGPPGSGKSNATAAAAVSFSTAFAFAVVPEYPRLGGHGFAFVAAPDPRLPGALPSQYLGLVSADDDGNATNHVFAVEFDTVQDFEFADINDNHVGVDLNSLTSNASASAAPINLKSEDTVLAWVDYDGDRRLLNVSIATLAAPEKPAVPLISFHVDLSIVFREQMYVGFSASTGLLASSHYLMGWTFKLGGGAAAPLDLSSLPSLPRPKPDKKSRTSLILASVFSAFVALLVLAGAGAYAAYRIKNREVIEPWELDHGPHRYKYPELKRATRGFRDRELLGRGGFGKVYRGVLPGTPPTVIAVKRVSHDSRQGLREFVAEIASIGRLRHRNLVQLQGWCRRRGDLLLVYDFMPNGSLDMHLFGDGLRAARLTWGVRYKILRNVASALLYLHEEWEHVVLHRDVKASNVLLDGDMAGRLGDFGLAKLYEHGANPATTRVVGTLGYLAPELTRTGKATTAADVFAFGALVLEVVAGRRPIEPRAAPEELVLAEWAWERYAAGEVEKVVDARLGGEFDAEEAAVAVKLGLWCSHPVPVARPTMREVARYLDSGDAAEVPPPPPPPPPPPVCSGEVGYDDFLHSFPSSSFERAAAAGGGEPLSQTSVATFPFSSLSMRSSHVSV >SECCE7Rv1G0517890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856602604:856604478:1 gene:SECCE7Rv1G0517890 transcript:SECCE7Rv1G0517890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYGGVYNIHGWGDPYFAVNKNGHLCIRPHGRDTAPGREIDVLSVIHQAAATTTTDHADKKETKLQFPMILRFPDVIRHRLDSLHAAFATAIEHTGYRSVYQGVFPVKVNQNKAVVQDMVRFGHQYGYGLEAGSKPELLIAMSCLTRAKPGAYLVCNGYKDKDYVALALAARAMGLNVIIVLEMEEELDIVVEQSRTLGVEPAIGVRAKLLTKLPGHFGSTAGKHGKFGLLAERIYAVARKLRGMGKLHWLKLLHFHVGSMIPSTDIVFKAASEAAEIYCALVNDCGAEAMTTLDCGGGLGVDYDGTRSGSSDMSVAYGLEEYASSIVQAVRLKCDDNGVPHPVLCTESGRAMASHHSMIILEALSAIPEPRDDGETTEQLHAKIHELASKQLQPRAVLNLKGDAAAGMSTMSSAHAVDIKKHGIEMYKLGKKLSKSVMADATTIYNYHMNLSVFSLMPDFWGIQQVFPMTPVSRLHERPTRMATLVDLTCDSDGKVEKFIGGAETLPLHPLDPVLGGYYVAVLLSGAYQEALSSKHNLLGGPSLVRVLGGDNGKFILDTVDLGPTTEELISTMRYDVKEDIGGVIEERAREKQVWEMVETLVENALNTMPYLVDYQHPPTA >SECCE3Rv1G0193320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:768904157:768905848:1 gene:SECCE3Rv1G0193320 transcript:SECCE3Rv1G0193320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSAVRKCNSKMLLGGGSSSPCGVRSMEQSMTPDKHKRSRKKRFWRKKKSSKKFSCVPCVSLTELTRRAECEAIADLVNNISAKSDVTSHMYAAEEILRITNQNIPSKVLTFRELSAATNNFSCNNLVGEGGFGMVYKGHLKDTNEDIAVKRLDKEGFQGNREFLVEVLMLSLLSHPNLVNLLGYSTDLEQRILVYEYMPNGSLEDHLLDLPENANSLPWQTRMKIAVGTAKGIEYLHEVANPPVIYRDLKASNILLDKDFNSKLSDFGLAKLGPVGDQSHVSTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLELITGRRAIDPSKPTEEQILVHWAAPLIRDRKMFVRLADPLLGKDFPVKGLYQALAIASMCLQEDPSKRPKISDVVDALTFLAEQKYYPQRDRAAAQAKGGDGDCSTPPKKDMVSEIKADNEMKQR >SECCE2Rv1G0114480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:735367522:735371623:-1 gene:SECCE2Rv1G0114480 transcript:SECCE2Rv1G0114480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSQLGACLPSLYALDPYASPPLLAPLPNQHKLHQMPLVLQEQPGNHGVMFSSDHGGGLYPLLPGIPFCHSTAACEKPTGFAPLGGAGEAGTSAARAGNEFASTTTTTTTASCHGPSSWWKGAEKGKMKVRRKMREPRFCFQTRSEVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHSNCRVKKRVERLSEDCRMVITTYEGRHTHTPCSDDDAGGDHTGSCAFTSF >SECCE2Rv1G0123310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:823234017:823234925:-1 gene:SECCE2Rv1G0123310 transcript:SECCE2Rv1G0123310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNGMGCRLALAIVGCILLSSLGTATATGKTGRITVYWGQTSSEGTLREACESKLYSTVILSFLTNFGGGKYELNLAGHPWKAVGPHVKYCQSKNILVLLAIGGGIGKYSLVSKADAKKVAEHLWDYYLGGESRSRPFGNAVLDGIDFDIELGSRAHYDDLARYLKAYGNRKGEKKVFITAAPQCPFPDRMLGEALRTGLFDRVHVQFYNNPVCSYRAGNAAAFKKAWNDWTKSLPKSSVYLGLPAARGASNKGSGYVDPATLVSKVLPIVQRSNNYGGIMLWSRYFDLKSGYSRRVKKFV >SECCE4Rv1G0268150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:740297557:740307462:-1 gene:SECCE4Rv1G0268150 transcript:SECCE4Rv1G0268150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKFAQRSERVKSVDLHPTEPWILASLYSGTLCIWDYQTQTMVKSFEVSELPVRSAKFVSRKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDASGKIIWAKHNEIQTVNIKTVGANFEATDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSEGEYAIRESTSRIKIFNKSFQEKKTIRPTFSAERIFGGVLLAMCSSDFICFYDWADCRLIRRIDVTVKNVYWADSGDLVAIASDTSFYILKYNRDVVAAYLEGGKPADEEGAEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLMGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLESANEILPSIPKTQYNSVAHFLESRGMLEEALEIATDADYKFDLAVQLGKLEVAKAIAVEAQSESKWKQLGELAMSTGKLEASEECLLQAKDLSGLLLLYSSLGDAEGVEKLASLAKEHGKNNVAFLCLFMLGKLEDCIQLLVDSNRIPEAALMARSYLPSKVSEIVAIWRKDLSKVNPKAADSLADPAEYPNLFEDWQVALTVEQTVASQRGHYPSADEYLNHAEKSNTTLVEAFKSMQVIEEEEPVDALDENGEPDEEVMETEENADEAVQVDTDEPEETVLVNGNEGEEQWVLTQHDE >SECCE6Rv1G0407000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:553226626:553229737:-1 gene:SECCE6Rv1G0407000 transcript:SECCE6Rv1G0407000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRSFLLGGGLTVSTATGAAAQAASIPHISPSSLPLATRCRFHRLAAAALPPSPLPASSTRPRCSRWLVVMDDPPAPEGGGEVSRAEAVDYYVATLARVLGSEQDAQMCIYDASWERSYEFCCEIDEEASKKLARMPGVLTVKMVKGDASEKDNLSSSLSPANLGSFSDAACNHSSSEKSEFWLVRMEKPGVEVVTKAQMVDHYTQILMKVVGNEKDAQVSIYHVSWENYYGFCCHIDEQCAKELADVPGVISVLPDSNFGSDNKDYRGDDSSKSSEATQVADVKTKRLFVTGLSFYTSEKTLREAFEPFGELVEVKIIMDRISKRSKGYAFIEYTTEEAGGAALKAMNGEIINGWMIVVDVAKTKSRDRQTPFSTSSFRPRSQSR >SECCE1Rv1G0017310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:152336292:152337384:-1 gene:SECCE1Rv1G0017310 transcript:SECCE1Rv1G0017310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALLTYPQVLGKYKLTVKTEDDSNPRDKYITWTDEATSFMLDWYIELRKDKPATFKFKKQHHLQCVDALNGKFSLGVTQNQVDRHFRSCKEKWGWVRRALANSGNGFDRIAFKFTLSESKKQSPNKTAVNYLTRPIRFFHQFEELFSDQSHADGSLAIDQNTINVDDASDDSEDVREVEGYSFPLDSDEADSDTINRLSPNVDSDGNPLNKKRKRVSSSPSSKPAKGKSHKKGKVSNDHMAASINKLADSLASPIVYVQPMPPTDPYANLWKRINALTITAKDKLEIVAYLSKPDQDIFRSYLNHADETILGEWVLSYFEPRFREDGGTGGSRASH >SECCE4Rv1G0222740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:62198658:62199578:-1 gene:SECCE4Rv1G0222740 transcript:SECCE4Rv1G0222740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAAGMFPMFAPPPPPPFHYAFAMQPPPYFYPPPMSPVRPSFAVRVRSVWASNFEYESENLRSVARNAQHVAIDVHYPGVVHHPGQDHNALTAEQRYALVKSNVDDLTPLQLGIALYDSHGGYLAAWEFNLSDFRPLADPHNENSLAYLAGRGLDVNALRDHGVSADMLRKKLFESGLIGARRGRSRSWITYAGAYHVAYMLKVVTGGAPLPRDVAGFDGAVRRYLGDQVYAVARMAAGCPDMPLGLGLECVADHLGFHPPLGSPRLAAAASVRALQVFMRLKYGELGGNVQKYRGLLQGIHKQC >SECCE7Rv1G0454490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2804938:2809227:1 gene:SECCE7Rv1G0454490 transcript:SECCE7Rv1G0454490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGAAATLIGKVFTMLSAVPVAAYVDSLQLGLNSEQIRDKLLHTQGLLHNAQLQGSHLGDNPGLQGLLEKLSRDADQAEDLLDELHYFQIHDKLHGTTYATTKDLDGLLRHQALHAGSALRHTLGTWFQCCFCSPTPNRDGGDHPVGDDAAAIASAPRHQALHCFSCFSTPKSKRNGGGGVGGGDAAAADVGGDAAAAPAVAGVTNSNSANSATAEDGDTLLHFDRVSMSSKIKSLLQGMQSHCDSVSNLLGNIPSSGSTAVVLHRPQTGSMITQDTLYGRTGIFEETLNRITTVTTQTETVSVLPIVGPGGIGKTTFTTHLYNDARTQDHFQVKVWVCVSTDFDVLKLTREILGCILSAEGGSSGVANETTNLNQLQTSIAERLKSKRFLIVLDDIWKCDSVGQWKTLLAPFTKGETKGSMLLVTTRFPKVADMMKTVDPLELRGLEPDDFFTFFEACIFGEDDKPEHYEDEFAGIARKIANKLKGSPLAAKTVGRLLHKDLSQKHWNGVLEKHQWLKQQDDDDIMQSLKISYDYLPFDLKKRFSYCGLFPEDHEFTSSEINHFWVATCIIDSNHQDDRNYLEELVDNGFLMEKFNWRGQYYVMHDLMHELSNSVSAQECLNISGLDFRVDAIPQSVRHLSINIEDRFDANFEEEMCKLRERIDIANLRTLMIFREYEEERITKILKDSFKEINSLRVLFIVVKSAQSFPYRFSKLIHLQYLKITSSRIDLGMRLPSTLSRFYHLKFLDLDGWRGRSDLPEDFSNLENLHDFHGRSELHSNIRNVGKMKHLQELKEFHVKKESMGFELTELGALAELEGGLTICGLEHVETKEEATAAKLMLKSNLKELKLLWGRDGPSTDADILDALQPHSYLRALTIKNHGGTVGPRWLCLDIWLTSLESLTLEGVSWSTLPPFGKLSNLKGLNLEKISGMHQFGPRCGGAPGKCFMRLKTVMFCEMPDLAEWVVEPNCHSFPSLEEIICINCPNLRVMPLSEVSCANLRRLVVYGCPKMSLPSMPHTSTLTDLAVRTGDPDTLLSYDGKKLVVSGYGGALAYHNLDKVEDMAVANVSHISLTDIEKFKSLTVLTVRRCDGLFPEELDGSIVLRSVKSLELDVSSSSSSKVLNCFPALSALVIVGDEECVMQLPSSSSLQKLTFSGCKGLVLVPLENGGGIQEDNSLLQSLTIYRCGEFFCRWPMGMGESETICPFPASLRELLVNQEPSIKSMGLLSNLTSLTSLRLVGCRNLTVDGFNPLIAVNLIELVVLQCNTSAAYMLSEVASQRAKLVLPAGYISRMEVLVMDDICGLLVAPICNLLAPALHTLEFHGDRRMERFTEEQEKALQLLTSLQKLEFLYCKGLQSLPQGLHRLSSLKELSVLMCPEIRSMPKEGLPVSLRKLRMDYRSAEIKEQIEKIKRTNPDLSVSKY >SECCE2Rv1G0122500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:816328106:816328948:-1 gene:SECCE2Rv1G0122500 transcript:SECCE2Rv1G0122500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMLGFLVGLVADGKRTSSGFRDVHHRQCAAVLNEQFKLSVTGDQVKNHLKKWRKIWGRVVNLKNLSGALWDEDTCTIRLSDEHYAGHCMTHKADAPFLNTPIEYYHALASIYGTMGAKGLGARSGNDLLSIDIEDEENGEVNTSPNVGESSDPKAPPKKKAKVKTVVDDPLVITLRDGFKLVAEALAKSSGDDDDIPDDLWDVVSVLPDFDEEHLAHYYAHLVDNPKTARAFMKLTRINKSIWVSRYVKKNF >SECCE2Rv1G0129790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:870296552:870298702:-1 gene:SECCE2Rv1G0129790 transcript:SECCE2Rv1G0129790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPENIVAQLIQEEHRSKWIAHSNHNVKCFTEDEIRRFTNNYKTVLGRGGFGEVYEGVLEDKSMVAVKKFICNVKENFAKELIVHREINHKNVVRLVGYCVDENALMVVTEYIPKGNLSDILHQGSIPIALDTRLRIAIECAEALAYMHSQMYTQVIHGDIKPANILLDDELRAKISDFGISRLVNTENTLYTLNVIGSIGYMDPLFAQNGRLTAKSDVYSFGVVLLELITRKKARTEDGEIGLVESFIQSLSKGLRRVREMFDPEIVTSSDMKTIDEIAKLAGKCLKMELNKRPEMLEVAERLRKLRKAPQQVQERLALFSWGRKNKLAPAGIPSQESSSSNQKLTTVAPAENMPSQESSDSTPKSEIVAPAKAALSQDSRNLDGFLVRLTVTGLLFKVEDLLGASAEVLGKGTVGTTYIATLESGYEIVAKRLKDVKLPNEEFEQHVMMISAIQNKHIVPLRWYYCRMDERLLVYEFIPMGSLAKVLHGDRGSDPSPLDWEQRSAISLAAARGVEYIHLAGPSSCHGNIKSSNILLTGTHDACVSEHGLIALGIYPSVSGYRAPELVDNRRVSQKADVYSFGILLLELLTRKAPTNSRKDQEGVDLPRWVRSVVREEWAAEVFDVELIGREQKDGEEECMARLLQMAINCCSQDADSRPTMSEVVQQIEEIQQSLTPDQEPHTSQ >SECCE7Rv1G0518980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:862969219:862974733:1 gene:SECCE7Rv1G0518980 transcript:SECCE7Rv1G0518980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARLALEHHCVGKRIARCSAADDTKVIDGVAPSRLEAALVGRTIVAARRKGKNLWLVLDSPPSPSFQFGMAGAIYIKGVELSKYKRSAVSPTEEWPSKYSKLFVELDGGLEFSFTDKRRFAKIRLLDNPEAVPPISELGPDALCEPMQLDDLVQSFGKKNVPIKSLLLDQSFMSGIGNWMADEVLYQARIHPMQTSSKISKEKCKALHRCIKEVIEKSVEVGADSNEFPENWIFHSREKKPGKAFVDGKKIDFITVGGRTSAYVPELQTLDGVDDAAAANRSKRGKDKAEKNEDKSSKKGQKTARKLAGDEEGQDEPAKRRAKGAKAPPKKVGSTRADDHEEDEDEEEEPKPAKKGRKQPALKGPSKKERHSPDIDDDGDEDSPDKDATVVAAKRPQRKKR >SECCE3Rv1G0197570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:820215092:820215502:-1 gene:SECCE3Rv1G0197570 transcript:SECCE3Rv1G0197570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAEKKPVETEKKPKAEKRVPGKDGGADKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE7Rv1G0472340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:141127950:141130013:-1 gene:SECCE7Rv1G0472340 transcript:SECCE7Rv1G0472340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g16390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G16390) UniProtKB/Swiss-Prot;Acc:Q8GWE0] MASLPICPSSPSSFLSWPHRPISLSFQPRNHSSSASPAAVSVQDSPPPPTPAPPSDPGQNPKISSTARFLWVNPNSPRAAELARARAGSGRRARLAAAAAALAACEPAEAPVAAALEAAFPEAPSEQDAAIVLNTAAGNTVTSVLALRWFLENAEVRSKVILYNVVFKVLRKRRRWSETEALWDAMLRDGVQPDNTTFSTVIGCARFCGPPGKAVEWFEKMPESGCSPDMLTYSVVIDAYCRAGDAEMALRLYDRARSERCPLDPVICATVIKVHSSSGNFDGALNVFEEMKAVGVKPNLVVYNTVLDAMGRAMRPWVVKTIHREMVSQKVQPNRATYCCLLQAYTRARYGEDAMIVYRKMKDEVMDIDVVLYNMLLSMCADIGYVDEAEEIFRDMKSSMDTRCRPDSWTYSSMVTLYSCTGDVPGAEAILKEMAEAGFKPNIFILTSLIRCYGKAGCTDDVVRSFGMLEDLKITPDDRFCGCLLTVAADTPVEELGKVVDCIDRSNAELGTVVKLLVDRKASTESFKEAARGILSGVRGVVKMPYCNCLMDLCVNLGQMEKACALLEAALQLDIYSNVQTRTQTQWSLHLRGLSVGAALTTLHVWMNDLYTTLQSGEELPPLLGIHTGEGRNMYSDKGLASVFESHLKELDAPFHGAPDKAGWFLTTSVAAKHWLEAKKSSELVAV >SECCE5Rv1G0366020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:810780606:810782323:1 gene:SECCE5Rv1G0366020 transcript:SECCE5Rv1G0366020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENINRWLSELRNHADSNIVIMMVGNKSDLNHLRSVQEEEGQALAEKEGLSFLETSALEALNVEKAFQTILSDIHQIISKKALAAQEAAGSGPPTQGTTINVADSSGNTKKGCCSN >SECCE6Rv1G0390030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:170856526:170860188:1 gene:SECCE6Rv1G0390030 transcript:SECCE6Rv1G0390030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAAARRVAAATASSSPFGRVSQLASALNHQRWIHDRNKKAMELVAKGWSALQEVDRVIDFADRNDKRLIPLLRGAKENFELALEIDNMNTHARCWLAKMHFKYHVPGACKAIGAALLVEAANMGDPEAQYELGCRLRVENDHVQSDQQAFHYIEKAVDQLHPGALYLLGAVYITGDCVKRDIASAMWCFHRASEKGQSGAAIAYGSLLLKGAEVPEVITRFSSGKSPSTGKVRKRTIQQDPVKLAKEQFQIAAESGSDLGLRWLKRLGDYEK >SECCE5Rv1G0338780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:603160402:603162182:-1 gene:SECCE5Rv1G0338780 transcript:SECCE5Rv1G0338780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHSHAAGGGEGTQRTLNPYVTGNSVIAMKYKDGVIMACDTGASYGSTLRYKSVERIKEVGKHSLIGGSGEFSDFQEILRYLDELTLNDHMWDDGNSLGPKEIHAYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGDEKYLGMVNMIGTHFEENHIATGFGNHMAIPILRGEWREDMTFEEAVKLIEKCLLVLLYRDRSSINKFQIAKITTEGSTIYPPYALKTNWGFAAFENPSQGAVGTW >SECCE1Rv1G0005910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28584224:28585465:-1 gene:SECCE1Rv1G0005910 transcript:SECCE1Rv1G0005910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGKMAAATAARWAEGYPWKEKLAKYKGELSKGVWGYWELGAWKPLGISGRQRARLRKEVLLAGEDWTYDPPRGEMRTKRKGHKVDRIAAEKRANTVELMTKMPQMLLDYKKRRWDKKMKDEEAAAAKAT >SECCE7Rv1G0493220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:565677295:565679336:-1 gene:SECCE7Rv1G0493220 transcript:SECCE7Rv1G0493220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDAVAPAAAAAPGLVVSFGEMLIDFVPDVAGVSLAESGGFVKAPGGAPANVACAISKLGGSSAFVGKFGDDEFGHMLVEILKQNGVNAEGCLFDQHARTALAFVTLKSNGEREFMFYRNPSADMLLTEAELNLDLIRSARIFHYGSISLITEPCRSAHIAAMRAAKSAGILCSYDPNVRLPLWPSAQAARDGIMSIWKEADFIKVSDEEVAFLTQGDANDEKNVLSLWFEGLKLLIVTDGEKGCRYFTKDFKGSVPGYSVNTVDTTGAGDAFVGSLLVSVSKDDSIFYNEAKLREVLQFSNACGAICTTKKGAIPALPTTATALELISKGSN >SECCE6Rv1G0383000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:49192709:49194859:-1 gene:SECCE6Rv1G0383000 transcript:SECCE6Rv1G0383000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHFPYTKCSRKLTFFALVLLISLASPTSSCTEKEKGSLLQFLSGLSSDGGLAASWKQNGADCCKWEGVTCGEDGAVTDVSLALKGLEGRITPSLGNLPGLLRLNLSHNSLSGGLPLELVSSGSIIVLDVSFNRLRADMQELPSSTPSRPLQVLNISSNLFTGRFPSSTTWEVMDNLVVLNASNNSFTGQIPDNFCSSSSLLAVVELCYNQFTGTIPPGLGNCSMLRVLKAGHNNLRGTLPNELFDASLLEYLSLPDNVLDGELDGAQIMKLSNLVTLDLGRNGFSGKIPDSIGELERLEELHLDYNNLSGELPSALSNCTNLITINFKSNNLSGELSKVNFSTLPRLKTLDVLYNNFNGRVPESLYTCSHLTALRLSGNNLHGQLSPRIGDLKHLTFLSLAMNSFTNITNALYILQRCTNLTTLLMGSSFRGELMPEDDFIDGFENLQVLSLNDCSLIGKIPLWISKLAKLEMLILSGNQLTGSTPAWIEGLKHLFYLDISNNSLTGKIPGNLMELQMLQSEKTKARLDPRVFELPVYRGPSLQYRIPIAFAKVLDLSNNKLTGEIPPEIGQLKFLLSLNLSFNALTGQIPISVCNLTNLQVLDFSNNNLIGAIPTALNSLHFLSAFNISYNDLEGPIPSGGQFDTFQNSSFDGNPKLCGSMVTHKCGPDSTRPPITMATKQTDYKAAFAIAFSAFFGVGVLYDQLVLSRFFG >SECCE4Rv1G0246970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:551613386:551614561:1 gene:SECCE4Rv1G0246970 transcript:SECCE4Rv1G0246970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANDYDAAVALAEFQAARAGVRGLVESGITSVPPLFLAPSTGSPSPPPFEDENLFTIPSVDLALPPSSSLPLIRAAARSCGFFHVTNHGVDAAAVDSAVSAVRAFHEQPLAVRSAFYTPASVGSITYSTIPIQPSKNSAGPLLPWRDTLQVRFGPPPAPDLAHLPPACRDALLKYQLLMTELGKKMAGLLSEALGVDAGRLERVMEVEGLLMACHYYPPCPEPARVVGSLEHTDPSLFTVLAQDGVGGLQVRLHDGRWADVPPVPGALLVNIGDVLKVVSNDEYESVEHGVVIKSCQDARVSIALFFNPAKRQESDLFGPLPELLTAGKQQRYRAFTVTEFMNSRRQLGHGTKSIDQFRIAHE >SECCE6Rv1G0394090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:289500055:289516032:1 gene:SECCE6Rv1G0394090 transcript:SECCE6Rv1G0394090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 29 [Source:Projected from Arabidopsis thaliana (AT3G47810) UniProtKB/TrEMBL;Acc:A0A178V791] MVLVLALGDLHIPHRAPDLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITRGEYDEDGCYPETKTVTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAHSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATMHG >SECCE7Rv1G0504560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:725045729:725048085:-1 gene:SECCE7Rv1G0504560 transcript:SECCE7Rv1G0504560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A20 [Source:Projected from Arabidopsis thaliana (AT4G38210) UniProtKB/Swiss-Prot;Acc:Q9SZM1] MMGSLLLLSLLVVSGVGVGGGVRLAGNGGYEDWRLGTATYIKESQGHPLNDGGGACGYGDLDIFRYGRYTAGLSGALFGRGSACGGCYELRCVNNVLYCLRGSPTVVVTATDFCAPNFGLADDYGGWCNFPKEHLEMTEAAFLRVAKAKADIVQVQFRRVSCDRAGGIRFTITGGPNFLQVLITNVAADGEVDAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVTGGKGRRITMYNVAPSDWMFAQTFAGKQFVE >SECCE4Rv1G0226310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:108890263:108891864:-1 gene:SECCE4Rv1G0226310 transcript:SECCE4Rv1G0226310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRAKKAAAAAGDARSTGQEGDKAPVKGGGDAPANGVVVSVPVHCDGCARKMRRSLLRLEGVEEVTVDHSTDTVVVTGQRALENPMMVVEAVKRKTGKKAVLLSPSPEKLPPPVKSEDTKKHGAGAPDMKKDVAEFDMEMVVVLKIELHCEDCSEEMKKRILKIKGVEEAVPHIKSSQLMVKGMVEPATLVGFIHKCTGRKAAILRAEPVHEDTPAPAMDDDAPAADASAEKQEPSDDLENKNESGVEEETKQAVNREEETETEKPSKGDGDGVEKETVIEETQTKDHLFKLPVPASLVAVAPEAEKMMAMNGLYQYNYHPAAYAYAYPQHYAYQQYHQYPYAGNPATYYGPCPQHYPPQSFSDQSPDACTIM >SECCE6Rv1G0399970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:445528450:445532409:-1 gene:SECCE6Rv1G0399970 transcript:SECCE6Rv1G0399970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWIPLEANPDVMNQFIWGLGVTEGEAQFCDVYGLDDELLAMVPQPVLAVIFLYPLTSLDEKVEEYDASATSTEGGKDSSKKVYFTKQTVGNACGTVGVIHAIGNAASDIKLVEGSYFDRFYKQTADMDPVQRALFLEEDDEMEGAHSVAATAGDTDANVEVNEHFVCFSCVDGELYELDGRKSKPISHGPSSPETLLQDAAKVIKARIATNPNSMNFNVMALSK >SECCE5Rv1G0364820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802967599:802968138:-1 gene:SECCE5Rv1G0364820 transcript:SECCE5Rv1G0364820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMKRARDEEVLSLALALTTDSSTSSTTSADSAGSPAKKSRRRTKGAVVATSGEGEFVCKTCGRAFASFQALGGHRTSHLRGRHELELGVGVARAIRERRRQENKQHECGICGMGFEMGQALGGHMRRHREEMTLRGGDDQHDDQWVWRGVAQLPGQVVTGQRATDNQPPVLLELFV >SECCEUnv1G0533970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35375015:35378993:-1 gene:SECCEUnv1G0533970 transcript:SECCEUnv1G0533970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARGGVAALLVAALLLGAFAPPAVAASYPAKVVSGFISNAASAVMKRLWSLKSAGKTASGAKSMVKYEGGYTVETVFDGSKLGIEPYTVEVTQGGELLVMDSMNSNIYRIALPLSRYSRPKLVAGSPEGIPGHVDGKLREAKMNHPKGFTVDGRGNIYVADAMNMAVRKISDTGVTTIAGGKSSRGGHVDGPSDDAKFSTDFEVRYIASSCSLLVIDRGNQAIREIQLNFDDCVYQYEAGFPLGVALLLAAAFFGYMLALLQRRVLGMVSTEDELQTPMKASIASVPPYQIQKPLKPSLRPPLIPNEDESEKQEVEEGFFTSLGKLIGGAKSSVAEIVGAAFSRKKRPSVHHYQQGRASPWPVQESYAIPRDETPPVVDTRTPTPRKNYAFMSKEPEKIHHIRQGRAPYNGWNSGESPQQQQQQQQQQVHHQQYLQHNRQYSLGPQTFYEPSCEAANEIVFGAVQEVDSARRAVEMKPVSYGDAAAVYEQNGLRYRSSSGYMG >SECCE5Rv1G0302350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:37727163:37732266:1 gene:SECCE5Rv1G0302350 transcript:SECCE5Rv1G0302350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHGGGDESETEMRRPPSPSTPPSDGVDSAKSTGSIEDDDHQAFSDDEEDEDLEKYHPFTVDDFPRVSSEFDEQSDAVFQNPDIQLRGPSAMFLFRAFNDPVVEKHRHFFGRQYQLYDESEVNVNNNVRTIDCSHGCRCVPSGVLQFIDLKIAGYRHAQPGCANIFGFFAVRENVEPLRNYVYRRGIDNCEAVNVKLDTGIAHLSLTSPARCIHMISRVLFEFKLSVRTDDQPEDGPKDDLLIEGCTEFTDFMNMYKTGPFIETRRLYGEKCGLDIKFLVLWNAVQAKVDVEILRAPVDGLNLNLYAKTSGFRDAIRLFSGVTESGCRMSSVVGVMRYSYLILCIEGSLKDGGFSQELPCCMWQGRFASGYHGTVHEVVYLHDSTKISVKVTWKAVDCLHDLEH >SECCE4Rv1G0224230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:78157014:78161371:1 gene:SECCE4Rv1G0224230 transcript:SECCE4Rv1G0224230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGLLARPLQLAPLSGAASPPAALPCRRPPWARPGLGASTATLRRPCRRNPCGAAKTERPDRRGFRFAAAGQLSSSSRPQHDKLQVAVSASKAAVQLQNGLSLQSSRYVVPEDVRAAGFQIDADELTSIVESRDTERLNEHGQLGGIADKLATSLTDGISTGEGLLEQRQEIYGVNKFAESEARSFWEFVWDALQDTTLIILAACAFVSLTVGIATEGWPNGSHDGIGIFASIILVVSVTATSDYQQSLQFRDLDKEKRKILVQVTRDGFRQRLLIDDLLPGDVVHLAVGDQVPADGVFVSGFSLLLDESSLTGESEPVDVNEDKPFLSSGTKVLDGSGQMLVTAVGMRTQWGKLMAALTEGGNDETPLQVKLTGVANIIGKIGLFFAVLTFIVLSQELIGQKYHDGLLLSWSGDDVLEILNHFAVAVTIVVVAVPEGLPLAVTLSLAYAMEKMMNDKALVRQLAACETMGSATVICSDKTGTLTSNRMTVVKACICGNTVEVNDLLSSLSSELPEVVVETLLESILTNTGGEVVIDQNGKQDIIGTPTETALLEFALSLGGNYKQKRQETKIVKVEPFNSVKKRMTVILELPEGGYRAHCKGAAEIVLAACDKFIDDSGSIVPLDKKTANMLNDTIETFSSEALRTLCLAYRGLEDGSTQEEIPLQGYTFIGIVGIKDPVRPGVRESVASCRSAGIAVKMVTGDNINTAKAIARECGILTDGGLAIEGAEFREKTPKELLELIPKMQVLARSSPLDKLALVKHLRTTSNEVVAVTGDGTNDAPALREADIGLAMGVAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNIVALLVNFSSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNDNLMEKAPVGRTGKFITNVMWRNILGQSLYQFTVIWYLQNQGRYVFGLEGSEADTVLNTIIFNTFVFCQVFNEVSSREMEEINVLKGMSENSIFVGVLAGTVVFQFILVQFLGDFANTTPLTQLQWLVCVLFGFLGMPIAAMIKLISVKPREEHDGYEKL >SECCE5Rv1G0335530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:575641338:575643008:1 gene:SECCE5Rv1G0335530 transcript:SECCE5Rv1G0335530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGVLPPPPLLAMGRKGGARDRNPIHPMTGRPLDLDNVTIVDDPNATEGDTAEGDAAEPSLEA >SECCE5Rv1G0321480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:404309237:404318166:-1 gene:SECCE5Rv1G0321480 transcript:SECCE5Rv1G0321480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGSDRSGDPSGPAGGARSGVDGRFARGPSRWSGGGGGSPPPHRSSRGGSSDGGGGGGGRFHPYRAPSEYVVGGGGTGGYRGGGGDFGETAGGARSRYGGGGCGGRGDYSDHDNKSGYVKLFVGSVPRTANEDDVRPLFEDHGDVLEVALIRDRKTGEQQGCCFVKYATSEEAERAIRALHNQCTIPGAMGPVQVRYADGEKERHGSIEHKLFVASLNKQATAKEIEEIFAPFGHVEDVYIMKDGMRQSRGCGFVKFSSKEPALAAMNSLSGTYIMRGCEQPLIVRFADPKRPRPGESRGGPAFGGPGVSSRSDAALVIRPTANLDEQIGRHMPPDTWRPSSPSSMAPHQFNNFGSDNSMCLMGGPVTSAADNVTFRPQMFHGNGSLSSQTAVPTSSNMGINPSLSQGHHLGGPQISPLQKPTGPPQNFPVQLQNAQQVQLHASQSLGPGSFGQNIPTMQLPGQLPASQPLTQQNASAGALQAPSAVQSNPMQAVPGQQQLPSNVTPQMLQQPVQQMLSQAPQLLLQQQQAAIQPSYQSPQQTIFQLQQQLQLLQQQQQQHQQQSNLNQQPHTQVPKQQGQPVQSNIPGAPATMMTTKINAIPQQVNSSAVSLTCNWTEHTSPEGFKYYYNSITRESKWEKPEEYVLYEQQQHHHQKHILLQQHQQKLVAQQLQSPPQAQTIPPMQSMQHHPQSQQGHNQMQMKQQDINYNQLQATGTIDPSRIQQGIQAAQERSWKS >SECCE2Rv1G0134620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:902480830:902482591:-1 gene:SECCE2Rv1G0134620 transcript:SECCE2Rv1G0134620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPAPAAARAGGLVLWLHGSAETGDRSRAQIAPYFSAAPAVRLSFPTAPVACYGDVVLNAWFGIPEVPITATTVRDEEGVLEAVEHVHKMLDKEVAAGTCPTDIFVCGLSQGGALAIASVLLYPKTLGGCVVFSGSLPLNKSFAERVPPEARKTPVLWFHGMADGVVLFEAGHAGCALLQELGMACEFKTYPTLGHSLVDEELQYFRRWIFDRLGISRGTEDARPSSSASNHEDLH >SECCE5Rv1G0361860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:781304968:781309373:-1 gene:SECCE5Rv1G0361860 transcript:SECCE5Rv1G0361860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATARGGVGEIRAADVEAAGLAAADAGAFLASLHSATGKYGGDAAAAWAAVVAAGVLRPDHPHALHQLVYYSVYAGWDRAKRGPPPYWFPSPIDCKQTNLGRVMEENGPKLLGASYTDPISSFGPFHKFSVHNQEAYWKIVLKELSIKFVREPTSILDVSDKSKKGGTWFPGAVLNIAECCLLPWPSQSKTDDSTAIVWRDEGFDDYPVNRMSLKELRTQVMTVANALDTMFQKGDRIAIDMPMTCNAVIVYLAIILGGFVVVGIADSFAPQEIATRMRVAKAKAIFTQDFIIRGGKKFPLYSRVMEGTSSKAIVIPATGDCLGVALRKGDMSWKDFLSCAAGRSSIYSPVYQSADTLINILFSSGTTGEPKAIPWTQLCPIRCGADTWAHLDVRPKDIGLWPTNLGWVMGPIQLFSCFLNGATLALYHGSPLGRGFCKFVQDARVSVLGSVPSLVKSWKAGNLTEGLDWTKIRVLATTGEASDIDDNLWLSSRTCYKPIVECCGGTELASSYIQGSLLQPQAFGAFSGASMSTGFVILDEQGNPYPDDLPCSGEVGLFPLYFGATNRLLNADHDKVYFDGMPIYRGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKTSSVEIERVCNGADEGLLETAAVSIKPSGGGPEQLAILAVLKDRSTSYDANILKSKFQRAIQRNLNPLFRVSYVKVVPEFPRTASNKLLRRVLRDQLKQELANRSKL >SECCE1Rv1G0000210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:903522:904708:-1 gene:SECCE1Rv1G0000210 transcript:SECCE1Rv1G0000210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRWPSTAVAAFVIGASLLVLCMLMAPSASAQQHKVVPKVPKGSSVVVIRPQFGKRNSQFTCTDTKKKRPGCQATCPNRCPKECLVLCPTCMTFCLCDFYAGVSCGDPRFTGGDGNNFYFHGKKNQDFCILSDDDLHINAHFIGNHNPATSRDFTWIQAVGVLFAHHRLHLGAVRSARWDSAVDHLDLAFDDERIDLAPADGARWSSASVPGLSITRTAQANNVVVELKGVFRIVANAVPITAEESKVHSYGVTADDCLAHLDLGFKFQALTDDVHGVLGQTYRSDYVNKLNVTSKMPIMGGTADYVSSALFASDCAVTRFGRPAAATAATAGGIAMVTDAKYV >SECCE2Rv1G0113600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:724501633:724502130:1 gene:SECCE2Rv1G0113600 transcript:SECCE2Rv1G0113600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRRLRGGFRLGRKLLTAWRWALCSRSRRRGGYLRLDTPCPMEEGGEAKKLAPVLRWGRSLARLLSLGRTDRGQRTLGGGEAVVKTPKGQVAVYVGGGGPGEPLRYVVPVVYFNHPMFGELLREAEEAFGFQHPGGITIPCAAAKFERAAAVAAAGKKGFARW >SECCE5Rv1G0335360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:573216005:573221685:1 gene:SECCE5Rv1G0335360 transcript:SECCE5Rv1G0335360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSALPLIGGTGDADWQPSKDLGSYDLLPASLSGEELAFDMNSGAIHRSWRDAAPDRSGSAPPSMEGSLAELDHLIGKHSGDLEATLRNLSSGAVSSESELCSDPAYLKYYGCKVNLNPRLPAPLVSRESRRLMNRSSKAGERRPLYVDDSSSDRSLTYRSTLSTHKEEPEDDSSSKLDSSLAEDAGCHTDQSTSSIGCHSSKIVGLMQENFHRSTDLYNNSSGPSNTNSGDEESVCCISSSMNSPHDIVKSLDLNVFPTDTPQWPRGPIGSPVRNTLSSNSLAASSPSTSSSSVNTIMGASQQGNPGTEIKSGGAVRAILNGVDSSMKNLKINLDTQGSAHVKQQQQNNVLLQNGSSHGDRTQMIPQGINLPQVPFVNNFSHAHMNPYAGDIQLMSQHGMPTPFYTQSLYYQNSQLPGVLMPPYGIDGHGLPSSFLPPFMTNFAPQLPVMTPSDTPLPPTFSGRLAGFPSTGNIAAGTELSNPFKIYEQVGVTMPPSVPDLSLIHYFQQPSMYHYGLGNPYDVRPSSNFVGNFTAAFGSEKAMPGIMHQSGQKVQFPNTGACSSPTTRKQGSYAGNHPGTSRSIPMPYPTTPVFHGQSSSGTYPCDRRNGAPGFQSPSKTTGVSPGIQGQRAGEKSDPNTHCSVGEVRSNKAHMVELSDIKGHIAEYSSDQNGSRFIQQKLENGSTEDKALVFAEILPHASSLMTDVFGNYVIQKMFEHGDSEQKRDLAKKLAGHVLTLSLQIYGCRVFQKALEAIELDQKIELVRELDGHVLECVRDQNGNHVIQKCVECVPVEHIGFVVSAFQGQVATLAMHPYGCRVIQRILENCSNGSDGLIDEILQSTCILAQDQYGNYVTQHVLEKGKAHERSQIISKLARQVVSMSQNKFASNVIEKCFQHGDVAERDLLIKKILEQTEGNNYLLVMMKDQFANYVVQKILETCSGQQRDALLSRMKGHLQALRKYTYGKHIASRIEQFSGDGAAPPCSQKKA >SECCE5Rv1G0349590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:685132976:685135760:1 gene:SECCE5Rv1G0349590 transcript:SECCE5Rv1G0349590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAVDWWEEWQLRILVLGSLFIQYLLLFSAAFRKFAIPSWYRSIIWLAYQGSDAVAIYALATLFNRQRSQYHGTSANNSSILEVVWAPVLLMHLGGQDLITAYNIEDNELWTRHLLTAVSQVTVAIYVFCKSWPGGDKRLLQASILLFVPGILKCIEKPWALKSASINSLVSSSGPLSNASRKGEINSLRNYVQKAVAFVQANPHCPAQEGGAVDLETDNYLPRAQGEDGIFNQANHQPRAQEEAVVLDLESDSHLPDQVQREDHAVGRASDHRPQTSNKCLRLFNFCENVARSQHQEYQERTESRRQVDALFVDLVSPYPDRISILNSLLVLDEKQVFALLQKRLFNVFDLLYTKRKMRAPLEDKKAETNFLESFSGLTRVSAPILPWIAILLFYKSSREAYDDNDVMVTFALLFCTAVLEFCSAYSIFSRENVYSVTYTSSIYEWATQWQLVKRAVALYQEQTQMVIQWQWVKKMDAQWISIEKRWSERKTTLVCQYTLVGFFARNTWHNRKMFILNFFNCKNFVDQIWSMKPRNSSFAITELVLRYVKKGWEDQIKDPDSYWKFNDRRGQWILQVNKCNQDLGCSLRRPFDESVLLWHIATDFCFYYMGTSANHQCDTGQCIQDASGEGHGCAVWCERSPHHKRAVQCREISNYMMYLLFVKPEMLLPGTRRNLFTAANDELKTIFKDDNPSLKKILKGDRPSLMEILKCDKPFCKLFTVKRPSLKEIERGFTQRIITRVQPTENREQVGEHTDLTPDAKTDAITQEGFVHDAWNLADGLLRVGDDEKMWEVIEGVWVEMLCFSASRCQGYLHAKSLGTGGELLTYIWLLLAHMGMETLPERLQRTELSSGEGNAGSPPSTSQIC >SECCE2Rv1G0120390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:798574600:798575146:1 gene:SECCE2Rv1G0120390 transcript:SECCE2Rv1G0120390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVCLAILLLALASPWPASANIPSTSNNNGVAVAAPNVPTATQFLQAHNDARREVGVAPLKWNSTLEQDAERLQLIYAQNTYLDSGYQDGATVTASWVNNRRWYDHRANACAPGKECGSYKQVVWNTTQELGCARRTCRSSPNTVAVCSYFPAGDYVDRPY >SECCE3Rv1G0156920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:77508796:77513039:-1 gene:SECCE3Rv1G0156920 transcript:SECCE3Rv1G0156920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NCA1 [Source:Projected from Arabidopsis thaliana (AT3G54360) UniProtKB/Swiss-Prot;Acc:Q9M2V1] MSSLCPFAKATTGGVCPMKSDKKPDKSGAACPVTGKGGEPKESGADRAAAEEGAEDPRVVPAKCPFGYDSNTFKLGPLSCMVCQALLHDASKCVPCAHKFCKACISRFKDCPLCGADIEGIEPDAELQALVDRFIDGHARIKRSLAGESKEAGADGKSKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAEDIREELKSKEDNLDLCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAELLSKLPKKDLELVHTLSVSLNKVGDLRYYDGDLESARSYYARSLDVRRNSVKEHSAVASQVIDLATSLAKVADVDRNLGNEDAAVEGFEEAIKCLEKLKLDSEQASLEQRRRSVLDFLQKQLHK >SECCE2Rv1G0135870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:909209682:909211919:-1 gene:SECCE2Rv1G0135870 transcript:SECCE2Rv1G0135870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYYCLFPCLLVCFLLHTHGSHSLNQTCNPTDLEALLSFSSSLDRKGSRLVGWGPNDTACCSWTGVSCDLGRVVGLDLSNKSLHGGISSAITLLDGLVTLNLSGNSLHGQPPVGLGRLARMRILDLSMNMLSGAFPTSEHGFPAIEVVNVSFNQFKGPHPVFPGAMNLTVLDISSNAFSGGINITVLCVAPVKALRFSWNKFTGQVLTGFGQCKMLTELSIDGSGLTGNLPSDLYTISELRRLSLRDNLLSGSLSEDLGNFSQLIHIDLSYNMFHGIIPDVFGGLRRLEFLNLGSNLLAGTLPASLSSCPMLRVINLRNNSLSGKITIDFRLLPRLIVLNAGANMLSGPIPPDLMWCTELRTLNLGKNMLDGEIPESFKNVRSLSHLSLDRNGFTNLSSTLRVLQHLPKLTTLVLTKNFHGGETLPMDGINGFKSMQVLVLANCVLSGMIPPWLQSMERLRALDLSWNKLNGKIPLWLGNLNNLFYVDLPNNLFSGELPESFTQMKSLISSNSSTEHASIENFPLFIKKNSGNKGLQYNHVSRFPPSLILSNNLLVGPILGGFGNLVKLHVLDLGWNNLSGSIPDELSTISSLEELNLTHNDLSGSIPKSLTKLTFLSTFDVSYNNLTGLIPTEGQFSTFTNENFVGNAGLCFFGSDHCFGKAPFEGAGSAGTDTISAMLATTYITVEVGFALGLLTICNILFFARDWRAAYFLAVDRFFDKLYVMIMVKVNKLTRKWEDQEHL >SECCE2Rv1G0121470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:808577372:808577730:1 gene:SECCE2Rv1G0121470 transcript:SECCE2Rv1G0121470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFNGSFIFPECILIFGLILLLMIDLTSDQKDRPWVYFISSTSLVISITALLVH >SECCE3Rv1G0191660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:749991629:749992400:1 gene:SECCE3Rv1G0191660 transcript:SECCE3Rv1G0191660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 20 [Source:Projected from Arabidopsis thaliana (AT3G03760) UniProtKB/Swiss-Prot;Acc:Q9SRV3] MQEPVPPRKRGDAVAREQQQQQQADETSSSAGPGAPCGACKFLRRRCVPGCVFAPHFSGSGRERGAAQFAAVHRVFGASNVAKLLSRVPVALRRDTARTVCYEAQARVADPVYGCVGTILALQHQVALLQGQLSVLQTQLFNCRLALASTHPDSAEQLAVLQPAYSASSAPSQMVNYDDLPQAVDFMDVEPQMRGLESLQLSQPPHRDEDESQGVSPFSDNNAGQRQL >SECCE6Rv1G0445980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842186424:842190972:-1 gene:SECCE6Rv1G0445980 transcript:SECCE6Rv1G0445980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSFMQWAINTLDEHTLPAAASPVYDIGSFHCSQHTAATISSQQALCTALQPLLTTAGDNLDLTVQVDNQYRASSSGDAVVHPVVDRASTPMSWKSSAASTQSAARGGHKRAGWRRSRSSLQGSAMSAPSASSTSPDPAKDHTIAERNRREKINERLMELSTLIPGIKKMNKATIIGDAVKHMRELQEKVKILENSNRHAATTTICSTVLVHKNRPCLSNYGEDDAGEPSHFGTWLPEIKVRLSDKSVLVQIHCENTNGQLVRVLAEVEVLRLAITHTSSMPFLADTTIINITAKLEEGFNSTVEEMVKRLNSVLDQH >SECCE7Rv1G0482760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:312088590:312090883:-1 gene:SECCE7Rv1G0482760 transcript:SECCE7Rv1G0482760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGKAPVPSKKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALHQFTRTLDKNLATNLFKMLLKYRPEDKVAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKARERLIAKEAAQRMN >SECCE6Rv1G0435590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:771881126:771882340:-1 gene:SECCE6Rv1G0435590 transcript:SECCE6Rv1G0435590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRMTSAPLLTAALVAAVGYGAYAAAEQEATGAGGNDLRLRQPPITRGLSFDFYKRSCPRAESIVRDFVRDAVRKDVGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGEHHAPPNLRLRPSAFKAINGIRDRLERECRGAVVSCADILALAARDSVVASGGPEYRVPLGRRDSPRLATPQDVLSGLPAPASAVPSLLDVFHSHSLDLDATDLVALSGGHTVGLAHCTSFEGRLFPRPDPTLSPDFVGRLKRTCPAKGTDRRTTLDVRTPDVFDNQYFINLVNREGLFVSDQDLFTNEATRPIVERFARSQRYFFNQFGVSMVKMGQIRVLTGDQGQVRRNCSARDPGTADGLQWSSLAQTVVDVAAESLGF >SECCEUnv1G0558210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:330437179:330438402:1 gene:SECCEUnv1G0558210 transcript:SECCEUnv1G0558210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTAIPDHLLVEIFLLLPDPADLARASATCVSFRRLTTDGSFLRSFRRLHAPPLLGFLEPGRFRPTLPPHPSASAAHAFALAADLSFSFLPSHCRWTVQDIRDGRVLLHHEPRKGEEPPVFRELAVCDPLHRRYVLLPPVPDDLAASVEDPIPMVHRPQCKSSLVPLDDEETAAAEATSYRVLWMTYSETKLAAIVFFSSTGQWQITSSKDWSDLVIGRGELTIMPHALQPILLNLHCAYGCLYWDWLIIRRKKLLMLDTRRMEFSITELPPGEWSCHRTAIVEAGEGRLGVFGLDDEISSDLKYMIARNKGEGPSQCQMEKTISLEPGYQYYIRAATERYLLFTRTEEGFAHKYPNKSPLVEYFSMDVKTLQLQRVCAKKVKNMHFPLIYTNFPPSLLSSRTI >SECCE2Rv1G0103780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:595054345:595058562:1 gene:SECCE2Rv1G0103780 transcript:SECCE2Rv1G0103780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAVRRTLEPTASAADISGSTPYDAFVISGVRLEAAEHGRVLCSFVVTPRIASPQGYLLSGVTATLADQLGSAVFFSSGVGTSGVSLEISVSYVDTAAVGEEIEVEAKLLRAGKSVGVISVDFRKKRSGKLMAQARHTKYLAVSSRL >SECCE5Rv1G0376480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872506742:872507518:1 gene:SECCE5Rv1G0376480 transcript:SECCE5Rv1G0376480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIKVHPNVAAASPAVPAAEEKGEAVSLTVWRRSLLFNGKGFTVFGTNGDLVFRVETYARGSPREVVLMDADGVALLTVRRKKLSLAEEWLVYDGDGDEPAPRRFTARRNRSLLRTKSLARLSPPRSLDGTEDAPGCRYDVEGSYAGRSLDMFACTGGNQRQRVATVCRKEAAVGLDVFRLTVEPDLEPALAMAVVILLDQMNAS >SECCE3Rv1G0154690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62113061:62120358:1 gene:SECCE3Rv1G0154690 transcript:SECCE3Rv1G0154690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIASLLGSCANKLKDIIIDEAILILGVEKELTEVLQRVELIRFCIYDAEKRGTKELAVNNWLGQLRDVIYDVDEILDVARCKGNKLLPDHPSSSGKSVACKGLSISSCFCNIGPRRDVAVRIRSLKQKIENILKDKIFLTFNSSTEPTGNGRTSKMIRSSNLVEPNLVGKEIAYSSRKLVDLVLACREYKSYKVGIVGTGGVGKTTLAQKIYNDQKIKGSFQMHAWICVSQDYSEVTLLKEVLRNIGVHDEQGESIAELQRKLAETIEGKSFFLILDDVWHSNIWTDLLRPALHETTAGVILVTTRDDQIAMRIGVHNTHRVDLMSVEVGWELLWKSMYIDEEKQVHNLRNTGIQIVRKCGCLPLAIKVTASIVSSWALHLASRDLTENEWKKYLGKYASSQSMLSDEIEAALYLSYDELPHRLKQCFLYCALYIENSVILRRVITRLWIAEGFIEEQQGQLLEDTAEEYYYELIHRNLLQPNRSFDQAECKMHDLLRHFALNISREECFIGDIETLRGENMSKLRRVTSVSKKDKLVLPSMSKVEAKVRTFFAVHGPRSIEDTLFKRFLLLRVLVLNYSLVQSIPDYIGKLIHLRLLDLDYTSISCLPESIGSLKNLQILSLNYCADLHILPSAVSQLYSLRCLSLHDTQINQVPKGIGKLKFLTDLRGFPVGKGSDNADVQDGWELEELSSASLLRYLTLVKLERAACCSTNTMLMDKKHLKGVRLEWTSYSADVSNTEKGFEDVSNTEKVFEQLIPPTNLETLYIIGFYGRRYPSWFGIICLPSLVHVILLNLGCVRLPPIGQLPNLKYLSIDVASAVTKVGPEFVGYRKDDPICNESIGFPKLEWLVIKDMPNWEEWSFFEEDAVSDEGGKDGVAEIREEDARSAKFRVLPRLAVLKLEGCPKLRALPQQLGQDTASLKELILIGLHDLKAVEDFSLLSELLLVEKCEGLERVCNLPQVTDLRVHGCQNLSLVEGLGSLQQLGLGKDMQQISSRWVPGLQDQHQQLHGEDLDVYTLSTS >SECCE5Rv1G0328050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:499385228:499396474:-1 gene:SECCE5Rv1G0328050 transcript:SECCE5Rv1G0328050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKNQCTRTGGGASTSKKRKASLQSNSNREDSPPPRDDLSRILRKSLLPCGYPMPSKIAGGMSLVNTFELCFGNLDGYSEEQTSIKLLALSLCQSIVSLAAFKGKTRQFTCTGTIIQHTTSSMSILTSASLIRSSEDESKIIDKLEIKVRLPNGKLVVGKLWKYDFYYNIAVVNTKAFPELRAACFHSEVPANVKFSQSKLVAVGRVFESGELMATGGTLLYKTCKFDCQQLMASTCKTTKAGIGGPIIGADGKFIGMSFYYKDGAPFLPITILQKCLKHFNEFGRVLQPWHGLRIGSLQAEKLHVREEVHESFPHAHGIYVQKVVDGSPAADSGSKAGDVITKLDDFVLSNAQEFHELILDKAESALRHGEGMHFTVSVLRPSSGFEFCATISAEVIDTSEQNMSKENSVRWPVPKTKWLYPDDVSDADMMPLVRKCRRPGYIYEAPLTTDVSRYTRL >SECCE5Rv1G0305710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:76009621:76010283:-1 gene:SECCE5Rv1G0305710 transcript:SECCE5Rv1G0305710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLWTILTHLHTLAGPGVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFVTLVEMVLESLIYWIPIWYELKLLFLAWLALPNFRGAAFIYDRFVREQLRKHGLTNHPGSGISSSKKENGGKSSSPSTSPKEKESAKSRFLSFGAPKKDH >SECCE5Rv1G0335870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:580251675:580252469:1 gene:SECCE5Rv1G0335870 transcript:SECCE5Rv1G0335870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATQQHRRRRAILQLAALLVLASPAAAVSSACESEKFPAGRSYETCADLPALGAALHWTYDAEASSLSVAFAAKPPSSAGWVAWGINPTGDGMKGAQTLLAFKKNGAYVVNTYNLTGYKPLSPASTPIAFKATDLAADEGAGGKVRLYGTLQLPKGMNSANLIWQVGSAVVNGVPAKHAFAQENLDAKGMLVADGAGAPEAAPAPVAGDSSGEAGSMETEAPSSPAPSGGKKSSPAGAASTTQASAPVLIVLLALAGFLAIV >SECCE1Rv1G0061890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717828840:717829991:-1 gene:SECCE1Rv1G0061890 transcript:SECCE1Rv1G0061890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIKKEEEEQQAVEGLPNDLVWEFLSRVPYRSLCRFKCVSAAWLALCSDPAIRRRSPQTLSGFFGLSRSGSNRFVNLTGRGRPLVDPSLPFLHGFENVKLLNCCSGILLCHGIRAGGVEYIVCNPATEEIWAVLPMPDRHETPRPLAYRTICLCFDPVVPSRFAVFVMIDNGRDIAMTEVYSSDTGEWTSMSSRWGHRILLYNYEPGYFFLNGTLHSVAYDSRVETFDLEGNSIKMVVTVDTIGNTWRTTLQPHKVEFTFIGFSQGRLHGIEMEDGGGHSISVWILKDYASGQWTLKHTTSMQELLGRPCLENEEYYVIVALHPQRNLIFLSGGMEPEQTLMSYDMDTQKLHVICNLEDYEMEPFRPYIPCFVEWRPSNAP >SECCE6Rv1G0437920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786666194:786667544:-1 gene:SECCE6Rv1G0437920 transcript:SECCE6Rv1G0437920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAFEMYRRSEIGYALTETLDEMVSSGVLSPDLAITVLLQFDKSMAHALDKHVKSRVFFKRGNLRTYRFCDDVWTLILRDVSFKNEDTETVLPKVKIVACNSAALKIPLKPITCHQCQP >SECCE6Rv1G0427450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:719652887:719653839:1 gene:SECCE6Rv1G0427450 transcript:SECCE6Rv1G0427450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLTMTSPVTLRQSNNKFVLVTGVTPGSFYERLFTSDSTHLICSSNSLHWLSMAPEGLTRNHIPAYDMDEHARHERLPVVRKAYARQFRKDFTLFLKLRARELVLGGRMVLSLVGRCSDVIASNFFHERETAAQILSVMASEGVIAEKFDSFYIPMYGPSAVELKEIIEEEGSFSIRDMRVYEPRADMDRALFTPSWLAKQQRAIFEPIIVEHFGAVIDEFVRVTERRLSLEGAMQDDHVRTPGAVLAVSLAKA >SECCE7Rv1G0493420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:567369368:567370762:1 gene:SECCE7Rv1G0493420 transcript:SECCE7Rv1G0493420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDSAPVRVVSRRMVRPSSSGVATCSTEPAAETVHLAPWDLQMLTLDYIQKGILLPKSPAGDGSERLVGRLASSFARALGRFYPFAGRLTAEEQLEGGGVTVSLRCTSDGAEFVHAVAPGVTVADVAASLYIPRVVWSFFPLDGLVGADAVAGSRPVLAAQVTELADGVFVAMSLSHAVADGTAFWHLFNTWSEMSRSGGADAEMLTPPPVLERWFPDACPVPVPLPFAKLEHMIRRFDCPPVEECFFHFSAESIKNLKARANAEVASAGVGSATSTATLSSLQSLLAHVWRSVSRARRLSPVEETTYTVLVGCRGRVKRIPQTYAGNAVVRATARSTAGEILDRGLGWTARLLNSAIASLDEAALVGSLTSWHQDPRFAYQAGFWNPAMVVTGNSPRFDAYGNDFGWGAPLAVRSGGANKVDGRVTVYDGFGGGGSMGLEVCLAPEALARLAADDEFIYQD >SECCE3Rv1G0201550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:865405650:865406934:-1 gene:SECCE3Rv1G0201550 transcript:SECCE3Rv1G0201550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGVVDDLRVVFTRKESSTCLARSVMFLVIFAVGIIAGLWTATGPRTQYTRTYNSIVFPSTTTTVYAEAGAEAEAGFAEFVAPTRLMHDMTDEQLFWRASMVPAAAEYPFNRVPKVAFMFLTGRGELPLAPLWERFFRGHEDHFSVYVHAPPGMTVNVSTDSPFYGRQIPSQETAWGSISLMDAEKRLLANALLDFSNERFVLLSESCIPLHSFPAVYDYLVGSRHSFVEVYFQPTKQCQGRYSRRMAPAIRLPQWRKGSQWFELSRDLAVSVLADTKYYPLFRRHCRPTCYPDEHYLPTTVSMLHGARNANRTVTYVDWSKGGAHPAKYTAGNVTAAAIQGIRRRRWRNDRPCYYNQRPTSMCFLFARKFAPDTLGPLLNMSSAVMGY >SECCE5Rv1G0322310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:419115399:419116028:-1 gene:SECCE5Rv1G0322310 transcript:SECCE5Rv1G0322310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSVPRYPEDENKGGGLCCCLCGCCCFLLVIIALLAGAAAYFFYKMNPKPPSYSVSKMAISKFEFSSSDLTLYTKLVATVRAENPNDKIGIKYGEDSHTVVSYRGTPLCSGKLPTFFQGHNNVTMLEISMEGRHGFGSGLQDALEESEKAGNVPLDVYVTVPVQLQLDTYDLQQIKVNVHAALVVDSLSPKKPPTIKSATYQGNVEF >SECCE7Rv1G0458970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23722652:23723914:-1 gene:SECCE7Rv1G0458970 transcript:SECCE7Rv1G0458970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKASTMRIDLEQMLVDETAEPKALALSLLEEITGDFSKDQEIGHGGFAVVYKGKLGDRAVAVKKLSDAFMYEKEFHREVECLMRVKHKNVVRFLGYCADRQGNVESYEGRFVMADVHNRLLCFEYAPKGSLDKYIKDASREWRTCYNIIKGICEGLQHLHENHILHLDLKPANILLDDNTVPKIADFGLSRCFDKSQSRDITKTLLGTMGYFAPEHHEKGVISCSTDLYSLGVIIIEILTGQKGHQEPEDHT >SECCE4Rv1G0233710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:248290499:248294648:1 gene:SECCE4Rv1G0233710 transcript:SECCE4Rv1G0233710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPHRERERLLDLAVDYGFDRDFAVAALSRLCGQNFITVENCGDDFIAALADATQLTDDWDDLNAIETEACGNLNEMMRKGVINDEKGGVVLDTPLFKRADSSSQNSPKGVKTSSFSSDDSDFDIFDETNTHRDNSVGTQKKAQTRNSKAQTRSSTKSTVTKGTKRYESTIPTSNREGQPNSCLRNREILSYEQLSSLDDINFANAVIFGNKSLRPLQYEACSAALDNKDCFILMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIQDQVVALTFKFGIQAAFLNSQQTSAQASAVIQELRNGTPSFKLLYVTPERMAGNYSFMEILKGLHQRGLLARFVIDEAHCVSQWGHDFRPDYRGLGCLKQHFPRVPIMALTATATEAVRKDVLSTLRIPNALVLKRSFDRHNLNYMVIGKTRTPQMQLGELLKERFMNMSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRSSYQEKWHRGEVKVICATIAFGMGIDKPDVRFVVHNTLSKSIESYYQESGRAGRDDLPAHCVVLYQKKDFSRIVCMLRSADNFKSETFKVAMDQAKKMQAYCELKTECRRRTLLGHFGEQFNSQRCKDGPSPCDICLKEAS >SECCE1Rv1G0017420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:154723465:154727642:1 gene:SECCE1Rv1G0017420 transcript:SECCE1Rv1G0017420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSMGDGEISVSAYDTAWVALVPRLDGGDGPQFPATLRWILGNQLPDGSWGDAALFSAYDRITNTLACVVALTKWSLGPGNCRRGLSFLEDNMWRLAEEDSESMPIGFEIAFPSLLEAARNLDVVFPYDHHALQSIYANREVKLKKIPMEMMHSIPTTILHSLEGMPGVDWRKILRLQSGDGSFLFSPAATASALMQTGDTKCFEYIDRIVRKFDGGVPNVYPVDLFEHIWAVDRLERLGISRYFKQEIKQCLDYVHRHWTEGGICWARDSAVVDVDDTAMAFRLLRLHRYNVSPSVFENFEKDGEFFCFVGQSTQAVTGMYNLNRASQVRFPGEDVLQRAGRFSYEFLREREAQGMIRDKWIISKDLPGEVQYALDFPWYASLPRVEARVYLDQYGGDDDVWIGKTLYRMPLVNNNTYLELAKRDFNRCQVQHQLEWHGLQRWFTENGLEAFGVNPRDVLRAYFLAVACIFEPSRATERLAWARASVMTNIISKYLRSNLLGNKMVERFVYGGLYEGNNDISWLEGDAKVEILVGALEKLIDLLAQKALHVGEEPIHINNLLRRVWIEWMVQEINREDGTNGMSVIEAGSCMVHDKQTSLLLVKIIEICAGRIGEASSMVNSKKNTWFIQLASSICDSLHHRMLLSQDTEENKAITSHMDKKIEVDMQELAQNVLETYDDKASNNMKQTFWSVVKSCYYVANCPSYIFDRHVSKVIFEHVF >SECCE7Rv1G0480890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:275386558:275387118:1 gene:SECCE7Rv1G0480890 transcript:SECCE7Rv1G0480890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIESPVAAPRLFRAGVMDWHTLAPKLAPHIVASAHPVEGEGGIGSVRQFNFTSAMPFSLMKERLEFIDADKCECKSTLIEGGGIGTAIETATSHIKVEPAANGGSVVKVESTYKLLPGVEVNDEITKAKESVTAIFKAAEAYLVANPDAYN >SECCE7Rv1G0454980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5202134:5203027:1 gene:SECCE7Rv1G0454980 transcript:SECCE7Rv1G0454980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAAAPAMPSVLLLLALLASTAAGAGRAPTLVFILAGQSNMGGRGGATLNNRWDGVVPPQCAPSPRTLRLSPALRWEEAREPLHAGIDVGNVLGVGPGMPFAHALLRAPGCPRGAVVGLVPCAQGGTPIANWSRGSHLYDRMLTRARAAVAQTNGKGRIAALLWFQGETDTIRREDALAYTGRMEALIRDVRRDLGIPNLLVIQVGIATGQGKFVDLVRRAQRAVRAPSLRYVDAMGLPVANDFTHLTTPAQVRLGRMLADAYVATLH >SECCE5Rv1G0323330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:433866349:433879494:1 gene:SECCE5Rv1G0323330 transcript:SECCE5Rv1G0323330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPLPARDAADAGCEFSYPQSADQMRQQQLRARLSPDEQLAAEESFALYCKPVELYNIIQRRAIRNPAFLQRCLHYKIHASRKKRIQITVSLSRGTNTELPEQNIFPLYVLLATPTSNISLEGHSPIYRFSRACLLTAFSEFGSKGRTKATFIIPDIKNLSTSRACNLNIILISCVSEGLSGENVGEHNCSVDHVEGSVLQKLEGKCFWGKIPIDLLGSSLENCVTLNLGHTVELASAVSMSPSFLEPKFMEQDSCLTFCSHKVDATGSYQLQVGISAQEAGARDMSESPYSSYSYSGVPPSSLPHIIRLRAGNVLFNFKYYNNTMQKTEVTEDFACPFCLVKCGSYKGLGCHLNSSHDLFHFEFWISEECQAVNVSLKTDVWRTELVAEGVDPRHQTFSYSSRFKKRRRLGMLGTTAEKISHVHPHIMDSDSPEDAQAVSEDDLVQREEDDISAPRASVDPAQALHGSNLSPPTVLQFGKTRKLSAERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLEDFVDVTDDEKLIMHMWNSFVRKQRVLADGHIPWACEAFSRLHGKHLVQNPPLLWSWRFLMIKLWNHSLLDARAMNVCGTILQDYQNESGSDPKKM >SECCE5Rv1G0328680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509389306:509389913:1 gene:SECCE5Rv1G0328680 transcript:SECCE5Rv1G0328680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMMAMSSFVGAAVLPRGSAGRLGARSLPALGRRALVVRAQTEGPSAPPPNKPKASISIWDAMAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQTWFAYTVAVLSMASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEIITGAPFINV >SECCE1Rv1G0039790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:553123398:553133682:-1 gene:SECCE1Rv1G0039790 transcript:SECCE1Rv1G0039790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSGLNPQANPAMNQENWTPGVWPESGAPSLPGNTPSFLQGDAAATIGWQNLAGAAPIPGMSHTTAMVNAMRGGATPMSGAAQQDAGSSVRPPCNLPAGCTQVPISIVVFHRRGSRPVPTPPHTATPVPVPPGVAQESEDDASIQFARRDFLSLGRESDVAANDMAIGPSTLAVPANCSSEHPPIQLQPNGVDAEAPTTGIQADDSQQSSSASPGISDSHCNLQSAIPANTDELASLLAEGVTDQNMQTYQQTPEKLKTQSQLNDHTVLSAAAAFKEIEEAPARKVKPRRKKHRAKVIREDKKIKKQKSAVTTPEEKSNQKLRKQIKKQKPAVTTPEEESYQKLNKQIKKQKPAVTTPEEGTNQKAKRSYVRKKRNLSSLENCSGPVNDQSVSMGAEDAVRSRAVSVRRRLQLEFGEQGALGDQSSAGNSCLHIEEKLIHAKSSFCSTATVSTAQGAHGLHVDMETSPGGLAFGMSRKMNKLLDEYIHLPEMGTNCTQEVPPATSGCFSREPTTKQYSVQSTHGLDATRIGVTIMEGYNKGLEANDSSKAGFAMHCSATFIPETASTESQTKVSRVEKKKRQQHRESESSLAGSRDSIILRTAAQMLAFCQAGGVKKKRTVRVRRSSYVPIMNIEMNRAQVLPRLSQSCLEALYESPGFNFFTKKRSRRVRRHYRRSDGYFQPPENRKFYHDVYSEATEKSSKNSQYKSTPYMDFLQRVASGLKYLDLNTEPVHTNEMYPSLTTSAVVPFGAIGSLSNSLVPFGSQMIFPYEMPLDLVKKQRPRAKVELDFETTRVWNLLMGKATDVPADGNDVDKERWWQQEREVFQGRANSFVARMQLIQGDRHFSPWKGSVVDSVVGVFLTQNVADHLSSSAFMALAASFPPGPVNSNCKDDIISQDYEEIISTSAVGGISTFDLFDNGARPDLRENFEELSTNNENIHVETKNNSSAYELTKGEDYSFYSKEPNGDFCDQQETEIDPKASQFPDFSIELITSERSSRAMQFQKDMSSSQSVAVSETILQSRLSSSSGKNCSPKDSVGSGGVSASQQLGSNFDGENSLVGNDASTKELECQRIKTTAMNDDSASQHGIPSTSIMYYPFISVDHQQLDMTNESYVLPTSSNSSSGSASLHLKNDRTEKELPLLVPFDNHIAQMNYSKTVISTLNAPKTSTDLPVKLHYDEQTSFEASDFQEHESHSVTGGTVAETANRVDESTVGGPDKAAEAASPKKTRTTRKKNAENFDWDKFRRQACADGHMKERKSERRDSVDWEAVRCADVQRISQAIRERGMNNVLSERIQEFLNRLVRDHGSIDLEWLRDIPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQKTLYELHYHMITFGKVFCTKNKPNCNACPMRSECRHFASAFASARLALPAPQENSLVKSSNQFSFQTGGMPTPYSTVLPQLEGSTQGQDFRTNNSEPIIEEPASPAREECPETLENDIEDYDPDTGEIPLIKLNLQAFAQNLENCIKESNMDLGSDDIAKALVAVSTGSASIPVPKLKNVRRLRTEHYVYEIPDSHSLVQQLGLDQRETGDPSPYLLTIWMQDDINEMIKAPKPCSDSPMEDGFCNNEKCQYCVLERENQSRYVRATILVPCRTATKGSFPLNGTYFQVNEVFADHKSSYDPIYVAREELWKLERRMVYFGTSVPSIFKGLTTEEIQQCFWKGFVCVRGFERETGSPRPLCQHLHVAASKVPRSRNAAAAGRNSDSAKASAP >SECCE6Rv1G0407010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:553268386:553273031:1 gene:SECCE6Rv1G0407010 transcript:SECCE6Rv1G0407010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELAHGAGAPRKRGESWGAVLLLAYQSLGVVYGDVATSPLYVFKSAFAGDDITHTAGNEEIYGVLSFVFWTLTLISLLKYVLLVLRANDGGEGGTFALYSLICRHVRAGLLPGGGTSDDLMADDKDAAADRSRARTVLERYRVLQRLLLFFALLGTCMVIGDGVLTPAVSVSSAVSGLELSMEKAQHKYVGLPVTCAILICLFALQHYGTHRVGFIFAPIVCVWLLCISMIGLYNIIHWNHHVYRALSPYYMYQFLKKTGKGGWMSLGGILLCVTGSEAMYADLGHFSQRSIQIAFISVVYPALVLAYMGQAAYISQHHSFENSYHIGFYVSVPERLRWPVLVIAILASVVGSQAIITGTFSVIKQCSALSCFPGVKIVHTSSTVHGQIYIPEINWILMLLCLAVTIGFNNTKHLANAQGLAVITVMLVTTCLMSLVIVLVWNRSIFIALGFLIFFGSIEVMYFSASLVKFHEGAWVPITLSFIFMVVMSVWHYGTIKKYEFDVQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVEPEERFLVGRIGPKEYRLYRVIVRYGYRDVQQDDLEFEKELINSIAEFIRSGGADQNGLVDGSEKLSSISSGAIPLWEEDGDGEVDGPASPNKEINQQTLTPQRKKARFVLPKSAQVDAEVRSELQDLMDAREAGMSFILGHSHMKAKSGSSFVKRIVINFFYEFLRRNSRGPSYAANIPHASTLEVGMVYQV >SECCE4Rv1G0219440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:31200072:31201690:1 gene:SECCE4Rv1G0219440 transcript:SECCE4Rv1G0219440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGDKSDYESIREARISENMARMEMLGLRRCAGELSAISSAPSPRAGSVTPRKTPKPPRVLTPLRRSGRLIAAATPPGSGSRRSARLNGDSVQHKALPYRGADAEEEEQEEKSPMYIDKERLRVLQETRCDSKARGSVYDPVLGICCHFCRQKKLCGEEGCKRCGEGDFEQQCIGKTECSSCHSSYGILCRACLKVRYGEEMEEVRKNKKWMCPHCVEEKGTRKFWICNSSICLKKRKIAPTGIAIFQAREQGYASVAHLLMDQLKSRGSF >SECCE5Rv1G0315750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:295518386:295535496:-1 gene:SECCE5Rv1G0315750 transcript:SECCE5Rv1G0315750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDRLPRRFVEDGRGYVDARVAEDRRGHHPGIRVVDDRRGHHEIRVVEDRRAYPAVRLIEDRRAYPEIHERALMRVAPRSHPDVLEEEIQLHEVEFRRLMADRHALADERMELHRELQAGKEEVRHLNMIIAEINAKKEAYISELVDKRRKLEAELRSNEPLRDEVVHLRGEIDKLLAVRKELSAKAASLMQELSRERSDKQQLPMLKAEIEGLQLELTHARNACELEQKGNFELVEQRKAMEKSMISMAQEIQQMRAELANFDGRPWGTGGAHGMKLGSPEATFPTQYGDKYNIHAGVPEKGLSHPPESSWGTFDKNRFQYR >SECCE2Rv1G0088190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:239900484:239906386:1 gene:SECCE2Rv1G0088190 transcript:SECCE2Rv1G0088190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLHLSLPPHRLLVGRRRLFAPPTPPPSRIFIRAAASISSAAEDGCDLPFSPERAPHHRELAAAAASVERACRLCVDVKRSLLSGGRKILEKNDQSPVTIADFGVQALISFELQQLFPSIPLVAEEDSTFLKSFNPDDNSGNVLIESISSAVADKVKNSGSPLTHDDVLRAIDRGGKDAVSFDSNPATYWVLDPIDGTKGFLKGDDALYVVGLALVVNGKVAVGVMGCPNWTNGTTANKKEENLDPCPGNGILMVSHVGCGTWSRHLSPEIGQFTTAQDVWKRCFVDACSVVHMARFCIPDSQTWNMVPLSLLFDSTTDESDSRDENKILLRYACCGSLCKYIMVASGRASVFFSRARVNTQIKAWDHAVGMICVQEAGGQISDWSGEPLDLAADLTGRRILYPSGGVLVTNGALHDKLVELMSANHQ >SECCE3Rv1G0204880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:897577578:897578603:1 gene:SECCE3Rv1G0204880 transcript:SECCE3Rv1G0204880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLEEIEKATNNFDQARKLGGGGHGTVYKGIMLDLHVVAIKKSNIVVKREIDEFINEVAILSQINHRNIVKLRGCCLETEVPLLAYEFIPNGTLADHLHTEERESLPWKDRLRITSETGKALAYLHSAMSVTVIHRDIKPSNILLDDALTAIVSDFGASRYIIPMDQTGTTTAVQGTIGYLDPMYYYTGRLTESSDVYSFGVLLVELLTRRKPSAYRSSEGDGLVMQFVALLAEGDLQKILDPQVIEEGGSEVKEVATLAVECLKLRAEYRPTMRQVEMALEALQTPKKRVRDYLTEETYEKEYAATGFPSTSQQAKTNEASRCDIQEDEFLLSAAYPR >SECCE5Rv1G0374950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:865507120:865508354:-1 gene:SECCE5Rv1G0374950 transcript:SECCE5Rv1G0374950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEACMFALQLANSAMLPMALRTAIELGLLETLVGVGGKALSPEDVAVKLPSTNPDAASMVDRMLRLLASYNVVSCVVEEGKDGSLSRRYGATPVCKWLTINEDGASLAPFALLTLDKMLLESWCYMKDVVLDGGNPFIKAFGISAREYTSKDMRFNRLFNEAMTHHSGIVTGKFLELYMGFDGIGTLVDVGGNVGTLMHAIVSKYPSIKGINFDLPHVIAQAPDYPGVQVQHVAGDMFEKVPSGDAIVIKWVLNGLSDQQCATLLKNCYDALPAHGKVVNLQCILPVNPDATHSAQEVIGVDLSMLAYSLGGKERYLRDFEKLAKGAGFTGVKATYIYANFWAIEYTK >SECCE4Rv1G0223360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:69268774:69269224:-1 gene:SECCE4Rv1G0223360 transcript:SECCE4Rv1G0223360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLVLHHWSDEDCVKILSQCRDAIPSHEEGGKVIIIDIVVGPSLGPVMFEAQLLMDMLMLVNTRGRQRTENDWRELFMKAGFSDYKIIKKLGARGVFEVYK >SECCE6Rv1G0418050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:660793098:660793427:1 gene:SECCE6Rv1G0418050 transcript:SECCE6Rv1G0418050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCSSRYLTLAAAVILLLVLMAATEAEAIRLDAEARASLSSSGGNSMSSMQKPIANNVVNVVKGSAGSTSETKRSVHVAAGEVRAVAHKLPEFHEDYYGPSDHSPRHH >SECCE7Rv1G0501800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:688639958:688642729:-1 gene:SECCE7Rv1G0501800 transcript:SECCE7Rv1G0501800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKDPTLGLPGLLKEEVHSPPLSPLSPRSSDDDETEADDSDGEEEEGGAPVGTGGAVVSGPPMKKGPWTSDEDQRLRTYVEAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPNLKKGPFSKEEEQTIIELHAIHGNKWAKMASVLEGRTDNEIKNFWNTRTKRLQKAGLGLYPDGLLSRLANQEMGSHSPDDSRGKKRPNELSQGNGLEFDDIIFEKLDYKKQSDNFLTPNFTIQDSLPMNDINYPLKRHASSGIVSDYGGSPKCEQFSNEIEEASYNDLNSEMLIVSSNNVISNSTPLLDGNFPISGEILQPIKMELPSFQYAGYDTSGYDSSTSLLCHAPTLPEQVHGLGGPTTFEGMFDSELPFSLVYPRIPPSSIFDDNTLEYSSFNELRTYKSPIDSDAVLMDNKHNADILHDTYFSPDPCVTDVARYLNESSTHSEYQPNNGPLNALFYGKNCNDVTLSAKDDGRQIVLSSNDIHSTPHLSGY >SECCE3Rv1G0165190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:170484585:170489590:1 gene:SECCE3Rv1G0165190 transcript:SECCE3Rv1G0165190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGGEAASTSSSTPHSQPRESEATQCRVESVKRHLSRLVVKSLCAVAADFDVEPMLEVSKSGFGDYQCNNAMSLFPRIRESATNLGNPNAVGQAIADNLPPSTIVESTSVVGPGYVNIVLSSDWIAQRIQDMLIHGIESWAPILPVKRVVLDFSSPNIAKEMHVGHIRSTIIGDTLARIFEFANIEVLRRNHVGDWGTQFGMLIQFLFEKFPNGEEAANQAVGDLQGFYRDAKKKFDEDADFKGRAQQAVVRLQRGEDRYLAAWKSICQISRNEFDLVYKRLNVELEEKGESFYNPYIPRVLEELTSKGLITESKGARVIEGRKPPLIVVKRDGGFNYASTDLAALWYRLNVEMAEWIIYVTDVGQQQHFHSFFSAARMAGWLPDQKEEKYPKASHVGFGFVLGADGSRFRTRSSDGVVRLVDLLDEAKSQSLTQLIKRLTENGQIANWTDEELDNTSEAIGYAAVKYADLKNNRLTDYKFSYEQMLSDKGNTAVYLQYAHARICSIIQKSNKDVKELKMTEFITLGHPDERSLGLHLIQFAEVVEQICDDLSPHRLCDYLYTLSERFSQFYTNCQVVGSPEETSRLLLCQATAIVMRQCFHLLGITPVHKL >SECCE7Rv1G0462200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:44011615:44016370:-1 gene:SECCE7Rv1G0462200 transcript:SECCE7Rv1G0462200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGSQSKSGPNLASPNRQNTTNSDILNTPERDTVHGEDPSSHLRNIGQKTKSNKKNNKSNGTSLGKSDDIASHKQQPEDISTDMQNSEEPGPPFSSTKPRRDGKKSSRRGCGKSSSVEQTPLRILTEHVKEKTRHVTSMAASLFRASMMYVMEESKVLVEKNRPAITAFMAMAEKGRAYALGKMEYVYPIARAWMFSAGKLMLLLLTVWLDCNIRGFDSLLRLGTNSLIAVLWCSMLSIFAMIGIKKMLMFMVIAASVVAFIGIGFAVLLVAVLAVVILWLYGSFWTTSTVVIVGGASFLLKHERFALLVTCLYSMYCARSYIGWLGLLFSLNLSFFSTDVLVQFLKKNVDNENGSSRNSDQNSDRSSNFFGDFQQSSKDSSSQSGYTQPSNRGPGDPSTSGAEELTSEDEVARLLNCADHYSAFGFRPYEIIDVSVLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSVKRKTYDDELRREELLNYFRRFQGASQKKGGHGTFQQGFSPSEGVDEGPSALSRRIACKKCGDFHLWIYTGRPKLQGRWCQDCKEFHQAKDGDGWVEQSFQPVLFGMLHKPDLPRAFVCAESNIFDVTEWFSCQGMRCPPNTHKPTFHVNASLAKQGSGKGSTSGPRGGIPNMDAGVDEEFFEWLQNAMQSGMFEAQGEPPSPGSGSHAKSSGGGGGGGGSSSNRKKRKGKKQW >SECCE6Rv1G0419000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:666016834:666020192:1 gene:SECCE6Rv1G0419000 transcript:SECCE6Rv1G0419000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTSIRKYVGALKDSTTVSIAKVNSDYKQLDIAIVKATNHVERPAKEKYIRDIFMHLNSGRARADVAYCIRALARRLSKTRNWAVALKTLIVVHRALREVDPSFRDELISYGRSSGQMLHMSYFKDDSSPDAWDHSAWIRNYALFLEERLESFRVLNYDVELDPLGTRDVDTTGLLAQLPALSQLLFRLISCQPHGSSSYNTIIQHALSMVATESVRIQTAINDGILNLVDKFFDMHRDDAIRALDIYKKALKQAGQLSGFYETCKSIHIGRDEKLLTIEQPPASFLQAMEDYVRDAPLASKNQAVLAIEYNRKPEEEKASTPPPPQESTSDKEPEPEPVTKVVPRVEQIDLLGMDEPIPDTSELDQKNALALAIIEPDNAPKAAAGSENVATSWELALVTAPSSNENVATSRNLAGGLDLLTLDSLYDDAHRHVQQNASYNPWEQPAPASSAPMTMMQQQYQQQQQQQQQPMQNPLYASAQQQQAFMLQQQQAFVLQQQHQYQQQYQQMMMMGAPSLHRQASSNPSLHRQASSNPSLHRQASSNPSLHRQASSNPFDRSYVPPSGGGYPYAPAMQLHTGNAYTGTGMM >SECCE6Rv1G0422260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689234784:689235531:1 gene:SECCE6Rv1G0422260 transcript:SECCE6Rv1G0422260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRLALNFKGLSYEYQEEDLANKSGLLLASNPVNKKVPVLIHNDVPICESLAILEYIDEVYHGIGPSLLPADPYQRARARFWAAYIDNKLVAPWWKMFVGKTEMEKDEGTKQTLAAVDMLEGALRECSKGKPFFGGDNVGYVDVVLGGMVAWMQGTKALCGVELLHATKTPLLLAWMERFGEMEPAKVVLPKVDRLVEFAKMKRAQRALI >SECCE4Rv1G0284870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841232448:841232972:1 gene:SECCE4Rv1G0284870 transcript:SECCE4Rv1G0284870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPHATETEIEEEISTLEASISSSTTIDTMCEGLRRLGDIYNGVEEIICLPSSQVCAYQQRTVLDGEMDGSLELLDLCGSMQEIFAEMKAVIQELQVALRKGDDAAAQARIQSYTRLAKKAKNHFKMTAKKTSVDCRMVMLLAKAREISVSTGVHNPSLVEAN >SECCE6Rv1G0385340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:75309716:75311497:-1 gene:SECCE6Rv1G0385340 transcript:SECCE6Rv1G0385340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP17-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19830) UniProtKB/Swiss-Prot;Acc:O81864] MVITTASAAAGAVPPPPAKTKPLTLATAPPLTATRRHLLLASAASALPTAAASAAASRFTEIPSSGGVKALELREGSGEFPVDGDQVAIHYYGRLAAKQGWRFDSTYDHKDATGDPIPFVFTIGSGKVIAGIEAAVKSMRVGGLRRVVIPPTQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTVIFDIELISIRQHT >SECCE4Rv1G0228680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:137867560:137870530:-1 gene:SECCE4Rv1G0228680 transcript:SECCE4Rv1G0228680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSFGSLSRRGFDIRILGHGRGRSHGAVHELHDPVPVIQSSCWANLPSELLRDVIERLEASEATWPCRKNVVACASVCRTWREMCKEIVKNPEFCGKITFPVSLKQPGPRDGTMQCFIKRDRSTQTYYLYLCLTSAVLVENGKFLLSAKRICRATCTEYIISMNASSISRSTDTYIGKMRSNFLGTKFIMYDTQPPYNASSVSHSGTTSRRFYSKKGSTKVPCSTYSIAQVSYELNVLGTRGPRRMRCVMHSIPASSLEAGGSVPCQPDIIFSRSLDESFSSMPVSKSSVMDHSMRFSSARLSDVSTGGGPAIRGQALSDGDDSKDTPLILRNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLTAAAQPAAGAPTPPHPAPAPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFALSLSSFDTKLACE >SECCE5Rv1G0307980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122755873:122758546:-1 gene:SECCE5Rv1G0307980 transcript:SECCE5Rv1G0307980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRSEGDELIGDYVDCLMSLDTNARSVQSDNLILGDPVIEAGVAASVGGITEQDAMKDFVPPEDPKEPLLGMTFESDEAAKTFYNEYARHLGFPFRVGRSRRSKGAEEVVVMRRFVCSREGMYRKKNPSSDEATKKRERMSMREGCNAMMEVVRELNHWVVSKLETAHNHNLGTCSRVGYLRDRGLLDASNKITMMGSDGVPFPRQNILGEGGDAQGLLDYLKKMQANDPAFFHAIQVDKKSCLMNVFWADARAKLAYQHFGDAVTFDTTYKKNKYMMPFVTFSGVNHHLQRVIFGCALLMEDTECSFVWLFETWLAAMSGKAPCSLVTDQNRAMKAAIGRVFPHTCHRFCKWHILSRTKQKLAHTYSEHPTLIDELESCVIESETINTFETAWMSIIDTYDLRNNTWLQAMYNIRQKWVPLYLMDTFCAEISKAQKLETMNDFYKKYFSTKVTLEVFLTQFDLSIENHYEEEAKADMDTSLNLVMTKTASPMEKQAARTYTKAVFSRFQEEFTESLAYIIQKTKDGCISEYNIMKDENSSDTFCVTYNASNKMAKCSCKYFEFSGILCRHILGVFIIVDPHLLPPDYYLKRWTRKARDSGLLEYNCDNHQDDACQSITSRYDVLCADAIGCAEKGSGSETVYKAAKDILQKAYEEIIVYERNPARVSQRDAININEDVMVDDSMTDQSLPDSRRKVTNLLGQFLVSSWSP >SECCE1Rv1G0000030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:393908:395502:-1 gene:SECCE1Rv1G0000030 transcript:SECCE1Rv1G0000030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQARHGVLPAGRQQHLHGHAHHQPPAAGEDEWWEYFPCPFCYIEVEVPFLCHHLQEEHCFHMKNAVCPICADTLGADTAAHFREQHSQQLKMRKSSSSWAGAAAAAADDGKEAYEEDDTYFQESSYIMGRPVPDGHSPDPLLSQFICTVAPPVADPEPGKAEEEDHAAPSSDDHRVNQVVMDDASRQDLEERLRRVEFVKQMLMTTIAWD >SECCE3Rv1G0186650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:688530965:688532044:1 gene:SECCE3Rv1G0186650 transcript:SECCE3Rv1G0186650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >SECCE5Rv1G0352540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:710595764:710596444:-1 gene:SECCE5Rv1G0352540 transcript:SECCE5Rv1G0352540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSKCRVGCRLYAQNQAESGSQGRVFDAAVTVAPSEDERLAHGGCRAVVDEEQDTKVKISEALEAAARAVGDDPVERSDAAAIHAAEARAVGTGVDIPAGIAAQAQAAADANATAAHAEDKITIGDVLKWNATAKLPSGKAVTTEDAAAAVEAEGAAADAPGKATKPYGVGAALTEAARRNQEGTCRK >SECCE4Rv1G0244080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:509474031:509476847:-1 gene:SECCE4Rv1G0244080 transcript:SECCE4Rv1G0244080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRFLVLSLLVLASYSSGSTTSLATNATADGGSLDSLDAGSVTKFSFSNFHPESRGKNLTVVGDADITKGALQITPDTLNEAAHFLTNKSGRILYSAPVRLWRSEKGGKGNASAGKKVASFRTVFTVNVFRVSSADPAEGFAFLIAPSAGEPPAGSYGGYLGLTNATTDGNAANQVVAVELDTEKQPYDPDDNHVGLNVNSVVSVANASLTPRGIEISPVKTAKYNVWIDYDGSARHITVYMADIKDAKPASPVLDAPLDLGATVAEKSYFGFAASTGTKYQLNCVLAWNMTVEKLDEPNKGLKLGLAVGVPVAVLALGAAALGYYMCVVKRRKVRRDKGSAITGTMIRSLAGGPREFDYRELRKATNNFDERMKLGQGGYGVVYRGTVTDDHSNPTAAGTTLEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLVGWSHDNGELLLVYEYMSNGSLDQHLFSSAPGSRPGQPLVWELRYSIVQGVASALHYLHDQFDQRVVHRDLKASNIMLDAAFTARLGDFGLARAIETDKTSYMEEAGGGVHGTVGYIAPECFHTEKATRESDVYAFGAVILEVVCGRRPRCDIDGFHFLVDWVWRLHRDGRALEAVDPSLDGAFDEDDAERLLMLGLACSHPTPAERPKAQAISQILLRSMPTPAVPPFKPSFVWPATDGGFDTMSTTAGSTASTVVTSTSTWSGNFARGSQNHAPAPEQDTSGSLV >SECCE2Rv1G0106490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:636692127:636693773:-1 gene:SECCE2Rv1G0106490 transcript:SECCE2Rv1G0106490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGYEVLLGCLVVAVIIGFVVIYCHIRRRTRKIKSSKRDIEVGTASVEYEEVTCKQMSVKEIYTATENLHLSNIIGQGIAGKVYKGMLANGWPVAVKHIIKNEHAETFVREVTSLSHVKHPNLVSLRGYCDGQEECFLVYELCVNGNLSEWLFGKDKSLSWTQRLQIALGSACGLWFLHIYPEGCIVHRDIKPTNILLGVDMEPKLADFGLSRVIDIGVSHVSSEVRGTFGYVDPEYRHNHRVNAAGDVYSFGMVLLQLLSGERAINIMNTAKPMSLDKMASMLIKEGNVLEFADPRLKGEYSVDAFDLCLKLALSCTGHKQQRPSMEQVVSRLEKALEVSMRDDDKRNNISMVESFA >SECCE3Rv1G0144790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5416631:5418420:1 gene:SECCE3Rv1G0144790 transcript:SECCE3Rv1G0144790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WALLS ARE THIN 1 [Source:Projected from Arabidopsis thaliana (AT1G75500) UniProtKB/Swiss-Prot;Acc:Q94AP3] MADAVDARRVCGMPEKAQLHVAMLALQFGYAGFHVVSRLALNMGISKLVFPVYRNIIALFLLVPFAYFLEKKDRPKLTLGFAVQFFFLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFGMAAALRIEKVRLDRRDGVAKVLGTLACVAGASVITLYQGPTIFAPTAAGDDKPLHVSFLAAVAGEGKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLIIAAFFERDAGAWVFHSGSEVFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGEKFYLGGIIGAALIITGLYLVLWGKSEERSRNGKEAAVMAAASSGAGDREVRGAKVASSITQPLLPPSSATSDNV >SECCE3Rv1G0203580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:884176326:884178464:-1 gene:SECCE3Rv1G0203580 transcript:SECCE3Rv1G0203580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and TAZ domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) UniProtKB/Swiss-Prot;Acc:Q9SYL0] MAPLESGSSQLFFDGGAIDGPFDVYECSTLAGTKGIVPDRSWCSQPPCANGRPDPPPLPGTSYGKQRSSRNAKEARRVRVPEEVQDCWNRLFLDGYQADVRVSTDDGSEILSHSCILGIRSPVLRRMLEEARVEHGFRNILISGVPSEAARVFIRFLYSSRFEQGEMKKYALHLLLLSHAFSVQSLKIVCTDQLERFFLAPDNVVDVLQLARLCDAPRLSLICTRMIVGDFKTISLSEGWKVMRRANPSLEQELLEFLVEVDTRRQERAKSMEEKKVYLQLYEAMEALVHICRDGCRTIGPWDQKLKGSEVVCKFPACKGIELLVRHFSACKLRVPRGCANCKRMWQLLELHSRMCSTPDTCRVPLCRHFKDKMRHRSKKEEIKWVLLVCKVLRSKGTVDSILKARKKFTPQANWSLTCSSTVIT >SECCE2Rv1G0135300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:906056245:906059346:1 gene:SECCE2Rv1G0135300 transcript:SECCE2Rv1G0135300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPLSLLPLLLATAFLSPSPSIATDKLDQTASIAGNQTLESAGGVFRLGFFVPPGSSDGRAYLGIWYAGIPEQTVVWVANRRNPVVRPPAVLTLSADGRLVILDGRNATVWSSDNAAGSGGVATRATAQLLDNGDLVVTHGGESQGGSTGRTSVAWESFDYPTDTLLPGMKLGVDGRSGISRNITSWRSAADPSPGAYTFKLVSGGLPEFFLFRGLSKTYASGPWNGAALTGVPNLKSRDFIFTVLSNPDETYYTYYVSDPSVLSRFVLNGTTGQVQRFSWHRGGGGGGWSGFWHFPLDPCDSYARCGAFGYCDVGQSPLCSCLPGFQPRSPQRWSLGDGSGGCVRTTNLNCGAGDGFWRVSRMKLPEATSATVHAGVTLDQCKQMCLGNCSCRAYAAADVSGGINRGCVVWAVDLIDMRQYPEVVQDVYIRLAQSDVDALTAAANRRSHVVLVIAVVAAISGVLLLGAFAFGCLCFWRKKATPDVLPLRAKKHPRDDRRFSDENKMSGEEDDLDLRVFDLAVILAATDNFAADSKIGQGGFGPVYLGRLEDGQEVAVKRLSRKSAQGVEEFKNEVKLIAKLQHRNLVRLLGCCIDGDERMLVYEFMHNNSLDTFIFDEQKRKSLRWSTRFEIIAGIARGLLYLHEDSRLRIIHRDMKASNVLLDRNMIPKISDFGIARMFGGDQTTAYTLKVIGTYGYMSPEYAMDGVFSMKSDIYSFGVMVIEIVTGKKNRGFYDVELDLNLLGYAWTLWKEGRSTELLDEAMMGSSCDHSQVRRCIQVALLCVDMHPRNRPLMSSVVMMLATENDTLPEPNEPGGNIGRSTPDGELSQTQSELTVTVTDTR >SECCE7Rv1G0511370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803071827:803072111:-1 gene:SECCE7Rv1G0511370 transcript:SECCE7Rv1G0511370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATKKGASLVVATSMAAVEALKDQAGLCRWDYALRSLYHRAVVTGRRAVPASLTSQATSSSAAAVGRAARPRRSEEEKLHKAYHIVCWGPN >SECCE5Rv1G0361890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:781355597:781356244:-1 gene:SECCE5Rv1G0361890 transcript:SECCE5Rv1G0361890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWFFGGHGGFYIPSYDGSQHRPAGPHRPLLYGSVPYEEQEQIRRMEEGVRLRQRPPPNPTVWKYFKIFARCFMAAMSIAMMGWIFMARYFSWNPDVQDPYKMMALLLCSLMPVGFGFMITEEDQGEVLHS >SECCE5Rv1G0341870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:628078075:628086377:1 gene:SECCE5Rv1G0341870 transcript:SECCE5Rv1G0341870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTGSPSPSVAALPTSGRLLPVRTPRSAPPPGTNYESTAAAVEEPVSPTARLMEDIYIVVTVGLGCPVNLPVCSAGIAAQLARYPRFCSIQVTDESKNGNPRWVRTEVNVDDHMIVPTLDPVAVAADPDRAVEDYMASLPALAMDRSRPLWEFHFLNFPTSEATSTVVIRVHHSMGDGMSLITLLLASARSAADPTRLPAMPEQPTRTGAIYQPRRRQPLPAGVLAAFFAWVWPYLVLAWNTVVDVIFFAATILFLRDPHTLFRRADHDLAFTSPRRFVHRSLSLDDVKFIKNAMNCTVNDVLVGATSAALSRYYFRKSGCSKTSQLCLRSALFVNTRPTASLQTYVNMIQSGKSNDVAWGNQLGYILLPFHLAMHDDPLAYVRKAKKTVDRKKSSLEVIFTCKMSELFLKMLGLKAGAFIFQRMFTNTTITFSNMVGPTEQVEFYGHPAIFIAPSVYGIPQALIVHYQSYNNTLKVILSVNEEVFPDYTQLLDDFVESFGHIKDAASRISTTIKKE >SECCE5Rv1G0371460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:847291174:847294233:1 gene:SECCE5Rv1G0371460 transcript:SECCE5Rv1G0371460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASLYRRVLPTPPAVEFASPEGRRLFAEALEGGTMEGFFSLVSHFQTQSEPAFCGLASIAVVLNALAIDPGRRWKGPWRWFDESMLDCCEPLHVVRSQGITFGKVVCLARCAGARVQCFRADHATVHDLRAHLARCASSHDCHLIASYHRGHFKQTGGGHFSPIGGYHAGRDMALILDVARFKYPPHWVPLTLLWEAMNATDKATGFPRGFMLVSRHSSAPSLLYTVSCGDESWKSMAKYCVEDAPNLLKDESPDNVTTLLSRLVGSLPANAGDLIKCVVEVRRKDEGGSCLSKEEKERLVLKEKVLQQVRNTELFRIVQELQYPKRLCQAHTNGDGPKTVISGTVVSKVNEHSNGVDLLLPATPSEKSLCNPNSTNGAVKYPSSTDVLTVLLLVLHPSTWFGIRDERVKAEFQSLVSTENLPDLLKREILHLRRQLHYLTGCEGEEACQEPMPLSP >SECCE5Rv1G0360650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:773565087:773565587:-1 gene:SECCE5Rv1G0360650 transcript:SECCE5Rv1G0360650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLAWEEHMAAAIADGDEAKMARLREICGRYRDAEEMHQESHLVVKEASEEEQGWISGKAPLEILYCAMMEAESNDPVRGARWYRYASHDWVSSHPNSDDVRVLTMITRSPPRCPAMPVVTDDDVRVVPRKNVARVVSQLPRRSPRFPRRSARIQALAQSATNE >SECCE6Rv1G0398180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:414643719:414648016:1 gene:SECCE6Rv1G0398180 transcript:SECCE6Rv1G0398180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALARASLLRSAVRRLRAFSAAAAEGAAPRRDARAADAATVAAALAVGSGLGIWMLPPSPQPLADSGQGDFAVAEAGFGDVGAAEEPEEEKRRFLFRDSYRRRVFFNYEKRIRTRSPPEKIFEYFSSIRNPEGEVYMLPADLMRAIVPVFPPSESNVVREGRLRGERNPGELQCAPSEFFMLFDTNGDGLISFAEYIFFVTLLSIPESSFNIAFKMFDLDHNGEIDKEEFKKVMALMRSYNRQGSAHRDGLRIGLKVGQPVEDGGLLEFFFGKDGNDHLHYEKFSDFLKQLHDEIVRLEFSHYDVKSSKTISAKDFALSMVASADMNHINKLLDRVDDFDESPDVKDLRITFEEFKAFADLRRRLEPFAMAIFSYGKVNGLLTKQDLKRAATHVCGVDLTDKVVDVIFHVFDANCDGNLSSEEFLRALQRRESNIRQPTTPGLMGVFSCWLNCTKCSFQQMLLQ >SECCEUnv1G0567490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:415886659:415886892:-1 gene:SECCEUnv1G0567490 transcript:SECCEUnv1G0567490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE1Rv1G0006900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:36977131:36977968:-1 gene:SECCE1Rv1G0006900 transcript:SECCE1Rv1G0006900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDAVLVCTVLGFLGSVAVTLGFVANNYSSKSVEYDGTNCVYRSPPATGLGILGVFLVFINQVIVAAYASGWCLCLCCCCPCWTKRRRLAPPPSKRLRLGIILSIFSWVLAIVAMAMFIVGVTESSREEAPISKTPTSYACKEPGTIGSFPTASLSSLIAVVLGIASYVLLETAAPSHTPPDGLFRLEVAGGASSTAQQQSQLETTGPTAGADKEAEHISLATAV >SECCE5Rv1G0349840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:686886776:686889093:-1 gene:SECCE5Rv1G0349840 transcript:SECCE5Rv1G0349840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPDAAPPAPTPQQQPKRDEWSESGISRLLEAYEAKWLLRNRAKLKWSDWVDIAHDVSAHCSSSESVASKPGAAAGGTAKTPNQCKNKVESMKKRYRAESAAATRAGVAGAASASGGPSWRFFGRMDGLLKGPAAGCSGQLAQAQAEQSYDGMVLPRAPPKAEPEVDAEADTALQQPPDTAGPPGALSELLNADANGSATVKAEKAVDLATHKESSRAADSDAANVSSPRSKEVEVEANDDGAEEVDTPRKRKSSELDVARSIELLASSFLKIEQARLEVYRDTERMRAEAEVKKGEMELRRTEIMAKTQLQIARLFAKRLKECVGTNGGSSSRVDALAKKGENGSG >SECCE7Rv1G0523400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882014794:882016497:-1 gene:SECCE7Rv1G0523400 transcript:SECCE7Rv1G0523400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGVWFVRQIPTSISISEKEARNPLFDSDSPTPVVAFLSVTSKVAASASATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLPLCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIIKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNPILAIAQDTLF >SECCE7Rv1G0522270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876665334:876667900:1 gene:SECCE7Rv1G0522270 transcript:SECCE7Rv1G0522270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRKTPSISNYFKPIASNSTAENANATVEVDVTEQPTDHNILNLSSVDVPPLEQNIEASVEVEVLEQQRIGSTPFERDPGKRKQIWELPLDKQNEARQFYISEGRYMPYMREYPYNDDPPKHRRRFQYSWFKGFEWLEYSPYTKRAYCFHCFLFSRKPIGKCGSETFTVLGFDRWKKVNNGKECAFLTHMGKDAGSAHNFSVRCYDNFKNSMTHIDKVFGKSSAKTILDARLRLKVTIDSIRWLTFQACAFRGHDESPGSINRGNFLELVKLLASYNKEVKDVVLENAPGNAKYTSHQVQEEILGILSRKVQRTIREEIGDSKFCIMVDEARDESKKEQMALVLRFPDTEGFIQERFVDVIHVNDTLALTLKETICTVLADNNLNVEDIRGQAYDGASNMRGEWNGLKALILKACPYAYYIHCMAHQLQLALVAASREVHEVHNFFQHANFVINVVSASPKRNDELLANQAAQIAREIELGDLDTGKGANQIGSLQRPADTRWSSHFKSIRSLRKMFGATIIVLRSIANDRSVTQYSRGDVGGALRIIVSFDFVFILHLMERIKKITDVLCQTLQKKSMDILNALDTLSNTKVLLADLREEGWEPLIEEVKTFCVKHEVEIPDMSRKYVDVTKSRNKHNNITVLHHYKADVFNVAIDQQLVELNDRFSVQTTELMTLCASLDPRHDSFDISKICRLVEKFYPADFSNQEQARLESQLPHYQLDVCNHPELISSSSLADLTVALHKTGKASVYPMVDRLLRLVITLPVSTATAERAFSAMKIIFDLTWLYTLGRK >SECCE5Rv1G0305060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:65805455:65809194:-1 gene:SECCE5Rv1G0305060 transcript:SECCE5Rv1G0305060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPCDVALNAALGGKIRLLKRMAKEMDLRRAKDAEGHTTLHFAAAKGFLDSCKFLVEESGLDVDSATKTGVTPMFYAAIGGNVEVMRYLLDSGADPAMPDERGSAPLHIAAEIGHCEAVRLLLSKGVHVDPMDHRGTPLHLAVAKDHVEVVKVLLEHGADPNRVANHVFSPLMMAVCGKALKCMKLLIEAGADVNVHGYSGPTPLTEAVDDGLMDFVMILLEAGADANIPNQHGTVPIELAAARGQRELVEILFPKTKPIPSQPVWSVNGILNTARSPRIEHQDASSVEQRIADLKSRGKEAFGKEDYLTAMYFYGLVMDIDPLDATMFANRSLCWLRMREGERALEDARQCIMMRPRWSKAWYREGKALSFMKDYEGASAAFLKAQELDLESDEITEALSEAVNAMEELRV >SECCE3Rv1G0186720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:689614016:689620758:1 gene:SECCE3Rv1G0186720 transcript:SECCE3Rv1G0186720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPA [Source:Projected from Arabidopsis thaliana (AT5G02470) UniProtKB/TrEMBL;Acc:A0A178ULD9] MAPPRGGAAAAAPAALDLASVHILEASSVPPLPERGGNGVQRKEPVDPDKDRKKEKAAAPRITGWGLREYSKIVCEKVEAKGRTTYNEVADEIYSELKSMAHIGQGFDEKNIRRRVYDAFNVLIALRVIAKEKKEIRWMGLSNYRYEKIKKLEEVRKELVNKIRNKKALLQEIEKQFDDLQNIKLRNQTLESSAENVNGIRLPFVLVKTSRKARVEIEISDDSKFAHFEFNGAPFTLHDDLSILEGIRRNSIGRAGRATLH >SECCE7Rv1G0523920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884149930:884151582:-1 gene:SECCE7Rv1G0523920 transcript:SECCE7Rv1G0523920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILVVSFVFRNKRHRQPERPRLPPGPAKLPFIGNIHQMIWNKPAIFRWIHRLLKEMDTDIMCLRLGATHVIVVTCPEMASEVLRKKDEVLASRPITFAAGLFSFGYRGTILSPNGDQWKKMRRILNSEILTPSMEQKLHHLRQEECDHLVTYINNTCMACPSNLVDVGHVAKHFCGNMIRRLVLGKRYFRQPATSSTGGPGHDEVAHVASLFTLLNYVYSFCVSDYFPALIGLDLEGHEKVSKDVMAILNRLHDPVIKERILQRSTAPEEGGKKKDAQDFLDVLVYLEDVDGQPLLSIDEIRAQVLEMMYATIDNPSNAVQWALAEMVNKPEVMQRAIDELNSVVGKDRLVQESDIPQLNYLKSCIREAFRIHPYHAFMPPHVAMAETTISGYTIPKDSHVLISRVGLGRNHKVWNEPLEFRPERHLNTANVVLSEQGLRFISFSSGRRGCPGISLGTSVTMMLFARMVQGFIWTKPMGVDRISLRESNTGAPALAEPLILQAKPRLAMHLYEPIKQI >SECCE6Rv1G0418290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:661986677:661987153:1 gene:SECCE6Rv1G0418290 transcript:SECCE6Rv1G0418290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATASRPSGPVLSIPSYRSASPTRVKLPAGSGAARSPGKSVSVSSLKQVPTASRSRRSSCMCSPTNHPGSFRCSHHKERKQPAPVDSTKPASPPSTSKSTGGALARLVPMGSGHWARRALAPSPAAQQSLQHRRRAGGLRRRPSRLSAVSMAGDSQ >SECCE5Rv1G0322710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:423360484:423365286:-1 gene:SECCE5Rv1G0322710 transcript:SECCE5Rv1G0322710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G29170) UniProtKB/Swiss-Prot;Acc:Q8GYD2] MSKKRGLSLEEKREQMLQIFYESQDFYLLKELEKMGPKKGVISQSVKDVVQSLVDDDLVLRDKIGTSVYFWSLPSCAGNQLRTTYNKLESDLSNSKKRYMELLEQRDDLKRGREDTDEREDALEELKAVELRHKKLKEELAAYADSDPSALEAMKDATEVAHSAANRWTDNIFTLQQWCSTTFPQAKEQLEHMYREVGITEDFEYLQ >SECCE5Rv1G0361180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:777992131:777993312:1 gene:SECCE5Rv1G0361180 transcript:SECCE5Rv1G0361180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAALMDERAEHQFLRLSPELVEEVLFRLPPDEPACLVRASAVCKPWRLTLADSGFRRRYRQFHGAPPVLGFFQKDVSFFPISALPHAQPNDPRWVPLDCRHGRALFAFAPSLSAFAPAHRNIVEGGTVVLIVWDPLTGHGRRLPTRATPVDGGQLRFKFSAAVFCAAQGCDHHGCQEGHFRVVIVTTNQQKKVTSGWIYSSETRVWSEFTSLHHPNVEYSYTLAVPSVIVGDALYFNNGGIVECQLATLRLSMFDKPINGNGRLMTAEDGRLGFAAVVDVTNLTLWSMQAGPEGAMGWAKLRAIDLKSLLPDRALPIPAGEFGVRGWGYALLVSGIAEGTQVIFVRTKVGSYMVDLKSGQVRKVTRLGRKIFPYMSFYIPGTITYLHIYK >SECCE4Rv1G0272330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:768077333:768081958:1 gene:SECCE4Rv1G0272330 transcript:SECCE4Rv1G0272330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEGVAGDGSKTAGQSSAEETRAADALALRGRPGGELGAPRSVRDVGMSSTSFPLLTRTNYPSWSLLMKVIMEARHMWKAVDTGDVEYEEDRLAMEAILRSVPPEMVLTLGAKKTAKEAWDTIKTLRIGVERVRESKAQTLRLQYEEIRFKSGEQVDDFALRLQGLVNELATLGDPIDNKMVILKFLRVVPRQYKQLAWSIESLVDLSTMTIEELVGRLKVVEERGDEADNRASGELLLTREQWDTQLQQRGRDGSSGSGGGAPTGSRDGFPTTACACGYVFLNEERAVTTPTLAGGKQSEGWFLDTGATNHMTGSVDAFAELDRSITGKVRFADGSVVEIHGRGTVVFADKGGDHRAFTDVYFIPALKSSVVSVGQLDEGWFDIGIRRGVLTVRDQHKRLLIEVTRSSNRLYKFFFRPVHPVCLAVGHVSDAWRWHARLGHQHFDGLRKMARGNLVRGLPHIEHADELCDASTPGGRRYILLLVDDYSRFMWVLLLASKDEAEQAIIKQQAAAEVECGHKLRVLRTDRGGEFTSATFYKHCDEKGVQRHLTAPYSPQQNGVVERRNQTVLGMARCMLKAKQVPSTYWGEAVLTAVFILNRSFTRSVDGKTPYEAWYGRKPDVRFLRTFGCVGHVKSTRPQLKKLDDRSTPMVFMGYETGSKAYKMYDPVSKRVHVSRDVIFDEDARWTWEASGEAPTSSSFTVEYPMYSTTPGRPGGVDPGTATPRTPSLDMVSPDTPASSKVVPRRLFATPPTARSELFDADDSVGAPHRFRRMGDLLGEAAATPQQPEDEDVDDDEVLHLMAGEEPTTFAEAEQEDCWRHAMLDELASINDNATWTLTTLPAGHRAIGLKWALYGLRQAPRAWNAKLDASLASLGFSRSASEHGVYSRGSANTLLIIGVYVDDLVIAGAEPEEVQRFKGEMHRLFSMSDLGLLRYYLGLEVNQEGGRITITQMAYATKMLERAGMKDCHAVHAPMEARLKLSKDSSEKAVDATLYRSIIGSLRYLVHTRPDITFVVGYLSRFMEAPASDHLAAVKHLLRYIAGTLTHGCVYRRGDGESLVGYSDSDHAGDVDSRKSTSGIWLARLLGEMLNQDTAPALIFIDNKSAISLCKNPVLHDRSKHIDLRYHFIRDCVEKGTVIVEFIRTGEQKADILTKSLGRVRFQELREKVGIIDIKLLRQG >SECCE3Rv1G0188750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:718201644:718202171:-1 gene:SECCE3Rv1G0188750 transcript:SECCE3Rv1G0188750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGPDSETAPEWRGTVRAAAAGPTPEQAWALLGDFCSLDKWVSLVQTCRRLEGDDGRPGCVRYCAGPVNMAAPGEAVGWSKERLLEVDAAGRSYSYEVVETNKGFGRYRATIGVEPDPAGCAVRWSFEADPVEGWTLEGFVGFLEKLAHGVAKRLEEEIMANVDGDPAALRLF >SECCE3Rv1G0212020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954197784:954198098:1 gene:SECCE3Rv1G0212020 transcript:SECCE3Rv1G0212020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVRRLASQRAVVIFGMSNCCMCHVVKTLFTEMGVSWTVHELDKDPRGKDVERALAGMVGRSPPVPAVFIGGALVGPTDKVMALHLGGQLVQLLRQAGALWL >SECCE4Rv1G0287980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:857508948:857509577:1 gene:SECCE4Rv1G0287980 transcript:SECCE4Rv1G0287980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGVLLPPLLPTPPRSEMLPLLPTPHGVVLTMLVSAMHGRADFVQRWDWNKTGKKPCSSVCSSSSSSSESSESAGRADSVDRWDSNKKYRKPRTTMSSSSSSSSSYSARSPGRADSVERWDSKKLATSSPPTGRGRHDGNNKRPGRASSAERWDLHKKPRPEHMGKLPETNATATAPQMAVFAGPSFYASPDPSMLPMPSFFLRAH >SECCE2Rv1G0064430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:3966764:3969950:1 gene:SECCE2Rv1G0064430 transcript:SECCE2Rv1G0064430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGKDIYDVLAAVVPLYVAMFMAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISTNDPYAMDYRFLAADSLQKLVILSALAVWHNVLSRYRCRGGTEAGEASSLDWTITLFSLATLPNTLVMGIPLLRAMYGDFSGSLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALHADAEVGRDGRVHVVIRRSASGSTTGGHGRSGIYRGASNAMTPRASNLTGVEIYSLQTSREPTPRQSSFNQSDFYSMFNGSKLASPKGQPPVAGTPGARGQGLDEQVANKFKGGEAAAPYPAPNPGMMMPAPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFAAAPPPTSATPRDGATPRGVSGTVTPVMKKDAGSGAVEVEIEDGMMKSPATGLGAKFPVSGSPYVAPRKKGADVPGLEEAAHPMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRWNIQMPTIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKSVATFAMAVRFLTGPAVIAATSIAVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLVALPITILYYVLLGI >SECCE5Rv1G0352150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:707147808:707150734:-1 gene:SECCE5Rv1G0352150 transcript:SECCE5Rv1G0352150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-transporting P1B-ATPase, Root-to-shoot cadmium (Cd) translocatio [Source: Projected from Oryza sativa (Os07g0232900)] MMGGGESYAALEDSLLAEEAPARTQWEKTYLDVLGVCCSAEVALVERLLAPLDGVRAVSVVVPSRTVVVEHDPAAVSQSRIVKVLNGAGLEASVRAYGSSGIIGRWPSPYIIACGALLLASSFRWLLPPLQWLALGAACAGAPPMLLRGIAAASRLTLDINILMLIAVVGAVALKDYTEAGVIVFLFTTAEWLETLACTKASAGMSSLMSMIPPKAVLAETGEVVNVRDIGVGAVIAVRAGEMVPVDGVVVDGQSEVDERSLTGESYPVPKQPQSEVWAGTLNLDGYIAVRTMALAENSTVAKMERLVEEAQQSKSKTQRLIDSCAKYYTPAVVVLGAGVALLPPLLGARDAERWFRLALVLLVSACPCALVLSTPVATFCALLTAARMGVLVKGGDVLESLGEIKAVAFDKTGTITRGEFTVDMFDVVGSDTKVQMSHLLYWISSIESKSSHPMAAALVEYAQSKSIEPKPECVAEFHILPGEGIYGEIDGKRIYVGNKRVLARGSSSCQTVPERMDGLKGVSIGYVICDGDLVGVFSLSDDCRTGAAEAIRELASMGISSVLLTGDSAEAAVHAQEQLGGALEELHSELFPEDKVRLVGALKARAGPTMMVGDGMNDAPALAMADVGVSMGISGSAAAMETSHATLMSSDILRVPEAVRLGRRARRTIAVNMVSSVAAKVAVLALALAWRPVLWAAVLADVGTCLLVVLNSMLLLGEGRGGRGKEEACRATARSLEMRRSQLAAVSPDAAATKGIGKTGGDAPKGCHCCHMPSKSPEHSVAIDVRADEQREAPAAATCAPAEKVQVAGLSVNAFVVPPQAACR >SECCE3Rv1G0202050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:869157679:869161879:1 gene:SECCE3Rv1G0202050 transcript:SECCE3Rv1G0202050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAQPPPPQRAMMLADLNVDPPESDGEDHPPTPKPNPAIAAAAVAAAAAAPVVAVDSSTRSCNDEGSLAKSTTTAKEPDTVECEDVEQHCPGASVSREEKVSNLKAALVNVARKMPKNAHAHFMLGLMYQRLGQPQKAIIAYDKSSEILLQDEQEVRRPDLLSSVRIHHAQCILQASMGDSFDEELDASELSEILVKLKSSVELDPRQAAVWNILGLVLLRGGQLQSAISVFSTLTTVAPDYLDSLANLGVAYIQSGDLELSTKCFQELVLKDQSHPAALMNYGALLLCKYGSLAAGVSGTVSAGSYLHQKEALVAAKECLLAAVRSDPKAASVWVNLANAYHMAGEHRNSKRCLEQAAKFEPNHMPARYAIAVHRIRDAVRSQCSDDQLIWAANEMATVLKEGDTSVVDLPVAWAGLAMAHRAQHEIAAAYDGEQASLNEAEERALYPLKQAIQEDPDDAVHWHQLGLYNMCTTQFSRSVNFLKAAIARSPECCYAWSNLGIALQLSNDPSSETVYKRALVLSSSQQSYVILSNIGILYRQHRLYELARKMLSRSLEICPGYAPANNNLGLVFVAEGRWEDAVSCFEKAVKSDDLLDAAKSNLAKALALAKKQ >SECCE3Rv1G0183770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:655010560:655013007:-1 gene:SECCE3Rv1G0183770 transcript:SECCE3Rv1G0183770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLFYPALLLSLLSTLLCSCASPSPWQSMISTGTSLQVDREKVLLVSPNTTFSCGFYPSGNGTNAFYFSIWFTHATDETVVWTANPRSPVNGQGSRISLNREGNLVLTDVNDTTAWESKTGWGKHTTVALLDTGNLVIHDSAANKTVWQSFDYPTNTLLPSQHLTRANRLVTQSDSYHVLYFDNDNVLRLLYNGPDITSIYWPSPDYNALQNGRTRFNSSKIAVLDHEGKFLSSDGFKMIASDSGLGIQRRITIDYDGNFRMYSLNASSGNWTITGEGVQQMCYVHGLCGRNGICEYSPPGRPRCTCPPGYKMVDPENWDNGCKPTFSIQCGRPQEDFTFVKVPHGDFYGFDLTSNKSISLEECTQICLESCLCISFTYKAGEGLCYTKNVLYNGQVYPYFPGDNYFKLPKSVSSTSPAANHSGITCSPERSKVMRVSADAYIKNSDHISWAYLYIFAAILGAVELLFIMTGWYVLFKMHNIPKSMEEGYKMITSQFRRFTYRELVEATGKFKEELGKGGNGVVYRGILGDKKVVAVKKLTDVRKGEEEFWAEVTLIGRINHMNLVRMYGFCSEGQHRLLVYEFVENESLDRYLFDGRGTERLLSWGQRFKIALGTARGLAYLHHECLEWIVHCDVKPENILLTREFEAKIADFGLSKLSERDSSSLNFTQMRGTTGYMAPEWVMNLPIDAKVDVYSFGVVLLEIVTGSRVSSGVTVDEDEMGLMQIPSGATEGREEMGFMQFVQAVKQMLANGSDLDIVDARLKGHFNHKQATVMVKIAISCLDERSKRPTMDQIARNLMECDDEDYHPAYF >SECCE4Rv1G0296250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:902091914:902093200:-1 gene:SECCE4Rv1G0296250 transcript:SECCE4Rv1G0296250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTDDSMLPDDLIVEILSRLPLKSFCRFKCVCKPWLAFSSDPNYREKLPKIPTCLLCQYQDFNKKATKLLGQPRNVEQIDGALSFLPQYPQLELMDCCNGLVLCMHRSMDWSRRTITCHFIVCNPATQEWTRLPDTRPYQEHDVCEAMLAFNPSCSPQFYIFNFKRNPLSSFLSGLDVFSSNLSTWLVYDAWWNSGMHTVIGYPHLFIDGSLYLFSLQQNSVRRILALNGFEAMSSRIPPNRQTIKLPHDPFVEPSNDLPVGMYTQGYFGQSQGAMHYALPETDGLEIRIWSLDVFGPYKWSVKYHLRMSDAFGRGNLAHYDSAQQCLDFPYDIAAMDLERDAMFLFDSQANKLRSYAIGNGKLHEVDEIEQDHEHIYQRFYHYVACYSKLPAYVPLPQPHLLEAKRPDRRTWRSKLLAIVGKSSLR >SECCEUnv1G0547540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:172041150:172044229:-1 gene:SECCEUnv1G0547540 transcript:SECCEUnv1G0547540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNATELPILERRRHSSPRYLPRRHGPQEMASLPIPDELLPEIFLRLSTTCDLVRASAACVSFRRVVADRSFLRRYRKLHAPPLLGFLDFRRVFHPAEPPHPSASAAKAVALAADFTMSFLPAPASDWTISDIRGGLVLLDRRDCAELVVCDPLHRRHLLLPPMPEFDEWVRSVDKPRRFGCHILLVGGDEEASEEAFFRVIYVAFTRHKEAIFVFSSSTGQWRATPSIPQLASSRWHQYVYGCLYGVTGCGEKIQVLDTRSMEFSHMDLPPEARAGGSSHVHIAFVEAGEGTIGMFVLSDQTSDLSYFVRPNNGGSSSHWQLEKTISLDSSQYSFPESSAGTNLFLHHSGSPSPDAGLFSMDVKTFQLERVFGSNYNMLYPWAYNNFPPWLSTPAISSGTREGEEMLEQGAKTLQAEEAMDSPHDERTDNDADVGDQASVSRTGDGAD >SECCE2Rv1G0117870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:777777220:777777553:1 gene:SECCE2Rv1G0117870 transcript:SECCE2Rv1G0117870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPPPGTAYPPPGQEAYPPPGYGAPPPVAANYQQQQAPPQDSQDRGGDGFWKGCCAAICCCCLLDMCF >SECCE5Rv1G0332760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:551708523:551715492:-1 gene:SECCE5Rv1G0332760 transcript:SECCE5Rv1G0332760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRRLFETETHGGRAAYRLHAVTVAAGILLVLYYRATHVPAAGEGRAAWLGMLAAELWYAAYWVVTQSVRWSPVRRRPFIDRLAARHGERLPCVDIFVCTADPYSEPPSLVVSTILSLMAYNYPPEKLSVYLSDDGGSILTFYGMWEASLFAKHWLPFCKRYNIESRSPAAYFSQSDGHQELCTPKEWSLIKDMFDEMTERIDTAVMSGKIPEEIKAKHKGFYEWNQEITSKNHQPIVQILIDGKDQNAVDNEGNVLPTLVYMAREKRPQHHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNNDAVRDALCFFLDEEMGHKIGFVQYPQNYNNLSKNDIYGNSLHVINEVEMGGMDSLGGPLYIGTGCFHRREILCGRKFTKDYQEDWNAGIKDKLQESIDETEEKAKSLAACAYEHDTQWGDEIGVKYGCAVEDVITGLAIHCRGWESVYNNPKKPAFMGVGPTTLAQTILQHKRWSEGNLSIFLSKYNVFLFGHGKTKLRHQMGYHIYGLWAPNSLATLYYVIIPSLALLKGTSLFPEITSPWITPFVYVFCVKNMYNLYEAVSSGDTLKGWWNGQRMWLVKRITSYLFGVLDNLRKLLGLSKMNFVVSPKVSDEDESKRYEQEIMEFGSSDPEYVIIAAIALLNLVCLLGGLSKVMNGGWNVHLDALSPQLILCGMVVITSIPFYEAMFLRKDKGRIPFRVTLASIGFVMLALLPAIV >SECCE4Rv1G0249860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:587422441:587424538:1 gene:SECCE4Rv1G0249860 transcript:SECCE4Rv1G0249860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGHVFPLVRCRTNKSPVAMMLSRRRSPVVASLQLPPVTTLLFLWVLSCGHATANTDIANMTALQKHVSFFDRNKDGIITPIETFEGFVAIGCDVAYSRDSASSVHAALGPITSPVDAPLPHINIHISLIHRAMHGSDTGALDAKGRFVPPKFEEIFIKHAKVRPDALTSSEVEEMILANRDPLERRSWSAPVKEWGLTYKLASDKDGFLHKDSVRGIYDGSVFVKLEEKRSLQIEI >SECCE4Rv1G0271910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:764541747:764541995:1 gene:SECCE4Rv1G0271910 transcript:SECCE4Rv1G0271910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERFLTALVFCEAPVDGYGTSVLNAGTTKQKISDGVTKPVGNKAEAQKEQGFSGKSPAQRRAGFELAFDGLHCFDTVVMH >SECCE6Rv1G0428520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:727893787:727894881:1 gene:SECCE6Rv1G0428520 transcript:SECCE6Rv1G0428520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVPVYLSEMAPAHLRGMLNIGFQLMITIGILAAELINYGTNKIKGGHGWRVSLALAAVPAVIITVGALFLPDTPNSLMERGHPEASRRMLRRIRGTDDIGEEYADLVAAREISKQVQHPWRNILMRKYRAQLTMAVLIPFFQQVSGINVINFYAPVLFETLGFKGDASLLSSVMTGGVLVLGSVVSMFTVDRLGRRKLFLQGGAQMLVSQIAVGTLIAVRFGTSGVGEMPRGYAAAVVLFICVYVAGFVWSWGALGWLVPSEIIPLEIRSAGQSITVSVNMLFTFVIAQAFLTMLCHMKFGLFYFFAGWVVIMTAFVALFLPETKNVPMEEMVLVWKGHWFWSRFIKDADDVHAGVSVLAST >SECCEUnv1G0539610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:76066387:76067490:1 gene:SECCEUnv1G0539610 transcript:SECCEUnv1G0539610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLIDLMVGNGIAPNHHVYNILIYAYAKEETVGEVMHIFTKMRRQGLNPDVASYGTVIDLLSRIGRMDDAISQFNQMITEGLAPGITVFTPLISGFCTCGKWEKVPELFSEMLDRGICPNTVFFNTIMDRLCKNERVMEAQDLFDLMVHMGVKPDVRTYNTLIGGYLFDGKMDEVRKLLDNMVSIGLKPDVITYTILIDGYSKNGRIDDALVVSREMLSGKVKPCVITFNIMVGALLKCGRKAEAKDLFDGIWANGLVPDVITYSLMIQKLIKEGSLEESDDLFLSMEKNGCAANSCMLNAIVRSLLRKGEVPRAGTYLSKIDERRFTLEASTASLLTALASGGKGQEYKALLPEKYHSFLEQGTD >SECCE1Rv1G0043500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:593183029:593184139:-1 gene:SECCE1Rv1G0043500 transcript:SECCE1Rv1G0043500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPRPRPASAAVLAAAVLLSLLLSCAQAQAPHVAVPPSAPPAAAAAAPGPAGGMSAACLNSLLNMSDCLPYVQAGSKARAPDKPCCPELAGMVGSNPVCLCELLSGAADSFGIAVDYARALALPGVCRVATPPISTCAALGYNVRLGPSPAPAPGSPSPMSPGDSPQYPAGPSPFASPPESPKPSHAARRFHAAGALTALAASFAVGMVFF >SECCEUnv1G0545250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:138445744:138446211:1 gene:SECCEUnv1G0545250 transcript:SECCEUnv1G0545250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMAVSVLFLIAGVVLMLALHVLVIVWAVRRGAVLRLRGAARERDQEQAEAAGLTADELGELPCQDFKAAAAVGTGAGECAVCLEAFQGGDRCRVLPGCHHGFHAQCVDSWLRQSRRCPVCRAEVACRGKAAGTVADEAATSEIVAGRLGGADR >SECCE4Rv1G0262480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:708009420:708010412:-1 gene:SECCE4Rv1G0262480 transcript:SECCE4Rv1G0262480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFQDGEYVRLRSRVHGTYLHADEDGHGVSLRRRGGASLNAVWSVHIYHRDGPQLLLYSAAYGLYLAATDARAPRGHRGFRAVQCDYDRRHMEAIRWHAVWADSENYVVLRDVAGRCLRANKRRLGRSNGVSVDDIDDINSVSTMMHLHWFVELIPARDELPPLPRPAGHPFPGTGIVTAVLPSRMILYVREGADGSRLTRGSFKFRGRSVFRLRKKLVRRLRAIMDVSKLVVCVEAGAFGRLTPLLVDLPRSRHNLHIVVIEAGTPGERPSPCLRY >SECCE5Rv1G0298440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11243852:11244265:-1 gene:SECCE5Rv1G0298440 transcript:SECCE5Rv1G0298440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGERSYDDATECKKLQPKWAKAYYRRGAAQILMEDYDDAYHSLLHALELDPGSQEIARLLLETIEVEMV >SECCE2Rv1G0120020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:796087710:796090183:1 gene:SECCE2Rv1G0120020 transcript:SECCE2Rv1G0120020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVRNGRQAAAAAAASTGESEKKTKSGARPRARRHREGRVAEQATQARKRARAVVARPRRERAGGGVMEAGGGGGGFRDERVPQWGVQETRELIAARGELEREAAAGRSAKTLWEAVADALRARGYRRTADQCKCKWKNLVNRYKGKETSDPETGRQCPFFEELHAVFTERARNMQRQLLQSESGTSVKGKLKRPRGDRSSGESDDNEYGVEVSDDEKPVISKKRKAGDKGQPSQRMAENSRAGSSSIHDLLQEFLVQQQHVDMLWRETMERRAQERLVFEQEWRQSMQKLEQERLMLEQSWIEREEQRRMREEARAQKRDSLMTSLLNKLLQEDL >SECCEUnv1G0538630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:73633774:73634565:1 gene:SECCEUnv1G0538630 transcript:SECCEUnv1G0538630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGKTVSIEVDPTDTIDTIREKICAPQRLFFAGKQLEDGRTIADYEFGNDITLHLDFGMQIFVKTTIGKTTTLLVEPSDTIDSIKEKIQGKQRITFDGKQLDGQGSLTDYNIKRGSTLYLRPCKHADMQILVKTQTSETIRVMFKLSDTIGAVKAMIQEKQRIMFEGRKLDDGQSTLADYDIQEESTLHLDFGMEIFVKTPTGKTITLEVDPSDTIDSVKEKIHGNQILLFGGKELESGQTLEDYNIQGGSTLHVEDRLTQG >SECCE3Rv1G0149030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:21262713:21266614:1 gene:SECCE3Rv1G0149030 transcript:SECCE3Rv1G0149030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEQEDYWGLFREETDWYNEIFLSAVVPGGGGWWRALPHPLRSWLRNCIGGYLLYFATGFLWCFVIYYWKRNAYIPKDAVPTVEAMKKQIIVASKAMPFYCALPSVSEHMIESGWTRCFFHISEVGWPMYIVYVSLYLIFVEFGIYWMHRELHDIKPLYKHLHATHHIYNKENTLSPFAGLAFHPLDGILQAISHVIALFLLPMHFRTHIALLFIEAVWTANIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTVWMDWLFGTLREPEDLLKKD >SECCE6Rv1G0381770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:35397781:35398256:-1 gene:SECCE6Rv1G0381770 transcript:SECCE6Rv1G0381770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARNNTQFLCLAVALLVTSTSLTCNAKDMDSDTYCESMSECKRPMDDYGMRNCRTEYCTRSSTETDTYCQAMWECNRPVHDYDQRKCRNFCVSIGYNYLRSYCEHHPYPYCCCHK >SECCE3Rv1G0152480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:47498331:47498873:-1 gene:SECCE3Rv1G0152480 transcript:SECCE3Rv1G0152480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTFIAMFLVMLAAMSSGLLVAYADTKFISRTCNKTNNTALCIAVLTTKPQSAHASTEHDLAIIALEIAIDTAKHNVKVIDDLDMKKQSNPEAFALAICLKAYTEASSALEIFADLYFKHGSYDGTLDVVSFAMGASDTCKEAFKRIGKKSPLSYIDREMTEHCSVASNLINLLVRK >SECCE2Rv1G0141220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934587657:934592147:-1 gene:SECCE2Rv1G0141220 transcript:SECCE2Rv1G0141220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKANSEMAVVDAEAPFLQRKDEPLAGVSDFRGRPVYRATSGGWRSAIFVAVVEGAGSFAYYGVSANLITYMTGPLGHSNAEAAAAVNVWTGTARLMPLLGAFVADSWLGRYWSMILACTLYVLGYGMITLASTLLTKRPSSTLDNNSSSRTLSSQVAFFYVSLYLIALAQGADKPCGLAFAADQFDPGHPRECAARSSFFNWWHFSIAIGIAFAIIVVSYIQENLGWGICFGVLCTVMICAFVTFLLGIPTYRLQASIVGSGSPFIRLGCSLVTLAGNSGFSFQAKRHLHEDEDAATNLEEARGVLRLLPIWIACLAYGVVFVQITTLFNKQGHTLDCHIFGGLELPPAILQTFWPASVLLFVPFYDRVLVPALRCVTGTPSGLTQLQRVGTGMAVSLAAMCVAALVETHRLEMAREHNLVEDTEATVPMSWAWLVPQYVMVGVADVFVIVGMQEFFYDQMPSELRSLGIALYCSVIGIGGFISGTLISLIDRITRKGGADSWFSDNLNRAHLDYFYWLLAGLSAVELALYIFFARAYAYKEKRDF >SECCE5Rv1G0342380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631981866:631985595:1 gene:SECCE5Rv1G0342380 transcript:SECCE5Rv1G0342380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTKGCCGWLIVALVLALVATAAVVAIMKRKPGGGGGGRSRPPKPLPVPGPPGDIDSKYGDALGVALQFFQVQKAGKLVDNQIPWRGDSALDDGKEAKLDLSKGLYDAGDHMKFTFPMAFTATVLAWSVLEYGDQMTAAKQLDPALDALRWTTDFLIAAHPSDNVFYIQVGDPDLDHDCWQRPETMTEKRPLTQINAKNPGSDIAAEAAAAMAAASMVFKSSDTTYSDSLLQHAQKLFTFADTYKGIASDAYPKLQKYYNSTGYVDELLWAASWLYHATGDQTYLSYVTVQNGKDYADWGRPTWFSWDDKLPGTQILLSRVNFFGSKEISNAENSGLKMYRDTAEAVICGLLPDSPSATGSRTSGGLVWISGWNSLQHGINAAFLAVVYSDYMLTSQTAAVECSGKYFSPTDIRNFAISQANYVLGDNPMKLSYLVGYGSSYPQQVHHRGASIPADAKTGCKGFEYLHSASPNPNVAMGAVVGGPFQNDSFVDLRDNAVQTESSTYNSGTFVGLLAGLVTTSSIAKTFT >SECCE6Rv1G0427970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:722958541:722958999:1 gene:SECCE6Rv1G0427970 transcript:SECCE6Rv1G0427970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGARARRGVLLLAALVLCFACACAPAGALRASTISAAPEPSPYPLLQPRHAGAPSALPPTLSLSPDIMPLLPSPGPGDDALAPSDAAATIPSSPSPPNPDALEPDSAFAPFGSAAPAAVAGMQSAAPPPPRVAWAALPAVGLVAAMWLA >SECCE5Rv1G0333460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:557412740:557413105:1 gene:SECCE5Rv1G0333460 transcript:SECCE5Rv1G0333460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKERREQQERKAAGAGEGADSVQLPTETSPYVQYNKDDGLEDYKMRAYGAQGHLPVSDVPHGSGTDAPTIPGTALPTQHLNLHGRQQPQRGAQGGGAGVRRTDDDEAATDTINRHGVP >SECCE4Rv1G0278240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:807940887:807941551:-1 gene:SECCE4Rv1G0278240 transcript:SECCE4Rv1G0278240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMAYGGWGSRSSTAPPPLTMKLQVNTRTNRVVCAEAEKDVVDLLFSFGNIPLGATATLLGGGSLGSFGNLSQSLGGLHASYVIEQEARDALLAPTGRGLLLLAGGGSSSSSGGFVRGAVTYTVMDDLTVTPMSHISYTTMLNNNFRDIRHLQERTVTVGPTEALELLRASLQSRTVLTDVFLRRA >SECCE4Rv1G0265010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724211369:724211899:-1 gene:SECCE4Rv1G0265010 transcript:SECCE4Rv1G0265010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKITLLAVAAVLLGTASAATYGVGEPGGAWGLNADYASWASDKKFQPGDEIVFKYSPTAHDVVEVTKAGYDSCSTASAINTFSSGNDVVALNATGTRYFICGFAGHCVPRAALTMKIVIDVVSGSSSSPSSPVPAPGPGASSSPQAPPSSAATSVGAAAGFGLVALLAAGLMA >SECCE6Rv1G0420800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680194163:680196778:1 gene:SECCE6Rv1G0420800 transcript:SECCE6Rv1G0420800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMNLVVSTLATSILGKVASFGTDWAVNEIKSAWNAQEELHKLENSLKFICGVLRDAENRESTSHVLQEWLDCLKDAVYDIDDLLDDASTEALMLQVHKGLSRIQSMSLLISGPFKLSHKIEQVRKNLDEIAKDRGQFLLSEQPIIDSRMFRSSHRETHSFITESDIIGRAESKKEIIEKILTAKDSKPLSVLPIVGLGGIGKTALAKWIYNDVQVARNFEMRLWVCVSDVFNLKKILDDIIQSGTGESNMKLNLEMVQRKLCELLKERRYFLVLDDLWNDKLADWEELRRLLSSGGRGSVIIVTTRSSNVASMVKTMEPYDVAKLPDDKCMQIFTQYAFRGEEEDKMDQQLVSIGESIVKKCSGVPLAARTLGSLLSRCRDVEDWRHVLEDNLWNMEQNTDDILPALKLSYDALPPHLQPCFSCLSVFPKGQKIYQDILIMYWMALGLIRPSNKRTQLQTGEKYFKELLGRSLFQDQCVLFGNRVFYCKMHDLIHDLATLVSQKEHAIVSSEKVTVSESVRHLVWDRENFSVGLNFPEELKKASKTRTFTIRSSFGTVSNTFIHDLFSSFTLLRVVTFLEVDFELLPSSIGNLKHLRYLHIQFNRKLILLPESLCKLVNLELLHLYGCNQLEELPNEARNLVSLVYLNLTSKQKYLRPCGWSSLAILKMSYCYELISLEEGFESLKALRELLIYDCPKLAALPSSMWQLSTLVALSISGCEELDLMVPAGEASGGLHSLQNLQLGRLPKLAHLPESFKSASSSLQYIKIVGCRNLKRLPSYIQDFRSLKRAVINDCPELSIRCAVESGEDYPFISHIPEVYIEGTLLPKAGPSAGECSSLDLVRLVEPSPWPGLLAPSGRTGRYVSELN >SECCE7Rv1G0455620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:7948772:7952215:-1 gene:SECCE7Rv1G0455620 transcript:SECCE7Rv1G0455620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHKLKSPSAPSPMATLSCSIHIPFFILILLLSVLSSSSSSNPTNSNGSDTDLAALLAFKAQFADPLHLLASNWTTGTSFCNWFGVSCSRRRQRVSALLLSDMPLVGSVSPHLGNLSFLSILNLTNSNLTGSIPAELGKLHRLRYLSLVGNSLSNTIPSTLGNLTRLMFLHLAYNQLSGHIPPEMLLHTHNLRKLNMYANDLSGQIPSYFFNNTPSLTYINFGNNSLSGPMPEAAAHLSMLEVLNLEVNQLSGLVPESMYNKSRLQLLSLASNGNLAGMFPSNQSFNLPMLEFISLSDNKFFGRFPSELASCEYLEIIDLGENSFEGIVPAGLSKLSHLQVLHLGYNNIVGPIPTALSNLTHLTYLDLSGGNLTGEIPQELGLMQELSFLSLGSNQLIGEIPASLGNLSKLSILILANSQLSGQVPITLGENAALNKLILSNNNLEGNLDFLSALSQCKQLQVLTIEANSFTGILPSLVGNLSSKLVTFIASKNQLIGGLPVAISNISSLVRLDLSDNLLTEPVPGSIVKLENLVWLDLSNNDMSGPIPIQIGMLWRLEQLFLQANKFSGSIPRSFGNISFLEYIDLSSNQLSSTIHPSIFHLDKLLKLDLSNNSFAGALPSDVSGLNQIYQMDLSSNFLVGRIPESFSQLNMLTFINLSHNSFKGFIPGTLGKLKGLTSLDLSSNNLSGTIPMFLANFSYLTILNLSFNNLEGQIPDGGVFSNLTFQCLTGNDGLCGAPHLGFLACLDMPRSRNRKLLQILLPTLTVVVGAVAISVYLWFRKKLNKREAIATDDPTDVVGYQIVSYHELIRATNNFNEENILGSGSFGKVFKGQLSDGLVVAIKVLDMQLEQAIRSFDVECQVLRMARHRNLIKILNTCSNLDFRALVLPYMPNGSLEMLLHQSQGSLHLGFLERLGILLDVSMAMEYLHHEHYELILHCDLKPSNVLFDEEMVAHVADFGIARLILDDQSMTCAIMPGTVGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGKRPTNAMFGAQLTLRQWVHQSFPAELVQVIDGKLLQDSSAACYSLEDGFLTSVFELGLLCSSDLPDQRMTMHDVVVTLKKIKGEYRTK >SECCEUnv1G0545970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:147992201:147994280:1 gene:SECCEUnv1G0545970 transcript:SECCEUnv1G0545970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAVEVSRRVHPKKKPRADADGETRRRSPAAVDPVSKVLGDDNLLGDILLRVGFPTTLVRAARVCRCWYQLGSDRGFLRRFRELHPPRLLGFYVDSGLVWPESMAVPRFVPMLPQPPELAAVLRRMASHNFGIRGMPWIMDSRNGSIFTGHRERRELAYGVYRPLCPDRGIDGVPPLPSAQDRSRLNFGAILSKEEGDGLSYFYVLTEVTDEREKFTMRVYTLQGNVWCLHTLATYRLHLPLPRAIVVLVDNKIYMLASPADDIIVLDLTASSLSRIQLPPGVKYHSFNTVLSRADDPSGIYLIHVDGFKLHIWLHKGANWLLVGTICLHEMCANLRMLDNTLEDEHTGHCYICQAGDNAEFLFLKMCGCVLYLDVKNRTLRKVHAMAQKDLHFTAVYPFMMVWPPTFPALKDGPARDAM >SECCE4Rv1G0215590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:5281116:5282154:-1 gene:SECCE4Rv1G0215590 transcript:SECCE4Rv1G0215590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAINRTYEEYTPVVEWSHSADASFVKIIVPGFKREEIRVLVDNHGHLRTRGERPVEGGRWSRFQKDLQLPSDCNVDGIRAKFENEALTITLPKKHPSPQAAPVPMPAPATPKPEPRRPYAAPSQKPPAALPEPARPSAPVVPSQKPFADRRPSLPRKPADIPAPVRPAPPVPAPAPELESPKKPELPAFPKPTGEWVREEAKNKKPQEEEAMARTLREAAEEEEKRRMEREARGKTEEDRKMAEERKTDGETERMMDMARRRRPVPANRGLLVNVAVAALVLVGITVYVWRNLSAAASGAGGDAGAGSYGDEM >SECCE2Rv1G0117410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:772257761:772259686:1 gene:SECCE2Rv1G0117410 transcript:SECCE2Rv1G0117410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKVVDLRSDTVTKPSEAMRAAMAAAEVDDDILGADPTAQRFEAEMARITGKEAALFVPSGTMGNLISVLTHCDIRGSEVILGDSSHIHMCENGGISTIGGVHPRAVRNNTDGTMDIDMIVAAIRHHVGAGALHYPTTRLICLENTHGRSGGKCLSVEYIDKVGEVAQSHGLKLHIDGARIFNASVALGVPVDRLVRAADSVSVCLSKGLGAPVGSVIVGSKAFIDKARILRKTLGGGMRQVGVLCAAAHVAVRDNVAKLADDHRKAKVLAEGLKKIKQFTVDLTSVETNMVFFDIADPRITSDKLCQLLERRNVIAMPGSSKSVRLVIHYQISDGDVQYTLTCIEKAVEEILSGNAKFEHLTNGSTTNSYGH >SECCE6Rv1G0412440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614635736:614636309:1 gene:SECCE6Rv1G0412440 transcript:SECCE6Rv1G0412440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVAAAGARMPVSVLVLVALAMVCLSGDGAAAQRASGVAATYNLYNPEKINWDLRVASVFCATWDADMPLAWRQRYGWTAFCGPAGAHGQPSCGRCLRVTNRATGARTVARVVDQCDNGGLDLDVAVFRQIDTDGGGVANGHLAVDYEFVGCQD >SECCEUnv1G0533500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:30750307:30754716:-1 gene:SECCEUnv1G0533500 transcript:SECCEUnv1G0533500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALPARLLVSPEPGGRRRRPHRRSLRPISAALMTNPAYFEVGRLLGSYGFMNITSYSSSQSGGLSNDAGNQDLSLGYSPEEIERLRVQDVGEGEVKIRLYEGRVVQGPLKGTQTVFKVYPGAIAGASEANLMALNELRTHAFLQSDARVICENIQFLLGAFETATGEQWLAFRDDGRYSAADYAKITSERQLKERPNFWNPYDRAYKLELRRYFVLRLLNGAMCGLVHMHNHDRLHQSLGPSSVVLNTVAEKNGYYLLPQLRDLAFSVDIGYSTVGVGALSDGLWRRASAAGASTPLEKRAFGVADDIYGAGLLIAYMAFIPFCEAGIMDGISLQRLLENTFRLDIYAAREYCLEDDKLSEAVNFLDLGDGAGWELLQAMLNPDYRKRPIAEAVLNHRFITGALL >SECCE5Rv1G0338020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:595839870:595849298:-1 gene:SECCE5Rv1G0338020 transcript:SECCE5Rv1G0338020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MMQQQQQQQQPLPPPPPQHPPPQPGGGGGGDFYRGPLQPPMRQLSAASSTNLAPDYAGHPGPQQHHQQQQHQQQQQQPPFDAYGDNFGAKRMRKPVQRRTVDYTSSLVRYAQARMWQRDARDRFTLQPTAAAVLDMLPSVAYPDNPSTSFAGKFVHSSINKNRCSINCVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHEQAVRSMIWSHNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLASGGKDYLVKLWDAKSGRELRSFHGHKNIVQCVKWNQNGNWILTASKDQIIKLYDIRSMKELESFRGHNKDVTALAWHPFHEEYFVSGSYDGGIFHWLVGHEAPQIEIHNAHDNSVWDLAWHPVGYLLSSGGNDHATRFWCRNRPGDLTRDKFNSGQIQGYGDQHSGFAGRFQMPEQTPGPFAGTIPGIGVAMHLDGSDHGEQRPSIPGLPPGPPPLPPGPHPSLLPTGQQQHYQQMPQQQHSQFRPPPPNMPPPAHMLQHQQGPRSSLPQLSSMPGPSPVNPPLPPMPHPMAMQGSSNQMMPQMPQHLIGLNQTHPGSIPPSNMPPMGGFPNGMGNIQGASGASGMQNFPMGGMYNRPQGQMAPQGQMSSIPGLGSYQPGMGNVGLPPPPPQHPPPRGAAPQ >SECCE7Rv1G0517720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:855697585:855703036:-1 gene:SECCE7Rv1G0517720 transcript:SECCE7Rv1G0517720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPLRVKLYRARAGRSGGGDGGAGPTPPPAPGLAPSASLDPAAVSTSPLPLQPARQPPLTPIVKYTDEGLDIDDDWPEDENEDEDDDDDDDDEDEDEDGDGDEDEGLGDDDDWPEDEDDEGWEVMQEGEDELPDDEKFYIPLYMSAQKKNLPHGLVRHDSMPLDKNEYFSLWKNWNQLLDGKRTYLRPLKDCRVLSVSGVFRCPDAHPRTLGCQEPLLGLVQDYPDNDIFQEIIKILCQRYVQFRRTRGDGNYFYRAFFFSYLENLGQMQDSQAEVTRLMEHLAVSRQNFCRLKWDKAYFLNPEEYFSSVVSELNHLVNSVANGLSSDELYKRSLEEIMPLRIISLLRLLAETEIRTREADYKSFIPEKMNVHQYCYKEVRSLDVKPTMLAMRALTYALGIPLRLEILGRALMAGDLQVKRLDFFPRSESGKGAFHMIQSYWSSTSTPEPLELGSGNLLSSDGTPSLTLLCRSEDCDILYRK >SECCE4Rv1G0296990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:905676108:905679155:-1 gene:SECCE4Rv1G0296990 transcript:SECCE4Rv1G0296990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDKSWYNFSTLDMRGDRELLKLNPRGVPLVLHFTAGNTLCSSQGHVLEPTRDYACVSYNSSCANTSFSGTNYICKCSEHYTGNPYIPDGCQDIDECKLPQLYNCTSGGVCKNRLGGYDCPCAFGMKGDGKLGTCTDIFPLPAKAAVGVICGILLMALLSFLVILHQEKKKTKEFYKKNGGPILEHANTIKLFKKGELRPLLKNSNLIGKGCFGEVYKGLLDDKEVAIKKPINGSVLESEQFANEVIIQSRVIHKNIVRLIVCCLEVDAPMLVYEFISQGSLDDILHNKNNNKVALNLDARLNIAAQSADGLAYMHAQANTRILHGDVKPANILLDDNFAPKISDFGISRLLGRDKEHTATVIGDNNYMDPVYLQEGLLTEKSDVYSFGVVILELTSSRRAIHSETNGLVKSFLEAHKRQEKATELFDKEIAIAEDLELLDRLACMAMECLSLDVDKRPTMMEVAQRLHILSRSHKVAECF >SECCE3Rv1G0201950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:868185752:868187675:1 gene:SECCE3Rv1G0201950 transcript:SECCE3Rv1G0201950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSVKCTAKRGKSEPPIHSLPEGIMHDIISRLTLKDAVRASLVSTNWGRLWTCHSNLCFDSPTILNREYGTISRSSSRRDRRRRRFIRRIDAILESHSGTRLRRFDITFALDTRHTEHLDRWLKFALDSRASEIAINLRPVFYKDSVRSYEWEDTYTLPFHMFSSQGASYIESLQLTFASLKLPGDFDGPLNLRVLDLQTVHVSEGDLELLLSKCSALECLRLGRCYPVLNLKVQKPLYRLRNLSVRGCQLQVMEFSAPNLAHFEYSGQAIPITLSESMKPTEATVTLLGYDDTLEHVFTELPKTLSHVETLSVNTCINTEVVGFSNCLMKFTHLIKLEVTVSILGDSRIRNGILRLVSLLEVAPLLQRLELNMLPHVSVGVSNEPEAYWRFQPCAHRHLEQFEVSGFIGLRGQLEVVLCILDNAVALRRMSVEPRVTAYDRVFGHWEGSEYNIGKGRACALAFFSPEDYPGVQIEIF >SECCE5Rv1G0349570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:684680035:684690998:-1 gene:SECCE5Rv1G0349570 transcript:SECCE5Rv1G0349570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRGSRAKQADSGLPGTFSAPVRKKRGTRAPPSSRCLRGQKDDNVMSSNDAITEEGHAASPSSRKAKEQNHEEIAVGSSADPTGQSVSVNEARENSRALKNTSNVQTTAVKKTTAEDKSSRAITDDVFNAEMDSTSSDDESAEEVEDVKVCDICGDVGDEVRLAVCNRCNDGAEHTYCMREMMEKVPDGEWLCEECQTEVEYEKEKLEKPQVKLVTSKEESVQGKISRPFNDANSSSPCENEVEDETVGRKERKEANQGIDMVEDAKIPPVAKQNIPEPGGSSMESYSRKGIPLLRESSLRLDIEKGKQPTPQVPILLGSNASKNQAPPLTGQLSKSTSFNNSKVPKVKQLVNEVPQKTKTLKDQLSCSMRKEGPTSFSTKSASFKKPKTCEPANKAKSSIIPPAEELSVMNLPVSRNVSNDRGTSILGCPSITGPLAFPVQSKAESAAQRLTTGNNMSASSNSGHFTQFCGVDKLGLSAMKPLSERNLKDASAKRNKTSEATEKATSRLADQSDRILKCGPYHDPVYRPKDTSSGRSVPSSSTISSDPMDKSSRGFSPVDKTIVSTVPELDYIWQGDFELWRTGRSPELCDGFQAHLSCSASPKVLEVAKKFPSRVQLEELPRRNSWPTQFQQNGPTYENIGVFFFARDAQSYEHHYSKLVQNMLINDLALRGNIETAELIIFPSNILSKNSQRWNMFYFLWGVFKVKREDHWNLPRDVPISKCEPNLNENPLAVDPHTSVLSSSRSLSADQNNGADPACYLVKSATSADHQCLQTSEANHQGCSNGDSSLAQAVGGRDMEDHSHDSSTTCCLTNNRRAINDFSTAPAGKHKIIAYPDSQKKMSDGGNVVEPIFDVNTMPVTCSISSIDKQDDQNRAINLNNSESLMDVDHANNIELNSGTVDLVSHASRSAQKRNVDMANWIDGANGSVEKKIKLDNVSSTVESGLSGKIRDGRLSSKVHPLAALPVHDCTDNKTMAGNSKSNGKCTFQLDLNVADDADIDMADDAATGNSITCLSEDLPEQNTQDLKPALGDNISSRNPMVEEQLNKGETRPTDTSGELSLSLAFPASKEQ >SECCE2Rv1G0087380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:225163920:225167086:-1 gene:SECCE2Rv1G0087380 transcript:SECCE2Rv1G0087380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPPAVDDGGGAGKQHKEPKQRKGKKPNPFSIEYNRSAPPGASRLVVLREPTGTGRDIADRYELGGELGRGEFGVTYLCTDRDTREALACKSISKKKLRTAVDIEDVRREVEIMRHLPKHPNIVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAVVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGQEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDNAKDLVRGMLNPDPRRRLTAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEVAGIKDMFEKMDLNKDSMINFEELKLGLNKLGHQMPDADVQILMDAADADGNGCLDYGEFVTLSVHLKKIGNDEHLHKAFGYFDRDKSGYIEIDELRESLADDLGPNHEEVINAIIRDVDTDKDGKISFDEFVAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQITSTQ >SECCE1Rv1G0037150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:519841361:519843250:-1 gene:SECCE1Rv1G0037150 transcript:SECCE1Rv1G0037150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASKSKAKDRAAAFKAAKEQPKVAVKPVGNGTGASTFNNLSGKFHLLEPSSSLLGSQGNEKLRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDTKEKSTSTAPRVDSIPGCDLDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLETLAQKLVAMGFSSDQATMALIHNEGCLEESVAWLCNFDGSDETKQQAAAAQQSGANLKIDITDELAKIVILEAKFKCTKQEVERAIVSSEGDLEKAEEALKTQKQESATTASKPEVSGDSSGLVNKQQVMLAQNPARPQTNGFSSVGAQQMRRDEKDPNYKLLVNGSGPKEPAVKGFQPLATTVKSDLVRQQFFQPEKRRVIANSVPSAPYVTSSPVPVAVPQIKAETRHVAAGNEMKSAMHNGSLRDPVVVMQRPQSTAAKQSLPSTSHSMFASEPPSREWYMNGASGVDMMLNGGLGHGLRNMSLENANSAKQQFMHANHQQSFVSNPVELAANGWGGTWGGPGGASSSQAGASAHGTFRGGGWNSSEPSSALSHADWRSNAPAPCDYTSIDWSLDTTLLNPAAKSEWLSDTWSTMFMGGRSTRPAGGNLGLGGAGGMNGLHESSSGLPMEPAPSPRPYEWPSFCRGGSS >SECCE7Rv1G0506910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:756452391:756454386:-1 gene:SECCE7Rv1G0506910 transcript:SECCE7Rv1G0506910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFRGHNPEKGMVHPRKRSRKRLITSDSDDDDYTDKDYSIEEDASKQLVLYDPQTTRRQQREFHLAEPIYDSTPLQQIPPKTKYGGHSRVLPSIGHYTVQCAQCFKWRVVPTKEKYEELRETISEELFVCARASEWNRVLSCDDPEDMSQDGSRVWAIDKPNIAQTPVGWHREVRIRGEGCSKFADVYYTSPAGTTLRSMVEIERYLAENPFYVRQGVYLGQFSFATPKPLQEDYVRKRKYSATTRELPDILEPVEVNPLCWAAPPTRSELLQMGTSASNPVDLDDEPEVFDAAPVHTNRRNLNQTMPAWSGCKKRAASSGVPKKRTMTQAAASMRRPPSPPSWPRRGQPQQFPSDVEHVLL >SECCE2Rv1G0126470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:846465588:846468836:-1 gene:SECCE2Rv1G0126470 transcript:SECCE2Rv1G0126470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQFLVYLHLCSHCSWKMRKMAFRGTSWIPVLILPFLSSLCQSDDQLTQAKPLFAGDTIVSKGGDFALGFFPPNSSNTSLYLGIWYHNIPGRTVVWTANRDDPIAAASSPMLSITNNSDLVLSDSQGRTPWVVKSGITGVGVTAALSDTGNFVLMSPNGTSIWQSFDYPTDTILPGMRIYLSKKTRVVERLLAWKGPFDPSTGDFSLGLDPNSNLQFVIWHRTMPYCRLNILNNESAGSGIYQNTIFTEATVGTGDGLYYQFSVSDGSPYARLMLDYMGVLRFLSWNNRSSWATVTEHPASTCDLYASCGPFGYCDNNGAVATCHCLDGFEPAGLNFSSGCRRIKALECGKQSHFMTLPRMKVPDKFVHLLNRSFDECMTECSNNCSCTAYAHSDLSSNVATADQSRCFIWTGELVDAGKYSNNGENLYLRLATSPVRNGKLVKIILPTMSCVLILACIALGICKYKASKQKKKEIHNRPMPGYLSSSNEVGGKHVDFPFVSFEDIATATDNFSDSKQIGSGGFGKVYKGILQGNTEVAIKRLSKGSGQGMEEFKNEIILIAKLQHKNLVRLLGCCIHGDERLLIYEYLPNKSLDAFLFGSTRQSVLDWPTRFQIIKGVARGLLYLHQDSRLTIIHRDLKPSNILLDSEMTPKISDFGMARIFGGNRQAKTTRVVGTYGYMSPEYVMGGAFSVKSDTYSFGVLLLEVVSGLKITSPQLAVNFVGLTTYAWRLWEDGKATELVHSSFAESCSLHEVLRCIQVGLLCVQDRADDRPVMSSVTFMLENESALLPAPKQPAYFALQNFEAQESRGNSVNTVSITTLEGR >SECCE6Rv1G0401100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:462543547:462546385:-1 gene:SECCE6Rv1G0401100 transcript:SECCE6Rv1G0401100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGASKWKDPGVVRQGRPRSVGEVVVFLPGLRVPRTVDFSQSLGDHLDKSTVERLSALRATVVTMAMQESATALKPRRKAARHGGSGTANLLQALEEYLPVLLGLVKESSGLRNKMQFVWANQEDDAEETSMADSWYEVLSVLHLMAMVCFLQANSLLLPRSYGDGHGPRVSEESRRATVDVFLKAAGYLDCAVNQVLPQIPPEKRRALPVDLVEGNLKALSLQGLGQGVDMQLGLAIDNPKATLAVKRRLACEMVKYWQQIKDSIPELPLSDGWAKKHTLFVKCKYAEAKAAAYYFHGLILDEGNTEKSQEMATSSLQASEEFLKESQRASEAFHSTPPTSRISTPFGTTKHLLEMVPKETLSKLQNNQDLNTQERTSNTGISRIITPPPPLPDFPLALNPEEYELPQLDPLWKKEANHQ >SECCE5Rv1G0331750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:541069099:541071299:-1 gene:SECCE5Rv1G0331750 transcript:SECCE5Rv1G0331750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNTMHYAVVLVILLAGGSLANAANQKDVLRAFIKSRAQTRANGPPEPDAWADPISSFRHLPTKCEAPPAGSREADRIAALPGQPPRVNFDQYSGYVTVSEEYGRALFYYFVEAPYEAASKPLVLWLNGGPGCSSLGAGAMAELGPFRVNPDGKTLSRNRHAWNNLANVIFLESPAGVGFSYSNASVPSGDTRTAVDAYIFLLNWLERFPEYKGRDFYISGESYAGHYVPQLAAVILALRELGATNMNLKGIFVGNPLLDSSNNSYGKLEFLWSHGVISDEVWGNINEPCKSGQFEGSGCDDSFDEGDIDTYNIYAPVCIQSPDGSLHPSGYLPGYDPCIDAYIHAYLNNPEVQKAMHARTNTDWSECSESLHGWTDSPVSMMPTIGWLVDNGLRVWIYSGDMDDECPITATRYAVKDLNLTITKPWRPWYTPDNEVGGYAQQYEGGFTFASVRGAGHFVPSFQPKRSLVLLYSFMKGVLPPGALPPGVSVWSGV >SECCE4Rv1G0250060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:589302299:589306977:-1 gene:SECCE4Rv1G0250060 transcript:SECCE4Rv1G0250060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAMARAREVTGGREEMAAAVASAVSCLGTGVDMAGDLRLKHCKAAEGCLVARSGGKAAAVPVPGIGVVADVPADVMCGKGNRVRIRSDVLEFNKMTELFNGRSSVAGKIPSGLFNACFGLDGGSWAQDASGIKCLALDGYFISLLDLRLDCRPLALADRVAADVPTAWDPSAIASFIEKYGTHIVVGVSVGGQDVVYVKQDKSSVLPESEIKEHLEKLGDQLFTGTCPMPPSHCKSRDNKTKVPEAFNVFDGQLTQPRVEGMTSQVACKEGVTVIHSKRGGDTAARSHAEWLLTVPAKPDAIGFKLVPLTSLLKGVSGLGFLSHAINLYLRYKPPVEDLRYFLDFQHHRSWAPVLSDLPLALCSNRQGASQALHFSLVGSKLHVNSNQVIIPNLPVTGMRLHLEGKKNNRLGLHLQHLASTPTFIEGRRDKPPIWRGSEMVSDERYHEPVQRRMFAHVCTAPVKYDPQWCSAHRRTAYIVSGVQLHVRAHDSTTVLHLRLLYTELAGYAVVQSKWAHNTARLSGKGSFLSKSFASSAVASSGDRQEPGRVNVDSGVFAGGPPVPVGDQKLLKFVDTSQVTMGPQDAPGYWLVTGAKLDVEKGKISLHVKFSLLAPVS >SECCE3Rv1G0152590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:49022591:49024522:-1 gene:SECCE3Rv1G0152590 transcript:SECCE3Rv1G0152590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGAADMIYTQDGTVDIKGNPAVKSNTGNWRACPYILANECCERLAYYGMSANLGNFMLDNMGMNNTAAANSVTNWGGTCYATTLIGAFLADAYLGRFWTIASFGVCDPTAGQTAAVFVGLYLVALGTGGIKPCVSSFGADQFDENDDGERRSKSSFFNWFYLSINIGALVASSVMVYVQEHYGWGWGFGIPAVIMAVAVGSFFVGTPLYRHQRPGGSPLTRIAQVLVAATRKLSVPVDGSPLYETTDKESGIKGSRKLEHTEQFRFLDKAAVETQADKSSASPASPWRLCTVTQVEELKSVVRLLPIWASGIVFAAVYGQMSTMFVFQGNTLDKRMGAHFSIPSASLSVFDTISVIVWVPVYDRLLVPAVRSFTGHPRGFTQLQRMGVGLVVSIFSMVAAGVLELVRLRTVARHGLYGEDDIVPISIFWQVPQYFIIGAAEVFVFVGQLDFFYDQAPDAMRSMCTALSLTAIALGGYVSTLLVTVVAKVTTRGGKEGWIPAKNLNVGHLGYFFWLMTVLSILNFAIYLPIANWYTYKKTAGAGDDPDANEVGDDSTAGAGDDQDANEVGDDSPKSGDRTK >SECCE2Rv1G0132620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:893491473:893492144:1 gene:SECCE2Rv1G0132620 transcript:SECCE2Rv1G0132620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGHKGGVWWFLFLAAVLLTGAAVEAEREDGAMATVVAGVEEVGGRVHDQDNDMCDIKCQHGQHLAWRRRCVNECHRQKLHHSSRAFCEMKCQDHYHDPSRIELCVHQCMSYGFNLHADSNNDVDHQPHAWELEVGWRADDRSNDMCDIKCQHWQDPAGRRRCVNECHGREHHHPKRAFCEMKCQHHYHDPSRMELCVHQCMSYGLNLHVGGNNGVDEHPTG >SECCE1Rv1G0034120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:477447292:477447789:1 gene:SECCE1Rv1G0034120 transcript:SECCE1Rv1G0034120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAMLPLLLLLPLLAGTAAAKPTAYEALAAFDFPPGIIPKGVVSYTLDNATGDFTAHINSSSTCEFSIQGSYSLRYKPDISGRISVDRLTNLQGVTVKVLFFWLNIVEVTRNGDQLGFSVGIASADFGLDNFLESPTCGCGFDCNDLLLPQSAAESSLRLRGAF >SECCE3Rv1G0195800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:802850889:802852002:1 gene:SECCE3Rv1G0195800 transcript:SECCE3Rv1G0195800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASALLLLFSAAFCFLARRAAGDYGSWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGAACGSCYELKCQDVSSSCLPGSITITATNLCPPNYALPNDDGGWCNPPRAHFDMAEPAYLQIGIYRAGIVAVAYRRVPCVKKGGMRFTINGHSYFNLVLVTNVAGAGDVQSVAIKGSSTGWQAMARNWGQNWQSNADLDGQSLSFRVTLSDGRTVTSNDAAPAGWSFGQTFEGGQF >SECCE4Rv1G0269580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749621727:749625796:1 gene:SECCE4Rv1G0269580 transcript:SECCE4Rv1G0269580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKASLETRTGIFKKALQLNAAMATLSLPVVHPQVSLWRQGRRRLHGLPSCQFRHAGKLQSSYRGLEALYDDGYGTVKDLDYFYQALGQLVEHDSGPPCWLCPVDAGSTVEDAPLMLYLPGVDGMGMGLCMHHKALGRIFELRCLHIPFHDRTPFEELVTMVEDVVRAEHSTSPNKPIYLLGNSFGGCLALAVAARNPRIDLILVLVNPATSFERSEIKKLLSVLSPLSSNACIAITALLNYNIDNEVNMALSRMQSGKHPLEALIRLTNNMSSSLKHTNVLDKLPEDTLQWKMKLMKRAAQYANCRLQSVTAEVLLLASCADNLLPSKSEAYRLQNKLPKSKVFFFEKHGHSLLLEHGVHVSSIIKCAGLYRHSRRYHRVFDYIPPSSTELKEVDKASIDLLFRTCPAMFSTMEDGTVVRGLAGVPEDGPVLLVGNHMLLGIELISLAAEFMRHKKVVVRGIAHPLLFPKKTKTWSEGHDFFDFLNLWGGVPMTYKYIYELLAAGEFVLLYPGGYREALHCKGEEHRIFWPEQTEFVRMAAQCNATIVPFGVVGEDDIVNLLCTFDNIRSAPFGKEIMRAYSRHLNLRDAKHEVIFPGVCMKIPGRFYYRFGKPIPTRGRQDVLTNRGAATVLYAHIKSEVKGIISYLLEKREEDEYRKISRRLMFMASRGFDTQVPSFDP >SECCE4Rv1G0284390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:839495423:839497512:-1 gene:SECCE4Rv1G0284390 transcript:SECCE4Rv1G0284390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFLLLALLLAAGELHCTATAPPPAKASAPPPQHPGTPPQHTPPSPPRRHRSPHGRPPVPRKQDPPSSPPPPPPPKQDTPPPPPSPPQQQDPPSPPPQQVMPPIQVVPPIQDPGVAPAPPPRGGNRSAVCTTLLVFGDSTVDPGNNNRLRTTAKANFPPYGVNFYGRRPTGRFSNGRLATDMLADKLGIQRFIPGFLDPTLKLGQLRKGVSFASAGSGYDDITASTLSALPFRRQLWHFWRYKQLIRALLGLRRAERIVNRATFIVSAGTNDILLNYIASNRSAGPIAMLRYENHLIARLGNYTQVMRMLGGRRFVFVGLPPIGCLPIARTLLGRAPDGCDSDLNQLAASFNSRLIQLSNFINYQPRMRSAYIDTYTIIRAATDNPQNYGLTEVSRGCCGSGMIEVGQTCRGRRTCPDPSKYLYWDAVHPTETTNQLITSLMLDSIAGIYS >SECCE2Rv1G0068340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22741139:22749054:-1 gene:SECCE2Rv1G0068340 transcript:SECCE2Rv1G0068340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDGVRRGTMAARRNAAVAGEARRRAAVAVLEEMGPAQLGQGEGSNRKTATGLENLKKSREMSLGYAEKLSYREDVGTVGMPEKFDSPKLLQGKIEELAVMVQKSKHLVVFTGAGISTSSGIPDFRGPKGVWTLQRAGKGVPDASLPFHRAAPTLTHMALVELERAGLLKFVISQNVDSLHLRSGFPREKLSELHGNSFKEVCPCCRTEYLRDFEIETIGLKDTPRRCTDKNCGARLKDTVLDWEDALPPEEMNSAEEQCRTADLVLCLGTSLQITPACNMPLLSIKNGGKVAIVNLQATPKDKKASLVIHGLVDKVIAGVMRILSLRIPPYIRTDFIQLLLRHTVKKKCVRWTLRVTSVHGMRAPLSFLRSIEVSFPDRSDMKPVVLMEQPFSLQRETSMTSIFSMLLTLNFSDGCGCSSSSIECHVNFQKLKESFVRDRSLVLQELKCTAERQSRAGQQSILERESLPRAETSVHAFVTNIIRYDAEDLKVADPKGSWMNNNSSTSSNLAKRLVEGASGYSASTKKLKC >SECCEUnv1G0538290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72400918:72403139:1 gene:SECCEUnv1G0538290 transcript:SECCEUnv1G0538290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPDIYPLTSLQIGDMQSYISRAFLYFAPVSKKVLILVDNQPWMTSKQSRSARLWQFMITKYRMSPFVNSRSGADTAAAAAAATEKRKITTAMRRWLAVTTPALLHGFLVFEVSWRDVHGINYYNDLLTDTSLALEARYLNKWEFYSAEQAAGCASQWFLGRASETHSLRGYLLHYHHHHHRPRRHHQHHHPLSAGEEERDKDVMTMAAASSSGDELGCTPPMLRRRMSWRSRARRKNLLQHHDHEKEEEEDDKEEQVQGGAAAERPMQMQHYRYNDTLLLFRSRDAALPFKLRQIITSDIRLLTLLESGLPSWVIFLQSYPVVCHMYRPWMRPLARSLYVLASLVTVIIGFYDLYKNVPLLKSAAARICGPLFQWIETWDMVTRIQYLGTILFLRNLRKFMQGLLTLLQAARALLRAMLAPFADLGLCQLLATLGVLASHVRELVVDLAEVVWAPFDVVLDCVAGVVASLWPVLKVAVLPARFAVAVAACAGSVLSNSYNFFKDIWETLSSIFELNHMSEAQQSAFDMTTLKTLWNDLFSQIFRAVRGILNGILVFFYACNRHRLSIYNHAQTRLRHMLRVARLAPKQDAASSSCHCNSTKHPNVHEQDAPVQCHVCKQ >SECCE2Rv1G0133370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:896399226:896400744:1 gene:SECCE2Rv1G0133370 transcript:SECCE2Rv1G0133370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVQLSWGARCAGLAFFSLPIFTVALGAVLLLVRRWPNPWCGCHVCRAYLTGSWAKDFTNLADWYAHLLRESPTGTVQFHVLGCTVTANPANVEYMLKTRFDNFPKGKRFAALLGDLLGAGIFNVDGDAWRHQRKIASLQLGSVSVRSYAYKIVAQEVETRLLPVLADAADKGKVVDLQDISFGLESLDLDMPMSDLANAFDTASRLCAMRGAAASPLVWKMKRMLNVGSERELKKAIKLVDDLASAMILQRRTLGFDNTHDLLSRFMASDVAMDDKYLRDIVVSFLLAGRDTVASALTTLFIHLYKSPEVAAAIRAEAGGDKPSSYEHLMSLQYTHAVLFENMRLFPPVQFDSKFCAAADVLPDGTYVEGESRVMYHPYAMGRMPSIWGADYEAFRPDRWLTGPGGSFAPANLYKYPVFQAGLRVCLGKELAITEMKAVGVAVVKAFDVEVVGENGRSGWAPTFVPGLTASISGGLPVRIKRASTQSSF >SECCE3Rv1G0156370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:72243490:72245429:-1 gene:SECCE3Rv1G0156370 transcript:SECCE3Rv1G0156370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFYVQALVLAAAAAALLQLLKAVLNPVSLRPPPGPWKLPVIGSVHHLMNVLPHRKLRDLADTHGPLMMLQLGQTPLVVASSKETARLVLKTHDTNFATRPKLLAGEIVGYEWADILFSPSGDYWRKLRHLCTAEILSPKRVRSFRHIREDEVRMRVDQIRQAGPSAPVNLSVMFHNVTNSIIARAAFGKKRKNAAEFQAAIKSGVGLASGFKIPDLFPTWTTVLAKLTGMKRSLQDIHKTVDAILQEIIDERKAARVEKIKAGAENVDENLVDVLIGLQEKGGFGFHLDNNKIKAIILDMFGGGTGTSASAMEWGMSELMRNPRVMKKLQGQIREAFNGKAVVTEADLQESNLQYLKMVIKEALRLHPPAPLLVPRESIDQCELEGYTVPAKSRVIINAWAIGRDPKYWEAAEEFLPERFEESTVDFTGSSYEFLPFGAGRRMCPGFNYGLASMELALVGLLYHFDWSLPEGVSEVDMDEAPGLGVRRLTPLMLLATPFVPAAVA >SECCE7Rv1G0519860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865928925:865930961:1 gene:SECCE7Rv1G0519860 transcript:SECCE7Rv1G0519860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEALSHVGPRLVFSFEHVVSGFAARLTEREVAELSKLPWCVEALPDATLRLTTTYTPELLGVSTPATGAWSVAGSMGEGVIVGILDNGIDPRHVSFLDDGMQPPPAKWRGGCHFAGEAPCNKKLIGGRSRAPQEHGTHTSGTAVGAFVRDVQLLGAPAGTASGMAPRAHLAFYEVCLADTCSATEVLSVTEKGAFQDGVDVISMSIGDDTQKPFYKDLIAVGSFSAVLSGVFVSTSAGNAGPLARTVTNCAPWLLTVAASTMGRRVVSRLELGNGVVIDGENLNPYKRVKDRPLVFIAGMFADGALSAVDVRGKIVACQRKEDPIMLAEMIQKAGGAGMISWSGPKRGGATTPVDNLTIAASRVTNADGEKIMAYISSTPNPTATLRFAGAVLNRAALPAIAEYSSRGPCNMSTVGVLKPDITGPGTAIAASIPGGANATAPTRTFGMHSGTSMSTPHLSGIVAMIKKARPQWSPAAIKSALMTTADVTHPDGTPIVDETTGKPSCFAMGAGLVNPTRALDPGLIYDLAPADYIPYVCGLGYNESVVNEILAQPLQNVSCAKAGKIQGKDLNYPSIMVTLTPAAPEVDVKRAVTNIGEAVSVYSLEVVPPEGVTVEVVPNVLTFGLVNQRMEFTVKLKRGANAAADGTAEGSLRWVSGKRSVRSPIAVLFEPLPN >SECCE5Rv1G0349740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:686392480:686392962:1 gene:SECCE5Rv1G0349740 transcript:SECCE5Rv1G0349740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAPQSHQLLLHGVSLDLRLDTATATHQQGPRGARRPASPTAPAVLDSAGREAFACNYCHRKFFSSQALGGHQNAHKLERTLAKRSRDASSTAATAAATATPSSHWLHGGGGELWPYSASAAAPESTTMAPLTGMGWAGSSAAAGGETTPEMDLSLKL >SECCE7Rv1G0463610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:55114729:55117635:-1 gene:SECCE7Rv1G0463610 transcript:SECCE7Rv1G0463610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHRSLLLAALSGPLARHRPPLPLPSHLHRPPPPRPHRPLPFSTGALATPPPPPPDAAPTAQPAADALVALEAAERQESSGDHQKALDLALKALGPLQEAHGGWSLPVARALRLAGAATCRLGSLADSLDSLEAAAEIVDSLQGRGAEAATVAAAVHDQLARTKTAIGRRWEAVASFQRALELKCRFLGAGSAELGDAYRDAAEAYAGVLCFDKALPLCLKALDIAEKRSGEGSAEAAKVRRILVVAYTGLGRNEEALEQNELVRMEYERLGLDAELSLVEIEGASLRILLGRTEEAMNDLKKVMKRASKDSEERALAFVAMAKILCSEERSSDSRRCLEIARETLDAKRSVNTERVAGAYTEISMLYESMNEFEMSLCLMKKTLAFLDGASEMQHIQGSISARMGFLLLLTKRIEEAVPFLEKAIEKLKNCFGPVHFGLGFAYKHLGEAYIEMGQPESSIKFFGLASDIINAAFGPKHEDSIEILQCTANAYGLMGSYKNAMDFQQRVINAYESCGPGSDYEIREAHRLLEQIRKKAEGSPSAVFPANSLPVLPENR >SECCE6Rv1G0407940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:566618244:566622159:1 gene:SECCE6Rv1G0407940 transcript:SECCE6Rv1G0407940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNYRGRDVEDWYDDSDTDDHADDTGDDGHHEQGPRDPDLDLDDEDRAFLSQFPDTWGAPEARKAEDIQGIPWERLDITREQYKLTRLQQYKNYENIPNSGEAAAKECKHTEKAGMYYEFRKNTRSVKSTILHFQLRNLVWATSKHDVYLMSHYSVLHWSALSGVDTEIMNVQGHVAPKEEHPGSLLEGFSQTQVSTMAVKDNLLVAGGFQGELICKHLDREGISFCCRTSHEDNAITNAIEIFNTSSGAVHFMASNNDSGVRDYDMERFQLCNHFQFDWPVNHTSLSPDRKLVLAVGDHPDGLLVDANSGETLHSMTGHLDYSFASAWSPDGRTFATGNQDKTCRVWDVRNLSKAVHVLKGNLGAIRSIRFTSDGQFLAMAEPADFVQIFDVRSDYTNRQELDFFGEISGISFSPDMDALFVGVWDRTYGSLLQYGRIHDNN >SECCEUnv1G0556870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:316256052:316257225:1 gene:SECCEUnv1G0556870 transcript:SECCEUnv1G0556870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGESPVTCVDFWANGFGMRARIALRELGVAFKYVEEDLRIGERSDLVRRMNPVHRSVPILIHRGRPVCGSLNILEYIDEVWSAPGETRRLLPGNPAGRADARFWADFVDHKVFPTQTRFLKSNGEEKYAAKDELIEQLKRLEEVLGDKGYFSGEEFGFLDVVLIPFSSMFRGYEQHGGFDLEFECPNLMRWVKRCKERESVRAVLPDETKMYELHKEWYGIE >SECCE3Rv1G0187250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:696781430:696785046:1 gene:SECCE3Rv1G0187250 transcript:SECCE3Rv1G0187250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPPTSTEEEAAAGSTTPRFRLGKQSSLAPNRGGGGGENGAEVSGEAAGVASFQMMYLAHEGNAEGIRELLDAGADPNFRDSDGRTALHISACEGHAEVVELLLDRGAEAVVEDQWGSTPLADAMHYQNHDVIKILEKHGSNHKVAPMHVNSDRDVPEYEIDPNELDFTNGKDLAKGTFRKATWRGIMVAVKKLDDDVLTDENKVQAFRDELDVLQLIRHPNVVQFLGAVTQTNPMMIVMEFMPKGDLRKHLNKKGALEPLYAVKLALDIARGMSYLHEHKPQGIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRRKVREDKPVTSPGNACRYVAPEVLRKEEYDNKVDVFSFALILQEMIEGCLPFHDKKIDEIEKAHSSKERPPFRAPPKHYAYGLKELIENCWSENPADRPNFRVVIDRLSAIQIELARRNRWKVRPLKCFLSFEGLRKKDRNEGSTTRSSRSSR >SECCEUnv1G0565240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:391849192:391850169:-1 gene:SECCEUnv1G0565240 transcript:SECCEUnv1G0565240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLEMMLVVAIVLAATTSGALAMDIKDKDLASEDSLWELYERWSEHYRVARDLDDKTRRFNVFKENARMIHKFNQGDAPYKLSLNLFGDMTDEEVHRTYGRCSNIKSNGRQHRQQGRFTDNAINTRKGLPSSVDWRRRPSAVTNVKLQGAHCGSCWAFAATAAVEGINSIRTRNLTSLSTQQLVDCNKENGGCKGGFAKLAFKYIMQSGGIETDANYPYVGHEDGHCSVPKPNHNRVVTIDGYKQVAPNDVVALEQAVAAQPVVVGVDSNSTAFQRYGRGVFVGPCGTNLDHEMTVVGYGTTDKHEYKNPIRYWIVKNSWGAD >SECCE3Rv1G0163320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:146917824:146919512:-1 gene:SECCE3Rv1G0163320 transcript:SECCE3Rv1G0163320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPGNVTRHDHTIDIPRNDLTSPSTSRPDSHNHLDELNLNRGPSSEVPPVPESSGTTGMPDFRSASFVRRDQVNRQQNPLNSGLWISIELIVNVSQIIAAGTVLSVSRNEHPRAPLFEWVVGYIIGCVATIPHLYWRYLHRNCQNIEQEPSAQGSSQRNISESDSFAAISSAHASEAVNEDNNTGVPRNNFPIASPRVYALVACLKLALDCFFAVWFVVGNVWIFGGRSSVHDAPNLYRLCIVFLAFGFIGYALPFILCTMICCCLPCIISMVGFHEDLDLNKGATAEVINTLVAYKYKSMRIRDGGDVGEDNGGVLASGTDKERTISAEDAVCCICLSRFSNNEDLRELPCGHVFHMECIDKWLKINALCPLCKSELGGSTAASPGTEGQHQQNENREGGGDVESQR >SECCE2Rv1G0104720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:610369645:610372608:1 gene:SECCE2Rv1G0104720 transcript:SECCE2Rv1G0104720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFWGAEVKPEKPYTHTHSPRRGRLRLTQATLGAEVGKVEKGKSNLVQLQCTVKNKEPVYLCALIPGQSVTCHLDLEFEEKFVTFSVLGPRSVHLAGYYVGDVYEDIGDSDTGSDSLQGSDDDFLASDDDVVIPISHGQMNTDSEDDSDYDEEYDSEDDEDMYNQGRGKSSVVIEEIQEDEKPVDDNSRLQLAVRTPPAESVESEDEDGFPVSESKKSSKGSSKKDKNLNNGTSTEDRKRKSDAINDPHKSSGEVNAENDVVSKKKKKAKDKKNAVDSEKINDEEKEMKLASSADTVAAKQKKKKNKKQSAPEGDTDEQADKKTITDNDEEPSKEGAKKKKNKKKTQENNGSENQAQTDVMNSASKEQTSPKARTYGNGLIVQTVALGKPDGKKAIPGKKVSVTYTGKLKNGKIFDSNVGRKPFQFRLGVGEVIKGWDIGVNGMRIGDKRKLTIPASMGYGNQKAGTIPPNSTLLFDVELMNVQ >SECCE7Rv1G0504470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:723580132:723581857:-1 gene:SECCE7Rv1G0504470 transcript:SECCE7Rv1G0504470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Endoplasmic reticulum stress respons [Source: Projected from Oryza sativa (Os06g0622700)] MDTDLDLDALLASFAGDSAVSELLAPPPHLDAAEAGSPESVTSRSCPAGEEVLSEIERFLMQEEEAAGAEPVDGISVDEFLDALFDGGEEGGEKGNESEAEAGGSTDGDSRREEERVDVVTPDTEVEVVTPETEVDGDDPISKKKRRQMRNRDSAMKSRERKKSYVKDLETKSKYLEAECRRLSYALQCCAAENMALRQNMLKDRPIGAHTAMQESAVLSETLPLVSLLWLVSIVCLFLTPGLPNRSLVAPRRAERDLATVAGKPSSDQPETLELLLHGRRWRGTRERIKLDTPPLRAAAAC >SECCE3Rv1G0190360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:737974079:737981120:-1 gene:SECCE3Rv1G0190360 transcript:SECCE3Rv1G0190360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEQAGAGAGGAGSGPPPRVPVPAVSTQPPIKKLVRQLDFNYGNPAMAAAAVAASRTVQPRALQVGYPQPHQLRAALPMGVPHQLQPRGLPVMRPHQMVHASLPRPALPMAMPVPVPQARSALPQPIQRAPVAVPLKPESPKPRGRLYDGKDATPTKKKCCNCRHSKCLKLYCECFASGIYCDGCNCTNCFNNVENEVARREAIEATLERNPDAFRPKIGSSPHTNRNNMEVAGDLPLIGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFDGSEDRKHLNMDHKNVIHMQQAANAAVNGAIGATALSSPSTSRKRKHIDSSLDLSTKEHLAIRNGQLSQKNPMASDGSLPVGQSAHPHMMGPFKVTYRPLLADIIQPGDVKELCKLLVTVSGQAAKAYTGRKIQEEKVAEKEDGVGGSLASTNHDREGKNKDQNHRKISIDDHSSGGTDMGKASLDDSRPDCTDDQKSSRPMSPGTLALMCDEQDAMFATSQNAVAPPAIDVDQNRSELYAEQERCVLTEFRDCLRKLVQYGRMKEEKYSMAIRSEVTGHPGQVNGAPRIPYPKVDVPVVKTFPQSSSKHLVAGNPSSGHLDRRLNPENT >SECCE2Rv1G0094020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:389583652:389594188:1 gene:SECCE2Rv1G0094020 transcript:SECCE2Rv1G0094020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVSPPGAPTRRPCTGSSVKDLCLVSKQGSIAEVESALALIKKNGGSIDGRNAFGLGALHLATWRNHLPIVRRLLDAGANPDARDGESGWSSLHRALHFGHLCIAGVLLQFGASLNLEDTKGRTPIDLLSGPVSQANGDSPNSVATEVFSWGSGTNYQLGTGNAHIQKLPCKVDALHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIQSGQTTAVITPRQVTVGLGRKKVNVVAAAKHHTVIATSAGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKQRIIAVAAANKHSAAVADTGEVFTWGSNKEGQLGYGTSNSASNCIPRMVEYLKGKVFKGVSAAKYHTLVFGADGEVFTWGHRLVTPRRVVIARCLKKGGNANVKFHRMERLQVVSVAAGVMHSTALTADGALFYWNSSDLDLRCQQIFSMCGRNVVSISAGKYWTALATSTGDVFMCDAKKRKDETPVFTRVNGVKRASSVCVGETHMLVLSSIYHPEYPAKPKIQSKKPSSEWCGVMEELDEDILVTDVQPETDLSVTNSEMSKGIPSLKSLCEKVAIQHIMEPKNAIQLLEVADSLEAKELKKHCEDTTIRNLDYIFTVAAPSIMIASPEILANLERLLDEKSLEPWSHRRLPTMTATYPAVIDSDAEGDNVGGFPRLRDSQKPASKLYGMSGYGNFLQKESNAEQAVSKQIRAIRKKLQQIDMLEAKQLDGHQLDDQQLAKLESRASLESELAELGFPTEAFSRPSVYVANKKSEGSKKQKKKIKQAAQSDITSIKHEDKEQNHIKELPEVLPTHGSSEKEPGKPSDDGTSSNTKAIFCPLGDKASRPTSSKKKNKKGGLSLFLSGALDDTPKLSLPTPVVPVTPKQEGPAWGGAKITKGPASLLDIQSEQRSKTNELMSTKAKHRHEDSPDSAGLLRLSSFMPDACSSPISVTPARAVPAHEGDKSTPPWSSSATSPNLSRPSLRDIQMQQEKRHHSVVSHSPKTRTSGFDIPSLGAAPEVVGVKDNVPNRWFKPETDTPSSIRSIQIEEQAMKDFKRFYTTVRIMKPQV >SECCE7Rv1G0493230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:565830163:565831220:-1 gene:SECCE7Rv1G0493230 transcript:SECCE7Rv1G0493230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKNLVKLLILVEVAVALAGPGVAALSMNYYGMNCPFAEYIVRNVVSEAVMGDPTLAAGLLRLHFHDCFVQGCDASVLLDSTPGSKAEKDALANKSLRGFEVIDKIKDTLEAQCPGVVTCADILALAARDAVLMVGGPYYDVPQGRRDGRRSVDTDTLTALPSPFLNASALITLFGTHGFNVQDMVALSGGHTLGVAHCPSFTPRLKFEASTLDAGFASSLAATCNKGGDSASATFDRTSTAFDGVYFKELQQRRGLLSSDQTLFESPETQRLVNMFAMNQGYFFYAFTQGMGKMGQIDLKEGDHGEVRKSCRVVNKASW >SECCE7Rv1G0515550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839955796:839958591:1 gene:SECCE7Rv1G0515550 transcript:SECCE7Rv1G0515550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKRSVGTLGEKDLSGKKVLLRADLNVPLDDGQRIADDNRIRASVPTIKFLIGKGAKVVLASHLGRPKGVTPKYSLKPLVPRMSELLGVDVVMANDCIGEEVQKLAAALPDGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKYLRPAVAGFLMQRELDYLIGAVANPKKPFAAIVGGSKVSTKIGVIESLLSKVDILILGGGMIYTFYKAQGHAVGKSLVEEDKLELANSLIEKAKSKGVSLLLPTDIVVADNFAADAESKIVPASAIPDGWMGLDIGPDSIKKFSETLDTTKTVIWNGPMGVFEFEKFAAGTDAIAKKLADITAKGVTTIIGGGDSVAAVEKAGLASKMSHISTGGGASLELLEGKTLPGVLALDDA >SECCE3Rv1G0158720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:90263542:90264579:1 gene:SECCE3Rv1G0158720 transcript:SECCE3Rv1G0158720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDNPKKADKDQDEGEGGFFDKVKDFISDIGEKIEEAVSFGKPTADVTGIHIPQISLEKVELIADVLITNPNPVPIPLVDIEYLIESEGRKLMSGTIPDAGTIDAHGSETVKIPVLLIYDDIKSTYGDIKPGSIIPYLIKVILHVDVPVIGRISLPLEKAGEIPVPYKPDVDISKIKFEKFSFEEATATLHLNLDNKNDFDLGLNALDYEIWLSNVSIASAETNESTNIKKQEVTTMTLPISFRPKDFGSAMWDMIRGKGTGYTIKGHIDVNTPFGHMKIPISREGGTTRLKKEDDDDDNDED >SECCE5Rv1G0351260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:697678965:697679846:1 gene:SECCE5Rv1G0351260 transcript:SECCE5Rv1G0351260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRDGAISGAPPPAWGEMETDCLVRVFRRLALEDVAAAAPLVCRGWRRAAADPSLWRALDLRHDHVARFMPWGALAAAFARRYGVARFAFAGFLGLCLARAGGSVSDLALPPLLSSPTAELDLVAAGCPSLRRLALPPLLCSTDEARLPELVPRWPLLQRLELESKPSSFPAVAAQLALHCPGLSGLKTSGAIKPEDAAAMAASLPRLRSLCLDSSYLPKQELLAILAGCRQLEEFSARNCVGFNEKDEEVLGRGARIERFDIGGSRFVDDLDDEMMADDEFCGSSYVDVM >SECCE5Rv1G0373350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:857453783:857454691:-1 gene:SECCE5Rv1G0373350 transcript:SECCE5Rv1G0373350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAWVRSLSCKYSTAVADDAYSPLLPPPKKHPPTPTVKGGAASLALLPPERGRESRRSRSMSCERDRPATATARKTKKKDATAELARMDKPKQQLAASLGRPTSAFLEMTELPEGHPSRRVVELIFASGWARADGAAEVEALFRVHSTARAVARFEGARAAARTRGEAAADARCAADGNEVMRFQCRPATEAGGGSEVICAAVATCHKAGAARAVRTFASSGAADAGIAGGRDGRRGMLVCRVIAGRVRRASTDEHPGEYDSVDAGDGELVVLDRRAVLPCFLVVYTVKPPPELSSSSS >SECCE3Rv1G0191590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:749630520:749631191:1 gene:SECCE3Rv1G0191590 transcript:SECCE3Rv1G0191590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLEQAAYHAIPADPRDDEAPPVLGNAPVARSSHMDGPTFLILVLLSVGVTALMLGPMYYMQGANVASFSVALAGYEGIDPARPGRVVSPAFNLTLRMNKTCADRAEVVLTYSGVALGWARVEPRGCFSREPWGRGVEVVARADGVGLSGPLRERMASEWRRSGRVELDVDVAVYRDTGERWRWEYFGENTRDKVMRCKVTADDGLTSESPPCPWYSLLPQ >SECCE6Rv1G0402060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:479060215:479063762:-1 gene:SECCE6Rv1G0402060 transcript:SECCE6Rv1G0402060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKNLSDACKVSFSPDGPISEETLERVRALLDEIRPLDLGLDNEAQIARTWNSSTRQQNGRRGRGGPNQYAPPIKYLHIHECESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGKLHAESYDWIDVADPTDPLKPRPARCVRDREMTAPETTILYPDRGGNIHTFRAITPCALFDVLSPPYSAENGRDCSYFRKSSVKEPSVVLPSEIDSSEVVWLEELEDHQPPEGFVVARGLYKGPVIRR >SECCE2Rv1G0113440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:722552606:722557567:1 gene:SECCE2Rv1G0113440 transcript:SECCE2Rv1G0113440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWHWMGSFKYLVLAPAALHTAHRVVTKGWGDMDTAYAAILPSLLLRMIHNQIWISLSRHQTARRKHIIVDRGLEFEQVDRERSWDDQIILSGLYFYLAYAATPSVRLMPMWETKGAIIMALLHVGPVEFLYYWFHRALHHHFLYSRYHSHHHTSIVTEPITSVIHPFAEMLVYFLLFSIPMLIPILMGYGSILGIVLYVAYIDFMNNMGHCNFELLPKWIFQLFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPFYDYIYNTMDKSTDELYERTLIGTEETPDVVHLTHMTTLQSTYHLRVGIASIASRPSDNPVWYAWMIWPMAWLSMVLAWIYGSSAFVVESLKLKKFKMQTWVIPRYNFQYGLIRERESINRLIEKAILDADVRGVKVLSLGLLNQAKQLNGSGELFTHKYPKLGVRLVDGSGLATAVVLKSIPSDTKRVFLCGGGSKVEHAIATALCEKGVQVIMNQKKEYDMLKLRVSESSIAYLKFSSDETPQIWIGDIIDDKQQMGAPKGATFIPTSQFPLKKIRKDCTYLSIPAMKIPEAMQNVHTCENWLPRRVMSAWRIAGMVHALEGWAIHECGDDMMDIEKVWSAAIKHGFTPLSKI >SECCE1Rv1G0031680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:444906586:444908283:-1 gene:SECCE1Rv1G0031680 transcript:SECCE1Rv1G0031680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSNSPSTSVSDESSEAEARCCSSSSTAPSLHDTVDFSRTASDVSTFSERSVDLSGPFGTAAVSKLIGGRGSPAAALSRLSMKPRADVLDRRSAEDELDLVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYATVFGNCHKLEPLPAGKKAMWRREMDCLLAVCDYIVEFYPSTQPLSDGTRVEVMATRPRSDIYINLPALEKLDAMLIEIMDSFQKAEFWYADAGTRSFGSVTSSSSPSSSFRRSTTTTTTQRNEDKWWVPVPCVPEGGLSVKARKELRQRRDCANQIHKAAVAINSGVLSDMEVPESFMALLPKSGKASVGDAVYRAMHSSDKFSPDYLLDCVDVSSEHEALALADRVEAAMYVWRRKATASHGAGRSAQWSRVKELAAAADDGGEGGKNVALAGRAESLLLCIKHRFPGLSQTTLDTSKIQFNKDVGQAILESYSRVLESLAFNIVSWTDEVLFADKAARK >SECCE3Rv1G0154080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:58703744:58705020:1 gene:SECCE3Rv1G0154080 transcript:SECCE3Rv1G0154080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNSSDAHSRNRCAACYRQFNRMEHLVEHMRSSYHSHHEPRCGVCGKHCRSVDALRDHLGFGASLPSKPACAITFQTRGCPLCLAVFPTSAILRAHRPTCKLSGAPHPSSVQSLTRSMSRLGAQGGRGGAVALGCKMVGVGSDGTLDACARVCVIDENEAILYESFVKPLIPVTHYRYETTGIRPEHLRDAPTVKQAMRRVHDILLNGEQSYSYSSRGAARLLIGHGLEHDLDALSMDYPAHLKRDTETYPPLMKTSARLMSNSLRYLTRSCLGYDIQTGGHHHPYDDCVAAMRLYKRIRAMSHLHRHGRPKDDDDEPLAKAFPAWRQRELERMSPEELLAMSKPDYPCWCLDEDRRW >SECCE6Rv1G0450810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:869863161:869864807:-1 gene:SECCE6Rv1G0450810 transcript:SECCE6Rv1G0450810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMTTVFCLLLVVLALDASHVDARGTPSAARGSNNQWSSMFVFGDGFVDNGNLQKTESSRQWNYPYGSYLNSRGSATPVPTGRFSNYQIQSDFIARILGLNEAPPSYIATPRLSCDSSGMTFAFAGAGVYDVADKQVPTLAAQVNAFTTLVNAGVISRQQLHRSVALVAISGNDYMKGADVNNAFLSSFDDIDTYIGNVTTEIAKNVVSLQRLGVRKVLVNNLHPIGCWPLRTISNNYTACDLLANYAATVHNNNIEHLMGNKNNAHILDLYTAFTDIVNHAPGEGSEQSNNFKRKLTPCCEASTKLGYCGEVSPSGERLYSLCKNPDKRFYWDMTHPTSAGWEAVTEALEEPLREFLDRDYVP >SECCE2Rv1G0114490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:735744260:735745024:1 gene:SECCE2Rv1G0114490 transcript:SECCE2Rv1G0114490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHYRFRLSHLVPNSWFYKLRDMKRPRPPSQPRSSTATRTPRRSSSSYYYHGTSTPKPLPLPPHQSYSSYLQAKKMPPEKLRLSPLRLSPKATNIRCPSDRHQSPSSRSAAAVFDDFQGLQLRPIRTRPASIASRSAHHSTTTSSTCPSSPRLRSRRLRLSSSNGCCRVSTRCTGRRRSARRSIAVVVASTDPHKDFRESMVEVIVGTDMRGAEALRDLLDCYLSLNSREYHGVITEVFRGIWLQIIRDGVEI >SECCE7Rv1G0482370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:304188142:304192136:1 gene:SECCE7Rv1G0482370 transcript:SECCE7Rv1G0482370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNLRRRLHHADVDGRKNEHVDISHVDSLDEPLLGKSSYDDGRSEVYDPRRQDLWDDDDRKKEQLHWSFLFSNLIAQWAQWLANIIVSSGSIFGRLFPFSSDNQISNPVYLSPLQEERLDNLRRRLKIPFDGSRIEHQDALKQLWKLAYPSREIPPLKSESWKEMGWQGTDPSTDFRGGGYISLENLIFFARNYPASFQMLLNKVQGQRADWEYPFAVAGINISFMLIQMLDLQSTVPSSKSGIRFLELLGRDENAFDHLYCVAFRMLDAQWLVKRASYMEFNEVMKSTRTQLERELVLEDVLAVKDLPSYTMLDK >SECCE1Rv1G0061400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:715465620:715467281:1 gene:SECCE1Rv1G0061400 transcript:SECCE1Rv1G0061400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPRTTILHLVLTLCCILSGPPLASTATAIDEFLGCLSADIPSGLIQTPATPSYSALLLSTARNLRYILPDTSKPLGIIAATEHAHAQTAVRCGRRHGVRVRVRSGGHDYEGLSYASIHLHNEPFAVLDLAALRAIHVDPARAEAWVESGATVGELYYAVGAASRSLGFPAGSCPTMGIGGHLSGGGFGSLARKYGLSADNVLDAVVVDADGRLMDRSTMGEDLFWAIRGGGGESFGVVLSWKVRLVPVPETVTVFNIIRSRNQSAIELITKWQEMAPVSPEELYLRVLVLNQQANFQGMFLGRCGGLHGLMQDRFPELGMTEQDCEEVSWVQSTVFFGFSTTSVPPEQLLNRRSNPRYYLKAKSDHVQEPIPRDVWERIWRAWLEKPEAALLMLDPYGGMMSSISPSETPFPHRQGNLYQLQYYSSWYENGTAAAEKRMRWVRGLYKEMEPYVSKNPRAVYVNYRDLDLGTNELDGGKVTSYDKARVSWGDKYFKGNFKMLAAVKTMVDPHDFFRNEQSIPPLPAAKMMNRAWPDLTSRMQARDGDARRA >SECCE3Rv1G0169960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:244709476:244713491:-1 gene:SECCE3Rv1G0169960 transcript:SECCE3Rv1G0169960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDDPLDFEGDNDPLLTVRRPAKRKKVIELDDLLQDYFDSGKDELKASAAKSKGRPKGDNSDDEDKQVRKKEIDFCKFVEECEEKAKAMDPGEDVPQWGQQVFGCQKSPSVLNGMGVENCQLLQSFCGDEQLGFDLEIERGEGFLEGMLINGWLLKLVGLYGSVEDSIASWVLTKLLYSSNKKLQDSASEFWDSLLALNEDDKPLVNFGYFPSYSILMGALLNYGYLHDAPCTKACTSEIAVADTKDGGPPQNITAWLRVVSACCRIRKMHSVFSPSEAEDVLVIVISLFLDRRLEGLLLILEDCLNSLISYFNTSEWESSCLMVAELISKRVNMDLNCLRIVDCITGTNNRSKFLRSQLALQLLKNSFSLKVANVEKILKSVTSMNVKEKECNFFTLYVHIVLMDNLLFSSDAFRNKTAIIDAWRNFLRNCSTQIGCTDWRFYASKVRNKASYLLQGAMLKRPAGSGSIPAK >SECCE7Rv1G0505850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:741347164:741351545:-1 gene:SECCE7Rv1G0505850 transcript:SECCE7Rv1G0505850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSSSSCPHRKLLHSLICWAVQRCRMSESPCRLAVFLKGPSDPAASSALRVSVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGINDKAIYHYRCNLHESVSSSTRFSKMATTYKNNAKFSGTEACLSLSNDADIDDFILWLVGFARKIHVLRAANLAIELSIEQTISAGSRNVCLPNESDDTHLSIATSSIERLVSGLEDYALSHGNTCDKCDACCMNRDRLKVGTGSAKNSDRRRDKGLLVEIAIMVAHTASDLSCWMVNCSSSQVLHFQDFVPCPISQSSFNVLMNMDWQSFGFKLKGGFMDDEGNAVLEWDNLTFARVDIAIHTYHGIYPALVLCMLPFSIDCLGVDIQHGFFPIVFL >SECCE5Rv1G0354570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:724449145:724454851:1 gene:SECCE5Rv1G0354570 transcript:SECCE5Rv1G0354570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCALRSRLHPLSPSRSSAAAATPHRLLLCLLSTAAPRHSHGHHHHRRRHSPTAYAAESPRPMPPIGRATRHPAGATPIARVYADANSQRPKEYWDYEALDIEWGEQDGYEVLRKVGKGKYSEVFEGLRTGSEERCVIKILKPVRKKKIKREIKILQNLYGGPNIIKLLDVVRDDDSKTPSLIFEYVNNTDFRVLYPTLSDYDIRYYIFELLKALDFSHSRGIMHRDVKPHNIMIDHEKRQLRLIDWGLAEFYHPRMEYNVRVASRCYKGPELLVDLLDYDYSLDLWSLGCMFAAMIFRVDPFFHGQDNYQQLVKITKVLGTEDFHRYLEKYGLRLDPQLEMLVGRHRKKPWEKFVDSGNRHLVSPEAIDFLDKLLRYDHQERLTAKEAMAHPYFNPVRGSESTRENVQ >SECCE7Rv1G0511180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:801950709:801952097:-1 gene:SECCE7Rv1G0511180 transcript:SECCE7Rv1G0511180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAQTSRKRVAEKQINKDNPELDDDSLEQEAGTFKKASEEVMATRKIVKVRRQQPSSAPSSNPFSAIKFTTSDSSVQASIPVSKPLPSDVTTSNERGSSEKANEASNGSGKDADKNADSNEVAEIQKDESVLKESNAPMEAHSSLTETGNKADNAEGGTGEDKLLVGEPKVDNSKTSGTESKTEDEGTKANESGDEDKSSKDGAEKNDESESGAKDASCGQKDAVNKGQSSSPTPLFSFMNVSSGHNAFTGLAGTGFSASSFSFGSASKESTNAPLFGLKSDGTSFPSFNIGGTNNNGSSVPSLVAAAEAPKKFTMPEGPVETGEENEMAVFTADSAMYEYLDGGWKERGKGELKLNVPVSGGERSRLIMRAKGNYRLVLNASLYDDMSLKDMEKKGVTFACINSTGDSPGGLTTFALKFKDTGVRDDFKAAVEAHKAKKAPDAMPKTPESSPKVSDD >SECCE3Rv1G0201960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:868188910:868190330:-1 gene:SECCE3Rv1G0201960 transcript:SECCE3Rv1G0201960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMALLARLSLPRSEARESSLEERHAGSDEQTSEQSMEEAFQASHLDSDSQVRLGSSSVNGSLPNTHKLDGECDIDDGMIRVPSDRTNYSSDAYGEVADCGLSVSSALQRENVILPRLGDVCTEGPSVRRQATDRGFPRIVPALSMDARDDFSATENQVRELINDLGSDSIEGQRSATSEIRLLAKHNMENRIVIANCGAINLLVGLLHSPDAKIQENAVTALLNLSLSDINKIAIVNADAVDPLIHVLETGNPEAKENSAATLFSLSIIEDNRVRIGRSGAVKPLVDLLGNGSPRGKKDAVTALFNLSILNENKSRIVQADALKHLVELMDPAAGMVDKAVAVLANLTMIPEGRTAIGQARGIPALVEVVELGSAKAKENAAAALLQLCTNSNRFCNIVLQQDAVPPLVALSQSGTPRAREKAGALLSYFRSQRHGNSGRR >SECCE4Rv1G0287550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:855722232:855726607:-1 gene:SECCE4Rv1G0287550 transcript:SECCE4Rv1G0287550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANLALAGLSLAPLVINVNPNLNVILTACLTVYVGCYRSVKATPPSETMSKEHAMRYPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFVLGIAALCATLLPSVKRFLPEGWNDNVIVWHAPYFHSLSVEFTKSQVVASIPGFFFCVWYAMKKHWLANNVLGVAFCIQGIEMLSLGSFKTGGILLAGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKSRGYFNSAFLGYTAGLTVTIAVMNWFRAAQPALLYIVPGVIGFVAAHCLWNGEVKPLLEFTEAQAEEKEEGGDPDHESKKAN >SECCE1Rv1G0055680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:682462644:682464638:1 gene:SECCE1Rv1G0055680 transcript:SECCE1Rv1G0055680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >SECCE7Rv1G0459670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26714919:26715878:-1 gene:SECCE7Rv1G0459670 transcript:SECCE7Rv1G0459670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGLGAEQPTATTLFSLASARPLAGVALEEELKNKSVCPTPQGWVLAQHRDAAAATTYLLDPRSSQRIDLPHLAIQQGLIPYCSCLLAGDPAATPGCPVLVVEPIATSVWHCRVGDGEWARHDYDIGTLGDEHFVEKRVIAPIAACRGRFYFNAVPAETRVLELPRPGHGAPAFGRARVFMVGTEDGELYKVVLLHRGGAYDEARVLKMDFSESRWRPVEDLGGRAFFVAPMYFGASCAAGGGLVRPDCIYSLVGVAKNTFRIFSLKDGSSEVRRLEKEEQPAVPHETVGKSRPCWVLPTHPTLC >SECCE3Rv1G0162380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:130242374:130244536:-1 gene:SECCE3Rv1G0162380 transcript:SECCE3Rv1G0162380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGDGDDDVRHHRRIPRADEIDAARFPMTICYSDDDEEFDLKSPSEIVALHAARRDHITGYDPKKRARVLTRFCGVNLAGFDLDRESKVGLGPLLEDVTPQLWEFRAYTSYNVVSVKLIESDRGYPVSVFGTVLARDTIDYRCVYLFRREREDPQIITSKEDILALIGPRRGLVAFDNIHFEFNLKVKGDPDDEDFSKGVIESRAFDSGPITTMLPSWLSTVELVFAPVKHPVAASLQINILNGPPYAPFVGKVSAGTRNAETRVILYDGRAINCSGILVGDGSIPLSRNLVVIPIPTCDDDEKFLIHVCIFDNDEDEGTHITVQHPDEEHVCTHGSYELQVKVTWTAILIIPRGAKYHPMRGLSWTECYGSLNYSG >SECCE5Rv1G0365610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:808263607:808264074:-1 gene:SECCE5Rv1G0365610 transcript:SECCE5Rv1G0365610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEDSKFARVTDNLNSALTIHQLTEEKNKLDADYDKLVKDVHQLVDMQQDRVVDFSYLQSNLTYQHQCRVELVAGMKVEMAKKDVDREKLNQKYELLCNLTSAQATVIQNLKLKNLKEKELLSEARQNLELKNADFTKFEEKLTQ >SECCE3Rv1G0208780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:934813277:934814864:-1 gene:SECCE3Rv1G0208780 transcript:SECCE3Rv1G0208780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGASGTPQAVGLVLVLSLIVIYLATSSSRAEKLLRKLPSPPFKLPVIGHLHLVGSLPHVSLRDLARKHGPDVMLLRLGVIPTLLVSSPRAAKAVLRTYDHLLASRPHSPVGDILFNGSTNVSFAPYGDYWRQTRKIVTTHLLSANKVLSNRAARETEVRLVLARLTAAAAASLPVDVSELLGNFANDVVCQAVLGRLPREAGRNKMFRELLQVDSKLLGGFILTDFFPSLARLDMVVSRKAANQKKIWDDLLEDLIDRHQSETVKDEEDFIDVLLRVQQEYGLTKDDIKAILMEMFEAGTHPTYISLDYAMAELMRNPRVMTKLQAEVRGCATKRREMMVTEEDLESMSYLKAVMKETMRLHGALLIPHLSVADCDLEGYTIPSGTRVIVNAWALGRDPTSWENAEEFLPERFMEHAMDAACDLQGNDFRFLPFGSGRRICPGINFAAVTFETILANLLYHFNWELPEGSPGVDMTEEYGIDVERKVKLLLIPRAAQDL >SECCE5Rv1G0329930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:523175962:523177613:-1 gene:SECCE5Rv1G0329930 transcript:SECCE5Rv1G0329930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSRSPLLLLFLSSHLALLVAGEFLRLPSEKDVVGTRWAVLIAGSNGYYNYRHQADVCHAYQIMKKGGLKDENIIVFMYDDIAGSRDNPRPGVIINHPKGGDVYAGVPKDYTGADVNANNFLAALLGDKSKLTGSGSGKVVSSGPDDHIFVYYADHGGPGILGMPGDEEYLYANDLVRTLEKKHAGGAGYKSLVFYLEACESGSIFEGLLKANIGVYATTAANAEESSWGTYCPGDDEGAPPPEYDTCLGDLYSVAWMEDSDAHNLNAESLRQQYERVRDRTSANGTYSLGSHVMQYGDLGLNDQSLFLYIGTNPANDNATFLQGSSSSSRRPPGARVNQRDADLVHFWHRYRRSAEGSAEKGEARRRLVETMARRSRVDSSVELIGGLLFGSEEGAKVLGAVRPAGQPVVDDWDCLKSMVRSFQERCGPLTQYGMKHMRSLANLCNAGVREEAMDKAATQACAANPSSLL >SECCE4Rv1G0232340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:196607234:196607698:1 gene:SECCE4Rv1G0232340 transcript:SECCE4Rv1G0232340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGAGAYPGSGDDGGTPYTAGVAVVVSVLFVLALVRLARYILGPPSEAQQQPAQGRGDGEPLAEVAVEVRSAEQVVCAYRRGDGWREATCAVCLSDFADGETVRVLPACMHYFHAACVGEWLRRNTTCPVCRTAPPPPSPPRSPVKDSSTA >SECCE7Rv1G0518840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:862394108:862396848:1 gene:SECCE7Rv1G0518840 transcript:SECCE7Rv1G0518840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDDCFIPLLIPLHDRWRRGSHPCLSQLDLDERAAAGSGTSHGVPRRILRSPNRPLAVPPTPLDPLPTYKGNSLHAFPEEEPKQHQVAISRAASKGRYARFKENLNAKAEETKQHHNQVAVSCAASKGRNRAGWMSQERSTPEGINMKEHGWRFRFPFPELPKSTYRGDLNSLGRPVVMKQRKPLEVRNARRERRVAAKQKAARYHAEVALRKYNRANNTKFELVEVKVISIFSEFGGAGAHYNFTAKQPEDQHCADADSTKLFFSEVDLYFRSENDVIMCCIVGENDAGHCYGCKNYQPVIHPSSEAYGGGSSTCIDYPYPDSESSDSD >SECCE3Rv1G0171290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:266984552:267000246:1 gene:SECCE3Rv1G0171290 transcript:SECCE3Rv1G0171290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRAVTLLLLATAAAAAPWAVSGQHYGSISRDDFPVDFSFGASTSAYQWEGAAAEDGRTPSVWDTFAHAHAGDDCVNGDVAADGYHKYKEDIKLMKETGLDAYRFSLSWSRLIPNGRGEINPKGVEYYNNLINELLDHGIQPHATIFQYDLPQILEDEYGGWLSPQIIGDFTAYADVCFREFGDRVTNWTTLNEPNALVSLGYNAGIGPPGRCSKPFGFADCSRGDSVKEPYIVAHNCLLAHSSAVSLYRRKYQAKQQGLIGMNMFINNILPYTNSTEDIAAAKRAQAFYTGWFLNPLYYGDYPVVMKENAGSKLPKFSRSQSEQLINSMDFLGINYYTFLYVKDDPHHAPSNRRDFRADMAAKSIFASNSTTGFSVPGYGLQQVLEHLKQSYGNPPIYIHENGYPMHQDVVFDDGPRVKFLSEHLENLLIALRNGSNTRGYFAWSLMDLYELLSVGDTYGLYYVDFADEDLKRYPRSSAIWYKDFLKGRRTETERFSDH >SECCE6Rv1G0452720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879092361:879092873:-1 gene:SECCE6Rv1G0452720 transcript:SECCE6Rv1G0452720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRMLTSTTTLMVIMCLLILSLSVNSTTANCGCCISFAAKTCCSTCILAGGSDFACKNTCCFPCILADSVVAKMNEMGMESKMEGLD >SECCE2Rv1G0136750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:913839154:913858308:-1 gene:SECCE2Rv1G0136750 transcript:SECCE2Rv1G0136750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGAMLLEDFGQRVDLTRRIREVLANYPEGTTALRELIQNADDAGASRVRLCLDRRAHGARSLLAPALAQWQGPALLAHNDAAFTDDDFASISRIGGSKKASQAWKTGRFGIGFNSVYHLTDLPSFVSGKYVVMFDPQGAYLPNVSAANPGKRIDYVSSTALTLYSDQLSPYRAFGCDMKAPFQGTLFRFPLRNAEQASSSRLSRQVYTEDDILSLFAQLYEEAVYNLLFLKNVLALEMYVWEPDMREPKIVYSCGLRSQDDKLSWHRQALIRFSGTAAESVEQKIDSFSMDFVSEAFLGNKFEKKSHTYFIVQGMASALSKIGIFATGAAKEYDLHLLPWASVAACISKVEAEDINLRQGHAFCFLPLPVRTGLPVHVNGYFEVSSNRRDIWYGADMDRGGKLRSDWNRLLLEDAVAPLFRELLLALRTLTDSTILYYSLWPTGLYEEPWSILVEQIYKVIYTSPVLHSEIKGGTWVSPAEALLHDEGFSRSNDLSEALVLLGMPVVRVPSAIVDMFSKFYMKSIKRVAPAAVRHFLQDFVKLGTLAKSHKLILLEYCLSDLDSADIGKFMNGLPLIPLANKQYGIFSEISQETYYVCDKTEYDLLSAVGDRIIDRSIPPVLLDKLYQMANNPQVNISPIDGPIFLQFFPRLFPPGWKCKNQVPWDPSSGVSSPTADWFKLFWHYIGERSYDLDLFSDWPILPCTCGHLYRASTASKLIETESLSSLMKEVLAKLGCKILDTKYLIVYRQLSHYVYDGDATGVLNSIFGIASLEGVDVHALFQRIKPGEKIELYQFLLDPKWYIGVCLSDMNIKLCKKLPIFRVFDGGSHSSYGFSDLSSSKKYLPPLGVPEHLLKSDFVFCISPSNEDIIMRYYGVERMPKSVFYQRYVLNKLDELQAEVRDSVILAILQDLPQLSLEDPRFKEGLKVLRFVPTINGTLKSPQSLYDPRVEELYALLQESDCFPNGLFQNPDVLDMLLCLGLRTSVSTDTIIESARQIDSFVRKDQEKAHSRGKLLLSYLEIHAHKWNVNKAFDARKKVNNMFAKVTTALRPRDTSWECDLEKFWSDLRMICWCPVLVTAPSPALPWPSVSSMIAPPKQVRLQEDMWIVSASSRILDGECTSSALSYSLGWSSPPSGSVIAAQLLELGKNNEIVTDQVLRQELALVMPKIYSLLTNLIGSDEMDIVKVVLEGCRWIWVGDGFAKVDEVVLSGHLHLAPYIRVIPIDLAVFKDLFLDLGIKEHLDPVDYASILTRMAMRKATASLEAEELRTAVLVVQHLAEFRFQDQQTQIYLPDSSARLCLSSELVFNDAPWLLDSGHDIFGDASSIAFSPKKYVHNFVHGNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEDLTTRLKHIVEMYADGPGILFELVQNAEDAKASEVVFLLDKTQYGTSSILSPEMAEWQGPALYCFNDSVFSPQDLYSISRIGQDSKLEKPFAIGRFGLGFNCVYHFTDMPGFVSGENIVMFDPHARYLPGISPSHPGLRIKFVGRKILEQFPDQFTPFLHFGCNLQQPFPGTLFRFPLRNEAAASRSQIKREQYATQDVEMLFSSFSEVVSEALLFLRNVKKITLYVKEHDSQEMQLVHRALKQNSSEVSKEPHALNTMLAFVHGNQSSGMDRNTFFNRLNKTKDSDLPWSCKKVAILEQSATAHLVHSWILTECIGGGHARKLSTASDSKSHFFVPWASVAAYLHSVSVDDTKELSGEAEVNRDDSVPKHLAVQSSQSRNSFEGRAFCFLPLPINTSIPVHVNAYFELSSNRRDIWIGNDMAGGGRVRSEWNLALLEDVAAPAYGHLLAAMAEELGPSDLFLSFWPTAVGAEPWSSMVRKLYVSIAELGLHVLYTKARGGHWVSTRQAIFPDFSFSKATELAEVLSQAGLPLVSVSKLIVDSFINAYPSVHLLNPQLLRNLLIRRKRGFRSREEAILVLEYCLSDMDDPSLSDKLHGLALLPLANGSFTTFNNRGEGERVFFASEMEFDFLKDSVPHLVIDNSLPDSVLKKLFDIASSARSNMYLFTCNFLLELLPRILPPEWQHAKQISWFPEQQGQPSVEWMISLWNFLRHSCEDLSIFAKWPILPLVDGKLMQLGSASNVIRDDGWSENMHSLLQKLGCFFLRPDLQIEHPQLANFVQESSAAGVLNAVHSVASNVQDIKELFKSTSLAETHELRSYIFQSKWFSGNQINISHMNTIRNLPIFESYKSRELVSLANPRKWLKPDGVHEDLLNGSFIRTESEKEKSILVSYFDIRVPEKAEFYKDHVLPRMSEFLSQPAVISAIVRDVKLLIEDNSSVRAALSETPFVLAASGTWLHPSRLYDPRVPEFRKLLHKETFFPSEKFMATEIIELLASFGLKRKMGFSALLDIARSVSLVHNSGQDDDACAHGQILLTCLNVLESKMSNMEDKDTFHEDVDLEASKTDENLEAVNEVDSCDPDPTIMSLFSNFDLDLPEHEFWSELKNISWCPVHVAPLIKGLPWLESEDHVAPPVITRPRSQMWLASSKMRILNSDSCSMYLQRKLGWLDPPNVNVLLSQLVELSKSYDELKMYSEDTSIDAVLQKEIRLIYSKLQDIVDSGDAHILKENLDGISWVYIGDRFVPPHALAFESPVKYHPYLYAVPSELSEFKKLLFKLGVRQTFDATDYLNVLSRLQGDAKGEQLSAEQLSFVHCVLEAFLDCYPDSQAADALLNSLVIPDSFGVLTPSRNLVYNDAPWMNTDPTSKHFVHHSIGNDLANRLGVRSLRGSSLLDDELMRDLPCMEYAKISELLALYGESDFLLFDLIELADSCNAKKVHLIYDKRDHPKQSLLQQNLGDLQGSSLTVVFEGTMISREEVCSLQLPPPWKLRGNILNYGLGLVSSYFVCDALTILSAGYFYVFDPLGLTGGATSTATSSARFFSLIGNDLVERFRDQFLPMRVTQEASLSSANSTVIRMPLSSKCLKELEAGCDRVKQIFDRFTQNPSSTLLSLKSVIQVSLSTWEDGASQPTLNYSVLVDPSVATLRNPFSEKKWRKFQLSRIFASTSAAIKMQAIDVHVIESGCSYIDKWFVALCLGSGQTRNMALDRRYLPYNLTPVAGVTAHIARNGVPTNINASSCILSPLPLSGSISMPVTTLGHFLVRHDGGRYIFGSTHDKSLREMDRNKLVEAWNEELMLCVRDSYVEMVLEFQKLRKDPLSSAIESRCAHSLSIILQAYGDRVYSFWPRSKQPTASLTGQGSTVDNLNSPRTSKADWQSLIEQVIRPFYLRLADLPVWQLYRGNLVKVGEGMFLSHSGSGDDDNLPSATVCSFIKEHYPVFSVPWELVSEIQAVGVTVREIRPKMVRNLLKESSSILLRSVETYIDVLEYCFSDMDPYRFTDLRIPDQSQLNSQLVHPVNASTSQFMPSSSSSSSYHTSTQRPGTSGGDALEIMTYFGKALYDFGRGVVEDISKTSGPASHRAQAAENNVLSSIISELKGVPFPTSTKCLTRLGATELWIANEEQQILMHPLLDRFIHHQCLEKPFLALLLSTQVIHVPLKLRSFSPHLLSGHLKHIFDERWVRAVERKPQWIPWDSSSDSSTTGPTPKWIRSFWKIFSSLNGDLSLVSDWPLIPAFLNRPVLCCVKERHLIFVPPVDDSNTQMVHVSAVVDDVAREVDTTGVNGDDTGEAEQKSALDTAFESMNSKFPWLSGLLNQLNIPILDLSFPECGVICNLFPSRDRTLGQTIASKLVSIKNDAHLPSTLGLSSEDCDRLFMLFVSDFRLSSSHLYQREELDVLRELPMYKTVTGAYTSLSGSDHCIISPTAFFHPSDSRCFSSSDNANLFLQALGVEQLNDQEILVRFALPGFGNKTVQEQEDILAYLYANWKDLQLNSAVVNTLRETNFVTNANEFCTELFKPNELLDPSDALLASVFSGERNKFPGERFMSDGWLGILRKVGLRISTEADMIVQCAMKIETMGNDVMSSLEKHDDFDADLSERKNEIPFELWSLAESVVNVILANFATLYDSSFCQKIGKIVFVPAEKGFPSIGGKKGGRRVFASYSEAILLKDWPLAWSSAPILAKQSIIPPDFSWGAFQLRSPPAFSTVLKHLQTVGRGNGEDTLAHWPSSSGIMTVEDAFLRILQYLEKAWGTISSSGKTELMELAFIPVANGTRLIEAKSLFARLTINMSPFAFELPSLYLPFVAILREIGMQESLTNSYAKELLLDIQKACGYQRLNPNELRAVMEILDYMCSGVGQPISDGSEGLFDSVIPDDGCRLVSATSCVYIDPYGSHLLSNINTSRIRFAHPDLPQNICKALGIKRLSNVIVEELDGKEELEVLDNICSVTLDRIKEKLLSKSLHAALRIVMIGIANHFPSFEALSIVQIESILKDISQSLQFVKHVHTRFLLLPSLQDVTRTAQHPSLPEWSSNGKHRSIYFADKSVGHILIAEPPSFLTVHDVIAIVVSHRLGAPVILPIASVFACPDGSEKEVLQILHLGTDVGVSKREGRYDCSLGAELLSQDARQVQFLPLRPFYSGEIVAWKTGKEGEKLRYGRVPEDVRPSAGQALYRFPVETAPGETRMLLSSQVYSFKSVSTADLSSAPSQLDVGRVAEVGQLGHSSVSSRTESADDTAAGLEYGKVSSTELVQAVHDMLSAAGVRMDAEKETLLQTTLSLQDQLKESQVALLVEQEKAESAVKEADIAKAAWSCRVCLNSEVNMTIVPCGHVLCNRCSSSVSRCPFCRTQVSKMMKIFRP >SECCE7Rv1G0485980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:392054092:392057884:-1 gene:SECCE7Rv1G0485980 transcript:SECCE7Rv1G0485980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSTTSPCHGSTMAAVRLLLLSCVALLLALPSRAAAAGTADGSEEWGYVQVRPKAHMFWWLYRSPHRVDNGTAPWPTVLWLQGGPGASGVGYGNFMEIGPLDTDLKPRATTWLNKADLLFVDNPVGTGFSFVEGGNKSLMARTDGQAARDLTALLIKLYQHKKRLQGSPLYIVAESYGGKFAVTTALTALKAIRHGHLKAKLGGVALGDSWISPEDSVLSWGPLLYQLSRIDEKGLQQCDSLANKIKAQLKAKQYAAAEKSWEDLESAVLEQSNSVNFYNILKDESSEDAAVLATAGAAVRKVGYTRYVSSKATREGGLDGLMNAEVKAKLGIIPNNFTWGEQSGDVFQALAADFMKPRINEVDELLELGVEVTIYSGQLDLICATKGTLDWVQKLKWEGLKNFTGSPRKPLYCKGGEGEAAGTQAFLKSYKNLKFYWILGAGHMIPIDNPCPALNMLGDITQSPAR >SECCE5Rv1G0348340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673370531:673374201:1 gene:SECCE5Rv1G0348340 transcript:SECCE5Rv1G0348340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGLERISAKASPSTPPAPLPTSAKYSAGLPALAYGVLKRANDIVDDLLAQIDAAGRDRDRARQDMDQRNYQIAIEVSELEAAVASRAAESESLSRSLSDRDAEISALQDKVRSLEAKMDAQRPVLAEQIGCASRLYDELREVVMLVDDAAATALPDSVFVWKETDVEESLKVSLEGTRMAYDIAAMALQKVGVWRDKGKSKVSELKERVEELTREKEHIGVLLRSALQANTTEVLKVAEDGLREAGIEIGLNGHRDHRPGSTEKDEVYTLAGALENSMKESQIKIIELQHLVEAQRAESSLLRSRMEGQEKEIGQLRKQIKHLEEKERMANESVEGLMVDVTAAEEEIQRWKMAAEEEADAGRSIEQEFQGQISSVHKELEEARETMVELENKLKFKEETAAAAMAARDAAEKSLKLADTRSARLRERLEELNRQAEESDNRADPSSRSGHRYVCWPWQWLGLNYVRLPPAEAEETSNEMELSEPLI >SECCE5Rv1G0363110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:791147192:791149051:-1 gene:SECCE5Rv1G0363110 transcript:SECCE5Rv1G0363110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASVVACCSLVIMALMAVGATAGSVPAVYVFGDSLADVGNNNHLLTVLKADFSHNGMDYPGAVATGRFSNGKNSADFLADKLGLATSPPYLALSSSSNANYVNGVSFASGGAGVSNATNTELCITFDKQIEYYSGVYSSLARNLGQVQAASHLSKSIFAITIGSNDIIHYAKANTAATPSQQQQYVDVLIRSLSGQLQSLYNLGARKVLFLGTGPVGCTPSLREMSSTKVCSPVANAMAVLYNKAAEGVLSGMATQHPDLHYALFDSSAALLRYIDQPAEYGFVEAKAACCGLGDMNAKIACTPLSSYCANRSDHVFWDFYHPTEATARMLTATAFDGSAPFIFPINVKQLSAI >SECCE4Rv1G0240480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448033349:448033630:-1 gene:SECCE4Rv1G0240480 transcript:SECCE4Rv1G0240480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKNLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRIGPILGHTMHYRRMIITLQPGYSIPLLDRENN >SECCEUnv1G0560820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:350103089:350103334:-1 gene:SECCEUnv1G0560820 transcript:SECCEUnv1G0560820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMALSY >SECCE5Rv1G0349460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:683934081:683937972:-1 gene:SECCE5Rv1G0349460 transcript:SECCE5Rv1G0349460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPDTPRTSVLRPRAMARRRRPVAGGLAALLLLSAVVAVAVAKTDRADVEALNVLFTSMHKPSKLDGWKADGGDPCDDDDGWRGVDCSDSSVTKIDLSGLDLTGNLGYKLSSLKSVTKFDVSDNKLSGEIPYALPPNLVHLNLQGNAFTGGIPYSVSQMSDLETLNVGSNHLNGQLTDMFSQLPKLSAMDLSDNRLSGNLPQSFQHLTGLKTLNLESNQFTGHVDVLAKLSSLEDLNLQNNKFSGWIPSKLKKIDSLKTGGNQWSSGSAPPGMEKGSSAGASSSEEGSDAGINGFLIGALVVAVLLAAVILLSVLQMKRSSAVSSHYYMDDSGHSSMVSMKPLEKSTSIDSVTLPSVPYKTMNDNKFQNMLNNSRRILEPISLVTYSSSELQAATGNWHSSRIIGQGTVGRVYKAKYANGQVLAIKKFDPLSFSEKSDFVELVTCISRLRQPSICEIVGYCVEPGHYMMVYEHHMNGSLYEFLHLSDDYSKPLTWDTRVRIALGTAQALEYLHEICSPPILHKNIKSSNVLLDADLNPHLSDCGLAFFYEDPNESLGPGYSPPECTRPSGYTMKSDVYSFGVVMLELLTGRKSYDSSKPINEQSLVKFVTPQLHDSDALGSVADPALRGLYPPKALSRFADVIARCVQSDPQLRPPMSEVSQELTGCTQRSASSRRVGGFYSASMRSDVSDW >SECCE1Rv1G0044390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:602395327:602396417:1 gene:SECCE1Rv1G0044390 transcript:SECCE1Rv1G0044390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGHGGGNHPLRWTSPMSGFMLRRFVELIASGVKTEKGFKEVHLNQVAKNCSEHFGLSITGTQVYNHLRKWRARWVKISKLRDIGGSLWDDNSYVIMLEEEHYMGHIKDHPKDVEYLNVPLENYVQMLAIFGSGIAIGRFTMTSNEALGVPSMVGTSSSFVNLEACGSEFVVDGNEPGLSATAAAHGETAAAPHGKEPCKDASSSTGKRKRASLMSEEEVLVMSNMSEAVREVAIAIKSTGEVHPELYDAVMELPGFTEDDLLIVLDYLNENANRARSHSFMQMSETRRTRWVMHHLSKVNGGVPVPKEGLPKDGMPVTSDEVSKGGV >SECCE6Rv1G0413430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:623537617:623540271:-1 gene:SECCE6Rv1G0413430 transcript:SECCE6Rv1G0413430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALKSGLAGGGGGGGARFGRVARCGAYASPPASGGRGGSSGRDSDSPAAAAQWEWDGEEVEGGDGEVQSNYKGPFDTMDALQEALPFRKGVSKFYNGKSGSFAKPPVAVIPSPPPVKGLPKPETPSPRKRKGLLPFSFKWGKAQSKEVYPEDDVADSPTTCRRMTLSPAATSSSGSNSGSDDEAQKLPSRRSHRKPGNAMGAYASPPAPRPPKLLFPAHTRSQSMRELQDVSESTAMVSPRDKRRKN >SECCE1Rv1G0036450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:509862483:509866213:1 gene:SECCE1Rv1G0036450 transcript:SECCE1Rv1G0036450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAAFSPSPPAPSPLAAADIAADALLAPWPLSPWPAPLRPGGDDDGRSNPLFAILPLSALAIGLVLLVAVALILVLTRRAKLRLVAARDSVDGDKPGAPASSCGSSVRGYQNGRCYAATGCIYGGRLGPLGLAVVQPRSRGAQVFTYRELERATDGFGEGNVLGRGAYGVVFRGRLGDGTPAAIKRLQLDPRRQGEREFRVEVDLLSRMHSPHLVGLLGYCADQSHRLLVFELMPNGSLKSHLHPVAVPVVGVEQQRPALDWQTRLGIALDCARALEFLHEHTSPAVIHRDFNCSNVLLDHNYRARVSDFGTAKVGSNKANGQVVTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTQRPPGQHVLVSWALPRLTNRERLVQMVDPALKGQFAVKDLIQVAAIAAMCIQTKAEYRPLMTDVVQSLIPIVKKSPSMSCSSTPARPLQHVVYMSPAAATNTS >SECCE2Rv1G0089090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:252883615:252884457:-1 gene:SECCE2Rv1G0089090 transcript:SECCE2Rv1G0089090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTMASMTGLSQGVRLPGPAGRRASRFTVRASSAEAEAAGRRAVLGLMASGVVGSAFAQVVHAGTVAAIKVGPPPPLSGGLPGTDNSDEARDFDLPLKNRFYLQPLPPAEAAVRAKESAQDILNLKPLIDKKQWPYVMNDLRLRASYLRYDLKTVISSKTTKEEKKDLKDLTGKLFATLDGLDHAAKIKSPTEAEKYYGETKTVLGDVLAKLG >SECCE4Rv1G0283270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:834786833:834789629:1 gene:SECCE4Rv1G0283270 transcript:SECCE4Rv1G0283270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIQAFLNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKISYPQQIAVACTGVVWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRQDYFSDGEKEVAAASLEG >SECCE6Rv1G0383250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:51378244:51379285:1 gene:SECCE6Rv1G0383250 transcript:SECCE6Rv1G0383250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRAALVLALPLLCFLSGATMRAESARMFTIINKCETTVWPAVTPGDSFGGGGFELKTGQSAIFTAPPAWSGRIWGRTDCAFDQAGTGKCGTGSCGAALKCGASGDPPASLAEFTIASPDFYDVSLVDGFNLPITVTPVNGRGNCSVAGCDGDLRDTCPPELSVKGPSGKTAACRSACDVFNTDQYCCRGKFGGPSTCPPTPYSKKFKEACPSAYSYAYDDPSSLFQCSGADYVVTFCANRKQSVCTHHNNKLECGGSSRSLPAMMLLVLLVSFVALQVPM >SECCEUnv1G0561100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:351956064:351962541:-1 gene:SECCEUnv1G0561100 transcript:SECCEUnv1G0561100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTSSWIMNFCGSPICSNQEIISCALNGIFDSSTCTNHLVVIGIGMLLILVLTLQLLSKIPKSRASMRQLFTLSSPLQLAAVLFSGCLGLVYLGLGLSMLDNIFNQDAYVYQPHWWLVTLSQGFSLICSSFAFSIRPRFLGASFVQFLSVLVTTYAAFVCCSSVVGIVAEKTITIKACLDVLSLPGAFLLLLYGVRCSHDEEGYQGNGNALYKPLNTEADGEMADSDSQVTLFAKAGFFSKMSFWWLNHLMKMGYKKPLEDKDVPLLQTTDLAHNQYLIFLAKLNSKQSQSNATPSLLWTIVSCHMRGIMVSGFFALLKVLTLSIGPLLLKAFINVSVGKGTFKYEGFVLAATMFICKCCESLSQRQWYFRTRRLGLQVKSLLSAAIYKKQQKLSNSAKMQHSSGQIMNYVTVDAYRVGEFPYWFHQTWTTTIQLCIALAILYNAVGAAAVSSFAVIIITVVGNAPLAKLQNKFQSKLMEAQDVRLKAMSESLVHMKILKLYAWEAHFKKVIEGLREVEYKWLSAFLLRRAYNSIVFWSSPVLVSAATFLTCYLLKIPLDASNVFTTVATLRLVQEPVRSIPIVIAVAIQAKVAFTRISKFLDAPELNGQVRKKYCVGTDYPIAMNSCSFSWDENPSKPTLNNINLVVKAGEKIAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGRIAYVSQTAWIQTGTIQDNILFGSLMDRQMYQETLARCSLLKDLEMLPFGDLTQIGERGINLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNDYVMGILSDKTVLLVTHQVDFLPVFDSILLMSDGEVIQSAPYHDLLSDCQEFKYLVNAHKDTTGVSDLNNMARHRAKELPTKEKYGIHGNRYIESVKPSPVDQLIKTEERESGDAGLKPYILYLRQNKGFLYAFLSVMSHIIFVAGQISQNSWMAANVQNPDVSTLKLISVYIAIGVCTVFFVLSRSIFFVVLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIIDLDVPFALMFGFSSSLNAYSNLGVLAVVTWQVLFVSLPMIVLAIQLQRYYLASAKELMRINGTTKSALANHLGESIAGAITIRAFEEEDRFFAKNLELVDKNASPYFFNFAASEWLIQRLEIMSAAVLSSSAFVMALLPAGTFSPGFVGMALSYGLSLNNSFVSSIQKQCDLANKIISVERVNQYMDIPSEAAEVIEENRPAPDWPQVGSVELKDLKIRYREDTPLVLHGITCKFQGRDKIGIVGRTGSGKTTLIGALFRLVEPSEGKIIIDSVDISTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPIGQFSDQQIWEVLDKCQLLEAVQEKEQGLDSLVAEDGSNWSMGQRQLFCLGRTLLKRCRILVLDEATASIDNATDAVLQKTIQSEFKHCTVITVAHRIPTVMGCDMVLAMSDGKAVEYDKPTKLMETEGSLFRELVNEYWSYTSNGNV >SECCEUnv1G0548750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:192024500:192027439:1 gene:SECCEUnv1G0548750 transcript:SECCEUnv1G0548750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPEFRVDCITLGSKDCQHNAIVVGDKTYVIGRTDDDSKSSFGIKILDKLTQTWIVPTVLGAQPPSKSHSAILINEEKILVVEKGVSLNDSIWFLEIDTPFVKQQRKIKGSEVVSWSKGVLGVAQKPVVISGPSGVGKGTLIAKLMKEYPSKFGFSVSHTTRSPRVKEIDGVHYHFAGRSKMEQDISEGEFLEFAHVHGNLYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDNLEACYENLKKLLSLDDDHKDSDGCFIEDGKATACYSILSKTESEVFLQSETGEVVKGAASLLAVDLSSLTGGAPGRTRGLKIHSINSIDNGLKGTR >SECCE2Rv1G0079090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:114728784:114730540:1 gene:SECCE2Rv1G0079090 transcript:SECCE2Rv1G0079090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAMMA CA2 [Source:Projected from Arabidopsis thaliana (AT1G47260) UniProtKB/TrEMBL;Acc:A0A178WI45] MPLSVSRHRTVMSIFGKEPRINKDVFVAPSASVIGDVEIGHGSSIWYGSVLRGDVNSIRIGSGSNIQDNSLVHVAKTNISGKVLPTIIGSNVTVGHSAVLHACTIEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEITFIAQSAANYINLAHVHANENSKTFDEIELEKKLRKKFAHKDEEYDSMLGVVRELPPQLILPDNILPDKAPKAAVAH >SECCE7Rv1G0502130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:693311909:693316257:-1 gene:SECCE7Rv1G0502130 transcript:SECCE7Rv1G0502130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFVTAIAALVLLAAQHASLVAAGRGPRVIIVGAGMSGISAGKRLWDAGVRDLLILEATGRVGGRMHKHNFGGLNVEIGANWVEGLNGDKVNPIWPMVNATLKLRNFYSDFDRVVGNVYKESGGLYDEEFVQKRMDRGDEVEELGGKLAAKMDPSGRDDMSILAMQRLFNHQPNGPATPVDMVLDYFRYDYEFAEPPRVTSLQGTEPTATFADFGDDAHFVADQRGFETLIYHIAGQYLRSDKSGNIIDPRVKLNKVVREISYNDRGVVVTTEDNSAYSADYVIVSASIGVLQSDLIQFKPQLPTWKIFAIYRFDMGVYTKIFLKFPKKFWPTGPGKQFFVYASSRRGYYGMWQSFEQEYPGANVLMVTVTDEESRRIEQQPDNVTMAEAVGVLRNMFPDRDVPDATDIYVPRWWCNRFFKGSYSNWPVGVNRYEYDQLRAPVGGRVYFTGEHTSEHYNGYVHGAYLAGIDSADILMNKVLNNVDFKVRPKYDDEQKAEAK >SECCE1Rv1G0052430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:661629797:661633227:1 gene:SECCE1Rv1G0052430 transcript:SECCE1Rv1G0052430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVRGAAEEGAQSHPAPMEPAAATDGPQVSEVDLFRRQVDDLLSKTDVLEKRVNEVVGFYNCKKHSSGGRKAGGRYAANGARSRHYEGMPDLIRQCAGIIHQITSHEWAQPFLQPVDVVGLKLDDYHKIITKPMDFSTIRNKMEGKEGTTYNSAREVYSDVRLIFTNAMKYNDSPHPVHIMAEFLLERFEEKWLHLLPKVENEEREREEPNDAPTKNISPEAAIAKLAEDTGNELNEINKQLEELQKMVVQRCRKMTTDEKRKLGAGLCQLSPEDLNKALELVAQDNPSFQTTAEEVDLDMDAQSETTLWRLKFFVREALEQQANADVVACGKTDENTKRSRDMYNALAKTVSKRIKR >SECCE2Rv1G0090950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:296345375:296393790:-1 gene:SECCE2Rv1G0090950 transcript:SECCE2Rv1G0090950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGRERDPLLRSEIHGFITYADLNFEKLKAEAASRWFRPNEIYAVLANHERFKVHAQPIDKPVSGTIVLYDRKVVRNFRKDGHNWKKKKDGKTVQEAHEKLKIGNEEKVHVYYARGEDNPNFFRRCYWLLDKEAERIVLVHYRQTSEENAIAHPSTEAEAEAPTMNVIQYYTSPISANSASVHTEISFSPPVPEEINSHGGSAISSDTGGSSLEEFWVHLLESSMKKDTACGASVALSQQIECGTNDSGNDTDSANNVHVDHVGALEHQVDQSQYPLTSDLDSQSQQFATSLRKTPVDGDIPNDVPARVNSLGLWKYLDDDSPCLGENIVSNEKIFNITDFSPEWACSTEHTKILVIGDYYEQYKHLAGSNIYGIFGDNCVAANMVQTGVYRFIVGPHTAGRVDFYLTLDGKTPISEVLNFDYRSVPGSSLHSELKPLEDEYTKSKLQMQMRLARLLFVTNKKKIAPKFLVEGSKVSNLILASPEKEWMDLWKIAGDSEGTSVHATEDLLELVLRNRLQEWLLERVIGGHKSTGRDDLGQGPIHLCSFLGYTWAIRLFSVSGFSLDFRDSSGWTALHWAAYHGREKMVAALLSAGANPSLVTDPTAVSPGGSTPADLAARQGYVGLAAYLAEKGLTAHFESMSLSKGAKQSPSRTKLTKVHSEKFENLTEQELCLKESLAAYRNAADAASNIQAALRDRTLKLQTKAILLANPEMQATAIVAAMRIQHAFRNYNRKKEMRAAARIQNHFRTWKVRRNFTNMRRQAIRIQAAYRGHQVRRQYRKVIWSVGVVEKAILRWRKKRKGLRGIANGMPIEMTVDVEAASTAEEGFFQASRQQAEDRFNRSVVRVQALFRCHRAQHEYRRMRIAHEEAKLEFSKEQQQAPACRR >SECCE2Rv1G0069330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27888835:27889571:1 gene:SECCE2Rv1G0069330 transcript:SECCE2Rv1G0069330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAADAAAVVIEHPGDGKVERLHWPATAADVMRRNPGHYVALVVLHHVSPDGVDPDPALAGEGGGARITKVKLLKPRDTLHLGQVYRLITSQEVSKAVQTRRQERTRGCDEAIEQERPRLHRRRQPPRPRGDTATTTAPSGEQRQPADHHERKRLEKDRHHRSMAAARGGGRGRHWRPALQSITESSSSSSSSGQPDTPTASQDTSK >SECCE4Rv1G0265830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727582996:727585010:-1 gene:SECCE4Rv1G0265830 transcript:SECCE4Rv1G0265830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQTKYAVQKIVVSLHSAQDILENILDRASKEKAVRISDRSRLDPKDGLGFLELEAHHIHDLAKEVEIRISECREKERKEKSRMESTISTLKKENQDIRSMLGVAVTEKEAAENSLRVLKVDVDQRRSSILQIAEKGLQKVGFGFIMEVISGESEGDDMSSSSASAASNGRESKQEVDSLASIVGKTLKNLHHEINDLRQALDESRSDCDHLQLLTAQQAQKIIKHESHVQDLRGREILLLQSVEELNLRVKEVEQEAARWKEACALEVEAGKATIKELNQEVTVLREELRRVKADLDAVNSKIQLKEKLAASAMAAQAAADACLKLADSRSAGLQQRIEELTRQIEQEDTHARKGRDSARRRIRYACWPWQRLRAISASSRARTWFVDQNGRLLPRTEALLQIRI >SECCE3Rv1G0207330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:919758205:919758441:-1 gene:SECCE3Rv1G0207330 transcript:SECCE3Rv1G0207330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARNNELRMTLLGLALLGLLLLSHTAAPVEAAAGVQENSFSMNGAGGRSLNSFSMNTAEGGEGSKGGKGKPAAGDF >SECCE5Rv1G0336960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588035196:588036266:1 gene:SECCE5Rv1G0336960 transcript:SECCE5Rv1G0336960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQFVNLVVETCRSRSYTVRRIKSSSLFRPKRQSGRPPPLLKLEEAAELPKSAFSLGVSTPMQVNWHMQFMPFGYSRDKILSTDQQGNVLAYDACQNQICSMPKLRGLMENSFPINLGDALYLIERRPDKPQKILPYRPCFKALINGKSPAGPVVDYPGWYWHTLPPPPYVEAPGYKPSCTYKIRSCTVFRNKIWISASGIGTYSFNTDSHEWSYVGRWELPFRGPAEFVPELGRWLGFSTVRENQYLCASDLSAAEDGAVPTLCCEWKQELDVNPEKWQVVTSYLVRVDSGRFCVARLYDVYDDDEPALVRENFAVLTGLEVGLSDAADGGIRMVKHRSIRLNFDCHQLLHLVS >SECCE5Rv1G0321630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:407378241:407380595:-1 gene:SECCE5Rv1G0321630 transcript:SECCE5Rv1G0321630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLMP1 [Source:Projected from Arabidopsis thaliana (AT1G62390) UniProtKB/Swiss-Prot;Acc:O48802] MGKSGAKKKKPSPSAAAAAAATTTAAAKSTTAAAEQKTPPLAPPVANGAAQHQAQADPGVLLRRAHELKEEGNRLFQSRDYTGALRQYELALRLAPRGHPDRAVFHSNRAACLLQLRPVDHKAVAEECSLALQAEPRFPRALLRRARALEALGRHELALADTLALLALDPNHRDAIDLSHRLRSRISSSSSSASAASSHEPTSRPSPAALGASAVVAGLGPSLPSRPFPKKQSPPSPPPPQQQPGPAMTKFNSSPAPKLVPFSNSPSSSAKASTADISQKTVPALSVPSTQPVTETSLINRKVVTRWRPLKLVYGHDIRLGEMPEKCSFQTLREVVAKRFPSSKAVLMKYKDADGDLVTITCTSELRLAEACGVGTDVMEGDTKLPILRLHIVEVSPEQEPPLPTEEQKLEEEELLITGEDCSSHTSAEVANADVAKPDMENGVAEQSILTGKKDCGHAECKEAEIDDWLLQFAELFRNQVGIDADAHLDLHELGMELCSEALEETVTSEEAQSLFEMAAAKFQEVAALALFNWGNVHMCAARKRIPLDESSPKEIMSAQLRTAYDWVLERYALAGHKYEEALNIKQDFYEGLLALGQQHFETAKLHWSFALADKVDLSTWDSSETFKLFDSAEEKMRAATEMWEKVEEQRMLELKTPGATEKDEVLKKRKKQHSADGQGELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLVVGDWKKNLDASVERFKLAGASESDISTVLKNHFSNAVSECEEKKVMPSGTGSSQTSDIIVDESVVES >SECCE3Rv1G0213800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:962160080:962161240:1 gene:SECCE3Rv1G0213800 transcript:SECCE3Rv1G0213800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTGVSIIDGQECYCEACSYIVDTAGADSGYHLLMVRNYSHTKKKLSTGNSSLSELFFTLGGYPWFIEYYPNGDNPDCADFISLRVKGCQENLQQEPVEVKLGFSFIHQVEKQTPMYIHAAGKTCRFTGDDFSWGVDKFVRRDALERSSDLKGDCFTIRCDIMVLCKNEDTTKVPLSDIDQHFNTLLQTKVGADVAFQVGGETFAAHRCVLAARSTVFMAQLFGPMKEASNSVIQIKDMEPKVFKALLSFIYTDSFPVNVMDKDSVSMEEDGQDKEAILEDEMLLQWLQHLLVAADRYDVQRLKCICEKQLSENIGASTVMSALALAEQHHCQGLKEACFKFIQVQPPSCLQTLMTTNGWDHVASTYPSVLKELFLKFASNQRK >SECCE1Rv1G0055620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:681926165:681927304:-1 gene:SECCE1Rv1G0055620 transcript:SECCE1Rv1G0055620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAMAISSGAAAPSAVAPRRRRSTVLLMANYAALLVGSVASSLLSRFYFDHGGKNKWVVTLVQSAGFPTLVVAVFLAGRPRPASAPRPFLWFSRRFLAVCLAIGALMGVSNLLFAYSTSLLPVSTSSLLLSMQLAFTLVLAVVIVRHPLTFNNLNSVVLMTLCSVLLALRSGDAGESPNRRGYIVGYVVTLCAAGLFSAYLPVMELVYREAVSGGFILAVEVQAVMQAMASVEAAVGLAVSRGFSDDVAHWKGSHAVYWLVVATLVVTWQACFMGTAGVIYLTSSLHSGVCMAAVLTANVIGGVVVFGDPFGPEKAVATALCVWGLSSYLYGEYTKSKKKGADGQDDGTTVPSAGDDGESVHKSLTAAASGETVQTV >SECCE5Rv1G0357060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:743741714:743743106:-1 gene:SECCE5Rv1G0357060 transcript:SECCE5Rv1G0357060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRCTDGSAKTKHRHHPGAGCGDAGGDDGSSSLDACGGGAVGHAGDRLSALPDDLLHAIMSRLKALQMVRTCVLSARWRHLWRAVPCLDVDEAETGAYNNFDNFTDNLLRSHDIALLEDFRLRASTCSDRWVRRAIGQCEAWPCRLKRLHLFGLTNLNLTDVGSHISSRCFALEDAQLRNCSFVLTSNAKIVSSSLKKLAIVDGYYMVHDDEIFALIIEAPALVSLRLDGELDHIVDMTEPHTVLSLVDASIHVPLIEKRVRHQLGILGALSNVTTLRLSRFGVMLLFYVTHEDLPVFKFENLRSLLLDDCDISDDFLGLQQYLHNSPDLNKLTFCHCQVVDFRHNKETRERLEETSEDLEDFKYENLRLSQIIYRDGDTSVHLLVKFLVGMGRNLPNNKIEFTKVD >SECCE1Rv1G0047220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:622146604:622149424:-1 gene:SECCE1Rv1G0047220 transcript:SECCE1Rv1G0047220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAADNLGEQAPGPVPAANLEQVVMLYPDRAVEPNQKENVGKRATPGGRLQLTGGNVQEHASNGIGTAAQGHAEEQEQLHGVTQGHEMSSNDTSSGSDSGSSSGSELDTELGKCFYPSFEELENSRPPEVGMRFPTLEDAERFYSTHAMLTGFGVRRGSNYRRVKFDLECNRSGKLKPTQDLKRKRRSNALGSRCQAKVIVKLHNEQWEFMGVKHEHNHPLCPSPSLASFFLNHKYLSSEEKLFLRVLQQSRVNPRKAMNIFRRMRSNFGKASSIKEKDTSNSQCVNQWRKENSDVETALKRFKELELRNLGFSYTMQKDEDNIVRSLFWTDARSKVDYEIFGDFILFNTTYSTNRHNMTFTPIIGMNSHGRIIVFGCALLQDQKAETFKWMFQTFLHVMGGKLPRIVITDQDEGVATAISEVMPQVRHRFCKFSVMRKAQEILGAFMAARGNINAELHDLVDNSMTEKEFEEGWDVLTERYDASENEYLRLMWETRKNWVPVYFQADFCPFVESAGYCEGTNLLFKDNVLPKDRIEKFIEQYERMQEHIVKTEEEDALQSATEPAYFSMQPIEKHAARIYTRQIFLRAQKELYYSTAYNVHEIQGRSAYRLEKVFNYENPEFDRNSFEVVAEPGAQTFKCQCAKFTRDGILCCHIFRVFTQLGIKEIPEQYMLPRWAPKFIEERLKEYEERCSKRTEIKMRYAMLLGKMAEMGKGICADGAKSSRFMLELDKVQETFGSDGGRK >SECCE2Rv1G0091870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:332246957:332250994:1 gene:SECCE2Rv1G0091870 transcript:SECCE2Rv1G0091870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAAHRLASRAAAAGSGSISRRALATAQAPAEAGEDPAFLEAWKKVTTIIDPPQTPMSAMKPRPPTPASIPSKLTVNFVLPYKSEITNKEVDMVMVPATTGLMGILPGHVSTIAELKPGVMSVHEGNDVTKYFVSSGFAFIHANSITDVVAVEAVPLDQIDQSLVQKGLAEFTAKLGSASTDLEKAEAQIGVDVHSALNAALTG >SECCE5Rv1G0330830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:533886409:533887059:-1 gene:SECCE5Rv1G0330830 transcript:SECCE5Rv1G0330830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLRFADKDGILQEKFFDLIHVANTRSLTLKYELRFVLSNNGFDIQNLRGQGYDGAGNTRGELNGLQDLFLQECPYDYYVHCYAHHVELALVDASKEVVPISQFFQKLLFIIKTGDSSSKRHDDLQHAQLVEQESGISNPSIETCQGVNQIRSLKQRGDIGWDYHFGSGYRLLKMFGPVCSVIQDIAADGSIGSTCADADTSFGYLSSFEFIFI >SECCE1Rv1G0024900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:334786874:334788745:1 gene:SECCE1Rv1G0024900 transcript:SECCE1Rv1G0024900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGGGSQMGVAGALGLSVTSSVAIVICNKYLMSTLRFYFATTLTSWHLLVTFCTLHIAQRLRFFEPKPIDAQTVISFGFLNGISIGLLNLCLGFNSVGFYQMTKLAIIPFTMLLETIFLSKKFSQSIKASLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVCQILTNQIQRRLKVSSTQLLYQSSLYQSAVLLITGPFVDKLLTKKDVFAFEYTFEVVVFILMSCGIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLILSFGYVLLKDPFTLRNLAGILIAIFGMGLYSFFSVSESRKKTEGATLPVNTQMSEKDLAPLLGTKTSPWQESNGVENFDDVPRTAKSAFSRQLNP >SECCE2Rv1G0136230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910590184:910592420:-1 gene:SECCE2Rv1G0136230 transcript:SECCE2Rv1G0136230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGVGSLDRPKAVGSGVVACPAAFHASSVAATIPSAEATLGSHLARRLVEVGVSDVFAVPGDFNMTLLDHLIAEPGLRLIGCCNELNAGYAADGYARAKGVGACAVTFTVGGLSVLNAIAGAYSENLPVICVVGGPNSNDHGSNRILHHTIGLPDFSQELRCFQPVTCHQVVINHLDDAHEQVDKAIATALRESKPVYISVACNLPSVSHPTFFGDPVPYCLAPRQSNQMGLEAALEATVEFLSKAVKPVMVAGPNLRVAKAATAFAELADASGYAVATMPSAKGLVPETLPRFIGTYWGAVSTSFCVEIVESADAYLVAGPIFNDQSSVGYSFLLKKEKAVIVQPERVTVGNGPTFGCVMMKDFLSELAKRVSLKRNTTAFDNYKRIFVPQGQPPESKPGEPLRVNVLFKHIQQMLTGDSAVIAEAGDSWFNCQKLKLPDGCGYEFQMQYGSIGWSVGALLGYAQAAVEKKRVIACIGDGSFQMTAQDVSTMLRCQQKSIIFLMNNGGYTTEVEIHDGPYNIIKNWNYTGLVDAIHNGEGMCWTAKVTCEEELIAAIETATGKKQDCLCFIEVVVHKDDTSKELLEWGSRVSTANSRPPNPR >SECCE1Rv1G0055140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678324248:678325078:-1 gene:SECCE1Rv1G0055140 transcript:SECCE1Rv1G0055140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLRAGDGDDNGRYLSDFAAEVSALEACSGHPSIVQPRASGHLGSEAFLAMEFVGPTLRYVMKHVRFGRRHTELEVRLLMRQLCAGVRRMNRLGLMHRDLKPDNVLVDSHGNLKICDLGLSCSMADGPPYSNPVGTRGYRAPELLLGCTDYDEHVDSWTLGVMMAELLAGKHPFHGRSDTEHLSEILDILGTADIKEWSGYDGRRLPSGSQPGSFLRNKFPCPAGARIKGPPTLSEAGFEVLSGLLRCNPEKRLTAEQALKHQWFKEANPRASRR >SECCEUnv1G0559980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:343364436:343366611:-1 gene:SECCEUnv1G0559980 transcript:SECCEUnv1G0559980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAASRRHSPAPGLGGRRRSGRGGAAPVRCSGSPAQEFAALASVFRRRLVVGASTAAVGANFGGVTSFLLGLSPELGRSLRLDVLYPVGGFTRCLDSDNGFEFIYPANWVGDQTILYNQIKRAELQRSLDPPPLTSGGSSTRPRNVSGPVAAFGPPGSNGELNVSVIVSTVPQDFSIESFGGPKDVGEVVLRRIARTKRNPDISATLIDAALREDTVNNVKYYKLEFRVESPSFRRHNVAVCCARDGKLYTLNAQAPESAWRSVQEEFFAMADSFSLMVDV >SECCE5Rv1G0297780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:5324757:5325920:1 gene:SECCE5Rv1G0297780 transcript:SECCE5Rv1G0297780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRSERQMPPPPADWSGLHQDTLSRIFLSLGCIGDRVRFAAVNQHWRGVALQNPPPLPWLLTPSTAGISCYRIFGGFADPQPPLAGAVRGARFCGSSPGGWFVVLLHHWHGHALLQLRSGERVPLPDHVRVTLSNRRTPPNFNFLRCPIMIRAAAMSVPPPSAACVVAALTTGQTTMAFWRLGMDCWSPAPRGAPCDAQDLTYHDGCFWAVDPWEQLFCYRPKIAGADGALTVQQLVYECCADQMTLAAPGEMVSRYLLPAASGEDLLMVKRFVDPARGGTRRFEVFRLDNQLGRTSWRLYKMEGQVLFIGRSCSKAFDTGRNDNPGYIYFLDDVYGGRPMSVLQQNEYPCTDIGGWSCSPEDEEIKRCLPGAHPSDSSPSIWYLH >SECCE4Rv1G0266070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:729280137:729284039:-1 gene:SECCE4Rv1G0266070 transcript:SECCE4Rv1G0266070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELIEVAGNLTPLPHSTVDVVAAKIESKVANTVIRQLNQVCPLENLWHVKRVRRRIECEKSELSIILCLSTGPESCKNGFPEDVQKVVEAYQLSPFIAKVARYPAMSKEEWEEQCRLWPTSYDPLHDTSGAGASGFKEEELPSIFDFMRTAIQSSEVGNTAIIVDPSSMQIISKATDQTHQNGCFLKRNIRVDVGADGAHSLAETTEDNDGRLLLTSSHVSPWRFHRPSEQKTLSSEGGFLWHPLRHAAIVAIENAAERDRKLFHTSTSPRTESNLNGDMENCSDNEPAKRPRIVTKDKEKAEHQECGSDLSGRNRPYLCTGFDIYLVWEPCTMCAMALVHQRFKRVFYVFPNPITGALGSVYRLHGEKSLNHHYSVFRVKMPEPDLNVSSDYPEKGCSDFVPS >SECCE2Rv1G0074180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:74506957:74508454:-1 gene:SECCE2Rv1G0074180 transcript:SECCE2Rv1G0074180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSSPAGPAEADSPIVHVAFSSGASHFVACTATGFHVFSCNEKLERVRYKSTAVVLAGVEVTSAEMLTPSRVAFVTRNTGLVDGAAEHEAIYFFDEESKRTIRISSAKTPGLGLVGGLRLVGDHAVIAGQERAMLVVRGDIKNSELVATGPNPLGLCALAVDRRAAAFVYALPRPEKGAVQVRRSGQPGSVDVRAHASSLSCIALSRDGRMLATAGSKGTLVRIFSTDDGTKLQQLRRGIDRADIHCIAFSPDSTWLAVSSGKGTVHVFPVDKATSTTEGGDGDALPAPPAATASPPAPATAKQGSSLPSFLKGYLPSYFSSEWSLAQTRLPEGVKYTVEFWRQDPNVILVAGTDGSFYRCRFDRTNAGETKQLDRMRFMKIKECEGS >SECCE4Rv1G0268650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744218412:744219482:1 gene:SECCE4Rv1G0268650 transcript:SECCE4Rv1G0268650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDHERLRAVKAFDDTKAGVKGLVDAGVTTVPSIFHHPPESLPRSSDGVPAHGFTIPLIDLSGSSAVRADLVSAVKAAAETVGVNHGVPDGLLAQMLASVRRFHESPAEVKQPYYSRDHRRRVWYNSNFDLFTSPAANWRDTMFLELPPAPEEIPVACMAVAPEYATQVQRLGRTLFALLSEALGMNRSYLEEETMCLERLSMGGHYYPACPEPHLTLGTTRHSDLSFLTVLLQDAVGGLQVLVDDDDNKQRAAWVDVPPVEGALVVNIGDYLQLMSNDRFKSVEHRVVANNMGPRVSAACFIRPCASSKKVLAPIVIDSDGGALYRSTTVEEMFRHYRVKGLDGTSSLQHFRI >SECCE3Rv1G0187870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:705897848:705905039:1 gene:SECCE3Rv1G0187870 transcript:SECCE3Rv1G0187870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVAGSHLRALKQHGSSRFASTSVVKQSSGGLFGWLLGSKPTQFPTLDVPLPGITIPPPLPDFVEPAKTKVTTLPNGLRIASETSTSPAATVGLYIDCGSMYETPGSSGASHLLERMAFKSTTNRSHLRLVREVESIGGNVSAIATREQMCYTYDAFRAYVPDMVEVLIDSVRNPAFLDWEVKEQLEEIKAEIAEFSANPQVLLLEALHSAGYTGALAKPLVAPEPAIHKLDSGVLKKFISENYAAPRMVLAASGVEHDVLVSIAEPLLSDLPAVKCPEEPKSVYVGGDYRCQADCQNTHVALAFEVPGGWCEEKTAITVTVLQKLMGGGDWFCTGGVGKGLYSRLSLRILSHYHQIESFSAFNRIYNHSGLFGILATTSPDFASKAVDLAAGELLEVATPGNVTQGQLDRAKRAAKCKVLMDLESRAVACEDIGRQVMTYGERQPIEKFLNDVEAITLNDISSTAKNIISTPLTMASWGDVTNVPTYESVSRKFHSK >SECCE5Rv1G0314910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:280297244:280302818:1 gene:SECCE5Rv1G0314910 transcript:SECCE5Rv1G0314910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTASAAPPARRTRSRPPSASSRRSDDPSSAATANANGNGKTSPKLASPKHLPGERTVKKLRLSKALTIPEGTTVYDASRRMAARRVDAVLLTDAQGLLSGIVTDKDISTRVIAEGLRVEQTVMSKIMTRNPTYVTADSLAIEALQKMVQGKFRHLPVVENGEVMAMLDIAKCLYDAIARLEKAAEQGSAIAAAVEGVERQLGGNFTAPYAFIETLRERMFKPSLSTIVTDGTQVAIVSPSDPVYVATQKMREFRVNSVIVTTGNTVQGIFTSKDVLMRVVSQNLSPELTLVEKVMTANPDCATLDTAILDALHIMHDGKFLHIPVLDREGQIAACLDVLQLTHAAIQLVEGGNGTANDVANSVMQRFWDSALALEPPDDEFDSRSEASLLMASEAGDGRSSIYPPIIGNSFPFKLQDRKGRVHRFTCGSESLDELVSSVRQRLSIIDEKDIIQILYEDDEGDRVLLTADADLAGAVLHAKSSGLKVLKLHIVDESNPNVEVVKPLQELAPRSRNGAFSVKIGLMAGAVALSGAVVMVYLKRSRV >SECCE3Rv1G0168770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:225914483:225915040:1 gene:SECCE3Rv1G0168770 transcript:SECCE3Rv1G0168770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSMDTKDRNILFAAIPSICASSPKKTSIYNEEMIVACCFIGFLIFSRKSLGKTFKETLGRRIKSIQEELQQFFNPNELIPKESNEEQRLLRIILRIWSIVVESLPMARCAPQCEKTVQALLCRNLNVKSATLLNVTSSHCIHLQDDIVIGFHFSVSERFVSGSTFKASTIDLIREGLIVLRR >SECCE4Rv1G0290590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873313834:873315213:-1 gene:SECCE4Rv1G0290590 transcript:SECCE4Rv1G0290590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKLAEINLSLIYDYLYTKFGTRHFHMLPFCNVFHRIVALALPSVALGLFVRGRMTGGRKGHLHDADDVILCYVLLVGAVLLETCSIFMSFISSCWAYKTIISCSLTCPLCRDIPGAIAGLLWVARRLHPRNKGEWSAKMAQYNMIQGCIKANQETGLLRRAMRWLGIIGEPRAITHISVSPELKKLILDKLLDIAATPRVQEWDIGVGKFRGQWAQWVVETKQDRANHDQVLQICNIQGLEFVSSVLLWHIVTDICLLAADDDVVVDGGDSSSHDKEHLEDGGSSHHEDVQGSLSELRGPIKELSDYVMYLVADCGAMSGSEGHYVVTKGQKEVSRWLLEKHGGGSDDRRKVIEEIRDEDSSFFHENYYPVLDRARRVASDLLKVGEAGDRWELISVVWLEMLCYVAYNCGAAFHAKHLATGGEFVTHIKMLLFMVGVPFLRDVKESLFPEAGNIYS >SECCE3Rv1G0153870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:57517004:57517945:-1 gene:SECCE3Rv1G0153870 transcript:SECCE3Rv1G0153870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLGKGGFGRVYGGVLAGSSVRLAVKRISSESRQGLTQFTAEIMILGRLRHRNLVRLIGYCRHKDELLIFYEYMPNGSLDRYLHTHNRHTVLYWPQRLHIIKGVASGLLYLHGDWEQVILHRDVKASNVLLDGEMNGRLGDFGLARLHDHGANAHTTHVAGTRGYLTPELTRFGKATKATDVFAFGAFILEVACGRRPMGLNAHGELLVLVQWVRDVWASGSGAGLIVDSLDPRLVDYVANETELVLKLGLLCSHPLPAARPGMRLIMRYLDGDLPLPEFSPGYLSITDVDQVLDEVPPSVANTITGLSGGR >SECCEUnv1G0554510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:286998176:287001406:-1 gene:SECCEUnv1G0554510 transcript:SECCEUnv1G0554510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNGNEGMSTIPGFSQIQFEGFFRFINQALAEELDKFPTIKDPDHEIAFQLFAKGYQLLEPSIKERDAVYESLTYSSELYVSARLIFGFDVQKQTISIGNIPIMNSLGTFIINGIYRIVINQILLSPGIYYRSELDHKGISIYTGTIISDWGGRSELAIDKKERIWARVSRKQKISILVLSSAMGSNLREILDNVSYPEIFLSFPNAKEKKRIESKEKAILEFYQQFACVGGDLVFSESLCEELQKKFFQQKCELGRIGRRNMNRRLNLDIPQNNTFLLPRDVLAATDHLIGMKFGTGILDDDDMNHLKNKRIRSVADLLQDQFGLALGRLQHAVQKTIRRVFIRQSKPTPQTLVTPTSTSILLITTYETFFGTYPLSQVFDQTNPLTQTVHGRKVSCLGPGGLTGRTASFRSRDIHPSHYGRICPIDTSEGINVGLTGSLAIHARIDHLWGSIESPFYEISAEKAKEKKERQVVYLSPNRDEYYMIAAGNSLSLNQGIQEEQVVPARYRQEFLTIAWEQIHVRSIFPFQYFSIGGSLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQTALDSRVSVIAEREGKIISTDSHKILLSSSGKTISIPLVNHRRSNKNTCMHQKPRVPRGKSIKKGQILAEGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTDTTSQGSAEKITKEIPHLEEHLLRNLDKNGVVRLGSWVETGDILVGKLTPQIASESSYIAEAGLLRAIFGLEVSTSKETSLKLPIGGRGRVIDVKWIQRDPLDIMVRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLAGDLLKKHYRIAPFDERYEQEASRKLVFSELYEASKETKNPWVFEPEYPGKSRIFDGRTGDPFEQPVLIGKSYILKLIHQVDEKIHVRSTGPYSLVTQQPVRGRAKQGGQRVGEMEVWALEGFGVAHILQEILTYKSDHLIARQEILNATIWGKRIPNHEDPPESFRVLVRELRSLALELNHFLVSEKNFQVNREEV >SECCEUnv1G0535110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:52041920:52043725:-1 gene:SECCEUnv1G0535110 transcript:SECCEUnv1G0535110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAPLNLAALREQSQKELVSIIKSIRGNKCLVMDAKLAGTLSLILHTSVLKEHVTELRILSAEPLRTECPKVVYLVRSQLSLMKFVANQIKNDESKGLQREYHLYFVPRRLVACEMILEEEKVHQKLAVIGEYPLYLVPLDEDVLSFELGDDHSLEEHRLVEGEGDMASTWHVAESIHRLELAFGVIPNVRAKGVASTKAAQLLNHMHLQDPVDMDNMGIPEIETVILLDREVDMVTPMCSQLTYEGLLDEMLEIHDGSVQVDAGIMGDQQDGKKVKVPLNSSGKLYREIRDLSFHVVLRIVHQKATSIQQDYAQVKSTNTQSVSEIKDFVKRLHSLPEITRHVNLTHHLQSFVGKPSFHGRVEIEQTILEAKDYETCYKYIEEIIQKQEPIETVLRLLVLFSLTNAGLPRKSFDYLRREILHSYGFEHMPLLYNLEKAGLVKRRESRSNWAIIRRALELIVDIKDPDSPDDIAYVFAGYAPLSIRLVQHAVRSGWQSMEEVLKLLPGPHLDLKRGVSTISGSSELLPAEARQNADRVGRSSVVLVVFVGGVTFAEIAALRFLGAQEGMGYDFMIATTKVINGNTLLRPAAKRG >SECCE3Rv1G0193470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:770338387:770338605:1 gene:SECCE3Rv1G0193470 transcript:SECCE3Rv1G0193470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRFLPLCALLLVLLCMAASLAEGRRGGGRPFIGGGSGGARGRGSGSPRGLSGGTWAACVGSSLLVAAAML >SECCE1Rv1G0039800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:553537203:553542834:-1 gene:SECCE1Rv1G0039800 transcript:SECCE1Rv1G0039800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASSSGARAGGRGGGGGGESVVIDYGRRRTTCGYCRSTGPTSISHGLWANSLKADDYQALLDRGWRRSGSFLYKPEMERTCCPAYTIRLKASDFICSKEQDRVLKRMQRFLDGELDPQVGSPQCKTNPTKRSLNEPMNSPTLKVSRVSAYEFQTATGPSSDKEDEFISFLSSKINEAIGTCFQGGMVGSDVELPKAVVKTVKPQVKKKVGGAAQEKKGGAVQDLVYSCNVSFQLAAVIKRALPEEKRAVLGDLSPNCIAERLVLAMEHHGEIAGFAVKACNGHLNFYSATIQPVQNHTSIDACAQASDRSTSSKQSSVNKNDARHNKKARKLEFKMARSHFDPEEFALYQRYQTKVHKEKTVTESSYKRFLVDTPIVPIPPRSGDSSVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDLAFLSLGKYTALKEIDWVRTTQKSCPSLEYYYLGYYIHSCNKMRYKAAYRPSELLCPVRYEWVRYDAAKPLLDRSLYSVLSDFSTMAQDEIPQSHACGPCDESSAKNDHSETPIDEDDEDSESDYDESDMMVDEEMVHSESKGDTAEECSDVDVENVIMDLSGSRVKYKELHSVVGPIDRRHLSELERQLSRYAKVVGKELSDRIVYSLS >SECCE3Rv1G0207000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:913699690:913700237:1 gene:SECCE3Rv1G0207000 transcript:SECCE3Rv1G0207000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPHPPHHHHHHHRLHLRLDPGHHHRIHIHLRHHAAHLLPAAAPCAHHHHHHHASVPLPPPHSLPYPPTAAERPLAGPQGEAARGAEEPAPQAEPGEEVYLGQEEEWGEEEEEPVFVLTEEWAEFFAKADAKRRLAKQQKKNRKKK >SECCE4Rv1G0261930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:705026804:705027214:-1 gene:SECCE4Rv1G0261930 transcript:SECCE4Rv1G0261930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE3Rv1G0161830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:123105221:123107214:-1 gene:SECCE3Rv1G0161830 transcript:SECCE3Rv1G0161830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVERPPPVKEENKPDTMEIGSAPLLPMVLESFPSTQRNSITTSKDDKLEATKAEMGEVREENERLKTLLSHIVRDYQSLQTHFQDAVKVKHQAPAAGKLPPASAPAPTADDLVSLSLGSGGYARPKGAHQRTLSSSSSGTETDPDDQLSLGLSSRRSTDGDDRQAARPSATPLMNLSSDSSADDDAAPGHQLPAAACPPATKARKSPGAGVDGADDEVLQQQAKKARVSVRVKCDTPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVAPSCPVRKQVQRCADDMSILITTYEGTHSHPLPPAAAAMASTTSAAASMLLAGSSSSSSHGHHLPFASAGLLGPTTISTIASCPTVTLDLTAPHSLMQQQYQSPYAAGYESKALPAAWSSGYLAPYAGGMPYYGKSSLPAMGQHFGLGMSTTRAEQLYGAAHSSSYLQRTSSGGGVAHGAPAAAPAVTDTIAKAITSDPSFQSVLAAAITSYMGRGAGAAAHK >SECCE5Rv1G0311370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:191478445:191483789:1 gene:SECCE5Rv1G0311370 transcript:SECCE5Rv1G0311370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPMASSTSCKGSDHDDKNKEHQCELIGYEALPEWLKDNEYIHGYYRCEWPMKETILSIFSIHNETLNVWSHLVGFLLFLCLTILTAMVIPRDCSISGGGNTFDDAPSTRSYWGDLMAMANMTEALKHEAAACLLLPPAAADLSGNEEEIPNSCPPNRSSSLSHHHVALIQAQQDASAAPRAADAASADPITRWPMFAYLCGAMVCLLTSSACHLVLCHSERMAYVTLRLDYAGIAALIVTSFYPVVYYSFLCHPGLQRLYMGFITAFGAAAVTASLVPVFQAPEFRPLRAGLFSCMGVSGLIPVAHKLVLYGGRREAAVTACYEALMGALYGLGAAVYAARVPERWFPGRFDLVGHSHQLFHLFVIAGAYAHYLGGVEYLKWRDADRCW >SECCE3Rv1G0184180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:660371410:660373320:1 gene:SECCE3Rv1G0184180 transcript:SECCE3Rv1G0184180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component 8 [Source:Projected from Arabidopsis thaliana (AT5G15100) UniProtKB/Swiss-Prot;Acc:Q9LFP6] MISWVAIYHVLEATTPLYVAMILAYLSIKWWKLFTPEQCSGINKFVANFSIPLLSFQVISTNNPYDMNLKLIFADILQKSIALLGFAAISRACCAEKFDWLITGFSLSTLPNTLIVGIPLLKGMYGDEAVKLISQIVVLQSLIWYTLLLFLLEFRAAKGTAAAPSSEIVDEEEAGTLGATQQTYQEGQSKGVSARCSRAFRFLLVIGMKLVMNPNIYACLIGLIWALVSFRWQIQLPLIVSNSIRILSDGGLGMAMFSLGLFTSLQTKIIACGTKKMLLSLGIRFFLGPALMMISSYAVGMRGILLKVAIVQAALPQGVVPFVFAKEYNVHADILSTAIIVGMMVAVPAALAYYFVI >SECCE6Rv1G0397930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:408290926:408295306:1 gene:SECCE6Rv1G0397930 transcript:SECCE6Rv1G0397930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVRGSTVVVPAEETPRLRLWNANPDLVVPRFHTPSVYFFRRGAGEQEADRYFDAERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNAEGVLFVEADAPDGTVDDFGDFAPTMDLKRLIPAVDFTGGISSYPLLVVQVTHFKCGGVALGIGMQHHVADGFSGLHFINSWADLCRGVPIAVMPFIDRTLLRARDQPTPSHPHIEYQPAPAMLDSEEPQALSAKPEAPPTAVDIFKLSRADLGRLRAQLPTGEGAPRFSTYAVLGAHVWRCASLARGLSPEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAVAGKVTVSLADGATTIQAALEVMDNEYCRSALDYLEMQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSASRDGSLSVAISLQAEHMEKFRKMIFDF >SECCE2Rv1G0070840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:37956050:37957507:1 gene:SECCE2Rv1G0070840 transcript:SECCE2Rv1G0070840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQKRSNLAGRLPLPQRRREDEWRDWPNLPTVLVEDIAVRLLSHDVSEYIRLRAACKEWRCCTADPREGCNHLDPRFRPRRWIMLSNRTDGDGRRFLNLSTGASALVDLPELSEHHLETSTEGLLLLRDKASHAVRLLNPLTRALTDLPPVTEDLGGAYAVWTGLFQSAARIIYAGVSDETSPSSVVLLMADRHLGRAIAYANPGDQRWAVVDDEMWRPGIPMLRRFSSASTMEGRFYFATVEGYIIQVRLCPEPRLVPVVVDQPNTNYNMFCYLVPPDDDDHRCGGRMLMVRYYCNLYHLSDEEQRIMKRRRKVMDVIRVEHHVREHRWNLIQVFEVDVAGKRLVPVENIGRHRAVFVGDVACFSLSARRFPCVAGNAVHMGALGARCPPVGVRYLANKTADLSFVFTTDVPGLQDHISVKRHRQRIPELNLLPLARPCTLQEYLVCCAGVLGGLKD >SECCEUnv1G0540960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:92625239:92626228:-1 gene:SECCEUnv1G0540960 transcript:SECCEUnv1G0540960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYLADLLKQAAEEELGMMLGVSGDIDKMGVKLGDLKNLLADAERRRITDDSVRQWVTELKHAMYEATDILDLCQLKIMERGSSLPDMGCCNPLLFCLRHPRFSHEIGGRIKKLNQTLDSIKERSAAFSFLNLTSYEDRTRVRPSAACRKTDPVLERSGVVGDKIEDDTRTLVEKLTNKNDIDDIMVVAIVGVGGIGKTTLAKKVFNDEAIQHRFNTKIWLSVTKEFSEAELLKTAIIAAKGKLPDGGAQDKSLLVPALAVAIRDKKVFLVLDDMWGDNEWNNLLKDPFSYGAPGSRVLVTTRHGTVARGLKAMHPYHHVD >SECCE5Rv1G0366570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:813844327:813847269:1 gene:SECCE5Rv1G0366570 transcript:SECCE5Rv1G0366570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGERRLLVGVLLLALMVSAHCLDGAHHGPRLKRRRKKREIHSPVKTVVVVVMENRSFDHVLGWLRAGRPDIDGLTGKESNRLNASDPASPEIFVTDKAGYVDSDPGHGFEDIREQIFGSADTSAVPAPMSGFAQNARGMGLGMAQNVMSGFAPDSVPVYAALADEFAVFDRWFASVPTSTQPNRLFVHSATSHGLTFNARKDLINGFPQKTIFDSLEEDGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLRFKLDASRGKLPNYVVIEQRYFDCKEFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVTGVPQPDGIVGPDPYYFKFERLGVRVPSFLISPWVEKGTVIHEPNGPYENSQYEHSSIPATVRKLFNLRANYLTKRDAWAGTFENYLKVRKTPRTDCPEKLPEVTKSLRPFGANEDKSLSEFQVELIQLASQLNGDHVLNSYPDIGKTMSVGEANRYAEDAVSRFLEAGRIALRAGANESALVTMRPALTSRAAMSTGLSSEL >SECCE2Rv1G0066570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14708594:14709311:-1 gene:SECCE2Rv1G0066570 transcript:SECCE2Rv1G0066570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSRALVLGVLLAIAVANAEAASVVVGMVKCADCTRKNMKAEEAFKGLQVAIKCKNNAGDYESKAVGGLDGTGPFSVPLAADLHGADCVAQLHSAASNTPCPGQEPSKIVPVSEGTTYGVVAGENRATPSAASPECASMTLCGPIKKHIIEHFHHKKPVPPKPEPKPQPHPDYGPVPKPEPKPQPHPDYHPVPPTPTYGGGGGGGYHGHH >SECCEUnv1G0541170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93993034:94002012:-1 gene:SECCEUnv1G0541170 transcript:SECCEUnv1G0541170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDLARRAFDAGIAGGYGNTVFAHSALISAYARSGLATEAMGVLESMKGAGLRPTTVTYNAVIDACGKGGVDLRFTLGYFRQMLRDGLCPDRKTFNSLLSACSRAGHLEDARAVFDEMIHLGIGRDIYTYNTFIDAICKCGNIELAMQVLLDMEAKKMKPNVVTYSTLIDGYSKLEKYDEALKLYEKMKSLGIRLDRVCYNTVLAIYVKTGKYAEIAIVCDEMEDSGIEKDTVTYNSLINGYGKQGRLDIVSFLVQDMRRRGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKADVVLFSSFIDTLAKNGLVEWALSLLNDMTEMGIKPNVVTYNTIIDAFGKSKVHAEEDPEVGDMGIVGFYNGQIIRAANPVTRGRSAIDVRMRRSQELYFILELFQKMVQQGVRPNVVTFSAILNACSRCNNFEDAALLLEQLRLFDNFVYGVAYGLLMGYQEIWSQAQSLFNQLGRMDSPTSSAFYNALTDMLWHFGQRQGAQLIVLEGVNRRVWENTWSEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSKIAGASTLRHVIEALLNSIGAPFQVERFNIGRFVSPSVVVAAWLRESGTFNTILLSDERAQHATPSNLVPRLEALQL >SECCE6Rv1G0392160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:253196378:253203088:1 gene:SECCE6Rv1G0392160 transcript:SECCE6Rv1G0392160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPGRGLQRSGSKRLLDPTNGTGGGGGGGGDDDHAAKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIEGRSSPKRIEGPDGTNLQLQFRSRLSLPLFTGGKVEGEQGAAIHVLLLDTNTGRVVTSGPESCAKLDVLVLEGDFNKEEDEGWTEEEFEGHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVASGFCEGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKVGKDGAFHKKLNGSGIYTVEDFLRLLVRDQQRLRSILGSGMSNKMWESLVEHAKTCVLGGKHYIYYVNDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKVYADTLVKKAYEDWMHAVEYDGKALLGFKQKKKSVTTRSDTASASTSNPALYGFVNAQKQLLLGKAGQTSTGTLSEAGARSAYNGNLAARYTASPQNNAANVTMQYERSALSPESQFSGSSLQTQTSGGSNILALEPPQQQQQGFEFSAVGQSMQPAELNPIDQWSQPQENRGVDDYLMEEIRLRSHEILENDEMQQMLRILNMGGASTNMNDDGFTFPPYMQSPSPNFNFEDDRTRPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDDD >SECCE6Rv1G0405740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:535323063:535324016:-1 gene:SECCE6Rv1G0405740 transcript:SECCE6Rv1G0405740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLFYCSETYDDSIENEKSLQNARIIKEFWNDYYAKLLDIDKMKKTSNVDKYQDDVRKLLINEKKNQNGVFSDSELIDLHNQIANCTMKFDEDNLFKVTPNIVKFLINKDQPNAKQYLKGCLPSSLPMLKMFGIYTLEAIMIHVLGLVFNTLQESSVVKAVRFIDQLSSNVREQARFLQYKAPRSGKVEAVLNSKVESVKDVVQPKGASKKEKKIQCHYDIGKYLLQFMIERNVIHISIDRGVTKEDPVLVLKKGQGYIENSCYIMCNLNINLLPIKLNPPMLCKPLDWQPAERGSDPDTLSDLIGGYLCKPTGDI >SECCEUnv1G0539210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75379579:75381082:1 gene:SECCEUnv1G0539210 transcript:SECCEUnv1G0539210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETGICDLPADCLAHVASLTSPGDACRLAVTATALREAADSDEVWGSFVPADCADILARWSTSDERRRVGETNKGFFSRLCDSPVLLDGGNLSFSLERRSGAKKYMIPAKALCYGWSGYPYGGLVWSRCHPHSRFSEVAVLSYICWLDVNGILNTKNLSGVGRGYTAYLIYRVHQLHTETTQNQNQEGEVSSSAICNHECNHLVPQKHSRSLLWDWGWELDGSSSLASVHREEKDRRLKQQLKSNGVSVRSDGRWIEQEINIELDKPCLDGKERNVSIEFRGFTGSHSCQIIIEGIEIRPKAMES >SECCE2Rv1G0074480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:77057610:77061377:1 gene:SECCE2Rv1G0074480 transcript:SECCE2Rv1G0074480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAKLLVLLLASSLCLSAAIAAQGTCPADIDAKCGDAPTDDWEGEFFPGITKIRYEGPTSKKPLSYKWYNAEEVILGKKMKDWLRFSVAFWHTFRGTGGDPFGAATKNWPWEDGTNSLAMAKKRMKAHFEFMEKLGVERWCFHDRDIAPDGKTLAETNANLDEIVELAKQLQSETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYAAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELEHLANFLQAAVNHKKKIGFNGTLLIEPKPQEPTKHQYDWDVATTFSFLQKFGLTGEFKINVECNHATLSGHSCHHELETARINDILGNIDANTGDPQVGWDTDEFLTDISEATLIMSTVVKNDGLAPGGFNFDAKLRRESTDVEDLFIAHISGMDTMARGLRNVAKLIEDGSLDELVRKRYQSFDTEIGAMIEAGKGDFETLEKKVLEWGEPTVPSGKQELAEMLFQSAL >SECCE5Rv1G0368530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:829717427:829724364:-1 gene:SECCE5Rv1G0368530 transcript:SECCE5Rv1G0368530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLATSLLLSRSAAGPGHARATAAAAAAAISRPVAEPAPSAPAPAPSPLHAAARGAQGPRGAFASGLAGRLFGGRRAAARSSSSAAAVFERRFASAATKNSYDEILTSLAKPGGGADFGKYYSLPALADPRIDRLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENSATKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVVDHSVQVDVARSENAVQANMELEFSRNKERFGFLKWGSTAFNNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPAVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGGGMGELSLADRATIANMAPEYGATMGFFPVDAKTLDYLKLTGRSDETVAMIETYLRANNMFVDYKQVQAERVYSSYLELDLDEVEPCLSGPKRPHDRVTLKNMKSDWLSCLDNKVGFKGFAVPKESQSKVAEFSFRGTPAKIKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACDLGLEVKPWIKTSLAPGSGVVKKYFDKSGLQKYLNQLGFNIVGYGCTTCIGNSGDLDESVAAAITDNDVVAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFEKEPVGISKDGKEVYLRDIWPTTDEIAEVVKSSVLPDMFKGTYESITKGNPMWNELPVSASTLYPWDPKSTYIHEPPYFKDMTMTPPGARPVKDAYCLLNFGDSITTDHISPAGSIHPDSPAAKYLKERNVERKDFNSYGSRRGNDEIMARGTFANIRIVNKFLKGEVGPKTIHVPSGEKLAVFDAAMKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIVPLCFKAGEDADTLGLTGHERYTIQLPTDVNEIKPGQDVTVTTDNGKSFTCTLRFDTEVELAYYTHGGILPYVIRKIAAEQ >SECCE4Rv1G0285440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:844749517:844752134:-1 gene:SECCE4Rv1G0285440 transcript:SECCE4Rv1G0285440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSERAEMRRHRRSPLDDDDLLSEILLRLPPQPSSLPRASLVCRRWRGLASDPGFCRRFRIHHHRNPPLLGLFDSHPIVPFLPTLEAPNRVSPGRFSLQLSDSRHVRSLGCRHGLALYFDCVLPQLLVWDPIRGDQRRIALPPGFDAERALIDGAVLRAAGDAHFQFQVVLVGALDDDDAAAAAEGQMISVFACVYSSETGVWGNLFSTLVAAERYVNLGPAVLVGDSVYWILVTSPGYELDPESQILEFDLKMQSLATIQLPVDMLEYCRLTPMRADGGGLGLFLVSSFTAQLWKRKTDCNGVASWRLGRTVALDELLSLNSESVYLISIIGYAEENNLVFLGTAEGVFMIQLESLQFTKLSGDVMFPRHHPFESVYTTEKRIGGGHDEAYLLQNT >SECCE6Rv1G0425260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:705578268:705580224:1 gene:SECCE6Rv1G0425260 transcript:SECCE6Rv1G0425260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGNKDDRLSALPDDILVNILDRLNVPEAARTSILSKRWTHLCAKLSRLSISVLDFLPEDASHATSDICDDELVRINTAAVQATEGVLARRNPGEHTIRLLSTTFYLRDDVPISIGRAVGNAMATHLVENAKFFVRARKDDLTEIDNDDEVVAWGREFMLFFDACPNAFGGLTSLNLNNLRFGESDISSILTTCKRLKHLHLYNCDCGDGSTLQVEHANLSELCIISCLLVQVTLSWLPQLTRLAFDGWIEFQDPLVLGHVPLLEAVSLSNIALSVNKMVKLSTFLSSTSVRVLKLGFSSEKIWVQPECPRQSLASVFRQLRFVNLVKLPEGCDLTWTMFILEAAPLLKELYMTVWDDLCSMEMDEEKRKKQLYSENKGVEWGSAAADFQHHSLVTLVIFGFESEDYLVSYVRRVMEAAVNLEDVFLYSRLELECGHCQDKKPTRFPWTKRQKISLKKRIIAGIESFAIIHSNKTIRADHEAKMIYPQCLLD >SECCE5Rv1G0324280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:445257291:445260088:-1 gene:SECCE5Rv1G0324280 transcript:SECCE5Rv1G0324280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMVCSPQFWRMGVLWTLSLLYSYLLLFLRGRTAAPRRREDVGRGGRPICVVTGATSGLGREAAAALAREGYRVVLAGRSAQLLSETAKEIRRQQPDACLEAFQVDMSSYKSIKKFETSLNQWIRDSNLEPSIQLLINNAGMLAKSHRVTEDGIDEVMQTNYIGPFILTSILLPLLKNSPVPSRVVNLTSFTHRCVSEIDVSEEALRGVKFGQHSVGGSYPLASTYEYTKFCLLMFSYELHRQLHISSGISVMAADPGVVETRIMREIPPCLSRFAFFILRTVNLLQQPDTGIGAVLDAALAPPEASGKYFFGGKGRTIRSSVLSYDIEVAKKLWAASSALLRELRLRDCESRTD >SECCEUnv1G0528230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3574363:3575268:-1 gene:SECCEUnv1G0528230 transcript:SECCEUnv1G0528230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMASPLTRAARLHPVSCSAASSSPKNSRHSSSSSPQQAAAAVPTLRSAAVALAGAVPLLAALPPPDALALGGELGIIEGRTVALLHPAIMGGLFAYTLWAGYLGWQWRRVRTVQDEITELKKQVRPAAAATPAAVGAAAPPPPPAAKSPTEIKINELTEERKKLVKGGFRDRHFNAGSILLGLGVTESVGGALNTWLRTGKLFPGPHLFAGAAITVLWAAAAALVPAMQKGNETARSLHIALNTINVLLFIWQIPTGLEIVGKVFEFTNWP >SECCE6Rv1G0440760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:806783567:806785689:-1 gene:SECCE6Rv1G0440760 transcript:SECCE6Rv1G0440760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPSLDGQPLLAPVERSEHVEALEEAKRLLRLAGPLAAGGILRSALQLVSVMFVGHLGELPLASASLATSLANVTGFSLLVGMSSALDTLCGQAFGARQYHLLGLYKQRAMVVLALAGVPIALIWANAAQILLLLGQDPAIAAEAGAYARWLLPALVPYVPLVCHIRFLQMQSIVVPVMISSAVTLLSQIIVCWALVHKVGMGSKGAALAITFSYSTNLAILCLYTSLSSACKRTWTGFSMEAFKELRQFAELAMPSAMMVCLEWWSFELLVLLSGLLPNPKLETSVLSICLNTGALMFTVPSGLCAAISTRVSNELGAGRPQVARLATRVVICMAMFAGSVISITMILLRKSWGYMYSNEEEVVTYIARMVPILGVSFFVDGIHTSLSGVLYGCGEQKIGARVNLAAFYLAGIPLAVLLAFVLHLNGMGLWLGIVCGSLTKLVLLVWIVLSINWENESIKAKDMVLGTSLPVA >SECCE5Rv1G0302530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:39752302:39757814:-1 gene:SECCE5Rv1G0302530 transcript:SECCE5Rv1G0302530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAQTILINVGQLVGREFRQLSAVRGEVAELRDELATMNTLLRMQSEADHSALNHFIREWMKQLQELVYDTQDCVDLYIFRIRCRPGDGLLVWSKRLLATLFPRRRLAGDITALRLRAVAISERHARYGVSLELLGRTASSDLVPEVTASARALHPDNDLNQLVGIKAQAEDLAGKVKAVGENDKGLKVFSVVGFGGLGKTTLAREVCRLLETEFQRQAVVFVSQTFGSKDLVRLLKRLLRQIMRLPSDKPSEQAELLAKIDSMDVEELVLNIKGNLMDNRASANERVSTPLSSPRSPGWANSTLQGLLSCTCTIICRYLILIDDVWSTTAWDAIQSKLPSSNCGSRIIVTTRIDTVAKACSNACDDYIHHMKALDEKESNQLFLSKAFGSMIEDSCPEDMKSAMKKILKKCGGLPLAIGSIGSLLASYRHPEGKKMWEIVGRSIGSQMESNPTLEGMRQILTLSYDHLPHHLKGCMMYLSIFPEDNVTCKDRLLKRWIAEGLVPQTRGMTEMELAEAYFNELVSRSMIDRAPDIVTMYQWREETCRVHDMMLEVMVSKSLESNFVSLVGGQYEGMSYDRIRRLTIHGGVEASEDSSVHRGTKNDIKGMTMQHVRSLSLFDPEVHTLLSRLGEFRLLRVLDLEDCKGLEEKYMRHICRMYLLRFLSLKGTDIKVMPSRIGDLEHLQTLDVRQTQLTSLPETVTKLEKLEHLLFSTKGNLWSGWMLPQGINKMKALRHLNKAVVMCNLNGAKEIGELDQLKELCIYVDSRKEFNPNVLEELACSLSKIYSLQSLDIGNFGCDKWPFKRILQFLHEVHSPPRLLRYLRICGCIERLPDWVGSLTNLIEFDISWAYLNSVQLFNVLCKLPNLKTLTLGTYFCYGKEMVAGSNQPFPELKELTLDYAPEEPEFYKFEQGSMPKLETLVLNFGDQWKKIVGIENLPNLKEVQLSTTKEGVPVQLEMLRVENERRHDLLGSKQIRVIVRYT >SECCE5Rv1G0323890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:440911007:440913563:-1 gene:SECCE5Rv1G0323890 transcript:SECCE5Rv1G0323890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g02750 [Source:Projected from Arabidopsis thaliana (AT4G02750) UniProtKB/Swiss-Prot;Acc:Q9SY02] MLPSRHLRAAVRQRSHRPPAAGEACSGKLDADVIRRNKAITVHMRAGRVGEAERLFDSMPSRSTSTYNAMLAGYASNGRLPFALSLFRSIPRPDTFSYNTLLHALAVSSSLADARSLFDEMPVKDSVTYNVMISSHANHGLVSLARKYFDLAPEKDAVSWNGMLAAYVRNGRVQEARELFNSRTEWDAISWNALMAGYVQLGRMAEAQEIFDRMPQRDVVSWNTMVSGYARGGDMVEARRMFDMAPVRDVFTWTAVVSGYAQNGMLEDARMVFDAMPERNPVSWNAMVAAYVQRRMMEKAKELFDIMPCRNVASWNTMLTGYAQAGMLDEARAVFDMMPQKDAVSWAAILAAYAQGGFSEETLQLFIKMGRCGEWVNRSAFACLLSTCADIAALECGMQLHGRLIKAGYGLGRFVGNALLAMYFKCGNMEDARNAFEQMEDRDAVSWNTVIAGYARHGFGKEALEVFDMMRATSTKPDDITLIGVLAACSHSGLVEKGISYFYSMHRDFGVTAKPEHYTCMIDLLGRAGRLDEAQGLMKDMPFEPDATMWGALLGASRIHRNSELGKSAAEKIFELEPENAGMYVLLSNIYASSGKWRDVGKMRVMMEERGVKKVPGFSWMEVQNKVHTFSVGDCVHPEKEKIYAFLEDLDMRMKKAGYVSATEMVLHDVEDEEKEHMLKYHSEKLAVAYGILNIPMGRPIRVIKNLRVCGDCHNAFKYISAIEGRLIILRDSNRFHHFRDGSCSCGDYW >SECCE7Rv1G0461480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:38137473:38137940:-1 gene:SECCE7Rv1G0461480 transcript:SECCE7Rv1G0461480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKPAAQPVTGVPVGAAPAAWSSGLFDCFDDCGLCCVTYWCPCITFGKVAEIVDRGATSCGTSGALYALLCSLTGCQWIYSCTYRSKMRAQYALPDGPCCDCCVHFCCEPCALVQQYKELKARGYDPEIGWHLNMERRAGAGAVNPPGVQEMGR >SECCE1Rv1G0045480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:610345101:610346485:1 gene:SECCE1Rv1G0045480 transcript:SECCE1Rv1G0045480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:21.7 kDa class VI heat shock protein [Source:Projected from Arabidopsis thaliana (AT5G54660) UniProtKB/Swiss-Prot;Acc:Q9FIT9] MPRRAIEVRADGAAPKWCMSLLENTFTAFLKSPGADADAKAVFAEGSLFSPYLFGKFFDPADAFPMWEFESDVLLAALRRGARTTVDWAENDCEYCLRADIPGGRKCDVEVSGDGARVVDVSGLWRAPPADGRDWRAGRWWEHGFVRRVELPEDADGGRVEAYFDDGAGSLEIKVPKRNRDAHQQA >SECCE4Rv1G0222340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:56285242:56288523:-1 gene:SECCE4Rv1G0222340 transcript:SECCE4Rv1G0222340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCCSGVVSGGSSGGVPGAGTPRAQKSRTPPGFLTAPPRRRPSSRAGCRQLAAPPCGERVGRPGDAGNVVHMLRSAAAADPEGALELFRSVARQPRVAHTTESCNYMLELMRAHGRVGDVAQVFDLMQRQIVKANVGTFCTIFGAVGVQGGLRSAPVALPVMKEAGIALNAYTYNGLIYFLVKSGFDREAMEVYKAMAADGVVPTVRTYSVLMLAFGKRRDAETVVGLLGEMEARGVRPNVYSYTICIRVLGQAGRLEEAYRILRKMEEEGCKPDVVTNTVLIQILCDAGRLADAKDVFWKMKASDQKPDRVTYITLLDKCGDNGDSRSVSEIWNALKADGYNDNVVAYTAAVDALCQVGRVDEASDVFHEMKQKGIVPQQYSYNSLISGFLKADRFNRALELFNHMNLHGPTPNGYTHVLFINYYGKSGESLKALKRYELMKSKGIVPDVVAGNAVLYGLAKSGRLGMAKRVFHELKAMGISPDNITYTMMIKCCSKASNADEAMKIFSEMIENRCAPDVLAMNSLIDMLYKSGRGNEAWKIFYELKEMNLEPTDCTYNTLLAGLGREGKVKEVMQLLEGMNSNSFPPNIITYNTVLDCLCKNGEVNYALGMLYSMTMNGCMPDLSSYNTVMNGLVKEGRLDEAFWMFCQMKKVLAPDYATVCTILPSFVRSGLMKEALHIVKEYILQPDSKVDRSSVQSLMEGILKRDGTEKSIEFAENIASSGILLDDLFLCPIIRHLCNQKEALAAHELAKKFENSGVSLKIGSYNALICGLVDEDLIDIAEELFSEMKRLGCDPDEFTYHLILDAMGKSMRIEDMLKVQEEMHNKGYKSTYVTYNTIISGLVKSKMLDEAINLYYQLMSEGFSPTPCTYGPLLDGLLKDGNVEDAEALFDEMVECGCEPNCAIYNILLNGYRLAGDTEKVCELFENMVEQGINPDIKSYTVVIDTLCAEGRLNDGLSYFKQLTDMGLEPDLITYNLLIHGLGKSGRLEEALALYNDMEKKGIAPNLYTYNSLILYLGKAGKAAEAGKMYEELLAKGWKPNVFTYNALIRGYSVSGSPENAFAAYGRMIVGGCRPNSSTYMQLPNQML >SECCEUnv1G0547730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:173424883:173428025:1 gene:SECCEUnv1G0547730 transcript:SECCEUnv1G0547730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGGHPYSPSDLELPGFVPQQLSPLELVVPLIGTSLLIIAVIWLVTGRVLNTGRSGRLSKADRLLMCWWAITGLTHLIIEASLLFTPNYLTKENPSFFDEIWKEYSKADSRYAIGDTTTTVIEVIAVFLQGPLSLLAVYAIASRKSYSYILQFSISMGHLYAMLVYYITAYLDGMNFCVGPFYFWTYFVAANSPWVVIPTLTAIRSWKLISQALQSCKVNQD >SECCE4Rv1G0243220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:498562933:498567479:-1 gene:SECCE4Rv1G0243220 transcript:SECCE4Rv1G0243220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFDIPAGDDMMMGDGMGDFGGAEGPGMKVGEEKEIGKQGLKKKLLKEGEGWDTPEVGDEVEVHYTGTLLDGKKFDSSRDRNDTFKFKLGQGQVIKGWDQGIKTMKKGENALFTIPPELAYGESGSPPTIPANATLQFDVELLSWTSVRDICKDGGIFKKILKEGDKWENPKDPDEVFVKYEARLEDGTAVSKSEGVEFTVKDGHLCPALAKAVKTMKKGEKVLLTVKPQYGFGEMGRPAAGDGGAVPPNASLIIDLELVSWKTVTEIGDDKKILKKVLKEGEGYERPNEGAVVTVKITGKLQDGTVFLKKGHDEQEPFEFKTDEEAVIEGLDRAVLNMKKGEVALVTIPPEYAYGSTESKQDAVVPPNSTVIYEVELVSFVKDKESWDLNNSEKIEAAGTKKEEGNALFKLGKYARASKRYEKAAKFIEYDTSFSEDEKKQSKQLKITCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRAQAYTQLADLELAEVDIKKALEIDPENRDVKLTYKTLKEKIKEINKKDAKFYSNMFSKMTKPSAEESKA >SECCE5Rv1G0325750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:468965227:468966609:-1 gene:SECCE5Rv1G0325750 transcript:SECCE5Rv1G0325750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLIFGSAAPCRAAGAGGGQMMLFGGSGSFLGGSPVVTGVEDGRRKRPFLTTVDEELQLDEEMYGYYGLDEHAPERKRRLTAEQVRALERSFEEEKRKLEPERKSELARRLGIAPRQVAVWFQNRRARWKAKQLEQDFDALRAAHDELMAGRDALLADNHRLRSQVTSLTEKLQAKESSRTAVSGTADAGVPAVELKDGHAACDGAAGLQADFAGGANDSPESNSYFADARSPPSSSDDDCAGAVSGELNSCAFFLPEHVMLDVTAAERGNVQQGEEEEEEAQRNYWAWFWS >SECCE5Rv1G0354310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722887133:722888299:-1 gene:SECCE5Rv1G0354310 transcript:SECCE5Rv1G0354310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTKAAALVAVLAMAVLGLATEGQAQLQNGFYTGKCRGNDVEAVVRGIVKARFAQDSAIVAHLLRLLFHECGVNGCDGGLLIDGPGTEKTAKPNLSVKGYDLITTIKMELEKRCPGVVSCSDIEILATRDAVNASTGQGYAVRTGRRDRRRSVATDVNLPGQDFTVPQAAAFFRTLGLSSDDMVVLLGAHTVGVTHCSMIKKSRLYSYGGKAGATDPSMDPELASTYKTYVCPNTASSDNNIVFLDDRSSASKLDNSFYKMLQRRRGALMVDQNLYGDGSTRWMVDRLANTDHFRWLFPQALAKLGEVNVLTGTQGEVRRVCTKFN >SECCE6Rv1G0444200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827190329:827190769:-1 gene:SECCE6Rv1G0444200 transcript:SECCE6Rv1G0444200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSVFVAFDTDGDGKVSASELRRCMEATLGEGVSEEEAVAALAAVDADGDGLLNQEEFSRLTAGTGAHEEDDADVKRRCLREAFGMYASSSTEDTITPASLRRTLSRLGSHELGMEECRAMICRFDLDGDGKLSFDEFRIMMMA >SECCE7Rv1G0455550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:7856693:7861164:1 gene:SECCE7Rv1G0455550 transcript:SECCE7Rv1G0455550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVVSASLGASGPLLVKLGTLLSNECGRLKGVRREIRSLRSELTCMHAALAKYAMIEDPDVQVKAWMSLVRELAYDTEDVFDKFIHQLGDGRYHGGFKEFFRKTARRLKTLGSRRGIANQIDDLKTRIKKVQELKDSYKFNDAPSSTMDHIAMDPRLHAVFAEEAHIVGLEGPRNDLAKWMVKEGNNSKPCKVLSIVGFGGLGKTTLANEVYRKIQGNFQCRAFVSVSQKPDIKKIIKDVISQVSCGDGSTKDTSDWDASKFIAKLRELLRDTRYLIFIDDVWSTQAWNTIKCAFPENNCSSRIIATTRIIDVAKSCCPTTDDRMFEMKPLSDVNSRRLFFKRIFGSDDCCPNMLNEVSNEILKKCGGLPLAIICISGLLANRPAMKEEWEKVKRSIGSALENNQSLEGMSSILSLSYNDLQPHLKTCLLYLSVFPEDYVIDRNRLVRRWISEGFISEERGQSQQEVAEKYFYELINKSMVQAVDIGDDGKVNACRVHDMMLEIIISKSVEDNFVTIVGGSQTSLTNHHGFIRRLSVQHIDLEAVTALANEDLSHVRSLTVTSSGCLKHFPCLVEFEALRVLDFEDCWDLEEYDMDNMDKLFQLKYLSLGSADKSKQPRRTMVLDGVLTLDPREKQTEVLPAGIERLTKLQYLITRWYHCKVPNGIGNMRSLRVISTFDITRSSVDAVEELGNLTRLNELRLWLDFGDGYKRHYEVLLSVLCKFSSCKLQFFHINGRKGFINFIDFWSPPPSFLQIFYMSGELLLSNIPRWITPGLKSLAHIHMTLNELRGEDLHTLGELPSLVILSLILETGVKEKLTVIGFPCLKRFLIFSLDGAYVTFTKGAMPKLEKHILSGDASVMNTQGFYLGFEHLSCLKEIEIRTWKDGATHQECDAAAAVIKKEARAHPNHPKFCYISSRHQMINDTDSDEEKSRDEGN >SECCE5Rv1G0338970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604314026:604316785:1 gene:SECCE5Rv1G0338970 transcript:SECCE5Rv1G0338970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAILLAVKKISVAMAGEILSLARPMFRKKSELVAALPSNMELVKEELEIIHAFIKKISTTQCNDIVLETWLRQARRLAYDIEDIVDQFIYIVGESQAASFLSNLKELFKKPQSLFSLDRMATEVEKVKHRLRELSARRDRWMQSNSSALDVETPNYDNKQEAYQFRHSQSDNEDDYVGVDEYREILNKLLYSEDRSLCSIAVCGMGGLGKSSLVHSVYKRELSHFDCRAWISVSQSCKIDDILRNMLKQFCGNDDKVQFEVGKMNIEDLRIELKKLLEQKRVMIVLDDVWRGAVALEIRNLLSSCGNRSRVVITTRIDKVASIAEDACKIRLEPLNKHDAWVLFCRKVFWKIQNHVCPPELQRWGEKIVNKCAGLPLALVALGGLLSLRDKSEAEWKSLYSKLTCELHDNPDVNHVEWILNLSYRHLPDYLQNCFLFCAMFPEGHLLKRKKLIRLWIAEGFVEQRGTISLEEVAESYLIELVHRSMLQVVERNSFDRIRRLRMHDLVRELTIKLSEKECFSSSYDDTSGVIQIVPDSRRMSVLRCNTDIRLTLESSRLRTLLAFDRTMLHCSWSHYIPSKSKYLAVLDLSGLPIETISHSIGELFNLKYLCLNDTNVKSLPKTVSRLQNLETLSLKRTQLIGFPPGFAKLTKLRHVHVWKLLDDAQSSFSQSLGVRTTDGLCNLKELQTLDEVQANEQFVSKMGNLAQLRSLYISDVRSRYCSQLCSSTSKMQHLIRLHVKASNQGEVLGLESLALPCQLQTLELTGQLSGGVLQSPFFSAHANTLVRLGLCWCNFAENPMPHLSKLSNLTSLRLRMAYTGQQLGFSAGWFPKLKGMALIDMARVRKICIEEGALISLEYLNLGGLTELADVPDGIEFLPSIREVHFGTMHPDFSANLHESARTGRLEHVPVTYRR >SECCE3Rv1G0165330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:173212343:173217600:-1 gene:SECCE3Rv1G0165330 transcript:SECCE3Rv1G0165330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPSPKKVLLPIVAGTEPIEASIPIDILRRAGANVTVASAGGALLVEIMYGVKILADELLADCAAASYDLIVLPGGVPGAANLGGCAVLEGIVRKHAKKGGLYAAICAAPPLVLASWGLLDGHKATGHPWFVEKFPPEVTAVDANVVVDGTAVTGTGPATSMEFALALVEQLYGKEKVEQIAKQMLVRYEGGYSMKELNSVQWRCSGTPKVLLPLATGSEEMEAITIVDALRRANADVVVASVESGVEVTARHGTRIVADVTLDEAADRAPFDLIIVPGGMPGAKTLGGCEHLVALLKKQAEAGRPYGAIGAAAAHVLEPHGLLEGKKATTCASMAGLLADDSECESRVVVDGNVITSRSPGTAMEYAVAVVERMLGRDEARRLADGLLFSA >SECCEUnv1G0539340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75597122:75601118:1 gene:SECCEUnv1G0539340 transcript:SECCEUnv1G0539340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDMDGPDGWVWVRRPTEEESWRPWTAEEEERPLKVVFSSPAEYFTDAAPIGNGSLGAMVWGGVSSDKLQLNHDTLWTGVPGNYTDPKGPGVLAEVRQLVDQGRFADATASAKGLFGGQSEVYQPLGDLNIEFTASDEVYDSYKRELDLHTATALITYVVGGVQYTREHFCSNPHQVIVSRFSASTPGHVSCTLSLSSQLNHNVTVTNENKMIMEGICRAQRPGMRENGGDNATGIRFTAALGLQMGGSAAKVTVLNDQKLKLDSADWVVFIVAAASSFDGPLVNPTDSKLDPTSLALSTLNNSRNFTFDQLKAAHLDDYQSLFNRVTLQFSRGLNNASTSVARKDRPQEVAEDIRTSADRVKSFSTDEDPSLVELLFQYGRYLLISCSRPGTQVSNLQGIWSQDIAPEWDAAPHLNINLQMNYWPALPCNLSECQEPLFDFLGSLAVNGTKTAKVNYQADGWVTHHVSDIWAKSSAFIKNPKHAVWPMGGAWLCTHLWEHYQFSMDKEFLENTAYPLLEGCANFLVDWLIEGPGGYLETNPSTSPEHAFIAPDGKPASVSYSTTMDISIIREVFLAVLESAELLGKADIDLVERVKKALPRLPPIQIARDRTVMEWALDFKDPEVQHRHLSHLFGLYPGHTIAMDNDPDVCEAVANSLYKRGEDGPGWSTTWKMALWARLLNNENAYRMVLKLINLVPPGGKVEFEGGLYTNLWTAHPPFQIDANFGFAAAIAEMLIQSTQSDLYLLPALPRYKWPTGSVRGLKARGDVTVNIRWKEGELHEAVLWSSNDRNTVARLHYGKEVAAVTVRRGVVYRFGSGLRCLETWPLCK >SECCE7Rv1G0464010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:58420913:58421305:1 gene:SECCE7Rv1G0464010 transcript:SECCE7Rv1G0464010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGNNGGVKITYIETQFVTSDAASFKSVVQRLTGKSAEAAAPPPLHRPLPCRAAAAERTSAVSGAPSYFASTTTGSASATVSAAADHVRTAAFTANAGDYSELQGLWDYSELFSAAERCHGGSYSDFLY >SECCE5Rv1G0303710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:50262010:50263143:1 gene:SECCE5Rv1G0303710 transcript:SECCE5Rv1G0303710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPPPTLPDELVEEILVRIPPDDPATLLRASLVCKFWSEVVSRRWFRRRLHDFHRAPPVLGYLHDLADEDKPDFIPATASPFSLPVPDRRLWQAVDCRHGRALFLSNPKCEHTEELLVWEPITGAQQRIPVPAAFQSGRATAALFCTADGCDHCHCHGGPFCVVLVFSVDDEDIREEAVEQEYITSACVYSSDTDAWGEPTLMHGECYMSFACYSSVLVGRSQLYFLSDGGLILEYDLARHRLIWFDVPHSCSIKDIFDCNLMLAENGGLGIVEELNPHLRLWSREADGRWVPGRIIYLGGLSLDGAPVEEVHVLGFAEGANVIVVTTSLGVFSIQVESPYADKVCDEAGGCDDFSYGNLIPIVGYYTPVPKLST >SECCEUnv1G0562850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:369767436:369770050:-1 gene:SECCEUnv1G0562850 transcript:SECCEUnv1G0562850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWQAFGVALLMSYLVLAIRGEDVRTTKNRENIDQIRQVDKTMVTDGGDVYDCTDVNLQPALNHPLLKDHKIQMEPSSLPNGLNVKSPFLNVESEAHPSVIECPIGTIPILRNNRREDVAGHNIDAVFTKFQQQGVAGIKYYDDVYGARAILNVYEPKVKKDSKDLSATGVQIDNGPNGIDGIIVGYSVAPNLVGDSFARFHIAWDAGASNKSCYDHTCPGFVQVNRNFGLGGRLQHVSVYNGKQYVLKILIFKDTKTKNWWVAYGEANIPIGYWPSSLFTYLRDKGNYTLWGGHVSGPTASSDSPQMGSGHFASEGRGKAAFIKSVQIIDENNKLVTPNENRVVTGTSDITKYTVDGYGIDKEGMHIYYGGPGNFV >SECCE2Rv1G0097580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:463573469:463577000:-1 gene:SECCE2Rv1G0097580 transcript:SECCE2Rv1G0097580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGAAAPLLDGKLKVRRSENGDGEAAAAAGRRRWWRCLWDAEEAVGQVAFAAPMVATSMAFYAIPLVSVMYAGRIGDLELAGATLGNSWATVTGIALMTGLSGSLETLCGQGYGAKVYRMLGVYLQASIITSALFSVLISLLWLYTEPLLIFLHQDPEVSRMAAVFLRYTIPAQFAFGFIQCILRFLQTQSVVMPLVAFSLLPLVFHVGITHASVHYLGLGFAGPAMSTSLSLWLSFIMLASYVMLSTRFNQTWGGFSTEAFQYVLPGLKLAVPSAMMVCFEYWAFETLVLLAGLMPDSQMSTSIIAMCANTESISYMITYGFAAAISTRVSNELGAGNIDKAKKALKVTLALSLLLGVTFLLLLGLGHNLWAGLFSKSEAVISAFASMTPFLIGSVVLDSTQGVLSGVSRGCGWQHLVAWTNLVAFYIIGLPLSLLLGFKLGFHTKGLWMGQICGLLCQNAVLLFITLRTKWERLELATNSKEGDLIC >SECCE5Rv1G0345100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649417164:649417628:1 gene:SECCE5Rv1G0345100 transcript:SECCE5Rv1G0345100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKFQRILAAGAGARRRQHASNTADDECCSTTSSMVADEGHCVVYAADGERFEVPLAYLGTTVFAELLRMSEEEFGFTSGSDGGRITLPCDAMVMEYVLCLVRKEASEEVERAFLSSIGGHYHSYNASCTVPSMALSHKFALCT >SECCE4Rv1G0240640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448090960:448091199:-1 gene:SECCE4Rv1G0240640 transcript:SECCE4Rv1G0240640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMRTTCTWTERPYEALLFPGIGFGPFLRSLGGRRRRLPSGGARAISEIPLWKSSDSNLVSDSRAKGQSQVDSFYGA >SECCE4Rv1G0249670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:585481896:585483296:1 gene:SECCE4Rv1G0249670 transcript:SECCE4Rv1G0249670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDVFQHYRLNPTDVEAVTYYLPRLIAGQLHGAEKFIHHVDIYSCEPKDLAANIPPVPQAASSGDRFFFTTRKSKNGSKTQSVRTAGGGTWTVNSTTAVKHAGFEVGEKKNLSFRKNGKSTGWVMEEYKCLLPEAIVSDGVKVFCKIHLAQHPPDAARQESAAYNHRCQAEPQGEPVTASTHAQKRPAPTAAADPHPPRPNKRMRGAIPVPASPTPSFDEAAGAMYGPLAHTNFPVQDAQAPAVAVPAAIEGASASCESTATSYHSDVASSSHDKQLQAQAPAISSQSDVPESVKHYSQQQMIVPEAGSSIARSTSEEDVFEPLEPVSNLPAWEADGFDIEELMRMMEDDPIEVEPLYLDDGLDQGMLEDMLQSDCPYPTSRSEDVAMHNPASHDTDKEKRCNAAPDLDAPSLQGQDHLFKQPFDPFEAAWKAEEALEKEKRDNDPANLHAEGHSNFFSPASVY >SECCE5Rv1G0330880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:534375208:534378823:1 gene:SECCE5Rv1G0330880 transcript:SECCE5Rv1G0330880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGGGEEKPFNFLQILCEGVIAGGAAGVVVETALYPIDTIKTRLQAARAGSQIQWKGLYSGLGGNLVGVLPASALFVGIYEPTKRKLLDMFPENLSAVAHLTAGAVGGLGASLIRVPTEVVKQRMQTGQFRTAPDAVRLIVAKEGFRGLFAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLMAKRELKDLENALIGAFAGAITGAITTPLDVLKTRLMVQGQTKQYSGIVSCAKTILREEGPGAFLKGIEPRVLWIGIGGSIFFGVLEKTKLVLTERSSRKAALAEKDE >SECCE1Rv1G0008100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:44440843:44444468:-1 gene:SECCE1Rv1G0008100 transcript:SECCE1Rv1G0008100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSRSRSGPNESPVSSRTRPSTPSSGHRPSTPSSGYRPSTPGGTRRGAATGGTGTGTGGGTPSTPRGSRNTGGPFRSEPNSPPAAAAARPRLSFDRSPRSADAKPVAERRVPKIGTPPPDQKQLRREIELQSRLESAHEDLKKAKDQLSFVVGEKDRLVGELNEAKRVADEIHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDESQKRDEEWQREVESVRSQHAADLETLVTTTEELERFRRELSMANEAKKAALGHADDAMKIAEVNADKVEILSGEVTRLKGLLDSSAAVEESKNRETQAFVKNLESEISVLKGKLEEARVLEERLADMEKLTEELKAQLADAKKAESEVHQQFEEWKNKAGSLEMELEEAILSEKAKSDTLISTEEELNKTQSILQDRESEMEVMKGKTTALEIEVARLSAEINESGEHLDASQQELFGLQTTIDVLKNKLEAAEEVASEALNNEKTANANIVSMTEEKIKLINELNDARDREEKEKKSVEDLTAALSEASGKAEEAHERFLKKEDDYEHALAQIGDLKMSLNSTKENYEVMIEEAHYDITCLRNTVGKLEAEVSKYREECEAKDLEIVSLNKQSEEEIAALKAEADRVVASLRDAEHELQTVNEEKEILQEKLLYTESAVVEANRVVQDVKAEKEGLQEQLMHSESAVSEANKAIEEVKAEKEDLQAQLMHKESAIAEANKALQEVKAEKEGLQEQLMHTESAVAEANKAVQEVKAEKDDLQEKFLYTESAVAEANKAVQEVKAEKEGLQEQLTHMESAVAEANKAAQEATSESLQLKDRLLDKENALQSLTQENDEFRLREADAMKKIEELSALLAEAMEKKHPEEEEKLVVVDEVHSSAREVAISAAETEDTEGESDKKPSMEFVVANGNSNGDMNQEEEKCDSKVEQQEAKSDFTAVQESDKVVEKQLQADVKQETESSKDDLSSKEDSSTEHVNANGTASAEVTSKVAMSPTTTTTTKPQKKNKPLLKKFGNLLKKKNSK >SECCE2Rv1G0087180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:223068300:223070648:-1 gene:SECCE2Rv1G0087180 transcript:SECCE2Rv1G0087180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 and C2H2 domain-containing stress-associated protein, Stress response, Regulation of the expression of stress-associated gene [Source: Projected from Oryza sativa (Os07g0569700)] MGTPEFPDLGRHCSVGDCHQIDFLPFTCDRCDFVFCLQHRSYTSHKCPKANNKDVTVVVCPLCAKGVRLNPNEDANITWESHVNTDCDPSNYQRATKKKKCPVTGCREQLTFSNTIMCKDCSKEHCLKHRFGSDHKCPGPRKPEPTFPFANMLRRSQKVEPRANSSGSGSSWWSSSLLNAASSFRSSAEAGMQKLSIATSEAVQKAKDGVTPSSSGGGLVEQCVQCPARFSTVGALIEHAEKSHGNNSQPSRGKVTIDACPKCSKGFQDPVLLVEHVEREHGGTSKA >SECCE1Rv1G0056520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:687132354:687135714:1 gene:SECCE1Rv1G0056520 transcript:SECCE1Rv1G0056520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAACVSFCPSPASSRHGAGPIVDVVGPAEERGAERDLLEFVADGMISTNSDYDNDEDAAAEIDDEEEHSARRPRAVVQKFMCERKAVGDGFALRRSIGRPELKSLDPFISLDEFEFSRPAGFSDHPHRGFENVTYMLEGGLSYHDFSGHKGTINTGDVQWMTAGRGVVHAEMPGGEGVQRGLNLWLNLSSKDKMVAPRYQELRSRDIPTAEKDGVSVKVIAGEALGTHSPIQTRTPAMCLDVTMQPGARLRQPVPAGWSACAYVLDGEASFGQPGDEAAGAHQCVVFGGDGDGVDVRSDSATTRFLLLAARPHGEAVALDGPFVMNTSEEVQQAREDYLNRRNGFEMAAGWTSGQ >SECCE7Rv1G0511770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:805007446:805007917:1 gene:SECCE7Rv1G0511770 transcript:SECCE7Rv1G0511770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRPLVVLALAVALAGVALGGGAAEGAGECGRASPDRMALRMAPCISAADEPDSAPSSSCCSAVHTIGKSPSCLCAVMLSGTAKMAGIKPEVAITIPKRCNMADRPVGYKCGDYTLP >SECCE6Rv1G0449090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:860007857:860010072:-1 gene:SECCE6Rv1G0449090 transcript:SECCE6Rv1G0449090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACTDGLTRTIGRSGPLNTSPSDTGILTPKPFLPIATTARARVRAIAVSAMTRRSIALVCFFVVSLLGTVCASAAVAGGKNGGQLVNAVYVFGDSLVDVGNNDYLPAPAPRANRPYGMDLPGRPTGRFTNGYNLADVISQRLGFEMSPKPYLSMLPHDKILLGLCKIGANYASGGSGILDTTGKGTLTMRTQVKYFKKAADNMICYPSKEEHLARSLFLLSGGGNDFSAFDPSTASPQAYVVKMVTTYIEHIQALYDMGARMVGILDVPPIGCTPGQRVGMPNGECNEQANSLAQAFNGLLRAKLAEAAAATMKELKYSIAANYNILNNMMDNSLVAGLRHVKTACCGSGKLNAEVMCSHPGTTACPAAEHNDYMFWDMLHPTHATIERGVVALFYGNGPKYGEPVNFGTLVTGKNESPALKMVVDDQ >SECCE6Rv1G0416570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649290737:649291981:-1 gene:SECCE6Rv1G0416570 transcript:SECCE6Rv1G0416570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPASTPNWSSLLPELLGELIARLPHIADRARFRAVCRSWRSAVRLHVSPRRRLPWVVLLDGTFLTLSDGGIHRTLFGNNTECVGSTGDWIALDSKDEATQTHTYRLHNHFSGATVPLTELDSIIGKVPEDFEIRKVVMRSTPQDLIAITANIWKCPLILCRPGKGVWVPKLLAMPYFCICDILFSGDNMLYAITKAEDLFALHLAEDGDGKPIVTNVKRIIRHAPGHEDDMYDDGMWKRLSDIDSSSNEDAHDELLGDDNDTDDDRQHFAFMKDKTFSECEDGAREGWNEFHISRHLVQSQGKLLMVKRERLIAAITPTHHTRKVEMFEADMDACAWVPTESGLGGGQAIFTSYRFSNTVCSGGEVEEDVIYFPDTNDVFDIKSKTIRPLMHMNPLHDRWRATWVFPPNLVV >SECCE1Rv1G0008310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:46440610:46447509:-1 gene:SECCE1Rv1G0008310 transcript:SECCE1Rv1G0008310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MRPSPMATRAASGCSSAALAFFRLRPLGRAARLAPAGRSAFGATAACRGRLVDSVLQELRSRRRVRVSARIGLQGTKELSDSKIEKRTIQKGLLLEFHKDSERSLLAVVERPDGKKNWVVTDQNGILSSIKPQQVTYVVPGTMDFDCSRIAEFLEKAQDLLDPTILECAWMELSEKDKSVTVEEFADIVYGSKESLESYCAHFLLSRDIVYFVKVESRGSSIYQPRPSAQVDELLRRKLAKEAADKELEEFVHLLKSAKALPLDSKPPKNSWLMEEKVKQKIESLQAYAVDACNDEQRRMAGNILKAMGFARTSSAALKLLINVGYFPVHVNLDLLRYDVQTTYTEKVLSVAEELLVDCPDSDKHARKDLSNLRVYAIDVDEADELDDALSATRLPDGRIKVWIHVADPTCFLQPRSILDREAMHRGTSIFLPTATFPMFPERLAMNAMSLQQGTDCRSVSVSVILHPDGSIAEYSIENSVIKPTYMLTYEGATELLYMNLEEEEDLRILQEAASIRAQWRRNQGSIDTAMIEPRIKVANPDDPEPNINLYVEDQTNPAMQLVSEMMILCGEAVAAFGSDNNIPLPYRGHPQSNTAVSAFAYLPEGPARSVANISVLRAAEMDFRKPVAHGVLGIPGYVQFTSPIRRYVDLLAHYQVKSFLRGESPPYSAGDLEGMTFIASMHVKVARKLHSNSLRYWLLEYLRRQPKGRKYRALILKFIKDRMATLLLVDVGIQVTTVVAAGKVGDEARVVVEMVHPRDDILSVTEIAQDTE >SECCE5Rv1G0356120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:737369989:737370532:1 gene:SECCE5Rv1G0356120 transcript:SECCE5Rv1G0356120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQGQHDNPANRVDEHGNPFPLAGGVGGAHAAPGTGGQFQANRGEHKTGGILHRSGSSSSSSSSEDDGMGGRRKKGMKEKIKEKLPGGHKDNQQHMATGAGTGGAYGPGTGTGGAYGQQGHAGMAGAGTDTGEKKGIMDKIKEKLPGQH >SECCE3Rv1G0151310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:38240056:38241042:1 gene:SECCE3Rv1G0151310 transcript:SECCE3Rv1G0151310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKCESLALKRYKYSQLKKITRSFNDELGEGGYGVVYKGSLQDGRMVAVKLLKGSKGNGEDFLNEVMSIGRTSHVNIVSLLGFCLDGSHRALIYEYMSNGALQKHIYSENAKQAIGWELFLKIAIGIPRGLEYLHQGCNACIIHFDIKPNNILLDDEFCPKIADFGLAKLCHLKDSVLSMAEARGTVGFIAPEVFSRGFGVVSTKSDVYSYGMMLLEMVQGKKDLKGKADSSSETFFPHWVWDNLARELHGSEPKYGPEEIVRRMTLVGLWCIQMTPESRPSMSRVIEMLERKMGELEMPPRLFLCSPVHSTTVSSYASFQVMIPSP >SECCE5Rv1G0371100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845540200:845542306:-1 gene:SECCE5Rv1G0371100 transcript:SECCE5Rv1G0371100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGLRGSSVAPVLAATVLGSFYLSLAASQQQGQGEEAAGEEEAQRQRYNFRFVRHARDAPLVSHYNYIVVGGGTAGCPLAATLSERSRVLLLERGGYPYGNRNVSSEYHFADALADTSPRSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASSAYVRAAGWDARLVNASYRWVERELVFRPEVPRWQRALRDGLLQAGVTPDNGYTVDHVPGTKIGGTIFDSAGRRHTAADFLRSANPRRLTVFLHATVSRILFRRREGFASPVAYGVVFTDPMGVQHRVYLRGVGRSEVILAAGTLGSPQLLMLSGVGPRAHLERHGVRAVVDHPMVGQGVADNPMNSVFVPSPVPVALSLVQVVGVTRFGSFIEGVSGSQFGIPLHSRRRAHSFGMFSPMTGQLGTLPPRERTAEAMRRAAEVMQGLDRRAFRGGFILEKILGPLSTGHVELRSTDPRANPAVTFNYFRDPRDVERCVRGIQTIERVVRSRAFARFTYANASAMDGAFDRAALAKFLNLLPRHPQDTRPLQQYCRDTVMTIWHYHGGCHVGPVVDRDYRVIGVAGLRVVDSSTFKYSPGTNPQATVMMLGRYMGLKIQEEGWRPGPRN >SECCE7Rv1G0472250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:139740869:139756030:-1 gene:SECCE7Rv1G0472250 transcript:SECCE7Rv1G0472250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVEMRRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCMYKTLERYRTCNCNSQEATPLAENEINYQQYLKLKTRLEYLESSQRNILGEDLGTLSMKELEQIENQIDISVKHIRTRKNKVLLDELYDLKSKEQELQDQNKDLRKKLQDTSYAENALRMAWQDAGKSSSSGHVIDTTYLGLVQHPEHDSSMQVGYNNHAYVNQPNNNEDMASQRPHGVGSSAGWI >SECCE2Rv1G0136890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:915073707:915075020:-1 gene:SECCE2Rv1G0136890 transcript:SECCE2Rv1G0136890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGPRCRPCSLAAGPLEDEDLLCEILLGLPPEPSSLPRASAVCKRWRRLISDLGFSRRFCRHHRRNPPVLGLFIRGGEGIPFQPTLEAPNRVPPGRFSFPRRDGAIFISLGCLHGLLLILNIRPIQVLVWDPINGKQHRLAIPAWFLTHGTKSMNGAVLRAARDIQQFHVVLTVVDNVDIQHRRALACVYSSETGIWGDVISAPVPYKVPQTSLLISDDATLFCTIRPGVLVGDSLYWILSGNFPGILKFDLKKQSIAVIQVPQHMLQNGFYNSWIVRAEGGGLGLLWKTDHSFQLWKKKTDCDGVASWVLGTTIELDKLLPLCEKRSQIILGFAEENNAVFVWTDGLLFMVHLDSLQFKKLCEPRTSSYYHPFESVYTPVTYFGTEGVTCNFVPSQFLIIG >SECCE7Rv1G0480160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:266616410:266620266:-1 gene:SECCE7Rv1G0480160 transcript:SECCE7Rv1G0480160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHALLTVPHATTGPRLAAPLFTPAATGPSLCRANCRSGSLTNQQQRLRHLHPAAAVTKPGAIGSAAEGLVRSLQGVEVLDLSGKVVPVVDLWKDRKAVVAFARHFGCVLCRKRADLLAAKQEAMEAAGVSLVLIGPGTVEQAKAFSDQTKFKGEVYADPDYSSYRALEFANGLFSTFTPSAGLKIIQLYREGYRQDWELSFEKNTRTKGGWYQGGLLVAGPGIDNISYIHKDKEAGDDPEMEDVLRACCS >SECCE5Rv1G0329280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:514727855:514730920:1 gene:SECCE5Rv1G0329280 transcript:SECCE5Rv1G0329280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPRRGPATDDGHRFPDPPSHGEEEPMTVMRDALLSQLHMDRLRQEIIVAELAKMDRANALRVVAGGHQATSMPLHGVAAADAGRSKPCPFSAEQLMALRAATPMPLLGLAATDAGRYGLLPFSAGQPMAPRASTGGQQTTPMPLHSVAAAGAGRSTPWPSSTEQLMALRAVADSSQATPMPMYGAAAADDGRSKPWPLSAEQLMALHAAADSNQVTPMPMYGAAAADDGRSKPWPFKAEQPMALHAAAGGHQTTPMSLHSVAAADTGPSKPWPSRVEQLLALRATAGGSQTTPMSLHGVAAADAGPSKSWPSSVEQLLALHAVAGSHQTTPMPLHHADAADAGWSKPLPFSAEQPMAPCSAAGDHQATPMPLHGAAAADAGRSKPCPLSAEKLMSLQNAEFDEHNLTDSNKAVAQSKTSLAEKSKLTGITIPVKKLKPPMKWNCTVCQVQATCEKNLQMHYAGQKHLANVATLGPGTKPSDQKAKAAAAVAAEPSLGTEQKKTSSINWSCSTCQARGTSKSTFDAHLQGKRHQQNIAAASVKADGDGNGEEKNATAGEAKSDGVTAPKRSEKPSPVWSCSICQTTCTCETDLKNHLKGARHREKVQSLLEESKNVARNPETSLKKNNAPPTVKNQGPHPAWNCTMCQAKCFSKSQFENHCSGSKHQQNIKAILGKLETTKASSSRTANEPPSDGDGSSGKNASSEKAEKKETLYFCEVCNLLCGSSEMLVSHRYGKRHREKLSARK >SECCE6Rv1G0417880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659852130:659852411:-1 gene:SECCE6Rv1G0417880 transcript:SECCE6Rv1G0417880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEILQFVMDVDCYPNISLAYWILSTMRVPIASAQRSFSKLKLLKNYLRSTMLQELNDLAMCYIEKDILDNVDLDCVLNDFASRNARRNFF >SECCE5Rv1G0338590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:599098645:599100204:1 gene:SECCE5Rv1G0338590 transcript:SECCE5Rv1G0338590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDGEPEPAVQRPQLQSKNVCVVGGGMAGLVAARELRREGHAVTVMEQSGDVGGQWLYDPRTDGRAPSSVYACLRLLSPREAMGFSDFEFLPRDGAGRDPRRFPGHRELHCYLRDFCDAFGLMDAVRLNTRVLRVAIADPTSTATRQWAVRSVRHLGGTGDHARGEEDEEVFDAVVVATGQYSQPMPPSGIEGMPEWTRRRLHSHSYRTPEPFRGEAVVVVGCRDSGKDIALDLCRVAREVHLAASSEAAEPTPAVSRMLANHGDVLCLHPRVRRLHADGRVSFADGSSVVADTVIYCTGYGYSFPFLDTGGAVAVSDDGCVVGPLFEHVFPPSLAPSLSFVGVPRKLLVPWFFEAQARWVAQALSGLRALPPEAEMLRAVEDHFRAREAAGVPRKHAHHYIGGFDKMFEFGEKYGELTPMEGWKKELVLSSMASMSDDLETFRDRADDGESVRNGLLGWRGGVDAQAQHKAMDAAAVVEADGQAMAK >SECCEUnv1G0529290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7166044:7168776:-1 gene:SECCEUnv1G0529290 transcript:SECCEUnv1G0529290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQAGRRRPSEVRAEGLMRGACAALATASALLMGLDTQTETVLLIRKKATVKDVHALWVLTAAAGAAAGYHLLHLLRCLYLGRFAHNPCRNSRALAWAFLLLDKGCAYVTLAATVAAAQACLIALDGAQALQWNKVCNIFTRFCQQVAGSLVCGALAAAGTAVLSAISARNLFRLYPSLSHPHRSSSTK >SECCE4Rv1G0255980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:653152954:653153364:-1 gene:SECCE4Rv1G0255980 transcript:SECCE4Rv1G0255980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCEUnv1G0536210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63110230:63110709:1 gene:SECCEUnv1G0536210 transcript:SECCEUnv1G0536210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVMMNTAGGKPYPGKLTLYVVFTCLVASTGGLIFGYDIGISGGVTSMDPFLLKFFPEVYWKKQEASKSNQYCRYDNQLLQAFTSSLYLAALIASFFASTVTRVLGRRWSMFAGGFAFLVGAALNGAAQNIAMLIVGRTLLGVGIGFANQVRGCSLQ >SECCE2Rv1G0139600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:928351513:928351866:-1 gene:SECCE2Rv1G0139600 transcript:SECCE2Rv1G0139600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQYYACPHGAPHGLLLAVVVGLLVAWLVFVGDGGEAVTHAIAELLGPTGLFLLPVGLLLLSSHRGPDVFVFGSSPDAPHHVGGSPIGVAPMLVLILALLYYRSTLFSGGGDDDE >SECCE6Rv1G0408490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:573294566:573296457:1 gene:SECCE6Rv1G0408490 transcript:SECCE6Rv1G0408490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWEWEDGDEAARTGEEVPVDFDFFSFFAKPKDYYKILEVDYDASEETIRSSYIRLALKWHPDKKQGEEKATSRFQDINEAYQVLSNPVKRQEYDKKGVLYVQDQNAADYLNRHKGLILTCNGLGVRYSVW >SECCE3Rv1G0168450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:221086486:221089527:-1 gene:SECCE3Rv1G0168450 transcript:SECCE3Rv1G0168450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYDREDGLAPPQPHGGGPADAYDPNYVPDSVKTFVVHLYRHIRDKNVYEIHQMYEGGFQRLSDRLFRDTPWPSAEAVAPYCDGDHVFLLLYRELWYRHAYARLSPLTASHRSESWNNYCDLFSVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTEDELQQLKQFDKAWNVYGVLNYLQALVEKSMIAQILEREKEGLESFTATDGYDYQGGSNVLKVLGYYSMIGLLRIHCLLGDYHTGLKCLAPINLNQQGVYTIVIGSHISTIYHYGFANLMMRRYVDATKEFNKILLYIIKYKQYHQKSPQYDQILKKNEQMYALLAICLSLCPQNKLIDENVSTQLKEKYNDKMTKMQRFDDEAYAAYDELFSYACPKFITPSPPVLDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSAITITKLAQYMEMDEATLRSILMTYKHKMHAVDSNGKIVSSADFDFYINEDVIHVVESKSTKRHGDYFLRQILKFEETIAELDKVQLD >SECCE3Rv1G0196070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:805780036:805788939:-1 gene:SECCE3Rv1G0196070 transcript:SECCE3Rv1G0196070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGAVGVDEPLLPEFSGGHGGGASVSGAVFNLSTSIIGAGIMSIPAAMRVLGVVPALVLIAAVALLSDVSVEFMLRYTGWASGPPSYAGIMGDAFGRAGAAALNVFIAFTTAGTLVVYLIIIGDVLSGSVEGGGEHAGVLQELFGLRWWTGREFVILATAVVVLLPLVLLRRVDSLRYTSAVSILLAAVFMLITMGIAVYTVFTGTAKMPRMLPDFSTLASPFELFTAVPVIVVAFTFHFNVHPIRAELSKTSDMKSAVRISLVLCAAIYAAVGFFGFLLFGDATMPDVLANFDRSSGSGVPQALNDAARLSYALHLVLVFPLLHYSLRVNVDELLFPGRRPLAADTRRFVALTAVLMAVLYALAIAIPSIWTLFQYSGSTFAVCISLIFPGAIVLRDVHGIAKRKDKGLAAMMITLAVITSSIAIASNVMSSIKGEVKAVHAGAS >SECCE5Rv1G0353230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:714838485:714839286:1 gene:SECCE5Rv1G0353230 transcript:SECCE5Rv1G0353230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIIIKVDLDCARCHRKIERVLDRIREKGEFVIDDIEYDEKNNKVIVKGPFDADRLSDKLCCKACKIIKEIEIVEPPPPPPPEPPKKEEPAPPPPEPEVVVPPPPAVVEVPKCPEPEPEPPKCEPEPPKEEPAPPPKKEEPAPPPPKIVEVPYPWPYPYPYPAWPSDCCCCHGHGHGGCSCKDEPAPAPAPAPAPPPPQYNIYPQYPPYPQQPAYPCGGYKIVCEEDPSYACAIM >SECCE3Rv1G0183560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:651354840:651357500:1 gene:SECCE3Rv1G0183560 transcript:SECCE3Rv1G0183560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFSPSSATRLQAVDAAAVKSARVPLKASVSPAPRSLGGCRAARQEFEGATQDPSVSVSSARTQLDLLEQLTSSSTPNGTENDTAAQTRVLTTIREQLVALYGDRGGEFTFTLPLGKRLREGLKTLNTLTVSQRRNIKRQAMLTKVSGRNDSVFFATVGAFVLVPPIAILAIAVLTGYVQLLP >SECCE6Rv1G0410670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:601625115:601629593:1 gene:SECCE6Rv1G0410670 transcript:SECCE6Rv1G0410670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGFSGGGGGKDRGAEEDRHYDPKEPCENGIGAGGTARGRKRHLAAAAARIAVLVLAAAALVGSVAWAGSLYAGRGAAAAMAAASAHRGYRRLQEQLVTDLLDIGVLAGGGLRSREAEVCAAEYENYVPCYYNGSDAVDVSDLGGGVVISYERQCAREGRATCLVAPPRAYRTPVRWPSSKEFIWKDNVRISGHEFSSGSLFKRMMVEEDQISFPSDAHMSDGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTLGAHLFERDLLTMCIANYEASGSQVQITLERGIPSLIGSFASKQLPYPYLSFDMVHCARCNIEWDKNDGVFLVEVDRLLRPGGYFVWTSSLHTHRALRDKENQKKWATIRQLANNLCWEILSQQEETIVWKKTNKKDCYSSRKSEPVLCARSHDPESPYYKPLNPCIAGTRSKRWIPIEHRTTWPSQARLNSTELDIHGVNSEVFGEDTSTWDAMVRNYWSLLSPLIFSDHPKRPGDEEPHPPFNMLRNVLDMNAHFGGFNAALLKSGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGFLSLEKRQKRRCSTLDIFLEVDRILRPEGWIIIRDTAPLIEAARSVAAQLRWDARILDLDIASDEKLLVCQKPFLKK >SECCE5Rv1G0363640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:795155918:795161069:-1 gene:SECCE5Rv1G0363640 transcript:SECCE5Rv1G0363640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEKIQEAAANGRTVFSFEYFPPKTEEGVENLFERMDRMVAHGPNFCDITWGAGGSTADVTLDIANRMQNMVCVETMMHLTCTNMPVEKIDNALDTIKSNGIQNVLALRGDPPHGQDKFVQVAGGFSCALDLVQHIKAKYGDYFGITVAGYPEAHPEVILGEEGATEEAYSKDLAYLKRKVDAGAEVIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFVRMTGFCKTKIPAEITAALDPIKDNEEAVKAYGIHLGTEMCKKILASGIKTLHLYTLNMEKTALAILMNLGLIEESKLSRTLPWRPPTNVFRVKEDVRPIFWANRPKSYISRTTGWDQYPQGRWGDSRNPSYGALNDHQFTRPRGRGKKLQEEWAVPLKSVQDINERFVNFCEGKLKSSPWSELDGLQPETTIIDDQLVKINSEGFLTINSQPAVNAEKSDSPSVGWGGPGGYVYQKAYVEFFCAKEKLGQLIEKTKAFPSLTYIAVNKEGESISNIPVNAVNAVTWGVFPGKEIIQPTVVDSASFMVWKDEAFEIWSRGWACLFPEGDSSRELLEQIQKSYYLVSLVDNDYISGDLFAAFKGI >SECCE5Rv1G0369340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:834774301:834776582:-1 gene:SECCE5Rv1G0369340 transcript:SECCE5Rv1G0369340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDDDCITEGISSPIAAHILDFCDDGLGDNLLAAVTSTSGPFAASSEDVSSSSTATPPLCSYSDDIPEMPFSPLPCFDSTLAALLEEEQNACPDTKLIPPINETLAAPGYYQAATGEASIEQFGQPRLPQSTAEPLLAMQMSSTAPISMPLAPGYDEECLTAALARGYMSLDGALYPQTGAMVPSYNTEASKVGFFNGNTANSNGMVVLDMSDIGEYQRMMEGEGLTRTYSDTDSMKGAYSNTTEMQNGGNNQDLLNGCNGSPPTLPPTEISGLEDSTFKVVRLSAEQRKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNEELCEATRSSSQNHEQYGHIEGADGEDMLDSSDILAHLSGINNYSYKYNCTIESWI >SECCE1Rv1G0035060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:495103156:495106040:-1 gene:SECCE1Rv1G0035060 transcript:SECCE1Rv1G0035060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAARRALLNHLRVPVARPAVAAAVAGSFPVARLLSSTTEVEKGSFLDKGEVADRVVSVIKNFQKIEPAKVTPNAHFQKDLGLDSLDTVEIVMAFEEEFSFEIPDNEAEKIDSIKSAVDFIASHPQAK >SECCE3Rv1G0178980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:521604330:521614489:-1 gene:SECCE3Rv1G0178980 transcript:SECCE3Rv1G0178980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGTRRGQDVRRRKGKEAAMDEEDAAPPTGCWIRLPRLGGGCMSSGSKVDSSTSGICANGSESKKVNHSCRDQSAPPAASGSTTSSNTGSISPSSIVGEEFKLAAQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPMKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSFPLPWAIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDGEYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLVDPRLEGNFSIKGAQKTAQLAHACLSRDPKARPLMSQVVEVLKPLPNLKDMASSSYFFQSMRQERAASLSNPNGSQSMKAQSTFARNGVQPMRSLSYGPHASPYRQSPRPNGKQS >SECCE1Rv1G0041490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:571617251:571619882:-1 gene:SECCE1Rv1G0041490 transcript:SECCE1Rv1G0041490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQGSSHQLDTFAQLDGGAAPEEPIGSGAGAEMVDYMLGQTTPLPQGVPHGQVSLDKLSFSDVLQFADFGPRLALNQPSADHDDARDDDEEDSYFFRFQSQLSGSDDPDPRGSAGIHHAAEQEGSKTADGSGGPHDHGGGVSESTTLVQQSDGGGRVGQKAGGEQAKSGRRKRPRSTKTSEEVESQRMTHIAVERNRRRQMNDYLRVLRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGDAPRPVTDASAPVVPATSIHEPPPQGHEAPPFYVSPSLSFPGTGNNDAAAGAKVMIDLDACAGGLREEVAENKSSLADIEVRVLGEDAVIKVLSRRRPEQLIKTIAVLEEMHMSILHTNITTIEQTVLYSFNVKITSEPRFTAEEIVGAVHQILSFIDVNYTL >SECCE6Rv1G0429280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:734346120:734347588:-1 gene:SECCE6Rv1G0429280 transcript:SECCE6Rv1G0429280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGVDVAVALVLVALAAFPAVHSIGVCNGVLGNNLPAPRDVVKLYQSKGIKAMRIYEPESNVLKALSGTGIAVLMDVGRALPSLASSRSAAAAWVKANVSAFPGVSFRYIAVGNEVIDSAGQKTILPAMKNIQRAIVAAGLGGRVKVSTSVRFDVVTNTFPPSNGVFADQSFMGPILEFLASTGAPLLANVYPYFAYESDPQNIKLDFATFVPGSTTVNDNGLTYTNLFDAMVDSIYAALEKAGKPGVKVVISESGWPSDEGFGATAQNARAYNQGLINHVGNGTPKRPGPLETYIFAMFNENQKDGKKSEQHFGLFNPDRSPAYSITF >SECCE3Rv1G0194180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:777824873:777826742:-1 gene:SECCE3Rv1G0194180 transcript:SECCE3Rv1G0194180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVTEDVTVGRLVRIRRTVMHMLRDRGYLVVEHELSMTRRDFQRKYGESFHREDMLINKCKKNDPNDQIYVFFPNDEKVGMKHIKKYVEMMNAEKVSRAILVVQQNLTPFAKSFLQELEPKIHLEVFQDAEMLINIKEHVLVPEHQVLANEEKKTLLARYTLKETQLPRIQITDPIARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >SECCE6Rv1G0379590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:15075404:15076027:1 gene:SECCE6Rv1G0379590 transcript:SECCE6Rv1G0379590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKKNLRVGTVLPKGTMFAGAGSPKSVNSASIPLESKYFATILSHYKIPHSSIKAKQVADTLRSCGKPADKEEPHMCFSSREAMARFATKALGVSGARAAITRIHGHETPNSMYVVAQIAQASNNAVPCHPMDFPYEIFYCHRPRDVQSVTVQLKGLKDGTPGVTATAMCHMNTSDWDERYFELLGGERGEPICHYMPTNYIMFY >SECCE6Rv1G0417250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:656342632:656345692:-1 gene:SECCE6Rv1G0417250 transcript:SECCE6Rv1G0417250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNYCVSFLLFFTALISRLPKSYPESTNQYSDEHQILLGLKRYWGSSPVLGRWNSISSDHCRWGGLTCTKGEVTAISLPQQTLMKPIPPSLCLLKNLAYLNLSYNYFSTSFPTVLYNCSNLKYLDLSNNAFGGELAADINSLSAKLEHLNLSNNRIMGKIPPSIGWFPKLKSLILDTNQFDGSYPVQDISNLANLEMLTLADNPFLPAPFPVEFGKLTRLTYLWLSGMNMTGEIPESVSSLTELSLLAVTNNMLQGTIPTWVWQHKKLQYLYMFNNSLTGEISSSVTAVNLVELDVSSNNLTGTIPDDFGRLINLTLLFLYRNQLHGSIPPSIGLLPNLRDIRLFENKLTGSLPPELGRHSPLGNLEVCNNNLSGELPVDLCSNRKLYNIVVFNNSFSGKLPESLDGCYLLNNLMLYNNHFTGEFPKSIWSVVTNQLSTVMIQNNNFSGTFPTQLPWNFTLLEMSNNRFSGPIPTLAGKMKVFRAANNLLSGQIPWDLTGISQVGELDLSGNQITGSIPMAIGVLKLNALNLSGNQISGTIPAAFGFMLGLTSLDLSSNALSGEIPKDINKLKFNFLNLSMNHLTGQIPTSLQNKAYQQSFLFNPGLCVSSNNSIPNFPICRARENTNNGISRRLIALFFVLASIMLAGSAAGGFLLLKRQKNSQDPLSWKLTQFHALHFTEYDVLSGLCEQNWIGSGRSGKVYRICVVDGQGGSRMVAVKKIWNAQNLDNKLEKDFLAEVQILGEIRHTNIVKLLCCISSSETKLLVYEYMENGSLDKWLHQRDRVGSVVPLDWPTRLQIAIDSARGLCYMHHDSSPAIVHCDVKSANILLDPKFRAKLADFGLARILLKTGDPASISAIGGTFGYMAPEYGYRLKVNEKVDVYSFGVVLLELTTGRVANDGGLEYCLAEWAWRQYQEYGLSVDLLDEDIRDPANIEDAFAVFTLGVICTGGQPSVRPSMKDVLYALLRFEHKSRERSLQHAVSEETSLLES >SECCE7Rv1G0514920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:837340610:837342870:-1 gene:SECCE7Rv1G0514920 transcript:SECCE7Rv1G0514920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFERRGVRQYNRSDGPRMRWTEELHRQFIEAVDCLGGQDEATPKRILQLMGAKGVSISHIKSHLQMYRSSSSGGGSNPSHASVSRRQDHCIDGNITPTSASDSIDVPSYAVFRRGHHSMSRPCQIPSIEEVFRSWEQSRGRLQWNSSGMLNTPEKVTGWSRHANGQTCQKKQWAAVGCDLTLSIGRGEEEAASSDADISSTTTEEVVMPTRGQGASGHRRPEASADLNLDLAISSSWL >SECCE3Rv1G0205340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899915761:899917268:-1 gene:SECCE3Rv1G0205340 transcript:SECCE3Rv1G0205340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQMVHMNQGQGETSYARNSGIQTSEQKRMKPLIEAAIVELCGSTSTLMPGKMVITDMGCSTGPNALTLVSIAVKAVQDHCLQVQRPPPEVCLLLNDLPNNDFNTVVKSLVMLRQSNGHVVVTGITPGSFYERLYTSGSLHLVCSSNSLHWLSKAPEDLFRNLIPAYDMNEDARRQRQHIVLEAYAKQFRKDFTNFLELRAKELVPKGRMVVSLVGRRSDVIATKFSYLSRTISQILSAMVSEGVINKEKFDSFYVPVYEPSSKEVREIIQEEGSFLIREMRVHDPVADMNNALSTPSKFINLLRALCEPILVQHFGGIMDEFVSAAEQHWSPGGNLLGPYAMLAISLAKA >SECCEUnv1G0540020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78111732:78112438:1 gene:SECCEUnv1G0540020 transcript:SECCEUnv1G0540020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPVRVNGFVCKNPMEVNADDFFKVANLDKPRVPNKVGSNVTLISIMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNLPAPNRNKFLSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSDPPISDDVLAKAFQVEKNTIDYLQAQFWENNHY >SECCE3Rv1G0151480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:39187141:39187695:1 gene:SECCE3Rv1G0151480 transcript:SECCE3Rv1G0151480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPAPARTLGESLAHVPRHKIWTMAVFLQIVWPALITFCLYACISPQGFRSFFRGAPWRLPLALSCGLYWSLLYLIRSYAEFFLPRTPLAIAEKHINVGTGVGVCITVIVIAVLGSSVKDGRVLAGCTTFVGVIMVGLAAFWVWLARMYGGDGDSSEQSTTPPPAEALEEGLQPAVAPRLLV >SECCE5Rv1G0321280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:400653501:400656974:-1 gene:SECCE5Rv1G0321280 transcript:SECCE5Rv1G0321280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVVRYWPLILMHLLLSSGSGATAGKVPAIIVFGDSTVDPGNNDYIATVAKGNFPPYGRDFDGGVATGRFTNGRLVTDFMSEALGLATSVPAYLDGSYTVDQLASGVSFASGGTGLDAMTAKLASVISISQQLEYFKEYKERLKQAKGQVMADEIIAEALYIFSIGTNDFFVNYYVMPLRAVKYTPTEYAAYLVGLAEDAVRQAYELGARKVMLSGIPPFGCVPAARTMNREAPGECNEEYNRVAQSYNAGVREAVGRLGAELAGARVVYLDVYDVPNAIFSDPSEYGFENVARGCCGTGLIETTVLCGMDVAFTCQDADKYVFFDSVHPSQRTYKLLADEIIKTTLQVFLV >SECCE5Rv1G0368880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:831230806:831239526:-1 gene:SECCE5Rv1G0368880 transcript:SECCE5Rv1G0368880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQQETSFASFSAPMAEPSWSGIIKYDNSNPYYNHEVLSKSRQNFTGSTSSNTMEGWHFPFLHEGDPMSFSAGAADLEIPVCQPLPMAVTPPPPEISSGIVLSSDCVLSFLSSPANSSSIDITRMVRPREQIPSVAQQHLVPNQQFSSSSWCACSQASSGVCTAAGFAALPSMDIEQLNTGALVLSSNDNEMNCHGIFHVGADGYLEGTSPYFQFSWQ >SECCE4Rv1G0253780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:629493429:629494412:-1 gene:SECCE4Rv1G0253780 transcript:SECCE4Rv1G0253780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGSDEEVYFDFFPLVRQYKSGRVERFFNFPPIPAGVDPATGVTSKDVVIDPANGLWARVFLPPAAAGRDGKLPVVVYFHGGAYVIGSASDPMTHNYLNGLVAAANVVAVALEYRLAPEHPLPAAYDDSWEGLKWVASHATAGAAGGPEPWLVDHGDFSRVFLAGGSAGGTIAHVMAVRAGEQGALPGVGIKGIIVVHPYFSGAAEIGKEATTGKAEKAKADAFWRFLYPGSPGLDDPLSNPFSEAAGGSAARIAGERVLVCVAEKDGLRDRGVWYYESLKASGYGGEVELHESMDEEHVFYCMKPRSEKAIELQDRILTFLRK >SECCE3Rv1G0172110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:284406648:284415160:-1 gene:SECCE3Rv1G0172110 transcript:SECCE3Rv1G0172110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRAIRYAVVDAFAAEPFKGNPAAVCLLEEEGASTAVDDRWMQSVAAEFNLSETAFLARDSSGAAGAAPRFHLRWFTPVTEVDLCGHATLASAHFLFTTVLPEHGKLEFMTRSGTLTAKKVPAPGSTGVPGDVQAKLFIELDFPMIGFLDCNETPSIPDTLNGAPIVSVHKSATDGDLIVELSSGKEVVDILPSIDEIKNLACRGLIVTGPAPAGSGYDFFTRFFCPKFGIDEDPVTGSIHSVLAPYWGRKLGKQKLTAFQASPRGGTLYLQLDDANQRVQIQGEALTVMDGTLLA >SECCE5Rv1G0358270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755132410:755135132:1 gene:SECCE5Rv1G0358270 transcript:SECCE5Rv1G0358270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGSAAFTNVARVVVCLEEVGAEYEIVDVDFHSKEHKAPDHLARNPFGQVPAFQDGDLTPFHGGNNKHKTDEVNLLREGDPKESALVDVWLDVEALSFDTAMHSVFYQHRVAPALGRTPDEKIIGESVEKLRKVLDVYEARLSKHRYMAGDFLSLVDLSHFPETHYFMGMPYAAVFDAYPRVRAWLEELFARPAVKKVIALMAEDFN >SECCE7Rv1G0479970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:262115005:262116096:-1 gene:SECCE7Rv1G0479970 transcript:SECCE7Rv1G0479970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEVTPELSCPVVLFSYYRPILCRGLAEIKEAGVHGLIVPDLPYVAAHSLWSEAKKNNLELVLLTTPAIPEERMKEITKASEGFIYLVSVNGVTGPRENVNLRVESLIQEIKKVTDKPVAVGFGISKPEHVKQIAGWGADGVIIGSAMVRQLGEAASPKEGLKRLEAYARSMKNALP >SECCE4Rv1G0217450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:16367058:16369635:1 gene:SECCE4Rv1G0217450 transcript:SECCE4Rv1G0217450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFCCDDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHTAVNPGDRVMGYIMGKVEGQGESWHGHVTAVSVASEFRRQKLAKTLMHLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVDKKSIIPLKRPITPDELEYD >SECCEUnv1G0541010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93012899:93013363:1 gene:SECCEUnv1G0541010 transcript:SECCEUnv1G0541010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEQNLGRVKDKQAHEKEVGKLKKEIDFLSNNYSQLVEDVSKLFDYQDGKMSHDMDYASQAINDLNEKKKQLEDQAKIELSMEKLKLAKEQRCILQSQADIIQNMRKAMKEVEGDRDLLKQEKKKLEYLIADLLNAGQASKDKLERIKAIMNE >SECCE3Rv1G0189770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:730745064:730749113:1 gene:SECCE3Rv1G0189770 transcript:SECCE3Rv1G0189770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLALLLGLLPLLLLAPASRLASAAPVEDGLLINGDFETAPPGGFVKSASVSEGAAIPSWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDAGIGQVVQVEKGSEYSITFSAARTCAQLESLNISAGSVSQTVDLQTLYSIEGWDAYALAFQAVDEQANIEFRNPGMEDDPTCGPILDNVAIKKLFSPDKAKENMVINGDFEEGPWMFPNTSFGVLLPTNLDEETSALPGWMIESNRAVRFVDSDQYTVPQGKRAIELLSGKEGIISQMVETTPQKAYRLTFTLGSAGDSCQPPMAVMAFAGDQAQNFHYSPMGNATSQAVDVNFTARAERTRVALYSVYYNTRSDDHSSLCGPVIDDVRVWGLNGAAGLKASIGMLIAMASVIALVVLF >SECCE1Rv1G0005560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:26187014:26189269:1 gene:SECCE1Rv1G0005560 transcript:SECCE1Rv1G0005560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCENKAHVTASGDGLCVPQPSRADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTMEGASLTIAMVAAVAAGSDTRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGGHDHVLPAAATRAAMLVRVNTLLQGYSGIRFEILETIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRANSVATAPDGSKVNAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMALAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDLYNNGLPSNLSGGRNPSLDYGLKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISARKTAESIDILKLMSSTFLVALCQAIDLRHLEENVKDAVKSCVKTVARKTLSTSNNGHLHNARFCEKDLLLTIDREAVFTYADDPCSANYPLMQKMRAVLVEHALANGDAEHDVATSVFAKLAMFEQELRVVLPKEVEAARSAVENGTAAQQNRISECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFIAMNQGKHIDALLECLKEWNGEPLPIS >SECCE4Rv1G0263720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:717769687:717773090:-1 gene:SECCE4Rv1G0263720 transcript:SECCE4Rv1G0263720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGALSPVLRKLGELLAGEYNLEKRVRKGVQSLRTELEMMHAVLREVGKVPPDQLQEPVQIWAGKVRDLSCDMEDAVDDFLARVGEVSSSKPTDIKSRVNKFLKKTTTLFGKGKALHQICDAIKEAQDLAKELAELRKKYELDMRSTSNGATVDPRVLALHKDVGEFVGLDHTRDELIKKLISEEGSSKEWLKTISIVGVGGLGKTTLTKAVFEKIKAQFDCAAFVPVGQNPDIRKIFKDLLYGLDNEKFSDIHNTTRDENLLIKQISDYLVDKRYLIVIDDIWEEEIWRYINCALNKNKLHSRVITTTRNVSVSEACLSSSDGMIHRMKPLSDEDSQILFHRRIFQSEEKCPEDLRIVSRDILKKCGGVPLAIITIASLLVSSQRVKPKHEWMHVYNSMGRGVTQIGIAKDMKKILSLSYYDLPSHLKTCWLIWRWLAEGFIQCDKEETRLFEIGESYFNELMNRSLIQPAKINKEGTVVTCCIHDMVLDLICSLSSEENFISILDNDEWHAPNLQRKFRRLSLHNIKAKCQNHQFDSTSLSKVRTFAVFSPVTCDWLPSLSSFQFLRVLDLGNCGSHTSSSDISLKYVGNLIHLRYLGLKYADVCELPMDIGKLQLLQTLDIRETSIKELPSSVVQLRNLICLCVNSWVRLPKGMGSLMSLEVLERVGLYSSPHIVEELRHLTEVRTLGVDFDDMYEDLINMDILIKSLGNLHKLQNLRIYDGGRLTDHMRESWVPPPNLHSFDSWSPYFRPELFSHLPKWVNSRSLPHLSRLEIDVEELQGDDIQIIGMLPALRFLRLRASRVMGTLVVRADAFPSAKCCKFILFLMPPCLFPPGAMPRVQRLKFWVSAPSITSGEVDCGLGHLPSLEHLQVCLVRDNSSDEEMETAEAWLRRAAEAHPKRPTIQIKHNNYLRGSATVSPVACLQ >SECCE6Rv1G0406790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:550529638:550532164:1 gene:SECCE6Rv1G0406790 transcript:SECCE6Rv1G0406790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILGRAGATATGGFGRHHKEASRGGRRRNAVVVAAVTGAAPQEGSLERPAWSGETPVSRLVAALIAFKPLYSLMKVASREVIIRTAEKSNIPWREMTKKVLESDVYEVFERIRDPNIVYPDYYLSPFHAYDEGNLSWLAAAEAEAATLSIAKRAIPEATSIEEANQIVRGNWMNAIEEHHLKYSGENYQINDLLDIGCSVGVSTRYLAEKFPSAKAVGLDLSPYFLAVAAQKEEQLSRKNPIRWVHANGEATGLPPNSFDIVSLAYVCHECPARAIVGLAKEAFRLLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEEALSQVGFVNVCSVLTDPRHRTVTATVPY >SECCE7Rv1G0462790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:48815166:48816297:-1 gene:SECCE7Rv1G0462790 transcript:SECCE7Rv1G0462790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDEYRCFVGNLSWSTTDESLKDAFSKYGKVTEAKVVMDKFSGRSRGFAFVTFDEKKAMEEAIEDMNGLDLEGRAITVDKAQPQGVGRDRNGDRDFDRDRGSRGGRDYGGGRAPRGGGGGGDCFKCGKPGHFARECPDGDGGRGDRYGGRDDRYGGGRSDRGGDRSDRDRSDRGSDRSDRDRSDRGGDRSDRDGDRSERGSDRYSSRSRDGGSGGDRYSRDRSGPY >SECCE6Rv1G0410520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:599156335:599158513:1 gene:SECCE6Rv1G0410520 transcript:SECCE6Rv1G0410520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASTPSPEQPLLVPPQAGAIAAGPGNQPAAPPPPAAARPSRLAALIGRAAGRRGPSMLVRETAALQLDRRRADWAHSRPVVALDVAWNVAFAAAAAAVLAASTAESPAKPLRLWLVGYALQCVVHVSLVCSGPRRRPASPARGPDIESGPTNAGADSSESDEGNDEDAMEERASSTDRCESVNTMLSFLWWIIGFYWVVTGGDVLEQGAPRLYWLTVVFLAFDVFFAVFCVVVACFIGVALCCCLPCVVAILYALVGQEGASDADIGVLPRYKYSDPSENGEKGTDEGVMIPILNNSGTSTSERILLREDAECCICLSSYEDGVELSALPCNHHFHSTCITKWLRMHANCPLCKYNILKGGEN >SECCE4Rv1G0251340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:601347845:601349205:-1 gene:SECCE4Rv1G0251340 transcript:SECCE4Rv1G0251340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYQTLHHQHSQKPSSTHHGRVVLAWLFSAVLCLALLHLLCCSPRAARENVVLSPLLQYITSTYIQEGSASPSCDYSKGQWVRAAGHARRYNGTECNVKDSENCVRNGRPDTGYLDWRWQPAAPGCQLPAFDAAAFLGAVRGKHVAFVGDSMARNQAESLVCLLGASFPYHLVYRDPEPGTRKFWRWAFPTHNVTVSVYWAPFLVRATGRSENYSLPYNSVHLHALADRWSADAGTMDVAVVSTGHWFWNPSVYYNGSEVLGTHLLPELNHTGIGFLSPYREAIRTSIRHLASAGRARAVVVATFSPAHFEKAWDDPTTCARRLPYREGEREVDATHAELRSIVREETAAAARDGGATRVEVLDVTKLATMRPDGHPGVYMKRDPFARGVPERLQSDCLHFCLPGPVDTFNEILLQLLAKRE >SECCEUnv1G0534470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:41575655:41578689:-1 gene:SECCEUnv1G0534470 transcript:SECCEUnv1G0534470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRKLFARKAMDGLSHVSERVFVFNSCLSIGALDEGAHRDYLTSTIIQLKAGNPHASLMVVNFAAAPTGADAVHSLLGHGAAAVVTDYPSRYGGCPSLPLPKIRAFLGSCVDWLVSGHQRNILLMHCDGDGAAWPALAFAMASLLVYIEEAAPERTTLDAVYGRAPVELLSACSVLDPRPTHLRYLQYVSRLRDKGALMGMKQQPFVLDCLILRAVPDFDGSGGCRPVVRVHGEPREPSTDASSTEVLFSTPRIKQQFKHYKQAESTVIKADIGCQIQGDVVIECTHVGDEDHEEIMFSVMFSTCFLQSNMTVFTLEDIDLPWNCNTEKFQEDFKIEVFFSEVALSDTDESNDESELSSIGNADEFYDFDEILIEDSEFDQNDRGPYGESSRQDHCEEPNTSTEHSETVSSDSASNSSAEKGEDCETGSSDSASNGSDEKGNISTDDEAEFIHGDGVDVTGETKDPRLGETSHLLEAGSSSSTAPIVPTTGHVSVEIPTDFQENRSDEPMQEGGQGVAYHVTEASHSNEAGYGALNPQPMRKTRQKQAAIIPPVPVIRKKMRRPDTGPDDKKPAASKTLVRVGSHKGALVAAASSSSNSTSQARTSPSSPHKHHGIPSRLKQGSAAQPTRVSSNLSKEHLKHSSQQQARGDPAARRHFARPEVKQIVLTRQASPSFAPSPLGRERSQEDGERSGNPMEKAKKPVMHSSEKLRSSRAPKQESPVVEVPRRSSTLKKSMSSPAISATPAVSSSPGKIRTTNSLPGVKTSRPSSGLHIASSSSSSSPRGQKHSVTPPSSPGRSPLAGPRFSRAVPVSQPDVQQGASAPTRLPRRASFSELSRSVATSRAGDVHAVSPRASRVIQNHREGEKGSGRSLSPSSPRLTAQPWPKTTAVVSMSSSKDTRSGTAPARPTRLSS >SECCEUnv1G0569050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:435148805:435149763:1 gene:SECCEUnv1G0569050 transcript:SECCEUnv1G0569050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAIGGACVLDSTSHEVPALAKQVDTFRKMVKDGTITTNQLSHSVALVAFSGNDYAGTNVIGLSSPNDINAYIGKVTKEIATNVDQLLKLGVTKVLVNNLHPIGCTPSHTRTNNYTACDIFGNLGASIHNDNLKQVMASKKNVYIVDVYTAFANIVDHAAGKGSELSKQFKRKLSPCCESLDSEGYCGQQGESSSELLYTVCDKSNRFFYWDDMHPTHTGWEAVMKQLEKPLRKFVNQA >SECCE2Rv1G0090030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:267811072:267811368:-1 gene:SECCE2Rv1G0090030 transcript:SECCE2Rv1G0090030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLRAAPMSYAKVDKVDAEEARHWKAQFLIHKALETGPTRRPAAAFARAGGCRVVRTVRIGIRLKRLRIAVRSFRLRVCRSVLKHLKNLRRLGSPRS >SECCEUnv1G0527170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:379393:380298:-1 gene:SECCEUnv1G0527170 transcript:SECCEUnv1G0527170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQRVSGEQAGPCEIAADDMPAARNGHYRPGKAVTASVYRAKVAGHSRVVTVSWSRDLLSHAFAVAISGADGASAECRVDLRPWQFWRRAGSRRVDLGGATVRVLWDLRRARWHGAGAGLPDPRGGYYVALEAGGEVALVLGDLRKAALRRASPSAPPALAAVPVARREHVFGRRRFAAKARFHDQGAVHDVAIDVGGEDDMEMAIAIDGEEAVAVKHLQWKFRGNQSVTFGRAKVEVYWDVHDWLFAGAAGGARPALFIFRPIVLSSASVGASTPLLAGVDGAAGATGFCLYLYAWKLD >SECCE7Rv1G0472490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:143187697:143191929:-1 gene:SECCE7Rv1G0472490 transcript:SECCE7Rv1G0472490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGFAVSAPSGVEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLREFFPAVLQRKNQDKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFIIGVIFNGAAQNLAMLIIGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNSGTSKIHPWGWRLSLSLAGIPAAMLTLGALFVTDTPNSLIERGHLEEGKAVLKRIRGTDNVEPEFNEIVEASRIAQEVKHPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFNTLGFKSDASLYSAVITGAVNVLATLVSVYAVDRAGRRALLLEAGVQMFVSQVVIAVVLGIKVTDKSDNLGHGWAILVVVMVCTYVASFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFLIAQAFLSMLCHLKFAIFIFFSAWVLVMSVFVLFFLPETKNVPIEEMTDKVWKQHWFWKRYMDDDDHHIANGKNVTV >SECCE3Rv1G0148240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:18789910:18790902:1 gene:SECCE3Rv1G0148240 transcript:SECCE3Rv1G0148240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRVVVVVLLAVSCALLSVAADTTNTPSPQPFVWQKAHATFYGGADASDTMGGACGYGNLFSEGYGTRTAALSTVLFNDGAACGQCYKIACDRKRADPLFCKPGVTVTVTATNFCPPNDALPNDNGGWCNTPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCTKRGGVRFKINGHDYFNLVLVSNVAAAGSIKSMDVKSNDSEDWMPMARNWGANWHSLVNLSGKMLSFRLTNTDGHTLVFNDIVPKGWTFGQSFVSKLQF >SECCE5Rv1G0374380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862455422:862456239:-1 gene:SECCE5Rv1G0374380 transcript:SECCE5Rv1G0374380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFLLLAALLALVSWQATASDPSPLQDFCVADMNSPVRVNGFVCKNPMEVNADDFFKAANLDKPRVPNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNQPAPNRNKFLSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSDPPISDDVLAKAFQVEKKTIDYLQAQFWENNHY >SECCE4Rv1G0254320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:634669228:634672771:-1 gene:SECCE4Rv1G0254320 transcript:SECCE4Rv1G0254320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEIMPKQRALEAGQREEAMEMSGLELWKHEKPPKIFPMPPPLPPLLAAAGAGGYDEATFVPPLNFSMVDDGIYRSGFPAAANFRFLKSLNLRSVVYLCPEPYPETNTEFLEKNGIKLHQFGIEGRKEPFVEIPDEKIREALKVVLDVRNQPLLIHCKRGKHRTGCVVGCMRKLQKWCLSSVFDEYQRFAAAKVRSTDLRFMELFDVASLKHLTNSHC >SECCE6Rv1G0451420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872541533:872542084:1 gene:SECCE6Rv1G0451420 transcript:SECCE6Rv1G0451420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSSPSPADLRKQQEEEKKIRHKIKYIGVSWPLKAGIKFPISRVGRYLRQRRYAPRVAALAPVFLAAVLEYLVAEILELAGNAAKANKKKLITPRHLLLAIRNDNDLSRLLAGVTIAQAGVVPYINPVLLPNNNKTAHNFKSSTPR >SECCE2Rv1G0142740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:942561485:942563000:-1 gene:SECCE2Rv1G0142740 transcript:SECCE2Rv1G0142740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAWHNRADDDKYLQQARQEMDKNMQREREVMDKKLKQERATVDRMRKEERAAVEQMMTAERASMDQRLKHFHDDMDLKLKKERKNLDRTIQLERGKTEFNIMQARANMDLMLQQERKDMDHKAKMDQIRMEGNMMQDRAVQLERGKMDVNTMQARADMKRKLQQERNDLDYKAKMEEIRMEGSMMQDRASTGMKAQRDIPTQEQSVSSAPLQAQRDIRTPEQSVSSPPLKAKRDIPPPEKSVSSPRGPVVVMQFIVHKDYELSHVPQAYHNDRPGMMTVVEPSKVDWQLMVEHLRCQGYKGKADLYYIKPGCVPPEGMIQISGQHDVDEMLRALKGLKKCHLYIVRNCAFSDDAQLPYDSDDDMYEQDMSAEEIYPCGYLDINEKDMAEYGSSPKRKKLSDINPKKALDFGSSDDPL >SECCE5Rv1G0310170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:165082186:165086458:1 gene:SECCE5Rv1G0310170 transcript:SECCE5Rv1G0310170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29060) UniProtKB/TrEMBL;Acc:Q9SZD6] MTPVVHCSVGTISLFHIGSFRPSREIQIRRFRGSERYSRVTSPSRHGLLQPQTPFHLISMYKRSWSSANSRLRTLSAAAVGTDVTVEGSSSPSGETSDAAPAAAETTGQAVASTSPASPPPKLGRNPRKSEMPPLKDADLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVEDISTLFTVGQEVSVKLVEVNKETRRISLTMRTGGDYVKEAPTAPSGGRSPTAAAPRSSPRQTKDFKKIDEAKYTRGQSLTGTVKNTTRTGSFVTLPDGEEGFLPREEEAAALFTLIGHSALEVGQEVTVKVLNVARGQVTLTMKGGEDDDDELSSLNTNLKQGWSRGTNAFELAFRRSKEISAFLDQREKVTAPEVKTEVETETSVSTSGVESTVDDKLVEPPTEIENKEDSSLTEAATGAIEPPTVSATEVESKEEVSASTEAVTGAVEPPTVSATEVESKEEDSSSTEVVTGAVEEITPLDKAEEPEESVPEVPATASSEPAVVTEEVAASDEKTTEVSAAGAAEASTTTATISPALVKQLRDATGAGMMDCKKALAETSGDIDKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGILIELNCETDFVSRGDVFKELVDDLAMQAAACPQVNYISIDDVPEEVVKKETELEMQREDLLSKPEQIRAKIVEGRVKKRLGEFALLEQPFIKNDKVTTGEWVKQTIATIGENMKVRRFVRYNLGEGLEKKSQDFAAEVAAQTAAKPPPAAPLKDDKPEESVEAAEKKPAVAISAALVKQLRDETGAGMMDCKKALAETGGDLQGAQEFLRKKGLSSADKKSSRLTAEGLIGSYIHDNRIGCMIEINSETDFVARNEKFKELVNDLAMQVVACPQVEYVSMEDIPESVVSKEKEIEMQREDLQSKPENIREKIVEGRISKRLGVMALLEQPFIKDDSKTVKDLVKETIAGLGENIKVRRFVRYTLGEN >SECCE5Rv1G0343780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:641252137:641252595:-1 gene:SECCE5Rv1G0343780 transcript:SECCE5Rv1G0343780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTARMKDLMRKYGKVALGVHVSVSVASVSGLYVAINNNVDVDAIFRKIGISAPGTAAGDAALPAPAPAPGAGDGALPLPLPAPTPAVIVGQEAPRNRTGELAASSGGALALAILCNKALFPVRVPITIALTPPIARLLARWKLVKSLKQT >SECCE3Rv1G0171110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:264116381:264118238:-1 gene:SECCE3Rv1G0171110 transcript:SECCE3Rv1G0171110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTWMSRGLQALPLLLALLLVPRGGDGAAVFSGYTFKGPGEAEAFEEALLRQACFNVSSSSPGAGRGKGDCVSRIDTARGGPSSGPVPVLRAALRDTLGEAVSAVGAVAGLASLSNHAREEMAVRDCIELLGYSVDELGWSLDAMAEPLDGAEVEMETQHGAAPGSVRSGTRAEDDLHAWLSAALGNQDTCTEGFRGTDGRLMRRVEASVAQLTQLVSNLLAMHKRMRSIMPLRQRGKNDTAASGAGSELPPWVMDVAGGVEEELKRARGRSGGKKAMRVDVVVARDGSGRYRSVGEAVARAPNHSRRKYVIYVKRGVYYENVDVKKKKTNIVLVGEGMGETVITGSRSFSSGWTTFRSATVAVSGAGFIARDLTIRNTAGPAAHQAVALRVDSDRSAFFRVGIEGHQDTLYAHSLRQFYRDCRVSGTVDFVFGNGIAVIQRTTLATLPLAPGQTGSVTAQGRKDPNQNTGFSIHNCVVEAKYPTYLGRPWKPFSQVVVMESYLGAGVRARGWLEWAGDAGLATLFYGEYRNFGPGAGVAGRVKWPGYHVIMDPAWASHFTVRRFIDGLTWLPSAGVTFTADLIKK >SECCE5Rv1G0315460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:291725151:291746062:-1 gene:SECCE5Rv1G0315460 transcript:SECCE5Rv1G0315460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQEVPDQIAAGWFAVEAPERTGSLQRSGSNSRLNAQAPEFVPRVAAVAPPPQPPPAVIRVFAVPSPPPRAAFFAAPPPPPPFEFFAPVRVGGAFVAEEPEPEMERATPAVAEPAVDGLTDEVVQKITKQVEYYFSDINLATTEHLMRFISKDPQGYVPISVIAGFKKIKALVHNNPMLAAALRTSSKLVVSGDGKRLKRRHPFTESDMQEFQSRIVVAENLPGDPSYQNLMKIFSAAGSVRTIRTCYPQTPNGPGPATNRSAKLDMLFANKLHAFVEYESHEDAEKAILELNDERNWRDGLRLRLLNTCMTKGPVKGKMGGHEGDGNGEEDVSTSNQSNERQLEGSFQLSDVLPEHLFDENNSDKQGPKRGKGRGRCGKGRGRGYHQLNNNHHNIHNGSNHHKVINSRGTHPVGTPPNNQLIMVEQLQAVANKQPPGPRMPDGTRGFAMGRGKPSSALCAVDL >SECCEUnv1G0536590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:64950578:64952784:1 gene:SECCEUnv1G0536590 transcript:SECCEUnv1G0536590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGPPLHLWNDWAIQILVLLSFTLQVVLTFFAGIRRRKAHAALMLLLWLAYLLADSTAIYALGHLSLSSSMSRQQQQLVAFWAPFLLVHLGGPDTITAYAVEDNQLWLRHLLTLAIQAAGVAYVLYKHTAGSATLVVAAVLMFAVGVVKYGERTWALKCASIDSIRSSIFKKRRLPGGYCALPQPRGPREERNEEELLSLAHSLLPVCKGAMVERPVCVSQDSAKFNHTVFFAEWNWRHMCKVVEMELSLMYDILYTKAAVIHNWYGYCIRAVTPLATVLAFLLFSSSSKDGYTRVDVAVTYVLLVGAFTLDMLSVLRAMASTWTCDFLYDNGWYRLPGCIQCLRWVFRAGSSRRWSGSIGQYNLLKFCSRDKTKPSAVMAKKMGLASGGTNGSLRGRPSSSPRRSRPEETTVVVFSPEGFKSTVKRRVGLHKTLRFGAEFQEDILIWHIATDIILLDSNHKLLRSSSSTHGAPAATVKAKAKAIKALSDYMLFLVAIRGYMLPSLSLQSLYEATRDNLEKLWNENKGNCTKDREAALAGVLKNKVASGTALPGVLADGAKYAVALLRRLRDQDWTAIMRDVQMPTPSEARLLHWIQKLNDESYAMTPDDLLDFMLDVWVRILIYTSTRCSTESHAKQLSRGGDLATVVWMLAEHAGMFSSYINDSSSAPAP >SECCE2Rv1G0080620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:131402516:131407519:-1 gene:SECCE2Rv1G0080620 transcript:SECCE2Rv1G0080620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAADTYSPAAEAGSKRRERREELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCSVSHTTFATSIRGQGLENKEYSGMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCLGKNVIIDPTSDEEAWQDGGLMVSYMPARKEITQLTLTGEWTDGKITNAVELCMDACSKLCEILRERLKDPASLASE >SECCEUnv1G0564630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:385543983:385545505:-1 gene:SECCEUnv1G0564630 transcript:SECCEUnv1G0564630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLVCAALFFFLLLLSSSKPAISSPSDGRRYKSIFSFGDSFADTGNNPVVFGWYNVFDHVTRPPYGTTFFGRHTGRNGDGRLIIDFIAENLGLPYVPPTLAHNGSFRRGANFAVGAATALDAGFFHERDIPGGSSKFPFNTSLGVQLEWFESMKPSLCRTARECKKFLGRSLFLVGEFGVNDYHFSFQRKTVQEVRSFVPDVVATISMAIERLIKHGARSLVVPGVIPSGCSPPILTKFAGAPPAAYDSKTGCLRAHNELGLYHNALLQAALAKLRAKHRDVRIIYADFFGPIMEMVESPHKFGFEKNVLMVCCGGPGRYGMNTTVPCGDAAATTCRNPSARLYWDGVHLTEAANRQVADAWLGEINASTGVSRKQGAKEPY >SECCE4Rv1G0282830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:830675567:830677554:-1 gene:SECCE4Rv1G0282830 transcript:SECCE4Rv1G0282830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLLHILCFAVLLAATAASARRHAPPQAGAAGQSTYMAPNCREHTASLTDFGGVGDGNTSNTAAFRKAVEHLSQYSGEGGGGGMLYVPAGRWLTAPFNLTSHFTLFLHADAVILGTQDVAQWPVIDPLPSYGRGRDHAGGRYASLVSGSNLTDVVITGNNGTIDGQGATWWSKYKSGKLKYTRGYLIELMHTDGVFISNVTLVNSPAWNIHPIYSRNIVVSGVTILAPTKSPNTDGINPDSCSQVRIEDCYVVSGDDCVAIKSGWDEYGIAVGMPSEHIVVRRLTCVSPTSAVIALGSEMSGGIRDVRAEDITAIGTESAVRIKTAVGRGAYVRDIYARRMRLDGMKRVFWMTGDYKSHPDDGYDKTAVPVVENISYQDVVATGVWKEAARMQGIQGAPFKGICMANVTAEMTKERKVSWNCADVEGVSAGVTPAPCAPLQGTHTGSCPFPTDTLAVDQITVQQCSYSIAAPAASSVAGAE >SECCE2Rv1G0065790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10335887:10336617:1 gene:SECCE2Rv1G0065790 transcript:SECCE2Rv1G0065790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSLDMWVHPKLHNNSPKRVLSLGQRLRIAMDVALALDYMHNQLTPPLIHCDLKPGNVLLDYDMTARVGDFGSARFLSSVPGSPEDLVGVEGTIGYVAPEYCMGYKVSTGCDIYGFGVLLLEMLTGRRPTDAMFTDGLSLHKFVSSAFPGRLGEVLDPHLSHEQQHARDRVFMRRYMLPLVEVGLLCSMESPKDRPGMGEVCARILSIKEAFFELC >SECCE5Rv1G0311620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:197020221:197027116:-1 gene:SECCE5Rv1G0311620 transcript:SECCE5Rv1G0311620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLGRGSRDKVQQFMAITGASEKVALQALKASDWHLEGSFDYFYSQPHISVTNSRHLEDLYSRYKEHDADMIMVEGTSQLCNDLLVDPQDVVMLVISWHMKADTMCEFTRQEFIDGLQSIGVDSIEKLREKLPSLRAEIKDDNKFREIYNFAFAWAREKGQKSLPLETAIGMWRLLFAERHWPLIDHWCQFLQVRHNKAISRDTWSQLLEFVKTIDPQLSNYDEEGAWPYLIDEFVEYLTENGCVQRNK >SECCEUnv1G0534640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:43675406:43676056:1 gene:SECCEUnv1G0534640 transcript:SECCEUnv1G0534640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGVPKVPYRIPGDEEATWVDLYNVMYRERTLFLGQEIRCEITNHITGLMVYLRIEDGISDIFLFINSPGGWLISGMAIFDTMQTVTPDIYTICLGIAASMASFILLGGEPTKRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYAVRTGKPFWVVSEDMERDVFMSADEAKAYGLVDIVGDEMIDKHCDTDPVWFPEMFKDW >SECCE2Rv1G0103090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:586616994:586617839:-1 gene:SECCE2Rv1G0103090 transcript:SECCE2Rv1G0103090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASLAFALPLLCILCSFYAQPSQALLDGTRGAGAYISRLLGEGYGDEKVPMAVVAPSLPDLSPLPAPPAVAVAVAAPAPVPAPTPTPTPVPGSEEYMPKLPSERLNPGAPASGNAGAPAPAASGDGASTAFISSNPAVPLPAGVTDSATVLPMPTPGQKQRQDVGMGTLLQARAVPLVAPLLMVLYY >SECCE4Rv1G0254830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:642396181:642397792:-1 gene:SECCE4Rv1G0254830 transcript:SECCE4Rv1G0254830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLTHTRKRLRRYYHGPVIKKRRAKVQFADLPDDLLSTVLSKLPLKEAARTAILSSQWKYVWRVCPKLRFDGFTVCRDSVFGGEQYTQKFIDNVNAVMRKHQCIVVEELVIKFGFDHRVLDHINTWVAFAVSSRTDSLALDLGPVDIQGRIDQYRFPVELLDNGSISRLRHLQLSVASFELPPQFSGFPNLRALDLHLVDVTRKDLQDMLSNCVNLEWFSMVRCHLNDELIVARPLSNLRYLRVAHCKITKIVLHAVELKTFLFYGRLYPIDLGHTPKLKHTFLDIYSMLTVEHALTVLPKVLPSVQDLTLHAHFTLKMPLLMENPCKFSQLKYLHLNLSIGHTEAVNILSFASFLRAAPSVEKLEMHFSVLAFPHPVSEPIKSLPRCPHSYLKNLHITGFSGTTGQLELLVHVVENAPALKILTIKGADSIGRDLNHEGKRRFSFKFRELERKYLHGIISPNVELRII >SECCE3Rv1G0148550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19242596:19243999:-1 gene:SECCE3Rv1G0148550 transcript:SECCE3Rv1G0148550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAVSLPFLAWLLLTMLLRHVHVVDAECEPVACGNFTIKYPFWLGAPSRPPPEPSCGHPAFELWCINGNTTAAMSGSPIHVHSIDYATSSLVVVHSRVAAGTDGVCLADFNVSSSLALSPFRVSPSNRALGFLFNCNGTEPRGREYANATAGCSRPILAYLGGSFVRDTPPAIPTGNCTYTYLPVLGSEAAASTAADYTRLLKAGFLLDWAGAGAGDCPTCIATGGQCRYRSATTAFACLCPGGKLRGPTCADSVCGRHGN >SECCE1Rv1G0029180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:410776025:410776756:1 gene:SECCE1Rv1G0029180 transcript:SECCE1Rv1G0029180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMILRRRGAGGGLRITRKGRGFMCGCGGSKAVSVSDGSDKQSPMATPPTNTSAATTTTTMSTVTTTATRRAGNSRTASLISTAAASSSFSPSSTDEADTSVGSTPSVAALLRQLGELERTVRSLQGGAADEGRRHRRTASEGGGRRVEESVAVVKESADPLADFRRSMLQMIVEKEIVGGADLRELLHRFLSLNSPHHHHIILRAFAEIWEEVFSGYERTPDFLVSHSHGRKRLPAANSLR >SECCE2Rv1G0081660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:142813665:142815466:-1 gene:SECCE2Rv1G0081660 transcript:SECCE2Rv1G0081660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLITRLSPLLPSPSKSRLLSSAPLAGARRIASISFSSVSVCGVLRPRGGVTSSVTAAAAALGEAAEPGSEAILLSVQGMMCDGCAASVKRILESQPEVTSATVDYKEARAVVWTTPEVKVAEDWQKQCGEKLASHLGTCGFESRPQG >SECCE6Rv1G0421440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683831708:683834450:-1 gene:SECCE6Rv1G0421440 transcript:SECCE6Rv1G0421440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEMEEVLALVPGFVDILVLAGGRASSGAAATWRPADVQKALRWALFFEEVFRNLRDSGQYEDSAREFDAALAELASTPGFPKGLADVRSETLSTATELVIKHFLKAETTSVENIRAVLEAVVGMDIDGIGASGGRDACQEYVKLILNMDLSGLTRTKSGGGVGVPTTGHSRILVNELLERLDSASCISLAERGLGTLLDSVKKNSFSDPGSPVISRKSQIIDQFLLWKQWRGRCLSYLLDERTIRIVSGSNFIFSAPKEQWMKVFEPLKVSVDSCQSGLVEVMEICLLGLISRRWDPLIESFVSHAFSSLPMSKQYADLHQLLQGTYRGECPDKILNLKENDILEYASQSLENKPHILWLLPPVLTAAAIPPRSTLFEIYLAEIDKQFDEAVSTERKCNCRRDGIEQHDSCEVAERIQCLYAFHVQQPHLMVQ >SECCE4Rv1G0225170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:89460500:89463215:1 gene:SECCE4Rv1G0225170 transcript:SECCE4Rv1G0225170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQDMAASGGEKGSEQHAIDVVPVEVEHGDGKAVVHAGAGDDAEKERLVAVEEPQKKKKSTRVAALDAFRGLTIVLMVLVDDAGGAYERMDHSPWNGCTLADFVMPFFLFIVGVAIAFAMKRVPNIGAAVKKVSVRTLKMLFWGLLLQGGYSHAPDDLAYGVDMKVIRWCGILQRIALVYFLVALIEVFTIKVRPATVRSGPYAIFDAYRWQWLCGFIVFVVYMVTTFSLYVPDWSYVYHLDGDVNDGKRFTVQCGVRGHLDPACNAVGHVDRVVWGINHLYTQPVWIRTKDCTFSSPESGPLRADAPSWCLAPFEPEGLLSSISSILSGTIGIHYGHVLIHFKTHKERLKHWLSMGFSLLLLGILLHFTKAIPINKQLYSISYVCFTAGAAGIVLSAFYMLIDVWGLRVPFLFLEWIGMNAMLVYVLAAQGIFAAFVNGWYYESQDKTLVNWIQKHVFVNVWHSERLGTLLYVIFGEILFWGVVSGILHKLGIYWKL >SECCE3Rv1G0204550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:895264574:895265500:1 gene:SECCE3Rv1G0204550 transcript:SECCE3Rv1G0204550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWRLALNSLPLRMNIKRKHIELDTRCPMSMRLDEDGGHLFLKCKNVKQVWRELQLEDIRLGLIQCENPMVMGAAHVNPVPDPVWSKPREGAVKINFDAAFYIQEGRGAWGCVPRSRRGEFIAACAGKEEYLATPLQAEATACVKAVEAASEMGVHQVIFESDSLQLRSCNTVAHRLADYGFRAGAICTWWKDQAPVCIRDLVASESAAHI >SECCE5Rv1G0366470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:813348096:813348941:-1 gene:SECCE5Rv1G0366470 transcript:SECCE5Rv1G0366470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDDGVELRLFHGPVFDDDDDGGGGGARFLDEEASAESSSSATALDAAKRAAVGVGARVLFYPKMAYKLVAGRPSRSKAPEESSSSATTLDAAKHAAVGVGARALFYPTLAYSLARNRLSPTGFQWWHRIDGTHVYLGAVPFPSDVPRLRSLGVKAVVTLTEPYERLVDATVYKDHGMENLVLPTTDYLYAPSQKDLRDATAFIHSSGERGEHTYVHCKAGRARSATVVMCYLVRYKGVTAENAKKHVVRCRSRVSLAPAQWQAVQDFEDFYRRPAGGSE >SECCE1Rv1G0047920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:630675729:630677417:1 gene:SECCE1Rv1G0047920 transcript:SECCE1Rv1G0047920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQLDSTLVLCLVFVVSCLAFVVRGFRTSGKNGAARAAPPSPPALPIIGNLHQLGTGHLHRRLQALARSYGPLFLLRLGSVPTLVVSSASLAEAVLRTQDHVFCSRPQQHTACGTLYRCRDIAFSPYGERWRQARCIAFVQLLSAKRVDSFRTLRQEEMARFVGRIRTASGAQENGGERRGVNVTELILSLTNTVISRAAFGNKLGGVEPGMLRDMMGEISNLLGTIAVSDVFPRLRWVDWATGLDARVKRSAAKLDDILEKAVQEHEKSKGDDGGEAGDLLDDLLSVVKDGDQGSKLDRTDVKAIISDMFLAGTDTTSKTIEWTMAELVKNPREMEKVQQEVRRVVGTRARVMEEDVEKMSLLKAAMKEALRLHATVPLLVPHESIKDTWLHGYYIPAKTRVIVNAWAIGRDGETWEHAEEFRPERFMRENIDYGGRDTRFIPFGAGRRGCPGVAFATRLAELTLANMMYHFDWELPQGQDPESFEVIESNGISPGLKSALILTTKPF >SECCE2Rv1G0085930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:198144761:198146310:1 gene:SECCE2Rv1G0085930 transcript:SECCE2Rv1G0085930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPARRPVNKYALAAAVLSTATPLFLGYDLAMVSSTAVLAEADLKLLACVVVLSSLVGALTAVVAQCLIGDRRTLLLSAVVLCFGALTRGLAASPAAFATGVFVNGIGMGQALMIVPAYVAELCPSSLRGALTSHPDGFVYLGCILGSLCYSTGLVKLPAHLAWWLTIVSGTSIPASLGFAAVLLMPESPRWLVARDQMTKARRVLSRTSATLEEAELRWLEIKAELGTPHDVSEETVATSVTRNRWKKECAIWRELLARPTEPLPRAVVSALVAKVFASGMGPTSQYVQRGFLDVGVPSARQMPRALLAFGIAVVMSFSASLVLVELGLLLATALAGSRAPAHPLPHRGGGHVGMTRRQEQLKRSRGLSATMLMSLMALVWIAPGPAQWADASSPSSSGSSRWLWAAAAVVNRASVLWSLARAYGASSVPVHGSLLVCPAVGVLVWFLFCACLLGARRRRASFIHVRSFPLTTSAASLASLVHR >SECCE1Rv1G0039530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:549612665:549613673:-1 gene:SECCE1Rv1G0039530 transcript:SECCE1Rv1G0039530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELPPGYRFYPTEEELVRFYLRHKLDGSRGADIERVIPVVDVCSLDPWQLPEVHRGPCAGHGEPWFYFCARQDREARGGRPSRTTPSGYWKAAGTPGLVYSAAGRIVGTKKTMVFYRGHAPAGAKTKWKMNEYRALEEDGADADGAAPARNPVFQVRSEFSLCRLYTSSGNLRQFDRRPCTAFAGGSRASSSIAPALPNEDVEVGRGQKRKKHAANVNSLSSDAYHPVLLLQQHQQEKQGGADEELADDMTDWAELLDWI >SECCEUnv1G0538830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74334502:74343298:1 gene:SECCEUnv1G0538830 transcript:SECCEUnv1G0538830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLKHPIVPCLSSILLLLWTMSSMSHAKAKPCKCGGSDQNRRSKSNNTYTMDDPGIQFYLVDQDSKYVRTVDLNVVRNLSDTKLKKNGVLSIIDQIIPLWEHQFDRDTEEASFSVTIAYQPLLSQPWSEESRNESSTLEIYTSRLTFLILPEQRYTANTLAKQLVTSYGLLELHNDTTHSTTVDFSWVTVKIGAVTGAVTDTEHSRRTRFDGKIMGVDITISADPSSRINYSVWIDYDRVGRRLSVYVVIVLKKNPKGRPKPVNAIVEAHLSISDFTEQSVCFGLLSTMAQLLASGGISLSAKVEGLPDYSEPDRGMSRKVTILSSVLGSVATTALMATAVAYYFNSRYRRWHGHLNQLARSMERLPGVPTKVEFADIKKATGNFHEAMKLGGGGFGTVYRCTLPAAASKTERPMDVAVKRFTRDVQNRCYDDFLAEVSIINRLRHKNIVPLVGEPLLVFEFMTNGSLDQHLFPKGGTGSGIGSGIKWATRYEIVRDVATGLHYVHHEYEPLVLYRDIKASNVMLDSSFRARLGDFGLACTVAVDRNSATGVAGTWGYIAPEYAMCGKATRQTDIYALGVLILEIVTGQRVLIQGAVDDDDVHITDRVWRLHRDGRLTECVHAVMLNASYDDEEQLVLDVRDDMERLVLLGLACSNPNPSDRPTMPKVVQVIAKSAPPPEVPLMKPRFVWPPPEGVVLDNDDSAGTSMTSNLDMSMASTAEQRSQSQVSLGQLMAVSQTRAAGGHASFQLQHSVSVRASALGSGC >SECCE3Rv1G0159900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:100273898:100274820:-1 gene:SECCE3Rv1G0159900 transcript:SECCE3Rv1G0159900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTALCMKLLVDTQARRVLFAEASKDVVDFLFSLLALPVGTAVKLLGKEAMVGCVGNLYDSVEKLDGTYVQPGAAKDALLHPSVLSPAVSSKSSLLGLPAPPSPQAKTFYRCNARCTCYSGGSGFGGGGGFGGSIQQATCSNCRTYMTDSYGTYCPSCDSQMTTPFTVVPSAASEGPVAQAAAGGSGKGFVQGIVTYTVMDDLTVTPMSSISSITLLNTFAVRDLSALQEKTVQLGYDQGLEILKASLQSKTVLTDVFLKSARNA >SECCE5Rv1G0318610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:364105348:364105989:1 gene:SECCE5Rv1G0318610 transcript:SECCE5Rv1G0318610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPREGPTYYLGPCSSSLTKPQRSRGIKSPTPRNHLPTPPPLLPDHAAAAAAAAALPPGTTANMAAVLTRPTPGTVQCFGRKKTAVAVAYTKPGRGLIKVNGAPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAVAKALVAYYQKYVDEAAKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >SECCE4Rv1G0272130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:766417870:766418919:-1 gene:SECCE4Rv1G0272130 transcript:SECCE4Rv1G0272130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXORDIUM-like 3 [Source:Projected from Arabidopsis thaliana (AT5G51550) UniProtKB/Swiss-Prot;Acc:Q9FHM9] MPHLGGAFLPLLLLLVLAAAPLAAVAWRPWPPRDGSGALAGLGASKKFEGSSDFVKLEYHMGPVLAADITVHPIWYGAWPAEQKRTIRAFLRSLSPQASGEKEGAVPSPSVADWWRTVRLYTDQTTANVSAVVALGQEKCDVRMSRGASLTRMDIQSVVKDAVTARTRPLPVDAGGVYLVLTSPEVRVENFCGQVCGFHYFTFPSVVGYTLPYAWVGNSAGRCPEVCAYPFAIPAYVPGRRPEAPPNGDPGVDGMVSVIAHELAEMASNPLANAWYAGGDPSFPTEIADLCEGIYGTGGGGAYTGQLLTDGRSGAAYNLNGVGGRRFLVQWVWDPYRSYCSGPNALDQH >SECCE6Rv1G0380670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:24513554:24517228:1 gene:SECCE6Rv1G0380670 transcript:SECCE6Rv1G0380670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETAMPPPAAGPDLAETASREPSHTDTEASSSPSPAAGGEANGAATKVQKVYRSYRTRRKLADSAVVVEELWWQALDFARLNHSTVSFYDDPEPETAASRWNRVSLNASKVGQGLSKDAKALKLAFQHWIEAIDPRHRYGHNLHFYYDVWCQTQAGQPFFYWLDIGEGKDVDLPDCPRARLKKQCIRYLGPQEREFYEYIIKEGKIIHKISGEALDTSQGPKGTKWIFVMSTAKKLYAGQKERGVFQHSSFLAGGATIAAGRFTAENGVIKSIWAYSGHYKPSAENLTNFMSFLEENGVDLKEVEVRSSTKEDYYEDPVLNSKQNPAAAIMPFYPPQLTLPPNMVEGDKASGPSSQTEADEVNDNLCVEKARPAYQRTLSGGLQSPRDAGVSQDAILERVNSKSKSISYQLGHRLSLKWSTGNGPRIGCVRDYPIELRMQALEMVQLSPRASTPPASWRVPSCLSPTSPTSPLVPMQASLPQPS >SECCE3Rv1G0210150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:942557159:942562145:1 gene:SECCE3Rv1G0210150 transcript:SECCE3Rv1G0210150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAVFSLLLLLSSSPPVLGFTRSDFPPEFVFGAATSAYQYEGAVAEDGRSPSVWDTFTQAGKMSDKSTGDVAADGYHKYKDDVKLMVDTNLEAYRFSISWSRLIPNGRGAVNPKGLEYYNNLINELVQHGIQVHVMLSHLDFPQVLDDEYGGWLSPKIVEDFTAFADVCFREFGDRVSYWTTIDEPNVSALGSYDNALFAPGRCSDPFGITNCTVGNSTVEPYIAAHNMILAHASATRLYGEKYRAAQKGGVGINVYSSWSYPMTNSDVDLEAAKRYLDFVFGWILEPFVSGDYPDVMKKNVGSRLPSFTKSQSQVVKGAVDFIGINHYYSMYVNDRPLDKGTRDYSADMSLYQRASKTIPASSKNVPSSSRSDPEGLQYVLQYLTKAYGNIPIYVQENGVASNDTLDDTERIEYLKSYMGSTLKAVRNGANVKGYFVWSFLDVFEFFAGPKSRYGLYRVDFNDEALPRQAKLSARWYSGFLKNNGTYVQNELGSTGSRALQ >SECCE2Rv1G0116530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:765360919:765361233:1 gene:SECCE2Rv1G0116530 transcript:SECCE2Rv1G0116530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPGRLPVMAEEYEADAGRRAPTASCWGRFGLAALWHRLRHLFLARRRARHGRSILGAGGLNYDPLSYAQNFDGSSVELEHHEPDFTARFAPARSASSPRRA >SECCE2Rv1G0090170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:271952414:271959308:-1 gene:SECCE2Rv1G0090170 transcript:SECCE2Rv1G0090170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVGKTKQYANVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIADLERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVRGVLDNAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVLRRESRLG >SECCE5Rv1G0358590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756207562:756208608:1 gene:SECCE5Rv1G0358590 transcript:SECCE5Rv1G0358590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRKISAAMVSEQRSFVLKVDEYSGAKAQLKIGESLTSTHFSVGGHDWAVRYHPNGICKEYADFISLYLHLQSAESGDVKAKFVFSVLDKNGEPVPSYSHTHCTHTFSTKDPSWGYHGFMKKAGLEASMHLRDDCVTIRCDVTVIHGEETRVPAIDLGKHLGDLLKSKVAADLTFQVGGETFSAHRCVLAARSPVFKAELLGPMEESSGSTIEIQDMEADVFKSLLHFIYTDSVIPVLDVVMAGHLLVAADRYNIVRLKQICEQKLCNQIDSGMVATSLALAEQHGFHCLKEACLQFLASPSNFKAMMVTDGYDHLKSSCPSVLKELIAGILPAEWDMAKDMVMEI >SECCEUnv1G0539950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78026207:78027024:1 gene:SECCEUnv1G0539950 transcript:SECCEUnv1G0539950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFLLLAVLLALVSWQGTASDPSPLQDFCVADMNSAVRVNGFVCKNPMEVNADDFFKAANLDKPRVTNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNQPAPNRNKFLSKVLNKGGVFVFPVGLIHFQFNPNPHKPAVAIAALSSQNPGAITIANAVFGSDPQISDDVLAKAFQVEKNTIDWLQAQFWENNHN >SECCE2Rv1G0065690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:9690034:9691182:1 gene:SECCE2Rv1G0065690 transcript:SECCE2Rv1G0065690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVDRSSCLLGPVPFKDVHEEEDDHAMPQAEYAEVQPDDDDDVSIPSPGLPVRLHQGVWLFDDLRPASISVQQRFVLRPGDVLLASPPKCGTTWLKALSFATMARAAYPVSSADHPLRRLNPHECVPFMEALFSECQEAKLEALPSPRLLQTHMHHSMLPHSHADTPDCKIVFICREPKDMLVSSWHFYRSTRGVTLSFSDMFELACQGKIAYGPLWDQLLGYWSASKAAPERVMFLRCEQMMADPVSAVRELARFLGVPFTPAEEAAAVPADIAQMCSIGAMRGLDANRRGSTGTVSIQFPHETFFRKGVVGDWVNHMTPEMARRIDAIFEEKLRGSGLTFSSS >SECCE4Rv1G0242630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:485799734:485802430:1 gene:SECCE4Rv1G0242630 transcript:SECCE4Rv1G0242630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glutamate-1-semialdehyde 2,1-aminomutase, chloroplast precursor (EC 5.4.3.8) (GSA) (Glutamate-1-semialdehyde aminotransferase) (GSA- AT) [Source: Projected from Oryza sativa (Os08g0532200)] MAGAAAAAAAVASGISIRPVAAPKISRAPRSRSLVRAAVSIGEKAYTVQKSEEIFNAAKELMPGGVNSPVRAFKSVGGQPIVFDSVKGSHMWDVDGNEYIDYVGSWGPAIIGHADDEVNAALIETLKKGTSFGAPCALENVLAQMVISAVPSIEMVRFVNSGTEACMGALRLVRAFTGREKILKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATVGTLTAPYNDVEAVKKLFEDNKGEIAAVFLEPVVGNAGFIPPQPAFLNALREVTKQDGALLVFDEVMTGFRLAYGGAQEYFGITPDVTTLGKIIGGGLPVGAYGGRKDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLMEPGTYEYLDKVTGELVQGILDAGAKTGHEMCGGHIRGMFGFFFAGGPVHNFDDAKKSDTVKFGRFHRGMLEEGVYLAPSQFEAGFTSLAHTTLDIEKTVEAAEKVLRRI >SECCE7Rv1G0490090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:472665301:472665888:-1 gene:SECCE7Rv1G0490090 transcript:SECCE7Rv1G0490090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTTFLSIAPKISISANMSRVSIVASYGGTLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVLALRQTRVKYIADLGALAKKNPISAMTFSITVFSYAPPLAGFSSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAARRLP >SECCEUnv1G0528920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6208866:6211634:1 gene:SECCEUnv1G0528920 transcript:SECCEUnv1G0528920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHLLTRARLLLTRHRSRPTILPPALTRLLFGGTTTPSEPEDDKARARAAAAAAVALDAKRAKREASDDDDEGAGLPWTSWRPDVAWLTKALEPALQLYKHYNWKPFASDNIPASTRSFSEIISDLQHSKVSIQDWSLSDLTVGLYLIYLTQASAKNAQAFKGVQISSNKKVQELIYHLELAKGCYKGSATGLAKHSMLRPRNVLKFVKDSSILRPGYYIGIDPRAKLVILGIRGTHTVYDLVTDLVALSDKKVSPKGFSTHFGTYEAARWYLRHELGIIKKCLEKHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIVSAVGFGVPPCVSREIAESCASYVTTVVLQDDIVPRLSAASLARLRNEIIETDWAKVLEKEDWKHIVDIVTNAKLVVSSIQDVANKLADYAKVVTSASSGDAAKDPPRLQGSAKVLKPDGEEDVYVPEDLFLPGTLYYLQRDVENINGVEDESYTLWRGDAGENFQRILLSGNLMSDHKCDSIQYALRDVLKTLPLPLPLQED >SECCE7Rv1G0514200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:831016227:831018178:-1 gene:SECCE7Rv1G0514200 transcript:SECCE7Rv1G0514200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEIKNVDGDKAAAPAPADDGGGGGAAAAGAGHGKKGAKNKLSLVPLIFLIFFEVAGGPYGAEPAVQSAGPLFALLGFLIFPFIWAIPESLVTAELSTAMPGNGGFVVWADRAFGPVSGSLMGTWKYVSGAINGAAFPALCADYLARVVPAVADGGARVATIVTFNVALSVLNYTGLSVVGWSAVALGVASLSPFVLMSGIALPKIRPHRWAATAGDKDWKLFFNTLFWNLNYWDSVSTMAGEVENPGRTFPTALMSSVAMTSLGYLLPLMAATGAVDAPPEQWGNGFFADAAGMIAGDWLKYWIEVGAVLSSIGLYSATLSSAAFQLLGMADLGLLPRVFALRAPIFNTPWVSIVVTSLITLGMSFFSFNNIVAAANFLYSLGMLLEFATFVWLRIKRPEMSRPYRVPLRLPGIVVLCLVPSGFLVFVMAIAGWKVYAISAMFTAAGLGVYYLMKFCKARGFLKFGTVDGEGLMYERHQERENVGV >SECCE2Rv1G0067090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:17567199:17568428:1 gene:SECCE2Rv1G0067090 transcript:SECCE2Rv1G0067090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLALTDDIIADILIRLPSPTDLSRASASCTSLRRVVTSPRFLRRVRSLHAPPPLGVFVPDGAAGFFPALPPNPAAGGARALALAADFSFAFLPPPARAWLVRDHRDGRFLLDRAAPAGSTAFTEVAVCDPLFRRCLLLPPIPDALAASVDNPYLQRGGDDGGLHSRSNEIFLASRGNDDRGEELRFAVIWMACCRGKLVAFSFCSGSREWSALSPPVHHALSMRRVMGVRLGQRNHAHGCFYWMITLTRRWLVLDTRKMEFSILDISPVLAGRSMMFSNQITTLESGEGRTTVVVSDLFRADKRCVLYFYSFMHFSDRWQLQNKITLPEEWGDRFRGIIGAFEGCLYIKLDHPKQNLGDPVEQNVTYFWFDLKTMQVGRFTEISSATVNEAYLYTGFPPSLSLPSV >SECCE3Rv1G0207980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:925496256:925497646:-1 gene:SECCE3Rv1G0207980 transcript:SECCE3Rv1G0207980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARREIRTKPEQREEEDAAAAAETPLGSARWSPEAEIGMRVEDIWDSLDQPQLSRGDKLNSCFDAIPVSSFPQTFGSQLVDIPSDATLAEAVDILSRNRINGAPVRNVEAPEDASWIDRYIGIVEFAGIAVWLLHRSEASLGADELAAKLGTVTLDAGSGGAKELKAAAASEAEGAVAEAFGSLPSSELFRKTKVQDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNMVTQAAVVHMLAECVGLSWFEDWGTKTLAELGLPIMKTSRLVKVREDEPALKAFRQMRRRGVGGIPVVDGAGKPVGSIMIKDVKHLLTASDSESNKDYRTLTAREFIASARQSSGEKQMSIITCTREDNMKEIILRLDAEKRQRIYVVDGEGNLDGLITLRDIIAKLVYEPPGYFGDFFNGVIPMPENSRV >SECCE6Rv1G0422130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:688336651:688340417:-1 gene:SECCE6Rv1G0422130 transcript:SECCE6Rv1G0422130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSSPAAATPPATGDDCFAMKKWQFKLRKPSPAIHLARRWRVVQSAEPQRRMRDCDAAMMSATHRLHAHEPSHDAASSCSGSLNKTMCTQDVEQTVQNCDWISTLPDDILIKILSLLTVSDAAMTDCLSTRWRHLWKNVDCLILDLCSLRMPESEICDYHQKPCLQEAQVKKFVRKTNGLLRNHYGNRIKEFAVRFPLTSVNASDLDHWIRFAASASTEKLCLDLYDNNRSSCLDRSHDEPYKFILSPFSDGRGCRLGELTLSNCTVRTTPANLSGFACLHFLELSRVKIADATVSNIISNCCALKRLILAFCNQLIHLTVTCSQLLNLNVDFCDGLISVCIHADNLEAFMYKGQKINIEYKYAPFLDTLRVHFTKKNQCPLDFVSALPQLPKLETLILQFSGPVQVSRALRHTLRFANLKMIVFFLVKSWKECICSLVYLLKTAPSLEYFGVHGFSKLKEQPSELNMTWPEDLTFARLHIIVIKGFSGEPELMELLYFLLRRAPALESLQLETRAYEPFVFRKEKHKLEDEERCRYATEMASTHLAPKVPSTVAFSIT >SECCE3Rv1G0164210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:159173083:159174144:1 gene:SECCE3Rv1G0164210 transcript:SECCE3Rv1G0164210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIISFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETAENESANEGYKFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAVEVPSING >SECCE5Rv1G0352860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:712110748:712115586:1 gene:SECCE5Rv1G0352860 transcript:SECCE5Rv1G0352860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFGLVDFRGRPVDTGRHGGVRASMFIYIMVWLGNVANIANSMNMVSYLRGTMNMGVAAAATTSTNFVAALQMFTVPAAFLADSYVKRFYTVLIFAPVEILGYILLAIQAHVPSLHPPVCSVNEPHNCEPVHGTNLSLLLLGMYMICIGEGAIRACLPALGGDQFDNADPVERRLESSFFNWYTFSVSMGSFFGLIFIVWLENNKGWGVGFAVCAAIVLLGLLIWAAGFPFYRNQVPTGSPITRIMQVIVVACKNRNLKLPGNPDDLNQMSEDDAKGLEVLHRTEGLQFLDKAAIKIENGARGAWSLCNVTQVEEAKIVCRMIPIFVTSAVGYMPVSIILNFTVQQGNTMDTRLGAISVSPATLFVIPTVFQLVILVVYDRLLVPLLRRATGYVGGVTHLQRIGVGFVAAVLASAVAALVETRRKAVARGSGLVDSPAGVPMSVFWLTPQFFLLGVVDVTSFVGLLEFFYSEASAGMKSIGSSVFYCMLGLAAWFSTLLIQLVNRATRRGGGEAAGWLDGVNLNRSRLDSFYWLVCVLELVSFVVYLVWARRYVYRNHQRVAAEDDKKTIPSAAYADGI >SECCE5Rv1G0298540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11860993:11864978:-1 gene:SECCE5Rv1G0298540 transcript:SECCE5Rv1G0298540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPRDPLDVDASRSSRDEKPFSGVAGAEAGAHEMRKMSRACRFLGDEPETELEAKKPHLAEEKEGTALHSTSPRSPDELAGAGLTSHPGMIFAAFEQDKTLSQAKPDRLYDVIESVTDPASPPLYEPYIPDELADRAANPGIRAAFRHAKAKYKAEKARQANLFTMDHHCYKAPSCLFSDPCLLPIREPAKDAVLLAANSVIILSSSLESQPLNMCSGLWIQWDDSRKTAVVLTSAHLIRAKDPSKMDPWLEEWTGKYHREAKVIVHLLDNTTVLGRLLYLQEHYEFALYEVEVDKPVQLPTFNDSLRSGQDVFRLGRDENLDLTITHGRVEYMIPDWYERCHYMYFSRDTIHNMFQDDGGIIIDLEGRVVGLVNNHINETFVPSSIMHKCFDFWRRFKCMPRLHLGMTFTSVRLLDPICLERMRRKHRIEFGLIVEKVSKGSYAEKLGISKGDVIEGFNGKYMSTTIELENMLIDICWDHFDQGNELNEEKHVSVKIFDATKLSRKTKNLTVIVSDHGEDIVKGTYPITGK >SECCE4Rv1G0219110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:28314132:28316958:-1 gene:SECCE4Rv1G0219110 transcript:SECCE4Rv1G0219110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytanoyl-CoA dioxygenase [Source:Projected from Arabidopsis thaliana (AT2G01490) UniProtKB/Swiss-Prot;Acc:Q9ZVF6] MPPAGSLTADQLSFFEKNGYLVLESFSSAEEVQAMRDRMAELVEGFDGADSSVFSTKDHRQLKDDYFFKSAENISFFFEEKAFGDDGCLRQPKELSINKVGHALHEHDPVFKKFAFSENISSLFSSLGYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTEPLSCTGLWLALEDATVTNGCLWAIPGSHKNGLKRRMIRDENGTHFDRPSPLYDQKEFVPLEMKSGDFVVIHGDLVHQSFENLSPVSRHALSLHVVDMEGCKWSKDNWIQRKTAPEPLYAS >SECCE1Rv1G0058770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701857836:701858543:1 gene:SECCE1Rv1G0058770 transcript:SECCE1Rv1G0058770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSDGPAVVNPREDPAASTTDADSEDEEGFTFAAATRVGDGRAIGPVYLVFGRPRSPVEEEPGTATMRVPLRQLLLEERGSSSSGKRPDEEEEEEDDELDGVPAETYCLWSPGTSPSPSPSPSRCQKSGSTGSVLRWRQRLQVGRSHSDGKEKFVFLQPQQDAAGSPGRRGGTGTGEPRGRGGVHGWSHRGRGGGGSGRGSPGRRASTFLPYKQDLLGLFANAGAFRRSYHPF >SECCE2Rv1G0068290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22667772:22669178:-1 gene:SECCE2Rv1G0068290 transcript:SECCE2Rv1G0068290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPETDHQGRADHVVLFPFMAQGHLAPFRCLAALVRRCRPDARVTVVATSGTADSVRAHLDNEGISIHALPFRPADASQQLIDLFLASESLRPAFHQFIVDLRRSDPHTDVHVVADMFLAWTADVARGEPGVTHSVLFTTGGYGSALYFSLWNSVPLVPDVNECFRLPSFPDISVHRSQLTDHLAAADGGDAWSTFIRRQITAFSRADALLINTAEKLEPKGLSMLRQWLNNVPIFPVGPLLRAASPVSPEKTTASSPILAWLDKQPPGSVLYVSFGSLYTISTSQATELAMGLEKSGHKFVWVVQPAANLNGSESPSSGLPEGFVERMEAAGRGLVARCWAPQVEVLAHPATGGFLTHCGWNSAQESLAAGVPMVGWPLSAEQFYNAKLLAEEMGVCVELARGAAAAVTRDEVAEAVERVLGETSGVRASMKRKAAEMKEVIDAARGGNDEQSAVGVTRRFLDAMVG >SECCE6Rv1G0385860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:86514082:86517312:1 gene:SECCE6Rv1G0385860 transcript:SECCE6Rv1G0385860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSMPASPLPLLAPSTFYLFVILLLPLLCCPLAANGGAPPPMASSPAATAAAASMAVGDLVSSNGSVAAPPAAAAPAPFVLTVEADERHNHLRRQLIIAIVLASIAGVTIVLAVLCACIAWRRYHGAPDDFKDTQSTDTQRIALVPMLNRFNSLKATKKGLVAMMEYTSLEAATGNFSESNVLGVGGFGCVYKANFDGGFVAAVKRLGCEGQEYEKEFENELDLLQRIQHSNIVSLVGFCIHQENRFIVYELMVNGSLETQLHGPSHGSALSWHIRMKIALDTARGLEYLHEHCNPPIIHRDLKSSNILLDSDFNAKISDFGLAVTSGNRSKGNLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRRPVEKMAPSQCQSIVTWAMPQLIDRSKLPTIIDPVIRDTMDRKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMDLGGSLRMNPESPCATRDQSPC >SECCE5Rv1G0337660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:593537809:593550544:1 gene:SECCE5Rv1G0337660 transcript:SECCE5Rv1G0337660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETDLEDVVLSWSLQEINDDDLYREKVETIPCNFKSLDHYLASYRVPLIEETRSDLCSCLELVSQAPSSKILSMEVAGKSGSYFMDVDFWDNDAGFSTGAYSARNGDIFILSSIKPEAAEDLNRHGVTYCLAMVTEVSMDDEYQKGFRVKVEKNIDLEEDLNKLKHAIFLNNITTNIRIWKALTFDAHMNDNFTIIKSLLAPTNLGEDICGMCDKQDGGYLASFTEQLLSVKLNQSQVDAIESVISAVQCGHVNLMKLIWGPPGTGKTKTVSALLWVLACLKCRTLTCAPTNVAVVGVCTRFLEYLKDFNEHTDNTGLPFSLGDILLFGSRSNMDITEDLQEVFLDFRVDELVECFSSSSGWNYRIASMISFFEDSASRYDMLLEDDGKIDPVCFLDFVKKQFDVIAVALKRCIMNLWVHLPGSCFSHDSAINISTLLHMLEKFGALLCNVDLTDEGLKRGFGCLSNENSVCAQPLSSIEKELDGARSSCLKLLKDLLHSLNLPTGVNKNWVQNYCLRNATLLFCTASSSYRLHHMEIAPLKVLIVDEAAQVRECELVIPLRLHRLKHVVLVGDDCQLSAMVKSKVCKEAGFATSLFGRLVMLKFDKHLLNIQYRMNPCISLFPNAQFYERKILDGPNVLSPSYNKDYTCLPFGSYMFINLTDGREDTEGTGNSRRNMVEVAVVLHLIHTIFKSWKRTDQGLSIGVVSPYNAQVDAIKIRLGQKYDTCDGFHVRLKSIDGFQGEEDDIIILSTVRSNGRGVVGFLADNQRTNVALTRARHCLWIVGNAHTLYKSGTEWTDLVADAERRKCIFTATNDANICKLVLQVKQELDELQDLLNADSVVFSNTRWKVILSDEFRKSFTKLKSPQLRKEVLQKLIKLGDGWRTTVKNLDMPGVSHLVKVYKVWDLYLVWSTDMEKTDRTYSQIIRIWDLLSQQNVARTVQRLENLFSMYTDDYLDHCRRVQTQGKLEVPMVWDIVHDIIRYNKDCKVDAHEEHDLVDTSYAMENSKVSESFLLMKFYSLSSGMAKHLLTATDGSEIDIPFELTDEEQVIIQFPLTSFILGRSGTGKTTVLTMKLIQKEQQSLIASQGLNLDGVDLSGVDDNNIMPPNNGGESSVKQVFITVSPKLCSAIKYHICRLKRFGTGNVSDQPSILHMHDIMDDLEEFTEIPDSFCDLAHGHYPLTITYRKFLMMLDGTCQTSFFDAFYGEMKSSFERGHSRSRAVQTFIELKEVTYEKFATFYWPRFNADLTKKFAASTVFTEIISHIKGAYQSSRPYTGKLGRQDYVMLSDKRFSSLNNENRDRIYDIFLEYESMKCTAREFDLSDFVNSLHSSFVSEGYNGDMVDFVYIDEVQDLTMTQIALLKYVCMNIKEGFLFAGDTAQTIARGIDFRFEDIRSLFYTAFLQETEASNEGLKHGKRVHLSDMFQLSQNFRTHSGILRMAQSIMSLLYFFFPSSVDKLNPETGLVHGEAPVLLESDNNENAIMTIFGESKSKHGNLHGFGAEQVILVRDDATKKQIIDIVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLRNKWRVLYGYMEDKDILAHSEEISHPGFDKSKHYLLCSELKQLYVAITRTRQRLWICENTDDYCRPMFDYWKKLCLVEVRLLDSSLIQAMQTGSSNDDWRLRGIKLFNEGQFEMATMCFEKAGDAHREKWARASGLLATADRVISTNLDLGKASLQTASEIYESIGMHEKAANCYIKLGDYKKAGMVYMQKCGTSRLEEAGDCFARAECWSEAAEMFLKAKCYTKCFSICSKGKQLQNLGLQFLQQLEDEQSFENPKSLEVSAIRTKYLNNCAQHYFECGDIKHMMPFVKAFSSMDHVRAFLNSRNLVDELLSLEMEMGNFLEAAGIAKHKGDVLLEVDILEKADLFEDATRLLLLHIIVDSLWSSNSRGWPPKRYAEKAQFLAKAKELAKKVSECFYCFVCVEADALSDVNKSLSSLNCTLLEGRKCANLLVELVASRSILDVHLQSRASGYKIELGPGSEDENSCNDMLASNQMSPQTLFYAWNHWKSIIIKVLSHLCHTDGPELNDYAVVYEDLCAKYFGLRKHGAGDRYVVLNMNASWLSNAGRNSLQQDGNRCLLGASECHLCAQCFWMNELSSVGFSVLKKLESIVQISPKPASWYTLVRTILIIYEIAKFLEEPQFSMPKGSMKLRSFFVLCGRRFFELVFLVWRDGTKGSLSHVLDSPAAYGLIADSLSANLRPANKKLTYGHLGRTTMLLLHAAQLDEALLSRLLQYLGNNSEWAGFFRYFKRFLDSGRDRSSLIFNFKLALEFTLSVKWKDELDYISPACYVGLMECLGFLASSCFLVQNDFMCCTKSLLVNMLECRTSKAFIDTCLVSKSSPDSDLHGLAHLSGRFICETIMTILTTKHMLQEWVHKTSCPSSTSYRAVLLRLVVTLYPLILTLSPGKCYEITRNLVRNEVFKDLPLEFSKKIEDALKMRSRTPSNFIRVLADALAAIGDHMVIIGSPKSPVICRNLNAYVISKEDLHVVPKIMALLRLEEPSSVKQETPLPEKSDGSKRPEEPVRI >SECCE4Rv1G0280490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:821833424:821835861:-1 gene:SECCE4Rv1G0280490 transcript:SECCE4Rv1G0280490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRSLIAAARSSHALATATISQAASRAHQHAAGVPPLLPRLGPLARAFSSSPETADVDSSVPVMEVQKRRVGKKAKGAKSGKAMMFPLHFHYEDVLREDLLLKLNHTNTMEVPGLFEIRLVPKSTTGAKIQFGKLAMEILCGQRCIQAELPAHLKGKATNAYLGSQKDAVSLRQSIIRGHGMYNFLVRVLTVMSMLDSKVAIEQGNCVKFFMATEFCEFSPEIEDHFEIFETIGGFNVTIVTTACSKEETSLLWSGFLLKDEGEIS >SECCE5Rv1G0338730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:602933824:602934768:-1 gene:SECCE5Rv1G0338730 transcript:SECCE5Rv1G0338730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTPTRAGTRTSGMAGRSGVMFDTAVTPVYAREMLAGGVAGVLAKTAVASLGRVKLLPRTGGEHGDVGAVRTLVGIYRREGVAGLYRGNGANALRVFPSKALHFAAYERYRGWLLAATAAPSSSAVDLLAGSAAGGTALLATYPLDLARARLASGDAACASVHGVLRAAYAEGGGVRGLYRGACPALARALPRAGIKFGAYEWLKRRLPEGYDGRADAQLACGVAAAQLASTATYPLGVVRRRMQLGLATGGVLQGIRAIAREEGVRRLYAGLGIAYVKAVPSAAIGLVAYDQMKLLLKLPAGGHGESVHAP >SECCE6Rv1G0393920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:286247059:286247262:1 gene:SECCE6Rv1G0393920 transcript:SECCE6Rv1G0393920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGKGLNATGEFFRRRDEWRRHPMVGNQLRHATPGLGIAIVAFGIYLVGEAAYNRLYRPAGDNHH >SECCE6Rv1G0414340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:631491877:631495148:1 gene:SECCE6Rv1G0414340 transcript:SECCE6Rv1G0414340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTKGNGPAIGIDLGTTYSCVAVWRPVHQRVEVIANDQGNLTTPSCVAFTDTWRLIGDAATNQAAMNPANTVFDAKRLIGRRFSEKSVQGDMKLWPFKVIPGRSGRPMIVVTYRGEERHFAPEEISSMVLFKMRETAEAYLGTTVKDAVITVPVYFNDSQRQSTLDAGAIAGLNVTRIINEPSAAAMAYGLDNPSAYGGAKTVLIFDFGGGTMDISVINIDNGIYTVKATSGDTHLGGEDINNRMVEHFVQDFWKKHKSDLRTNPKALMRLREACERAKRMLSYTSQANIEIDSLHHGIDFHGTITRARFEELNLDLFSKCIMHVEKCLGDAKMDKSRIQDVVLVGGSTKIPKVQQLLQDFFNGKLLCKSINPDEAVAYGAAVQAAILKGEYNKKMQDFLLLDVTPLSLGVEVKDGVMTVVIPRNTTIPVKMERGYTTSVDNQTVVSFAVYEGEAEVTKDNNLLGMFELTGIPPAPQGVPSFDVTFEIEANGILNVAAEDRATGNRNSITITSDKGGLTKGEIDRMVRDASKYQSEDKKQMTEVKKER >SECCE2Rv1G0096540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:440804995:440807815:-1 gene:SECCE2Rv1G0096540 transcript:SECCE2Rv1G0096540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQVGAGRGVGCCFGPLDGRRQERRAMAALRPPRASAGAKLAEEDKVRLGESSVAVSKLGIGAWSWGDTTYWNDSEWDDRRLKEAKAAFDASIDSGMTFFDTAEVYGTALMGAVNSESLLGDFIRERQQKGAVDVTVATKFAALPWRFGRGSVLSALKKSLERLGLPSVELYQLHWPGLWGNEGYLDGLADAYEQGLVKAVGVSNYNEKRLRDAHARLKKRGVPLAVNQVNYSLIYRTPEENGVKAACDELGVTLIAYSPIAQGVLSGKYTPENPPTGPRGNTYTPEFLTKLQPLMNRIKEIGVSYGKNPTQVSLNWLTCQGNVVPIPGAKNAGQAMEFAGALGWSLTADEVEELRTLAREIKGIKMPIEES >SECCE5Rv1G0327530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:493187006:493189909:1 gene:SECCE5Rv1G0327530 transcript:SECCE5Rv1G0327530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAPTRAGSRLCTRCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIVDNNLFKAGERVAIGASGGKDSTVLAYVLSELNKRHKYGLDLFLLSIDEGITGYRDDSLETVKRNELQYGLPLKIVSYKDLYDWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCSFITTGEDGPIPRCKPFKYTYEKEILDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIITSGENFRISTTTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTAKVGAGADGSGEQQGKRVERRNRSSLQGKHGNLDF >SECCE4Rv1G0233200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:228888889:228893529:1 gene:SECCE4Rv1G0233200 transcript:SECCE4Rv1G0233200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLAGINLHILSAQVTKSTDFAELVNQHPWLSTTKLVVKPDMLFGKRGKSGLVALNLDVAQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSVVSERLGSTISFSECGGIEIEENWDKVKTVFIPTEKPMTPDACAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFIEMNPFTMVNGEPYPLDMRGELDDTASFKNFKKWGNVEFPLPFGRVLSSTESFIHELDDKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIQALREKESKLKASRMHLYVRRGGPNYQTGLAKMRKLGAEIGVPIEVYGPEETMTGICRQAIECIMAAS >SECCE3Rv1G0205230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899559465:899561141:-1 gene:SECCE3Rv1G0205230 transcript:SECCE3Rv1G0205230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSQIDIGGGRDDRLSKLDDGVLGNILSFLPAKEAARATALSTRWRDVFAGVHTVSLEQSEGAVSFANGVSAALFARHRRHGTATPIRSLRVAFRDYDDGMNDLDSIVDQWISYAMQESGPELHLDLRLRAHEICGRGYSLRSSAAKDNQAGIQDDERASSSRSACSSEDENPEAESLLHALAGITLDVISDDEVETPPPARPKTKRPWQRQQKDKLYTVPRSVFSCAALRTLCLGSCKLSPPAGGTNLPSLKALVLTHVADSSRKIQRLISSCPLLADLTLEACARVTELSVLDKQLQRLALRCCHRLASVIIDASKLRAFEYRGAVPAQPSFLTMHHGPRSLSSCRVDLCGGELTSEEDLTRLGEFLHHFETAKHLHLTSARLGSGIDNDAFATRFPTFLKLRHLELTGSLPHDDDTHGNAVVAAVARILGHAPNLEVLTLFFKAPPREKPECYDLYKEEEFLDAHHLKYDCGTIVLETPPADLLVPCLREMNLVNYQGGGAQRKLAKFLLGNVARLEALYCGFAEGPLWIQNKLMDEMRVWAMDKIEPNDMMFM >SECCE3Rv1G0201820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:867477359:867485772:-1 gene:SECCE3Rv1G0201820 transcript:SECCE3Rv1G0201820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGVRPSLPSPAAGGACGPDEPRDARVVRELLRSMGLGEGEYEPRVVHQFLELAYRYVGDVLGDAQVYADHAAKPQLDADDVRLAIQAKVNFSFSQPPPREVLLELARSRNKIPLPKSIAPPGSIPLPPEQDTLLSENYQLLPALELPTQTEEVEDDNEGADAIPADPSPNYPQDPRGSEQHQPQSQSQSQRVSFQLNAVAAAAAKHPLVAVDQLNMS >SECCE6Rv1G0412780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:617082160:617085701:-1 gene:SECCE6Rv1G0412780 transcript:SECCE6Rv1G0412780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRKEKGGDPELVRQSQRSRFAPVELVDEVIVLDEAWRQRQFELDKIRQELNKTSKEIGKLKAKKQDATELIQSTEEIKKRLAAKETEVQEAKTTLDAKLVTIGNLVHESVPISNDEANNAIVRTWGEKRLEEKLKNHVDLCIMLDIVSLDKGADVAGGRGFFLKGDGVLLNQALINFGLSFLRKRDFTPMQTPFFMRKEIMAKCAQLAQFDEELYKVTGDGEDKYLIATSEQPLCAYHLGDRIYPADLPIRYAGFSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCATSPNDNVSWEMHEEMIKNAEDFYQAIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDYQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENYQREGGVEVPEVLRPFMLGIDFLPFKRPLVDSKQAAADSKPNKSKPKGNAA >SECCE6Rv1G0402310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:482971785:482974154:1 gene:SECCE6Rv1G0402310 transcript:SECCE6Rv1G0402310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPLLPRSSCICRAVTQVTPYKSVETILDASAALSTTAQHSLLRAHTRAGRMQPARQVFEAMPPRDRSLVAWTAIMSGYATHGPASEALVLLLRMMEWPLRPDGFVFSVVLRACAAVGNLRFGRQVHCAAAKMGYVGSDLFVANGLLTMYASCQSLGCAEKVFDGIAAPDLVSWTSMLSGYTENGCHTEALMLFVEMIHASIGCDAFTLSVALRAASSLANRSLGHQLHCCIIKSGFSKRGFLENCLIEFYGKSRELQLMQKVFDDMHDKDLVSSNSIIQCYADNRCDEQALSHFRAMMFECSECDEFTLGSILHVVTRGGAFDYGREIHGYLIRAGLDSDKHVMSALMDMYVNWATLHKGQCLLPLRMLRYYLLVQGKLDQFIVATSLRLCAFDQDLAAGRMLHAYVLKFNMNSDPFVTSSLVDMYAKCGSVDESHILFSRTKDPGTAVWSAVISGNCLNSQFERAMHLFRRMQLEHVQPNEFTYTSVLTACMALGDVVGGMEIHGNSIRTGYGTNASVVKCLISFYLREGQFNMALKLCLSLSNHEISWEALVKAFARGDDHIGVLNLLCVIQRSGGVLDYPTALHILNSCGKSELLSEGLQAHAYLTKCGLASQPCISNHLIDMYSNCGSLKHALDAFRYMSDKSASTWTSIIIAHLEHGCPETAIDLFVQMLRRKKIPTSIAFLSVLKACAEIGLVSEAFQFFVSMTEVYKIQPSEGHYSHMIEVLGHAGMFKEAEHFIDSVVPSESSASAWSLLCSVAKQNGNAKIMKLATDKLASLVPCC >SECCE1Rv1G0010650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:64438117:64438673:-1 gene:SECCE1Rv1G0010650 transcript:SECCE1Rv1G0010650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATLTIGSRRLASAAAPAGNGAHRGAAAATAWRPGAKLPRRARRLRALPPELSEILAPKLVPGSPADTGDVSSLIPISAVMLLLYFVSNWVFPAVVMRGMQPTAEDEAAAAEAESMASSSQMQPGDAVDGKIRRKVKRKKSRKAATEG >SECCE1Rv1G0047820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:629754417:629755067:1 gene:SECCE1Rv1G0047820 transcript:SECCE1Rv1G0047820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHSHLEMGGAAGIKLFGKVITRQPTRTGTDGGGGVVSSKAQQAPAISSSSSSSGRGSAEQLEEAARARAAAAEARLPCPRCRSEDTKFCYFNNYNVNQPRHFCRACHRYWTAGGAIRNVPVGSGRRKNRPVLHGASTVMSVADHHLAGPASPGMPNGLGFHPDHGWSQAVPSPAYLGHGEIEQCWWLVQQYPAQGQVNGDVQLSPSSLRINQYA >SECCE3Rv1G0213480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961300999:961305848:1 gene:SECCE3Rv1G0213480 transcript:SECCE3Rv1G0213480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQQPRLVFRFTHAEVTKMEEVLRELDAMPKRPVIQGLIDHFNASPDRSGDGRVPVQYNQVRNWFHNRRSAQSQRSRKMMLPPVAAEHHPLAVFYSGNISSDGGQVQFEAKSARNGAWYDVAAFLNYRFIEMRDPELLVRFSWLGPEEDEWIDVCKGVRLRSLQCVDVLPGDLILCLKEGNEQAHYFDAHVLQVQRRRHDVRGCRCRFLVCYDHDHSEEFVPLSNVCRRPMRPKAQKPHEMMGVNTDKVTGGAVPISPDQGGPSDKAVAPLLNAPTSTRSYSVADVEMGDAEAAPNCEAANEAHDDKMNLGV >SECCE7Rv1G0467470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:88001879:88003180:1 gene:SECCE7Rv1G0467470 transcript:SECCE7Rv1G0467470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHKTSRLAATASPLGEPLLTATGKRADRDAEAQLPPYHRSAGASFSRTCLNLTNAVSGVGVISMPYAVSRGGWLSLALFAVVGAVCCYTGTLIGRCMRADGSVATTYPDVGQLAFGAAGRRTVALFMYVELYLVAVSFLVLEGDNLDKLFPGADVELLAGYQLRGKQLFIVLAGAVVLPTTWLKNLGVLAYVSALGLVASAALTASLVWAGVSESGFRGSGNVLNLAGLPTSLGLYFVCFTGHAVFPTIYSSMKNRERFSQVLLFSSALCSLNYGFTAVLGYLIYGDDVQSQVTLNLPSGRLYTKIAIVMTLINPLTKYALLVAPITSAIEERFSLAGSGPARVAVGTAVLASTVVVASIVPFFGFLMSFIGSFLSVMGTVFFPCLCYLKIYKAKGIRRVEVAAIVAILLLGMFVAITGTYTSLLDIIGTF >SECCE2Rv1G0101330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:561400983:561407485:1 gene:SECCE2Rv1G0101330 transcript:SECCE2Rv1G0101330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPELRFAACTGLGALSRPSRLAPSPLAALASPRRRRRGPSPSPSPSPSDSNPSTASAGDADGPEWKKVSAKRFGYNESMIPDEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKIPKDFDIITTADLRQVKDTFVGSAVIVGRRFPIVHVHDNNSIVEVSSFNTHVRGSSGNQMTTAKSPHCSKNDYLRWKNCQGRDFTINGLMFNPYSEKIYDYLGGIEDIKKAKVRTVIPAATSFHEDCARILRAIRIAARLGFSFPQETAYYVRNLACSVARLDKGRLLMEVNYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTLFKRKDNGTNMLLVLFSKLDSFLAPNRPCHNSLWISILAFHEALVRKPRDPLVVATFALAVYLGGDLPLAVDIGQSINRQHDAGFSELLEPRVWGKKGLLAEVKDLAISMRQALTEMTDEYYVANAMAKIPQAPSSDLVFIPLQAYLKVLKLIERVQHGKREIGYEPKSDGNIDYHNLANGTPAEVRNLFTLVVFDTIYPPNLEKEDDSSRR >SECCE4Rv1G0258410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677634822:677636232:1 gene:SECCE4Rv1G0258410 transcript:SECCE4Rv1G0258410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRLPNSVQQLAAIVQEPPSQYLLREQEPLGGNLAGTEMPEPIPTIDLGLLSASSDPEEAAKLLSALQTWGFFKVSNHGMETSMMDSVMTVSREFFHLPLEEKKKCSNLIDGKHFQVEGYGNDQVRTQDQRLDWSDRLHLRVEPEGGRNLAHWPTHPKSFRDDLHEYALKCKRIKGDILRAMAKILKLDEDCLVNQFNSNAPTFARFNHFPPCPRPDLVLGIKPHADFPALTVLLMDRDVAGLQYLRDGTWYNVPAVCDHTLLINIGLTMEIMINGIFTGPVHRVVTNADKERISVAMFYGVDPDQEIGPIAHLLSDEQPARYRKMKVKDLLVAQLEHFSQDRGAQIADACKI >SECCE1Rv1G0048330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:633712400:633719930:-1 gene:SECCE1Rv1G0048330 transcript:SECCE1Rv1G0048330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSNTSGSAEVVIETRNYANSSLNPETLKHQSFPIPYTSVTGERKNFKRAANRGRKGSRVLSSRTYPLRSSESTVRVLRSRSVADKSPSDAEQTLTERDAKKPPSDSVDTLVKPAAKRIKRDRPTKGGPDDELSKIRKRIRYILNRMNYQQSFLEAYASEGWKNQSLEKIRPEKELERAKAEIVRCKLRIREAFQNLDQLLTVGKLEESLFDSEGKISSDDIVCATCSSQDATLNNDIILCDGACDRGFHQNCLNPPLLTKDIPEGEEGWLCPACDCKIDCIELINELQGTDLDINDSWEKVFPEAAAVAHGSMQNDVADLPSDDSEDDDFDPNISEEHVASHAEGSSEEDGDEGSDSDDSNFMTSSDNSEHVKEKEKVDDLGLPSEDSEDDDYDPAGPDSDNDIKEKPDESDFTSDSDDFCAEITKSCKDEVSSGPKVGGLTNDLEGAPVQPNTSMSHTKDLEIDQDVILPSTKRQVQRLDYKKLYDDTYGEAPSESSDGDEWSGKSTLKEDNEERNEVDSFARKSSRGTRAVHPDGFHGLVNDQYAGGLTSDGSNSKAKKRQFGPVINERLNQYFTTDQYPSRAVKETLAQELGLTFRQVNKWFESTRHARAAAMKNGTQLEKHSNSRKKSSEKPNACKEDGIRQDSPVKQETDGGQRAHVPPESSQSYTKTSGLVGCPKSESRENHEKNTSSKYVGMPTDGSAEDQILGLEPEDDGSDSDDPIFSIRSGNSEPLKNGEKVDDLGLPSAYSEDDDYDSDEVIKMMQSSSDESDSDESNFTTSSDNPEDVKEKVDGLGLPSEDSDDDDYDPAGPDSDEDIGKKQSSPDKSHSSDKPENMKMKRKVDDLGLPSEDSEDDDYDPASPDSDQDIEEKQDESEDDDFSSDSDDFCVEIAKSCSGQEGVPSGAKVGGDRTDDFERTTICVNAAISNLPSKDPEVDQYVVLPVSARQQVQSPDSKKRYAQAYGKAPSDLSYGEELSKKGTPEKDNGKESEAGSFGRQSNESTPQRSKQSFHGSVNGQHAEGLLTPNGSSTTGQKRQYGPIINQRLHEQFKTDQYPSRAVKESLAEELGLTFRQVEKWFESRRRHMKVASKKSSTHVENHSTKERMVKNPNVCKSDAMNEDTPSGILNEGITQDSPLKQDIGGGLRTNASPPNSSQRESKGRIMKNTSSSSAGRPKDSDAGYTVLALEAMDEKTRSKMLQELKKRKIG >SECCE1Rv1G0063730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726490937:726491299:-1 gene:SECCE1Rv1G0063730 transcript:SECCE1Rv1G0063730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLHEYDIFWTFLIIASLIPILAFSISGLLAPVSEGPEKLSSYESGIEPMGGAWVQFRIRNYMFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEALIFVLILVVGLVYAWRKGALEWS >SECCE5Rv1G0348480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673992385:673996373:-1 gene:SECCE5Rv1G0348480 transcript:SECCE5Rv1G0348480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65410) UniProtKB/Swiss-Prot;Acc:Q9AT00] MASPPTAAAAAALRHPGVHARLPRHAGVPCPRAALPFRLGVLSSRRCRAGAGAVVSATRSPGLGNAGNLREGSNLSKNWDLSRQIGDEHGVLIECRDVHKSFGDKHVLQGVSFKIRHGEAVGIIGPSGTGKSTILKVMAGLLAPDKGEVFICGKKRQGLVSDEDISGLRIGLVFQSAALFDSLNVRENVGFLLYENSKLSEERIGELVTETLAAVGLKGVEERMPSELSGGMKKRVALARSIINDDTKETVEPEALLYDEPTAGLDPIASTVVEDLIRSMHVTGKDAMGKPGKIASYAVVTHQHSTIRRAVDRLLFLHEGKIVWEGMTEEFTTSTNPIVQQFASGSLDGPIRYF >SECCE6Rv1G0404570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:521125206:521125607:-1 gene:SECCE6Rv1G0404570 transcript:SECCE6Rv1G0404570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAALLLLVSVLATAGGMIPSTAGAAASPGPPARRSRFLLVEGGALPRLPAYVCSKKSAEACLATGTTCCSGQCVDTAASAQHCGGCNKACKHGRTCCGGRCVDLLSDKKNCAACSNRCDKKCSYGFCDYAQ >SECCE7Rv1G0495200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:595179768:595180091:-1 gene:SECCE7Rv1G0495200 transcript:SECCE7Rv1G0495200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVAVLLFLVCTLGVARKMEAARMMQQGEVAVGNNGGGGGGNALQHGLVDGHRPRPVASFTMGDDVVETPSSQAAGGGGADREVPGGPDPIHHHGSVPPNSVAP >SECCE2Rv1G0124660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833581431:833584511:1 gene:SECCE2Rv1G0124660 transcript:SECCE2Rv1G0124660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQTSHLGDPKASRQVEKCSRLCQNSDTSTGKIAPMNSNAANVGSPIEHIVPNWNAIPTSAGIVGSKDGNVAHSVLATPVVASNVKTSQPVNNSSIKAVMSSKTTAKESKLNPHAKVFAPSFASSRPVLAAAPPVNPNYISNSVSGVPTGVPVFQTHSHPGSSSLSSKVVHYNNLAPGNFGISPQYVQSVVGHNVGRLDPARLGTPYHPLQVGSTYISPGPQPMVDGKFSPVVYVHPVSQDAMRGTPVMSQVWPRPLLLNSHQASMQKFQAGTGPFFGAPPVMATGNLPMVMPSPATLVQPFQAIHPIMVPSATSMYPGKYM >SECCE7Rv1G0457420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:16873430:16874943:-1 gene:SECCE7Rv1G0457420 transcript:SECCE7Rv1G0457420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHAAASPIYTALARLANFNSGRRVLLASRKTSSSSRSSPKAKPFLCLAVLLLLIVLVVTAVFLFPPAIMSSSSSAAAAPEPFQSPRKVVKKVLSLSQSEGQGATVRRSIGGHEVRNLDPFLLLDEFSVSKPAGFPDHPHRGFETVTYMLDGVFTHQDFSGHTGTIRTGDVQWMTAGRGIVHSEMPAADGVQKGLQLWINLASKDKMIEPGYQELESKDISQAEKDGVAVRIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEGWNAFVYIIEGEGVFGKEGAAPASAHHCLVLGAGDGLSVWNRSGAPLRFALAAGQPLNEPVVQQGPFVMNSRAQIQQAMEDYYYGRNGFEKASQWSSA >SECCE4Rv1G0243930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:508438597:508443450:1 gene:SECCE4Rv1G0243930 transcript:SECCE4Rv1G0243930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRTPSAAEAPPEDAAASASMPSSSPASRGQVGYPAASFSVDLAAAARRLLVFLRSASGRGNVGPRSVRRYEEMWLPLAADAAGGGGEEAAMLVPPPDVHLVWLFHCFHHESYVAYCASRFGRLIDRPSILDAENEEHASDCCRYIWAARYPLEPFDLDSNEFDGNNSNGIENDSANSEIFMMVQTYAGLAHHLASPFVSEGVYHVAARRRYTCFLDLIRKGVCTTREGTRLVPSLDILLMWLAHQSFPVSYATDMAGMSIRDNDMKMVVSYGEVASEEVAERTRILWEEAYDEPYDLSGSEVDAAAVETAREAFHWQAAASEEDTNRLYKGLQPRFLMEVCVFLKGEFHSEHISKEFLRLRAQRCYRSLKLDKSVSNLSCKNWQKMWHMYCEFATRGLTVEVRRSMGGCFRNSKILKNISFSWNDMLHEKSLMLTEELDARIRAMASITPPIQAPYLLKCVPDRVTDDGGAMISDVILRMRSYRPQEGRWLTRTVLDYGGKECFVVRMRIGRGIWRRGPETPMAVKWEDRIIEVREGSWSYIASATSVGYAPEKVVGTAAPTKCQQENKVVWRFSTGDVLTVWLGDDLNFQLQNETSEEEARLLVGRRLSYSVKKDSTSNNRNEEEQYLTLVRTSPDYPDGRATVLLNWKLLAMEFLPKEDAVFVLLLCMAITRTMTEIRREDVAGLLVRRRIREPQVGQRDWGSVMLPDSPSLDPHLQPWYRNAARVLSSAETALSNRAMPVKYSPTDGKDELYRQALIP >SECCE1Rv1G0038330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:537791080:537792419:1 gene:SECCE1Rv1G0038330 transcript:SECCE1Rv1G0038330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLLLAQVLLLAVALAAVQAAPRLHPVVLVPGYGNSELDARLTELYRPSSPSCGARKGEGWFRLYLNYSALQDPDIVPCFAEQMSSVYDPIADDYSNVPGVETRVPFFGSTQAFRYPDSDRKNFSYMSTFVERLEKMGYRDGETMFGAPYDFRYAVAPVGRPSRVGDAFFRALKGLVERVSGLNGGRPVVIATHSFGGLLTHQFLVRQPLAWRQRFVRRFVAIAVPWGGIVLGMQTLVSGNNMGLPFVEPRALLLQGRSLQSSMWILPSPAAFGTATPLVTTKSRNYSAGDMADYFVAIGFGEAVRLYESRVLPLIGGELPHPGVPVTSVVGVGVGTPESIVFPGDDFDATPSVVAGDGDGLVNLASLVAVEMPWSRCGGDFKMVKVFNMSHNALLMDDRALEIIIREIQRAD >SECCE7Rv1G0516040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:842791500:842793266:1 gene:SECCE7Rv1G0516040 transcript:SECCE7Rv1G0516040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVVVEMAESRSSNRGAMAMPLEDEPWVKLIRESLTVKDEEDVDIHVSVFDVPKQLQVHKPEAYRPQFIGLGPYHHRHPELYGMERYKIDAARRALKRLLRAAAAPSVDVLDELVKELEGHDLKVRAHYHRYLEVSRKALSLMMIMDGAFLLEFLTIHHHHAVAEGEGEGASSTWTTKRMEHLVDLGGSGTGRKSAHGLILRDMLMLENQIPLFVLAMIKARCSSMSLDESSRRLTLMVTSLMKELCPFKMEGHFPETSCDVTKHAHLLELLYHLVVPAVPTPADTTENAHTTPPFSGHIELEMADPERQPDDGGQGQEEKPSADTGSEHVMMQLFDFIAPKLKGGRFGKVMKPIELLVKAPWNKLAGIPSMHGESFMPAYANQQEITIPSVSELVSAGVKFKPTSGDLSNASTIAFERKTATLYLPTVTLDGNTEVVLRNLVAYESSAASGPLVLTRYTELMNGIIDTDEDVALLRKRGVVLNHMKKKDGEAAKLWNGMSRSVQHSRVPTLDKVIEEVNRYYDGRWRVKTKRFMRKYVLSSWKMLTFLATISMLLLTTVQAFCSVYTCSRWWFGDLVAVVPSESE >SECCE5Rv1G0311720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:206320526:206324277:1 gene:SECCE5Rv1G0311720 transcript:SECCE5Rv1G0311720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMKLTIKRVPTVVSNYQEDAGERPRGGCGRNCLGHCCLPVSELPVYAFKANPTKLPLQEDAVPNDFLINLLLGQWEDRMTQGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDLAKFNFTKVGQEEVLFQFENSGSDDSYFLRSAAVTVADRAPNVVAINVSPIEYGHVLLIPRVLDHLPQRIDHESFLLALHMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLTVPFPVEKAATQRIPLAEGGIKSGVKVSKLMNYPVRGLVFEEGNTMNGLANVVSSACIWLQDNNVPYNVLISDSGRKIFLFPQCYAEKQALGEVSQELLDTQVNPAVWEISGHIVLKRRDDYEEASEASAWRLLAEVSLSEARFEEVKAYIFDAACLVQSQVEETDDAIYAPVPVGSSAVAEGCLVIP >SECCE3Rv1G0149460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:25276143:25277189:-1 gene:SECCE3Rv1G0149460 transcript:SECCE3Rv1G0149460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKPVLVLLLLAVSTAATVGKPLLTKITKGGASTALYTAPLSAGRPLVLDLSAPAITTPCSGQTTTVTLSANSTDGSNPLSPVSFAATATCAAAPSGTVGVAGLARSSASLPAQVASTQKVANSFALCLPSDGVGAAIFGGGPFFLAPPADRPAITTLLSDGVPLRQPFTGNPGYFVTATNGIAIGGTRVAVSGSGALVVGLSTTIPYAQLRSDVYRPFITAFDRAMGSSAKVAAVAPFELCYDSSKLSPTRSGYSVPQVDLVLEGGTNFTVVGGNSMAQVNSGTACFAFVQAASTGGATPAVVIGGFQMESKLVVLDNDKKTLSFTPYLPAMGFSCSNFNFTKAG >SECCE3Rv1G0207820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:924726825:924729771:-1 gene:SECCE3Rv1G0207820 transcript:SECCE3Rv1G0207820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLKEGSLKMSSHQHVVSFLLGAALPTLLLLLASDQISSVSANWFGSNGVDPASHQHEVIGKPNPSCMHGWQSPCRADKFPGLAQLLPKVCTEDRTVVVTSVNEAWARPGSLLDLYLDSFKNGEDTAHLLDHLLVVALDPASFRRCVAVHPHCFLLEVTAANLTSATRFMSKQYLELVWTKLELQQRDADMIVLRNPFRRIPVYADMSVSSDDYSAARAHPLDNPLNTGVYYVKATSRDVRMLKYWRAARARFPDAHDQSVFHNIKHELVQNLGVGVTIEPLDTAYFGGFCEYHDDLASACTMHADCCVGVDNKLHDLRDMAGDWKRYMGMAPEERKRVGVNMTWMVPARCRRSVNWRKPVHP >SECCE4Rv1G0248740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:574639638:574643158:-1 gene:SECCE4Rv1G0248740 transcript:SECCE4Rv1G0248740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSPRQLLVVVLAAVALLVSSPCSALLSAKGVNIEVQALIGIKNQLKDPHGVLKNWDQYSVDPCSFTMITCSSDNFVTGLEAPSQNLSGLLAPSIGNLTSLETVLLQNNIISGPIPAEIGNLASLKTLDLSGNNFYGEIPPSVGHLESLQYLRLNNNTLSGPFPTASTNLSHLVFLDLSYNNLSGPIPGSLARTYNIVGNPLICAANTEKDCYGTAPMPMTYNLSQGTPPMKAKSHKFAVAFGAVTACMSFLFLAAGFLFWWRQRRNRQILFDDEDQHMDNVSLGNVRRFQFRELQVATEKFSSKNILGKGGFGHVYRGQLPDGTLVAVKRLKDGNAAGGESQFKTEVEMISLAVHRNLLRILGFCMTATERLLVYPYMSNGSVASRLKGKPPLDWITRKRIALGAARGLLYLHEQCDPKIIHRDVKAANVLLDDCCEAIVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKASNQKGAMLDWVKKMHQEKKLDVLVDKGLRSSYDRIELEEMVQVALLCTQYLPGHRPRMSEVVRMLEGDGLAERWQASQRADSHKFTVPEFTFSRCYSDLTDDSSLLVQAVELSGPR >SECCE4Rv1G0259990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:691670987:691671548:-1 gene:SECCE4Rv1G0259990 transcript:SECCE4Rv1G0259990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLHRLVSVVLRLVAAVTAAAAAIIMVTSRETTSLFGLEIEAKFSHIPSFIFFVVAYAVACVYSLLVILVPPGGAASRLVVMTDVVMGMVLTGAVAATGAIAEVGRNGNSHAGWLPICEQVHGYCNQVMGALIAGFVALVVYFLIIMHSLHAVTDTMCPCH >SECCE3Rv1G0178590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:507109696:507115166:-1 gene:SECCE3Rv1G0178590 transcript:SECCE3Rv1G0178590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAVAALATAPTPVFSPATAGLTLIAAAAAEPIAAVVAGAMEGVPVTFSVPPVRTTTDDRLPTGGEGGEASAAGSPLPTGGEGGEASAAGSPCSVTSDCSSVASADFEGVGLGFFGAGVEGGAVVFEDSAASAATVEAEARVAAGGRSVFAVECVPLWGFTSICGRRPEMEDAVVAVPRFFGLPLWMLTGNNMVDGLDPISFRLPAHFFGVYDGHGGAQVADYCRDRLHAALVEELSRIEGSFSGANLGAVEFKKQWEKAFVDCFSRVDDEIAGKATRGGGGNVGTSSVTAMAMADPVAPETVGSTAVVAVICSSHIIVSNCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEVTIVPRAKDDECLILASDGLWDVLSNEEVCDVARKRILLWHKKNGVNLSSAQRSGDSPDPAAQAAAECLSKLALQKGSKDNITVIVVDLKAQRKFKNKT >SECCE2Rv1G0135180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905725906:905728119:1 gene:SECCE2Rv1G0135180 transcript:SECCE2Rv1G0135180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVALVPWLAWLVVAFLSFYLLSLLAHARSGLPPGPRPLPLIGSLHLLGDKPHRSLARLAKIYGPLMSIRLGAVTTVVVSSPAMAREFLQRHDSVLATRSVPDATGKHAAGSVPWLPPAPRWRALRKMMATELFAPHRLDALHHLRSDKVRELTDHVARLAREGTAVNVGRVAFTTSLNLISRTIFSVDLTSLDDMSSSKEFQEVITAIMEGLGTPNLSDFFPVLAPADLQGMRRRLARLFARLHAVFDAEVDQRLHGRDAGQPRKNDFLDVLLDVAAREDGKDLLDRETLRSHFTDLFAAGSDTSSSTVEWAMTELLQNPSSMAKVCDELAQVIGTRRNIEEADIVRLPYLQAVIKETFRLHPPAPLLLPRQPETTVKIAGCTIPKGSRVFINVWAIGRDKDVWTEPEKFMPERFLGSTIDFRGVDFELLPFGAGRRICPGMALAIRMVHVMLASLSNQFKWSLPIELERDGIDMEDQFGLTLAKVVPLCIIATPI >SECCE5Rv1G0319940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:380042420:380043639:-1 gene:SECCE5Rv1G0319940 transcript:SECCE5Rv1G0319940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSNSPSTAPAATKSRPRHPLGLDSLASTSRSLFAAGRRSPVTTLVCAIAILALIMYGEDARTIAELSIDDYLYPDADIYNVSGLPPIALPPPTCDLAHGRWVFDNESLPLYREKECSFLTKQLTCLANGRNDDLWQYWQWQPNNCSLPTFDARRFMERMRGKRLMFVGDSLNRNQFYSLVCMVQSVLSKGRKKVVKRGSLTIFHAKEYRATLEFYWAPFLVESNSDDPNIHSIEHRIIRPERIEGHAQYWRGVDYLIFDTYIWWMNTADIKVRRPDSRYWSEHDEVPRIEAYSRVLKTWSDWLNENVDPARTSVFFMTISPIHIR >SECCE6Rv1G0409070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:581345277:581352827:1 gene:SECCE6Rv1G0409070 transcript:SECCE6Rv1G0409070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFFRKLHIGEGSGDGASSPAPPPPRKGSGGGGGGGGAVHPPLPEQRQQQQPPSVVSSWLDSVPTRPPPPTPPPPAEAEGSASASPGGDERRQSGPVGERRRAQEEGAERRLSQEEGVLRERRRSREEDAVEERVIRESSEAEERKREREKEEDDLEEFQLQLVLEMSARDNPEEMEIEVAKQMSLGFRRPASSLAEVIAARYWNFNALGYDDKITDGFYDLYVTGNGPASINMPSLSDLRAQAVSHNSVNWEAVLVHRGEDPELMKLEQKALISAAELRLRTSEHVGSVLIEKLANLVANHMGGLIFDPENMSRKYQNMIRSLRTRIGSVVVPLGQLKTGLARHRALLFKVLADSLNIPCRLLKGRQYTGSDDGALNIVKFDDGREFIVDLVTDPGTVIPSEGAVLSTEFEDSSYSDNHQLSKSDTTNQLGSSHSGVSNSACDSFEYELLDRRSTSSNVGPSDTDGAATSQTSNQQNTISSFENLSVSTFPSENKPVINESRGTEEAVAPKNKEKPVGANNSLSSSPSSPEVGSTTGFRRMKVKDISEYMIHAARENPQLARKIHEVLLENGVVAPPDLFSEDSMEEPKDLIVCDATLFQSKDEMKRRMNEVGSREYADRGHGPSPSHRPGHELQSKVVPHRAPLDSLKPIEGLGIYHHPDIRDNTSPYFSHYEPSAPPQETPSQLSKQLPVTAAAVATAAVVASSMVVAAAKSSSDMKFDVPVAAAATVTAAAVVATTAAVSKQYEHSEPGNQLLSLPSTSEQNESVEKAADDFWDKQHTEIGHGQDNALDQERDSAEVPREAERTSDKSSGTESAKSEVTLDDVAEFEMQLEEIAIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALDEFRAEVRIMKRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNLLDEKRRLRMALDVARGMNYLHNCAPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNNTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLQQPWEGMNAMQVVGAVGFQSRRLDIPDNVDPAVAEIITRCWQTDPRARPSFAEIMAALKPLLKPLPANQAPRQRVQQTDG >SECCE1Rv1G0002010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:7169524:7172108:1 gene:SECCE1Rv1G0002010 transcript:SECCE1Rv1G0002010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPQLRSTMAKPWGILSRFILFSVAFMLGMASTFLLRSLSGTVISLASADLCVQPSSPPPALVLRPRLPQQLSDGNGKERVRGGGNESHHMVMLHNMTDDELLLRASMARGIRSPVMGAPKVAFMFLVRGELPLAPLWDRFFDGHTALFSVYVHPDPAYLGSPEKGSVFYRRRVPSKEARWGQSSIVEAERRLLANALLDATNQWFVLLSETCIPLYNFTTVYSYLTHSAGATSFIDLFDTPPSRGRYRRAMAPIVTPANWRKGSQWFATDRGLALEIIGDVTYFPVFQRHCNGPCIMDEHYLPTFVAASGWHGNANRTLTFTRWTRGPHPDSYNEVSVDLLQGMRSHRNCSNGGGTTLLCYLFARKFRSGALPELLKLAPGVMRFG >SECCE4Rv1G0255940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:652723976:652725803:1 gene:SECCE4Rv1G0255940 transcript:SECCE4Rv1G0255940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPADEKLLPVVSGNRSGPLTFHKQKVMVKITAPVLKGNTADLDIVAVMDISGSMKGTKLQLLKQAMKGLVDTLGDNDRLAIFAFNSKVVERHSKELAIMKNKTKVEYQTMLDTLEVGGGTNIKDALKRAITTLMKREDQKEKRRRAACIFLMSDGEQNNGGDARTILEIPEFTVHTFGLGTRHDELVLAEIAYRSTGGVYSNVPDNMLGKFKEFMQAQLGLHRSVTALDVKVTLTPTRPVLTPTSLALKGGPPKDQVEAKEQKVGSIGVDAGDYMVTDGYTIEKELMIANGSRVIRFGDLARDEVRRIIVDIPLHGITDPIVKPEEVLKVDCFYRLPHEMPSKPVTVSVEFIRDKDADQYEMKADLKRELVRRDQAEYLRMVKLWTENKGVDLGKARGKVEEARGAVDEYFNGIDGNKLKDEFYEELGNLDNFLVRNATVDDKKLCRAYLLACLSSHGRQRFAGRGGEDGESPLYLTPRMKKYINSAKKSP >SECCE3Rv1G0190690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:742630972:742633664:-1 gene:SECCE3Rv1G0190690 transcript:SECCE3Rv1G0190690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKLYGMTMSWNVTRCVAALEEAGVEYDVVPIDFGAGEHKTPDYLARNPFGQMPVLQDGDFYVWESRAICKYTCRKNKPELLKEGSLKESAMVDVWLEVEAHQYTAALEPIILECLIRPKFGRVRATDQKIVEDNLVKLKKVLEVYEARLSKCKYLAGDFLSLADLNHVSITACLAATPYASLFDAYPHVNAWWSGLMARPSAQKIAALKKPYFPNSV >SECCE2Rv1G0124060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:829370187:829372562:1 gene:SECCE2Rv1G0124060 transcript:SECCE2Rv1G0124060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASEARRSGRSRKALAPVLDSDVNISAGRANLAAALLPQPHKAKRTSPKMRKAEAAQASPVADEPMGTPPAQVDAADVSSGKADVATAPASTQKPKRVSSKGGKAKAAAPSMADEPMGTSPAQVDAADVSLVKADAATAPASTQKPKRASSKGGKAKAAAPSMADELTELQGMLERLRLEKERAEEMVRERDEVIRKKEEEIETKGKQQERLQAELKKMQRVKVFEPTMNFPLVQSLLSKDPEGDDKGKKKKKGKGKAGNERKKPAPAYILWCKDQWAEIKKSPDADFKEVSNALGAKWKTLSNEDKQPYEERYRQEKEAYLQVVGQEKREAEAMKLLDEEQMRWTAKELLEQYLKFRQEAEGDGNSKKSKNKRKKEKDPSKPKHPMSAFFLYSQERRAALVTEKKSVPEIGKITGEEWKGMTEAHKAPYDEAARKQKEEYQKQMEVYKQKKLEENASIEKEEEEQKKILKQEALQLLRKKEKADNIIKKTKEKRQRKKEQQNADPNRPRRPASSFLLFSKEARKQLAEERPGVSNATLSALISVKWKDLSGTEKKVWSEKAAQGMAAYKREMEEYTKAHASSPSSPVA >SECCE5Rv1G0368260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:827509614:827511035:-1 gene:SECCE5Rv1G0368260 transcript:SECCE5Rv1G0368260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLDEQSFLDELMSLRQEEPPAPAPWRAYPGSGMMTTSDLLCYGGEDVAEASSGMDLAGPFLPQPMAPPPAAPPHRPHEEFNFDYLSEVCNPYRSSGGVVPGPGVVHGVGQALAQYPLHDAMAEDGRSSDNLHRGGGAPSSPVPFVFGAGGAGESSEMTRGVFSGAHPRSKLNGGTTSKNLMAERRRRKRLNDRLSMLRSIVPKITKMDRTSILGDTIDYVNELTDRIKTLEEEIGATPEELNLLNTTKNFSIGSSEEMPMRNSTKFVIEKQGDGETRIDICCARSPGVLISTVSALDVLGLEIEQCVVSCFGDFAMQASCSQEEGRGRVTSTDEIKQALFTSAGYGGRRL >SECCE1Rv1G0005610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:26854552:26855098:1 gene:SECCE1Rv1G0005610 transcript:SECCE1Rv1G0005610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKLKKVAGKKFGGPRKKSVTRSIKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRVVPRHLLLAIRNDQELGRLLSGVTIAHGGVIPNINPVLLPKKAAEKAEKAGAAPKSPKKTTKSPKKATKA >SECCEUnv1G0565070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:389497422:389497709:-1 gene:SECCEUnv1G0565070 transcript:SECCEUnv1G0565070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLLDACLCLLLLGAAAFPGSFVVAGNGCYNTVMGVPQWCSGEFITALFADNKFGVSRGCCIQLACVREWACADVLRGFCKPPEAHDCTLQH >SECCE7Rv1G0468440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:98292518:98292965:1 gene:SECCE7Rv1G0468440 transcript:SECCE7Rv1G0468440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASRRGLAVTLLLHLLFLAAALGGCAATSRWSAGGGGKAALDQYSWEDPAEAARRRELVGPGSSPPTCRSRCGRCHPCRPVHVAIQPGVSFPLEYYPEAWRCKCGDRLFMP >SECCE2Rv1G0124000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828808498:828811411:-1 gene:SECCE2Rv1G0124000 transcript:SECCE2Rv1G0124000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASTASYSSTALGSHRDVPAHKFSAEAFPSSSSRPVEASPVSCGGGEDDVLDLDSPWVAEGEADSRLEEAAAAGPRLRAEEEGDEDEIRDNRQRQEDELMALEAIYGDDLVEFESKAGLRYFQIYIHYDLHDGAEVCAKLSSASGNQKAGGCPNDCTEEHDDEPDEFSYTCNFERLPPLILTCLLPKSYPSRDPPYFNVTVKWMDGHNVSQLCEMLDTIWAELPGQEVVYRWVDWIHNSSLSHLLFDGKITLGSDCPTHKGDNRAISRSLSLESVIPSMLNYSSKKQYEAFLENLHTCRICLNQSKGSNFVRLPCQHLFCVKCMETLCRMHVKEGTVFHLVCPETKCNSSIPPYLLKRLLREEEFERWDRLALEKALDSMSDVVYCPKCAIGCLEDEDNNAQCPKCSFIFCSFCKDPRHPGKQCLTAEQKLQRKQASGRMTEWEMVKEMLSIKKLYRDAILCPKCKMPISRTEGCNKIECGNCGQFLCFRCGKAITGYDHSRSKSCQLFAPVGNDTAVWQRRMEVLKNERGMRAQRRQVAGTDTVKCPKCRQEVLKDDDKYIYCWTCRASYSTRSKQHGQGSSRSRMRGKGAIKDDQGDA >SECCE6Rv1G0399150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:431907364:431912822:1 gene:SECCE6Rv1G0399150 transcript:SECCE6Rv1G0399150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome 2, blue light photoreceptor, Promotion of flowering tim [Source: Projected from Oryza sativa (Os02g0625000)] MGGSERTVVWFRRDLRIDDNPALAAAARDGAVLPVFIWCPAEEGRFYPGRCSRWWLKESLAHLARSLEALGCPLVLIRAQSTLPALLQCVDSVGATRVVYNHLYDPISLVRDDKIKNELLGLGISMQSFNGDLLYEPWEVYDENGLAFTTFNMYWEKCMKLHIEISPSLAPWRLVPVSGIENICSSSIDNLGLESSKDEESSNSLLSRAWSPGWRNAEKTLEDFMSHGLLDYSKDRMKVAGTTTSLLSPYLHYGEVSVRKVYRLVRMQQIKWENEGKSGAGESVNLFLLSIGLREYSRYLCFNFPFTHERSLLGNLKHYPWRANEDRFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIVSSFAVKFLLIPWTWGMKYFWDVLLDADIESDILGWQYISGCLPDGHELGRLDNPEVQGQKYDPDGEYVRTWIPELARMPGEWIHHPWDAPSSILEVAGVELGFNYPMPIVELHTARECLDDAISTMWQLDTAEKLAELDGEVVEDNLSHIKSFDVPKVVLKELSPSAPHCDQKVPTDDGRNLELQPKELKDTNKQTICVDVIKASKMEDSGSISNSPISRKRSSSGRVFNVPSYSSSVKVRSQNQHPGGYSVGSSGYIVQKAERNCAGKAEDDDSADSCTNTSRASKRPAA >SECCE5Rv1G0309550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:155937708:155942752:1 gene:SECCE5Rv1G0309550 transcript:SECCE5Rv1G0309550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM7 [Source:Projected from Arabidopsis thaliana (AT4G02060) UniProtKB/Swiss-Prot;Acc:P43299] MAAGTKTVDYAAERALAKDFLTNFAGPHGEPKYQNILQDIANRKIRAVQIELDDLFHYKDVDEEFLQRVTENTKRYIGIFAEAVDELMPEPTEAFAVDEDRDILMTQRVDEGADGGADGTDPLQRMPPEIKRFFEVYIKAFSKVTPLTLRQVKASHIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTAHLRGELTRKVAPGDVVEMSGVFLPMPYFGFRAMRAGLVADTYLEAMSITHFKKKYEEYELKGDEQEQIDRLAEDGDIYSKLSKSLAPEIFGHEDVKKALLLLLVGAPHRKLGDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMENDLEMARHVVHVHQNLESPALGFTALEPSVLRAYISAARRVTPSVPRDLEEYIATAYSSIRQEEAKSNAPHSYTTIRTLLSIVRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTSSMDVKYGHALNLISRKGYSEAQLKECLEEYASLNVWQIHPSSFDIHFIDA >SECCE1Rv1G0004790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:20958131:20959009:1 gene:SECCE1Rv1G0004790 transcript:SECCE1Rv1G0004790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANKSLVAAQTSHQSAARNAMASSSSSPLLMLLLLPLLLAMARPCSGVDAIGTYCAKNGSSAETQASIDQVLAALVPRASAAYYATATAGRSSSADCSLCLAAAAKEVASSCRGSSDGRVFYDYCLLRYSTSNFIGLADNGYTLILLNTQNATGVDLAAFDRAQGKLMSRVASEAGDAQNKGLATDTTRLGSDGAGAKTTIYGLGWCTRDITAADCGLCVAQAVAELPNYCRYRRGCRVIYSSCMARYEVYPFFFPLDGADKSADELAQCDKIVLNA >SECCE1Rv1G0016660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:140406247:140406831:1 gene:SECCE1Rv1G0016660 transcript:SECCE1Rv1G0016660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSASRPSWPQYGPLPMERYPNCPRIAPLKLLTSKEDKNGNFGREFMKCESKPEGQIVKKCTHFEWLDDYVRRLQGEGLIQFNGASTRELNLPSAIRNLVSESAAPIVGDADLKGELNKMNKNLRRMIQLNKHANLIALGFYFCIVALGFAYLLVITR >SECCE3Rv1G0199250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:843566054:843568395:-1 gene:SECCE3Rv1G0199250 transcript:SECCE3Rv1G0199250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPAAAAAAPMTIDFLRARLLSERSVSRASKERADQLAARVAELEEQLRAVTAQRRQAEREAAEVLAVLESRGFCGSLSDVLDSGSDADADEEEQDEPRDTAVTTGDTAPRSHGEEGPEQEQPPAKGETKEEEDAMSLQPGGLSWKGRCASPRRARQLKQRHRRSYVYFLASQSDPSPKYRMGQSCRKNKRKELSSIAVLNESRKEQRDGPRCTDDDGPTKFDGEVGGDGQRSSGEGGGQYVIRRDDNGEMERVLEKQAELIGQYEAQEKAQTDWEKKFNDTRSSPNKGDVEADRQVEARSGSEKFTISGTPKNASEEMARTAAISAQGSSTYSTATRRSQDQQGDANSDGCSSHYTNSASSGLCTIKAPSESSKVSDWSSSRFHDHADDHTRSPCQQSSGTNEIDVESVLQALQRARISLRQKLDRPLPPSQVTLALPAPGDEYRADLYDDDDGNSSCTDGHVGSSPSRQEILALGAPEDYHGYHDHDHGREESLSVDGADASLTEKPSSSSPPRQEILALPAPGDREDDDVKIPASSPGLFRLPTDSFPKDETLPTSNGHDFELAVREATRHDTCGDDDAGASARQLYDPHGSAATSGRCSVPASSGFTVGGASFLHGIPGLAEDLRKGGGRLGDADLFMQPRLRVHHHF >SECCE2Rv1G0120090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:796549591:796550713:1 gene:SECCE2Rv1G0120090 transcript:SECCE2Rv1G0120090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRRPSGIQQLLAAEQEAQQIVNTARAAKTARLRQAKEEAEREIAEYRAQMEADFQRKLTETSGDSGANVKRLEQETNVKIEQLKEQAANISPEVIQMLLRHVTTVKN >SECCE3Rv1G0183410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:649730406:649732120:-1 gene:SECCE3Rv1G0183410 transcript:SECCE3Rv1G0183410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPADQAAPHATLEQDQRDTATATENSGRGHGAADIADADPGEAVCAPGSAPSVTSRISVQKVCSLVGKFNKFKRDLVEEIGFGGMLGIKMLAKLNLKFSAWLMERFEVESSTLKIDEQRVLQIQDHDVQKVFSLPCGTRSICPDTTEPSEACKEFMRASAYLSKGAHSLKAAEAYLLRDDINGDSSKVQIDCFKIAFVIFVVGHLLAPSTKHDYITIDFWAALNDISQIKEFNWCAYVLEHLNRAVGKLKSDIRNRNITVHLVGCHLFLQVFYLDNLDLGPLSKTKDHLPRISLFDYESVKKMIEVITSNVDGDTSFAGASFRCAQDVCYSRVHYESHTHRADVASDLPHHAPGFVGTKHQHNPITPMRRSSFTETGQEDFSNHLRLKYPSLADHPLMTILEEHNAHMMENISEIRRSCEVAMSTFADKLLGYISENRCCCRAVGRTNCLLSSPHTIHGEKH >SECCE4Rv1G0249120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:579137082:579148877:-1 gene:SECCE4Rv1G0249120 transcript:SECCE4Rv1G0249120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRGFSVGAVMKRMCKAVLKKGLGDLFLGELDLDQLDLQLTRGTLELTDLALNADYINSQLSGFSIMVKEGSIKSLLVKFPLQLKSCEIVVEDLELVLAPSVASEVPPVDAECSVSGNNSTTETSVNTKRNESDKHCSTSASRDVDEGVKRIANAVKWFLTNFKIKFKNTYVVFDPQTIVDNKTSEFNRSLVFRVKEIEFGTNLSTDGLVKLNNFVTFHEAVIEFLKMDDVDVLLQNDLDKATADISSGHSTTSVLTGPIGGFSGTLNLSIPWSNGCLNLKKIDADVSVDSLELLLQISSIQWFMDVLDSLHRNQVSEQIRPHNTVDMSLNTSRSALSTLKSGSDSVMTRREDTDQIALSQKRQDKYQDSFLTKAHVIQDWIPELVVHEDQGDPDSDCDESIDQFFECFEELRNSQTNLGNSGIWDWTCSVFNAITFASTLASGSDQVPKEPPIEKTLRASIAEISVILLFSDEMDTGDSSVPVSLVNDMRNSEMFSSCLSSAQFEQSMMYPATASSLSMHHLEAKCQSIHLELETYPRNLGFKASVAHMKLDEYYSTNHDSNHSHLGTAFLNNNFCREVQAVLPQFPFAAQDYGVETAGRFSRSSDKFIKVELLKTFGESTFHYDVSSTDQDGNSVSATSLSIYLAPLTLWVHFHTVYMILNFISKVESDVFHGEHKLNKDIDERSSKLANSSSSGSLKVQIAPSHARIIFCFPSEPSWDLSRPSILDKFLAIDHTSSHNSAEAPSPLRNERFNDVHPSTPSTSLHLATGNFDIYLVKPSSALDGRCALSRQTFSALKILSVTRSDHYDSSIRMIRKNHPVTCPEMVNKAWSLPSLHDQKITQKENNKWVGVASSTTSQDLVESSFSIRQELIRSTEFLLHVQLPCVSVHLNKKDCGLLNQLLDHILDGLSDGATHSSENGKDKNNEIAIQTSVIFECSMLDICTELDETVEVGPSLQTELEGSWNRLKLSVSKLSLCSFSNVGGVNDASFLWVNHGEGELWGSVTSTDDKTCEESEDFLLVICKDSASRRGDGEGNNVLSFGTAGCSVTHIRNPKLQENYTSVNVRSGTIVAPGGRMDWISGISLLFSSGSSGTEQSNNSSSTNNSQAGEPFWSSFFLELADVALSYEPHLKNSTFNAETPDCKFFSCLLAASSFKLHNKSASDSAATDFDIQLRDLGLLICGSSGSKNVTCGYDVDYLRQMGYAKIGHNTFIEAALRIDTSFWKLEISESQFDIGTCRDTTHGLLHLVSQLQRLYAPDMRDALAHLQSRWNIVQQANAQNMPSDASDISESTDNLADSRECESDGLLDDIIEDAFYTDQANADYNFWDRNCHNSLSSSEMNAEYEMNMANPEAVNVGVPHIVTPEANTAQIPIKQNSCPEQIIDSYYMLDLPNSSLSSSPCNVNHQCTYGDDARKTMDCDDGGWYNNSPLTIVENHVSKRNSPNGEHAFQQEGKPAVCSSNSDESCNLKGKILIHDIDVKWRMYAGDDWPLAHNDSTSHPCSNGRDRSSSLEFIVSGLGVQFDMYPVGAVSVSRLSISAQDLNLCDQNTHAPWKMVLGCYNSKDYPRESCSTAFKLELESVRPEPQAPLEDYRLCLEILPLQLHLDQGQLNFLISFFQNDSSNNNPHLPCENEIVGMDSTTYGSTTIVDEALLPFFQKFDVKPLVLHINYIPRQFDPIALSKGNYAELLNILPWKGIDLKLKNVSAMGVYGWNSICETVAAEWLEDISKNQVHKVLKGLPPIKSLVAVGSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFVRSVSIEAVGLGVHLAAGAHDMLLKTERALTAVPPPLTSREAKKPKENIRANQPEGAHQGLKQAYESLTDGLGRTASALIGNPIKVYNRGGSTGSILATAICGAPAAAVAPVSASARAMHYALLGLRNSLDPEHKKESAYKYSGPSQS >SECCE6Rv1G0424020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:698598307:698599128:1 gene:SECCE6Rv1G0424020 transcript:SECCE6Rv1G0424020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVWRIPEVTSIRNTGPEWFLNLIAECDEGDRLRVLMLMWRCWFIRNEIQHDKKPPPMEVSKRFRQSYVASLLGIQQYPAGDWDKGKMVVQLEGAEQPLSHALKEPKISHKWRPPPLGWAKLNVDGSFAQEDGRAGTGMVLRDHDGGIIFSACRSLRSCSGPLHAELEGCREGLGLALQWTELPIALECDSLQAVSMIKATGQDRSEHAMVISEVKELLGNRESSVTHIYREQNNVSHVLANFGRTEDRTVVWLRSGPANIPDLCRDELFCS >SECCE6Rv1G0406960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:552806139:552806611:-1 gene:SECCE6Rv1G0406960 transcript:SECCE6Rv1G0406960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGSAWSGAAGLGAAALLLGLLVVSAEAAKYTVGDYGGWKFNVAGWAKGRTFRAGDVLEFKYNGAVHDVAAVDAAAYQSCVVPKGKRALRSGHDKVRLVKGTHYFVCTVRGHCKANMKIAVRVI >SECCEUnv1G0536180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:62800975:62802535:-1 gene:SECCEUnv1G0536180 transcript:SECCEUnv1G0536180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEELTASLSDLAVASQAPMASQISSSGDLSSEGGAQVTCFSEDLHDVMLHFQIIRFSKQIYAWIGCNNSAKFGHLYAAATTRLGDGVSVASVLGGTSDNTGSSMARRLVLKTGLNIILACNIPKDSPMLEAAAERKLVEKLKSLGYIRSKPGEANASTTR >SECCE7Rv1G0510260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:788228405:788229499:-1 gene:SECCE7Rv1G0510260 transcript:SECCE7Rv1G0510260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEVGSVPRRRRTAPKPPPAATGIGRHGSTDTKGGGGSCGCSMAPVAAAAAQQKAGEEGGEREGEGRILAADQSLPPCAATAAAAIALRPHVAFRRRAQSSPSKKRKKRRVLDGVFWAGVGMESASATAADPSLDEIGVVDAALRDVLRGLGASRPVRVYAKRMCKTDRLLQQHRLLMSCKSWRRGEPFPLDEALTAEEKPYVKVQAYDRRGRPYVLGLKKLGCNDAYRLIAGWGRFLTQNGLHVARDARAEDLEPTMVEVWAFRSPALQVGVAGQPGGPLGLVIVHYRQGDAPHADAAIAEILAADAAATGSRATPPLPIASDSEPDERTLEAAEGLLMLSESPVDFAGRENTRRLASLIL >SECCE6Rv1G0421820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:686987141:686987905:1 gene:SECCE6Rv1G0421820 transcript:SECCE6Rv1G0421820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTPPPREPARESAPAPALEPAEPPVPAPRAEPAPVPIAIGPPAPQGAAAPAAAPLPPAVPAPKWVMPTVANLAQLLPTGTVLAYEALSPTFTNHGNCNIANKVLTGVMIFILAIICVFFCFTDSIVGRRDGKLYYGVATWHGFNVFNFSSQRERQEWTHLDFENLRLRWLDIVQAIFTAVVFLTVAFSDVGLQNCYFPKPGENTQQVLKILPLGMAIVSSFVFTIFPTKRKGIGFNDITPRQTVNQSNAV >SECCE1Rv1G0049480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:641434722:641435501:-1 gene:SECCE1Rv1G0049480 transcript:SECCE1Rv1G0049480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASSSRVAAAAEQDDKNDPARPLAIPHPYAHPAASDVDEAAQAATGWRSTQYLRRRRRCLLCCGGCCVATAVVVGILVHALSLTVFKVKDPRLTMNGVSLAALRAGPGAGLADPVAANATLTADVSIENPNIASFRFSPSATEVYLGGRTVSVAYVPGGRVGAHGSARMNVTVDILADRLSRAVNATGLLLGQQYDLTTYTEMDGTVKVLGIYKKDLQIRMNCSITVEVGGFAGVLVSGAPAGVRTNGVTCVAHVS >SECCE5Rv1G0343250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637191627:637195284:-1 gene:SECCE5Rv1G0343250 transcript:SECCE5Rv1G0343250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSTVLGLFGFGFGFSSGIVIGYYFFIYFQPTNVKDVEVRPLVEYDSNSLDGILPEIPMWVKNPDYDRIDWLNRFLELMWPNLNKAICRMAQDIAKPIIAENCEKYKIDSVEFETLTLGSLPPTFQGMKVYITDEKELIMEPSLKWAANPNITVVAKAYGLKATVQIVDLQVFASPRITLKPLVPTFPCFANISVSLMEKPHVDFGLKLFGADLMAIPVLYKFVQDTIKKQVANMYLWPKTLEVPIMDPSKASRKPVGILLVKVVRAQNLKKKDLLGKSDPYAKLKMTDDKLPSKKTSVKRSNLNPEWNEDFKFVVTDPENQSLEVNVFDWEQVGKHEKMGMNRILLKELPPEETKVMTLNLLKTMDPNDIQNEKSRGQIILEATYKPFKEEDMEKESVDGADEVQKAPDNTPAGGGLLFVVVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPTNDKLHVEVLSKAGKKGILHGKEALGYIDIPLADVISNKRINEKFHLIDSKNGQIQIELQWRTS >SECCE3Rv1G0210640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944347981:944348172:1 gene:SECCE3Rv1G0210640 transcript:SECCE3Rv1G0210640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAVMAELLEEYTAAVARALERLLSAAPRILPRRVRFIVLRTLPFASPSPPPHAVPAVLAR >SECCE3Rv1G0173780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:360732274:360732760:-1 gene:SECCE3Rv1G0173780 transcript:SECCE3Rv1G0173780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQRRKGPDVVGSFGLLQPLADSLKLILKEPISPSSANFSLFRMAPVATFMLSLVAWAVVPFDYGMVLSDPNIGILYLFALSSLGVYGIIIAGWSSKTGGGRSVAYDIWTNWCGLTGLGLINHFFDSSRGRSIFRARIEKWKS >SECCE5Rv1G0350540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691259316:691262027:1 gene:SECCE5Rv1G0350540 transcript:SECCE5Rv1G0350540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:QPT [Source:Projected from Arabidopsis thaliana (AT2G01350) UniProtKB/TrEMBL;Acc:A0A178VX18] MTPATPAAATPIRQHLPSSSSSARSGNPRPSARLRLPARPRAAPSASMSAEARPAPSPVPPPAHPTYDLSAVIALALSEDAGDRGDVSCLATIPTDVKAEATFIAKEDGVIAGISLADMIFKQVDPSLKVEWFEADGNYVHKGLQFGKVYGCAQSIIVAERVVLNFMQRMSGIATMTKAMADAARPACILETRKTAPGLRLVDKWAVLIGGGKNHRLGLFDMVMIKDNHISVAGGITNAMTSVDQFLEKENLAVPVEVETRTLEEVRDLLKYTDENKTSLTRIMLDNMVVPLPNGELDVSMLKDAVQLINGRFETEASGNVTIDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA >SECCE5Rv1G0359420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:761808183:761812458:-1 gene:SECCE5Rv1G0359420 transcript:SECCE5Rv1G0359420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLKASLSPSSSPPSSLRRSHAASAVAVATPGRPVIARALAAASVDPAVVMPTPGRLAVRTPAATTLLTPAPAGKSGMSVAKAMSQARDKGKTAFIPYITAGDPDLATTANALRLLDTLGADVIEVGMPFSDPYADGPVIQASAARALSAGVTTDAVMAMLKEVTPELSCPVVIFSYFNPIARRGTGSFTAAAREAGVRGLIVPDLPYIETSVLRNEAIKNDIELVLLTTPSTKADMMNDITIASEGFVYLVSVNGVTGVRPDVNPRVKDLLKEIKQVTNKAVAVGFGISTPDHIRQVAQWGADGVIIGSAMVKQLGEADSPREGLKRFFFFFFFETETKIASSIK >SECCE1Rv1G0061570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:716563991:716568262:1 gene:SECCE1Rv1G0061570 transcript:SECCE1Rv1G0061570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAMSWVPRGAAKSVPIEAEPPTKEEIEEAMKKIALGREAGSDADDADGDEDDDAVMEVDGAEDEEVDEVAQAKAAAKALGTKSSSAAAVGDVSDGLAELNMDAYDEEEDGLELFSTGLGDLYYKSNEEDPYIIKNDEDDDGEDDDEEIEDMTIKPTDIVIVCAHNEDEFNSLQVSIVEELEDGDPNMFVHHEVPLSDFPLCTAWMDFNRQDGEQKGNFIAVGTMDPTIEVWNLDVVDEVEPHFVLGGVSKKKKKAKGKKGKTYKKGSHRSSVLGLAWNTVVRNALASASADKTVKVWDMSAGKCLVTLQHHDDKVQSVAWRSPEVLLSGSFDRTIALTDMKDTEQCCHKWPVEADVESLVCDPHNEHSFVVSLENGMVQAFDIRTASSNSNPGQPMFTLHAHEKAVSSISFAPSTPNFFATGSTDKMVKLWDLSNNQPSCISSLNPKLGAIFSVSFSNDNPFLLACGGSKGKLKVWNTLSEPAVANKFGKGRQNAPALGNEPPTE >SECCE7Rv1G0456500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:10980360:10981767:1 gene:SECCE7Rv1G0456500 transcript:SECCE7Rv1G0456500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPILTTRPAPTLPDELIEEVFLRLRPDEPSNLVRASLASSFWLGLLTGTSFSVRYREFHDAPPMLGFFYSWLHADRPSDEGESPVQRFAFTTEFGVRIPDVVEWEDYEALDCRHGRVLFENPFGCPAPVFVWDPMTGCTRYLEKPDGCSSNGATVVCAVSGCDHRLCDGGPVRVVFFTTGEDDGCVAHVSVALLEMDDAWNESSDLDLDLELEWTLSTGLELEARRAFIPEIMPPILIKEALYFMLSIVGGVRDMAILKYDMASDGLSLIDLPDMRSNGLPLKDRSFIPMATGDGNLGFAQVDGLTLNLWSSSIQTGAEGLASWTQHIVVDLKNFLPIQNPKESLRLIGSVESSDIIFVTTDLGIYQISLKSLTWKRIWKSEKFSALIPYMSFYNPQGSRKL >SECCE6Rv1G0399850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:444215573:444216487:-1 gene:SECCE6Rv1G0399850 transcript:SECCE6Rv1G0399850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAQAPTQTGRPRPILPAAAVLLAVVVAVLSLLPSVAQAVWELPHLFLLGLVISYGVFAQHKNGAAAGDGDAAKDGARAWNSRYHPDDPLVVVAPDHAHAAGDDGDDGAGGRPFSLPVRRLKTVVEESTEAGGASGESVGEETDSSESTAGFWAGAPAAPSPPSVLDDFDSRKFNAAPPPSTESKGFPGYDSASPRDQPSCSEEEEVEEVEEEEEEEEGTDWEEDADGSDEMTAASSERSFPGDFVACRNRRYNGDGDGESVDEELLELATRPGPEVADEVDRKADEFIAKFREQIRRQRL >SECCE6Rv1G0389850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:164256449:164259043:-1 gene:SECCE6Rv1G0389850 transcript:SECCE6Rv1G0389850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGGKVEVLQKAEAPFGSWRPAEILSGNGHTYLVSYDPCLLDGSLATERVQRKVIRPSPPSPDGPVCWVPGDILEVFDSYSWKVVEVVRLLGHEYYLVRLLGSSLELRVDASNLRTRQLWQDGKWVALPKDSARCAAGSHRSRTKGRNSGGSHLLLKNKNVFEDNMSRGMKRKTSAASAFPMQRSEVTKRFQTSRRDGRRQHLGPGDSLHLMDKGRSWRLVKHPSVGLC >SECCE6Rv1G0423350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695721493:695723167:1 gene:SECCE6Rv1G0423350 transcript:SECCE6Rv1G0423350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNRGRRHRRSSKAEVGSGDMLSKLPAEEQACNGDRLSELPADLLLNILERVGTLDAVKTCILSRKMQKLPTMLSQIVIDLSSHDLVRMNGVVADVTDKILSTRSPQITIRKLKLKFFLSPSRCLSIGKSVGAAMVTQKLDAAEFEILSPRDLHLCTDAYRVLFAKQFNNFVRDCPDAFAGLTRLHLRNMRFGESDIPIILSYCKVLESLSFLMCDAGIRSVLHVEHARLVELVISYGKFKTVELNRLPKLKRMTFNNWPYDENPLVLGVLPQLSNLSLGDACLSQKTLKLSQLLATVPSVSDLTLEFRSEKIWIQPESPKALAPVLAKLRFINLDNLPEECDISWTMFLLEAAPSVEDLSITVWDHKCRQESQNSYSTKTDVKWEPSNPNFKHKNLATLTIYGFQSDDNFMGYLRRVMQAAVNIKKVSLHDRKMCTLCTDKFPHADARPSSYPQTSMEKDSLRKKITEASSKASRAVIQFSS >SECCE1Rv1G0019790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:243937908:243938303:1 gene:SECCE1Rv1G0019790 transcript:SECCE1Rv1G0019790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIHEAIDMICELLKYIDSSPSRLQDLNTIVSGMGLRAKKGIYVDTPTRWNSTWRMLVEALTYKSVLTIYANRNMIESPSEEEWEKAKDICEFLKAFKEITLVVSSHRKPTSHKFLPVVLSIRHVLKDPG >SECCE2Rv1G0128300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:859675497:859676828:-1 gene:SECCE2Rv1G0128300 transcript:SECCE2Rv1G0128300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEARLQQEKVKKFEDFVDRRLKPDLVNAIAQRDNLFQQQKTFLDLKKNIENLEKNGVTSMRSMVNLGSEVYMQAEVPDTRHIFVDIGLGFHVEFTWQEALQFISVREARLARQIDEYTHLIASIKAQIKMVCEGIRELLQLPAE >SECCE5Rv1G0321220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:399102673:399110795:-1 gene:SECCE5Rv1G0321220 transcript:SECCE5Rv1G0321220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALSRLIRSSCSVSPSRLSSPVLLKNGKAFSSDATPRDSRLVDEPFKVEEAEPVKVPPPPPSPDKLLVLGGSGFVGSHVCKEALERGFVVSSLNRSGKPSISESWADKVIWNQGNLLEPASLEDSMDGVSAVVSCVGGFGSNSQMFKLNGTANINAIRVAAEKGIKRFVYVSAADFGLVNYLLQGYYEGKRAAEAELLSKFTYGGVILRPGFIHGTRRVGSVNIPLGLVGSPMQMVLQNAKPLTRLPLVGPMLTPPVSATSVAKVAVRAATDPVFPPGIVDVYGIMRYSEQK >SECCE3Rv1G0174830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:406051908:406063777:1 gene:SECCE3Rv1G0174830 transcript:SECCE3Rv1G0174830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRDFPKSAAPFLTRVTKFSSRTSRRRSRPEKVMGPPQPAKGIISIEACARPIAVDHRISLPYYFRIAGTLLRQAKIYRDEKNILDLYVILLRYTSLLCETIPKHRDYSVFKLSEPEFVRNANSSTLIDVVNELESLKPVVKRQLVEHNRRGAPEANGLNGTHAASLRTEKHPPTTYSTQPFVGSLQKFYPDGRHHVASLTSIQTDRQIRKQFVNLPSPKEETLARHSILGPNGLHGQWTGPVAAVKVQYPCNLEFTQSDMTSLVPAMLNQDGLHGPSTTYPDSTTKDNDDMQSVLSLDDGRWSAQAEQCTSTPSASLEGELSQLNIRQPSPPPVLAEVHPDRATPVSPSRVADPTPGLAISETGRYHNLHVPVKLMECFLRVAEANTKRSLETCGVLAGTLKKRTFYITTLIIPKQKSTSNSCEATNEEELFEVQDKGSLFTLGWIHTHPTQSCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTRKKHGIFHLTDPGGIGVIHDCPERGFHPHKAPLDGSPIYEHCSHVYMNADTKFDMIDLREQ >SECCE1Rv1G0016170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:135176667:135177200:1 gene:SECCE1Rv1G0016170 transcript:SECCE1Rv1G0016170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFAPPYNANVLLAAVTALSTAIAFVAALHLYARCFLQRRAAATTATALSNPHALALALQRPPDGYELEVITVDAACAPETAGLGAKELGALPVLVWESSSAKVAAAAATEQCAVCLGEMEDGELGRLLPACSHAFHVGCIDAWLRLSSTCPVCRSAVRTEEDAGAAPAAGVATLS >SECCE4Rv1G0256240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:655118971:655120218:1 gene:SECCE4Rv1G0256240 transcript:SECCE4Rv1G0256240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVVPFNRLVRLAARAFYDDLPAETAAAGAGTGQHHAQGTAVVVLDALTRRDGQWVREDDLAATLRLQPKPLRRVLRYLEEDKIVSRDHRKEPAPAKPAAAAEEGEEKERVKLHVKSYCCLDYAQACDAVRYRMHRMRKRIRDELTADSRATVQHYLCPACGRRYSALDALRLIAEDCQSFRCEHCSGELVVEKAATIAADDDGGEDGGGRARKRRRLEKLQDMQRRMEEQLGPLQAQIQRVEGLPAPEFMSLRAWQKENNVAAAAAAAAPCGGDPEVELGVLLLGADQSGTGGGEEPKVFPPWMVKPGMDHTGGKAKSDGKLEEGEDDKKMISNVEEEEEEKQRVLLEQEYAKAYYEALLKQQQLGASVKHEEEQQLGTDVKHEDGEDVEEEDAGIEWEDGDAGIEWEDGES >SECCE6Rv1G0412150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613229228:613234936:-1 gene:SECCE6Rv1G0412150 transcript:SECCE6Rv1G0412150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble inorganic pyrophosphatase 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G09650) UniProtKB/Swiss-Prot;Acc:Q9LXC9] MATAVTASATAATRFTRLAGIGLRRSSCRPRTAVRFQRPGLTTTALLRPTELKPKDQGQPETLDYRVFLVDGGGRKVSPWHDVPLRAGDGAFHFIVEIPKESSAKMEVATDEAYTPIKQDTKKGNLRYYPYNINWNYGLLPQTWEDPTAANADVEGALGDNDPVDVVEIGERRANIGDVLRVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEEHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPTSKEYALKVIEETNESWEKLVKRKIPAGELSLA >SECCE4Rv1G0216620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12020375:12020857:1 gene:SECCE4Rv1G0216620 transcript:SECCE4Rv1G0216620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSSASLQSLAPQNDGAHQRIPIEDAAAASPPVTDAVAIVVDTTDDIDGRRLRRKISNRESARRSRARKLRHLDDLRALAASLRGGRRELAARVHAARSRVAIVLHANNELGAEAAALRRRLDVAAYRALALNQLYAAAAGLGVGTFEQAAASLIAWS >SECCE6Rv1G0380850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:26522079:26522465:1 gene:SECCE6Rv1G0380850 transcript:SECCE6Rv1G0380850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRSNTPRALCLVSLVLMSTIFSASHAGGAADGLPKLCVAQKACTPKWSIEPWGNYVCKVYCGVRGYNEDKSHCTRDGRGFCCCKK >SECCE6Rv1G0450200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:866913695:866914748:-1 gene:SECCE6Rv1G0450200 transcript:SECCE6Rv1G0450200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYTGIPGSDVMRSDVDGQLVSVMYIPRGEQVDEAVRREIIRHRSLRHPSIVRLRELVLTPTHLAVVSEHAPDLHRRVRDSGRFSEGEARLLFRQLVSGVSYCHSMQVCYCDLKLENILLDGSCAVPPRLKICGPGVSKRNSQPEAPRASDQTRVYIAPEVFQQRGYDGKIADVWSCGVTLYAMLVGA >SECCE3Rv1G0203030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:879987467:879997680:1 gene:SECCE3Rv1G0203030 transcript:SECCE3Rv1G0203030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVTDTDIGVSVDSIIFPEVPIALRLSSHLMVGVVRIYSRKVNYLFHDCSEALLKIKQAFRSAAVDLPPEESTAPYHSITLPETFHLDDFELPEAEFQGDIDHHVSSKEQITLQDNPERTGYSTSEFGLDERFGDGSSSHMGFDLEEELLLAKDDPIQLESGDGILIQGQSSLHPTDMDVDDNPSKDEEAEAYNKMDDEPSSSSKQNQSNADDLRNNIPNWTGYNLRTPDLNDMLFHNEGDAGPSSSYYQPSPFPCDDPASPASPASPEFVSAQAPATPGLMEETVPSRVHESPVLSPQRKASPPSNEETAKIDNFAAPPSDFLHSAAANANDAGMAEFGLAKPVQVESSGAVQENTAAPSSDFLHSATANANDAVGAEMAEFSLVKPVQVESSSAAQENLAAPSSDLFHSAAANANDAVSAEFAEFGLTKPVQVESSGAVAPPLDFLHSAAVNANDAVGAEMSAYRLTNPVQVESSGAVQENFAAPPSDFFHPGAAHANDTVGPEMTEFRLANPVQVESSGAVHDFAAPPSDFLHPGAAHANDAVDAGMAEFRLAEPVQVESSSAVREMDFLRQHSATVDMPPEPQTSNLVATVDKLVVNTDDIAVSGQTLPFKATMGSVPFVQNTSEPRANGSTETYMTGNPTHFNEGSANMQGYNFLTSNVSVEPYPSGSTEPWVTGQQQQSFLSQASWGRSSGPTEPRVTGHPTHFNEGSVNVQGYNLHAPNVFLGHNLQEITPGMTHSNISTAAFQQNTGTIPQYMSYNDRPNDMFTSNFPERERMLSAPYIGFHQTNDLGQLTAEKGITESDGSNKIGSLSSRKRHLEDSMPAPESRTTENLSSRPHGQRTADAIPNDDDILASILVGRRTPGLVLDSTPLPPKASTSKRQRLTPKTALTPKTALTPKTKTPKRRVKMDDDMVIHADIIRQQLISTEDIRRIRRKAPCTRTEIWMIEKGSLEDAIFREPVFSCMHKDLNDLHYRTYGPVSQFTVHNKEPQQVDMSETIPADNSNAGISGAEESAALDHQLHMVLPDTNNVGISSAKDSAALDHQLNMGLPDGAHNVGNSGANDSAALDHQLNMRLPDGAHNVGISGAKDSAALDHELNMGLPDGAHNAGISGANDSAACDHQLNMGLPDGAHDVGISAANDSAALDHQLHMGLPDGAHLDATPQEATDTVDAAAAFGLQMPSDIRDNNSEKVTEFGDKKEIPLVNETNAVTDITAHDDIQRNTNADTPLFVQDDITHDSATITDAPDVALHSSGPACAQAVDDREGELSDIVRSDINTFADKEMPTSEITGLEFTEHAPGFPQPTEDENAVSAMGENSGLQGNNAGSFMDMDNTGRDFTDMDNTGHDFALKECSDFGSAIHGVDADFLPYDDDGDFDEAIDLDDDDDDEPNPDEFQSHDALSGWSSRTKGVARYLKTLFDEESGRGRKNVVIDHLVNGKSRKEASRMFFETLVLSTKDYIQVEQPIPFGLINVKPVSKLLKTDF >SECCE5Rv1G0355080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:728936184:728937170:-1 gene:SECCE5Rv1G0355080 transcript:SECCE5Rv1G0355080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRAPPSLATAATAILAVLAAALVTTGARAQMCGAQANGAKCPNNLCCSRFGFCGSTADYCCAGCQSQCSGCGPPASPGGQGVASILSRDLFERLLLHRNDAACPASGFYTYNAFLAAAAAFPAFAGTALSTNTRKREVAAFLGQTSHETTGGWPTAPDGPYSWGYCFKRERNPSSNYCEPREQWPCAPNKQYYGRGPIQLSYNYNYGPAGRAIGKDLLNQPELVETDPVVSFKTALWFWMTPQGNKPSSHAVITGQWVPTAADLAGRRLPGYGVITNIINGGLECGIGPDPRVADRIGFYKRYCDFLGVGYGSNLDCNSQRSFNS >SECCE7Rv1G0508980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:776518025:776518675:1 gene:SECCE7Rv1G0508980 transcript:SECCE7Rv1G0508980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTVLPSSLLPRRSADLHSCSSRVRVNPALMANPAAAKRPKRPGSVRGEQPRPAKRVRGACSGGELHVAIPANIKNGSKLPSPSSGKDPLHSSVIKNQVADSPASATQPKPQMSMRELIEKARLTMALLDKDRSASKEEASRRQDIERRRAEARRKVEQMADTVQFNDPWIHFSDVTKSPEELLQARQQAWRYQARLIEMARRRDYAQAMQIHG >SECCE6Rv1G0391540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:225314448:225328190:1 gene:SECCE6Rv1G0391540 transcript:SECCE6Rv1G0391540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNGIAVPDATICPFNSTSHVIELMQTGHGNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVLSYTETLSTLKFAERVSGVELGVARTNKEGKEVKDVRELMDQLSMLKDTISKKDDEIEQLQLLNVSTSKLKSNRQAGHILKHSSSSPGMTSLGKVSSVGSGAPSDLDNLSDTSDRQSEAGSMLSIEPEVSGHGDVESDGRLSDASDGGNSTGAETDSSVSSVVDQGQEKALAAKERLTKAVSRVQKLTVPKASGLRPKPRDPAVPKPSVPTGARRNTTTQGIPPARATSSAKRGP >SECCE4Rv1G0225080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:87892347:87900838:1 gene:SECCE4Rv1G0225080 transcript:SECCE4Rv1G0225080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQDPAAAGEELPGGLSRSSSASRLNAQAPEFVPRVAAVPPPPPVQPVIHVFAAPPPPPPASFFAAGPPPPRPPFEFYAAVGAAGPAFVAVPEHEMGAEQAVPPPPPPAQAHQQGRDLTTDDVLHKITKQVEYYFSDINLATTEHLMRFISKDPEGYVPMSVVASFKKIKALVQSSSMLASALRTSSKLVVSEDGNRVKRVQPFTESDLEELQARIVVAENLPDDHCYQNLMKIFSSVGSVKTIRTCYPQTPNGSGPVTNRSAKLDMLFANKLHAFVEYETIEDAEKAIVVLNDERNWRSGLRVRLLNSCMAKGGKGKKGGHETDVHGEEDVSTSDQPNDKHSEETSQPSDAIGEHVSEESTGDTGRGRGRGRGRGGRGRGRGYHNNHNNNQYHHNNHPQQQHHHQNSNNQGNNNRSGAHPVGTPPSSHPVKAEQHQAQSSPPAGANKQLPGPRMPDGTRGFSMGRGMPQTSTPSVSTSEP >SECCE3Rv1G0194170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:777579029:777585190:-1 gene:SECCE3Rv1G0194170 transcript:SECCE3Rv1G0194170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPQMTRVIHDSGEGMQKDALDMVSSDVNFPKGHFPDYRIGPNNQIIDPEETHEVVPLKEIVAKETTQLLEQRKRLSVRDLREKFEKGLSGASKLSDEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKSRAAIEKVEKALQEHDSASSSREKEEIEELRKEIREARRIKILHQPSKVMDMQFELQGLRTLISEKTQLCNQLKKELAMIKRLEQDSSKLFELEGSDTLGSQFRIVPRVDGAPDISSCPAQWYRVISGGNRELISGATRLTYAPEPFDVGQLLQAEIILKADKVTVQTDGPINHASGLERYVESLMKRADIEFNVVVTQMNGNDYASKSVHVFHIGKLRVKLRKGRSTKARESYSTTMKLCGSRGGGNAAACAVFWQTRKGLSYTLAFETDRDRNAAIMLARKFASSCNVVLAGPGDQVHGGG >SECCE2Rv1G0124740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:834258124:834260442:1 gene:SECCE2Rv1G0124740 transcript:SECCE2Rv1G0124740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAIRSVLPHSHHRLCVWHMNQNAVKHLGGVVTDYKKFNADFQHCIYDIEEEDEFISAWNEMLDKYELRDNAWLQSDTEEKVYKVLDINNIKHIPQQYILKRWTIDAKVLDITSKCNLHEDRKAILTKRYKELCRMFIQIAARAAESEESYLMAANYAEKLAEDVEKCLKHRSDPDTCNFVCSQVADSNNITTTSSEQNERPTKPKGMKVKEKTTRGSNRHPDIFADPNINSSTSSEQNEGFANPTEPNINSSTSSKQNKGLAKPKGIKLKEKTIQGASRPIGGLEKAKSKRKRKVDNPVALQPHGTPMGHSKVFMNQMPAYLPQYNPILNPMAMYGQDQRLPAELQHYNSLMGHLGVPANHVYTYSEQCNSALDASLLPSLGTIRGHPAANASLQPSIGTLRGLPALNASLQSSIGTMIVHQAPNASLQPSVGTMTGPNQVFFQQQKPT >SECCE7Rv1G0462020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:42000225:42005699:1 gene:SECCE7Rv1G0462020 transcript:SECCE7Rv1G0462020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGETATVQFSRCDEVPDFEFAFNSKAFSNIVLEVEVIAADDGGGRSLPDSASQEEADEGQSIDSSSTMAGKPVSAVNALHINSAILAARSPFFQKLFTNGMKESDESHPRIKIADSEENSLMELLRFMYSGKLTTIEPTLLLDILMAADKFEVPACMRYCSQLLISRPMTTESALLYLDHPCSILMADEVQSLVHAAKGFLANKYKDFDKFQDELMNISLVGLEAIFSSSDLHVLCEDVVYYFLLKWARVRYYYSEEERRKVLSYRLLPLVRFSNMSCDALQKALTCEDVDIDHEYLTKHIAKVLLQKAYPNQMEGALAANVTTCWQFAERAYDFKLVRVVEFNQPCPQVTVYMDLKHDECSRLFPSGGIGSQMFHLAGHKFCLLANCNMVEQATSYSFALSLHTFDEPAGSICLDIEFAARTKPLGKFVSKYGYKNTMTGDWSLECGDLFGMPWSTFIADDSLFIAGVLHLRADLTLVEEPELQT >SECCE4Rv1G0226440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:109856310:109856942:1 gene:SECCE4Rv1G0226440 transcript:SECCE4Rv1G0226440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAVLDEAQRRRRQSVYEFLAATKPARAQALRWCETAREMRRIDGDMKEAGQLLRGALSCVKDYASVYRTWIAMEMDGGGGVGVARWLFEEWGTVCAKDGNLRKDDDGTTADEYGDYWCAYLAFELRHGDARRARTVAARAVKACPHDASLRDTVELRLSDAIEIEQQRRHRSGLLRTAKKWLTNSEQSRGCSSLVPRPPQGYRRLLSG >SECCE3Rv1G0175670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:430310075:430310431:-1 gene:SECCE3Rv1G0175670 transcript:SECCE3Rv1G0175670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFAPIYIYLVISQLVSLIPLGVPFTFASNSSTYLEKLSAYECGSDPSGDARSRFDIRFYLVTILFIIPDPEVTFSFPWVVPPNKIDLFGSWSMMAFLFILTIGSLYEWKRGAPDRE >SECCE2Rv1G0119050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:788105356:788113387:-1 gene:SECCE2Rv1G0119050 transcript:SECCE2Rv1G0119050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNETAEVHGGHELVLASSMTTVKPALPMHEHRLPLSNLDLLLPALDVSVFLCYLHPAPTASALKEALAEVLVTYYPLAGEVVANAAGEPELLCSGRGVDFVEATADGTQLQEVRLALPDESAEKLVPAKEAGVISAQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAATRGGFAPPAPFFDRSVVAPRDPSPRTYTTDALIDRLFSPLSSVPLPSASAAATSINRIYHVAAHDVAILHALAGPGRTKLEAFTAHLWQLCSMAASGHQRLCCMGVVVDGRARMLPNGAMKAYFGNVLTIPYGVIGVDELRRSMTLADVADDVRRWVREAATGEHFRGLIDWVEALRPKPAVARAYLGGTGGSEAMACIVSSGMGFPVGEADFGTGLSAFASYHFPWPADTGYIMPMPSARGDGDWVVYVHAVPELVKVMEEEPSVFKALENSYVFG >SECCE5Rv1G0359400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:761628951:761631303:-1 gene:SECCE5Rv1G0359400 transcript:SECCE5Rv1G0359400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKASLSPYFSPPSSLAAATAASPPVKPVFVRALAAASVDPAMATPAVPGRHAVRARAAATALAPAPVPAGNGGLSVAKAMSRARDNGMTAFIPYITAGDPDLATTAEALRLLDACGADVIEVGMPFSNPYADGAVIQASAARALAAGATSDTVMAMLKEVTPELSCPVVIFSYFNPIERRGTGSFTAAAREAGVRGLIVPDLPYTEASVLSIEAKKNEIELVLLTTPSTKAERMNEITAASEGFVYLVSINGLTGARPDVNPRVKDLLREIKQVTDKAVAVGFGISTPDHVRQVAQWGADGVIIGSAMVKQLGEANSPREGLKNLEVYARSFKDALHAVICTSSVN >SECCE2Rv1G0123670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:826137871:826138644:1 gene:SECCE2Rv1G0123670 transcript:SECCE2Rv1G0123670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLPPSSSFLPPSPPSQLSAVSQQVLEFVSQEIPEQWLFDDGTMSQTQNEDRELCPTGRTLLRSAELSELPPSLPATLQCQTKRRGRKPGPRSDGRHRVSHVQAERQRRDKLNRRFCDLRAAVPNVSRMDKASLLADAVTYIAELRSRVAGLEGEAHKELEQSSVAASMFLQVDETVDVRMVGSGAAAVRVATAASHAPAQLMGALRSLELQVQHACVSRVQGVTLQDVVVDVPPSMQDADGLRSALLQTLQDSA >SECCE4Rv1G0291050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875197502:875199028:-1 gene:SECCE4Rv1G0291050 transcript:SECCE4Rv1G0291050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVPSTPTTPAPINFLLPVDSEHKAKSIKIFSFGNPHMRAFHLGWMSFFTCVVSTFAAAPLIPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGAICDLLGPRYGCAFLVMLSAPAVFCMSVIDGPSGYITIRFLIGVSLATFVSCQYWVSTMFNSKIIGTVGGLTAGWGDMGGGATQLIMPLVFDAILACGATPFTAWRLAYFVPGMMLVVMGLLVLTMGQDLPDGNLRSLQKNGDMNKDKFSNVLCGAVTNYRTWIFVFIYGYCMGVELTTNNVIAEYYYDSFHLDLRAAGTIAACFGLANIFARPMGGYLSDLSARYFGMRARLWNIWILQTAGGAFCICLGRASSLPTSITCMVLYSICVEAACGAVYGVIPFVSRRSLGLISGMSGAGGNVGGGLTQFLFFTSSQYSTGKGLQYMGIMVMACTLPVALVHFPQWGSMLLPPTAGATEEEYYAAEWTEEEKSKGLHNAGIKFAENSVSERGRRNAILAVPATPPHDTPQHV >SECCEUnv1G0530820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:14188255:14193449:1 gene:SECCEUnv1G0530820 transcript:SECCEUnv1G0530820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPEEFARYATAYVVEEEEEEEEDPEEVEPWVSSDSEPDEHPAPNSRNPSPNSEPEQPPPRPPLPPAPTNSASEVAGEGQKAAPWPGFPGASVFRLVVAGDKVGGLIGRRGEIVRRLCEETRARVRVLDATDGVASRIVLISATEETQAEVAPAMDAAVRIFKHVNDIEGINPDVTLSASAPEICSARLLVPKAQAVHLIGKQGTMIKLMQETTGATVRIIDQDDLLSNQMVVERIVEIRGASLKVLNALKSVLELLRKFLVDHGVLHLFERKNQAVAEVQDSSEENQVAVTNGYALPVNQDLLLSDSRSPLNTNGSRYLSYGRDPSVCDPYSSDIRHPAVPLIPKITQTMQIPLLQAEEIIGVRGQTIAHVRSVSGAVIVLEETGNYLDEVLVSIEGTSSQVQTAHQLIQEVLLGDGEPLPPRSCGYGNPDAGPVRPPFALRGAPASPEYAPLLYRDYRSSSSTVRHCHSAAYHGYRL >SECCE2Rv1G0115350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:749271696:749272170:-1 gene:SECCE2Rv1G0115350 transcript:SECCE2Rv1G0115350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTLKEEKNGNFGREFVKCESKPEGQIMKKCHHFEWMDDYIQRLQGLGLLDSRGNAIHEFNLPHDSAAPAAVARPEYPTVVDVELKTKLKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE3Rv1G0181750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:617793594:617797829:1 gene:SECCE3Rv1G0181750 transcript:SECCE3Rv1G0181750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVKGFGLLLLLVLLALCSTIDVCDARRGKHWRPRSSPSSSLLKKKGKAKKGSSHRQHGGNRRSPPPAPPGVGKGHQTPYQPSPNVPVSPSPSPSPKPSPTKGNGPTSPQPPSPSCGKGRQPPPQPPPAASASPGAVFNVVDFGAKGDGVSDDTKAFQTAWAAACKLGASTVLVPSELEFLVGPISFSGPYCKPNILFQLEGTILAPTNAKAWGSGLLQWLEFTKLSGLSIQGSGTINGRGQEWWTYSDPNDDEDDDKQYNEELERMPRVKPTALRFYGSSNVVVAGITIVNSSQCHLKFDNCQGVLVHDLTISSPENSLNTDGIHLQNSKDVSIHHTNLACGDDCISIQTGCSNIYIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMTGVRIKTWQGGIGLVQDIRFSNIQVSEVQTPIMIDQFYCDKRTCTNQTSAVAVSGVQYENIRGTFTIKPLHFACSDSSPCSGITLTGVQLKPVQIAHYHLNNPFCWQAFGELFTPTIPPIACLQIGKPAGNNLQSYHDIC >SECCE7Rv1G0474540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:172935705:172954056:1 gene:SECCE7Rv1G0474540 transcript:SECCE7Rv1G0474540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MLDTKFGFPPSSSPAAAPPSRAPATGAAPNLKRRRREGEADADADMREEAVERLRGVVRDSVGKHLYTSAIFLADKVAAATGDPADVYMLAQALFLGRHFRRALHVLNNSRLLRDLRFRFLAAKCLEELKEWHQCLMMLGDAKVDEHGNVLDQDDGSDIYFDKDAEDHEINIKSAICFLRGKAYEALDNRDLARQWYKAAVKVDPLCYEALECLVDNYMLTCEEESELLASLKFGKEDGWLSAFYSCLIRKHEKEYVVEAKFKELERESCSISSSSGQMMKNNIDVLACKAEYYHQSGEYQKCFELTSVLLERDPFHLKCTLVHLAAAMELGHSNDLYLLSCNLVKDYPQKAISWFAVGCYYYCIKKYDQARRYFSKATGLDGTFPPAWFGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYVRMHNFKLAEQFFMQAKSICPSDPLIYNELGVVAYNMKEYQNAVQWFELTLTHTSSSPNEMWEPTMVNLGHALRKLKQYKKAISYYEKALTFPTKTLSAFSGLAYTYQLMDDFEAAITYYHKALWLKPDDQFCTDMLTLALETSCQSSAGRK >SECCE5Rv1G0308730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:136866696:136867623:-1 gene:SECCE5Rv1G0308730 transcript:SECCE5Rv1G0308730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVREETRKGPWTEQEDMQLVCTVRLFGERRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGRMTPHEERLILELHARWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQERKRNVSPSSSSSSVTYQSIQPQTPSIIGIGEQELHGGSSCITSILKGTPADMDGYLMDQIWMEIEAPSGVNFHDGKDNSYSSPSGPLLPSPMWDYYSPEAGWKMDEIKMAPQVSYSKGTGPSY >SECCE4Rv1G0220290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:37406625:37408389:-1 gene:SECCE4Rv1G0220290 transcript:SECCE4Rv1G0220290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCAGEKEEYHGPPAGNLATPPPRAPAQARGPNAPRNGVGPAKVLPIDVPAITLAELNRLTGNFGARSLVGEGSYGRVYRAKLATGETVAVKMFDNSGSGQPEAEFCAQLSVVSRLKSDHFTRLLGYCLELNNRIVLYEFATNGSLYDILHGKKGVQGAEPGPALTWSQRARVALGAARGLEYLHEKVQPSVIHRDVRSSNVLVFDGHEGKIADFNLTNQSADTAARLHSTKVLGTFGYHAPEYAMTGQLTHKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCIDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLVGARPGGGDH >SECCE5Rv1G0368320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:827962621:827964287:-1 gene:SECCE5Rv1G0368320 transcript:SECCE5Rv1G0368320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRAMTRAEAAWLRYSASMPDHLLYCHNVAILLLIYTLAPLPLALLELRARAAPDGAAAAVMRPSTYKLQPRAQLSPAAFLRCYLDTAHVLLLTVGTLSLVSYPAVKMVGIRTGLPLPSAGEVAAQMLVYLLVEDYLGYWIHRLLHTRWGYDNIHRVHHEFTAPFAYAAPYAHWAEVLVLGVPAFTGPAIVPCHMTTLWLWFVLRHLEAIDIHSGFNFPFNPTKLIPFYGGAQHHDYHHRVGGQSQSNFSSVFTFCDYLYGTDKGYRYHKASLEKAC >SECCE6Rv1G0449160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:860346935:860347948:-1 gene:SECCE6Rv1G0449160 transcript:SECCE6Rv1G0449160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVASIDITDSDLLSEESMWALYERWCEHLSVDCDPGDKARRFNVFKENARMIHEFNQGDAPYKLSLNLFGDMTDEEVDHMYGHCTNLTSGGGNRHQGKFTHDVVVARDDLPKYVDWRMMGYDQRPSAVTSVRRQNGCRACWAFAATAAVEGINSIRTRKLIPLSAQQLVDCDKKNFGCRGGNAQLAFKYIMENGGITSDANYPYVAFEQGRCLVPNKNPIITIDGFKRVPPNDELALMQAVASQPVVVVVDPSGFRRYGGGVFVGPCGTNLTHEMAVVGYGTTDEHDPERRVDYWIIKNSWGAKWGEDGYIRMARGITKEGLCGILMEPSYPVKK >SECCE6Rv1G0447540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850782657:850790188:-1 gene:SECCE6Rv1G0447540 transcript:SECCE6Rv1G0447540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFASPFKGVVQDVKGRAAWYKDDWLAGLRPGFRILAPTLYIFFASALPVIAFGEQLSHETNGMLSTVETLASTAICGIIHSVLGGQPMMIVGVAEPTVIMYTYLYSFAKKQQSIGEGLYLAWAGWVCIWTAVMLFFLATFNASNVISKFTRVAGELFGMLITVLFLQEAIKGIVREFGPPKGVDDGSPIHQFQWMYLNGLLGVIFSTGLLYTALKTRRARSWLYGLGWLRSFIADYGVPLMVIVWTAFSYTLPSKVPSGVPRRLFSPLPWESSSLQHWTVAKDLFSVPPAFIFAAILPALMVAGLYFFDHSVASQLAQQKEYNLKKPSAYHYDILVLGFTVLLCGLIGIPPSNGVLPQSPMHTRSLAVLRGQFLRKKMVQTAKESVVNRASRMEIYGKLHDTFIEMDSEQNMGSVDMELKSLKDAVLRDSGEDGKPAAEFDPRKHIEPHLPVRVNEQRLSNLLQSLMVGGCVAAMPVIRMIPTSVLWGYFAYMAIDSLPGNQFWERIQLLFVSSSRRYKVLEGHHASFVESVPSRTTSAFTIFQFVYLLICFGITWIPIAGILFPLPFFLMIAIRQHLLPKFFEPSDLRELDTAEYEELEGFHHEGEAGSNLGSCRTSIDAELFDELTTNRGELKHRTVSNREERHLQVHSNAVQPSV >SECCE5Rv1G0359960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:766832397:766834478:-1 gene:SECCE5Rv1G0359960 transcript:SECCE5Rv1G0359960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTTTAWGSHRQQFTALLSSAVLEWVLILLMLLEGLVSYLSTAFARICNLPPPCPACARLDAVLGGARPGSSYRDLLCSSHRAEGPSSWAFCHDGGGMGMGIGEVCSRDVSGGRRVVGDEIDRTGYSELRASDSESEPRRRSPEDAAAIDRLKEQLSFGQPQTQVADSFPLKIQNGVPDKLQSEDPRELGSIEANIQSADLPTKDEEPRTKVADIVPQSEVQGGKLHSEDPDNIQTSDLPTKDEEPHTDTGDHKPEEDEWHNADDGELSETKAAADEPDPEFSDRATTRQDSLRVHQHLKLLLSQLSTSSSSFRTPDSPSVQEQQHEQAVLRNITRALSLQRNYSGVSDGSVVDTEAEEYSTVGELKRRVELDRRSMALLWKELEEERSASAVATSQAMAMITRLQEEKAAMRTEAAQYRRVMEEQSAYDRDDAERLAGVVRELEAEVEGYKARLRDHEIVGEIRDHMRLPPCQTQGESGGVSGPAGEEFSGGGSEDDENARAWKQLRRLTDRLHRLSNNSSGIVQEPEPTDVEQEAEDGGGKEEDTTEASVVGRRVRNGDNFTKWQHLQSIETTSKGSTHGHGHRGDHGGGEGDDTATLEEEIGELSRRLQALEADRSFLEHSVNSLRNGRDGEAVIHDIARSLRELRKTLGDQDTIAS >SECCE4Rv1G0228620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:137660447:137662573:-1 gene:SECCE4Rv1G0228620 transcript:SECCE4Rv1G0228620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIPIPIAMLPQKSSSFSQATIREEKLGRNLSLGAIKLNEHIERAKKDAAEAAGDKKAAAGGGEGGPADGSGVGETPEPPPHEEPDLAELSAEVDAFLASRDGDAPLSISEVTLDRFASAVEVGIAQSEGEEGRWALGEGGEQPLLLAAIKRIVTLASALTATGGGNGTEGAVKYTIGVHRVTGVLHRSMTFLEDEFHALLDDPHLAKTPNASDSGSATAKSMRRPPSFGHGGDPDRSVVPSTEGGSAGDDASQPFPAETVDHLRAMADIMITAGYETECTQVFLVARRNALDSTMQSLGYEKASIDDVVKMAWEGLESEIGTWIKAFRHILNAGLSAERDLCVRVFADRNAGLGRDIFADLARCAMLQMFNFTEAVAMTKRAAEKLFKVLDMYEAIRDGAPVVDALISTNNAEGGENSSGAEALADLKSELASVRSRLGESAAAIFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLKYACEYKNTLEQVFREFHRPDADDAPGHEGESNPFAAQLMEVMELLHGNLEAKSKLYKDLALSSIFLMNNGRYMLQKIRGSPEINAVVGEAWARKRSTDLRQYHKNYQRETWGRVLNVLRDDGVITVKGHVQKPVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFAQHFTAGRQTEKYIKLSADDLEGIIEELFEGSAGSMTRRRN >SECCE6Rv1G0405010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:525597254:525611688:-1 gene:SECCE6Rv1G0405010 transcript:SECCE6Rv1G0405010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MEEEEHRGVVLACSVCGFLFAVLGPLSFWVLWAVNWRPWRLYSWIYARKWPAYAQGPQLSTLCSFLTLFAWLVVISPIAVLLVWGSILIALMERNITGLAVIMVGVALLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYEFCAVYVTAGASATEINSPSGFFFGLSAISLAINMLFICKILFNGSGFDVDEYVRRSYKFAYSDCVEVAPVSCSPDPPDPSELYMTKSSRVLHLGLLYLCSLVVLVVYSILYGVTSKEARWLGALTSVAVVILDWNLGLCSFRFELLKSRTLALFVAGTSRVFLICFGVHYWYLGHCISYAFVASVLLAAAVSGWLSISNPSVARIDALRSTVIKLREGFRRKGQNSSSNSSEGCGSSVKRSSGSVEACQHGNTTDSIYRSNSQSDGVNWNSVPFDRSNSCQEGRSSDKNIDSGRASLAHRSNSCLSAVQDSETATISADRHGDTTASLVVCSSSGLESQGCGSSGSATASGNQQLLDSNLAAIFQDRLNDPKITSMLKRNGGLGDVELANLLHDKGLDPNFSYMLKDKVMDPRILALLQRSSLDADREHQDDADATATEELDTTIANQISLSEELRRNGLENWLNISRMIFHQVAGTPIRSFVVFTLIFIVETVTVAVHRPEPIKVINAIHEQFEFGFSILLLSPVVCSIMAFTWSLRAEEMMMTSKPQKYGFIAWLLSTCVGLLLSFLSKSSVILGLSLTVPLMVACLSFAIPIWMRNGYRFWIPGGELDNRENIRQYPGKKEGTLFAISIVVFIASVIGLGAIVSAKPLDALGYRGWDANKKSFYSPYATSMYLGWALSSTIAVLVTGVIPIVAWFATYRFSPSSAICVGLSATVLVSFCGASYWGVVNSREDGIPLKADFLAALLPLLCIPAMFALFTGLYKWKDDDWRISRGVYLFIGMGMLLLLGAISAIIVTIRPWTVGVACLLVILFLAFAIGVIHYWKSNNFYLTRTQMLLVCSLAFLLVLAAFLMGLFQGKPFLGASIGYFSFLFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASQIMNPPFVGAAICASTLVIAFSFAVSRPCLTLKMMEDAIHFLSKDTVIQAMSRSANKTRNAISGTYSAPQRSASSAALLVGDPAVTLDRAGNFVLPRADVMKLRDRLRNEEVTTGSFFCGVKNCFMVFPGSPADVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRQFELIQESYIREKEMEEEVLMQRREEEGKGRERRKALLEKEERKWKELEISLLSSIPNAGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIALHIRKAQLARRAEQTSIPGAVCVLDDEPRSTGRHCGEIDLCLCQSQRVSFSIAVMVQPVSGPVCLIGTEFEKKVCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHIVTVTIDADLGEATSFIDGVYDGYQNGLPLPRNNGVWEPGADIWVGARPPTDLDAFGRSDSEGTDSKMQIMDAFLWGRCLTEDEIAALYTTMSPAEYGFFDLAAEDAWHGSYSARVDDWESEEANYELYDQEDVEWDGQYSSGRKRPVRDAVAIDIDSFARRPRKPRFETREEVNQRMLSVERAVREALSAKGERTFTDQEFPPDDRSLYVDPGNPPLKLQVVSEWMRPSDIAKEISISSQPCLFSGSVSSSDVCQGRLGDCWFLSAVAVLTEMSRIAEVIITPAYNEEGIYTIRFCIQGEWVAVVVDDWIPCESPGKPAFATSRKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLHFNQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQIREVDGHKLVQIRNPWANEVEWNGPWSDSSQEWTERIKHKLKHVPQSKNGVFWMSWQDFQIHFRSIFVCRVYPPEMRYSVHGQWRGYSAGGCQDYDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGMRILKTRGCRAAYNIYMHESVGGTDYVNSREISCELVLEPYPKGYTIVPTTIHPGEEAPFVLSVFTKAPIKLEAV >SECCE7Rv1G0523900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884000244:884002319:-1 gene:SECCE7Rv1G0523900 transcript:SECCE7Rv1G0523900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLLDFWINWASQIGVLFSLACQIILHLFANARRHSNSITHRIPLWLAYQLADMTATYAAGQLLYSSSSPQDHQLIAFWAPFLLLHLGGPDNITAYALEDNKLWKRHLLSFGVQVAGAGYVFYKHIAGSEIFFTLAATLVLVVSVAKYLERTCALWSANFSSLQSSFKVQARDKHHKHFYIEHQDWCNDLEDELVLQRAHSLFHICKRGIVDSVVEVDPDSPTEVESEEKEIIRGLRGNPEQMWRVMEMELSLMYDILYTKAGVIHSMVGYCIRVISPLAIAASLVLFQLSSKDSYSQVNVDITYTLLGGALVLETKSLLGALGSSWALAFLCTTRWDWLRHSALCTGRWHQLRRAVISLRRSWPGKIIMTGSSRGWSGTMGQHNILRFRAGQVDPMSRRLGNLFKMLRLDEQWDKRYYSCTVVVPENVMKCAQMVGRWVSRDDINTMGVLRHKWVEFHESIICWHIATDLILARVEKEKGHFAADSLVQIVRALSNYMMFLLVNSPYMLPGLPQNWLYKQTCYNLDKICDEYGLVGSLGDSFWTMLKKLLRLHHHRVLESSGLEKKLADVILELSKGHTGSGSETPRLWYARSIANIILDSEVVDDKVRLLLDLWVDFLAYAANRCIRESHAQKLSTGGELVTIVWLILEHLRYLKEDPNQQHGHV >SECCE4Rv1G0218750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:24446634:24447947:1 gene:SECCE4Rv1G0218750 transcript:SECCE4Rv1G0218750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHETDKNIEMWKIKKLIKGLESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNRVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALSELLESDDKFGFIIMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQVKILNVVDVSYGGESGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLMVWENLDVNRYVLKHSATGEIIIKHLNKETESDQSNFRDPSTNAELEVQEKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDDLDDDEGVYEDSD >SECCEUnv1G0528040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3131676:3135459:-1 gene:SECCEUnv1G0528040 transcript:SECCEUnv1G0528040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLALPLLLFYSPILCSSSVDVQDNDPSKLFKSASEMMSLRKYDGALGLLNAVLELDPNHSEAYRQRATVLRHRCRHKEAESDYNKYLEMKPGTASVEKELAQLLQAQNALESAYTQSDAGEFSKVLEYVNKIVLVFSPGCLKAKLLKAKALLALKDYSNVISETGFILKEDEDNLDALLLRGRAYYYLADHDVANRHYQKGLRLDPEHSELKKAYFGLKKLLKKTKSAEDNAAKSKFRVAVEDYKAALAMDPDHTMYNVQLHLGLCKTLVKLGRGKEAINTCTEALSIDEELVEALSQRGEAKLLTEDWEGAVEDLKEAAQKSPQDMAIREALMKAERQLKLSKRKDWYKILGISKTASAADIKRAYKRLALQWHPDKNVENREEAENMFREIAAAYEVLSDEDKRVRYDRGEDLEEMGMGGGGGGFDPFGGGGGQQYTFHHGGGFPGGGFPGGFQFNFG >SECCE6Rv1G0419960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:674406166:674408640:-1 gene:SECCE6Rv1G0419960 transcript:SECCE6Rv1G0419960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLVVLLVLLLLLPATRVSAQEQQQPPPPQEVVQPGCRDKCGNITIAYPFGIGAGCFRDDGRGGFQVLCDDSLPVPRLTVADYGIQITGLSISTGEAQASLNATRHCYNSKGGIISQGGPVAVPLVGSNLLFSATKNRLVVLGCPNLGYFNDVDGYYVSGCMAVCRPRKSTASGSCTGIGCCQSMIPPAVDYYQPYLLDIPKSKGDPIFYVNSVTCRYVFLVEATWLHTNHTSKNHSQTDDFAVPVVLDWAVRNMENCRAARKNATAYACRSMHSQCVNSKNGAGYRCGCSRGYEGNPYLYDGCKDINECERKGKRACYGVCTNTPGSYTCQCPPGTSGDATVKNGCRPKDSFTLALKVVTGVSLGVFLPLFMCFWLYLGLQKRKLIRTKQKFFELNGGLFLQQQIHNYNGNSKGTGGFKIFSKEELEKATKNFAADRVLGHGGHGIVYKGVLEDKTVVAIKRSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLESEVPMLVYEFVSNGTLYHYIHGGKGTNSDTAFGTCLRVAVESAEALAYMHSSASPPIIHGDVKTANILLDDKLTAKVSDFGASKLAPTDEATIATLVQGTCGYLDPEYLITCLLTDKSDVYSFGVVLLELLTRKNALYLDGSAKNRSLVLCFTMAVKVGQHQELLDRQVRDEMRIEVLEEITHLVIRCLNMSGEERPTMKEVAERLEMLRRYQHHPWAQADANPEEEQRLLVMNQQNVNYKFTQDYILDF >SECCE4Rv1G0249700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:585768723:585776209:-1 gene:SECCE4Rv1G0249700 transcript:SECCE4Rv1G0249700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) UniProtKB/TrEMBL;Acc:F4K790] MSPAAAVAGADETRRLAGEAARVLDECRASLAVHPRKLRELSALRASSASGGRFLPAFCDALTPLFEVLRRSPASDRVARFVAAFASSSASAAADGTAFLEGFLRFLLVASAAAHRPARFRSCQIISDIIMQLPDDAEVSDEIWDEVIDGMKIRVQDKIPAIRVFSVRALSRFAIDEEDGGIIDIFLETLEKEQNAEVRKTIILSLPPSSATLESIIESTIDTSESVRRAAYSVLSTKFPLQSLSIKQRTTLLHRGLSDRSPSVNNECLRMLKEEWLLKHCGGDVIALLRFLDVETYELVGESVMGVLLKDGAVRVQDGQTIRQYFTANTEDAEQVSSIKLMDAEVALYWKVMCMHLQAEAQVKGSEAATTTGTEAAVYASEASDKNDLLDGVLPSTVAEFVDLVKAHLSAGPNYHFASRQLLLLGGMLDFSDSMNRKVASSFLYELLNRPLEHEVDEDGNQIAIGDGVSLGGDLQWAKAVSELAKKVHASIGEFEMVIATVVEELARPCRERTADFMQWMHCLAVTGLLLENTSTLRSLEGTAIRPSEVLLSLLLPAAKQKHVDVQRAALRCLCLFGLLENKPSTELVKQLRLSFINGPDLVSAMASKALIDIVSWHGPQELDQAIGTEPSDANYEKTQFAPVDISDLNDDDLNVGVLSILFSGLHKDDWEFSPEGDNHDNVPTILGEGFAKILLLSENYPSISADLHPVILAQLVRLYFLEESKELGRLKQCLSVFFLHYPSLSEKHKRCVSRAFVPLMRAMWPGIHGNAGGSGPVVSKKRKQAVQAARFMVQMVQSPLFSTETAEQACMSPESLSSSPGPFVNYDISEEGLAIRIAVEVGNSQDKKSAPMKAYALALCKVAVLLRFRQSEQKAIKCMRGLVNTLAASAASDKDLMKELAQMASRLKSLDESPEEELPQDQADAIFEQLGLAGGVKLDAANTVVPPTPAPSRSVRAPPARRRARRAASSSDDSEAEAEGESLDVTSVSQVKATPSVTTARAQRASKTAALSKFKSSVKPSLEAPSDSEQDEMSGLTTDEDPSDDSDDPDEESS >SECCE5Rv1G0330110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:524759991:524765312:-1 gene:SECCE5Rv1G0330110 transcript:SECCE5Rv1G0330110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAIVQSLCRKLRSTIAVGDKATGDLQEIMETMEAIHPLLEDAERRCLRIKDDVPKEGSKEIMGTPEAIQLLLQDAPQQMEGKKVRDWVRRVLKAAYDILDVMQDTVIPAAPARKLTKMIPRANAPEKKNLMAGKMEKIIEEVCKLRVDMDEFNLMPSDSDGTIEPQVIDVKEVEEPTIVGRDKQIQMIMASISMKEGQHIIVQIGWQQDGNGKTTLARMVFNHSRFKDYSRVWFQCSGNFHLQEMGKAIISQVSGEEINGDSNDNMEYVRKRLHELFYNGIKVLVVLDDILISRDDCEELGRMLMERDNHSEVILIATGNENTYILMNEIDIDSNFVPVSALSDDMCWTIIKQRSHFEDRSFDKQELEQIGAEIAKKCDGSPLAAAVLGGMLLYKDATGWAEVLNSDVWVYDCISKPFLMLAYTSMPPSLRLCFTYFAMFQYGHTIAKDDLIYQWISLGLIEQSDRFSAIQLGDQYITMLLGMSLLQTTMPVSINKSTVLFTMNRMTHNLAKDIMCDIYDTMETSFQQELCTNKDPHYVLLTDLKLSSKLSAHIRALRCAGTGKLELSDDSFSSCLRILDLNDSLMLNLPSSICQLRHLGYLNLSGCSGLVILPESLGDLLNLLYIDLSGCYGLRNLPDSVGKLINLAHIDLSGCYRLVNLSESFGKLTNLLHIDLSRCSGLVNLPDSFGKLTSLKHIDLSDCSGLEKLPQSFGKLTNLVHINLSRCSGIVTLPPLLGKLIYLLHINLSGCSGLVNLPESFGDLINLVHINLSGCCGLLNLPESFGNLVNLLHIDLSCCHVLGKLPESFRQLKFLVHLDLSFWSCFEGVEKALGGLTNLQHLNLSHPCCYLAQQQSCLEGLKDVLGQLTKIEYLNLSMFLNPIFYSQSVERTHEVIECISHFSSLEHLDLSHNRFLHDLPENLGELNNLQTLDLSGCIRLKSIAKRIVEIRSLKSINVRNCRSLKSCQLVVNDDNGGAYGSRNILQLEDANLEVLEISCLENVKSTEDVERIREVLIRKAQKLKLCWTVGSQGSMEGNTLLGQLLPPHLECLELHGYNGVTCLSAWLTSTICSHVPTLVEVIIEGIPRCSRPPPLCLLLNLRRAVFRRMASITRFDVNDLFDGNRAALHRLPKFTLELDDMESLEEFKTTCSRSGKEYVLPAIGELVITGCPKLCFGPFTPRAQRLVISDCSKLMVYSWWNRGGHSVEIPCTSYLVNELVVKNCNLALYNWTLLHSLPGLYSLTVKDCRDLKILPHTTEALSSLQSLCLTNCWIGPLLEHLGDLTSLRELKIMSCNMFWGFTRSLPRLTSLGSIHLIDCNRITSLPEWLGDLTNLEKLAIHRCPAIESLPGSINKLTNLKDLHILDCPKLKGWCEREENKKIMAHIRPNYDEEHGTSRPGNMDEGCEEGELEDWLDGVEEEPEAEAVSTDAVENSVATGNTDVVAEEKSEAVLTDASKNSAGTDKEDVVAEAEAVLPDAVENSVVTGKADTVEEVTRDVDAAAAADVCLELRLGLPSNEEAVHIRDEDEISLRLYL >SECCE3Rv1G0177510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:468575040:468579896:-1 gene:SECCE3Rv1G0177510 transcript:SECCE3Rv1G0177510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARFVALAALAVVCLSLLGLASAADDGEVRALLELKAALDPTGRLLPSWAPGRDPCARGGFEGVACDGSGAVANVSLQGKDLTGTLSPAVAGLRALTGLYLHYNALRGGVPRELARLTRLTDVYLNVNNLSGPIPPEIGAMASLQVLQLCYNQLTGSIPTQLGLLNRLTVLALQSNHLNGAIPASLGDLPELRRLDLSFNHLFGSIPVRLARLPQLAALDVRNNSLTGSVPSELAKLEAGFKYGDNTDLCGTGLPDLRPCTPADLIDPDRPQPFSAGIAPQITPDGAHGHCTGAHCPPSTKALAAVVVVAVILLAATAAGLLAFSWRHRWRKQRMAASAPPMTTVGGRCSTEGEASKESFRKSASSTLVSLEYSNGWDPLADGRSGVGFSQEVSPSFRFNMEEVESATQYFSELNLLGKKNSKSKGGAGSSFAATYRGTLRDGTPVVVTRLGKTCCKQEEAEFLKGLKLLAELRHDNIVGLRGFCCSRARGECFLVHDFVPNGSLSHFLDVAGEVGGGGALGHGGRVLEWSTRVSIIKGIAKGIGYLHSTRANKPPLVHQNISADKVLVDYTYKPLISGSGLHKLLVDDLVFSTLKASAAMGYLAPEYTTVGRFSEKSDVYAFGVIVFQILTGKSKTMQLPFESGNVHDLIDGNMKGCYSATEAANLIDGNMKGCYSATEAAKLAKIALACTSENPEQRPNMEELLQELDTL >SECCE5Rv1G0358090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:753507796:753513512:1 gene:SECCE5Rv1G0358090 transcript:SECCE5Rv1G0358090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase I, Photoperiodic control of flowering time, Long-day repressio [Source: Projected from Oryza sativa (Os03g0793500)] MPELRSGVRRARLRSSRLEDVQAADQVATPVLPAPRGRGGRRGGGAAGRGNKKAAAAGRARPAPKARGKRVQAIDLTDQPFEDIPEAIVGEAVAATAQQDLALNKVADRAANFKMEGASGDRLAAAEDEATTTPVPERVQVGGSPEYITDRKLGKGGFGQVYVGRRITGGASRTGPDAYEVALKLEHRRSKGCSYGPPFEWQVYQSLNGCYGIPSVHYKGRQGDYYILVMDMLGPSLWDVWNSMGQAMSSHMVACIAVESISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASKWKKASSSQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGFQGDNKSFLVCKKKMATSADVLSCFCPPPFKHFLEMVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRMVVNLEEEEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISCVASSANFWALIMDAGTGFGSQVYELSQIFLHKDWIMEQWEKNFYITAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMGTAGNRWGVVMSRNAGYSDQVVELDFLYPSEGLHRRWESGYRITSSAGTPDQAAFILSIPKRKPLDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTAC >SECCE5Rv1G0320700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:391163951:391174853:1 gene:SECCE5Rv1G0320700 transcript:SECCE5Rv1G0320700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVEMGDEEQGQDGLAVDDPEKKTSAASGRLQLSPSSLREALSCTSSLSLREQHHDEEAELRWAAIERLPTWDRLHTSLPLHANANANANGAPPLEPVDVRRLGAADRRELVHTLIADIHKDNLRLLRDQRRRMDRVGVRQPTVEVRWRNLRVDAECQVVHGKPLPTLLNSAISTLSLLTTMLGFNRNQEMIHILKDVTGILKPSRMTLLLGPPGCGKTTLLLALAGKLNKNLKVTGEIDYNGVKLQDFVPEKTAAYIGQYDLHVPEMTVRETLDFSARFQGVGSRAEIMKEVIRREKEAGITPDPNIDTYMKAISVEGLERSMQTDYIMKIMGLDICADVQIGDAMRRGISGGEKKRLTTGEMIVGPSKALFMDEISTGLDSSTTFQIVSCLQQLAHISESTILVSLLQPAPETYQLFDDIILMAEGQIVYHGPKSCIMSFFESCGFKCPERKGDADFLQEVLSKKDQQQYWSRTEEGYNFCTVDQFCDKFKASQTGQNLAGELSKPYDESERHKNALSFSIYSLSKWDLLKACFARELLLMKRNAFIYIAKTIQLGLLAVITGTVFLRTHMSVDRIHANYYMGSLFYALLLLMVNGFPELAMAINSLPVFYKQRDDYFYPAWAYAIPSFILKIPVSLVESIAWTTISYYLIGYTPEASRFFSQLLVLFLIHTVTLSMFRCVASYCQTMVAGSVGGTMAFLATLLFGGFIIPRSFLPNWLKWGFWLSPLSYGEIGLTGNEFLAQRWLEIKVSGVSLGRRILMDQGLDFSSYFYWISIAALLGFTLMFNVGFAIGLTIKKVPGTSRAIISRNKLTTFDGRDQDNNVEKRMPKLQAETALSPNRTGRMVLPFTPLIISFQDIKYYVDTPAEMREHGYMKKKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLSGRKTGGVIEGDIRIGGYPKIQQTFARISGYCEQTDVHSPQITVGESVAYSAWLRLPPEVDSKARNEFVKEVLEIIEMDEIRDSLVGIPGVNGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIDIFEAFDELMLMKRGGELIYAGPVGHHSCEVIKYFQAIPGVPRIEDNYNPSTWMLEVTSTSMEVQLGVDFAQMYRESSMCKDKDMLVKRLSIPVPGTSDLHFPTQFPQKFREQFKACLWKQCLSYWRTPSYNLVRMVFLTVACVFFGVLFWQQGNINNINDQQGLFTIFGCMYGITLFSGINNCQSVMPFVSVERSVVYRERFAGMYSPWAYSFAQIAMEIPYVLVQVVLLMLIAYPMIGYEWTAAKFFWFMYTMFCTLLYFLYLGMLMVSLTPNIQVAAILASMFYTLQNLMSGFIVPAPQIPKWWIWLYYISPMSWTLNVFFTTQFGDDNDRMIVVFGETKLVATFTRDYFGFHRELLPLAAVALAAFPVLFAVLFGYNISKLNFQRR >SECCE6Rv1G0377870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3847791:3853292:-1 gene:SECCE6Rv1G0377870 transcript:SECCE6Rv1G0377870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPSSSSSAAAVAASPLAARSPGRLLRRCQRADPVRLRAVNGSPPCVPRSPWTPATTPIFGDANVRKDDTRLRIFSGTANPSLAQEIASYMGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPSCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTISSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEEKNFPQLTILSVANLLGETIWRVHDDCSVGHAPYSTLDID >SECCE5Rv1G0340320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:614299183:614301698:-1 gene:SECCE5Rv1G0340320 transcript:SECCE5Rv1G0340320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFSNKLTTDQVPGWEEYYFNYKLLKARVKVYTEQTKEGNHDRRHVLKDFSKLLDDEIEKIVLFMIEQQGLIAARLEELGKRRAVLEDIPLLQEITELREDYRAVGHDLVRLLKFVDLNANAVRKILKKFDERLGYKFTDYYVRSRSNHPYSQLQQVFKHVGIGAVVGALSRNLGDLEEREGSYLNIYDQNPLAIPKDPIIDMIKATADKLTNSTNFLRFLGQHALIRQGSIPDSPEEQQLSEDKYHFISLVLNLANTFLYMVNTYIVVPTADDYATSLGAAATVCGVIIGSMAVAQLFSSVYFSAWSNRSYFRPLLFSSVVLLLGNVMYALAYDFDSLAILLAGRVLCGMGSARAVNRRYISDCVPQRIRMQASAAFVSASALGMACGPAVAGLLQVNYRLYGVTINQDTLPGWVMAFGWLAYLIWLWISFKEPVLGDADDEARRQGSSGGSSSLGYRKHGLAGEYLLKQDAQGESEEEETPVPAAAPSIAEAYRLLTPSVKVQLLIYFMLKFSMEILLSESSVVTSYYFGWNTSSVAVFLAALGLTVLPINAVVGTYISNMFEDRQILVASEAVLLAGVALSFHVPGTAYTAAQYVCSALLTFVAAEVLEGVNLSLLSQVMPARLSRGTWNGGLLSTEAGTLARVAADGTITLAGYLGQGALLNATLLPSLLICAASIAATLSTYNSLFY >SECCE4Rv1G0256980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:661864848:661867220:1 gene:SECCE4Rv1G0256980 transcript:SECCE4Rv1G0256980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGLPPPAAALLADVGALRRSYARLIALSSTLRHLDQILAVCLASGHYTLDPAPATSLLVRYAALRAPPRQLLRLFRAVPNPDRFIRNALLRSLPSLRPDLLFPSPDSFSFAFAATSLNTSSCRGGVASCSASARALHGLAVAAGYAGDTFVASAFTKLYSTLSRGDDARKVFDAVTSPDTVLWNTLLAVLSGSEAMEAFVRMVGAGSAQPDSTTLASVLPAVAEVADVTMGRCVHAFGEKCGLAQDDHVVTALISLYAKCGDMECARRLFDRMVAPDLVAYNALISGYSVNGMVGSSVELFKDLVTLGLRPTSSTLVALIPVHSPFGHEQFTRCLHAHVVKAGFDANAPVSTALTTLYCRLNDMESARKAFDAMLEKTMESWNAMISGYAQNGLTEKAVALFQKMQALNVRPNPLTISSTLSACAQLGALSLGKWVHKIIAKENLELNVYVMTALIDMYVKCGSIAEARRIFDRMDNKNVVSWNAMISGYGLHGQGAEALKLYKDMLDANLLPTSSTFLSVLYACSHGGLVEEGRTAFWSMTSDYGFTPGIEHCTCMVDLLGRAGRLKEAYELISEFPKAAIGPGVWGALLAACMVHKDADLAKLASQKLFELEPENTGYYVLLSNLYTSKKQYSEAAGVRQEAKSKKLVKTPGCTLIEIGDKPHVFMAGDRAHPQSDAIYSYLEKLTTKMIEAGYRPDTEAALYDVEEEEKEHMVKVHSEKLAIAFGLLNTEPGTEIRIIKNLRVCLDCHNATKIISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >SECCE1Rv1G0015830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:130248987:130250355:-1 gene:SECCE1Rv1G0015830 transcript:SECCE1Rv1G0015830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDKGKSWTNSSVFEIRGHNWHLMLNPRDRKSGDENEYVSLMLVLFQVSERYHTVVEATFKFLIYDQSYGKHHEQHQVSHNFQSTSRVSGTSCMIPLAKLKEQSSGFIVKDSCVFGIQFIKVVAVKGNDVSETLFVQKISNICSDPQVYTWNIDDFFVLKNPSNSPEFQLCGHKWFITLYPSGYDKNGNYLSLYLSTKVPDTFHKDSAILADVSISIKDQEIGKHMKLSGRLQFNNRVSRWGWEKFISLEDFKDSSKGYLVKKKCCIEAQVAVIGSSRSS >SECCE7Rv1G0499670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:656160595:656161685:-1 gene:SECCE7Rv1G0499670 transcript:SECCE7Rv1G0499670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPRSSLRSLVLLMSHGKPRLSSFFSSAAAHTGVLPAAPGADAPPAAQPPAPPGPSCPKLPVQEESVLQPIRDPPGRGRSAVTDKPAQCTQEHPEYPVPNCSTDKGPVEEHPAYRTPGYGSCSTPEPVPDKPPCGASQDVEQRDVDPPPPAREGVGHRVVDPPRGGRGRRAVPDEPEQCTQEHPKYPVPDSSSDKVFSSCSSAVPPSAPATCTPAAPNVQPPSTPAPPDHSGHKLPDHEESVFNPLPDPPSGNRLSPVIEEPAQCTLDNPKYPTPDHASSNPSEGASGELLEDPLHQLRDPPRRP >SECCE5Rv1G0300560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27072581:27077292:1 gene:SECCE5Rv1G0300560 transcript:SECCE5Rv1G0300560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSEAVQDGGALSAASVGTEAVMAAAAVRKTVKMSETRDFIPPADASEGDYSRSSGSSAAAAAAGGLPDVASCTGSSEAAPRDDADADAEMHRTPDYARRGAAGRLRIAPLELFSAAPSSPPVPRPPAKPAEAAAAAPEAGAGGGAQEGATGCQIAHIADKGNGDCCGQLRQEFDSLLREKGECRRVLEDLMRENELKTKECREAQTSLRELQMELMRKSMHVGSLAFAVEGQVKEKTRWCQLLKDLGEKFKALKTEHQILLKESEEYKKCLSDATQMTTTIHQYVSQYASLESEFKDLKEKFSEEAKERKVLYNKLIELKGNIRVFCRCRPLNTEETAEGASMAIDFDSAKDGELIVRGHVSSKKVFKFDSVFNPEEDQEKVFEKTAPFATSVLDGFNVCIFAYGQTGTGKTFTMEGTEGARGVNYRILDELFRVVKDRHDLFQYEITVSALEVYNEQIHDLLLTGSQPGTTTKRLEVRQVAEGVHHVPGLVEARVANMDEAWDVLRTGSKARVVGSTNANEHSSRSHCIHCVMVKGENMMNGERTNSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGDVISALATKNQHIPFRNSKLTHLLQDSLSGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGQARKQVDVGELSRYKLMVGRAKQDCKSKDAQIKSMEETIQSLEAKNKAKDLLTMNLQDKIKELESQLLVERKIARQHVDNKIAQDVERKQQQQQQQQSLKMEENNTYMRSPMSERSLNATVERPPLSAAPKKDLGMMAKQPFSDTTMDSFNQLMSLAEEKENANPDAAAAKARRVSLCNGGAQQPRRSSLIPLPRRNSLMPLPAGGGGARTPAPAAAAASPLDKIKEYSSPPLCSPPVMSNDKGSRSKRINSILRRSLQKKVVIRPPQTGQAGRRAGAAAAAAAQGIDSARRAAARRVPASGGGAPRGVHQNRDKERGWNH >SECCE1Rv1G0000320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1203990:1210136:-1 gene:SECCE1Rv1G0000320 transcript:SECCE1Rv1G0000320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPKDASPSPKLHTRLRVWEFADRYVFEPVDGLADLFLSVSRSNGSMNLVPELPPRGPSANPKVRIVFGVVGVLKLAVGSCCLVITDRDCVGSYMGHAVFKVTGLKALPCTTSSSAEQKKMESEFSDLLDAAERSIGLYFSYEANLTLTLQRLYDLGDTFKALPLWRQAERRFMWNGYLLEPLIENKLDQYLLPVIQGSFQNIHAEVGSDKVVVTMIARRCTRRIGTRCWRRGADPEGYAANFVESEQIMQTKGYTASYVQVRGSMPFLWEQIVDLTYKPSFDIVRVEEAARVLERHYHDLQKKYGAVVGIDLVNTTGGEGRLYERYAKSIEPILSEDIRFIHFDFHKICGHIHFERLSQLYDQIEDYLKKHKYFLLDDEGKKIVGQTGTVRTNCVDCLDRTNVTQSMVGRKILESQLQQLGVFGGNDTVSNYPAFDADYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGIFNDLWNALARYYFNNFADGTKQDAMDLLQGHYVSSVSRDAAIPSKPGAMQSFRVAFALIFCAAIFMLMSLRQARNDLRHLVVALVWASLCIGIALYVKKNGRKFCNRPRFYLSRN >SECCE6Rv1G0446270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:843460170:843460451:1 gene:SECCE6Rv1G0446270 transcript:SECCE6Rv1G0446270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEIFEHVREVDCYPNISIAYRILFTVPVTVASAERSFSKLKLLKNYLRSTMTQERLNGLATLCIEKKLLDDIDIEPIISEFASRNVRRKF >SECCE6Rv1G0420320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:676821029:676822917:1 gene:SECCE6Rv1G0420320 transcript:SECCE6Rv1G0420320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIEMTLPPGFRFYPSDEELVCHYLHGKVANQRFAGGAAGTMVEVDLHVHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATRSGYWKATGKDRVIRSSRSLSSRSGRAAIVGMRKTLVFYRGRAPNGSKTCWVMHEFRIENPHTPPKEDWVLCRVFHKKKADTEYAMDGEQEIVGGMAGSPAAVSGSNYVSSSSCHDPDQYHHSPPPAMFPSFGAGGHPYQLTSCDHHHPHGTAGVSLTNVDSFAGMPPLLSYESILDFSQQLQGGGSAAAGLGDGAGDQCGGALMDLGLEAQEEHYNYNSLM >SECCE5Rv1G0321800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:410301074:410323272:-1 gene:SECCE5Rv1G0321800 transcript:SECCE5Rv1G0321800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein BIG [Source:Projected from Arabidopsis thaliana (AT3G02260) UniProtKB/Swiss-Prot;Acc:Q9SRU2] MAAEISALLDLLRPTAAGDRDPPARRLRTSAARAGLEALAGALAAGPPPEPARAGAVLAAARAVVSTVLSSSVEQVESTVVEIAERSLEFCLLYLEKSSYACDDFGLLNEVAFFMESVLLSGSPSKVYSLEPSNIHDVIEQWSSVPFESERISPQDKYFCYLKGFNCSNSGDDLQRFRLTLSPDCLQQNYAIPETTESLHAASPSAMVSIAQHFAVVHLHCIPRLLTLVQKLCQSPALEVIEDINFNMRLSFTQRILKLAHGLAMEFPCDVSDTMMLCSVSRCANSLPVLFGLKFKFSNHDRVFSGDGVGIMLLQILEEFLQLIQIVFCNSDICCTVQVCILASLLGIFSTKTWRYEKSGPCLVPPLAYSPHTVQYVLKLLESTKRWTSRVDRDKSCKDVLDYSCNSEIDGLSCRARTVVVPLLKKYTCEEYLKFIFPSEEQWLDDLVHLIFFLHEEGVKSMTALEKPQISCTKQAGVSEVESVASHEEDALFGNLFAEARSTGVADSVEQPTSLGSVSSSSQHGPIQLAADLICFMKTCIFSPEWCNATYMDACRKFHTDHLEQFMSILKCQACLADESSAENTSSHHMETNLLHINMACFEFLQMFLASDECPASLREDLVEKVFNVENGKYTYNNYTLALVARATISGTNSAYILGRKVFVQYVGYLLEKADNKSSSSLNFNEFCETLPCAFHLEILLVAFHSTTGSEKSDLVSIVLSSLEKMKHYPPGKNAAGLTRWALILSRLLLVLRHILLYPLTRPTWLFMRLRSRMRDIQVKEEQPRSMNDCLPSFATAIVEGLLTDTVKEYATASSLFSQLIDVTPAHAEFYFDKSAVGALGLNLADLSATISEILGSWRDMKPELADDLIVERYIFLICWSTLADIGYHGNDTLLQNDDLSKPDFVNVNFFLTFALSVSDDASSVVGANLPAVVFGFLKLLNSEILHPSSMLETWDFSRKGAWLSFILSLINIGVWRNQASEKTDVDSYGKQVVFYGEQSVLGKSLVTYISESSGHCLNILSSLLETYLHTFREAYLSLVDRERPSKDHCYPSLLLKHSAFDKSKHHILFEKFGSDMAVLERICDLPSRIDGVATKLGEVQKKCFPLKCLLHGFPSDYTSSNSALLSCILVIHDIIHTFDGYMKIMQPGDRDQVDVSVISKLLGMVMTVRSDRIFRSIYGQCDSIFMSLINHRDDLAGYIDLFTLKQLEGFLSDINSKESIDHGAEEILVSTIIDIVEDIRSKTDVFKFFLGDSEGAPEGASSLFAPEHAEISVFIDMLDRCQSEQVNLKILHLFTDILGAGLCPALKVELQNKFLGMEVSSFSSWLEFRILGHPLKPESDSGTMVGSTLRESSVDFLMRLICPSSETLAKELQHHLFGAMLLLLDRAFLSCDLQTAKAYFHFLVQLSSEESHFKELFDKTLMLMETMVGNEGLLHTLKFLFTFVESVFGEAGLNRTALKRLSSKNSGNDCGSGSLIPKQLKNPENLVLRTNQESNSAVDCDASSGEEDEDDGTSDGELGSMDRDDEEDGNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSNCQCLKPRKFTGTSSVPAPADCSFQPLLPYHDDLEQVADSGSDFEDDISTDADTYLKLSVPKGFSDGLPVFLKNLDIEVRMLELCKKLLPTILSQRELNLLKDRKVLLGGDMLVSQASDVFQLKKAFKSGSLDLKIKADYPNSRELKSHLANGSLTKSLLTVSIRGKLAVGEGDKVAIFDVGQIIGQPTAAPITADKTNVKPLSRNIVRFEIVHLIFNPLQDHYLAVAGYGDCQVLTLNSRGEVTDRLAIELALQGAYIRRVEWVSGSQVQLMVVTNMFVKIYDLSQDNISPLHYFTVADDVIVDATLVPSSMGKLVLLVLSEGGLLYRLNIVLAGDVGAKTLTDTVLVEDAVSMHKGLSLYFSSTYRLIFVSHQDGTTFMGRLDADSSSVTELSYVCEEDQDGKSKPSGLYRWRELIAGSGTLTCLSKFKSNSPLAVSLGPHELCAQNMRYGTGSNSPVVGVAAYKPLSKDKTHCLLLYDDGSLHIYSHAPSGGDSSVSLTAEQTKKLGSSILTSRAYAGTKPEFPLDFFEKTTCITSDVKFSSDTTKSGDSESIKQRLTSDDGYLESLTSAGFKVSISNPNPDLVMVGCRIHVGNTSASNIPSEITMFHRVVKLDEGMRSWYDIPFTTAESLLADEEFTITVGRTFDGSSMPRVDSIEVYGRAKDEFGWKEKMDAVLDMEAHVMGSTAGGKSGKRPQTMPTAPMQEQILADALRILSRIYLLCQPSCCTDTVDADMKLDNLKCRALLETIFQSDREPLLHSAACRVLQAVFPKKEVYYHVKDTMRLLGVIKSLPAITSRIGVGGTASSWVIKEFIAQIHTVSKVALHRKLNFASFLENHGTELVDGLMQVFWDILDLDRPDTQMINNLVIPCVEFIYSYAECLSLHTNEKSGVSVAPAVALLKKLLFAPYEAVQTSSSLAISSRFLQVPFPKQTMIANDDGSDNHAKTSTSAMNPASGNAQVMIEEDPATSSVQYCCDGCSTVPILRQRWHCNICPDFDLCETCYEILDTDRLPAPHSKDHPMSAVTIELDTFGGEGSDIHFSVDELADTSVPPPVADRSVQTSQSPIHVLDASGSADFPGPMTDQRTVSISASKRAINSLLLSCLIEELRGWMGTTAGTQAIPIMQLFYRLSSAVGGPFMDSSKPEYFDLEKFVKWLMDEINISKPFPAKTRCSFGEVSILIFMFFTLMFRNWHQPGSDGSHSKSGGSSDLTEKGHVQVPVSTAGLSSSSDDKDKNEFASQLIRACSALRQQSFLNYLMDILQQLVHIFKSSSTNGEGGSGSGCGSLLTVRRELPAGNFSPFFSDSYAKSHPTDLFMDYNKLLLENTFRLVYSMVRPEKEKSAEKDRSYKVPNAKDLKLDGYQDVLCSYISNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQYSHEVKKLHKIINKSGGFRNPVPYERSVKLIKCLSTLCDVAAARPRNWQKFCLKHMDLLPFLMDNIYYFSEECIIQTLKLLNLAFHSGKDVNQTVQKTESGDLGGSTRTGSQSSDSKKKRKGDDVSEGTSEKSCMDMDQAVEGFNDKEGDVLKRFVDTFLLEWNSGSVRHEAKCVLFGLWYHAKNLFKETMLKVLLQKVQYLPMYGQNIIEYTDLMTCLLGKANDSSAKQNEAELLNKCLTSDVISCIFDTLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLESLKSETKFTDNRIIVKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNNWSLWKRAKSCHLTFNQTELKVEFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFMAKPSFSFDNMENDDDMRKGLAAIESESENAHRRYQQLMGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTCKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMAYLHQKSSNDTNALPAFSIPRSPSSCYGCSTTFVTQCLELLQVLSKHTNCRKQLVSAGILSELFENNIHQGPRTSRTLARAVLSSFSEGDADAVQELDKLIQKKVMYCLEHHRSMDIAQSTREELQLLSETCALVDEFWEARLRVAFQLLFSSIKVGAKHPAISEHIILPCLRIISQACTPPKSDAGDKESGAGKSSLMLQSKNDDTTGHLATNVSTSKVQSDISGKSPDGSRRGQDMPLLSYSEWESGASYLDFVRRQYKVSQAAKGVQKARHDSQKSDYLVLKYGLRWKRRACRKSSKSDFSKFALGSWVSDLILSSCSQSIRSEICTLISLLCPSNSSRQFQLLNLLMSLLPRTLSAGESAAEYFELLGTMIDSEASRLFLTVRGCLATLCSLITKEVYNVESQERSLSIDISQGFILHKLVELLNKFLEIPNIRARFMSDKLLSEVLEAFLVIRGLVVQKTKLINDCNRLLKDLLDSLLIESTANKRQFIRACISGLQKHVKEKKRRTSLFILEQLCDLICPVKPEPVYLLILNKSHTQEEFIRGSMTKSPYSSVEIGPLMRDVKNKICRQLDLIGLIEDDYGMELLVAGNIISLDLSISQVYEQVWRKHHGQTQHSLSSASTLSAMSSVRDCPPMTVTYRLQGLDGEATEPMIKELEEEREESQDPEIEFAISGAVRECGGLEIILSMIQSLRDDELRSNQDELASVLNLLKYCCKIRENRCALLRLGALGLLLETARRAFSVDAMEPAEGILLIVESLTLEANESDISISQSVFTTSVEETGACEQAKKIVLMFLERLCHPVGTKKSNKQQRNEEMVARILPYLTYGEPAAMEVLVEHFEPYLRDWSEFDRLQKQHEENKKDDNLSQKASMQRSAVENFVRVSESLKTSSCGERLKEIILEKGITKAALGHLRERFASAGLAGSRTSAEWAAGLKLPSIPFILSMLKGLARGHLPTQKCVDEEGILPLLHALEGVPGENEIGARAENLLDTLANKENNGDGFLWGKIQELRHATRDEMRRRALQKREILLKGLGMRQEFGSDGGRRIVVSQPIIEGFDDVEEEEEGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGSGRGDCVFTTVSHFNIIHYQCHQEAKRADAALKTPKKEWDGATLRNNETLCNCIFPLRGPSVPHGQYNRCVDQYWDQLNSQGRADGSRLRLLTYDIVLMLARFATGASFSTDCKGGGKESNSRFLPFMIQMASHLVDGSANQQRHAMAKAISTYLSTSLSTSESPSRVSASPPGARGSPGSSEETVQFMMVNSLLSESYESWTQHRPAFLQRGIYHAYMQHKHGRSALKLSAESSSSAVRSDEGSSADPNDDGKRLFAIVQSMLVYTGLVEQLQQFFKKGKSSGTSKSSQKDEASSKWESTMKERLSNMKEMVGLSKDLLSWLDDMTSSEDLQEAFDVMGALPDVFSSGYTKCDDFVRAAIQAGRS >SECCE4Rv1G0219180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:28648894:28652180:-1 gene:SECCE4Rv1G0219180 transcript:SECCE4Rv1G0219180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEDCGAASGKALLSWMRRSELGERDLACACCGVALESGFYSPPFLLPTPEAARDPGRGCDQDGHADVVFVSEGGPVIELFDEKPLVEDDSTAVISAHRAGIARSVERLVPLESIDSLAVGAPELSSEPSGEAVDHVAVEQDDVVPEKKVNANEEKFSVASDVQHSPQMNNGLKEMSSEDEQVEQGTVEHELSSMPSDAMEHGFVVDKSDGNTEEVLVQPAGIKNECDSDAMSMEGGSHDSEVSNENTEENQAQRAELSQELDPVMIHPREHVDEECEEEKISLAELKQESDSAALDSWEPVTEISSENIENHVEQPQLNHLSTFMGAGKDDIEVDPTEDLLPSLHQLSDGHSISSDKSSSDCSDVEDKRVSDTPTHIEDISYLHESPDPKAVTADTRSVDSGVANMFTDLESVELVSVDQLKSALGAAHKSLSTLYAELENERSAAAIAADETMAMINRLQEQKAAMQMEAMQYQRLMEEQSEYDQEALQRLNDLVVKRDKERQDMERELELYRHKIHLYEAKERRKMSRHKADDQNGSSSASSSAEDSDDLSQSFYEGDESAHGLNGSNGSSPTDVVLHETASHIVTIDGSLADCEEERLSILEQLKVLEERLFDLEDEESDNVKMDKHFTEDNHSTGASNGFSDEDMSFKLHDSRKGVSYGGKKLLPLFDDATMRNGNGLPAKQGTEADPSAEAVLELAKEQDKLAIASEIDQVHERLQALEADKEFIKQCVRSLNKGGKGFVLLQEILQHLRDLRRIEQRARNNSGEVSPHYVHPYTD >SECCE4Rv1G0241900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:463613205:463616555:-1 gene:SECCE4Rv1G0241900 transcript:SECCE4Rv1G0241900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP DNA-binding protein, Disease resistance, Vascular developmen [Source: Projected from Oryza sativa (Os03g0336200)] MQQPKPADPPGRPFPPPSPSMAAAATAMRGAHHRRARSEVAFRLPDDLDVGGGADGDASAGFDEIGSEDDLFSTFMDIEKISSGPAAGSDRDRDRAAETSSPPRPKHRYSSSVDGSGIFSAAGSAARRDAAAAQALADVLEAKKAMSPEQLAELAAIDPKRAKRILANRQSAARSKERKARYMTELERKVQTLQTEATTLSAQLTLFQRDTTGLSSENTELKIRLQAMEQQAQLRDALNDALKQEVERLKMATGEMSNSSDAYSMGLQHVLYNSSFFPQSQQNTAQHQGGARFPPPFHPPHPNVPNHQMLSHPNTLSDIMQQDHLARLQGLDISKGHPVVKSESSSISASESSSTF >SECCE4Rv1G0221950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:53084142:53089433:1 gene:SECCE4Rv1G0221950 transcript:SECCE4Rv1G0221950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66380) UniProtKB/Swiss-Prot;Acc:Q7XA87] MPPATPPPSTEAWTWENAVAGATAGFATVATFHPLDVVRTRFQVSGGRGLSDLPPYRNTGHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFYFYNRAKQRYLQEKDVQLRPFDHLASAAEAGALVCLFTNPIWLVKTRMQLQTPGHTSSYSGFSDALRTILKEEGWRALYRGIGPGLLLVTHGAIQFTAYEELRKAMIFARRKQTRGDDKGSEDLLNSVDYAALGAGSKLSAILLTYPYQVIRARLQQRPGSDGIPKYSDSWHVMKETARYEGVRGFYRGITSNLLKNLPAASVTFVVYENVIKLFRAAKEKT >SECCE5Rv1G0353030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:713386345:713396335:-1 gene:SECCE5Rv1G0353030 transcript:SECCE5Rv1G0353030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGEDIVQHLSSNSNPSSSKLAKLEARMAGKAVSVPPPSPPHHPVVSAALAPTFMDQEELPESSSSSDDDNGEEFLIQKNTLKRPRSPDDGHGLALGNFEGSANAAAKVLDVADARLPSENPSRKKQGRGRGRGGTGRGRGSKTVDQTRHTSASSVTASNGQHDKLTNMDSRTSVLPGNDDRTALHEELSLLRGKVAFLEEELNKSRQEATEYRQLSDRLAKELKDFKDQDQQKKSKQMKVLSDLLIAVSKAERQEARMKLKQESFRLGNIGVMRAGTVISETWEDGQAIKDLNAHLKSLLETKEAVERHRKSLKKRQSSDKGDGSDAETSMSEEDFLLQDEICKSRLTSIKREEEQYLRERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHHRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHTNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPILPEKEARIIIVQVFQGLVYLNKKAQKIIHYDLKPGNVLFDEVGVTKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSRTPFISSKVDVWSAGVMFYQMLYGRRPFGHDQTQERILREDTIINARKVEFPSKPTVSNEAKELIRRCLTYNQSERPDVLSIAQDPYLSYAKR >SECCE1Rv1G0040690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:565110786:565116878:-1 gene:SECCE1Rv1G0040690 transcript:SECCE1Rv1G0040690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAVKVEARDGCAESRQHLALSSPSASEGASYGGGLAWASPLVPSPADSGSSRRRTSGPVRRAKGGWTPEEDETLRKAVTVFNGKNWKRVAEFFPDRTEVQCLHRWQKVLDPELIKGPWTQEEDETIIQKVKEHGPTKWSVIARSLHGRIGKQCRERWHNHLDPQIRKEAWTFEEEQVLVDAHRLHGNKWAEIAKLLPGRTDNSIKNHWNSSVRKRLDEYDTGVAVPVPVHISHNDLKQVTMAPPAENYIDLNKEPNISLRNHSVIVDHSDPTHSPRVCSLKNIKGCSDFLSLSMPTAQPVTSCQALVADDSAVALTIMGMKMDSGRDKDMKLNFVRQKGVQINLPNEKGLQINLPNEKGLQIDPATAKPDGETNNTGRESTVVKEAQSFGSLCYQIPKLEDIDLVRSPVLSRHHGSEHGVDGFQSPTGYATPSPTDGIQSEQLSVESILKSAAENFPGTPSILRRRKRDKPTPSQDTDFKIDANSDGFDTPKANCTTYSPHSFKTASFLSLGRLDDQQLPSVLGKFDVSPTYRLRSKRMAVLKTIEKHLDFSADAMDTCDMVGTLKSSCRNTEGVNASSDISSVQDRRINGHMIGLQTLTSDFAHTQQS >SECCE1Rv1G0027840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:389782858:389792418:-1 gene:SECCE1Rv1G0027840 transcript:SECCE1Rv1G0027840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGQMLSPGEAEWPPELRLPPPPPMPDPAPPPRPSQPSPAPPAHPHRLTAWLQAPASNKEPSPPRHTEGFDDSHFLGSIMEAAAGGPVQQQQHQQEPAVDEAAEAPVVVKRKRGRPRKNRDGEVAAPAPPKPVKKNDEEEVVCFICFDGGSLVVCDRRGCSKVYHPACIKRDESFFRSRGKWNCGWHICSICEKAAQYMCYTCTYSLCKACVKQGKFFGVRGNKGFCDTCYATILLIESKDQDATKVMVDFDDKNSWEYLFKLYWLDVKGKHLLTLEELISTKSNWTVRSISARREKEESSGERYDANNDHNVSSDSSSRKRSRGTSLRKRGRKRQNHSVNTARNCEISIKGSESLPKGASFEDMDLSGDTKWASPELLEFIGHMRNGDVSQISQFDVQVLLLEYIKQNNLRDPRKKSQIVCDARLSSLFRKPRVGHFEMLKLLEIHLHVKETPALNGDTQLAPDSAQVDSGGHSEMGTKLSSDKRRKTHKKLERDLPANLEDYAAIDMHNINLIYLRRSLMEDIIDDVAAFSEKITGAFVRIRIPGSGQKKDMYRLVKVLGTHKVAERYSVGKKTTDCALEISNLDKKEVITMDTISNQDFTQDECKRLRQSMKYGLIPRLTVGDIYEIAKSFQSLQINDWLCVEKLQLLNTPEEIARKINEVPEVHVDPHMAPTYESAEEWNDETTVDLTINRNGPDLLFPGREGTESNAVQYLSQKCSDASRHSSLNLPTEGATHKPGAGSITNLQAANGWNIPRPRIDLNNTAGDAASVPSSGVVSSDTEPEKVWHYKDPSGNVQGPFTLSQLSKWTTYFPSGMKIWLTFESEENSLLLTEVLSTQQKDIIQPTPVINNNKSAWAGSGQDRINPSMTENITSPIGYNNVVYSSGLPSQSSDRPPLRRESPNFMGEALPSTTCWEPLTSSVQIQHQANHSSTIPSFAGSYRSPGSHGDGAPREKIGEHNNRQETGGLCSPTPPPKSHNSQSNMKPESCSRSNVVDGRESNSALGIPSQSRAPACGAQSTSFTCTSSSSKTEEIMNLQKPCPPDASNASFNQLFEPRIDSVLSPGTQDQYPSPTPKFERKQPVMNKSGPTSVAPEDSATKAYDHSSIAFVSETSGPPSSKFVGLQLLKETQTSCVEERDLKDGGCVTQTGPLKGDATSAKRDNITVSSVSAAGVCDVLESLTEQNCGTYNVHAGMPLENVTPASAEEERPQCSSPIALSPWGEPSYYQGGAVDSALWGVQDDPSNDMWSLSSPTPALQPSSDLGAVGKDTSCIIEEAVVARGNSAVGEISPTPEEKMEKGNPSASTDCGVPEQVKLKSSAALLNSSLKSTEASGGQPLGSSLEGSTKASDRQPSGSSPEGSTKASGQQPVGSFLDRNTKVSGRQAPASFLEGSTKASGWQSGSSLETSTKGSGWGSSVDGSTKGSGWGSSVDGSTKGSGWGSSVDGSTKGSGRGLSLEVNTKGSGWGSSLEGGTKGSGWGSSLEGSTKASGWLRSSSSPEGRKTPGRHSSARESSKVNFTSASQNRNPSSGHQTTPTAKSSSEAQRRQGSTNSNSAGWGEAPGSNKSWHPSSGSASRGSQSNQHHDRHSQGNESRRGSYQGNDSRRGSSNHSRRSSDHRQDHGSGMSSRSSSRGQSQRGICKYYENGHCWKGPSCSYVHR >SECCE3Rv1G0169700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:240167809:240168714:-1 gene:SECCE3Rv1G0169700 transcript:SECCE3Rv1G0169700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLPYAQGQGHELQQDFDFFLVVDFEATCEKDVRIYPQEIIEFPAVLVDGATGRIESAFRRYIRPKHHPVLSQFCRDLTGIQQEDVDGGVDLGQALRLHDAWLEAATTGAGTKRCGGRLAVVTWGDWDCRTMLEFECRFKGIEKPSYFDHWINLRLPFQAALGDGGRVNLQEAVRAAGLDWEGRLHCGLDDARNTARLLVELMMRGVKMSITGSLALPRPIQQQPPHTSPCRGCSALPPQPIQQQQPHVSTCGGSSVTCFCYCGQRVATRGGVVSVPGPMQGKCFFACVNGSRVLYCC >SECCE1Rv1G0014660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:115731365:115732287:-1 gene:SECCE1Rv1G0014660 transcript:SECCE1Rv1G0014660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPWTRDVEEMADAEEEEEEDVEEALDCGICFLPLDAPPTFMCEAGHLICSPCRDMLGLAVGTCHVCSAKAARLGSRRNLGRDVWPVYYEPRDRRGALRGPCRCPGDACGFVGSTAALLCHFVSAHDWPVHAGAASGDSIAVRLQDGFNIVAVDCIGGPSEQDRLEFPGRYLIVLEVVQQTFGRTVFAFCLRPDPAFWAKEAQCRIGLFYSRNILDGDDDKPPVQCYYQTTKAGVTCTDLYSGLPDPEDCFQAHVYRSVDLEDNEDTVEVTLRVLIN >SECCE4Rv1G0242460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:478224345:478238026:-1 gene:SECCE4Rv1G0242460 transcript:SECCE4Rv1G0242460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAPGEGQRFKRIPRQPCGRNLELDPLLNENLEQWPHLNELVQCYNADFVKDDCKYGRYESVAPPSFQNQIFEGPDTDIETELQLCNARHSKPEETTEDDMPSTSGRQIYETEPSASSSKKHCTLSPLPAYEPAFDWDNERSLIFGQRLPESLPATHSSGLKITVKVLSLSFQTGLVEPFSGTICLYNRDRREKLSEDFYFHILPTEMQDAHISLDRRGVFSLDTPSPSICLLIQLEKAATEEGGVTPSIYSRKEPVHLTEKEKQKLQVWSRVMPYREPFAWAMIPLFENNHAGGDAASPSSPLAPSMSGSSSQDSIVEPISKLTSDGKLNHYSSGSSVIVEISNLNKVKESYMEDSLQDPKRKVHKPVKGVLRLEVEKLHNDRNEADTISEGGSITNELHDAGELNNGRHSRNSIDGIHSSLNSSSIVKKDTHQNGQNSNAENGNNFQAFDFRMMARSEPFSQLFHCLYVYPLTVSLSRKRNLFVRVELRKDDSDIRKLPVEAVHPRDQNATLQKCAHTQISVGTRMSCYHDEVKISLPALLTPQHHLLFTFFHVDLQMKLEAPKPVIVGYAALPLSSHIQLLSDVSLPILRELVPHYLQESGKEKMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVESTALLQFLQPILNMLLHLIGDGGETLQVAAFRAMVNILTRVQQESSDGAERNKFLVNYVDFAFDDFGDRQTPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLNLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPTDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAIEKREVLVVILQIIRNLDDTTLIKAWQQSIARTRLFFKLLEECITHFEHNRTGDSLLLGSSSRSPDAERPASPKYSDRLSPSVNAYLSEASRHEIRPQGTPENGYMWNRISPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPILRQKLELWEENLSTAVSLEVLGIIEKFSVAAASRSISTDYAKLDCITSILMGLLSRSQPLTFWKAFLPVVYNIFSLHGATLMARENDRFLKQIAFHLLRLAVFRNDSVRKRAVVGLQILVRNSFNYFKSTTRLRVMLTITLSELLSDVQVTQMKSDGSLEESGEARRLRKSLEEMADVRSKDQLNDCGLPVTALEVTAEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVMSVDRCAAAEGFYKLALAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLCRICPIVGTDIGAEVAAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFSASIQELIIPVYKSRRSYGQLAKCHTSLTNIYESILEQEASPIPFIDATYYRVGFYGERFGKLNKREYVFREPRDVRLGDIMEKLSHTYEAKMDVNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLESRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLVVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >SECCE1Rv1G0041660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:573008428:573014035:-1 gene:SECCE1Rv1G0041660 transcript:SECCE1Rv1G0041660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVSSSHFPASAGKKKPHQARNGGGGGAGGGGGGAGAGAGEKKRLSVLGEEGRDVSGGIDEKYALDRELGRGEFGVTYLCMDRGSKELLACKSISKRKLRTPVDVEDVRREVAIMRHLPRSASIVTLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHHHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPHVSDNAKDLVRQMLQPDPKIRLTAKQVLEHTWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKVMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGDGFIEPGELQEALVEDGAVDIAEVVKDILQEVDTDKDGKISFEEFVAMMKTGTDWRKASRHYSRGRFNSLSIRLIKDGSVKMGNDIKDGSVKMGNE >SECCEUnv1G0553040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:262893231:262893482:-1 gene:SECCEUnv1G0553040 transcript:SECCEUnv1G0553040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPDEYFTESRQGIPLITDRFDSLEQLDEFSRSF >SECCE7Rv1G0480870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:275376340:275379795:-1 gene:SECCE7Rv1G0480870 transcript:SECCE7Rv1G0480870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNADKLRGLRITSLDDEDDDETELPNQPLPASTVTVAAAASGYDDEDEDEDEEVEVMLGFLEKPKHPGLLLRHLFPSKAGGIPAWLDPVNLPSGNSSCCGFCGEPLHFVLQIYAPLENNAASFHRTLFMFMCPSMACLHRDQHEQWTRNPGNPRRSVRVFRCQLPRTNTFYSSEPPSHSNSDKPLCAGAALCHWCGTWKGDKICGGCKKSRYCSEKHQALHWRSGHKNDCLQIINSSEASSSKLLGKVPARTPSPEYQIVIDDEVDLDSDSCDENSSKSLVMQKHGKPDDTMQSWMDQFEADADNRCWAYFQERISRAPEQVLRYCRDPNVKPLWALSAGRPSNADIPSCSYCKGPLCYEFQIMPQLLYYFGVRNEPDSLDWATIVVYTCQGSCDQNIGYKEEFAWVQLYPTSISRP >SECCE2Rv1G0139620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:928567274:928568951:-1 gene:SECCE2Rv1G0139620 transcript:SECCE2Rv1G0139620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxalate--CoA ligase [Source:Projected from Arabidopsis thaliana (AT3G48990) UniProtKB/Swiss-Prot;Acc:Q9SMT7] MEALTLTSLLKAAAAAFPDRRAIAVHGKIDLTHAALDALVDAAAARLAAGPEGVRPGQAVALCFPNTVELVVMFLAVIRARGVAAPLNPAYTQEEFEFYLSDSGARLLVTGADGNAAAQAAAAKLGLPHAVAAALTDAAGPLDIAGLPDHTGTVQHNKEAENEPSDVALFLHTSGTTSRPKGVPLTQRNLAASVQNIRAVYRFVETDATVVTLPLFHVHGLMCALLSSLASGASVALPAAGRFSASTFWGDMLAAGATWYTAVPTIHQIILDRHASRPEPTYPALRFVRSCSASLAPVILERLEAAFGAPVLEAYAMTEASHMMTSNPLPQDGARKPGSVGRAAGSLEVAVLDEAGGHVPAGERGEVCIRGPNVTAGYKTADPGANEAAFLHGWFHTGDIGVMDGEGYVSLVGRIKELINRGGEKISPIEVDSVLLGHPDVAQAVSFGVPDEKYGEEIHCAVIPRDGVALGEEEVVAFCRKNLAAFKVPKKVYIAADLPKTATGKIQRRIVAQHFFVPPAAAKA >SECCE4Rv1G0296230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:902034262:902039340:-1 gene:SECCE4Rv1G0296230 transcript:SECCE4Rv1G0296230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHLSVHPFHPHERAAADGTQPQQAAAAAMSRPTPAADAEDAAPPAPATPAATSSDPSWSRAARYVRTTMLSSSASRREPAAPSSATAKTADLTKAATFAMPRDPSPGAAGAAAAANAKELQAKTSALAAAREAAAAAVHHEGWMVRYGRRKIGRSFFHTRYFVLESKLLAYYKKKPKDSMVPLKSLLIDGNCRVEDRGLKTHHGQMIYVLCVYNKKEKEHQITMGAYDIEDALAWKKKIEQIIDQQQDSMADKNRKAFASMDFDTELGGQFAFSDHDSAAEEEEERPILTRRTTIGNGPPESIRDWTNEPDIGSNQNEPSQFSSKKNWRLLRCQNGLRIFEELLEVDYLARSCSRAMRAVGVVEATCEAIFGLVMSMDVTRYEWDCSFRYGSLVEEVDGHTAIIYHKLQLHWCPMLVWPRDLCYVRYWRRNDDGSYVVLFRSIEHPNCGRQRGYVRAFIESGGFKITPLKCRNGRPRTQVQHLMQIDLKGWFLNYSASFQYHTLLQIQNCVAGLREYFSQTDECHIAPRIPVMEKMFDPSADQKNPQPRAIDKIKPLDRDQKDSRNMSIIEEESDEDDDYQVAEANIEDDPNKSDNDPKHPEEPPEKIDLSCFSGVLHRDPDEKTRNCWTVPDSTLFKVRSKNFPTDKSKIPAPSYLMELAAIDWFKDTKRMDNVGRQKGCVAQLAAEKGMHTFVANIQIPGSTHYSIVMYFVTNTMKKGSLLQRFFDGDDEFRNSRLKLIPAVPKGSWIVRQSVGSTPCLLGKAVDCSYIRAPGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPAAAIVPDLDNINSDSKDSNNDDTTTTTTTSNNNNATSSEDDSSKKTN >SECCE2Rv1G0066010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:11260610:11262554:-1 gene:SECCE2Rv1G0066010 transcript:SECCE2Rv1G0066010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNIANPTTGCQKKVEIDDDQKLRNLYDKRISQEVVGDLLGEEFKGYIFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGFGRRNGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIKKLFNLGKDDDVRSYVNTYRRTFLNKKGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRDRRSESMAKRRSKLSAATKAPAASA >SECCE3Rv1G0170650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:256188559:256189764:-1 gene:SECCE3Rv1G0170650 transcript:SECCE3Rv1G0170650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPASAAPSRSSSSADQDEEGTDSDASSDSQAPAVQEAPPPPAPAAAAFPDPAGAGPLPTPPPQGTSAAAAAAVEDSRRLFQRLWTDEEELLILRGFLEFTSRRGTAFASHQYDTGPFYEEIRRKLSFDFSKNQLIEKLRRLKKKYRVCATRVAAQGAAFAFKSAHEGAIYDVARHIWRPAFKRDGAGGDASDEDDINPAEAAAVAAAAAAAAATEPPAAVEEGGGGGASAHTPRGRGSRRGRKRTTQEIEAPTFPATPAPMLTDVAQGPAIAAAFESSVPVISQPPSVPAAPLPPYPLTANVPSEADVRSILSPLLKELISSVVVPGQTGLGLGLGMGFGGADILGVGLGVAGLSPRVPGDEKWRQQQILELEVYLKRIELVREQVMTALQELRSSES >SECCEUnv1G0560020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:343924220:343928827:1 gene:SECCEUnv1G0560020 transcript:SECCEUnv1G0560020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVSASQGAVQILLGKLGNVLATKYALLGGVRGEIQELKDELESMTACLRDLADDDDHNEQTRTWMKQVREVAFDVEDCMDRFCHHLSENHGDRQGLLEYLHRMFNMVRTLRVRHKVATDIQGLKSRAQQVSDRRLRYTYTQKVSDDPAGRSGKRALDTSYSHLDNLDRWLPAIHGDGSGLVGMGNMTDAVVRLLNKQRQAAGSPRVLSIVGFGGLGKTTLATTVYNSPELGGIQCRAFVPVSQTYDARSLLESVLKQLLAKADNDEHEDLLRNIKRWDISKLVDDIKQRLKHKRYLIVLDDVWRAAAWEQLKVVFPHDNDEEGSIVITTRSLEVARSCCTCPNGHIYEMEPLPQNDSEKLFFKTVFDSGECPADLQEVSNAILARCNGLPLAIVSIGRMLARKQTKTSAEWETVCKRLGSELETNPTLEGMRQILSLSYNDLPYHLKACFLYLCAFPEDFDIRRGSLIRRWAAEGLIIGMYERSLEEIGQICLDEFVSRNIIIPGQIGCSGKIKSCKVHDIMLEVIIAKSVKENFISLLGNSQYNTTAGHDKVRRLSIHPGGTREKRTFSSKNIVHTRSLTILDSTVKPVPIKISDLTLLRVLDLEGCAWLRNKDLKEICKLYLLRYLSLRNTTISQLPNAIGKLKELVTLDVRETSVAKFPKGITRLQNLNHLLVGRYAYYTRTRSVKHFVLNDGAKVPLGLGNMGALQRISHVDISTEKSSNAMRELGKLRQLTRLCVINRKEAELWKPFAKSLDELSSPLRYLMVVDGSEQVEELKFLAYLKNPPLSLQSLHLVGRLTRLPGWVSALSNLASLSLRETYQLANDSFEVLAKLPSLVSLKLYDKGYTGRALFEEGWFPQLKQLVVDNLDQLEELSFRGGAPNLERLTLYFPSVPRGGVNGIGKERLPKLREVEFFSLVIESIFDQVCEAAKEYPNHLKVTREDRPTTSTEAARAPARQVDNTGPGAGSSEPELTDQADTPALRCEDDEATPAWRVQPSTCPHTYTL >SECCE2Rv1G0124760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:834319563:834321369:-1 gene:SECCE2Rv1G0124760 transcript:SECCE2Rv1G0124760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHL [Source:Projected from Arabidopsis thaliana (AT3G47860) UniProtKB/TrEMBL;Acc:A0A178VKZ0] MALLPLLGSPSFPFAASRPACPSRRKCGPAARMNFRCSAEGKVSTGISKHLLSCLAASLVFISPPSQAVPADTFARPSLCQVAVVAAIDKGAVPLKFEDDGMMMMTKGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDEKAGAIKVETFCVHGSPDGYITGIRGKVQCLSQEDMASAETDLEKEEMISSKCFLRFPTLPFIPKLPYDVLATDYDNYAVVSGAKDTSFIQIYSRTPNPGPEFIEKYKSYAAGFGYDPSKIKDTPQDCEVSSDQLAEMMSMPGMDEALTNKFPDLKLKSSVAFDPFTSVTQTLKKLAEVYFK >SECCE2Rv1G0135410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:906742697:906746801:1 gene:SECCE2Rv1G0135410 transcript:SECCE2Rv1G0135410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0671300)] MDPPPNGFAAGGLFVQHIDRQNASPPSVIVIGGGISGIASARALSNASFKVTLLESRERLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRLLGLRLYRTSGDNSVLYDHDLESYALFDKDGRQIPQEIVTKVGEIFEQILKETVKVRDEYANDMPLVQAISMVLDRNPHLKLEGLQYEVLQWCICRLEAWFATDVDNISLKNWDQEHVLTGGHGLMVNGYDPVIKALSRDLDVHLNHRVTKIIQRYNKVIVCVEDGTSFVADAAIITVPLGVLKANIIKFEPELPDWKLSAISDLGVGLENKIALRFNTVFWPNVEVLGRVAQTSNACGYFLNLHKATGHPVLVCMVAGRFAYEMEKLSDEESVNFVMSQLRRMLPGATEPVQYLVSRWGTDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIDAAEDCRRRLSTQLGISDLFQVGKIVMREEMTEVMVPLQISRL >SECCE1Rv1G0010820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:66273978:66275172:1 gene:SECCE1Rv1G0010820 transcript:SECCE1Rv1G0010820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFKAFLNSPVGPKTTHFWGPVSNWGFILAGMADMNKPPELISGHMTAVMCVYSGLFMRFAWVVRPRNYFLMATHASNESVQLYQLSRYARAQGYLEKKEPEAQQ >SECCE2Rv1G0104520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:607905504:607906163:1 gene:SECCE2Rv1G0104520 transcript:SECCE2Rv1G0104520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:22.0 kDa heat shock protein [Source:Projected from Arabidopsis thaliana (AT4G10250) UniProtKB/Swiss-Prot;Acc:Q38806] MSTRCFLALGCIAVAVTSLAVAPADGAILPWFGSGGGSRGARDDAVSSPLQDVALLADPFRILEHVPFGFDRDDVAMVSMARVDWRETADSHEIIVDVPGMRKEDLKVEIEENRVLRISGERRREVEERKGDHWHREERSYGKFWRQMRLPDNADLDSIAASLDAGVLTVRFRKLAPEQIKGPRVVGIAGGDGGAAAKKTIGDAGAAGGEERQTKKVEL >SECCE4Rv1G0229410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:148247616:148249061:1 gene:SECCE4Rv1G0229410 transcript:SECCE4Rv1G0229410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCSVARITSFAHFGLKILPKLLALSPGSLGKFRKVSPAMETETVLRKLLELLQDILRKVSPPMETETVVRNLPELPQDVLMDIFSLLEIPDLMRAASVSSSWRSAYTSLCSQLKLYKRPQTPCLLYTSESAGENVACLYNLAEKRVYNLTLPDPPIRSRYLLGSSHGWLVTADDKSELHLVNPVTGQQIALPSVVTIGYVEPIFDNAGIVIMYKLRQQLYDPDLDREMVGPKMFPHAPDKLRDHVYIRVFIFPDPSTGSYIVVLIHGPGCQLSFARVGDCKWTLLTPDWDYDQCIYMDGLLYASTRAGRMDAFDLTGPTATRNIIADEIAIHSSEYKGEFYLLQAPWGDLLQICRQVELIDAGYEELIVKTNKILLHKVDMEAQELVEINSLHHNVLFLGRNQSICLSAEEYPQLKANCVYFADDEQYNWKYKTNPRDIGVLNLEDDSREEIVSPLWSSWPSPMWITPSLTVMNLSLYK >SECCE7Rv1G0497690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:629248393:629249717:-1 gene:SECCE7Rv1G0497690 transcript:SECCE7Rv1G0497690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLSSAAGAGAAGEVDHAARSLRSPPTIKECTRRLTNKELARLDGYDPVSFQELAARGNAGRPTLLQDEADSAAVCKLVRCALKHYNSKNPGVDFEYPAELTTEMKATGISFRERFWYHVGFLARPRNAVADDELQHFFAELRFNEWTLRPNVQTCTILEKPLCRFRSRCAFCTDGSKILHPSDTEFACGKEGHEKEFFNKKDMRVWPIDPMRHASWT >SECCE7Rv1G0459770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:27063416:27065357:-1 gene:SECCE7Rv1G0459770 transcript:SECCE7Rv1G0459770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDTASLPREFYRGDTEGVIQNFKRLGQGISNAAREEGRVLGTASAPYYMVEKGLLKKQLLRTSGGIIVAGFAVYAIGKLEDAEVGKFEGLKEVPRDSSTKLSDVKGVDEAKAELEDIVHYLQDPNRFNRLGGKLPKGILLVGPPGTGKTMLARAVAGKAGVPFFACSGSAFEETYVGVGAKRVRELFAAARKRAPCIIFIDELDAVGGRRTAEEPSWSKQTLNQLLVEMDGFKQNEGIIVVAATNLVESLDDALVRPGRFDRQVHVPLPDVVGRRQILEAHLSKVLKAKGLDVTTIARGTPGFSGADLANLVNDAALKASREGANAVGMDHLEYAKDKIIMGSERKSVVMSDHSRKTTAYHEGGHALVAILTDGANPVHKATIVPRGNALGMVTQFPGEDGELEQSRKQMLAALDVLMGGRVAEELIFGETGVTTGPSSDLSQATQLATDMVTKYGMSKRVGLVSYDDVGTGGRAVTMSGSMAALVDEEVKALLDKAYSNAKTILTAHSRELHALANALLKHETLSGDQIKKIVSAGRWF >SECCE5Rv1G0362560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:787153987:787155502:1 gene:SECCE5Rv1G0362560 transcript:SECCE5Rv1G0362560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSSSSPPLRVVVVPWLAFGHLLPYLELSERLAERGHSVSYVSTPRNLARLPPVRPAAAPRVDLVALPLPRVDGLPDGAESTNDISYGERKFHWKAFDGLAAPFAEFLAAACADEATRPHWIVADCFHHWAAAAALDHKVPLAMLQPTAASLAAAPRPPSAHPDPDASVVEQPAAAVPRYEREGHAALITGHGASSPGGMSVIQRFILTKDGCTVGAMRSCIEWEPETFPLAETILGKPVIPLGLLPPSADGARRAAAQGADHATVQWLDAQPPDSVIYVALGSEVPLRAEQVHEMAFGLELAGTRFLWALRKPSGGAADDSADMLPPGFQDRISGRGLVTMGWVPQMSILAHAAVGGFLTHCGRNSLIESLLFGHPLVMLPIYGDQGPNARQMAAKNMGLQVARNQDDGSFDRHGVSSAVRAVMVDEEARKGFVAGAAKMQAVVADTDLHDRYIDEFVEHLRSYAAASAN >SECCE5Rv1G0355780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:734155289:734164948:1 gene:SECCE5Rv1G0355780 transcript:SECCE5Rv1G0355780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGKVAASAGEPVVLAVNGVRREAAGVDPSTTLLEFLRTRTPVRGAKLGCGEGGCGACVVLISKYDPATDEVTEFSASSCLTLLGSINHCSVTTSEGIGNTRHGYHPVQQRLAGFHASQCGFCTPGMCMSIFSALVKADKPDGPAPPPGFSKLTCSEAEHAVSGNLCRCTGYRPILDTCKSFAADVDLEDLGLNSFWKKGTDRADVGKLPEYSSGAVCTFPEFLKFEIKGQMNDAPAVNTGEDGWYHPKSMEELHALFDSNWYDENSVKIVASNTGAGVYKDQDLYEKYIDIKGIPELSVIDRSNKGVEIGAAVSISKAIEVFSDGTPVFRKIASHLSKVATPFIRNTGTIGGNVIMAQRLPFASDIVTVLLAAGSTVTIQTASKMLCLTLEEFLEQPPCDAKTILLTIFVPDWGSDNVIFETSRAAPRPFGNAVSYANSAFLARTSGDAASEGLIIEDICLAFGAYGIDHATRARNVEEFLKGKSVTAPVILEAVQLLKDVISPSDGTTHPEYRVSLAVSFLFSFLSALGNNLNAPNGSCGNGPEKHVKVASDDLPIRSRQELIFNDEYKPVGKPITKSGAELQASGEAVYVDDIPAPTDCVYGAFIYSTHPHAHIKSVNFRSSLASEKVITVISAKDIPAGGKNVGAGWAMLGNEALFGDPVSEFAGQNIGIVIAETQKYAYMAAKQAIIEYSTENLEPPILTIEDAIQHDSYFPVLPVFAPQPVGDFDKGMSEADHKILSGEVKLESQYYFYMETQTALAIPDEDNCITVYVSTQLPEITQNVVADLLGIPYHNVRIITRRVGGGFGGKGMKGTHAACACALAAFKLRRPVRMYLDRKTDMIMAGGRHPMKAKYSVGFKSDGTLTALHVDLGINAGISPDLSPLIPGYTIASLKKYNWGALAFDIKLCKTNMSSKSVVRAPGDVQGSFIAEAIIEHVASVLGADTNVVRRKNLHSVESLTKFYSDSAGDAPTYSLVDIFDKLASSPEYRSRAEAVERFNGGSRWKKRGISCVPINYEVTLRATPGKVSILNDGSIAVEVGGVEIGQGLYTKVKQMTAFGLGELCPDADRLLDKVRVIQADSLSMIQGGFTGGSTTSESSCEAVRQACTVLVKRLKPIKEGLEAKSGAPAPWSTLIAQATMASVNLSAHAFWTPDPAFVKYINYGAAVSEVEIDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEDYARNADGLVVNDGTWMYKIPTVDTIPKQFNVEFINSARDKKRVLSSKASGEPPLLLAASVHCAMREAIRAARKEFSANSPLTFQMDVPTTMADVKELCGLDVVERHLESLSSVAATAKA >SECCE1Rv1G0018100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:176647934:176652383:-1 gene:SECCE1Rv1G0018100 transcript:SECCE1Rv1G0018100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVQAPNLAGARRPTARVLRSDRGGRFKVTAAASGGSVKEEEEKGTGKKEKIVIRVLDPVREGRLPPPLFSAPETPAEYPAAIRRPEEDGEERRRYYVNMGYAIRTLREELPDVFCEEPTLDIYREDIVFRDPLSKFVGIDSYKRIFWALRFTGQIFFKALWIDIASIWQPVDNVIMVRWIVHGIPRFLQAGHSRFDGTSEYKLDKNGKIYQHKVDNVATNSRKKFKILPVEELIRSLGCPSTPKPTYFEIISLMPFWLRWTWMR >SECCE2Rv1G0067140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:17757751:17758302:1 gene:SECCE2Rv1G0067140 transcript:SECCE2Rv1G0067140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFICPRCRAGVDRRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLQKKVRKLEDQAQIAIPICNYFWAVVGMVLALVIMLKMYGKA >SECCE4Rv1G0244990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:524085901:524087341:-1 gene:SECCE4Rv1G0244990 transcript:SECCE4Rv1G0244990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFTLNTGARIPSVGLGTYKSGPDVVAGAVTAAVKAGYRHIDCAPLYKNEKEIGVALNKLFDGGVVKRRDLFITSKIWCSDLAPKDVPSAIDRTLNDLQLHYLDLHLIHWPFQIKKGSELSPENFVELDMPQTWRAMEKLYDSGKARAIGVSNFSTKKLADLLAVARVPPAVDQVECHPGWQQAKLRAFCHTNGVHFSAYAPLGRMKVIADNPVVTSIAESLGRTPAQIALRWGIQQGQSVLPKSANESRLKENIDLFGWSIPEELCDKFSEIEQVKRIRNEALVHTQSIYQTIEELWDGEI >SECCE4Rv1G0277180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:802052688:802053999:-1 gene:SECCE4Rv1G0277180 transcript:SECCE4Rv1G0277180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLISSPLHLTRAPASSSAASRPRAGGHHHLLPATAAPRSFAPHHRFCLHPLAPLLPRPPPALAAASASARADAVNPAPPEQDDEAAGASAQAPPNGFTRFFQKVASAAAVALVAALVVAAVGPSSMVPPALASALHRHSHSAAVTAGRSIFKSELLGSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSPVESAAVGALWGCGHDAGQVMFGLLFLGLKDRLHIEVLRTWGTRVVGLTLLVIGAIGIREATQAAPCVAAALEGGAHQHGGTNNALEKALLGGGGKKKEISFATFATGIVHGLQPDALMIILPALAMPSRAAGAAFLGMFLVGTVLSMASYTVLIGTCTEALKERVPRITEKLTWAASLVAISMGVAIIVSESFGLSLY >SECCE2Rv1G0076770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:94489015:94491692:-1 gene:SECCE2Rv1G0076770 transcript:SECCE2Rv1G0076770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGPLKPVADLPSSCSPASHHPLHFITDVGLAASHAESKVSPCRQADGFPSAEVMGPEVNLPEDIIWKIHSLMPIQDAARAACLSRDFLHSWRCYPKLIFDMRALRKQTRDFINIVDHIMRNHSGVGVKTFKLQTRDEFTVHPSYLDRWLQVAITPGIKEFTLGLPINSKLKYNFPGSLLSPEAAHSIQYFHITSCAFHSVGKVGRLSGLKTLCLHDVGITGEELYLLLSNSFLLEHLDLEACHDIHCLKIPHFLSKLNILEVEYCKMLQMIECSAPNLSTFNYDGPLIHISLGSSLQVKTMQMTCTIVPNLLHYASAKLLSIAPNVQTLFLHSLYETVNTPMVLGKFLHLKYLEIKLFMPSCSLGYDFCSLVSFLDASPTLRTFVLRIDAPTMEGGLIPGVNMCEDFSLASCVPKHRHRKLKSVIITGFCPWKTMIELTRCILDYATSLKHLTLDTTLGYRRRRLGNCYPLGRDTIMEARKAIAAIRTYIEGKVPSKIKFKVLEPCNCNKCQEC >SECCE2Rv1G0086840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:216446155:216447369:1 gene:SECCE2Rv1G0086840 transcript:SECCE2Rv1G0086840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTTFLNGELKEEIYMDQPDGFVVPGQEGKVCKLLKSLYGLKQAPKEWHEKFERTLTDAGFVVNDGDKCVYYRHGGGEGVILCLYVDDILIFGTKLDLIKEVKDFLSRCFEMKDLGVGDVILNIKLLRDENGGITLLQSHYVEKVLSHFGYSDCQPSPTPYDASVLLRKNRRIARDQLRYSQIIGSLMYLASATRPDISFVVSKLSRFVSKPGDDHWHALERVMHYLKGTASYVIHYTGYPRVLEGYSDSNWISDADEIKATSGYVFTLGGGAVSWKHVKRRLKSVRKLRNSGVITLDYIQTSKNLADPFTKGLSRNVIDNASMEMGLRPTA >SECCE1Rv1G0042100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:576882473:576882784:1 gene:SECCE1Rv1G0042100 transcript:SECCE1Rv1G0042100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE6Rv1G0422300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689358018:689359133:-1 gene:SECCE6Rv1G0422300 transcript:SECCE6Rv1G0422300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGLALPNSQVVLSAARTRPPVIAVRRSSACFVFSSPSANRTLIVTSSSTNNPYTAGDRKEEMAAGEDEHELKLLGTWRGPFALRVRLALNFKGLSYEYQEEDLANKSDLLVKSNPVNKKVPVLIHNGMPICESLAILEYIDEVYRGTGPSLLPDDPYERAIARFWAAYIDKNLVAPWWKMFVGKTDKEKDEGTKQTLAAVETLEEALREISSGKLFFGGDNVGYVDVVLGGMVAWMQGTETLCGVELLDATKTPLLLAWMERFGGMEPAKVVLPDIDRLIEFAKMKRAQKALI >SECCE2Rv1G0100920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:549841578:549843779:1 gene:SECCE2Rv1G0100920 transcript:SECCE2Rv1G0100920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEYNMDEALKARNTAESKFHARDLRGARKYAVKAQNLCPSLEGISQMASTLEVHLAAESKIDGESDWYRILSLPAFADEEDVKKQYRKLALQLHPDKNKSVGAEEAFKLISEAWSVLSDTSRKTIYDQKRSDHSVVNVTNGMYTYDKKATKRARKNAAAAAAAAAAAAAAAEATARPAGVDTFWTSCNRCRMQYEYLRMYLNHNLLCPNCHHAFMAVETGFPCNGSSSSFSWSTKQQPQNHSSTKHSYGSTSRTSSIPGTGHVGYQQDSTYDSYNSQSFQWNQYSKTAPAADTNAYSTQASEKPRRNEESYSYNYPESGNTCDPERTTSRRGRFAKRRRHSNDYTTVDYAGDNKETVVANTETNAFTDVGRVNGTSVEKMRSAVSVRRANVLREISQIDTRGLLVEKAKEAVRGKLQELSMAACSRFAEKRKSEGKVYPSDNNIKANGVLSGKLGKGLKLCSSVSVNTQVPATASDEKNPEQKRVPVSIDVPDPDFHDFDKDRTERAFYSDQVWATYDSEDGMPRLYAMVQKVLSMRPFRIRMSFLNSKSNIELSPINWVASGFQKTCGDFRVGRYQITETVNIFSHRVSWTKGPRGIIRIIPQKGDTWALYRDWSPDWNELTPDDVIYKYEIVEVIDDFTEEQGLTVIPLLKVAGFKAVFHRHMDPKEVRRIPKGELFRFSHQVPSRLLTGEEGNNAPEGCHELDPAATPVDLLKVITEVNEDMVAQTAE >SECCE6Rv1G0391470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:223323577:223325722:-1 gene:SECCE6Rv1G0391470 transcript:SECCE6Rv1G0391470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPNSHPILSYVLFRLPSIKTGSPRLSTPRDLEQPPPPSPSLRAPSGPAEFDLVERMPGLRHPSVLRSMTRAVADITCARDALRHLGPRPDHELLDSSRAFLLSHSHGNLVGLGKEEEIDEKVAASQEVVRLDEEHESYEALLREAEDKLERVYRMAMHGRNVAEGGGKRREDERSGAVEEEVVRLFKQEEEGRVVEQVRLADRQLCHLPEPLGRIRGLLMLDVSRNQLQAVPDAIGGLEYLEELRLASNVLVALPDSIGLLSNLKVLDVSGNKLRSLPDSISKCRSLVELDASCNVLAYLPTGIGYELVNLLKLWVHLNKLRSLPSSICEMRSLRLLDVHFNELRGLPSSFGKLVALESLNLSSNFSDMRDLPASFGDLVGLLELDLSYNQIHALPDCFGRLERLERLCLDQNPLVVPPMEVVAKGVGAVREYMDKRLQAEEERRKSAAVAAESPKASSPIAWLSRSVSSLSTWVSDVAGPEKAAEEDKFLEQEL >SECCE1Rv1G0056590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:687822809:687824226:-1 gene:SECCE1Rv1G0056590 transcript:SECCE1Rv1G0056590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITMPVSVDAIPMVKCAHAAAAAVPSVDLSAPGAAAAVADACRGVGFFKATNHGVPAALTDALEARAAAFFALPLKDKMEASARPLGYGSKSIGCNGDVGWLEYILLSVGSGSVAAASLPPLLRAALEEYTDAVREVGARVLELMADGLGIAEAHRGVLRRMVVAPAGDNGGADELVRVNHYPPCPCPLAAGQRGVTGFGEHTDPQIISVLRSNRVGGLQIMLPDGRWVPVAPDPDSLFINVGDSLQVLTNGRFRSVKHRVVAPAEGQQPRLSVIYFGGPAPTQRIAPLPELMREGEQSLYRDFTWAEYKKAAYKSRLGDHRLGPFELTAAVTQEATKADHHCGSNAVQQPAPAPPHVARVH >SECCE3Rv1G0171540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:272815112:272815360:1 gene:SECCE3Rv1G0171540 transcript:SECCE3Rv1G0171540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQSEGNYAEALQNYYEATRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYVRLSPL >SECCE4Rv1G0278410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:808745393:808746593:-1 gene:SECCE4Rv1G0278410 transcript:SECCE4Rv1G0278410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVKLTCVQLLMVAFQATLITMSSAELPYNFYSSSCPTAEETIKNTVYNLIDGNPSIAAALIRMHFHDCFVMGCDASILLDSSNANPQPEKSAIPLAGYEAVDKIKAAVEAVCPLTVSCADVLAFAARDSVSKSAGFSYPVRSGRRDGNVSKAFSVVTNMPSPFFGIEDLVGSFTRKGLNVDDLVALSGAHSIGVAHCSGFTNRLYPEVDTTMDSAYATDLKKTCPAPVRGAPDPVVNNSAVAPTTLSNQFFKNAVARRVLFTSDAALLTRNDTAAKVQENAVDSLLWKVRFAASMVKMGNIEVLTGTNGQVRKFCRAINS >SECCE2Rv1G0121120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:804620674:804622775:1 gene:SECCE2Rv1G0121120 transcript:SECCE2Rv1G0121120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRFNQLRTNIWSRCPARLLVQHAGLPSGKLLDPKNTEKRLFSTGVQETASLNRTGLDSCISRIQPSSLQQTSEHEQSVPVLIFDLETTGYFHKEHRIIEFAVRDLSGGRNSTFETLLNPDRNVPDRTAAVNKISTDLVCKPDVPRFTDVLPLLLAFVRSRQTPGKPVIWVAHKANTFDAPFLAQEFDRCSAQMPEDWLFVDSYCLARKLPKLSPSDDKSHLLNLKSLCKRYEISLEGPAHRAMQDVMALCHVFQKMSFDLKLTYEDLMDEAIKASYFSKFVK >SECCE6Rv1G0424720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702181909:702187046:-1 gene:SECCE6Rv1G0424720 transcript:SECCE6Rv1G0424720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEYHHRMGAAAADFRRDLEDLVCDHLGGCYSPPPSSSSSLCSAAGGGGGGGAEGGGGGGGGGEEEAESSRRRRRESRLLSRWVARQAEEVLSSMEREVERRNRESELLALTRLHPVSTLDPSAFLLSSPTAPPPPRPQAPSPAAPSSLLQMWRELEHRRADAGQPFDREPSPDTPDRHRERVRQIARRLTTSTDSPTAAAATATGEWLGETERQRVRLVREWVQMASQPRDPRTASRREEPTAAERERRGEPPRLRGRQARTDVITRMSRERQRELQGLSGYHIVSQFPQRSRSRIQGLLRVRFLRNAVLPAEEERQPSVAARELGQLRQSHRVSTLRSESAVSSQDVSPSDAPVTENVPLLGNDETEQGADIGHLTGSEDVAQTTSENVGLQEDNVDVAEAESPATTSGDIVDMQVSQVDNGLQDETERETIFWQPSLDVRLDRWPNETAENSDRNWEDNAEELHSEIVEDDDRENENLQDEHDAWHDDESHVTEENWQDDFHDAALDTGPIPRIENSFNLRDEANLHNMELRELLSRRSVSNLLSNGFGDSLEQLIRSYVQRRGHAPLNWNLDTAMPTANAPNGNQELVRNAENRQFQGPANRPALVIPPPPLPPRQPLWHRDLRHNTWSSRHRVHQELDAINDLKADMNKLQQGMSSMQRMLEACMDMQLELQRSVRQEVSAALSRFPGPEGMFLDLHDDGTRWDQVRKGTCCVCCDTQIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIVEVVRAYAVL >SECCE3Rv1G0189130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721593458:721594873:-1 gene:SECCE3Rv1G0189130 transcript:SECCE3Rv1G0189130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLLLLLLLVVDMAFGSPAAASERRRNAITHVKGFEGPLPFYMETGYVEVDDTHGTELFYYFIQSERSPREDPLILWITGGPGCSALSGFFFEIGPLKFDVAGYTEGFPTLVYFEDSWAKVSNVIFLDAPVGTGFSYAREEQGLNVSITGTGAQLRVFLQKWIADHPEFASNPLYVGGDSYSGYIVPVAALEIANHNDVDHASGGPKLNLQGYLVGNPGTDDMYDTPGKVPFMHGMGLISDELYEAARVSCSRDDFVTPSNARCANALDAISLVTADINPVHILEPFCGLALRDPGGATVFTKTARLLLQDNLQHRLALPVECRDNGYRLSYIWSDDPEVRETLGIRDGSIGAWSRCVTLAHFRHDVRSTVPYHVNLTRRGYRALVYNGDHDLDFTFVGTQAWIRTMGHPVVAPWRPWYSKQQVAGFTTEYAYNLTYATVKGAGHTAPEYRPKECLDMLARWTSPAGKL >SECCE1Rv1G0054570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675427756:675428028:-1 gene:SECCE1Rv1G0054570 transcript:SECCE1Rv1G0054570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLLAFSILSASPADVAPGAGWARFSWQQGRKLRDDDDGQARAGQEGKKQGGPPAEAEQQPDKGKSPTLRPRFAPEFDGIDCFETIVCH >SECCE7Rv1G0482340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:303727748:303728215:1 gene:SECCE7Rv1G0482340 transcript:SECCE7Rv1G0482340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVDTLLHLFSLFVVAAAEAICCLVGWARPASSRPSVQECPGAVVCSTEELPVARCGEQRGAGRERCVFCLGGMEDSDEVRVLRCQHLFHRCCLDRWLAARPGATCPLCRGKLLTVTAANLAGEEEEEERSIEGMCMVMLMAYVHSRSSVPAV >SECCE7Rv1G0500880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:676471650:676498073:1 gene:SECCE7Rv1G0500880 transcript:SECCE7Rv1G0500880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVWDSGRRMSRSISRGMGAENWGVDEAFLHGGGGSRASAADDEEEALRWAAIERLPTYSRMRTAIFSAEAPAQGKQQQYKEVDVRRLDDSDRHEFIERVFRVADEDNRRFLQKLRDRIDRVGIELPIVEVRFERLTVQAQCQVGSRALPTLLNTTRNIAEGALGLLGLRLGRQATLTILKDVSGAIRPSRMTLLLGPPSSGKTTLLLALAGKLDPSLRCNGEVAYNGYPLDKFVPQKTAAYISQTDVHVGVMTVKETLDFSARCQGVGTKYDLLTELARREKEAGIRPEPEVDLFMKGTSMEGVESSLQIDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFELFDDIILLSEGQIVYQGPRDYVLEFFESCGFCCPERKGTADFLQEVTSKKDQEQYWADRQRPYRYISVSEFAQRFKRFHVGLQLENHLSVQFDKSRSHQAALVFSKHSVSTRELLKVSFEKEWLLIKRNSFFYIFKTIQLIIVALIASTVFLRTQMHTRNLDDGFIYIGALIFTLVVNMFNGFAELSLTITRLPVFYKHRDLLFYPAWIFTLPNVVLTIPFSIIESTVWVVVTYYTMGFAPEADRFFKQLLLVFLIQQMAAGLFRAIAALCRSMIIAQTGGALFLLFFFVLGGFLLPKDFIPKWWIWGYWISPLVYGYNALVVNEFYATRWMDKFVTDKNGVSKRLGIAMLEGANIFTDKNWFWIGAVGLLGFTIFFNVLFTMSLTYLNPLGQPQAVISEETAREAESNGLPRKAVSNDNTRRNGRMKSKDGSNNKEMGEMRLMTHLGNSSSNAISRVKSVGRNESAPIRGMVLPFSPLSMCFEDVNYYVDMPAEMKQQGVTDDRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKNQATFARISGYCEQNDIHSPQVTIRESLIYSAFLRLPEKIGDQDITDDIKIQFVDEVMELVELDNLKDALVGLPGISGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGKLGRNSEEMVKYFEAIPRVPKIKDKYNPATWMLEVSSVAAEVRLSMDFADYYKTSDLYKQNKLLVNRLSQPEPGTSDLYFPTEYSQSIIGQFKVCLWKHWLTYWRSPDYNLVRFFFTLFTALLLGSIFWKIGTNMGDANTLRTVIGAMYTAVLFVGINNCSSVQPIVSIERTVFYRERAAGMYSAMPYAIAQVVMEIPYVFVQASYYTLIIYAMMGFQWTVAKFFWFFFVSYFSFLYFTYYGMMTVSISPNHEVAAIFAAAFYSLFNLFSGFFIPRPKIPKWWIWYYWICPLAWTVYGLIVTQYGDLEETISVPGQSNQTISYYITHHFGYHGSFMAVVAPVLVLFAVFFAFMYALCLKKLNFQQR >SECCE2Rv1G0110910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:696144806:696145180:-1 gene:SECCE2Rv1G0110910 transcript:SECCE2Rv1G0110910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVEIVEQVLKTEVKQSTFLRNVGLQSSRNNSGKATTEVAAHVRDLEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFGAKVV >SECCE1Rv1G0023340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:303450734:303453358:-1 gene:SECCE1Rv1G0023340 transcript:SECCE1Rv1G0023340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAAAARVLPKAVTFVTGNAKKLEEVRAILGSSIPFQSLKLDLPELQGEPEDISKEKARMAASQVNGPVLVEDTCLCFNALKGLPGPYIKWFLEKIGHEGLNNLLKAYEDKSAFAMCIFSLALGPEEEPITFVGKTAGKIVSARGPADFGWDPVFQPDGFEQTYAEMPKSEKNQISHRGRALALVKEHFASANYEVQSDGLA >SECCE5Rv1G0367650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:822879183:822880358:-1 gene:SECCE5Rv1G0367650 transcript:SECCE5Rv1G0367650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 43 kDa protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47450) UniProtKB/Swiss-Prot;Acc:O22265] MEAVLRHPSLSRLKAPNPAAHRTQYLSTTVPCRPRVPKRRLAAAALFQDQTNPRTAAASKGDDEEDEEGYGEVDRIVSSRTVKNAVFAEDGSATTVTATEYLVEWKDGHEPTWIPAEAIAADVVAEYETPWWDAAKKADADALGALLADEALRRDPDAEDAQGRTAMHFAAGLGSEECLRLLAEAGADVGHAERAGGGLTPLHIAAGYGRATGVRALLELGADAEAPDGKGRTPLELVQEVLAATPKGVPAAFERRQALEAAAKELEKAVYEWGEVEKVVDGRGEGKWREYLVEWRDGGDREWVKAPWVAEDLVKDFEAGLEYGVAEAVVDRRQAADGDGDGKWEYLVKWVDTEEATWEPAENVDAELVQEFERQQPGNGGAAPPAETFSG >SECCE4Rv1G0251610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:605732390:605732903:-1 gene:SECCE4Rv1G0251610 transcript:SECCE4Rv1G0251610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKWLTSKEEKNGNFGREFVKCESKPEGQIVKKCHHFEWMDDYIQRLQGLGLLDLRGNAIREFNLPHDSATPAAAARPEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIAFGILALGIFYLMAISH >SECCE2Rv1G0110620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:692362122:692363644:-1 gene:SECCE2Rv1G0110620 transcript:SECCE2Rv1G0110620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLAFVACRGEPELVAPAGPTPRELKRLSDLDDQESLRFYRSVIYFYRGCPSRARADPARVIRDGLAAALVHYYPIAGRLRELPGRKLAVDCTGEGVWFVAADADVALDEFGDTLCPPVPCAGQLLCLPESNSAVVVNRPLLYVQVTRLRCGGFVFGIQVCHNLVDAPGVTQFLQAVGELAGGMEAPSVRPVWARELLDARDPPCPSYQHPEYELAADAANDRLRPGGELVHRAFLFGPEELSSLRDQLPPRMRSRCSRFLLLSAFAWRCRTAALGYAPGDEVRFMFVVNARGKRGRPLPEGFYGNALTFGVARTTAGELCSGPLGRAVELIVAAKARVAADDYAQSVADALVLRGRPRFTTARTYLVTDLTKSNLREVDLGWGLPVYGGPATTTLATFHIPAAGGGITVPMCLPARAMERFAANVRAGLSEASSHRGRSSGVESAVLSRM >SECCE6Rv1G0399890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:444808089:444811552:1 gene:SECCE6Rv1G0399890 transcript:SECCE6Rv1G0399890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVGGDCPEPAAPEEEAAAPAPAVGGADHLVIMVHGIVGSTADWKYGAEQFHKLLQDRVIVHCSNSNMHKLTLDGIDVMGERLAQEVIEEIHKRPQITKISFVAHSVGGLVARYAIGRLYRPPGQSLKNSPQSLRDSNRGNIHGLDAVNFITVASPHLGSRGNKQVPFLFGVTAIEKFACCIIHFIFRRTGKHLFLTDNDDGKPPLLQLMVDDCGDLRFISALQAFHRRVAYSNVGYDHIVGWRTSSIRGASELPKWVDSASKIYPHIVYEELAKAETLDQCADVADMDKDNCTLEERLLRGLKRVSWEKVDVSFHNSKARSAAHSVIQVKDPVIHSEGADVIKHMIDHFIA >SECCE7Rv1G0457290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:15504077:15507482:1 gene:SECCE7Rv1G0457290 transcript:SECCE7Rv1G0457290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAASGGREGDEMLITPLGGGSEVGRSCVHLSFKGRAVMFDCGIHPGYPGMASLPFFDEIDPAAVDVLLITHFHLDHAAALPYFLEKTAFKGRVFMTHATKAIYRLLLSDYIKVSKLSAEDMLFDEQDIVRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLKAAEIPQFSPDICIIESTYGVQRHQPRHVREKRFTDAIHNTVSQGGRVLIPAFALGRAQELLLILDEYWSNHPELHKIPIYYASPLAKKCMSVYQTYINSMNERIRKQFAQSNPFHFKHIDPLNSIDNFHDVGPSVVMASPGSLQSGLSRQLFDKWCTDKKNTCVIPGYAVAGSLAKEIITEPRTVELANGLTVPLNMQIFYISFSAHADFPQTSGFLGELRPANIILVHGEANEMGRLRQKLTTQFEGTDTQIVSPKNCQPVQLYFSSDKMAKAIGRLAEKVPEVGDSVSGLLVKKGFTYQIMAPEDLRVHTQLSTVNITQRLAVPYAGSFEVIKYRLRQIYESVESSTDEADVPTLIVHERVTIRLDSESYLTLQWSSDPISDMVSDSVVAMVLNIGREGPKAVPMEEETEMVAQKVVYALMVSVFGDVKVSEEGKLVITVDGDVAHLDGRSGSVECENAALKGRIETAFRRIQGAVRPIPLSAS >SECCE2Rv1G0113070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:718893452:718893694:1 gene:SECCE2Rv1G0113070 transcript:SECCE2Rv1G0113070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVESPTSSCVSSDTEEEAAAVTKPMVVVGCPRCLMYVMLSGAAEEQPRCPRCKSPVLLHFLHGAAAASANRQQPSKS >SECCE1Rv1G0041780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:573990564:573991130:-1 gene:SECCE1Rv1G0041780 transcript:SECCE1Rv1G0041780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTHYIICALAVSLTAIALLSGSTDAACAGDPSMSVADACHKTSAWQGQRELELCQKTLHGAKDGLTASAYGVVAVRAALQSSEATEGAGKKLAQEPKISEGARAMYQSCVDMYGFARADVAAMEGALKACSPADFRRVWEGALASVDACARKLRLVDGDVLHSTVSADRERIMLAFILGGLSFPKW >SECCEUnv1G0527700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1582995:1586482:-1 gene:SECCEUnv1G0527700 transcript:SECCEUnv1G0527700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLNALASYVTKMLADMARDEVAMLIGVSGQIDDLSLKLRDLNNFLEDADRRNITDKSVCAWVEDLKRAMYLATDILDLCRLKAMEQGPSMDMGCLNPLLFCMRNPLHAHDIGTRIKVLNQQLDDICKRGRSFNFIRLEGYQERKTNHPPPVDRKTHPVLDLSGVVGEKIEDDTRALVQLLTKEASDNSDNIMVFAIVGVGGIGKTTLSKKVFNDEAMQAKFSKRIWLSITQEFSEVELLRTAISAADGNLPGPGGGSQDKALLVPALVSAIKDKKFFLVLDDMWGVNEWNRLLMTPFSHGAPGSRVLATTRHEAVARGIRAMEPYHHVDKLGPGDAWSLLGKQIHGMDRSEPAIDMLKDIGLQIVEKCDGLPLAIKVMGGLLCQKEKERLVWEKVLNDAIWSVSQMPEELNNAIYLSYEDLSPCLKQCFLHFSLKPKKTLFQDIEYVGMWIGEGFVHGDSDRLEELGIEYHKELVLRNLIEPDTSYVGPHVCNMHDVVRSFAQYVSRNESLVLNNGESTSNTFSMQRYLRLSIEIKGVESDTFELRSLQEQRSLRSIILIGNFKIQPGDSMTIFSSLRTLHMESIDCVSLIESLHELKHLRYLAVQKCSDINSLPQDIHKIKLLQHLSFDGCGNLMSLPNSIVKLQELRFLDLDGTGVISVPRGFHALKKLRTILGFPAQMDGDWCSLEELGPLSHLRCIRLVDLQNVSAGSFARKARLGEKVHLSMLRLHCSRGFGDDGQKKENVTEKDQGVIEEVFDGLCPPPCIQDIRIKGYYGCQLPRWMRDTSTTLLNSLKILMLRDLACCTQLPDGLCQLPCLDYLRVFRAIAVKRVGPEFVQPYSHRHHPSSQAMVTFPRLHELVLNGLVEWEEWEWEEEVHAMPVLEDLFIQSCKLRCIPPGLSTHARSLKKLTISKVQRLQSVENFASVVELNLHGLPDLTRISNFPKLQKLGIDCCQKLESLQGMDQLRRLV >SECCE2Rv1G0102930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:585538590:585538877:-1 gene:SECCE2Rv1G0102930 transcript:SECCE2Rv1G0102930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVAVLTEFKLRNNIGCSWRMTVKLMNGRVTLDRGWATYAAVHHIKIGYMVTFKFLTPDTLKVVIFDDDGIEVVNKCGKHDEAFAARD >SECCE2Rv1G0126540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:846790878:846791396:1 gene:SECCE2Rv1G0126540 transcript:SECCE2Rv1G0126540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQALSLLVLLMLLASSGASVLQDTCRGFGGADTYDICIDFFKANKDSTNADKRDLAIIATRIAGATAVDTCKRIATLKAGEKDQMIQQVLAYCDNMYSRAAGLFDKAAKGISSGKLDDAVTSLNSALDIPQYCDDEFLKAGVRSPFDDENFKFGVQCAITLDVTKLLTL >SECCE2Rv1G0078160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:106062341:106065154:-1 gene:SECCE2Rv1G0078160 transcript:SECCE2Rv1G0078160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSSWSWSWPWGWGWGAAVLVGAACLCAHAAAEALWLRPRRLERHFAEQGVRGPGYRFFVGSSIELVRLMLDASSRPMAPPGSHDILPRVLAFYHHWRKLYGPKHLIWFGTKARLTISSPELIREVLLTRAEHFDRYEAHPLICQFEGYGLGNLRGDRWARHRRVLSPAFHTENLKPLVPFIAGTMRRMLDELAAKAVVDGAGGEAEVDVAEWFQRVPQEVITFATFGRRNYEDGRVVFELQDELAGLAADAHSKVYIPGYRFLPLRRNLRVWHLVREIRKGLAAFIANLPKAGHDDDQRRDGGGGGMRDLMSFMTPAMTTEEIIEESKNFFFAGKETLASLLTWATVALAMHPEWQDRARQEVLAVVGRDDLPTKDHLPKLKTVGMIVNETLRLYPPAVAMIRTANRDVELGGCVVPAGTELLIPILAVHHDEEHWGADATEFNPARFGDDRPPRRHQMAFMPFGGGERVCIGQNLALIEAKVALAVVLQRFAFRLSPAYVHAPSVLMILNPQYGAPVIFRPL >SECCE4Rv1G0261260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700869708:700870921:1 gene:SECCE4Rv1G0261260 transcript:SECCE4Rv1G0261260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSNDVSRRRNPADKLTEDLLIEILSRVPYKSLCRFKCVSKRWRGIISHPDHRKALPQYHLHDLAGFLYSSRGPSTGYFSTDNFTHVSAGGRPPMDPSLPFLPDWTLHSPSCLTTFGAGAFYYVVCNPATEKWVSLPGIFSKMQTARLGFDPAVSSHFHVFQFVEDGAADANADTDDDDDDGYGFVGAVDIYSSKTGAWSHKDIGWDFLPRIVSDSRSVFVNGFLHLVAVEAEVVAVDVEGSTWRAIPMPDDEDAPIIDVDVGFIDLSRGRLYLANSDQHDLYKLSIWVLEDYGSQVWVLEHSIRYVNLFGVKNVRLGHDGKVHFIHDLGRDSMEPYLPYVPLYSEALADWH >SECCE6Rv1G0414080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:629791415:629792008:1 gene:SECCE6Rv1G0414080 transcript:SECCE6Rv1G0414080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPDIYEGSPTPLTAFLSIAPKISISANMSRVSIVISYGGTLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSSIGHVSYIRTGFSCGTIEGIQSLLIDIFIYASMTTDAFAIVPALRQTHIKYIEDLGTLAKTNPISAMTFSITMFSYAGIPLLAGFCSKFYLFFAALGCGAFFLAPVRVVSSVIGRWAAGKLP >SECCE6Rv1G0428610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:728613311:728617265:1 gene:SECCE6Rv1G0428610 transcript:SECCE6Rv1G0428610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIRQKSIDFLKTFEVPAKNPSEDAQRRWREAVGTLVKNRRRRFRMIPDLDKRSQAETQRRNIQEKLRVALYVQKAALQFIDAARRVEHPLPELARQSGFSISAEELASLVRGHDTKSLRLHKGVEGLARKVNVSLADGVRSDDVGVRGEVYGANHYPEKPARTFWMYLWDASQDTTLMLLAFCAVISVVIGIATEGWPGGMYDGLGIMLTISLVVTITAASDYKQSLQFRDLDREKKKIEIQVTRDGFRQKVSIYDIVVGDIVHLSIGDQVPADGLFVDGYSFIVDESSLSGESEPVHVSATNRFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLIGKAGSPGGLVMWGMEDALAVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKVWAAGGATTVSTAKGFEEFTSSALSEGFAKLLLEGVFQCSGSEVVHGKDGKTSVMGTPTESAILEFGLGVEKNTCIEHAAAAKLKVEPFNSVKKTMAVVVASPNAGGRPRAFLKGASEVVLRQCSNVVVDRHGSIVALTEKNYMKQVAGSIDAFACEALRTLCLAYQDVGGENEVPNDGYTLIAVFGIKDPLRPGVREAVETCHIAGINVRMVTGDNISTAKAIARECGILTADGVAIEGPEFRQMSPDQMRAIIPKIQVMARSLPLDKHTLVTNLRGMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFVSASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPSDDMMRRPPVGRGDNFITKVMWRNIAGQSIFQLVVLGLLLSRGDSLLQMNGDGELLNTFVFNTFVFCQVFNEVNSREMEKINVFSGMFSSWVFSAVVGATVGFQVILVELLGTFAGTVHLNGRLWFMSVLIGSVGLVIGAVLKCIPVGSGDASSDRHDGYQPIPTGPSAV >SECCE1Rv1G0056420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:686921540:686923354:1 gene:SECCE1Rv1G0056420 transcript:SECCE1Rv1G0056420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNYGELYNILGWGEPYFTVNRDGHLCVKTHGHETKTGQEIDVVSVVEAAKEKGVQFPMILRFPGVLKHRLDSLHVAFEKAIKHNGYTAPYQGVYPVKVNQNRAIINDFVSFGHHRSYGLEAGSKPELLIAMSYLTKAKPGAFLVCNGYKDAEYVALALSARAMGLNVIIVLEMEEELDIIIEQSNKLGVEPVVGVRAKLLTKIPGHFGSTAGKHGKFGLLADKIYEVAKKLKDLNKLHWLKLLHFHVGSMIPTTDIVSSAAREASGIYCTLVKQYGAEMTTLDCGGGLGVDYDGTRSGSSDMSVAYGLEEYASSIVQAVRLTCDYNGGVPHPVLCTESGRAMVSHHSMIILEALSAIPEPKDDETPEQLHSKIQRLSSSSPPPPPRTLMATDLHKHATDIQKHGVEMYKLAKKLSKRIAGDSHTIYNYHMNLSIFSLVPDFWGIKHLFPMMPASRLNEKPTQMGTLIDITCDSDGKIDKFIGNAETLPLHPLDPESGGYYVAVLLSGAYQEALSCKHNLFGGPSIVRVEKSSVGFHIATADLGPTTEELISTVGYNVKEDIRGVIEQRAREKGVWEEVGVFVQAGLTSMPYLVEYKVPRTA >SECCE2Rv1G0131380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884246826:884247905:-1 gene:SECCE2Rv1G0131380 transcript:SECCE2Rv1G0131380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARQFLNVVMQRSGSSYTVSRIKPGEQLFYRSTAEARAAAAAAAAQPTDENTPPSSSPVVAPKMPPPRFKLEAYRVDGPRLDFLPFYGGGGGGGGGDGKILSMDSAGNTVLCDAGSCSVQPVAGLNEPKGDSPVSFSITRAETSHPALYVMDRIPAARNAFNFEALIYGKKCWEWHRLPPPPYVNGAAYECTAVQSYMLLGDGSTICMSSAGLIGTYCFDTVSCTWEKAGRWTLPFHGRAHHVPELRNLWFGMAGNNANNLCALDLSNLGRAPELLHDWQVLHTPHGWVQTRGSLLYLGAGRFCIFGKFDTGKQDDQGNPSNTAAVLTGVEVVLEGSSELQMIKHKSFVSYAGIQCVL >SECCE3Rv1G0149100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:22475356:22475892:-1 gene:SECCE3Rv1G0149100 transcript:SECCE3Rv1G0149100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGRKQQQAEDIEIKKVFSRFDTDGDGRISPSELAAVSRAIAPPAATDSSAQGREVASMMDELDTDRDGYVDLGEFAAFHGRGRGERELDAELRDAFDIYDINGDGRISVAELSKVLSRIGEGCSTEDCEKMIASVDVDGDGCVGFEEFKKMMSGDAGAPPQPEAGVPDDNKPKKQ >SECCE5Rv1G0305100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:66202001:66202585:-1 gene:SECCE5Rv1G0305100 transcript:SECCE5Rv1G0305100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPMLSALLLLALFSAAGVHAASSSGAAPSSPLDQLCGSLGSFYVTPELCASALCVDASSCRSARGAPELAALATRLAAANATAAKASIESALALDAERVPAPASAADADARKGMRSCLQLYAGAVPALRWAARSVAAGRYSGAREVLDAAQYVASGCAGMAGEATLPKENDRFSSMAIVAHAVVASMSTT >SECCE4Rv1G0261280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700941595:700947917:-1 gene:SECCE4Rv1G0261280 transcript:SECCE4Rv1G0261280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRAMAMALALTLAAAAMWAPPRTGHACSSAAGRVPHVTGGHSKRRRLVGAVGPVCHSASSTATSASKSTTRGPITCHRPDAAGAPMAAAADAAGDLRSEFLEVLLSRRRENQVPAPTAVEQGSPVKEPMYQGNGPLGGREAMESCPRKEVDNFQEKLVEENFYLITESGEQGRLPVLLLKLNDTAPERKPVVVILHSSYKCKEWVRPLLEAYASRGYIAVAIDSRYHGERASNKTTYIEALKSSWRNGDTMPFIFDTVWDLIKLGDHLSAREDVDPSRIGITGESLGGMHAWFAAFVDTRYSVVVPIIGVQGFQWAIDNDMWQARVDSIKPLFEEARIDSGKSEIDAEVVKKVWDRIAPGMASQFDAPYSVPLIAPRPLLLLNGADDPRCPVLGLQEPASKAAEAYEKAGSADKFKFIAEPRVGHRMTASMVKEASDWFDRFL >SECCE6Rv1G0382110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:38814577:38815941:1 gene:SECCE6Rv1G0382110 transcript:SECCE6Rv1G0382110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNKDDAFYRYKMPRMLTKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTSKLAGLLENFIKKYVQCYGCGNPETEVLISKKEMITLKCAACGFLSDVDMRDKLTTFILKNPPEAKKGGKDKKAMRRAEKERLKEGEAADEEMKKLKKDGKKKGASSKDSTAKGVSTKKKGAGGSDEEHVSSPRDADFAAAADDDDDDDDDVQWATDTSAEAARKRMEEQLSAATAEMVMLATEETEKKKKQAQHKEDGGANGTAKAEETSNGNQNGAKTTTPYNELVEEIKASLAHAATAAQLKGVLSSSTLPLKDVMDALFEALFHGAGKGFAKDVVKNKKYLAAAVPDEAAQMLLLQSIEAFCGKCSAEALKELPVVLKALYDGDVLEEETIVQWYNQAVAAGKDSQVVKNAKPVVEWLQSAESDEE >SECCE4Rv1G0285430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:844444131:844445411:-1 gene:SECCE4Rv1G0285430 transcript:SECCE4Rv1G0285430.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPVAAAQAPQPGGTPALRKSRQWSDLSPDMLLAVSARLHDAADFVRFHAVCTSWRDRLHSAATRPKFLPWLLWPSNDGQIVHSPMVYSGHVEIEVSSEDASSSHRGDHNDDIVFAAAAPSAGGGGRNWVASADGTAVWLFAGGPEPKLINNLVTGIATPLPPFPDDIHRDVIKRMSNPHGVVYGDGTVFLYSCEKGYGPIFTAAVLRPGKAMWAVMKKRLNRLANYCCAAYHDDKVLVWENLFWCCILDFQDNTDQYTSVGELKTRCREAEDRRYGRFCSYVLESRGELLRASILVEHEWLHHHYLDGPSPPLSVTVHTLEEGAGGEMRWARTDAYSLGDRVLFLGSRASFAVDAAQLGIEGGCAYFVFMSRVYRCSLVNGEAKLVKPLRCGCCVWLQPQPAIAPVQKIREKLKALSKQEDDKS >SECCE7Rv1G0471390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:129746191:129759738:-1 gene:SECCE7Rv1G0471390 transcript:SECCE7Rv1G0471390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGRTEDCDAGGEWIWVRRPQEAEAAAAAAGWPAEEARPLKVVFTSPARYFTDAAPIGNGRLGALVWGGVTSEKLQLNHDTLWTGGPGNYTNPKAPTVLSEVRSLVDKGLYPEATAVAYGLSGDDSQSYQPLGDIDLAFGEHIKYTNYKRYLDLESATVNVTYSVGEVVYSREHFSSNPHQVIATKISANKPGAVSCTVSLATPLDHRIRVTDANEIIMEGSCPGEKPAGDDNASDNPPGMKFCAILYLLTSGANGQVQVLNDKMLKLDGADSAVLLLAAATSFEGPFVKPSESTLDPVTSAFTTLNMARSMSYAQLKAYHIDDYQSLFQRVSLQLSRGSNVLGGSTLAHLPENISQDTAVSDCTVQMVDSSRLNELNNSEKPTVDRIISFRHDEDPSLVELLFQFGRYLLISCSRPGTQVSNLQGIWNNETKAPWGTAPHPNINLQMNYWPSLPCNLSECQDPLFDFIGSLSVNGAKTAKVNYGASGWVSHQVTDLWAKTSPDAGDPSWALWPMGGPWLATHLWEHYSFTMDREFLERTAYPLLEGSASFLLSWLIEGQEGYLETNPSTSPEHYFIAPDGKKASVSYSTTMDMSIIREVFSAVLLSADILGKSSTDVVQRIKAALPRLPPIKIGRDGTIMEWAQNFKDPEPQHRHVSHLFGLYPGHTMTLEQTPDLCKAVANTLYKRGDKGPGWSTSWKMALWAHLHNSKHAYKMILQLITLIDPNHEHEKEGGLYSNLFTAHPPFQIDANFGFPAALCEMLVQSTGSDLYLLPALPRDKWPHGSVKGLRARGRLTVNICWKDGSLHEALVWSGSSENSLARVHYGDHAAVISASPGQVYRFNSELKCLKTWPL >SECCE1Rv1G0001320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4487526:4490387:1 gene:SECCE1Rv1G0001320 transcript:SECCE1Rv1G0001320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLHYAVAKKCIGFPDRKGGRANTRSAAASVSCMKKHLSSHGESFPSYAVAMDRKNHIAGSAPSNEPDGIGITEFLGGKNFLITGGTGFLAKVIIEKILRTTPDVGKIYVLIKAKDSNAALKRLQNEIVGTELFKCLEQLHGKDYHHFVAKKLVAVAGNVREANMGIEPELADEIATKVDIIINSAANTTFDERYDIAMDVNTMGPFRLMSFARRFSKLKLFLHVSTAYVNGRRQGVVLEKPFSLGDTITKELGYSHSSGLQNTVLDIEAEIKLAFCSRHNSDDAASFSQEMKDLGIERARLHGWQDTYVFTKAMGEMVLDSMRGEIPVVTIRPSVIEGTWSEPVPGWIEGIRMLDPIMMYYAKGRLSGFPADGDCVIDVVPADMVVNATLAAVAKHAQALGAAPDMHIYHVGSSTANPMNWRDMFTFFFQHFTRYPFNLASGQPIKVAPMRLFGSMLQFDSNLERDMLLWGAGETLSPRARMLFSKYAEKIIHLARMYQPYSFYGCRFDNTNTEALFAEMSAEEKAQFHFNVRSIEWKEYFTNVHIPGFLKHVMKGRGTSSIVGNN >SECCE7Rv1G0493380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:566942684:566946590:1 gene:SECCE7Rv1G0493380 transcript:SECCE7Rv1G0493380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEPRRANNQRPTVGTARRQPAVMQEHTTKKGPPRENNSAGVNPPMWGRVGHVGNPQARVHYTIIAPTDQNDKNEVNYPSMGGVGNPINQQEKSTRIVPNHETTIGVNYPSRGGVGNPINTHANSIRIVSNYENNTGLNVPSLGVVIRPHTPQGHGANVVSTPKNNTTTDAPIVGTTNRAIHMAREHPAAEIQPQIVNELVSSG >SECCE3Rv1G0145280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:6130549:6130962:-1 gene:SECCE3Rv1G0145280 transcript:SECCE3Rv1G0145280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGASLLVAAVFSMLVMYSLGHPRPLCSDCDPQCRANCTAEVKTSCRSYCSGGGGGPREGCRRNILQQCTANGVCCSSNGTCTCDCNGVAESGCQGVTDDTQLCDPCMRGIFDQCLPTCNKACNNNCKKKGCHHA >SECCE3Rv1G0192390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:758152177:758152482:-1 gene:SECCE3Rv1G0192390 transcript:SECCE3Rv1G0192390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLRSLVSPLRKLWCNINTVPRKKRGIYILYEDVKSCQCEDVHVLWSILVESHGLPPPTPPVLRLTR >SECCE4Rv1G0270690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:756150747:756151304:-1 gene:SECCE4Rv1G0270690 transcript:SECCE4Rv1G0270690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSSSSSVIIVLLLLLPILLAPPASAVPVLAACKTVGGGSSYFDVTFCLEALGSIGGGGVYRDLAAAAVGLLATNATSTEAKIGRLLGESGVKIKAGDPALARPLWSCQSLYDGIVRRTHACTAAVKGGKFGDATAILEKAAAAAKECEDGFRKNNVASPLTAEDDDAFKLAKLGVALLGFA >SECCE4Rv1G0231470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:184866989:184870815:1 gene:SECCE4Rv1G0231470 transcript:SECCE4Rv1G0231470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPAPMRAVASALTPPLLSQRTSRISTVSAVRLHQCRAAHRWRPAQCRGKPAVSGVVEDDEEDTSREALNPEEKEEESAGAGSWGVGWFRLDEVGMDILGIAVPAVLALAADPITALVDTAFVGHIGSVELAAVGVSISVFNLVSKLFNVPLLNVTTSFVAEQQAVDAKYSGLGERDEVSSTREQASEKRKFLPAVSTSLALATGIGLMEMVALIVGSGTLMDIVGIPVDSPMRAPAEQFLTLRAYGAPPVVVALAAQGAFRGFMDTKTPLYAVVAGNLVNAILDAIFIFPLGLGVSGAALATVTSEYLAAFILLWKLNNELVLFSWNVIGGDIVRYLKSGALLIARTIAVILPLWLSTSLAARQGPVPMAGYEISLQVWLTISLLNDALALAGQALLASEYAKGNYKQARLVLYRVLQIGGVTGLALAATLFLGFGYLTLLFTDDPAVLDVAQSGVWFVTITQPINAIAFVFDGLYYGVSDFGYAAYSTLFAGIVSSAFLLFVAPNFGLGGVWAGLTLFMGLRAIAGFWRLGSKGGPWEIVWSKTD >SECCE2Rv1G0073150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:64480189:64484406:1 gene:SECCE2Rv1G0073150 transcript:SECCE2Rv1G0073150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRTIRSMEVMAHSSSFLLPKLHQPAKTPANNYTLVVLNQQLPRFMPRLWAHAKMRICADGGANRIFDEMSQMTNDLDRNRYIPEIIEGDMDSIRPEVKRFYSNQGSKISDKSHNQETTDLHKCISSIRHRTPDHEKANLCVLVTGALGGRFDHEAANINVLYVFSDMRIVLLSDDCLIQLLPKTHHHEIYIESSVEGPHCGIFPIGAPSTSTTTTGLKWNLSDAEMRFGSMISTSNIVDSDKVTVQSDADLLWTISLRNLT >SECCE1Rv1G0020800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:259721517:259722067:1 gene:SECCE1Rv1G0020800 transcript:SECCE1Rv1G0020800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLSTFCFEILRRVALWRAHSIYDFTFMDVDKILPFSSTLGWHSLNVNGEVQMRKGLRWIPRHRETRKRVASDEMLRGVENRHRSGDSQIGQPFKLPAKSMSRQETTWRTETS >SECCE6Rv1G0406020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:539209613:539214317:-1 gene:SECCE6Rv1G0406020 transcript:SECCE6Rv1G0406020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKVGAAVLSAGAALAASSQRVDAEGGSGFRFPGFSSPSPAAGPGAPPPAAPAAEEAPPKPRNDNPRTTAAGFDPDALERAVQLLRDFESRPDADVKKSFAHANKREETRQAEFAARKADYLKEAAQIELERTRVEYEEKKKLAQSQAEIKAQVARYEDELRRKRAQNEHEAQRARNQELVNMQEQSAIKLEQLRRQSEEEINELRRRTEKEKARIEQETMRLQKMAAAEANALELQLSEDVKRRLLIERANAEREKWVQAINTTFEHIGGGLRTILTDQNKLVVAVGGATALAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGVPSRAMSTVTSKLKNGSNLGKDGKGFGDVILNPSLQKRVNQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARESGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERSKLLKLYLDKYIVKAGEKRKGLFSFFRRQPQRIAVKGITDELIREAASKTDGFSGREIAKLMASVQAAVYGSTECELTPGLFREVVDYKVAEHQQRRKIAGHA >SECCE5Rv1G0307270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:108900070:108900636:-1 gene:SECCE5Rv1G0307270 transcript:SECCE5Rv1G0307270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADEASSVNKGSPERLTIDQDDGASWLSLTVGANGSPEAAANCSEPEPDPEPKPSAAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSYHAQRMVMGLPLEAHAAFVQSLRVSQSSVIQKSSQPAQIRTAPRFHEDGMTWATIPCEEVLNSTWPGSFRARTQPSEQQPSEQSKIDLNLRL >SECCE7Rv1G0481850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:292418181:292418474:-1 gene:SECCE7Rv1G0481850 transcript:SECCE7Rv1G0481850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLVSMLRWPPDLGGLPSQLAALLPSPPSSPTSYASVQWDWRPEQLGAAIRRWPALVPDVPLVVDAVLWGVVTAVESVALISMMCCFFLFCGCTL >SECCEUnv1G0540980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:92894939:92896048:1 gene:SECCEUnv1G0540980 transcript:SECCEUnv1G0540980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRLEAMDALVADLRIRCGGEIVVRDILNAAMFPLVARTCFGSGMSEGHVRAMTCSRPLCWPLMAPGILRDPRRRSCYTGGSGAGSSPSEAGRQAELFLPLINARRNANRHLRLSGGLPAYLDLLLDVRVPVDDHDDTTGGKAQRPLTDDELVSLVSEFLGAGPGTVVSSMEWTLAHLVLHPEVQNNIRHEVDAVEGALSEARVRQMKYMRAVVLESLRFHPPIPFVMRDVPDQAVAAVVGCPAAVPTEGMRAHFNLGEIGRDKNAWNDPDTFRQERFLAGGEGEGVGLVPGPKEIKMMPFGAGRRACPGGGLATMHIKSFIAALIREFEWTPPVGGGVDLTELDGFFKVMKTPLRARVTPRQRDSI >SECCE2Rv1G0102630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:580201122:580202334:1 gene:SECCE2Rv1G0102630 transcript:SECCE2Rv1G0102630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVELLTKFLTVLFGYAMPALECFKAVEQRTGRTDQLRFWCQYWIILVIMIIFDEIAGALISRIPMYYEVKLAFLVYLWYPQTRGSDIVYENFVRPLVMQYEPNIEERLRYLRANAGDLIVFYLKNFTDRGYELFLRVLDFAQSQASSGSRTRRFFSFRGDRAERPSFVDDDYVPGSDRRSAARQRRPRGADY >SECCE7Rv1G0456730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11395193:11396539:-1 gene:SECCE7Rv1G0456730 transcript:SECCE7Rv1G0456730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWRSFLSRSHPLLSRLRPARSHAIASHLPSVRGNSHLIASRRYASNGGSHADQVNRQHPAAQETRKRHLYVVLDDHKDSYGIHKLDMDGDDLNGGHPSSPRRFPMLPALSVARATLSEWAKFTAVGSSIVAIGRKPEGFGEDDGRVLIYDTNTAKLVVSPQIPEGLEYGYEAAMAVGQRLYFLESISGLHSLHRDEVHPGGEYKYMYNGALHCLAVDPDVDVGGSGNKLWSWSADNRLLGVPFHANTITAHAVHAPPTADQDIYVSALVDTVMPKGVTFSFSTASGKWTRRGYWQLPVVGHAHYDDQLDAWLGLHAGEGSINSPRLIDGHLCAGNIASAPPEWKVGTEKLFHREEDMAAGWRHFDAKLVPMVRGAGSNEYCLMERLRPEGDVQESLGDGDKWLLRLTAFRVERGQDGEPVVTARRPASCYKMSRYNKYFDAQAFWM >SECCE4Rv1G0274370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783912587:783914221:1 gene:SECCE4Rv1G0274370 transcript:SECCE4Rv1G0274370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVSMARTMLRGAITVATSAAAAEVGLLLGVRKDIWFIKDELETMQAFLEVAETVKKKDVMLKVWAKQVRDLSYNIEDCLNEFMVHVGRQSLLRRLMKLKGRHRIAMQIRDLKTRVEEVSIRNTRYNLIKADASSVVDEVVSHMEDVRSHSGSNIDEAELVGFSKPKGELIKLMDVNTKDGVGKVAFVVGMGGLGKTTLARKTYESKEDILNNFSYRAWITVSQTFSMMDMLKDMIMQLLGNEELKQCLRELEGKTMRVDDLASYLKAKLEDLRYFIVLDDMWSIDAWRLIKEFVIPSNNIKGSRIIVTTRNIGLAQECTSESLIYHLKPLQIEEATNLLLGKTGKTHRDMENDENMRNMVSKIVNKCGCLPLAILTIGGLLATKVVEMWESIYKQIPEGNMP >SECCE2Rv1G0102950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:585553257:585555668:1 gene:SECCE2Rv1G0102950 transcript:SECCE2Rv1G0102950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLFVFTALFTVHAAPAYSATTDTISAAQPLVGGDKLVSGNGRYALGFFETGSESNWYMGIWFNTVPKLTPAWVANRDDPIKNITSLELTISGDGNLVILNRSSSSIIWSSQARVTTTDTIAVLLNTGNLVLQNSSSDSSDVFWQSFDYPTDTFLPGAKLGYDKVTGLNRRLVSWKNLINPATGAYHEELDPSGLNQFLLTPLNSSTPYWYSGVWNGQYFALMPEMSKGYLMNFTFVDNDQEKYFMYTLHDETTVIRNYLDVSGQAKTNLWQESSQNWLVMFAQPKAQCDVYAVCGPSTVCDDNALPSCNCMKGFTVRSPKDWELDDRTSGCLRNTPLDCSNRSTSSTDRFYPMPCVRLPQNDDHSTLAAGSSDECAQICLGNCSCTAYSFVKDECSVWHGELLDLRQHQCSGSSSTNGETLYLRLAAKEFPSHQASRRGKPNVILIISATVASLGLLAALALLIMIWRNRTKLSGGTLKIAQGVNGITAFRYADLQRATKSFSEKLGGGSFGSVFKGSLGDSTTIAVKRLDHANQGEKQFRAEVSSIGIIHHINLVRLIGFCCEGSRRLLVYEHMPNRSLDLHLFQSNATMPWHARYEIALGVARGLAYLHDSCQDCIIHCDIKPENILLDASFAPRIADFGMAKLLGRDFSRVLTTVRGTAGYLAPEWISGVAVTTKVDVYSYGMVLLEIISGRRNSWAPCSCGGDHGVYFPVNVAQKLLKGGDVGSLVDHMLHGDVNLDEAATACKVACWCIQDDEFDRPTMGEVVQILEGLAEISVPPMPRLLQAMSGRGSSHSTCS >SECCE7Rv1G0522960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879638053:879639479:1 gene:SECCE7Rv1G0522960 transcript:SECCE7Rv1G0522960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLKQAKQYAAARPDYPAELFQFIASKTARHDLAWDVGTGSGQAAVSLAKLYKNVVGTDTSAQQLAYAPALPNVRYAHTPADLPLEGIHAAVAPPGTVDVVTVAQAFHWLDLPRFYAQTRSLLRAQGVLAAWCYTEPRVGAAVDAVFWRLYDGSQPHWAPNRKMVDDEYRGADFPFDPVDGEDHTGPFEFSTERHMDLDDYFTYIKSWSAYQTAKDNGIELLDEATVQDFADAWGGDRQKVKTVRYPIFLRIGKMRR >SECCE5Rv1G0307920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122369177:122371453:-1 gene:SECCE5Rv1G0307920 transcript:SECCE5Rv1G0307920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGPEVMHQVVPMLEAPFRRCPVKGAEEVVVGVGVSSPPPPVDSQSQVDLQVPDLVNTPLETLQFVPKIRSGSFADIGPRRYMEDEHIRIDNLSGHLGSLLLCPSPNAFYGVFDGHGGSDAAAYMKRHAMRLFFEDSEFPEGLQEDDFFSESVANSIRKAFLSADLALADDSVISRSSGTTALTALIFGRQLLVANAGDCRAVLCRKGMAMEMSRDHRPTYEAERQRVTECGGYIEDGYLNGVLSVTRALGDWDMKMPQGSASPLIAEPEFQQTILTEDDEFLIIGCDGIWDVMTSQQAVTLVRKGLRRHDDPERCARELAMEAKRLQTFDNLTVIVICFASELGGCLRSSEEASSRRIRSCKSLSAEALCNLRRLLESDQ >SECCE6Rv1G0383370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:52130707:52132206:-1 gene:SECCE6Rv1G0383370 transcript:SECCE6Rv1G0383370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMVRTRSTTAVPLPAAGGAELPDPGRLVPLSPFDAFWVALPPVRRVFLFRSLPGVPFSDVVGTLRSSLAQVLPAFHPFAGALTYSPDSRALSIVLPDEWEGCPSGGVTFVEAETDLDFKRLVEEAAEHDQDALGQLVPDIRRDQLPAPVMAAQVTEFVGGGGGVALGVAVHHTAADGRGIWRFLEMWAATAAGGRVPAGSAALHDRRLVRFHGDEELATLFMQQIAPNLPMIAATQDPALDARRRLSRRTFTFAASAVQRLKQRLASAANIGTAPSTFAALAAHGWVSIARASGFADDAPVFAVFLADCRAYMSPPAPEAYAGNCVALCTASLSGSVLAGPDGPAQALVAVREAVAEVKRDPLRDVARWWTKFSAIPPGRAVVLVGSPWFPAYGLDFGFGRPARVELASMNHDGEMVLVAGREAGSVQAAVSIAAGKMHAFRDMFVVE >SECCE4Rv1G0290990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875125020:875126546:-1 gene:SECCE4Rv1G0290990 transcript:SECCE4Rv1G0290990.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVGSPGTTATPTNFSLPVDSEHKAKSIKIFSFGNPHMRAFHLGWMSFFTCVVSTFAAAPLIPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGAICDLLGPRYGCAFLVMLSAPAVFCMSVIDGPGGYITIRFLIGVSLATFVSCQYWVSTMFNSKIIGTVGGLTAGWGDMGGGATQLIMPLVFDGILACGATPFTAWRLAYFVPGMMLVVMGLLVLTLGQDLPDGNLRSLQKNGDMNKDKFSKVLRGAVTNYRTWIFVFIYGYCMGVELTTNNVIAEYYYDSFHLDLRAAGTIAASFGLANIFARPMGGYLSDLGARYFGMRARLWNIWILQTAGGVFCICLGRVSSLPTSVTCMVLYSICVEAACGAVYGVIPFVSRRSLGLISGMSGAGGNVGGGLTQFLFFTSSQYTTGKGLQYMGIMIMVCTLPVALVHFPQWGSMLLPPSADATEEEYYGAEWTEDEKSKGLHIAGQKFAENSISERGKRNAILAVPSSPPNNTPQHV >SECCE3Rv1G0146350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11174462:11178323:-1 gene:SECCE3Rv1G0146350 transcript:SECCE3Rv1G0146350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDAKQTAAAAAGKGLAMGGWAQRHLNLCFVAGFLLVLLTYLVVSQQFAVTAPVAVPTTTAPHRKHQANKSPGAGAVSGKAVGLTQGDPGAEKKAEARPTHEQQQHAGPPKAEGRARRVGKRDDDVAAKPFDNGKVVCTASPFSYTCDVFGDVRTNGTAHTVTLVPVTSRPERREWHIQAYARYNMTGIRNITVTQLDSTSAASPAPACTVTHRVPAIVLALGGHLGNYFHDFSDALVPLFVASRRYDGEVQLLANNIQPWWLGKYEAVVRRLTKYKVVDLDHDDQIRCFRHVTVGLNMHKEFNIVPELVPGGVPLSMVNFTAFLRETYSLPRAAPISLTKKSSPPVDRKKKKPRLMLLDRGHYRKLVNVPEIVKAAEKAGFEVAVADPRFNVRVKELALSVNSFDVLLGVHGAGLTNSAFLPPGAVVIQVVPYGKLEPMAQREFGDPAANMGLRYLEYSISVEESTLLETLGPDHPAIKDPDSVHRSGWDKVAEFYLGKQNVRVDVERFAPTLALALDHLRRK >SECCEUnv1G0538770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74218814:74219864:-1 gene:SECCEUnv1G0538770 transcript:SECCEUnv1G0538770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAPSAAAKPKPRAKARAKPKAKASPDSLSAASSSPSGASDGSPSPVALGRGLPSASKPKPRPRARAKPKGKASPDSLLSGSSSPSGASAGSPSPVALARGGFLSPASLLTPQAKSPLLSAAAISTPTVGDLRGLAASSLDSLKRRLDALHADNARDLEASHSRISKRIKMQTQSCVQMSEEADKEHKKMLDKFSHQADDIKGSYKKLMTDVQSSSSRVCKVTLPEMAKSVARAMDGLRSSYNIPATTA >SECCE7Rv1G0500590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:669620268:669624713:-1 gene:SECCE7Rv1G0500590 transcript:SECCE7Rv1G0500590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLGTLWSPPAAVAVAAASRIARPVHVQLLDRRGPSSARVKIQARTRHAFRSHCDNRADHLPEPEPENNDPNNAATSENVPLVEELLKRYKALKSEMCVREPPDMFLKEKGQISKYNMKLSSSNSNLNVDEKVQAQLVYESAQKALDLASTVMDIASLGCGMVGISKHTVDQMVRTYATIFCNVAEAAYHQKIEMETTLSFLGALRGLGAIGHIMVQDTIAKLKDGHFKNTIICYMNKHSEKFVKMVKNLEDETAENKSWQAAIGILLSCQIDAEVYILKLSKCHRAALDCLAQKD >SECCE7Rv1G0479370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:252159197:252160845:1 gene:SECCE7Rv1G0479370 transcript:SECCE7Rv1G0479370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCKGSALAVLLLHALSLSASALAVGLARRHRRDVLPGPKGCDVFSGSWVRDDGSAAEAYTGYKCPVIDAEFNCQLYGRPDSDYLRYRWKPAGCELPRFNGADFLTRMKGKTVMFVGDSLGRNQWESLVCLLHAAAPQSPSQLVSADPLYTYKFTEYELVVSFYRAPYLVDIDVVQGKRVLMLDDIAENAQAWRGADVLSFNSGHWWTHTGALQGWDYMGEGGRYSEDMDRMVAFQRGMTTWANWVDLNVDPAKTRVFFQSMSPTHYSSKEWPNPVSKNCYGETVPLTGLNSSTAQPMGQEQVTKTVLQGMKSPVRLLDITALSALRKDAHPSVYSGDFSPAQRGNPAGSADCSHWCLPGLPDTWNQLFYTLLFYQ >SECCE6Rv1G0388680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:141256124:141256330:1 gene:SECCE6Rv1G0388680 transcript:SECCE6Rv1G0388680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSSSGEYEEAGYRRPQRVRPSDQDGIYYVGERDVDNKAGVYIANFHRYQSEVVPMTPAPSSGAA >SECCE3Rv1G0153120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:52373841:52376734:-1 gene:SECCE3Rv1G0153120 transcript:SECCE3Rv1G0153120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVDTATPSSQPSSGQDANAVQPSPPSCSLLTAGRCFAGTQNVSSLQKDEAWKVNVRIHGCDIEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASAEDDVRHWSKFPSFTPLLSQIEADGGKSVDLSNYPYIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKCTNEKQSGFTFSSYELQ >SECCE6Rv1G0398710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:424900668:424902248:-1 gene:SECCE6Rv1G0398710 transcript:SECCE6Rv1G0398710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g33350 [Source:Projected from Arabidopsis thaliana (AT1G33350) UniProtKB/Swiss-Prot;Acc:Q9C501] MLRAPPPFSHGDFVAVLSRCATLAHLKQLHARSVVTARAATQPTTFHLLRFASLRLSCLPYARRLFDSTLYPNVFLYSAMLSAYAAASPAQAYARDALGLFLRMLRRGRSAPNQFVYPLVLRAACVVGVQLVRSIHSHAYKSGFHAHDVIRTSLLDGYSRYGMMLDARKLFDGLTERNVVSWTALLSGYTRAGKVGDAIVLFERMPERDVAAWNAMIAGCTQNGLFLEALGICSRMVEEGFRPNGTTVACVLSACGHLGMLKIGKVIHGYAWRSCVGFGSSVVNGLIDMYGKCGTLKGARWMFDEFSDKSLTTWNCLINCLALHGHSKSAIAVFSEMRGAGIEPDEVTFVGLLNACTHGGFVDEGLMYFELMCDELGIEPEIEHYGCIIDLLGRAARFEDVMNVIKDMKVQPDEVIWGSLLNACRIHRQLELAEFAIRKLLQLNPNNVNYVVMLANLYSEGGSWEQVTKVRKLMQEDMGKKVPGCSWIEVDRKTHMFYSGDDSHPVSEDICNTLVELVASMEMCQD >SECCE1Rv1G0041600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:572786916:572787227:1 gene:SECCE1Rv1G0041600 transcript:SECCE1Rv1G0041600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE1Rv1G0016080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:134396851:134398150:-1 gene:SECCE1Rv1G0016080 transcript:SECCE1Rv1G0016080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQRAAAGLLRRSLRLGPPTTVRALSTSATTPAGAKGEAVANAQFLPDWGVGYRGAKTTWREVSYQITKINLYNDGRHGKAWGIRHKAGVQAAGAPIRISGVNKRGWKYIKASLQDIPGAEPPSIPVA >SECCEUnv1G0548380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:184747558:184747779:1 gene:SECCEUnv1G0548380 transcript:SECCEUnv1G0548380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSWDEEGQRRVVHSQVRKIKEEEGDKVKVDETYQQQQLAEMRLVLRDLGRQRSRSPLGRVGRPAISIGGDS >SECCE4Rv1G0259950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:691153023:691155346:-1 gene:SECCE4Rv1G0259950 transcript:SECCE4Rv1G0259950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAAAVSTVREAARMVWDESKRLWGIGTPIAIATLSMYAVSSVTTIFVGHLGNLPLAAASIGLSVFATFSLGFCLGMGSALETLCGQAFGAGQVAMLVVYLQRSWIVLIAAALLMVPFYVFAEPLLLAVGQDPVVAREAARFALYILPGALSFAINFPTAKFLQAQSKVLVLAWIGVAGLCFHVALTYLLVTVLGWGTPGAAVAYDLSLWAIALGQSAYIIGWCKDGWRGWSMAAFNDMWAFVKLSLESAVMLCLEIWYLGMITVLTGNLQDAQIAVDSLGVCMNINGWECMIFIGLNAAISVRVSNELGSGRPRAAMHAVVVVIAESLLIGLLCMALVLIFRDNFAIVYTSDLELQHAVSKIAGLLGLTMVLNSVQPVVSGVAIGGGWQGLVAYINLVCYYVFGLPLGYLLGYKFNYGVGGIWAGMLSGVALQTLILLFIVCRTDWNAEAALASSRVRKWGGIDGTKPLLEDN >SECCE1Rv1G0010580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:63614790:63621693:1 gene:SECCE1Rv1G0010580 transcript:SECCE1Rv1G0010580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYRVCCFLRRFRPASNEPSEAIGDVFQAYAGADGGGGALGEEALRRFLREVQGEAGDDDVEAAAREVLAFAAEHRLLKKGGGLTVEGFHRWLCSDANAALHPRRGVHQDMGLPLSHYFIYTGHNSYLTGNQLSSGCSEAPIAKALRDGVRVIELDLWPNAAKDDVEVLHGRTWTSPVDLMKCLETVKEHAFVSSPYPVILTLEDHLTPHLQAKVAKMIKETFGDLLHLSESEAMPVFPSPEELRGKIIISTKPPKEYLETKSSKEEAQNGTTEEDSVWGDEIPDNKVQVATARQVSEKDTERYVEEDEEMEKKVQLGVNGEYKSLISISLTRRKHDMDQDLKVDPNRVSRMSLGEAAYEKATITHGSEIIKFTQRNLLRIFPKTTRITSSNYNPLMGWRYGAQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDFLMNTDKMFDPRSKLPAKTRLKVTVYMGDGWRFDFRKTHFDKCSPPDFYARVGIAGVVADTMMKETKVIMDNWIPTWDHEFEFPLTVPELALLRVEVHESDNHQQDDFAGQTCLPVWELRSGIRSVRLYARDGEVLRSVKLLMRFEFS >SECCE6Rv1G0426850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:716259570:716264492:1 gene:SECCE6Rv1G0426850 transcript:SECCE6Rv1G0426850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VAP27-2 [Source:Projected from Arabidopsis thaliana (AT1G08820) UniProtKB/TrEMBL;Acc:A0A178WBB7] MGQGVVEIQPRELQFTFELKKQSSCSVHLVNKSDEYVAFKVKTTSPKRYCVRPNIGVILPRATCDFTVTMQAQRTAPPDMQLKDKFLVQTTVVPPGTSDEDLIPAFFSKETNAYIEESKLRVVLVDASRPAVEQPINNVPSTDVAVEVPVLKDTLNVQNEVPAMEKKVPAPQEKISAVVPDIPSPVRESPVLQEVPVLLHEEPAILAESPPPLKDESPPSLKHESPSPIKDSSAITIEQLSPLKEETVTSKVSPLEETLPKETVTVSDRGFFSVQNHQLSHVTEDVQNLKSKLNNLESKLEGAEKMIIKLREESRTTTQERDRLQQEMVFLKKGTPKSQLGFPLLFVVYVALLGTSLGYLLRL >SECCE4Rv1G0217590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:17382083:17383207:-1 gene:SECCE4Rv1G0217590 transcript:SECCE4Rv1G0217590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDPLGHHRHALGEKEEEAAGEEERYVSDSDDDDVDRFVFLARQPAPAEARDEDDGEQDAMPPRGGGGGGRKRRLREMLLADDDPPAAAKDARLGVVAPRGASSSREESGSDESAAACSPEPGDLFDLGEKNKKGRDRGVEPKEQRAVRGNGVLGGPRHRDVTAEQKATVAAGAPRRFMCSMCGRCFGSHQALGGHVHFGHRKKAKDVAGGGGNGDRGVKHATVGREAADGNATHGEGKAAAGSKTVDFAAHDQDVDARSFLGRNAGNTNEEAFTGAANHGGDEVVEEAGGHGSLAVAAGRPHGKVRKTQHRCEVCGKECPSGQALGGHMRKHRKQSTHDVDAGKERRKSPELDWIPTQRAAVITGERELGWI >SECCE5Rv1G0331050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:536173961:536174677:1 gene:SECCE5Rv1G0331050 transcript:SECCE5Rv1G0331050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANQDCAATFHDHGGGTATELHGRTISSEQEHGIIVAALRHVVSGYTTAPPEVVVAVACGRCGIDGCLGCDFFGAAEPEAVAMGAAGGGQRKRRRKKNVYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEDAARAYDLAALEFRGPRARLNFPCSDEPLPDHGSDNGGGDAGAAPHNETLTTPSPCSTDAGQRTPGEWQLGAHGAGDQLWEGLQDLMTIDGEDTWFAPFSSPE >SECCE2Rv1G0085460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:191572528:191573120:-1 gene:SECCE2Rv1G0085460 transcript:SECCE2Rv1G0085460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRSLVLLVAYAVLAAAMLQPSVTRVQAATSSPSSPFTGDPPQPSLPGLPPLPGLLALPPSPGFTGMAGAQQPARTCMEPLILVAPCISYLTNSSVRAPPRACCDGLRSLVGGGDRICVCHAIMGDLGPFAGGTIDQLRMLVLPLTCSTLIPSDLVLMCIVNPVPPILPD >SECCE5Rv1G0366030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:810793749:810795623:1 gene:SECCE5Rv1G0366030 transcript:SECCE5Rv1G0366030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQQGLSGGGHGVGDGDGEWDKWVDDSSVDHRGRPPLRAVTGSWRAAMFIILIEFSERLSYFGIATSLMIYLTKVLHQDMKLAAENSQYWMSVTTLMPLLGGFLADAYLGRFRTVLLSTVVYLLGLVLLAVVQLAPGLRPGGASVPRVHETLFFVGIYLVSVGTGGHKPALESFGADQFDDGHAGERLQKMSYFNWWNCALCSGVLLGVTVVVYIQERVGWGAATVLLAAVMGCSLVVYLAGWRTYRYRVPQGSPLTPLLRVAVAAVMKRRLQLPADAGELYEENDGKKRLLCHTDQLRCLDKAAIFEHGGEGRYGAWRLATVTQVEETKLVVSMVPIWVATLPFGMAAAQVSTFFIKQGMAMDRHLGPHFVLPPASIFALAAIAMIATVAIFDKVLEPCLRRATGAERGISVLRRIGVGMTFAVVAMAVAAVVERRRLDSKVTMSVFWLVPQFALMGVGDGFALVGLQEYFYDQMPESMRSLGIGLYLSVIGAGSFLSSQLIAAASRVSSHGGRRDGWFGKELSRSRLDLFYWLLAGISAANLGFYVLVATRYSYKQQTAKAGRVGADKDVECAIAAAA >SECCE4Rv1G0219490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:31615275:31618154:-1 gene:SECCE4Rv1G0219490 transcript:SECCE4Rv1G0219490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRPPVATRAVLALLALLAAWGGAGADLASDARALVAFRAAVGQRVSWNVTAPATVCAWTGVTCEGGRVTILRLPGAALAGAVPAGSLGNLTALHTLSLRLNALSGALPADLASMAALRSVFLNGNRLSGDFPPAFLALPGLVHLSLGGNGLSGAIPPALANLTRLKTLLLEENRFVGQIPDLPLPQLREFNVSFNRLNGSIPASLRSKPRAAFLGMSSLCGGPLGPCPGEAPPPSPAPAGRTPSPTTPGTNVPNGGNDEQNDKKGNKLSGGAIAGIAIASVVGAALLLFLLICLCRRSGRTKTRALEMPPPSPSPAVIPGGRKPPELPSGAAVAPVATVGHPAGQSTSGKKLVFFGSAAAVQPFDLEDLLRASAEVLGKGAIGTTYKAVLESSATVAVKRLKDVTMSEPEFRDRIAGIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRGSGRTPLDWTLRSSIALAAARGIEYIHSTSSSTSHGNIKSSNILLSKTYQARVSDNGLATLVGSSSSGPSRGTGYRAPEVTDPRRVSQKADVFSFGVLLLELLTGKAPSQVALNDEGVDLPRWVQSVVRSEWTAEVFDMELLRNQSSEEQMIQLLQLAIDCVAQVPDARPTMSHVVVRIEEIKMSGGSAEEADQQQSALNQGDEMGEGPASP >SECCE2Rv1G0064840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5259775:5261263:1 gene:SECCE2Rv1G0064840 transcript:SECCE2Rv1G0064840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCASELEFEAFIRQHLAAAEAEAQRGRPGHGDDDAGFGEHGRGDGVFSPAGGLPGLCFGDSNALELQESDAGHLWWSDGLRAPPHHADPTPTQSQTPAISASPRETISGNQALETESDSDSESLVEIGAGRCKRSGKSSDTRRIRRMVSNRESARRSRRRKHAQLTDLELQVEQLKSESATLFKQLTEANQQFTTAVTDNRILKSDVETLRIKVKMAEDMVARGAVSCGLGQQLGLAPFLNSRKM >SECCE5Rv1G0337300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:590703067:590704875:-1 gene:SECCE5Rv1G0337300 transcript:SECCE5Rv1G0337300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSARPLLAAAAVLCFWLLVAVAEAKVHHYTWDISYQLKSPDCFEKLAVTVNGEAPGPTIRATQGDTIVVAVHNKLETENTAIHWHGIRQIDTPWADGVAGVTQCPILPGETFTYKFVVDRPGTYLYHAHYGMQRVAGLNGMIVVTVPDGFVEPFSYDEEHTVLLGDWWHKSVYEQATGLSSNPFVFVTEPQSLLINGRGMFNCSLAPTGTCNASRPDCALPTLFTAVPGKTYLLRIGSLTSLSSLSFEIEGHSMTVVEGDGHYVRPFVVKNLFIYSGETYSVLVKADQDPRRNYWAASHVVGRNPSQTPSGKAVVSYAFNGNNPRMPPPTAPPAGPAWNNTVIRVEQSRAIFAHPGYVVPMPARADRTLLLLNTQNRIDGHIKWTINGVSLMFPATPYLVAMKRGMKDAYEQRPPLDTYDHMRHDISAPAPTNGTVGSPVYRLALGSVVDVVLQNSNALNNKTETHPWHLHGHDFWVLGHGQGKFNPAADAWRLLNVRDPIMKNTVPLHPDGWTALRFRADNPGVWLFHCHVEAHVFMGMGVVFEEGVERVGRLPSSIMGCGRSKGLH >SECCE5Rv1G0304670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:61374891:61375106:-1 gene:SECCE5Rv1G0304670 transcript:SECCE5Rv1G0304670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPTMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYENARKDTKSRR >SECCE3Rv1G0187210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:696478478:696479320:1 gene:SECCE3Rv1G0187210 transcript:SECCE3Rv1G0187210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEKPVPTPASIGGDGGPPGKPTSTSTVLLDKGAAALQSLRPVKQMKQHVCTFALYAHDPHRQVETHHYVSRLNQDVLQCPVYDSDDKHARLIGVEYIVSREIFESLPAEEQKLWHSHAHEVKAGLWANPWVPSVLGKAELEHMAGTFGKFWCTWQVDRGDRLPLGAPALMVSPQGERVAAVRPDLVRKRDHKYSFSTDELRAARADVEVPPEPRPGQADYWVRHHKGFAVDVVPHEMKRHAPFP >SECCE2Rv1G0130870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:879219783:879220400:1 gene:SECCE2Rv1G0130870 transcript:SECCE2Rv1G0130870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSRAPAPRGGAGAAGSGCVDANTTFVQADPATFRALVQRLTGAPGGAGAAAAQATERQHAAGAAMVPVVPMRRPKLQERRRAAPARLELARPQPFYYHHGHQHQHHHHHHQHHHGLMQYSPVSTVDYARVSSASSPSPSPPSSCSCGVVISKEEVEREEKAIASKAFYLHSSPRAVPDAAERPKLLPLFPVHSPRSSSFA >SECCE4Rv1G0263760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718045607:718047760:1 gene:SECCE4Rv1G0263760 transcript:SECCE4Rv1G0263760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box component of the SKP-Cullin-F box (SCF) E3 ubiquitin ligase complex, Strigolactone (SL) signal perceptio [Source: Projected from Oryza sativa (Os06g0154200)] MAEAAGAASALLDLPEPLLLLILGALHDPRSRHRASMACRRLLAAERATRAAMALRGDPRTPDFLLLPRAFCFPALERLDLSLASPWGHPLLSSASRVAGAPASSSSNHLALRPEEIAERNAFVAARLAAYFPAVARLAVYCRDPSTLAALAPCWRATLQAVKLVRWHQRPLDLPAGADLEPLLASCPRLAALDLSEFYCWTEDVLPALDAHPAAAARLTDLDLGLAGASNGFHAAEIAAIAAACPGLRRLVAPCVFNPRYVDHVGDDALRSLAASCPRLTVLRLSEPFEPASTTQREQAGITAVGLIAFFAALPGLEDLTLDLQHNVLEAAPAMEVLARRCPRIKFLTLGCFQGLCKAAWLHLDGVAVCGGLESLCIKNCEDLTDASLGAIGRGCGRLARFAIQGCDLVTSDGIRRLASALRPTLKEVSVLHCRFLHTAACLAALNPIRDRIESLEINCDWEEVEQPSSSCVANGGTTGSDHEDDEPGEMAYQSAPKKCRFSYLEMDNYESWEMLRSLSLWCPAGQLLSPLISAGLDSCPVLEKISIKVEGDLRTCPRPFHGSAFGLSDLGAFQALAKMKLDLSEAVGYALTAPTGHMDLSQWERFYLSGIESLLSLYELDYWPPQDKDVNHRSLSLPAVALFQHSIGLRKLFIHGTTHEHFMSFFQKMPNLRDVQLREDYYPAPENDMMITEMRAESCLRFEQQLNNRQFRQIPD >SECCE6Rv1G0414680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:635701987:635711253:1 gene:SECCE6Rv1G0414680 transcript:SECCE6Rv1G0414680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETTERNDTLVGNGVIGILAETVNMWERRAPLTPSHCARLVLGGGKRRSGVNRIIVQPSTKRIHHDAQYEDAGCEISEDLSECGLIVGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKIMEERVSLFDYELIVDDDGKRMLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATYGLPSGICPIVFAFTGSGNVSQGAQEIFKLLPHTFVDAEKLPELSAGKSLPPHHQSTRRAFQLYGCVVTSKDMVAPKDPSRCFDKADYYAHPEHYRPVFHERIAPYASAIVNCMYWERRFPRLLSTEQLQQLMKNGCPLVGISDITCDTGGSIEFVNKSTSIERPFFRYDASTNLYHDDMEGDGVICLAVDILPTEFSREASQHFGDILSRFVTSLASAKGLLELPSHLRRACIAYAGKLTPLYEYIPRMRKTMIELPPTPANSLPDKKYTTLVSLCGHLFDKFLINEALDIIETAGGSFHLVKCDVGQSIDDMSYSELEVGADDTTTLDKIIDSLTSIANAHRGDPNAAEISLKIGRVSECGIDDSMDKVGPKVLILGAGRVCRPAAEFLTSYQNIDQVHVVVASLYQKDAEETVDGIKNATAAQLDVSDTESLSNLVSQVDVVVSLLPASFHAAIARVCIELKKHLVTASYVDDSMSKLEQAAQGAGVTILCEMGLDPGIDHMLSMKMIDEAHAQNGKIKAFTSFCGGLPSPAAANNPLAYKFSWSPAGAIRAGRNPAVYKFLGEIINVDGSKLYESAKRLRLPELPAFALEHLPNRNSLMYGDLYGISKEASTVYRSTLRYEGFSEIMAILAKIGFFYAENHPLLQETNRPTYRIFLNELLNVNNVSTSHTKVNGEETGGHDDELISRLMMLGHCKEKELAVKILKTIKFLGLHEETQIPKDCSSAFTVICQRMEQRMAYGHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKTENGRSTTAMALTVGVPAAIGALLLLQNKVQRKGVIRPLEPEIYIPALEILEASGIKLIERVET >SECCE3Rv1G0182170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:623293646:623294152:1 gene:SECCE3Rv1G0182170 transcript:SECCE3Rv1G0182170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAFPIRFTKGIRSYWRRSKYQRVDGGTAGRGTRHLVRLGDGGGGSGEGKAWGVRLGGMFRVRVKAPASAVAAAKVPTRVLGRIRDAYVDAMLGAAKKHSAATHTLPSGPAPEALWQKRVPVRRSRSQAQVRQKADELGQRLVLEMYKSVRASRDLAGMLEASRAR >SECCE2Rv1G0088220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:240620941:240626154:1 gene:SECCE2Rv1G0088220 transcript:SECCE2Rv1G0088220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPRKRTEEAPAWTTNKYRKTGEAKAEPSSPPNPTPSNLSTNPSRRRRPAPSPAPRIAPPVPGLLSSPLRPPGARLGSPSRARPCVGGLSWGFGGGWHALRRPGTVNPMLRLLSVGERLLTTGTNRRAARFSQSSHGSGYYATVRDNGLSTRGNIPPVFSRMFSHYKDIIRKKVEDHNYRKRFSRAYGSLSVAVSKSSARQQAQLTLKRPSHMYSYSGPRFPLLSRAACALTLSLTRSHIIPGVMALAFGRMALSQPVLADSRPYMPRMEGIVMKTRDTGELLSAMVRSVWEGITLFIRAVHLAFLFFPATALAPFADSLSIEFRRRWLSLVRRTLEKAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSGAPVHGFAYSKASIEKAFGRKLSEIFESFEENPVASGSIAQIHRATLKDRPDSNSTKKKKRDQHPVKHVAVKVRHPGVGESIKKDFLLINFMAKVSNAVPGLSWLRLDESVRQFAVFMMSQVDLSREASNLHRFRHNFRRWRHVSFPEPLYPLVHPAVLIESFENGESVARFVDETEGNSRMKKDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNESKNKRKTFFRPKPHIVFLDVGMTAELSVADRDNLKQFFKAVAIRDGRTAAKCTLRLSENQSCPNPAAFTEELDKTFTFWGTPEGDVFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPRFDIMETLKTLLIEKEVKQPPDYFG >SECCE7Rv1G0470970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:125802676:125803857:-1 gene:SECCE7Rv1G0470970 transcript:SECCE7Rv1G0470970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRPAAVLDAGHAPTTTTQQSSASCKRSRTRIRSTAEYEEETCLGKGGFGCVLLARHRDTRKIVAIKYLNRPGGSQQLLAAAELLREARFLEACDGNPYVVGFEGLVRNPDNGAFGLVMEYVAAPSLHKFLRKSRGGQPLPESTVRAIMWKLLTGANTMHGRHVVHRDIKPGNILVGQDGEVVKFCDFGLAISMSELPPYNQAGTPFYVAPEVLLGQRDYDALVDTWSLGCVMAEMLTGKTLFLGDDDDDAKDNEIIQLWSIFRLLGTPDERTWPGFTSLPFTGKALKLLPPGHQHNKLRDLFPVEKLSEHGFQVLEGLLTCNPNQRLTAATALKHRWFAAPRLAAAAPKVDALPFPKKKAPRIKFVPSAMPQKNLLKIPLAVWKAAQQV >SECCE2Rv1G0105600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:623016917:623017483:1 gene:SECCE2Rv1G0105600 transcript:SECCE2Rv1G0105600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAGVGARWALALGLALAMASCSCLAHGARTTPSSGVDALRPDREATPSGTSGRDEDDGGQAASTSHEAKRGQARREGEEGQGMTTLKERAVTGSRLPDCAHACGACAPCKRVIVSFRCAEASESCPIAYRCMCRGRFFRVPAL >SECCE2Rv1G0111980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:705930335:705931696:1 gene:SECCE2Rv1G0111980 transcript:SECCE2Rv1G0111980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSASGESGSGGGRGTGRRWKGKGVTPIQARRQQQLAPVFEDASAALLRPLRKIGRSPDRLQRTTSSLSTSSTSAPASPRSFPASDAIAPSARHIFPFAYEPSATTESESPQLLRQYSSISMSQPAQPPLRHQQMISFGGSPPCATQSFFMPAESAPQQQHLLRYWSEALNLSPRGGLAGMPPSLYQQLMRAPPPPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDRFLGKGRAGGSGRTSAASSAGTSAAPGTASCSSSSSSPPQTSDEAAANTQQAPRQREQQHAEWSTLENQPQHPPPTTIPQDGGSREAATPYSAEMFQSAAPSGGMWAQADESWFNAWGPGSSFWDYEVDDSARSLFIHHPRFSGEDAGMSHPGAQETPPATAAAGTSDAACDDVPVTSSSAPPPPETHQAPNFM >SECCE6Rv1G0381030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:29176638:29178661:1 gene:SECCE6Rv1G0381030 transcript:SECCE6Rv1G0381030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRKLLPWPCTRQSQAQALLRRLFCTFYPTNLDDDDPPFTRIPQSPPQAPTPQPPKPKDQTAKISPDEPVHSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGVAAAAARAEGNRDDVYGGPSRRGEVLGEALSEVEVAELVERYRHSDCSRQINLGKGGVTHNMLDDIHNHWRRAEAVRIKCLGVATLDMDNICFHLEDKTGGKIIYRSINIVILYRGRNYDPKQRPVIPLMLWKPLAPIYPKVVQNVAEGLTFEETKEMRNRGLHSPPLMKLTRNGVYVNVVDRVREAFKTLEVVRLDCSHTGTSDCKKIGVKLRDLVPCIPILFKDEQIILWRGKRAQEDSVSAHCTSRPE >SECCE7Rv1G0502840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:701332275:701332915:-1 gene:SECCE7Rv1G0502840 transcript:SECCE7Rv1G0502840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSRTRPPCADQEDMPKTWQGASVDKKGEENVVTPACWCGDVCKVKVSTDRKKAWTEGRRFFVCPNYAHDRARPTNAYDNPPSPPPLCKYFTWIDDEVPKDVQEDQYRDCLRRQRLFEESLAREEERLRRETERNERKKREQEKARKEKKAREEERARKLARARDAREEDEARDKKGKWPRTTQ >SECCE6Rv1G0453090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:880066295:880069732:-1 gene:SECCE6Rv1G0453090 transcript:SECCE6Rv1G0453090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVLALLLASALAGAAAGGDIVHQDDQAPKIPGCSNDFVLVKVQSWVGSHEGDEFVGVGARFGPKIVSKEKQATREPLTLADPIHACAPPKNKLVSGGVLLVERGKCKFTKKAKLAEAAGASGILIINSGTELYKMVCEKNETELDIHIPAVLLPKDAGQALRSLLTANTSSVGVQLYSPDRPVVDTAEVFLWLMAVGTVLCASYWSAWSAREAVTEQEKLLKDGHEVSLIVEGGGSSGIVDINVISAMMFVVVASCFLIMLYKLMSAWFIDLLVVIFCIGGVEGLQTCLVAILSRWFTSAAGSFVKVPFFGAISYLTMAVSPFCIVSAVLWAIYRQFPYAWIGQDILGIALIVTVIQIVRVPNLKVGSVLLSCAFLYDIFWVFVSKSLFHESVMIAVARGDNTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLVVAFALRYDWAAKKSLRSGYFLWSASAYGTGLLITYVALNLMDGHGQPALLYIVPFTLGTLMSLGWKRGELGNLWVKGEPDRVCTHQGYVQMAKPGGDDDQDDEEGKKIAGSSSS >SECCEUnv1G0534350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:40645705:40655974:-1 gene:SECCEUnv1G0534350 transcript:SECCEUnv1G0534350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMQPLISDFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQGAALADAIHAVGEHLIAANPIELAVGNTVRRVLHIIKEEDISSTAVGIEGLSVTAVSDDECDSGNDDRPTLSAAVLASHARNALRAPSLQTLLDDIPVSTALSHSSSSAGDSDGKTGDKSLKTRKLKHDVIAAIGDLIEEIDTCYDQISEQAVEHIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGVHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSNCMNFSTQDGTPLLNVANPTFDYVPPKLVSLFITDTGGHSPSYMYRLISEYYSADDLVVRRKSTS >SECCE6Rv1G0418250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:661860650:661861117:1 gene:SECCE6Rv1G0418250 transcript:SECCE6Rv1G0418250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATASRPSGPVLSIPSYRLASPTRVNLPAGSSAARSPGKSVSVSSPKQAPTASRSRRSSCTCSPTNHPGSFRCSLHKQAAPAGSTKPGSPPSTSKSRGSALPQLVPMGSGHWARRALAPSPAAQQSLQHRRRADGLRCRPSRLSAVSMAGDMP >SECCE4Rv1G0223680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:72524105:72525886:-1 gene:SECCE4Rv1G0223680 transcript:SECCE4Rv1G0223680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHRRRSCLRRVLTIAGGVSAGLLLLAGGGTYAGVPLFSPSLLPLGPGADCSPPFAPPPFALSPLPPYLLSDLEADASPPQPETNLPRRLLPIHRSPPPPPCLPSNSESGADRSPPQHDDADAVLLPDGEILLLADAEPAGAKATCAFQGGASSPASALGSLPGSGRHAYVCLMPEPARSLQPLQAPLLLPASAASASADCPGRRALLDWSDRIAFSSATLDSGDVLVFAKGVNHAAGGVQCIYRHCGHGVVASFPAITSVQQVTRCPAPPMHLNSQNTELRVTVAATGEDPVPSIATYHRPQQSQGGLVVTPAPKNLICACTMVHNVSKFLREWVLYHAAVGVEHFFLYDNGSLDDFADQVAQLRSAGISISTVPWPWIKMQEAGFSHSAATHQGSCKWMAFIDVDEFIFSPNWIGSEKPSKSMLEAILPVDPDVGQVYLWCFDFAPSGQTSHPQEGVIQGYTCRRKIILRHKSLVLLAAVNHSLENAIHHFTLKDGFRSIWNLQARVNHYKYQAWSEFKQKFKRRVSAYVADWRDPINLQSADRAPGLGVDGVEPVDWAQRYCDVKDNLLQRLSARWFGNGLATLGSQDT >SECCE7Rv1G0456860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:13062590:13064189:-1 gene:SECCE7Rv1G0456860 transcript:SECCE7Rv1G0456860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVVLGEATARQLAAFVLGAAAALTVVMLVQYRAPATGLSRARTPGHFSGGQHHRPNGTTTRGHVHQALPVAGAPGRDDDHHLHRPANATAIAKPNSTSTHAAPSHLSSTHRHRQKGAKREAEEFRGLPAAVARAAMDDRTVIITCVNQAWAAPGSLLDLFLESFRIGDGTARLLPHVLVVAMDPGAHARCLAVHQHCYHYTIPGLNVDFAALKFFLSKDYLELVWSKLKLQRRILELRYGFLFTDVDIMWLRDPFKHVKAYADMTVSSDVYFGDPDNLNNFPNTGFFHVKPNARTIAMTKMWHGARAKYPGANEQPVFNMMKKRMVKKLGLRVRYLDPVYVGGFCRYGKDLGKIVTMHATCCVGIDNKIRDLKGVLGDWKNYTKLPHWEKHRAKWTVPGACIRAEKQA >SECCE5Rv1G0337070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588559883:588562596:1 gene:SECCE5Rv1G0337070 transcript:SECCE5Rv1G0337070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASALPPLLVAAVLLLMAASATAMTVEDSAPDNIQPLSTLNLAAAQVAMDTASAIHASPDVLGKDGEDSAWVTVNFTTPSPSSDHWIGLFSPADFSSGIGGAKVAGEGDAPAGLPVAPIKYKFGNSEPDFLRTGGGKTSFLVINQRSDYAFGLFSGGKDNPKLVAVSNKISFMNPKAPVFPRLSQGKQWNEMAVTWTSGYDIGEAYPLVEWRIKGEETSKRTPAGTLTFTRGHLCGDPARGQGYRDPGFIHTAFLKDLWPNREYSYQIGHELPDGTVAWGKAATFRASPYPGQASLQRVVVFGDMGLGAKDGSSELQGFQPGAQVTTDRLIKDLPNYDAVFHIGDLSYANGFLAQWDQFTAQIEPIASKVPYMVASGNHERTYMDTGGFYNGNDSHGECGVPAETYFYVPAAAHRGKFWYAADYGMFRFCVGDTEHDWRPGSEQHAFLDACFAGADRKHQPWLVFLAHRPLGYSSNDFYAQEGSFAEPMGRALQPLWQRHRVDLAVYGHVHNYERTCPVYENTCTVKGKDRQSSYAGAMGGTIHVVAGTGGAKLRSYAGGAWPQWSVARNESFGYVKLTASDHSSMRFEFIHSDDGAVHDAFTITREYKDIMACAVDSCAPHTLAN >SECCE6Rv1G0396170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:359390499:359391610:1 gene:SECCE6Rv1G0396170 transcript:SECCE6Rv1G0396170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGMVTALLLVVLAAGCCASAGAVAYLSQLPVTLDVTASPSPGQVLHAGEDVITVTWALNASQPAGKDAAYKNVKVSLCYAPVSQKEREWRKTHDDLKKDKTCQFKVTQQAYPGTGKVEYRVALDIPTATYYVRAYALDASGTQVAYGQTAPTAAFNVVSITGVTTSIKVAAGVFSAFSVASLAFFFFIEKRKKNN >SECCE3Rv1G0195860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:803301691:803304034:1 gene:SECCE3Rv1G0195860 transcript:SECCE3Rv1G0195860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSASRAPRRPRIRSRAPPPTPIRTARGARSAAADELVLAEFLEASQRVPALTLPLARKKRFDFPAPPPAPDVPSQGLLSGEADAARTAVGAAADAGAFRVGGAAGAGKVRAAVEAAEAVFGAPERVKRELGRLFQRRDRVAGEELYLPWPVSSEVDRLLEAALPGSTYRVFREKMDVVASKMEGLARCVVRVLSDNVKNPKDSALPREAPSVLCLTLYNCNKLKTRWGEFGATDRPDSYALSVHLSGRDQEICLRNQGGSTFFNLPAGSALVTVGKQIQEWSSGEFKSAVGEILFELTDEPNPFMSLELLYSPGDLRLCEVGRHASGIDRPPKTVPLRDQIFIALVLLVLYYLFW >SECCE2Rv1G0090870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:292859722:292871620:-1 gene:SECCE2Rv1G0090870 transcript:SECCE2Rv1G0090870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 11 [Source:Projected from Arabidopsis thaliana (AT4G38050) UniProtKB/Swiss-Prot;Acc:Q6SZ87] MPSSRRTTARGGGAAPPPARGDAEDDARVPPFTGNNTDHNPRELRSWARRTGFHPSAFFSGESAVSNSSTATARAPPPPPPPASSRRPPRPPASAETDDDPDPAPPLDLDRRGHQGRPRRRIDLRGELEIPPAAGPVEVAAQPEPARRRDGIERLLGEREALNVGRNANGVRADADADTNARKKAEEAEAKRKAEEAEARKKKEDEERDAELAAYYQQEWANEEDGAADGAQGEEMTPLNRPSGLRCSVSENPGWALLVFYGIQHYLSIAGSLVFIPLILVPTMGGSDVDTATVISTMLLVSGLTTILHTFLGSRLPLIQGSSFVYLAPALVIANSEEFRNLSEDKFKHIMRELQGAILVGSVFQIILGYSGLMSLLLRLINPVVVAPTIAAVGLAFISYGFPHAGSCVEISMPLIVLLLLCTLYMRKISLFGNHIFLIYAVPLSVGIIWVYAFFLTAGGAYNFKGCSSSIPSSNILLDSCRRHAEIMRRCRTDVSNAWRSAAWVRFPYPLQWGPPTFHFKTAIIMVIVSVVASVDSLSSYHAASLLVNLSPPTRGVVSRGIGLEGICTFIAGLWGTGTGSTTLTENIHTLDTTKMASRRALQLGGALLVMFSLFGKIGALLASIPVALAASVLCFTWALIVALGLSTLRYTEAVSSRNMIIVGFTLFISLSIPAYFQQYEPSSNLILPGYLVPYAAASSGPVRTACDGLNYAVNALLSINVVVALVVAIILDNTVPGSKQERGVYIWSDPKSLELDPASLEPYRLPKKISCWFRWAKCVGF >SECCE1Rv1G0047850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:629938215:629939373:-1 gene:SECCE1Rv1G0047850 transcript:SECCE1Rv1G0047850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKPAALEQITLLRTPEPWESFSGVPAVDLSGPDAAADVVSACERFGFFSVVNHGVPAGVVDRLEAEAVRFFASSQAEKDASGPADPFGYGSKRIGRNGDMGWVEYLLLAIERDALSKASPAPTAELRDAINAYVGAMRGLATTVLEMVAEGLGVSPRGALADMVTGDVASDQVFRVNHYPPCPLLQGLPPNCSVTGFGEHTDPQLVSILHSNGTAGLQVALHDGRWVSVPPNRDAFFVNVGDSLQVLTNGRLRSVRHRVVAGSGLKSRVSMIYFGGPPLAQRIAPLPQLLAGTQSLPLYRDFTWGEYKKAAYRSRLGDNRLAPFEAPLVAMAHADRHRS >SECCE5Rv1G0305220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:68562982:68568514:-1 gene:SECCE5Rv1G0305220 transcript:SECCE5Rv1G0305220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPHQPAGYLETPATESFIHKLQLSVSKGLPHAAPAPASRADEHELVKSVFQVLQGFDTPLLYWDKNVPAYCEKPGTYVSHLSRASLGSVLKPFLFAATCLKRVELFVGKVRSCGHRTPTLSAFASAVDSWLVRLREAALKEEEKSFVSVDRTVTLLGLTDSMSSLCSGAEHLYQVVLGAVPDGFWGSGANMSSSEVAVHVVNHIFKKLNEVCLVEDGEGEPYHMLLVIFAGSLLPYLQCLDSWLYDGILDDPYEEMFFYANNAVTIDQPAFWEMSYMLRVRGSRSENSSTLTDSESIRTKESSKQELSNAGACLKASNQGYVDILCPVFLKDIARAIVSAGKSFQLVQHAQSTHHIRTHDDTNGFDIDQRSNHSSRQNWPDILSSEIQNGHRRCEDALTNSTAQFGHDAREMGVLTLSEIFLICLSGLLENGDHVYEYLRKLRAGSAPDVQAFLECKSNAQGMEEACAENCSEKTWLKLLRDAISGRKYDGIEKTLTKDAVTRDPIFVHGYLEDVSPNAVETPFSPCCYENPAITACGDVLRSNPNSWSDLNISTSFDLPPLNDDNMRRAIFGDHQSAGTSTCADTQSTPSFPRLDGTDFKFGFQFDDLEYIRQEDDRRTLEELYAFPTLLPCASENVPLSEILPLQKDSTLASRVLKFIQSMSLKDPLHPVGIIQECILKCIKKQVDHIGKQILCKLMGEWRLMDELLVLRAIYLLGSGDMLQQFLITIFDKLDKGNSWDDDFELNTLLQESIRYSADKMLLTAPDSLVVSLAKHDTRYDEDSAPTSRKGRAQGFGIDALDALNFTYKVSWPLDLIANTEALKKYNKVMGFLLKVKRAKFVLDETRKWMWKGRGSTAPNFKQHLIVGQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNVEQTLGTGGTAASVRARCEMELDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDTGSFTAIPGSRPRQQP >SECCE2Rv1G0114780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:738295082:738295279:-1 gene:SECCE2Rv1G0114780 transcript:SECCE2Rv1G0114780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVGIVAELLEDYTMLVAEVLEQLLNGAPLPRRMRTLMLRSLPFVAPPLAPPPPPHALRVTTRG >SECCE4Rv1G0242320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:474872729:474878089:1 gene:SECCE4Rv1G0242320 transcript:SECCE4Rv1G0242320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVAGDLELDSAVFQVSLTKNRYEAIACNGESAESVASGPFDQLVLHLEDAKNFQSRSSSGSFKLLLAGDAKGSTWFTKSTLERFLHIINSPDASKTANGILQEMSQLEETRKFHDYLQSKEQQNLMGGALTGGLSSTIGKPQQGNISPNSSAATKNELLRALDLRLSALKEEILVLLSRAVGSKLLNKEVSDLSGFVQHFGTSEFSWLMRCLLLIRDCQPSVLPPHRASTAERKDGALETRDISSQANIQRPITSNVSPAKLAQVERKMSMESDDSSESTDEDEAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTPIAIKSLSYFPPSQRVALDKDDESSCNGETDQPPRRSDNNVRRMSVQDAISLFEKKQKGENLDSESKKAGLVATKSVLRRWSSGMGDSLNSNTSEEKPSDSTSQSKSNNIASDEEKNEAELQAETDKAPNSVVAPEAGSYDADGHGITVSEMENVVSSHANISVEQTHSGQESNSDRAMASAEWNRQKEAELNQMLMKMMEVMPGKFAGANVTATGLISASEKKSGLQREKRDTKVRTEKGVKRPAKETSTKLLKESVGQNRAAITPKTSTITEKRNSPIPQRVRRNSSPPVLPKEVISKTPAKKSSPKPSPAPATRSSWSGGSLTKATSSTAQKTKNSPGAVSTSTPTSRRRTATASSAPQPISKVEKPLQVVKNKKEPVTVTKPAIKGQEDKKTRTATKPSRVAKSSPASEEKSSAMTKSGIYNKVPKKSSVVPVESKPVKKATGISQGVGSGAVKSKVPQLGDSSKGTGSVTRAEDKEQSPVTTEPTTKVPEADLAQPAHDVDENLEISIDNDLHVEKTENPGPSLSAAEMGSSDQVEPSAAEVKPLDEDMDISSAAWVEVDHQEVTDVGESVTGEDVTSPAIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGFKRLLKFARKNKGDNNTNGLASPSVVSDGEDEQEESGASDGVNSSRRTFDGSKTNSILSAQSMTSSFNATGSDRLRDRPGAAPSTKASRSFFSLSNFRSSKTNESKLR >SECCE4Rv1G0286530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849621049:849622305:1 gene:SECCE4Rv1G0286530 transcript:SECCE4Rv1G0286530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPAPLPVVGQQFCAPYVVPLTVTKKALSLSDGDFAITDANGAVVLKVKGAIFSIRSRRTILDGAGMPLLTMQEKVFSMHHRWEVFRGDSTNARDLLFSVKTTSLIQLKTEMDVFLAGNTAQQVCDFKIKGSYFDRSCVFYLGDSNNMVAQMSRKLTVSNVLLGRDTFSVTVFPHVDYVFIASLVVILDEVHRDKRDD >SECCE2Rv1G0074590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:78061830:78062729:1 gene:SECCE2Rv1G0074590 transcript:SECCE2Rv1G0074590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDMYNMVVQADGSITYQLTDPTTWGAWAHDGHRLWASMSEDFWLYEYKVRRCPQPYSHDWTACPYAHKGERARRRDPRRFNYVAISCPEYRANAHAHAQLGLAGAGHPPPTCARGLRCRYAHGVFELWLHPSRFRTRKCEAGTRCQRRICFFAHFTHELRGEDPVAAFAAAAVPPSTFAMVRTPPLIFQRAPSTPMTRPQAVPTPQLFDDLTLQDTPNRLRMLSLYSAITGDDVLSSPIATASAVATAATTMPTLGAPLPAYEDGQEEDSKSVHYADDEDSLLNDYPHRDLIMDFMR >SECCE6Rv1G0384320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:62167528:62174699:-1 gene:SECCE6Rv1G0384320 transcript:SECCE6Rv1G0384320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQCRSL >SECCE4Rv1G0222860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:63704821:63717157:1 gene:SECCE4Rv1G0222860 transcript:SECCE4Rv1G0222860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDTELRRACAAAVAASGARGEEVSFSIRVAKGRGIFEKLGRLAKPRVLALTTKHSSKGEADKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISSDPSGCTFVLGFDNLRSQTVAPPQWTMRNIDDRNRLLFCILNICKEILSYLPKVVGIDIVELALWAKENTLTLDNQEKNQEGQETSVATQTERKVTAKVTVENDLVSQAKDEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPLIEEVLQGIDAASATIDDMDEWLRIFNLKLRHMREDISSIESRNNGLEMQSVNNKGLVEELEKLLERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRCLEVPNLDPSYVNMRAVREKKAELEKLKITFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNNAGQNGNSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGAPNVNKRRSGANDPDDDDLSLMDPDGNDIKPDNTSVELGTLNDALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISVQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFTTLEKIAQSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYEQACTRHISSLIYIQFERLFQFSRKVDELTYTIAAEEIPFQLGLSKTDLRRVLKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVTRIYGNEPIMPVAEMRDTLASF >SECCE7Rv1G0470990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:125824348:125825813:-1 gene:SECCE7Rv1G0470990 transcript:SECCE7Rv1G0470990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEASWHSFDPSVAAEDSEEMARLLGVQFFGNDQKQHPAAAPPSSMYWPGQEAADQYYSSAPYYMQMQEQASTGAGYHSHGYYDGGGMAGDFFVAPEEQIMADPAGFMVDLNLQFDDQDDGAGTSSAACKRKFGDQNGTQSDTVAAPKKKARSTAMPAQRKGKSSAQPKKASKGSCSRGAQEESNGEANNNVQSSSNYLSDEEGSLEMTSCSNMSSASKKTSSSRGDHGGGAKARAGRGAATDPQSLYARKRREKINERLKVLQNLIPNGTKVDISTMLEEAVHYIKFMQLQIKLLGSDDMWMFAPIAYNGFNVGLDLKIAPPQQ >SECCE6Rv1G0386220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:92760198:92761485:1 gene:SECCE6Rv1G0386220 transcript:SECCE6Rv1G0386220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT3G14770) UniProtKB/TrEMBL;Acc:A0A178VM79] MMASLGLPEPSSYHDLCCYGAGIAGNIFAFVLFISPLPTFRRIVRNGSTEQFSATPYIYSLLNCLVCMWYALPFVSYGVVLVATVNTIGAVFQLAYTAVFIAYADAKKRLKVLVLLAGVFCVFGLIVYVSMGLFDHEPRRTFVGYLSVASLIFMFASPLSIINLVIRTESVEYMPFYLSLSMSLMSVSFFAYGALLDDFFIYVPNGIGTVLGVIQLLLYAYYSRKGSRDEARRPLLVTTHT >SECCE4Rv1G0295830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:900182029:900182625:-1 gene:SECCE4Rv1G0295830 transcript:SECCE4Rv1G0295830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAVRIVVGILFLAAAAAPAAAQGEWEVPESCANPVSVEAACRGASDTHHGVDYEHCVRSLNADPRSGEASGIHGLAVLATRIAVDHAASTEAKIEDLAEIEGEDPVRRAGFEHCLEQYGGAADLLRDALDNLQANVHGLAMQQLMAALGASRSCEDAWRGAPARAVPVAAHDREYERLAHIAIGFTHAAAAAAAA >SECCE1Rv1G0038500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:539947383:539951276:-1 gene:SECCE1Rv1G0038500 transcript:SECCE1Rv1G0038500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHRPAAAADDTTKRRATKSKSFKDVENYEVLVLEKNCGCKFKSLRYLLIAIVSATFLTLLTPTLYERQLQSSSRYVDVDWIWDKTSADPRYLSSADVQWADVYTAIEDLSAGNQELKIGLLNFNSTEYGSWSRILPESHVSIIRLEHAKDSITWPKLYPEWIDEEEDSEIPSCPSFPEPNVRRGAWFDVIAVKLPCTRVAGWSRDVARLHLQLSAAKLAVASSRGNRKVHVLFVTDCFPIPNLFPCKNLVKHEGNAWLYRPDLKAVREKLRLPVGSCELAIPLKAKARLFSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDLVILVDNTISDHHRRGLEAAGWKVRIIERIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDSEAAKAKKTQLFGADPPSLYVLHYLGLKPWLCFRDYDCNWNNFMMREFASDVAHRRWWKTHDKMPRKLQSYCLLRTRQKAGLEWDRRQAEKANLEDGHWRRNITDPRLKTCFEKFCFWESMLWHWGEAKNQTKSIPAPATPATMSLSSS >SECCE3Rv1G0205700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900978868:900983369:1 gene:SECCE3Rv1G0205700 transcript:SECCE3Rv1G0205700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGARGEDKAGGACEAWSYQLGNKETLSLKAPKKSPLALRMVVLTMTMICGVFICSMCMKQLGSDSWSRIVKIQVAEQSCNKSLIPPSEVQFVHYPQPLNYSRKECICTPVRFFAIISSQRSGSGWFETLLNSHINVSSNGEIFSKKERRSNVSSIIKTMDMVYNLDWNSSASKDECTAAAGFKWMLNQGLVANHAAVVDYFNQRGVSVIFLFRRNLLRQMVSQLANNHDRDLKQLKGKHKAHVHTKNEANILAKYKPRLNTTTLMWSLKQADDYTRKALENLKSVRHITLYYEDLIQNRTMLVEVLDFLKLPATKLVSRHVKIHTKPLSDQIENWDEVYNALNGTKFESFLNADYQT >SECCE4Rv1G0249020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:578180661:578181971:1 gene:SECCE4Rv1G0249020 transcript:SECCE4Rv1G0249020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDADDVFRYFRLNPTELQAVTYYLPRLLSGETLHCADKLIHRVEISGCEPKDLAARYAPVPLAVSSGDRFFFTTCKSKNGSKVQSVRGAGGGTWSIQKTTEISHAGAKVGEVKNLSFKTNGKSTGWVMEEYRCLLPEATVSDGVKVFCKMHLAQHAPDAARLESAAYNRQQAQPEAVTQSTHAQKRPAPAAAADPHPPRPKKRMRGAVPVPVPAPTTPSFLMYDEAASFPVQDAQASCESTTTSSRSDVAQAPEICSQSDVLESTQSEEAGSSSARSTSQENVFDPLEPISNLPEWEEDGFDLQELMRMMEDDPIEVEPVTGANTGVEMGQQEPLYLDALDQGVLEGMLQSDYPAFHDADKEKRYNAASDLDAPSLQGQDHLIQPRPCSFDPFEAAWNDEEALENEKRCNPMANLHTQPFGGHSNFFSSASVY >SECCE7Rv1G0458700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22470703:22471902:1 gene:SECCE7Rv1G0458700 transcript:SECCE7Rv1G0458700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCRLLLLFLVFLLPAAHAKSCHPDDLRALQGFAGNLSGGGALLRAAWTGASCCSWEGVGCNGGRGRVTVQRLPGYGLRGPIPGVSLVSLVRLEEIFLGSNSFTGSLPTSLFSLVGLRKLSFESNELTGQLSSHLHELKNLTLLDLSVNCFSGRLPDVFSDLASLDHLFVHSNRFSGSIPSSLSSLSSPHENNLRNNSLSGPIAHVNFSGMPLLTSVDFSANDLSGSLPISLADCTKLKSLSLANNQLVGTIPPWIGELDHLCYLDLSNNSLAGDVPKVLTRLKGLATANGSLGMAPTVMSLYVKPNRRTLQQEQPNVITGTNNSVRSGGGNTVSGNDNTVVSGNDNTVSGSDNTVKSGNKNVLTGSNHVVSGTNNVVSDNDHVVKGSNNVVSGGTTW >SECCE4Rv1G0216380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10522394:10523822:1 gene:SECCE4Rv1G0216380 transcript:SECCE4Rv1G0216380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLRDYQIRSQHKRDLIGAISWGLLCCLLIVSSYMMLYFRHFWLSAVIISVGILLPAGLYILRQRKLAKKRERRLLLPLSM >SECCE5Rv1G0300890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28476102:28476749:-1 gene:SECCE5Rv1G0300890 transcript:SECCE5Rv1G0300890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQKKAPASMDGSKAPHLLPVTLAKKTPGPDLVRRVSAWALLTAWHIALSFAIGTGIAYVLDHFNVHCSQSSFFLRCDQLTDAEEAVVNALGIGLLCCLALQAAAAVLALRLPCPRRRRALAYLALVLTIVGHFIMAAIAHILLVADPGDLFFRICSTGGLFVYAAGDIISFWALLQGGEE >SECCE3Rv1G0194010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:776565947:776567413:1 gene:SECCE3Rv1G0194010 transcript:SECCE3Rv1G0194010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAAAAAAAAAARASSLSSVFSSPSPRVKPPKRNRKTKRAATPSLAHSDPLLSPRTPPFAPLPMASPPAAAATASSRANGLSSIFSPSSPTVKPPKADPDADQILPKSLRGILKALIRQRDPDKLVSMFVQASSASSSFRDRHHLYDVAASRLTSFGRPDGIQAIIDAQKPFLETSTAEFAARVIRLYGRASMPTQAATTFHELPARHKSTMTFNAVLAAYAKAGDLDGLTAAFQEIPAAHPSIVPDLYSYNALIRTLCQKPDFSAALGAVHLMKKHGVSPDIITFNSLLDGFHNSGRNDEAEAVWEMIKERNLEPDAKCYNAKLRGLVAEGRIDDAAAVLERLEKDGPKPNIVSYNELIRGYCQAGRFQEAKKVYDSLTKNECVPNKGTYETLVPHLLQAGELDCALRYCYEIFGTKRFCGVKWGVLQDVVNALVDASRVVEATKLVELDWQKFYHRKGLRMPPNAGTDEEESISGEKECESGR >SECCE1Rv1G0006010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:29112717:29118194:-1 gene:SECCE1Rv1G0006010 transcript:SECCE1Rv1G0006010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELDELIDFLSNGSPQVRGAAADIVRGLTGDSDGLRSLAARADRALPALLRLLASVGAGGAGEAAADSLVNLSQDGELAARLVVLGAVAAAMEVMVKRGGEQPKLARSLFMLLVNLTQVESGISALLQVGDEKVQGLYVAKLVRSFCRSSSDSEDEDIFEHIASILVNISKVEAGRRILMEPKRGLLKQIIGQFDSTNQLRKKGVAGTIRNCCFEADTQIQNLLSIAEYLWPALLLPVAGKKIYSEEDRSKMPPELANALSHEREAVDDSEIRERALEAIYMIVMQDDGRKAFWSVNGPRILQLGYEDEEDLKVMGAYELIGSLLVGKGEIEQDQEQGEDKPQ >SECCE1Rv1G0025060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:339913619:339915829:-1 gene:SECCE1Rv1G0025060 transcript:SECCE1Rv1G0025060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILAQERLLGFALGSVSMGGFVLHQRRSIYRSLADADAASGGASFSYQTSETTGRGVSAEMAHVWNKAVDETLGRLVVYLSSRGW >SECCE1Rv1G0049570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642079946:642080637:-1 gene:SECCE1Rv1G0049570 transcript:SECCE1Rv1G0049570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALLLCSVVALALAAGSDARDHVVGGGKDGAWRVPPAAQPDALNAWAQKARFHVGDNLVFKFDAATDSVLEVTRADYDRCNTASPIATYKASGAVVPLPPKGERFRHFISGAPGNCQKGERVIVLVMSENHGRRAPPAAPAPAHSPSSSAGLAEAPAHAPAPAPATGAAWRTASGSGGSVALGALLGALLVVVGL >SECCE5Rv1G0300090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:22270451:22274563:1 gene:SECCE5Rv1G0300090 transcript:SECCE5Rv1G0300090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGAKRSSRDDPLALPEKKKKVIVWTDEASLPDPDAWILSDADLAKRERDEQLAPAPVEIPTLDYFKPPTRFHTAEVFAVRDSSEAALSAARFLLGVSSSLDGEPLRRCSGFWVDWDEEKKTGLVLTTARLIRTKDSPDSGWSGGEEYAPKADVTVHLLNGTSAKGDLVYYQPHYGIAFLNVKVDQPIKLPSFRGEDVKFAQDVFRLGRDDSLNLRITYARAEYKNPNMYRRYHNAHFRSPDDHGDDNEYDNGGLVIDLNENVVGMVNLPKRFGSFIPSSILLNCLDSWKKYRCIARPHLGMMFQAIKLLEPAYVDMLWRMYNIDRGLIVQEVSKGSNAEILGIQKGDVIESINGKPVSTTIELENTLMITCKGPSGAEVHISVGVFHTLKKQRSTVQWTAKLSELGEVLTS >SECCE1Rv1G0040900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:567289686:567292622:-1 gene:SECCE1Rv1G0040900 transcript:SECCE1Rv1G0040900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKLDYGPALSTPTLQPEAVKAQRGACSLLVLRFVYLTPSCAVRCPPVPFPSSCAAQPPEISSTVPPLRAPGESVRLPPMETCPSVKNILLLDSEGKRVAVKYYTDDWPVLSAKLAFEKSVFVKTQKSSGAEAEIVMFDGHIVVYKFIQDLHFFVTGGDEDNELILASVLQGFADAIDIILRNNVDKRTALENLDLILLCLDEIVDGGIVLETEGSVIAEKVLAHGAEGATSIAEQTIVQALTTAREHFAKSLLM >SECCEUnv1G0567900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:419630844:419632388:1 gene:SECCEUnv1G0567900 transcript:SECCEUnv1G0567900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSQPLPDQPCGAEYLRAVLRAPVYEVAQVTPLQVMEKISSRLGNTILVKREDRQAVHSFKLRGAYSMIASLTEEQAARGVITASAGNHAQGVALSATKKGIKSLIVMPVATADIKVDAVRNFGGEVLLHGANFDEAKAKAITLSQEQGMTFVPPFDHPAVIAGQGTLAMELLQQDAHLDRVFVPVGGGGLAAGVAVLIKQLMPQIQVIGVEAEDSACLRAAMDAGEPVDLARVGLFAEGVAVKRIGNETFRLCREYLDDVITVDSDSICAAVKDLFEDVRAIAEPSGALALAGLKKYVQQHNIQGERLAHVLSGANVNFHGLRYVSERCELGEQREALLAVTIPERKGSFLKFCQLLGGRSVTEFNYRYADADNACIFRQEIIDELNHDGYQVVDLSDDEMAKLHVRYMVGGRPTKPLRERLYSFEFPESPGALLKFLHTLGTHWNISLFHYRSHGTDFGRVLAAFELNEEEPEFEQNLSALGYDCHNESDNPAFRFFLAG >SECCE6Rv1G0383460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:52775730:52776041:1 gene:SECCE6Rv1G0383460 transcript:SECCE6Rv1G0383460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRADKENLAPATAAVARPHGVVAVRSCKLKRLGRARRRVPLRDIANLFVSESAVAEWRQALLQQSHEGAAAAELAVQNAPAGGAVAVLKPGRYLLRKEFR >SECCE3Rv1G0209280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:937611143:937611466:-1 gene:SECCE3Rv1G0209280 transcript:SECCE3Rv1G0209280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSMKVVVVVLLLLVTTEDQGPVQLALARDCQSKSFKFKGMCVGDDNCASVCLLEGFTGGKCKGFWHRCYCTKDC >SECCE1Rv1G0055880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:683469959:683476045:-1 gene:SECCE1Rv1G0055880 transcript:SECCE1Rv1G0055880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAEMDTGELDALLLAAADFASYPGTHGEDTVRQFLEQFPLPKLLGVLQSQADVPETVETVAACLDKVFSSRYGASLLPSYGEFIQAGLLTNSNSIRQLACKAVVHLLDKAGDIAVAVDTFVQHNLYPLLINCLIEGDEEISAIVMDAIKRLAEIPTGTDIIFPPDGQGLQLNKVAAQSSSMARIRILSLIAKLFAASSCTATAIRDSNLLSLFEDEIKDRKDMLKTLSALEVLYELAEHPHSNMFLLKTSLLQHITDVINDPSADSIVRSRASLISGRLLSSADAFMTIEQSCVTNLLLAIDKILRTEESQNTDEIESALETLGLIGTTTQGACLLLSDPPNIARHVVEMSFDRQGRGKQLAALHAFGSICGVDRQEDQIKLDDYQAEECLKRLVYSAASNSPKLTPSALLLSILQQDPDVRIAGYRVLSGLVVREWCLREVCSKPEIIGIVTDPKMETTKLGMEARYTCCVAINKALSSSHLLHEPSLFELIGKLNEAVRRGPYLSEKRQRVEAQPVVGTMERF >SECCE2Rv1G0103540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:591342401:591344444:-1 gene:SECCE2Rv1G0103540 transcript:SECCE2Rv1G0103540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGGGAATPPHASVVDSDDFDLSRLLNHRPRINVERQRSFDDRSLGDLYLSAMDGRGAGGYMDSYESMYSPGGGLRSLTGTPASSTRLSFEPHPLVGDAWDALRRSLVCFRGQPLGTIAAVDSSSGEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDPKRGVDTLAADFGESAIGRVAPADSGFWWIILLRAYTKSTGDHTLAETPECQKGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMSLRCALLLLKPEAEGNKDIMERIVTRLHALSYHMRTYFWLDFQQLNVIYRFKTEEYSHTAVNKFNVIPESIPDWLFDFMPSRGGYFVGNVSPARMDFRWFALGNCVAILASLATPEQAGAIMDLIEERWEDLIGEMPLKICYPAIEGHEWQNVTGCDPKNTRWSYHNGGSWPVLIWLLTAACIKTGRLKIARRAIDLAEARLARDSWPEYYDGKLGRYVGKQARKHQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMNPVLKRSASWTV >SECCE5Rv1G0370450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:842187912:842192848:-1 gene:SECCE5Rv1G0370450 transcript:SECCE5Rv1G0370450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQPKEDIEFLWKWRKYLLLLATLVASVTYVAGLNPPGGIRSEDGGNEPEYRAGTVHPHPPAPAAPAPAVYPFRVGDPVLVHTYSRRYTAFFYCNATAFVASLVIIMFLLDWRVSGNRVGLTVLRSAMLLDLLALMAAFAAGSCRGVVGSIYVSALFVLVFAYVAVHVYVETRGKKVPADSCAWRSPEEEKRLKERRKFLLLLATFATPLTYGAGLAPPGGFWSETRPGHRAGAPLLHDGPYKIRYHAFFYANANSFVASLAIIMLLMSRTLSGLLAGSYALPVCVLVELLGLMAAYTAGSCRWITTTVYIICLVGAVFLYILLQVVIAGHTKGMFTKCRRHICRILTCADLPPPDTKKNLPPPEPDNAVVNAPQEQGGGTGTSDAGIAKPGENVEESRSLLLLLATLAATVTYQAGLSPPGGVWPEGRPGHTTGNPVLHDMDPKRYKAFYHCNTAAFVASLVVIVIVQSKELSSGAVLRRAALNTVMILDLFGLMGAYVAGSCRDTTTTIYVAALAVAVFVYSIAKVVAFSAQRRQTKPVQWVQGLSKTVAGWLNLSQPGSGNPDDMERKRKFLLQLAILAATVTYQTGLNPPGGFWTESNGNMLVTAGDPILLDYYGVRYQVFFYCNATGFIASVAVILLLVNQTLSKGGIRSNALHVCVMMGLLGLMGAYAAGSCRKLRTSIYVFALVAAVVAFLFLQIVIYVLARRVSSSELPWVPPWLLEPLSTGPGGGGRKNKSKEITAEDKRESERYLKRKYLMVLGILAASVTYQAGLAPPGGTWGDDDTPSPSPSAHPPIVAGSPILLDFNAARYQAFFYCNATSFVASVVVILLLLQRTLKKQQPGAPLRTLQTAVVLDLLGLLGAYAAGSCRDWETSAYVIALVAVVVVFIALHVVLSFDIVFNKARKLLPKKYFGGGNDVEASRHDSMDNA >SECCE2Rv1G0117540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:773672572:773676484:-1 gene:SECCE2Rv1G0117540 transcript:SECCE2Rv1G0117540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKRGGDHLTTRSFRKSRKASKNESLVSGRFVGDSDQGVRSRLSDGLISYLSRSVASITLCNGDTILFSCSGIAMERQGRHHTRFLTSASLVRALNGTNKDHDDLKIEVRHEGNEVYMGGMAEFDLDRNFAVVNVHAFLENVQVGSFQPALEILPHGELLVVIGRGVSGEIMAKNVELDGDSRVSEDDEDLDCKISEAWEGGPLISVDGKVVGMSLFLTTRRAVFLPWSTILKHLEHYWISQQKKTGLARFKTSEVNRFAVRHTCVKSNSHPEVHGDLLNQEQLDLDSMGYPKLPSSMLGAGMILVDTFEETFGDIHGEGVWRKFSKSASNIKRNVVALASFNGEKRYFACTGFFIEWNGSTIILTSASLVRNSGDENKIVENLRIEVLLNSQCREGTLQHYSLHYNVALVSVKDYRALRPSNTLLRWKKDFEVAAVGRCFKSGALMATTGELVSWTGTLDCDFLATSTCKITKAGIGGPLVTLDGDVIGMNFYDKRIGTPFLLLVDIYKILASFETKSELGKVVNDSDPSGAPFWKMDQDNKTKLNRWPVPMPCWRNPNYVDEDKSDDDDEFGFEPESGRMPRYGYYKGRKLMLF >SECCE7Rv1G0481330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:282734092:282736390:1 gene:SECCE7Rv1G0481330 transcript:SECCE7Rv1G0481330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIQKRRVQLLLFVMGVLALSMTAEKCRELVGKEAASKSGQFTFMNCFDMGSGSLACAGKEGVKLYVNNLRSAHMEKVRQRALEKALADALTEGLSPAEAAKQAQKVGAKATKVAARQAKRILGPIISSGWDFFEAMYFGGSMTEGFLRGTGTLFGTYAGGFHGEERLGKLGYLVGSQLGSWVGGRIGLMVYDVINGLNYMLEFVRPEEYRSSAYASEEGSEYADNYRSGEGYEPTSSETIEEGSEYADNYRSGEGYEPTFSETLEEAQQQEESGGFSFF >SECCE6Rv1G0407280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555625962:555628026:1 gene:SECCE6Rv1G0407280 transcript:SECCE6Rv1G0407280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYQNVVAGKLKLKGKPLDVKEGGVKKKNKKKHRREESSQGDHGELREGGSSELPGDPDNEFSEAGKLGEEGSAQADYDHLTPAERRYIEQKQKIDVHKLAKVADKSHRDRIQDFNQYLANLSEHYDIPKVGPG >SECCE4Rv1G0230070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:157975504:157977902:-1 gene:SECCE4Rv1G0230070 transcript:SECCE4Rv1G0230070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPEFDPADVGAGRGLIDRLTADVAALQRDVLTEILTRNSHTEYLRRFLDGAPPGASAADLRDAFKERVPVAGYEDIKPYVRRVASGEPSSLLCSEPITHLLTSSGTSGGQLKLFPSTAEKLDQRLFYNGVQALLRKMHLHPDQGDRRGKGMYLMFIFPGSLTSSGLPIMASSSAYYHSSQFREHDIGGFGRCTSPIEAVLCPDGRQSMYCQLLCGLLDRRVVDHVGGTFANAFIRGIQFLEDNWEEMCSNIRTGRLSDWITHAPLRDAVAARHLRGSDPALADKIASECARKPWDGIVRRLWPGARYILAIVTGSMSQYIPVLESYGAGLPLVSPMYVCTECAAGINLNPLDLPSAVSYALLPNIAYFEFEEVTHGDDEKVQASTGLYDNLGELKLVDLVDVKIGRRYELIVTTFAGLYRYRVGDLLTVSGFYNAMPLFRFTGRRGVILKIDYESVSEEDLLKAISQAYELHLRPLGYMLGGSTAYADISTLPGHYVLFWELVSAEGNHVVTDIDRAVMENCCLAVEECFDQMYRESRRRGSITALEIRVLERGAFDALMDLFLSRGTSASQYKTPTAIRSEEALLVLEERVSGRYFSQETPNGPL >SECCE6Rv1G0384280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:61829368:61832012:1 gene:SECCE6Rv1G0384280 transcript:SECCE6Rv1G0384280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKLLCVSVFLLICTSKAINPEAEALLQWKSTLIGSTKSLSSWSIANSTCSWFGVTCDAAGHVTELHLSNARLHGTLHALYSAAFQNLTVLKLDSNNLVGVVPANISLFLTLAVLDLSYNNLVGAIPYQLSHLPMIVRLNLGNNHLSNPEYANFLPMSSLKLLSLANNNLSGVFPQFIINSTNVGMRDLDLSANAFSGPLPDSLPEMVPRLGYLNLSFNGFSGSIPRSFSSLRKLKELSNESERESFIWKLATIFARMQNMLIFSVQDNDISGTIPSEIFANWTNLDAFDVTNNSLAGNIPPQISKCKELFYFSLYGNNFTGSIPVDMGNMTYLEVLDLAKNHFTGMILFNMDNLSYLRFLDISSNHLEGELPASISLLAYLAVLNLSGNKFTGMIPNVDSKQFPVVKVTKNSSFFGESLSACCNLTSLQRLDLSNNQLFGDLPGCLWNLKELQSLDLSSNAFAGELPTSTHYSSSLRSLHLSNNNFTGCFPAALKNFKNLVILDLGNNKISGAIPPWIGESNPLLRILGLRSNMFNGSIPWQLSQLSNLQLLDLAENNFVGSIPEIWKGREYTFQGRDAFVTGIDLSGNSLSGEIPSELTSLRGIQLLNMSRNYLSGGIPKDIGNLKWLESLDLSWNKLSGPIPPSISNLMFLSSLNLSNNLLSGEIPTGSQLQTLEDPSIYSNNLGLCGSPLNISCKNSSSLTTTSHQDLEAIWMYYSLVAGTVSGLWLWFGTLFFWKIWRCAFLSCIDATQQKVMNKMKHTREYRKLSKY >SECCE1Rv1G0014750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:117906571:117909692:-1 gene:SECCE1Rv1G0014750 transcript:SECCE1Rv1G0014750.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYFQHIMFFILLLANAIIGGAFPSIENSLQGNSSMPWPSGIHPKLLRPSENLDANQNSISYITGHVWTPDGGDKYYGIEATLDVYGFNLQPGQLTAAGILVINRGDGKPSSVSGIEVGWTVFPRFYKDSFTHFYTSWTSGGSPRKGCDDMVCPGFHRTSSSIAPGGVINPVSNIRGKKAYITIRVFKDKSSGDWHIHYGLNGRPKPVGYFPKSLIPGLMDRDVEIIFGGSVSHNKPQPSPPMGSGYVPTSGNAASFHSIKLIDSNGNDHLVKTNLPFYMDPKGCYPLSYIDSARFFYGGSGCAD >SECCE7Rv1G0498170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:636110591:636114972:-1 gene:SECCE7Rv1G0498170 transcript:SECCE7Rv1G0498170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETKPPSPGSGGASGALHHHRRWAAPLLASVLLSSLLISASLFFSSSRALLLSFSPLPSAAAAEPLFVEAKLRQQQQEAHARPHRAVPRIAYLVSGSAGDGVALRRTLRALYHPANRYVLHLDLEAPAAERADLAAAVRADPVYSRFRNVKVVTRANLVTYRGPTMVANTLHAAAILLRDGGDWDWFINLSASDYPLVSQDDLLFVLSGLPRELNFIEHTSDIGWKEYQRAKPVIVDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHRFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLNDFDGMLNSNAPFARKFGREDPVLDKIDQEILGRQPDGFVPGGWLDLLNTTVKGEHFSVERVQDLRPGPGADRIKKLVTGLLNEEGFDDKHCV >SECCE6Rv1G0420760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:679930614:679930974:1 gene:SECCE6Rv1G0420760 transcript:SECCE6Rv1G0420760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTQMLLLAITFLVLSSDVKMEVSAGFGIDPISCMSNIIDPSPKPCDNQICRKACVERIGYGTEGECVAKGCKCTFCTNWPPRQSTNEP >SECCE5Rv1G0300590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27093476:27097249:-1 gene:SECCE5Rv1G0300590 transcript:SECCE5Rv1G0300590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVSLSRLLPFLLWRPPRPLLRRRRPLNPSPKPLPTRAKALASRSSLLLPGDERSPPPSPARRAPPSGSPGTIAAIVTALGGGPAAVGIVRLSGADAVAVVARVFRPARKEEPAPWRPRSHFVEYGLALDGDGGVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPGEFTLRAFLNGRLDLAQAENVSRLISAKSVAAADSALAGIQGGFSTLVKSLRSRCIELITEIEARLDFEDEMPPLDPMMLLSKINSMRQEVQDALDTAKYDKLLLSGLQIAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPVTLLDTAGIRETDDIVEKIGVERSETAALGADMVIMTISAVDGWTEDDTKLIKRVMIDKKCSGSEVPMVLVINKVDCTPFVSGEEFEKFSGIFRKHVHTCAVTGKGISELERAVIEVRGLESIPSGGRRWAVNQRQLEQLMRTQEAFTRLESSINEQLPLDFWTIDLREAALALATISGEDISEEVLSSIFSKFCIGK >SECCE1Rv1G0032310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:452917019:452918743:-1 gene:SECCE1Rv1G0032310 transcript:SECCE1Rv1G0032310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAGSASRLAVLVAVVVLASSAACCRAQLASNYYAGKCGNTSVEAVIQSAVQARLVWDKRMVAGLLHMLFHDCFVQGCDGSLLLDGPNTEKTAPQNSGIFGYDFIDDVKSDLESACPGVVSCADIIIAATRDAIALCGGPSYAVTLGRRDGTSSVSWMAGDLPSPHVDIPTAIAMFAKKGFNSFEMATLMGAHTVGVTHCSLIEDRLYNFNGTGKADPSMDPTYAWVLTTYACPKGQTFDNIVYLDDPSSILVFDRSYFNQIKNRRGVLPVDQALGIDPATAWMVDFFATTDFFPAMFAHSITKLAALEVKTGTAGEIRRNCRLTN >SECCE2Rv1G0115130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:746616052:746622096:-1 gene:SECCE2Rv1G0115130 transcript:SECCE2Rv1G0115130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGGPFALVALCVLELALRGAGAGAGAAPEPAPAPAPGPGTGTERLVPAIFVFGDSTVDVGNNNLLPDCTPACRANYPQYGVDYPSHEPTGRFSNGLNLADQIAQLFGFDESPPAFQSLPAKGIVPQMKNGINFASGGSGLQNKTGQKLCGQLHSMADQVGKFTSAVEKMGKGSGDLLSRSLFFISVGSNDLFEYADPDSPPANRNDTAFLESLVAYYRGYLQDLHAAGARKFSIVSPSLVGCCPSQRAIAKKHDDTDEFGCFGTANNLSRQLYPMLASMLKDLSRDKAGMKYSVCDSATMAEMIFKPGGGADFDLTVLDTACCGGAGKCNASAKLCPNRDNYMFWDSYHPTKAASGLAAMALFSDPGTYVHPINVGALAEL >SECCE5Rv1G0364780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802901773:802902279:-1 gene:SECCE5Rv1G0364780 transcript:SECCE5Rv1G0364780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGAGSREEEPSVSLALSLRTDSTASAGMSADSGAGAPAKRRRGAVVATSGEEEFVCKTCGCAFATFQALGGHRTSHLRGRHGLELGIGVARAIKERKKREEKQHKCHICGLGFEMGQALGGHMRRHREEMAPRNGDDRWVALLQDQEEAGNQAAADQPPVLLELFV >SECCE4Rv1G0259030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:682241688:682242464:1 gene:SECCE4Rv1G0259030 transcript:SECCE4Rv1G0259030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSVEPDHHAAQGDTEGAVSFLRTQHDVDAVCDKHGVPKDRYTARPAGDLRASSRPPPGCVCVYADALEAGMRVPLHGFFCDVLAHFRIAPTQLAPNGWRILAGFLVLCHSAGVPPSLPVFRYFFLLCVLSHKHRGCYFFRSRDTSGLRFKGMPSCIKDWKHSFFFLSSPEPWPFPVEWGEPSKSSFVEPVLDSEGKKHAAKLLRSYAGAAVDIDTCLSDSKLAAAMAPAASAPPPPPLTPSFTRIASDSKGN >SECCE6Rv1G0434080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763669508:763671983:-1 gene:SECCE6Rv1G0434080 transcript:SECCE6Rv1G0434080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPTVATAPLLAAPDGGAEADANGDHERQQQLQIVPSSAIAPAAAPSKPPEPAPTVATHTRTIGIIHPPPDIRVIIEKTATFVAKNGPEFERRIVAHNQGNAKFNFLQPSDPYHAYYQHRVSEIADAPPAPDAAAAADPDALPSDDSAAAPADDHSAPFRVGPPAKVLVPPKAELYTVRLPEGITTEEVDIVKLTAQFVARNGKTFLASLASRESTNPQFNFLRPTHSMFPFFTALADIYSRVMRPDEGVSALMRELKEGTKDLTTVLERCLNRLEWDRSQEQAKQQAEDEVEMERMQMSMIDWHDFVVVETIEFADDEFEGLPVPPTLEELKRRKRMETLGEEEPMELAEPAKDVEMEMDEEEMQLVEEGMKAARLDENDGAAQVKVASNDDEPPMRIVKNYKRPEERIPVERDPTKVVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIIGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGWTATQAMSVGGEEQGDASNAAGPAPLPRPGMPLPRPPQPLSLVNVPRFTPNPMPYHVQPPHHMQGVPPHMMSNMHQPLPPGQQHMIRMSGPMGHMPSNIPPPPPGHNTQFMPGPPRFAMPPPPHMQNMPTMVNPIGIPQPPPPLPPQPPAEEQPPLPEEPEPKRQRTDDASLIPVEQFLAQHPGPARISVSVPNLDEGNLRGQVLEISVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLSLAYYNVGPGVVINLALRERGGRKK >SECCE6Rv1G0443260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:821746110:821747216:1 gene:SECCE6Rv1G0443260 transcript:SECCE6Rv1G0443260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQNTPVLTASVCIPETVHGTHSFKIDRYGLYQGFGIGNCIQSPNFTVGGHEWCIRFYPDGYNEDSKGYVSVFLELKTKNIVVRAMYNLMLVNQAIQPPVLPSNFANFNDSPPVVFDTRNDKLEAWGSFVFKKKCEIEGSSYILDDSIIVACNLTIITLKDAKEVDVGLISDNQVPPSELGANLSKLLGSTKGADVCFKVQREVFHAHEVILAMRSPVFWTEFYGPMRVDNRHVKNIEDMQPDVFRGLLHFIYTDSLPSMKDLNAGECEEMLRHLLVAADKYAMKRMKSMCETKLCQRFDQETVATTLALAVKLNCSMLKDACIKFINSLSTVDGVVASKGYQHLKRACPTIFADMWEKATKARKF >SECCE4Rv1G0260480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:696115104:696117522:-1 gene:SECCE4Rv1G0260480 transcript:SECCE4Rv1G0260480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHKLSRVAHRVLCCGRQASGDDLNDERNGSIRWVFSLRELQSATNRFNYDNKIGEGSLGSVYWGQVWDGSQIAVKKLKNARNGTEMEFAAEVETLGRVRHKNLLSLRGYCADGPERILVYDYMPNSSLFAHLHGTHSSECLLDWRRRMFIAIGAARAIAYLHHHETPPIIHGSIKSTNVLLDSNFQAHVGDFGLMKLISDEIDHDKILGENQRGYHAPEYVMFGKPTTGCDVYSFGIILLELTSGRKPVEKSGSQKMLGVRNWMLPLAKEGRYDEIADSKLNDKYSESELKRVVLIGLACTHREPDKRPTMLEVVSLLKGESKEMLSRLEKEELFRPDSMASSVGMSTPEGSTDCILKNDDEGLAGA >SECCE3Rv1G0160100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:102633127:102635047:-1 gene:SECCE3Rv1G0160100 transcript:SECCE3Rv1G0160100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGYHEASLHPPAAHDAAAAAEWDWGEALLDKSAYMVDKKDHQKNHTSACHRFRRNLRDREVEMEIQVSLFMAPPPRISYFCCSATDDGDEHGEQEDAPRLFVREPWLIATQGDLALLTLCHGHHRAFYGVKSNYEYFLYQAAASPAGSPELTRLPRPQPDMLPAFGTRCFPIGSIGVLRYRGDVPEDDDAYRIAAVAYDPFHTSNSEYYLCTYDSKDPRWRRTPAASPQPPPPDDFVSSKVITIRRSGSGSVPCSGIMGWVDLWSGILFCDVLAAAADDTLLPLHYLTLPKPRQLRTDLPLATDIGSHFRDIALANDSGILRFVDLQVHAEPYPRSQTPSGWTVVTWTLEGLNGGLDALFFRQEHEIHSRDIHGYDLPQSLFVSNPILSSHSDGVLYLLTNACSKNTNTRMSKVIALDLNQKTLLDVQDFDMQRPGPYMATTISSHLMPAAAVSKEKENMKRRAPMSI >SECCE3Rv1G0167190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:199313093:199317122:1 gene:SECCE3Rv1G0167190 transcript:SECCE3Rv1G0167190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMNKAQEMIMAALFVLGCFLQTAQAARADDDALEWMPSSPFRDELRDLGVAALIRDDAEATALASTDFGNVTVAPAAAVLYPSCPADIAALLRASCARSSPFPVSARGCGHSVRGQAAAPDGVVVDMPSLGRLGGGSTASRLSVSVEGQYIDAGGEQLWVDVLRAALAHGLTPRSWTDYLHLTVGGTLSNAGISGQVFRYGPQISNVQELDVITGLGEMVTCSKQKDADLFDAVLGGLGQFGVITRARIPLVQAPTRARWVRLLYTSAAALTGDQERLIDVERGGVVSGLMDYVEGTVLADKGLIGSWRSQSPSSSSSSSFSSEPDVAARVAKLAEEAGGVLYCLEGALYYGSAAGDESDVDKRLDTLLRELRYARGFASVKDVSYVGFLDRVRDGELKLRAAGLWDVPHPWLNLFLPRSRVLDFAAGVFHGIFRRGTTGAMGPVLVYPMNRNRWDGDMSAVFPEEEEVFYTVGILRSAVSDGDLGRLEKQNEEILHFCEVAGIPCVQYLSYYADQTGWEKKHFGPAKWARFVERKRKYDPKAIMSRGQRIFTSPLA >SECCE4Rv1G0218700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:23982953:23983564:-1 gene:SECCE4Rv1G0218700 transcript:SECCE4Rv1G0218700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQRRHRAGAPKPSWLVTIKATPPAPARGDAAKKLAAPARSPILPSPSVWQKGMSVGDRGDTGVAPASPRIGCMGQVKGARRCSRARGPISSRGPAASGLPCGSLAGLLMGLFRRRSTGRKSRAYSKVRDVASDSSSSGSARASSSSGSAPGARAPRPLELAPPLPVPAPVVRRPAMSENAPSLWERRRGGAKVLEGLQLT >SECCE2Rv1G0108250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:659466007:659467676:1 gene:SECCE2Rv1G0108250 transcript:SECCE2Rv1G0108250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVEQQQEDRLSKLPDDILLSVLDRLDVRGAARSSILSRRWRHLPRAISRITLDVKRHKLEDDGSKSALSKKARANLSVAEAARSLLARRDQRQAVRALYLRFYLGDESMGIVRAVDDAMARGCSIAKATFVILGEKMDTRCTDEDLAVHAARFLSCLDACPRAFAGLTTLHVESVKLGQPDINNVLGTCGRLKSLSLLNCDSGRDTALVVQHPQIAVLKLVTCGTIELKCLPELVKLTCECWLPSRDPLLFVHAPRLRTLVLATNCTSDYKILRLSELLDNNATTRELWMGFDSERLWILPEGPKQLAPRLSNLRILNLQKIPKECGIKWTFFLLEAAPLLEELDIEVSNHQCKPFKGETRERLVCEKTFIEWEPSDFKRYNLAVLTIYGFRPEKMFMGYIRRIMEVAVNLEEISLHDEFCYDCIFCLSTTYPQTRTERNLIRKEINEGKSSPINTILFYARPMSVRDEPVEIID >SECCE3Rv1G0155950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69899073:69899498:1 gene:SECCE3Rv1G0155950 transcript:SECCE3Rv1G0155950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKLAALAVLVVLLQASTGGASRTVSTSKVDGMPGVMTVNGFERGEDGGGPAECDGKYHSDDDLLAALSTGLYQGGARCFKMIRLTSAGTGRSVEAMVVDECDSRKGCKNNIVDTSKAVWKALGLDTNVGEVPVTWSDA >SECCE1Rv1G0010940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:67458608:67462834:-1 gene:SECCE1Rv1G0010940 transcript:SECCE1Rv1G0010940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MAGRYNRTNYSSYLNDQPMPDATSEKEQGNEYFKQKKFAEAVECYSRSIALSPTAVAFANRAMAYLKLRRFEEAENDCTEALNLDDRYVKAYSRRITARKGLGKLKEAMDDAEFAVSVDANNPELRKQYSEIKALLMEKMAKRSPVQAKHTISEFDKAGDRKNITSHAPSDPQKGSIMEVDSPGRAVAGIRELSGGVSKGGSGVSLKDNNMQKSRDAKQKPGPELSVQELASRAASRYISSTVKSVKTPKTAYDFEVSWRAISDDLTQQIQLLKSIRPERLPEIFKDALSSAFLIDIVKCTASIFRDDAVLAVSILENLAKVSRFDLIIMCLSPMHKSELRKIWGQTFLGETASPDQVEALRRLQAKYIQGGWHDDMFALS >SECCE1Rv1G0004740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:20542975:20544535:-1 gene:SECCE1Rv1G0004740 transcript:SECCE1Rv1G0004740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTKIFCLASLPIFITIVLTMMARRRAVFSPTTKQSPPLPPVAAMAPILGILPALLAKGSLQVIRDVHAEMGSVFTVRLLHRKVTFLVGPDVSSHFYQGLNSEISQDEVSQFTIPIFGPSIAFAVDFGTRREQFRFFGDAMKPAKLRTYARLMVHEVEEYFARWGQSGKVDLKQELEHLVMLVASRCLFGEEVRAKMMREVATHLRELHDGMRLITILFPHLPIPAHYRRDRARARLNKIFSDIVSSRKAGYPDDDMLQCLIESRYKDGRSTTETEVVGMLISALFAGHHTTSSAGTWTGASLLARDNAKHLHAAVQEQGRIMARHGDRVDYEVLHEMDTLHRCVKEVLRLHPPAMMLLRHARRSFTVRTREGDEYHVPEGHTVASPLVIHHRLPYVYRDPERYEPDRFSPGRGVEWAGGAFSYTAFGGGRHACVGEAFAYMQIKVIWSHLLRNFEMEMVSPFPETDWNVVMPGPKGKVMVRYNRRISAAA >SECCE2Rv1G0106090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:629654366:629656669:-1 gene:SECCE2Rv1G0106090 transcript:SECCE2Rv1G0106090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAQEELERRSRYLSSLVRRTRLDDPPQPEPEPEPKVERKVVEHKPAAEPSGGEGKAAKPEAKEREEAKVKGDAAKGEGRKVAVRVRAADMPLALQRRAIWIAREVVLSTPRLESKRLALALKKEFDTTYGPAWHCIVGTSFGSYVTHSLGGFLYFSIDKAYILLFRTAVEPLSHP >SECCE4Rv1G0253510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:627157812:627174195:-1 gene:SECCE4Rv1G0253510 transcript:SECCE4Rv1G0253510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin like protein for actin based chloroplast movement 2 [Source:Projected from Arabidopsis thaliana (AT5G65460) TAIR;Acc:AT5G65460] MADARGGWSWDLPGFQPPTPAAASPLAPPTAMPRAPPTAMVARSSEGAPRASGAMPVADRLDQLADSVQLAREDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETETRITPLIHEKKRLFNDLLTLKGNVKVFCRSRPLFEDEGPSAVEFPDDFTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFRDVQPFVQSALDGYNISIFAYGQSCSGKTHTLEGSSHDRGLYLRCFEELFDLSNSDNTSTSHFNFYFTACELYNDQVRDLLSESRSTALKVRMGVQESFVELVQEKVENPLEFSGALKTALQNQSVHSTKAIVSHLIITIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDANRDNVTDCLHVSKSLSALGDALASLSAKKEPVLSGNSRLIQILADSLGSSSKILLVVHVSPSASNLSRTLSTLSFSARARNAELSLGNRDTIKKWRDVANDSRKELHEKEKEVSDLKQEVLGLKLSLSEANDQCTLLFNEVQKAWRVSSTLQTDLKSENLMLADKHKIEKEQNNQLRDQISRLLEVEQEQKIKIHERDLTIQSLQAKLKSIESQLNDALNSSDARSTIGSESASVISTPKMMESTAESSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLASSPQAPSPSSNQATNAQGRDMGRSNSSKTQSPDVFPATMSQDKTGNSGAIVKSSNELAKSTPAGEYLTSALMDFDPNHFEGFAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVRILYIRSLLARSPELQSIKVSPIERFLEKSNSTSRSRSSSRGSSPGRSPGYHIDHGSRVALIDENVHGFKVNIKQEKKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFAIGNKALAALFVHTPAGELQRQIRAWLAENFDFLSVTGGDVAGGTTGQLELLSTAIMDGWMAGLGTAQPPSTDALGQLLSEYSKRVYTSQLQHLKDIAGTLATEEADDPVHVSKLRSALESVDHKRRKIMQQMRTDTALLTKEEGGSPIRNPPTAAEDARLASLISLDNILKQVKEVIKQSSTRPLRKSKKKALLESLDDLLAQMPSLLDIDHPCAQKQITDARNAVESLQEDPDDPAPDPNSNSNTLGESEVSQWNVLQFNTGTTAPFIIKCGANSSCELVIKADQRVQEPKGGEVIRVVPRPSVLAEMGFEEMKGVFEQLPEAVSLLALARSADGTRARYSRLYRTLASKVPALKEIVAEMERGGVFKDVRS >SECCE6Rv1G0403410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:502333361:502341899:1 gene:SECCE6Rv1G0403410 transcript:SECCE6Rv1G0403410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAKTDSDVTSLAPSSPPRSPRRPAYYVHSPAASHPDVVASGGCCGAGAAADKMSLAGSTPAESPLHYHFHHSGPAMHHSRESSTGRLLFSDQLRSGGAAGAVPWRRLGHGSGAGSAGDDEDEEDGGRPGSQSPWRCYALAALAFVAVFAFFLLVLWGASKSYKPHVDVKSVVFESYHIQGGTDRTGVPTRMMSVNATVRLRFRNRGTFFGLHVTAAPFHLFFDDLTVASGNMDEFYQERKSGRTVTVSVVGKQVPLYGAGANLHSKPNNGRLGPAVVPVRLAFVLRARAHILGLLLRSKFYRRVACRLELREAHLGKPVRGLAAHCEYHDGR >SECCE5Rv1G0362540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:787055174:787056577:1 gene:SECCE5Rv1G0362540 transcript:SECCE5Rv1G0362540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGFSSSPLRVVVVPWLAFGHLLPYLELSERLAERGHRVSYVSTPRNLARLPLPLRPAAAPRVDLARLPLPLPRVDGLADGAESTNDVPDDDRELHWKAFDGLAAPFAQFLAAACADETTRPHWIIADSFHHWAAAAALEHQVPCAMLLPTAALIATAPHLPPEHGRARDLPRYEQEVMDPAYNNYGISGMSIIRRFNLTKDRCTIAAIRSCIEWEPESFPLAATLLGKPVVPLGLLPPSPDGARRAAAKGAEHATVRWLDAQPPNSVVYVALGSEVPLRVEQVRELALGLELAGTRFLWALRKPSGAAVLDDDGVYMLPPGFQERTRGQGLVTTGWVPQVSILAHAAVGGFLTHCGRNSLIEGLMFGHPLVMLPIFGDQGPNARQMEAKKVGLQVARDEDDGSFDRHGVATAVRAVMDDEEARRGFVFVAGAAKMQAVVTDTELHDRYIDEFVEHLRSYAAASPY >SECCE6Rv1G0420740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:679615269:679619969:-1 gene:SECCE6Rv1G0420740 transcript:SECCE6Rv1G0420740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYDASIIPEWKPAFVDYRGLKKLIKKIKVARREADDSSAGDSSPETAALAAGVESDGYGAGFSVLDPVRALAARFAPRVQASIDDEESGDSGELVRSTDKHEREFLEKADEELEKVNTFYATQEAELLGRGEALIDQLRILADVKRILADHAATRRARGSLLGRSRSMPAVAPPSPAFSNSGRYLLSGLATPQSMSDGSVELQQAQMTEGAAVADEVMAALERNGVSFVGLPGKKDAKKEGSGRGGRLQLPSTVRIDIPASNPGRAALKVWEELVNVLRKDGADPAAAFVHRKKVQHAEKNIRDAFMALYRGLELLKKFSSLNVKAFTKILKKFVKVSEQQRATDLFSQKVKRSSFSTSDKVLQLSDEVEALFLKHFAGNDRMVAMKYLNPQQPKNTHMITFLVGLFTGTFVSLFIIYAILAHVSGIFASTGNTAYMEVVYHVFSMFALISLHCFLYGCNLFMWKSTRINQNFIFDFAPNTALTHRDAFLMSASIMCTVVAALVINLFLKNAGASYANAVPGGLIVLSAGLLFCPFNVFYRSTRYCFMRIMRNIMLSPFYKVLMADFFMADQLTSQIPLLRHMEFAACYFMAGSFRANPYETCTTSQQYKHLAYVISFLPYYWRAMQCLRRYIEEHDINQLANAGKYVSAMVAAAVRFKYNVTPTPFWMWMVLISSTGATVYQLYWDFVKDWGFFTPKSKNLWLRDDLILKNKFTYYVSMMLNLVLRLAWTQSVMKLRINKNKSRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >SECCE7Rv1G0518060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857119224:857122436:1 gene:SECCE7Rv1G0518060 transcript:SECCE7Rv1G0518060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLCHQLAHHVRLLITASCPYHRESVPCGIPSHMEYTTRSAMDKKRVAIVGAGLSGLAACKHLLERGCRPAVFEADTVLGGVWAHAPECTRLQTPRPQFQYSDFPWPESVTEVFPDHRQVADYLNAYARHFGVLDCIRFGRRVVGMEYVGVSEEQMAAWEDWAGCGEAFGSGDGEWRLTVADVDGLMEVHKADFVLLCVGRFSGVPNIPTFPIGKGPEVFDGKVIHSMEYSKMGGKKAREMIEDKRVTVVGYLRSAVDIANECANVNGTKNPCTMVVRTKHWIIPDYYAWGVHISNFYLTRFAELLIHKPGEGFLLGMLATVLTPLRLMFSKFAESYYSIAMKKHHMVPDHSLFEGLVACVAAIAPKDHYKNLEEGSIVLKKSKTFSFCEEGVHVEGECTPIKSDIVIFGTGFKGDQKIKDMFTSEYFQSIVVGSTSTTVPLYRECIHPKIPQLAVIGYSESLTNIYTTELMSKWISHFMDGGFRLPCVKEMQRDVLEWEKFMKRYSRDYFRRSCVGIVHTWYNDQLCQDMGCNPRRKKGFFSELFEPYGPCDYVNLHPK >SECCE5Rv1G0301890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34141608:34143044:1 gene:SECCE5Rv1G0301890 transcript:SECCE5Rv1G0301890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGSSAKSQEIGSKRKWKNQDERTAKQIAWHSFGYQGHHPQQRPTRDGASLTPSPGTQKDFHVSGDRFFLTSSPYQKDVESRYLQDKKNGTITCLVCGNEGHYSSRCRFKDQEHKIICGVCSKNGHCSMWCCQQNKSENRACTRCGEIGHSTSTHGLSCSSCDEHHEDGECRLGDVKCFLCECQDHYLAKCPLNSVLTEAFQGQRDNFQASLRLALSKQGNPSSTPPKCSAKSEGKVLTADKSSPICFTCREEGHFAFQCPQNSPGQSEEFEESSTIATSANLSKELEERDPDTGTAKQSSERKPILYDQCCPSKAKILTPDKSSPMVRTCKTKTEGKKRMCFTCREGGHYARMCPLKFGAISGNMSKELEESSTIATSSNMSKLLEEQDPGTAKHSSEMKWVLRCVSCGQEGHRARSCPTRVFICSLCNEEGHKAKKCPQKGQKSSEMKRKSNVRCVRCGEEGHKCKKCPLKHQS >SECCE7Rv1G0504610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:725585557:725589390:1 gene:SECCE7Rv1G0504610 transcript:SECCE7Rv1G0504610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAVSAVGWVVSPIIVRMVSLVQSYMSSQYNWKSGILSDLKNLEATLMDILLVVGAAERQHVVDTNQILLLQQMKDAVSDADNVLDEFDYMLLKEKAEKNDLRSRIASSSLSIGKRLVNIDKSWSELRKVLKSLERVRTSAEMFVRVMTLESYKPSQSLQCVPARTTGSFLHEDAIFGREKEMRELMGQLVYKSDECSLNNEEKFRTEVHAIVGVGGIGKTTLALLIYNDERIMDFFDVRMWVSVSSNFDKTRVIKEIIANITDGESAELDNFNFSRLQEELKRRLYSKRFLLVLDDVWYNEKHGEHINKQMWMEIIAPIKESYAMSGNKIIVTTRIELVAKMLDSRSLFTLQGLERDDSWLLFRRCAFGSSKPEGYPELKQLGYQIVKKLKGSPLALKVIGGHLNGKYSDAEWEDVLQKDVLNPNDILTILRLSYDSLPEHLQQCFIYCSLFPKGYRIDSKRLIWMWIAQGLVNLEGYNSRSLEDIGRSYFNDLLVRSFFQTVCCGDQTYYTMHDSMNDLALHVSHGECFRIDHGSIGVLPRHIRHLSVSAEQLGDLVKYDGLGRLRTFIILNDSWFCSKDSLSHDILNKLKGVRVLDLSGCCFGSLPEVVNDLRHLRYLAIRRTYSPLPTTISRLHHLQVLFVQYHSCYPVRKSCSNSRKQLKYPSREVNTTGAHFSLPESISRLINLVHVDVEKAYTLTLSGIHQLPGIEGSVEFLVEKEEQSLVQLKDLNQIRGELSVRFLENVKNREEAAKSRLDLKEHISKLELQWESCDGAHDMDKGFEVLDVLKPHHNLHELTISGYPGVKSPSWLESDWLRRLKLICVRDCNRWEVLPPLGDLPFLRTLEVRRMKEIKALGQEFFGHAGFPSLQTLLLERLPKLERCLVDDKVLQNLRHLSVAGCPRLRTYPTHPRTLRHIAVLDKEVISIKLQMDSFELSRSFCRVVSSSFHVLRSHHLVSIEYMDIYVTSLVQMPTTVFHNMKSLKKLKISGIDKQNTFFLEYDKQNTCSVITTLLGENGCPVLPLSLKDLVLMDCYLQPSSFSKLLNNLSSLETICLCDCGSLGIMGLPVSLHHLRMLKQLDISECDWISSIEGSEALLSLEVMKIFYCYKLKSVPYLDDMPCLQKLELWGCPQVMRLSQAGHQTALKELKVISCHGLSSLRQLCDLVSLVELCIIDCSNLLWLPDLDGFYSLRCLSIDRCPRLMSLPRSGLPVSLETFFLSKCHQALKEQFRRKEGPDYNKFAALPGCKWSSHLRSEVVHLQRFDTKEVRW >SECCEUnv1G0535220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:53281105:53283619:-1 gene:SECCEUnv1G0535220 transcript:SECCEUnv1G0535220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPGGAGWLATVRKVFKTSPSSSPSKDPRHAKKGLGGEEQEAAEIVSVEHFPAADTSPEVTNEGSGGSVAGWAREGGGVEAEARRARRAMASRMARLAALRGRAAGREERAAVRIQAFYRGYLARRALRALRGLVRLQALVRGHQVRRQVHHTMRCMQALVRAQDRVRARRLNSHVATRGRPAPVVPAHGARRQSYGHERLFFDDHQDEEDAETAAQVRRPRNRHGSVGNLSPFQEGWDAVARGGALCRHDDAAAWPPAYAHDFQQHKMKFHHEQMQLERDELDKRKAGWHWLERCMAPTSAPPEQANQHLAAAETSYVTAATATATEGVSERTVEMEPSRKSPPTDLYPVRAEVIPGYMAATQSARAKARMAPPAAPRAHARSRSGSVALGGGSTASSGWSTSNNSDRAAQQRELCSPESSCSGDRSPPTLGGRGRVAYA >SECCE2Rv1G0078110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:105078965:105083659:-1 gene:SECCE2Rv1G0078110 transcript:SECCE2Rv1G0078110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASAPQVGACEPADGNGGEAEPCKPVWIRRLIDTEEAWAQLQFAVPMVLTNMSYYGIPLVSVMFSGHLGNVHLAGATLGSSWATVTGYALATGMSGALETLCGQAYGARLYHMLGLYLQSSLVMSAVVSVVIAVMWLFTEPLLLCLRQEPEVSHAAAVFIRYQIPGLFAFSFLQCLLRYLQTQSIVVPLVICSVVPFALHVPLNHLLVNVLGFGLAGASAAISTTFWFSCLMLLGYVMWSKKFSETWKGFSADAFNYVLPTIKLATPSAIMVCLEYWAFGLLVVIAGLLPNSTVSTSLIAMCLSTEAIAYMITYGFSAAVSTRVSNEIGAGNVDMAKNAVVVTLKLSVFLALSFILLLGFGHGLWARLFSGSEVMAAEFAAITPLMMVSIVLDSAQGVLLGVARGCGWQHLVAMTNLVAFYFIGMPLAILFAFKLNFYTKGLWLGLICGLACQTSTLVVITARTKWSKIVDVMQQQRANNAA >SECCE1Rv1G0036990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:515369834:515374549:-1 gene:SECCE1Rv1G0036990 transcript:SECCE1Rv1G0036990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSRRKISLSWTAAAALVFLCAAVGVAAAGAPAPRRILVDTDMDTDDLFALLYILKHDRSQFDVKAITINANAWIDAGHGVNQLYNILYMMGRDDIAVGVGGDGGISNDSEIHPDVGGYLPLIDQVPGMSTAGGCRYRQAIPPGRHDGRLDIDTNSGIRRGFLPRGPRQYEPLRQPTAQQVMVDTVSAGPTTLLLLGALTNAALLLMAHPHLRRNVERVYVSGGGVRVPGNLFTANDTNPFAEFNMFCDPFAAYVVLHSGIPATLVPLDATNTIPVTEEFFAEFGRRWQTTPEARYCFQSLDQVLRRRRRPAPGLHGSTGFYLWDSFTAGVAISSMGNGEANGRNDFAELEYMNITVITSNKPYAARDGSNPFFDGRATPKFGLKEGGVHSGHVQTGIRDSFCLVERSNTGRCQDGYTKEVAGSEGVRVRVATSAKPNTDNNSTLDREFFKSFLEVLNLPRQSGRFNISTQFPFYREVLYKPDFMNVSRAKPVIFDMDMSPGDFVSLIYLLKTPREAIDVKGVLVNGNGWANIATIDVVYDILHMMGRDDIPVGLGNTTALGNPTLGCNNAYAIPLGSGGFIDSDTLYGLARLLPISPRRYTPESSDDPEHRQPSAFEVWQCVRKQLDPGDKITLLTSGPLTNLANISLSDRDASSVIERVYVVGGLIRDEGHEKGNVFTVPTNRYAEFNMFLDPVAAKTVLESNLNITLIPLTAQRKIASFGSVLEALKQTQQTPESKYAQELFSLLKELRGREKLYHHVDIFLGEVLGAVYMVQGSDLKSTVMPKRISVVANTKKSTDGQIVISNQSSNLVHVLSDFNGDIYYNRLANSLASKKQSAIIANFEEQKAIWSRPQENSGPKHTKFL >SECCE5Rv1G0351160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:697035330:697039430:1 gene:SECCE5Rv1G0351160 transcript:SECCE5Rv1G0351160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHRCRRVLAYPSGAPSVCCAMCRAITAVPPPAPAVEMAQLICGGCRTLLMYTRNADTVRCSCCSTVNLVRPVNNIAHVNCGRCRTTLMYPHGAPSVKCAICDYITNITNTGINTMSPAPCPRPTSNESAYNASSASVPTPQPQNVTVVVENPMTVDEKGKLVSNVVVGITPGKN >SECCE6Rv1G0420830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680392311:680393854:1 gene:SECCE6Rv1G0420830 transcript:SECCE6Rv1G0420830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLAIVVALVVAASSIAVHLLSRAKKARPGNLPPGSLGLPVIGQSLGLLRAMRGGDGGSRWVQDRIDRYGPVSKLSLFGTPTVLLAGPAANKFLFFSSALSTRQPRSVQRILGENSILGLHGADHRRVRGALLEFLRPDMLKMYVGAIDGEVRRHVEENWAGRGTVTVLPLMKRLTFDIISALLFGLERGAVRDALAGDFAHMMEGMWAIPANLPFTAFSRSLKASGRARRVLAGITQEKKASRRQPEHGKASRSNDLISCLLGLTDSHGERLLSDEEIVDNAMVALIAGHDTSSILMTFMVRHLANDDATLAAMVQEHEEIAKNKGDGEALTWEDLTKMKYTWRVAQEILRIVPPIFGNFRRALEDVEFDGFLIPKGWQVFWTANVTHMDASIFREPARFDPSRFESQAASAAPPCSFVAFGGGPRICPGIEFSRIETLVTMHHLVRQFRWKLCCKENTFVRDPMPSPLRGLPIQIELRTSPPP >SECCE7Rv1G0490180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:475322760:475323638:1 gene:SECCE7Rv1G0490180 transcript:SECCE7Rv1G0490180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFMLNKMCELISSGVRTDKGFKEVHLNTIGKHVFEFCGQEVSTTQVYNHQRKWRGRWIQVSKLRDLSGASWDENTCSIVLEAEHYAGHVTDHPRDAEFLNTPIQNYSQIHHVFSFGLATGKHAMGSGEPLGSPMPDFPGTPDVEVLDGPDKPAAKPFDKRFDPVHDRKRKRGGLMEEEINVFCSMTMAVKEVATTIRECKPLDVHPDLYGTVMTQSGFSDEALMAALSHLLDNKAQGVGFVTMADAHMVLWLRTWLGKHYY >SECCE7Rv1G0464520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:61964480:61965517:-1 gene:SECCE7Rv1G0464520 transcript:SECCE7Rv1G0464520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPGAPASRRAASGSGHVWPGKGARQSKAAAADDFEAAFREFQEEEEQEEDVVMVVEQRREEEVAESKPFLFAASPKKPQLQQQEEEQAAPVRRRQPAQYRGVRRRPWGKWAAEIRDPVKGVRVWLGTFPSADAAALAYDEAARAIRGPRAKLNFPSSSAVAAVAPGARKRGRAGADRTTPVIDLVDEEEEHAALAAHGPSFSVKHEADASEGSESTAALPDFSWQGMSAFDEEAPAYPAPEPETEQLAKRARTEAEDTDEEKASDSDSDALFDALLFADQFAYFNGGAYESLDSLFSADAVQSSATATATSADEAALGLWSFDDDCLVDECSLSF >SECCE4Rv1G0226430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:109850823:109852652:1 gene:SECCE4Rv1G0226430 transcript:SECCE4Rv1G0226430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAAAAGGGDGTQRTLNPYVTGNSVIAMKYKDGVIMACDTGASYGSTLRYKSVERIKAVGKHSLIGGSGEFSDFQEILRYLDELTLNDHMWDDGNSLGPKEIHAYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGDEKYLGMVNMIGTHFEENHIATGFGNHMAIPILRGEWREDMTFEEAVKLIEKCLLVLLYRDRSSINKFQIAKITTEGSTIYPPYALKTNWGFAAFENPSMGAVGTW >SECCE7Rv1G0475610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:189787161:189790518:-1 gene:SECCE7Rv1G0475610 transcript:SECCE7Rv1G0475610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAADLVLKAACDACGKASELYSTACRHATLCNSCAADMARSRARCNVCAAPITNVIREYNVRVDTAAGKALSIAKFNTGVPPFSKMKGAGNKWSLRKDGPTQGRQLTAAMREKYYNRKPWILEDDTGEYQYQSQLEASQSATATYYLLIRRGKEFDAVPVGSWYNFSKIAQYKQLTLEEAEEKMNKRRSSATGYERWMMKTATHGAAAFGSDLKDLGDAKGKAKAADGVQSKKESTNEDGNHSDKGEEDEEEGVAKKTVRGLSTRGVDDEEDGGKEDFDLEDEIEIGDDWEHEETFTDDDEALDIDPEERPDVADTENPTGPDIKQDDDENEQGAGDSLSKSGKELKKLLRCADGQNESDDEDDGDTDEDESPLSVLAPKLEHQFGSEPQENNTAKLTATELAVSTPPAPRSNQKRKSGGDGAKTFNRAAVKKPKTEPEAKTLGVKEEPPSSVEPISKASAPAVSDRDAYAITEEEIIRVLRAIAPVRSQDFVPRFKARIRTPEDKKHFHDIVMKYAFSHKTNGVSYLHLRKEYQ >SECCE3Rv1G0206000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:904134598:904135203:1 gene:SECCE3Rv1G0206000 transcript:SECCE3Rv1G0206000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGMAGIDLSGNSLSEEIPNGLTTLVGLRYLNLSGNHLSGCIPKDIGNLVLLESLDLSGNQLWGEIPPSFADLKSISSLNLSSNGLSGRIPTGDQLWTLTYPSIYIINPGLCGVPLEDCINSSTPTQTEKSLDEDREALWLYCFVVAGFMCEFWLYRGIFLFRSETWRCAFYQYVDNMQAKATKKMYSCMSRFQASGSE >SECCE3Rv1G0200210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852008500:852009032:-1 gene:SECCE3Rv1G0200210 transcript:SECCE3Rv1G0200210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDGVCNLCNGGVRFVRERDPGRSIRYIPLQSDSGRKLLCRSGRSPDDISSVILVEKDRSYIKSEAALRIMEYPNLPFPQLAAFVRDFAYDNVANNRYVVFGRSETESCEIL >SECCE2Rv1G0108370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:662841665:662843394:1 gene:SECCE2Rv1G0108370 transcript:SECCE2Rv1G0108370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPQAAAAGRHALGRGAGPPRPRLRSALPASSLALPQRAVSYLFRSILPVPTAFCSTSRARLTPSASLPSRRNFEGYIPRSCSRSSLQIYTTRSSPLSLSPSSALMVSAQLPPADVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWSEKVFGPTDIKLADAAMAAMAWGTNHESIAVEQYTSITGRSVGSLGFAVHTEAKLGWLGASPDGVLGCDPDGGILEVKCPYNKGKPELALPWRIVPYYYMPQVQGLMEIMGRDWVDLYCWTPNGSSLFRVPRDRAYWELIHDVLREFWWGNVMPSRELVLLGKEAEARSFEPQPKHRLTNLVIVKSRKLASEAKLLCRDVGGHVEFFP >SECCE2Rv1G0073700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:70450278:70451411:-1 gene:SECCE2Rv1G0073700 transcript:SECCE2Rv1G0073700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATASSPQPPEAIRGTLIPVLPDDLAVQCIALLPRAAHPTLALVSRPLHALLCLHPEPLLAARRRLRRSDPHIVISVRPPYSASPRFFLLLPHPGWPPLPLPSPPIPVSSSSAVAVNGHRLYLVGGSVAGVPASSVQILDTRTRSWSIGPRLSSPREFAAAAVLPGVLFVAGGCVPSSPFWAEALDLASPRARWSMVPSPDHLREKWMHGCVSLAGKVLAVADRGGLVYNPGAPPGEAWAPVSPVLDMGWKGRAAVVGGILYSYDYMGQVKGYDPDTDSWNTVEGLEKELPRFLCGATLANVGGLLYLIWEGKWKGKASNEKSKVKDMLVIEWATIEVTRAEEGRLTGKVISRDTAVFTDMPRGSAITHCISLDL >SECCE2Rv1G0106370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:635068303:635068862:1 gene:SECCE2Rv1G0106370 transcript:SECCE2Rv1G0106370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVELVVEVKSPAEKLWTAMRESTELFPKIFPEQYKSIETVEGDGKSAGTVRLIKYTEGVPMVTFAKEKVEAADDEKKVVSYSVVDGELVSFYKNFKVTVQVTDKGADGAGAVVNWTMEFDKASDEVPEPDVIKETAAKTFHDLDDYLLKN >SECCE2Rv1G0118760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785407478:785409267:-1 gene:SECCE2Rv1G0118760 transcript:SECCE2Rv1G0118760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPTPSASCSLVGPVPYNDVVGTGNDDRITPPEGYGDIISTLPTIAILGRFVHHQYQGVWLVHERIPGVLSFQRRFTPRPGDVLLTSPPKCGTTWLKAMAFATMGRVAYPPTAADHPLRRLNPHECVPFIDDLFSAGHDTKLEALPSPRLLSTHMQHSLLPASLAENPNAKIVYICREPKDMLVSMWHFANTIHPCEFSDLFESICEGKSTDGPMWDHLLGYWRASKANPERVLFLRYEEVLLNPVESVIKLAQFLGVPFLAADEAVGLPANIVKLCSIKTMKGVQANKTGASGLFYKFPHESYFRKGVAGDWVNHMTPEMAQRLDTIVEDKLCGSGLSFSS >SECCE5Rv1G0339520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607981482:607984899:-1 gene:SECCE5Rv1G0339520 transcript:SECCE5Rv1G0339520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSSTATSLLSRTLLLRATSPHLLEPSAHRAPRVESRAASSSGGGDPPGQEPAPAPMDSPIKVFSDLRGGGGRGADGSARKPVSLWPGMHHSPVTNALWEARSSIFERKMDAGGSADAGPQTELLTRTPAQSRTSIVYKFATDDILREQYRDPWNEVRIGKLLEDLDALAGTIAVKHCSDDDSTTRPLLLVTASVDKMVLMKPLRLDAEVKIIGAVTYVGRSSIDIQIEVTQVDQDGDVQSDPIALTANFTFVARDSVTGKSAPVNRLSPETEREKQLYEETEALDKVKKRKREEQKGVLEKGVHKLGAEAEKLKALLAEGRIFSDFPALADRDSILMKDTRLENSLICQPQQRNLYGRIFGGFLMHRAFELAFSTAYAFVGQRPCFLEVDHVDFLKPVDVGDFLRFKSCVLFTQLENKWQPLVNVEVVAHVTRPELRKSEISNTFHFTFSVGSDALKNGVSIRNVVPSTEEEARRILNRMDAEGLFD >SECCE1Rv1G0040540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:561707827:561715870:1 gene:SECCE1Rv1G0040540 transcript:SECCE1Rv1G0040540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSPAPFTVGGPMLNMIFQAASDGDLSLFKRLVIMLDMGRGRLKETVEALRVEDAGLLQGLGALHVAANRGRLEVCRYLVGELQVDVNAVDKGGRTPLFFAISCKGVGIAKYLLDHGADPNKSCHDGLSPLHEATASGDCETVKLLLAKGAYIDPVAFCGTPLHCAASQGHDDILKILLDHNADRNKEVNGKTPLIAAIDADSRNCMLLLIRAGADAKGALTYAAENLHSQKLISTDFVNCIKEDAAANRVLPDDDEPVSKSKTRAAGFKKLANNSFKKKDYFSAAGSYSVAMMLDPDDATMYSNRSLCSLRMGDGDKALVDANECRKMRPDWPTACYRQGAALMLLKDYKGACERFLDGLKLDPANTEIEDALRKAYDAMQDVSQHKAE >SECCE1Rv1G0052690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:663549150:663550094:-1 gene:SECCE1Rv1G0052690 transcript:SECCE1Rv1G0052690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGADERVYCTDCRRATEVVLDHGTGDTICTECALVLDAHYIDEGSEWRSFADDGGGEDRDPSRVGASGDPFLSAKLSTVIAYDKKPGKSSAEAGALPRTSVPGDGAAAAADKTLVDGFRGIGDMADRLGLVATIRDHAKETFKKLDEAKGVPRGRNRDSVYAACLYIACRNLGMPRTYKELASVTAAGPAAKKDIGKMSTHIKKLLGEEDGQVMDIGVVSASDYLRRFCSRLGLGNQEVRDAQEAVRRLEERLDVRRNPESIAAAVIYMVVQRAGATNSNSVKDVSVATGVAEGTIKEAHKDLTPHAQMLFA >SECCE2Rv1G0143270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:945727634:945730261:-1 gene:SECCE2Rv1G0143270 transcript:SECCE2Rv1G0143270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABC1 [Source:Projected from Arabidopsis thaliana (AT1G43890) UniProtKB/Swiss-Prot;Acc:O23657] MDSSSSSTASSSQAQAQPDFDYLFKLLLIGDSGVGKSSLLLRFTSDSFEDLSPTIGVDFKVKMVNIAGKKLKLAVWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIDFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKAPEADAAASGCC >SECCE5Rv1G0304710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:61380644:61381213:1 gene:SECCE5Rv1G0304710 transcript:SECCE5Rv1G0304710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEFNRSSLAERRIFLAHYQTITYSQTSCGTNRFHLPSHGKPFSFRLALSGILVIGSVGTGRSYLVKYLTKNYDFPFIKVRGLLIPQERKHLFILSYTRGFYLEKTMFHTKGFGSITTSSSALDLVALSNEALSISIPHKKSILEKNTIRLALHRQTWGLRAKVRSARDHGTLFYQIGGALVQNRLTK >SECCE3Rv1G0163690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:150468710:150478408:1 gene:SECCE3Rv1G0163690 transcript:SECCE3Rv1G0163690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEC1 [Source:Projected from Arabidopsis thaliana (AT2G26910) UniProtKB/TrEMBL;Acc:A0A178VNA5] MWAAQAPFSRSGSWREAEDEQEALRWAALQRLPTVARARRGLLRSPAVAPGASGAGGAVEGDDALCEVDVTGLSSGDRTALVDRLLADSGDAEQFFRRIRARFDAVHIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRMKLPILDNINGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKMSGSITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNAGIKPDEDLDVFMKALALEGRQTSLVAEYVMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRDSTHALDGTTIISLLQPAPETYELFDDVILIAEGQIVYQGPREYAADFFAAMGFRCPERKNVADFLQEVLSKKDQQQYWCQYDYPYQFVSVTKFAEAFKTFVIGKRLHEDLDRPYNRKHNHPAALSTSNYGVKRLEILKSNFQWQRLLMKRNSFIYVFKFIQLLLVALITMTVFFRTTMHHDSVDDGIIYLGALYFAIVMILFNGFTEVSMLVAKLPVLYKHRDLHFYPPWAYTLPSWLLSIPTSLIESGMWTLVTYYVVGYDPQFTRFLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPVWWIWGYWISPMMYAQNAISVNEFHGHSWSKPFADHNITLGEAVLTGYGLFKEKYWFWIGVGALLGYTIVLNALFTLFLTILNPIGNMQAVVSKDAIRNKDSRRSDRVALELRSYLHSNSLNGLKLKEQKGMVLPFQPLSMCFKNINYYVDVPEELKKQGIAEDRLQLLVDVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGLIEGSISISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVNDDTQRAFVEEVMELVELNPLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGSKSRNLVEFFQAVPGVPKIRDGYNPAAWMLDVTSTQMEQILGVDFAEYYRQSKLFLQTKEIVEALSKPNSEVKELTFSTKYAQPFCAQFIACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLVYGTIFYSLGSFEWTAVKFLWFLFFMYFTLLYFTFYGMMTTAITPNHMVAPIIAAPFYTLWNLFCGFMIPRKLIPVWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGIRTTTVVAFLEEHFGFRHDFLGVVATMVVGFCVLFAVVFALAIRNLNFQRR >SECCE5Rv1G0344270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644432801:644434105:1 gene:SECCE5Rv1G0344270 transcript:SECCE5Rv1G0344270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSRLVKPAYSAGEAPVPATEYIPLSIFDRVTFEMQMAIIYAFAPPAPSTAAIEKGLATVLAQYRAFAGQLGESPDGTPSVILNDRGARLIEAFVDADLVDMAPSKPTPELLKLHPDLEAEHLEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLPMGLPPVHHHADLFKPRSSPRVEHDHRNREYYLPSPTDVVGHHGDAADNIVIHKAHFTKDFIAGLRAKASEGRGRPFSRFETILAHLWRTMTRARDLSPEETSKIRLSVDGRHRLGQPAEYFGNMVLWAFPRSTVGDLLNRPLKHAAQVIHDEVARVDGAYFQSFVDFASSGAAEKEGLARSAVCKDAHCPDVEVDSWLTFPFYELDFGTGSPSYFMPAYFPTEGMLFLAPSYLGDGSVDAFVPVFEHNLQAFKECCHSME >SECCE7Rv1G0493990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:577896696:577899699:1 gene:SECCE7Rv1G0493990 transcript:SECCE7Rv1G0493990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain (PHD) transcriptional regulator, Flowering promote [Source: Projected from Oryza sativa (Os08g0105000)] MTSQDGAAPPPAPPKRPAPGEQPSSEVLVTYKRRRTATRGASSDPGAPCATIVPCTGTSGQDGHQILPRHWITWRNTLEGFLQSPGVNKGGGIQSCIQDALRYNCCQSVQKGDLNKGQGASEEHPAGAAGAKEISSSTALKDAMAASLDANTAMCNNALLDIFISEKFAMLCDLLVGTFHVSKVDEVIDLGKIDANMRNGNYARNPALFNDHIQQIWEKFEQVGREMTSLASNLPIISRASYQKQASGVSEVEVAAEHRIEETSLGGFVEKIPKDSNTTTQFSPCDSGHSTIPKRSGTCGLGRTCTCKQCGTSAEEEKSLICDGCDTTYHFECVKRLHPAMKQIPDNWHCPACSSNKGKGKAAGAKKNVHDSLHGDCPLCDKLEVVKKIEPPEVASGIEAADEREGSSVPSVEEENEPDLYTTALSKLCKHCGTCEDDDKQFLVCGHPYCPYKFYHIRCLRTSQIALEKQNNLECWYCPSCLCRGCFKNKDDEEITLCDGCDEAYHVYCMTPKRTCVPKGHWYCPLCSVRRAREGMQRYEKSILKKQQHISTKRASQSDA >SECCE5Rv1G0371830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:850019240:850020531:1 gene:SECCE5Rv1G0371830 transcript:SECCE5Rv1G0371830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAARYLLGSPGASGFGSKSTAEEVTAACPDLGALTAIITGATSGIGAETARVLAKRGTRVVVPARSVKAAEDMRARILAECPGADVLVLHLDLSSLASVRAFARRFLSLGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAATGVQGRIVNVSSSVHGWFSGDWADYLQLVTRRKIPYDATQAYAVSKLANVLHTKELAARLEEMGADVTANCVHPGIVRTRLNRDREGLVTDLVFVLLSKLLKTIPQAAATTCYAAVHPRLAGVCGRYLADCNEALPSPAAASRREAARLWQASEDMISGSSNQQGSTLGTSTPKPLLDRNF >SECCE6Rv1G0387610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:117424161:117431470:1 gene:SECCE6Rv1G0387610 transcript:SECCE6Rv1G0387610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKCVFPSVVGSIEQTGDADEAKPEKEADSGSDPKNGSKPMDVDKAKTKRKFYLGQDLEFRREHMEVISPMKDGTVTDWEVVDNIWNHAFRRRLLINPEEHPMLIAEPSTNSGQQREKAAEVMFEKYKLPALFLAKNAVLTSFASGRATSLVVDCGGGSTVVSAVHDGYVLQKSVATSPIGGEFLTDCMMKSLESKGVVIRPKYSFKKKEVSPGDYKVVDLDFPNTADSYRLYCMRAIAGDIKESVCRVPDTPFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPYLSQSIPGIDGFGDSTSIRALPRMVLDSVNRCDVDIRKELLSNILLSGGSSSILQLKERLEKEVLEESPQNARVKVLASGSSMERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >SECCE4Rv1G0256310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:655437852:655439021:1 gene:SECCE4Rv1G0256310 transcript:SECCE4Rv1G0256310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYQHDDRNLEEAPSSSPRLVAVCVDCGRNSVSALKWAVEEALAGKRNSQPQPIVLVHVKQPAQPHVREILLPFRVFCARKDVFCMDAVLDDGHGDVARSIVDFVSRGVVEKLVVGATARGLFRRFMAADDIPTAVSKGAPDFCEVCVIGEAGKVSSVRASTGRAPRVSPLWPEIRRLAQAAENLWSEIRNLGEAAASPPAGRGRLRRRCDGPVSMPDSILQENQELRRANETLAQRQEVHTRMILMLFAELGKEPPRELLHNIQTPSNMNGPQDPVTFNTLLHGQ >SECCE1Rv1G0012680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:95669408:95671119:-1 gene:SECCE1Rv1G0012680 transcript:SECCE1Rv1G0012680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTVAASPAAKKKVAEAPAAAPAPKRRASAGTARRRPRKRIREDKLVSDGDLISHGDLISKLPDDVLGTIISLLPTKDGARTQAIARRWRPLWRSAPLNLDASYHLCSNEFKRFSVVSRILCDHPGPARRFAFNFIRLHKAKKRFAEDAAQIERWFHSRGIDNLQELDITFSLLEHTYGQTEKEKRYPLPPSVLRLASTLHLARIGSCDFPKEVAPLLNFPLLRQLHLWRISISEDVFSGLLSGCHVLANLYLSEIRDVGCLRICSPTLRIIVISCLFEGKGELLIMEAPRLERLLLSSPGSGSEIIRVVKAPKLEVLGLLSPCISEIEIANIVFQGLIPSISENSIPTVKVLALMFSGPALNAVLDILRCFPCLEKLYVIWDEYVKAEIKNVCQYDQLDPIKCLENHLKVLVLKNYKGGEEDVGFAKFFVLNAKVVKEIKFEVCKKIDIDNKWMSDQLRLLEVETRASPDTLLKFRGGSSCWDTYLDTQDLSIADPFSRCVLDG >SECCE3Rv1G0213190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:959915537:959940266:1 gene:SECCE3Rv1G0213190 transcript:SECCE3Rv1G0213190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDQAREMEALEGILLDPSAESIKLSYGLLKFITQNFSTEYEIGRGGFGVVYKGYLENEVVAVKVCTDVVTNKQFMDEIECLKSANHQNIVRFLGYCAQKQEEIVPLDGKFVMAGERYRLLCFEYVPQGNIREYLEREKPHRKNWLARYQMITGICQGLKHLHDNKIHHRDLKPENVMLDAHMEAKITDFGLSRFLDEGETKMYTENIRGTMRYMAPEVIDRKEISYKSDIYALGIIIVELLTGTSLISLQNWDESLDFLKCPRVETCAEIAQKCIDAERDNRPTIDEVIGALVKLESTIAKSSTNQESDRVFSETELLDAYPLEFRFPFEPNGYNSCSVGLINKTDNEVGFIVVPYFDTMNREWDHGSLTAKSTQVVELTLEYQEELVPLDRNEVMIIMIMIKPDEDVRQFVSEALKVDYGEKSWYIGLQEKVEVELGGKFHYAMLTAVVCDDGPTKMIPVFSQGREHDGSLDAIKSMEVHARRPWVLVQLSSGTVSVWDWRIRKKICTRPGPAASAIFISSHDWIVIGDVSAGFFFVYSCPYMELVKKVTPQMWGVLHSGPITSLAAHPTSSYLMTYSELDNTLILTDWENDWSCQKSFEDVPPMKRLMFNPNNIRFFSVLTLNDSTQTWDIIEGRPDESQYATNMDYLSPNRNNDRNWITSYDSKAHVRHYNGVLPLFCCNMCIDTHYIVCNFCWQLTKLTAW >SECCE7Rv1G0456910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:13528226:13529999:-1 gene:SECCE7Rv1G0456910 transcript:SECCE7Rv1G0456910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVVVAEATARQVASFVLGAAAALTVVMLVQYRAPAAGLSRARTPGHFSGLRSSSDDQLHRRNGTTARAVLHHQAPPVDDHHRPANATTITKPNSTSTAAALIHLPSVHLNEEKGAKEEAGEFWGLAAAVARAATDDRTVIITCVNQAWAAPGSLLDLFLESFRIGEGTSRLLSHVLVVAMDAGAHARCLAVHQHCYHYTIPGLNVDFAAHKYFLSKDYLELVWSKLKLQRRILELGYGFLFTDVDIVWLRDPFKHVTAYADMTVSSDVFFGDPDNLGNYPNTGFFHVKPNARTITMTKLWHDGRGKYPGANEQPVFNMMKKQMVAELGLRVQYLNPAYVGGFCSYGKDLGKIVTMHANCCVGIGNKIRDLKGVLNDWRNYTRMPPWERHRAKWTVPGACIRAEKQF >SECCE1Rv1G0026300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:368549370:368553042:-1 gene:SECCE1Rv1G0026300 transcript:SECCE1Rv1G0026300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDNSRRYNQSISKKRTRFNSDDGKRKRLNYRQDGGHMSSQPIETVYRILCPGKKIGGVLGRGGHVVKALREETKAKIRVADSIPGADERVIIIFDYQNNSDQAIQNISNTDGSENMKPHCFAQDALLKIHDKIAADEDSNDGVTCENSETAGDVTARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSESLPQCALKSDELVQISGAPSLVRKALYEISTRLHRHPRKENPPLEEIIDASTQRKRESPPPLPHENHMLPHLHVDHPRPLPLLDPYRNGPLQYPVPEPEEFSIKILCASELIGPVIGRSGANVRQVEQQTGARIMVQELNKDASGERLIVISSKEIPADPVSPTIEALILLHGKVSESKLSEPSVSAPSESAPSEEHNLVTRLVVPSKKVGCIIGEGGKVITEMRRRIGAEIRVYSKADKPKYLSFHEELVQVSGSPDIAREALTEIALRLRDRILRDGISSFDGPPADIFPSTEFTLYGRPANPPYGRLANDTPYGRPANDSPYQRRLAIDQPYGLASDSLYGRPANDPLYGRPANDPLYGRPANDPPYGRPANDAPYGRPANDTPYGRPANDTPYGRPNNKPHDSVDYFSKRREYPSGSPFASDAPPSASYDRYAASARLPTREMPLPVSPGADYMSHRSYHDHMPTDSYSSRGIQQLGLSRAGNSDMQQLGVTRAGNSNAYDYTEAAGQMHGREDYQRVADVTGYSSSSVELRIPNSSLESIVGAGGVNLAEIRQISGARMKLLEARPGSSESIMEIQGMPDQVRVAQSLLQGFIGANSQSVQPSQSSRDVHYPRWN >SECCE4Rv1G0268810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744998107:744999663:-1 gene:SECCE4Rv1G0268810 transcript:SECCE4Rv1G0268810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSFGVSERQEQRNDRGVGGRVTMFVVLSCITAGMGGAIFGYDIGIAGGVSSMEPFLRKFFPDVYRRMKGDSHVSNYCKFDSQLLTAFTSSLYVAGLLTTFLASGVTARRGRRPSMLLGGAAFLAGAAVGGASLNVYMAILGRVLLGVGLGFANQAVPLYLSEMAPPLHRGAFSNGFQFSVGVGALAANVINYGTEKIKGGWGWRVSLSLAAVPAGLLLVGAVFLPETPNSLVQQGKDRRDVAPLLRKIRGTDDVHRELDGIVAAADSATAAGSSGLRMLLTQRRYRPQLVMAVAIPFFQQFTGINAIAFYAPVLLRTIGMGESASLLSSVVTGVVGVASTLLSMFLVDRFGRRTLFLAGGTQMLASQLLIGAIMAAKLGDDGGLSKAWAAALIFLIAVYVAGFGWSWGPLGWLVPSEIFPLEVRSAGQGVTVATSFVFTVLVAQTFLAMLCRMRAGIFFFFAAWLAVMTVFMYLLLPETRGVPIEQVDRVWREHWFWKRVLGSEEVPASGKLSSK >SECCEUnv1G0568730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:429833490:429834008:1 gene:SECCEUnv1G0568730 transcript:SECCEUnv1G0568730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPYLVLLLCLTTFLQAAANYPPPPPPPFELPESEVRERFSKWVTKYSKHYSCQQEEEMRFQVFKNNTNAIGQFDQQNPGTVVGRGFRPNAFQVGVSGGVRMNRFGDLSPREVIQQFTGLNTTSVNATSPTYLPYHSFKPCCVDWRSSGAVTGVKNQGTCGKWSSYTFY >SECCE5Rv1G0327280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:490987300:490989388:-1 gene:SECCE5Rv1G0327280 transcript:SECCE5Rv1G0327280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRPGPCSSSSSSLGNDSDEGGAPAVKEEAEDGEVQSAYSGAGLDGLAALEESLPIRRGISKFYNGKSRSFTFLKEAIGPSGSAKVIAKADNAYSRKRKNLLAYSIMYDQQRITVPETYENGISKRLAGLSRLRPSDGMSSNSSSSSSLSSDDNEPPQQFIFVQSPDNTAQFASPAIPAPRLGSCVSKILPMPMRSFSMVNLQRLHSRCSSVCLEKEPEAD >SECCE4Rv1G0252560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:615244661:615245836:1 gene:SECCE4Rv1G0252560 transcript:SECCE4Rv1G0252560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFELRARILPFHVHSPSRLPASRVTPLFALRRNLSATTSASPSPFAAEDYLVATCGVPRAQAVKAAKKISHLKSSSKPDAVVAFLSGLGVPRSDIAAIVAVDPWFLCASVERTLAPRVTELRELGLSRSEIARLVPLALCSFRSSSLRGNLDFWLSVFGSYEKLLKALKMNSGLLAADLEKVAKPNLALLQQCGLSPSVFSEPFISRVLIRTPKKVQDALVHIDKFGVSQNSRMFLYALVAFTVQSPEKLTDKIGVLETLGWSQGDVLLAVKTMPGILTISEERLQKNVHFLTKVAGLEISYIAQRPVLLKYSLERRLFPRYCLLKLLNTKGLLDLRFDYYAASLSEKKFLGKFVHPYKESLPGLADVYASSCAGKLQMELLSENTKQN >SECCE7Rv1G0464650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:63433704:63436156:1 gene:SECCE7Rv1G0464650 transcript:SECCE7Rv1G0464650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIAFLLPLFFLLLATVPSSRAADAAGPAVPQPRGFYINCGADKEVQVGSIKWVPDAGFIAVGNASAINKPAILPVLATLRHFPDAAARKYCYTVPAVKGSRYLVRTTYFYGGFDGGAEPPVFDQIVDGTLWSAVNTADSARRGMSTYFEMVAQAQGKSMSVCLARRPDTKSSPFISSLELVDLEDSMYNTTDFGKYVLSTVTRSAMGAKGEIISYPDDQYNRYWAPFTDGNPTTESHSPIAPADFWNLPPAKALKGGITTSRGKKLAVQWPPLELPFASYYVALYFQDPRTASPYSWRVFDVAMNGKDFFRGLNASAAGVMVYSDMMQLAGKTEILLTPNDTCPVGPLINAAEIYQIVPVGGRTATRDVGAMEDLARSLKNPPPDWAGDPCLPRQNSWTGVGCSDDSPVRVLSLDLKNRSLSGSLPDSIGNLTGMNTISLSGNKLSGPIPDFSSMQTLTSLHLDGNQFSGAINPSLGNLTNLKELFLNNNNLSGLIPPSLKTKPGLIMRTEGNKLQ >SECCE6Rv1G0378460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:8538322:8542715:-1 gene:SECCE6Rv1G0378460 transcript:SECCE6Rv1G0378460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLLRPLPSATTSGSLVGRVSPAAPRRSGAVAWPRDGRCRRGGVAAAGAWMEEAGTAVLQEAARRNPALSESYRPSGLPRPNGTVLEAQGRVCTGPEQTRPLGEEQAMRVLDTILRSATGELKDEPVSSAQLGAFFAGMTIRANCFPEATQWSEGERRAMSLFWPRLVHVLPPEVKFIADPEGTIMGANGLTGPRYIGQGTAEMRLVGALREVLAGGHLGYEEVQCVLKDVLPVGSMGASSPSVSEALLAAFLIGQRMNRETDRELKGYCLAFDDELGPPPIADVNSLTHYGEPYDGNTRFFRSTLFVAAVRACYGEACLLHGVEWMPPKGGITEGQMLKFMGANTHLSPTQAKTLLEDKDTGFAYLNLQEACPPLYSIIGLREHIKKRPPLATSEKVQQFVRARGRESMVAGFYHVGYEDPLLMLMRRRTVHAGLVVKGEEGALSLTTKERSAHASKGIPVNHCSGFRTPSSANFSETDGISRESFRVAVNAQELGFKSTETPRTDKSVLKNLELGLSALGGDKGPAYDRIVLNAAMADHLLGCSGAQDINSALDRAREAIDSGNALRRLMNYIKISHKVS >SECCE1Rv1G0026220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:367378029:367380802:1 gene:SECCE1Rv1G0026220 transcript:SECCE1Rv1G0026220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTGSTSPESLGKAHVPHLQWKVHDFSALLETGAKSAKSAHFHCSGYKWFLKVTPPMHEKPGAETPYVGLSLKLGWTTLKPGDTVNAVFELSVYNHAKKIYCGRKASHNFDLNNTCSKDECLIPLQKLLKSSAFLVDDSCVFGVKILKIKVCSPGKKAVMVLKKATTLQNLFIQKKGLIKGTYTWTMDNYRELDLKRYVCSPTFEVGGHKWHVGMYPHGCRHITNHISLFLYLESSGKLCDESGKVVELTLSILDQKNGKHSTITSGLSVFGGDSSWGWPKFLTVNKFKDPSRGYVLGSRCVVKADFTIVGSSNDG >SECCE5Rv1G0334190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:564983999:564987842:-1 gene:SECCE5Rv1G0334190 transcript:SECCE5Rv1G0334190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPADDDGQRRRSPSPAPDPDDGGSGWGSDKPPTRAEEGRIAGKATMEPSPSPPDSTISDDGGHQVVISSPLGPEDGGSDDLTRAVSLLSLAEAGLTAGKPGSAPRVVGASPSPPASTVSSHGHQDDDDVARVNKRTHARFLVTHAEAGLIIGTGGSAVAAVEARSGARVRLSRHDDLLPGTDCRVVLVSGLLSQVMEAMELLLERLLHHQGGQVSDSEATVVLVVPQPCCAVLIGKGGSVIKSFTEASETAIQISPQNVSYGLNDRLVTITGPLDNRLRAVFLIIFELLEDVRYSYVSAAATPNTPMRSPVNKDARESLTIAVADEHMGAVIGRGGRIINKISKVSGAWIDISGKGEFIPGTRDREVTMSGTSEAIRAAEAMIMHRVSVASGNLTGRRVEGRSGKGLLNRLDEEEDAPAELE >SECCE2Rv1G0123800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:827984472:827985564:-1 gene:SECCE2Rv1G0123800 transcript:SECCE2Rv1G0123800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPYCSHDAGVKKGPWTEEEDKALVEHIRKRGGKVGSWRGLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFTDDEERLIITLHAGLGSKWSTIATHLEGRTDNEIKNYWNTHIRKKLLRMGVDPATHQQLPPDHHLHRASAALLPEAPIWEATATSLRGLDTGALMQALLLQQLLQAIGSNSGTTGLMANLAAANTVLNSSSSIVPNLQLKDQMNCLQPGYLCNTSSFAEQHVAQQQLTNDTSPGTSSFAAAEQADQHCNTAASSASPYVAPTGDWSLEQEFAGLLEPMMELPDLCSLESDSFWKDILEDSYHL >SECCE7Rv1G0507110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:758387840:758389686:1 gene:SECCE7Rv1G0507110 transcript:SECCE7Rv1G0507110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKNYDSKMQELLSQGETEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPGKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTREDERMLFDIQKFYNVVIEELPANVADLL >SECCE4Rv1G0238250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:371179093:371181995:1 gene:SECCE4Rv1G0238250 transcript:SECCE4Rv1G0238250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKNQKTRAYSKRFQVKFKRRRQGKTDYRARLRLTNQDKNKYNTPKYRFVVRFTNKDVTAQIVYATIAGDIVMAAAYSHELPRYGLTVGLTNYAAAYCTGLLLARRVLKYRDLDQEYEGNVEATGEDFSVEPSDERRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKQLDAEIHRKYIYGGHIADYMKSLADEEPEKFQSHFSEYIKKGIAADDMEALYKKVHAAIRDDPSMAKSTKESPRTHKRYNLKKLTYDERKASLVQRLNALNSSTGADVDEEEDDE >SECCE2Rv1G0069580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:30298450:30298872:-1 gene:SECCE2Rv1G0069580 transcript:SECCE2Rv1G0069580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMMGSSMEQMPLQDIAGKAGLVVVLGAAGGSAFYFLKGLRNSKGRRLAGGAKGVLTNAPQIGHWTAWLGAVRAIHGAMEHANQEDDPINALIAWAGANALCSIRRGPLAAVLSGLKGAAFGGVVGIAMYSLNHFMAED >SECCE2Rv1G0110110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:687237474:687238724:1 gene:SECCE2Rv1G0110110 transcript:SECCE2Rv1G0110110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTAAAAAHRLRFFSPTRRISSLKVPWRRDAALDASIDRDRRFRQASRLVREVLLSPGRRLLLRYLSKRRQRIRLPVHVATFLRRYPTLLSVSPPPDPVASPSPQLASFLEFASRLQATHSPLLASRLAKLLMMSSTRALPVAKIAAAKRVFGLPDDFLVSLVPRHPDLFRLVGDPGPDASGDAFLELASWDEGLAKSAIELRADREADVVGIRPRPNFTVKLPKGFYLKKEMREWVRDWLELPYVSPYADTFGLHPASPEAEKRLIGVLHEVLSLSVERRMAIPIIGKFCDEFRLSNAFSNAFTRHPGIFYVSLKGGIKTVILREAYDENGELVDRDPMIELKERFVAIMDEGHKKYLDELRRRNEILQKERANAIHRGAKVDTNIEEGDMEGSEEDEDDDYAQVELEGREPL >SECCE7Rv1G0482570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:307764748:307764939:1 gene:SECCE7Rv1G0482570 transcript:SECCE7Rv1G0482570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKKRTSMSKNRIRKNIWKKKTYFSIVQSYSLVKSRSFSSGNEHPKPKGFSGQQTNNKILE >SECCE1Rv1G0061620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:716661103:716661878:1 gene:SECCE1Rv1G0061620 transcript:SECCE1Rv1G0061620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKSTVMAVLFALLAIAATLQSSDARLQPAAASNQEEAKATTTADGGSPSLPGLPLPQIPGMPSLPPIFRSLFPPLPQIPGLPPLIPGAPPSHALPSLPHLPLPTGSPSPPPPKECLTPLMSMIPCMDYLTNITVFSPPDTCCDGLKLVVNTAPICLCHGLTNNGGMSKLFPKPIDPIRMIILPLRCGAMIPIQTIFSCGTQPLPPLMPPATTPAPHAASPAPSP >SECCEUnv1G0531650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17706512:17707300:1 gene:SECCEUnv1G0531650 transcript:SECCEUnv1G0531650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSAITSPPAPATATLPLAGRVAIVTGASRGIGRGIATHLSSLGASLVLGYASSSEQADALAAELPHAVAVKADVSDEAGVRSLFDAAETAFGGPAHILVACAGLGIGTYPSLASTATADFDNVFAVNTRGAFLCLREAANRLRRGGGGRIVAVSSTLAATLLPGYAAYAASKAAVEAMVRVAAKELGPARVTVNCVAPGPVATELFFEGKSEEAVERFRAGHPMGRLGEVGDIAPAVGFLCTDAAEWVNGQVIRLNGGIA >SECCE1Rv1G0029610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:416862638:416863588:-1 gene:SECCE1Rv1G0029610 transcript:SECCE1Rv1G0029610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLAAGTVGGAAQLVVGHPFDTIKVKLQSQPTPPPGQPPKFAGAMDAVKQTISAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMEALLRSEPGAALTVGQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALATAAPAAAAAAAPAAGGAAATVTATAAAAVKYGGPLDVARHVLRSEGGVRGLFKGLVPTMAREIPGNALMFGVYEATKQYIAGGQDTSELGRGSLILAGGVAGAAFWGSVYPTDVVKSKLQVDDFKNPKYSGSMDAFKKIIAADGARGLYRGFGPAMARSVPANGACFLAYEVTRSLL >SECCEUnv1G0571240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:499814736:499815248:-1 gene:SECCEUnv1G0571240 transcript:SECCEUnv1G0571240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRMLTSTTTLMVIMCLLILSLSVNSTTANCGCCISFAAKTCCSTCILAGGSDFACKNTCCFPCILADSVVAKMNEMGMVSKMEGLD >SECCE4Rv1G0274610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785614468:785614950:-1 gene:SECCE4Rv1G0274610 transcript:SECCE4Rv1G0274610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKGQVITRIRQKLYTVHLAPQFHVEVLRVDCRDYMDPYVLSGNLVACEDTLLLLGRNGEAFSIDFSTEPAKYVRVEEGGLKKWAFFFGEKRIGHPRHLVNPERMGLRGGLVYQLEENARVFSYPVDGNQNEELEPEPCFGTINAYLARSPTSFAAWV >SECCE4Rv1G0243910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:507993046:507993669:1 gene:SECCE4Rv1G0243910 transcript:SECCE4Rv1G0243910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTGAIRREEAGRRAPRRRGASSKSSAPRKNMGLMGVRQRHWGRWAAEIRVPRTRDRLWIGTFRHPEQAALAYDVALFCYYGDTPPREFNFPTAPRPYVPEHRRNSLTPANIKAIAERHAHALYGLIARPNVPVPMPVPAAIEPLADVDMVSATGAGRGTNNTGVTTATVHGNNNKNYINMDDTMAIDDPLFSIDFETFVDMVGL >SECCE5Rv1G0320430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:387610488:387610775:1 gene:SECCE5Rv1G0320430 transcript:SECCE5Rv1G0320430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVTVPTEFRLRNNTGCSWKVTVKLMNGRMTLDRGWATYAAVHQIKIGYMATFKLLTPDTLKVIIFDDDGIEVVNKCGKHDETFAAKD >SECCE5Rv1G0304490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:59283063:59283314:-1 gene:SECCE5Rv1G0304490 transcript:SECCE5Rv1G0304490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGFSYAQVHVKQERLRRKICDGEKAAATTAMSKSMAGEEEKKESLMGEEEKKAACNSWTAGRVHPFASSPAAAAPKGGHR >SECCE2Rv1G0098010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:473472820:473473509:-1 gene:SECCE2Rv1G0098010 transcript:SECCE2Rv1G0098010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESISSSRTPGASASVLDGNVDCCASGPATGAASDDSGWTAYFIDSDDTYLQLHNSQEVPAKKPHRRAFTSTLSTASKIKVKAKKAAGTEEKNNKKKPRLEPADEDPLQDTACSPANAIYAARQLHLQDGWQIAECEQRSSAEAAAAALQWVAYCRRRRRQWDSREGPDAEIKKVFA >SECCE3Rv1G0181380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:611712868:611713116:-1 gene:SECCE3Rv1G0181380 transcript:SECCE3Rv1G0181380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSLKPKPKGKGGKRGSSAAEDEQSTAAVAVRLAKEWSTWTMKTAKVVAHWGFIPLIIVVGMTKGDEPKPSLLQLLSPV >SECCE6Rv1G0422190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:688592915:688594383:-1 gene:SECCE6Rv1G0422190 transcript:SECCE6Rv1G0422190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAEKVFVPTDGELLQAQSDLWRHSLCYLTPMGLRCAVDLGVPTAIHRLGGAASPSELVAALSLPTSKLPFLARLLRQLATAGVFSATDAGTYRLNPLSYLLVDGVRIDGDASQTALVRAAASRYYVEAAMGLAAWFRKDFDGAVPSPFEDVHGAAIFEESMALLDPEMDQLLHDALAAHDHMGIGPVLRQCRELFDGLESLTDCGGGDGTTARSIVEAYPHITCTVLDLPKVMDKVLPTEEGAVKYVSGDLFHVVPPAQAVLLKLVLHFWSDEDCIKILAQCKKAVPPREAGGKVIVIDIVLGSVSGPMQETQHLMDMVMLVVTKGRQRDEKDWSEIFIKAGFSGYKIVKKLGARAVIEVYP >SECCE7Rv1G0469650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:112140823:112142737:1 gene:SECCE7Rv1G0469650 transcript:SECCE7Rv1G0469650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALRLYVAVAVLSALSRRAVAAAAAVPGVPGAKEADVIVALPGQPPAVHLRQYSGYVDVDQAAGKSLFYYFVEAPVDPAKKPLVLWLNGGPGCSSFGIGAFEEVGPFRVDTDGRTLCPNPFSWTAAANLLFLESPVGVGFSYALNEEVYKTMGDNMTAADSHAFLLRWFDRFPEYKGREFFIVGESYAGHYIPELAVTIEVHNKNPGLTPINLKGISIGNGILEFAEEQAELYEYLWHRAFISDAAHDTIAKHCKGPDDLSTVCQAARDTAYGNTGDISAFNIYAPTCHDKKVRPTDSKCTDIAGPCIGHFVQSYLNQVQVQTAIHANTALKYPWVGCRTRTYNLKRFGDSPTSMLPHLKALVTTGIRIWLFSGDFDAMVPVTATKRSVEKLQLGVEKDWRPWSAGPGKEVAGYVIAYKGLVLATVRGSGHMVTLDQPERGFALITSFLRGEPLPSAAPQTD >SECCE3Rv1G0183130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:644820025:644846245:1 gene:SECCE3Rv1G0183130 transcript:SECCE3Rv1G0183130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAPPCSSSPSCMFLTRRYCCSRSAPRAAIRSSAVAAARQTLSSGWDLSGLSGARPAARKARLEELDTSSMLLRQRIIFLGSPVDDLTADLITSQLLLLDAKDHTKDIKLFINSPGGSITAGMGIYDAMKFCKADISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKATEMGLQITEMLYEKIKINKIISRITGKPEEQIDEDTKFDCFMSPSEAKDYGIIDSIIDEGKLGLVAPVSGAVPPPKSRVWYLWNASGPTRKIMKNLPSEEKLIRNGNGSVSGADGMMKEASST >SECCE4Rv1G0216940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13351411:13353863:1 gene:SECCE4Rv1G0216940 transcript:SECCE4Rv1G0216940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAVRNNHMTFSGQWYSVMDFHAAGDGATSDAEAFEETWKVACDSQSSEVIMYIPAGKTFLLNQTRFNGPCKSPITVQLDGDVVAPNSLYSKPSDLLTFYSVDNLTVNGTGQIDGRGAQWWDCYNQKKCNDRPRSLSFAHCNNLRVTNIRLKDSADKNMILYDCKQVQVHNISITSPGDSPNTDGINMGSSNHVNISSCSMHTGDDCVSIVSGSTDVNVTNTACGPGHGISVGSLGGAGDGPALVERIRVSNRSFFNTTSGVRIKSWQGGQGKATGFLFTNLTMTAVRLPIDVDQFYCPRGNCPERDGGVAITDARFIDIQGTSSRREAIKIMCSKSVPCHGIYLENIDLSWANHTAPTQALVQNAYGSITGTVKPQTQLAGN >SECCE7Rv1G0523550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882559169:882559774:-1 gene:SECCE7Rv1G0523550 transcript:SECCE7Rv1G0523550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLTATCKLSLAVVVAVFLLGSSAATAHGLRRVVSSSSDEPCNEMTLYYHDILYNGVNNTKNATSAAATKPTALSTTHWKNGTYFGTLVVFDDPMTVGKALPVAGEEPAARAQGFYFYDKQESYTSWFGFSIVFNSTAHKGTINLVGADLMDDKTRDLSVVGGTGDFFMARGIATLRLDASEGTVYFRLQMDIKLYECYV >SECCE6Rv1G0377920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:4013873:4018263:1 gene:SECCE6Rv1G0377920 transcript:SECCE6Rv1G0377920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRRIAGIFGGSRDDADHHHHDAAGGGDVPHEKVAAAAAAAAASGHATRRGFSVQVPVPVDRPAAGPVLAPCPAGDGGVQGFRWYTRRLRIDEDGDVADEFLDEVLPESSNNNDASPVGRFQVKYNTRPTALAMRKQTVAVDGDIRHCVEHQGQLQWV >SECCE6Rv1G0377280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:922155:924024:-1 gene:SECCE6Rv1G0377280 transcript:SECCE6Rv1G0377280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase isoenzyme 6 homolog [Source:Projected from Arabidopsis thaliana (AT5G60340) UniProtKB/Swiss-Prot;Acc:Q9FJI1] MAARRGSARARPNVLVTGTPGTGKTTTCSLLADAAGVAHVNIGDLVREKGLHDGWDEDLDCHVINEDLVCDELEDRMEEGGVLVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYMGAKLTNNIECEIFQVLLEEARESYKEDIVMPLRSDSVEDISRNVSTLTEWINNWRPSQ >SECCE1Rv1G0012110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:86565528:86567127:-1 gene:SECCE1Rv1G0012110 transcript:SECCE1Rv1G0012110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTRETPVARFVDDEEDALVGDDSGDDGDEVLEVRRRVSRFAVGGDDGAGGAGELRRRVSRFAVDGGSGSGGSRGILSRRQEVVDASAQDRRRRDAGCEGARTLPPPHAWLAVEETKKSFGSDNEDQWARLLQRGSAQPEAAQPRRSSFSVVRRERAAREAWLDRAWEMKKSWHERNGGAPDADTPVVVVVGKQTGGSSSPCSSPHHHSSASVAMDMEEVRACRDLGLELPSDGTVEIQCYGLSAGSSPTHSHASSGADSPSTSGSCSISSPSAGEDPVDVKARLKVWAQAVALASTTRLGS >SECCEUnv1G0538910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74616337:74616780:-1 gene:SECCEUnv1G0538910 transcript:SECCEUnv1G0538910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHDGINIPDGKFYLGDVGYACRPGILPAFRKTRYHLNEFASRNYLKTPQELFNLRHSSLRVTVERAFGAPKNRSKILDYKPFHPYPTQVKLVLACCIIHNWILQWGINEFVPEEEDVTPDEVISSGHGVEAFDNETWKNKRLEWS >SECCE5Rv1G0346230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660494425:660494886:-1 gene:SECCE5Rv1G0346230 transcript:SECCE5Rv1G0346230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAKKLAKLAKKCQRMLAAGAGARRRHASDMADDECCSTVSSVVADEGHCVMYTTDGTRFEVPLAYLGTTVFAELLRMSEEEFGFASGSDGGRIMLPCDGTVMEYVLCLVRREASEEVERAFLSSIVGHCHSYNASCMAPSMGLGHQFALCT >SECCE7Rv1G0468020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:94838805:94840662:1 gene:SECCE7Rv1G0468020 transcript:SECCE7Rv1G0468020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSTSNDQRGLAGGGGGGGDMSFHHHYPMSNQYPDSSTGGLIPLPASIVQQSHIAHGGGGHGRDDEPAAFMNSRDGAELRGLQTQMLMGDGGASAGQRSHQGCLSLSLGSQVPVSLYQYGRPGGMAAPASPSLMSPNQSAMAMAASRNAQVNVYVQNSRFLKAARELLDEVVSVRDAIKRKGDRKDDSAGNGECGKVEGDKGEENEGSSSAELSPAERQDLQNKVTALMAMLDQVDRRYRHYNQQMQMVVSSFDAVAGSGAARPYTALALQTISRHFRSLRDAIGAQVQSARRSLGEPQDGSGAGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKVMLARQAGLSRGQVSNWFINARVRLWKPMVEEMYKEEFGAEMDSNNSSSENAGNKHGKVDEAACSEDQDRDEFQSTSAHAGASQLLNAYKSEPVASMDAGPLSSLGGGDMGTTYAPGGLSLNHHGPGGGSLLQDAFAHHGDDARFVPYGGSMGDLGGSVSLTLGLQHCNNNHNNAGHVPPEQQGLLYGNPGDFDFLNGADDRQRFASSSSQLLHDFVT >SECCE7Rv1G0515990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:842758402:842760168:-1 gene:SECCE7Rv1G0515990 transcript:SECCE7Rv1G0515990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVVVEMAESRSSNRGAMAMPLEDEPWVKLIRESLTVKDEEDVDIHVSVFDVPKQLQVHKPEAYRPQFIGLGPYHHRHPELYGMERYKIDAARRALKRLLRAAAAPSVDVLDELVKELEGHDLKVRAHYHRYLEVSRKALSLMMIMDGAFLLEFLTIHHHHAVAEREGEGASSTWTTKRMEHLVDLGGSGTGRKSAHGLILRDMLMLENQIPLFVLAMIKARCSSMSLDESSRRLTLMVTSLMKELCPFKMEGHFPETSCDVTKHAHLLELLYHLVVPAVPTPADTTENAHTTPPFSGQIELEMADAERQPDDGGQGQEEKPSADTGSEHVMMQLFDFITPKLKGGRFGKVMKPIELLVKAPWNKLAGIPSMHGESFMPADANQQEITIPSVSELVSAGVKFKPTSGDLSNASTIAFERKTATLYLPTVTLDGNTEVVLRNLVAYESSAASGPLVLTRYTELMNGIIDTDEDVALLRKRGVVLNHMKKKDGEAAKLWNGMSRSVQHSRVPTLDKVIEEVNRYYDGRWRVKTKRFMRKYVLSSWKMLTFLATISMLLLTTVQAFCSVYTCSRWWFGDLVAVVPSESE >SECCEUnv1G0558390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:332099181:332104148:1 gene:SECCEUnv1G0558390 transcript:SECCEUnv1G0558390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLASELHRLRRSPWEVLCSALVSCGLVLFSQLAVAIVPRLLPSISLLAMLPVAGLVFLVAILVGRFWRRFIGVAASAPLFVLFNVLFLWGVYLFVIRRDTSSLLDMLINAECALLLWGLYRILSGDPGIVAYESSFLEEAGCKDFVDAICSSEKYPSLSRVRHCNCCKANVRGYDHHCPAFGNCIGQKNHRLFMALLTGFVVAESTYTMCSTKYITRCITSGTLRTENHLSLNMVIGTMLFSVLQVVWQVVFLIWHVYGICFNIRTDEWVMSNFPCFGLFLHQLQVVFSFAVSYS >SECCE7Rv1G0516430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:846121965:846122468:1 gene:SECCE7Rv1G0516430 transcript:SECCE7Rv1G0516430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTNCPRTAPLKRLTSKEEKNGNFGSEFVKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHNSAAPAAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGTFYLMAISR >SECCE7Rv1G0518010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856800561:856801022:1 gene:SECCE7Rv1G0518010 transcript:SECCE7Rv1G0518010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARSSGVLGNPFSVDFWADADPLGGAVRALAERCPVLTNVRVDWKETPAAHVFTADLPGVRKGDAKVEVVDGDVLVISGQRAKDKEEGDGKDERWHRVERSSGGFERRFRLPWGVRVDGVIASMEDGVLTVTVPKQEQAKKPQVKAVEIQG >SECCE1Rv1G0020450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:258325478:258342566:1 gene:SECCE1Rv1G0020450 transcript:SECCE1Rv1G0020450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKQRREAGARRQQQALQRLRPPPLLQARDERSVSCTTFNILAPIYKRMDSENGRESQNRANWFSRNEKIIDRLLGDRSSIICLQEVWLGNDELVNMYEKRLGDANYMLFKLARTNNRGDGLLTAIHRNYFCVLNHRELLFNDFGDRVAQLLHVESAIPFLQNRSSSYVQQQSLIVNTHLVFPHDHSLSIVRLKQVYKILQYIEAYQEEHKLGPMPIILCGDWNGSKRGQVYKFLRSQGFVSSYDTAHQYSDNEEDAHKWVSHRNHRGNICGVDFIWLLNPDKCRKPLKTSWNEAVFGIIKYLLLQVASLPEENAFALLKADSSDDRITYSSFCQALCQLGMVHPDRVNSEEMEDLWSEVDHDGSGAVDYKEFQRCIWSPKCYNQEEEDDTEIDVAEGSIVTFEPNDETFGFTVKEAVLFPPEVEKGMWPENYSLSDHAPLTVVFSPVRMPCSPRTPQHP >SECCE5Rv1G0363040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:790580639:790580902:1 gene:SECCE5Rv1G0363040 transcript:SECCE5Rv1G0363040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYSIQTQKMIIAATMTLHNYVRLHDKEDIHFLRCERDPDYVPTIPERYKKYVIPPNASDSSTPAESGPNMDLFRHELATAIALSW >SECCE6Rv1G0409550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:589964246:589972045:-1 gene:SECCE6Rv1G0409550 transcript:SECCE6Rv1G0409550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKIQLVWLLCVALAFGVTAAALPAHGSGRVRRHYDFFKANYTRLCSEKTILTVNGEFPGPTIFARKGDVVLVNVHNQGDQNVTIHWHGVDQPRNPWSDGPAYITQCPIQPGNTFTYRIIFSEAEGTLWWHAHSDFDRATVHGAIVIHPTRGAAYPFRKPHREVPIILGEWWNRDVGQMLAEALSSGGDFQPSDANTINGQPGDLFPCSSDGAFKLPVEHGNTYMLRIINAALSNEFFFAIAGHRLTVVGTDAAYTKPFTVDHVFIGPGQTKTVLLTADRGRSNGTRYYMAARPYATNPLARFDNSTATAVLEYIDAPQFTATPDIPALPAINDSAAAEAYSAQLRSLASDEHPVDVPRHVDEHMLITIAVNEIPCAPGELCKGPRNNSLAASLNNVSFEMPSTAILGAYYSSALQGVAKTDFPDNPAVAFNYTSDDLPLDLRFTARDTRVKVLEYGAVVEVVFQDTAILGSESHPMHLHGYSFYVVGRGAGNFDRRKDLATYNLDDPPYQNTVSVPKAGWAAIRFRAANPGVWFMHCHFERHMVWGMETVFIVKNGKAEEAKIMPPPPNMPKC >SECCE5Rv1G0361670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780727176:780727652:-1 gene:SECCE5Rv1G0361670 transcript:SECCE5Rv1G0361670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVLDGSTVRSFVADEAAFARSVDARFAALDANGDGVLSRAELRRALESFRLLDGAGFGSDEPAPLPGEVAALYDAVFEQFDADKSGAVDLAEFRGEMRRIMLAVADGLGCQPLQVAVDDEGGSFLLEAAEHEAAMIAARVQEERDKAAAEEADGK >SECCE6Rv1G0391350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:217938392:217939580:1 gene:SECCE6Rv1G0391350 transcript:SECCE6Rv1G0391350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQTLKARILRVLGSSLPDAPAAPAAASASLPSSVTKPGRVMLHSTDGLPEDASFFDAHETPTKINLPAEPIDDDSELVDQDGYDRDSLASGPAPASDPDGMLWDFPARCPPGGDEAVVRYTMTLRGIRKTFEECNDVRALLDNLTVAFQEHDVSMDRGRPPRLFVRGHDLGGAAQVLGLHEEGRLTSLLQLQSPSPPEAPVSSNKKKEKCEACGGLKFMVCGKSNRKVFDGKHGGVRCHGCNENGLVMCMLCWLYPS >SECCE3Rv1G0167150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:198944980:198963475:1 gene:SECCE3Rv1G0167150 transcript:SECCE3Rv1G0167150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSISARMILSGIPLEDAYLQSRLAIMAQQERKGIKQGKLPINDCFYLMGTTDPTGKLKANEVCVILDTGPYCGNVLVYKHPGLHFGDIHVLTSRYIEDIQDVVGYSRYAILFPTSGPRSLADEMANSDFDGDMYWVSINEQLLKQFKPSNPWEWGQVNKPIQAEKKCLLDLDEPLLERSLFHEFLKARFARSNALGTAADSWLVYMDRLLTDGVDEDESNVLEKKIKKLVDLYYLALDAPKAGTKINVPAELTAKKYPHYMERKECYHSTSILGKIYDEAKKKQSEKVEPVEISLDPRFTERAASSGYKYLNLWTGRYQEYLNESGPLIDIQDKEETDLKFKELYQKYKYMLYGAAEFEQTQKNLDEVFDEACTIYQIVYEKAARFKKAGKCCFVWNVAGRALCRFYALETEGDKVLVPLAVAQNLAKKRRR >SECCE1Rv1G0014230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:111157538:111160015:-1 gene:SECCE1Rv1G0014230 transcript:SECCE1Rv1G0014230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAVEGAAAALRSVLSRAQQAAARSGRAPESVRVVAVSKTKPVGVIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKAKALLAGVPSLDMVESVDDEKIANRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVGLAKHVKLSCPNLVFSGLMTIGMLDYSSTPENFKALTSCRKEVCDELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >SECCE6Rv1G0382840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:47957018:47960188:-1 gene:SECCE6Rv1G0382840 transcript:SECCE6Rv1G0382840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQFSNKTQSKKLHMPSFALALVLLISLASLTSSCTEQERGSLLQFLAGLSQDGGLAASWQNGTDCCKWEGITCRSPDRAVTDVSLASRGLEGSISPFLGNLTSLLRLNLSCNSLSGGLPLELVSSSSITILDVSFNQLNGTLHERHSSTPSRPLQVLNISSNLFEGHFPSTTWEVMEKLVILNASNNSFTGQIPTQLCGTSPSLTVLELCFNEFSGSIPPSLGNCSRLRELGAGYNNLSGVIPNELFKATSLEYLSFPNNDLHGAIDGTNITNLRNLVTLDLGRNSFSGKIPVSIGQLKKLEELRLDNNMMSGELPSALSNCTNLITIDLKSNNFSGELTKVNFSHLPNLRTLDVFLNNFSGTIPESIYSCSNLTALRLAGNNLTGQLSPQIANLKYLTFMSLTDNSFKNITNALHILKRCRNLTTLLIALNFRGEIMPKDDKIDGFENLQVLGIGGCRLFGQIPHWISKLANLKVLVLADNQLTGSIPAWIETLSHLFYLDLSNNKLTGGIPKALMNMPMLKLEKTGAHLDPMFFQLPVYDGPSRQYRVPIALRKVLDLSKNNFTGEIPLEIGQMKGLLSLNFSFNHLTGHIPQSICNLTNLQVLDLSSNNLTGAIPAALNNLNFLSKFNISSNDLEGPIPSGGQFNTFQNSSFDGNPKLCHSVLTPKCDSASTPQISPKHRNKKAIFGIAFGVFFGGIAILLLLGRLLVSTRMKGVAAKNQRGNNGDVESASFYSSSEKTLMVMWMPQGNGEENKLKFSDILKATNNFDKENIIGCGGYGLVYKAELPDGSKLAIKKLHDEMCLMEREFRAEVDALSMTQHQNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDDVSSCFDWPTRLKITQGASLGLSYIHEVCNPQIVHRDIKSSNILLDKEFKAYVADFGLARLILSNKTHVTTELVGTMGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGMRPLPVLSTSKELVPWVLEMRSEGKQVEVLDPTLRGTGYEEQMLKVLEVACKCVNHNQFLRPTIMDVVSCLASIDAEHRCKDQS >SECCE7Rv1G0491090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:517148793:517150592:-1 gene:SECCE7Rv1G0491090 transcript:SECCE7Rv1G0491090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCRLRLGVGARYLTTAAGAAEAFACTPRKITADYNRLLAGYAREPGGRLGHARHLFDRIPHPDVVSYNTLLSCHFAAGDVRGARELFSAMPDTDVASWNTMVSGLSRNGAVGEARALFLAMPARNSVSWNAMVSGFASSGDMGMAEECFRDAPDKEDAVLWTAMVSGYMDAGDVDKATEFFQGMPVRNLVSWNAMVAGYVKNSRGVDALRVFKTIVRDADVRPNESTLSSVLLGCSNLSALGFGRQVHQWCIKLPLSRRITVGTSLVSMYCKCGDLEGACKLFSEMRTRDVVAWNAMISGYAQHGHGQEAINLFEKMKAQGVRPNWITFVAVLTACIHTGFCGFGIQCFETMQEIYGVEPRADHYSCMVDLLCRAGLLERAVCLIRSMPFEPHPSAYGTLLAACRVYKNLEFAEFAAGKLIQQNPHSAGAYVQLANIYAAANQWADVSRIRRWMKDNAVVKTPGYSWVEIKGVIHEFRSNDRLHPQLRVIHERLDRLEERMKAIGYVPDLDFVLHDVDESLKVQMLMRHSEKLAIAFGIVSTAPGLTLRIFKNLRVCGDCHNAAKLISKIEDREIILRDTTRFHHFKGGHCSCGDYW >SECCE1Rv1G0024960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:336739884:336747062:1 gene:SECCE1Rv1G0024960 transcript:SECCE1Rv1G0024960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAMRCGSGSGSDGGGGGYDKGGMDSGKYVRYTPEQVEALERVYAECPKPTSTRRQQLLRECPILSNIEPRQIKVWFQNRRCRDKQRKESSRLQAVNRKLSAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLANDTSCESNVTTPPNPLRDASNPAGLLAIAEETLTEFLSKATGTAVDWVPMPGMKPGPDSFGIVAISHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMLPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCDGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMAGDGIEDVIIACNSKKIRSNNTAPNAFIAPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASALKSSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALDEGLLSRDIHLLQFCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDMKTDGAPAGRTLDLASSLEAGSTTLQASGGADDSNLRSVLTIAFQFPFEMHLQDSVATMARQYVRSIVSAVQRVSMAISPSRSGLNAEQKIISGFPEAATLARWICQSYRFHLGVELFRQADEAGESLLRMLWDHEDAILCCSFKEKPVFTFANEMGINMLETSFVALQDLSLDKIFDEAGRKALYSEIPKLMEQGFVYLPGGVCLSGMGRHVSFENAVAWKVVGEDNNVHCLAFCFVNWSFV >SECCE7Rv1G0514660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:835613767:835614183:-1 gene:SECCE7Rv1G0514660 transcript:SECCE7Rv1G0514660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRRLSRVAAADACAAAAYQPLRPESAAKASSTAASSSSFFGARRLGRGARVPEGHVPVCVGEEGGPVERFAVRAELLGQPAFKALLRRAAQEYGYGHPGALRIPCAVADFRRLLLGLSDPGCQATDDDDSALYY >SECCE5Rv1G0350620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691494416:691495054:-1 gene:SECCE5Rv1G0350620 transcript:SECCE5Rv1G0350620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLLQQSVDRLAAMAAAPAAVAGSGTSAHMDTLLILAAVLCFLLCLVVLAMVARCSRMCNPSAFSVEAPGAMAKAPCKGMKKKALESLPTVSWQPEPSEEVDEDEAERPECAICLAEFARGDEVRVLPTCGHGFHAACVDVWLLSSSTCPSCRRALVIAAAQSPAATESPPPQTCCERADVVAAAQASAAGTVADETGRGASPSDLSFVS >SECCE5Rv1G0310940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:181850804:181851484:-1 gene:SECCE5Rv1G0310940 transcript:SECCE5Rv1G0310940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALLAEIIKRVTKRSDLNSLSLVSKRLFAIQAEQKGSIRVGCGLCLATEALVSLFSRFPNLWKVEIDYAGWTPSHGDQLDNHDLFVIISCCPSLTDLTLSFCSQIDDTGLGYLCYSEKLTSLRLNSATEITSSGLLSVAVGCNSLSSLHLINCEKVGSTEWLEYLGLNGSLEELVLKNCNGISLYDLIMLSPGWMKLRKFEFRMGGLWDVHEGYDHMEIDG >SECCE2Rv1G0131510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884931484:884932072:1 gene:SECCE2Rv1G0131510 transcript:SECCE2Rv1G0131510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSVWHEFQTDLPAADVWEVYGGLAVGRLIPQLLPHVVSKVELVEGDGGVGTVLLVTFPPAGASEPRSYKEKFNVIDNEKYIKEAETIEGGFLDLGFRKHLMRIEIVGKEDGTSVIRSTVEYEIDAEHAKNVALVSTDALASVAEAITKHIKEQKSPKQATE >SECCE4Rv1G0224600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:82296380:82297210:-1 gene:SECCE4Rv1G0224600 transcript:SECCE4Rv1G0224600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASLQSFLPQHHHSFLSSTTHDGSPPALLKLTTSTTASNSISFKLFASSSSSVSTAASTANSSAPTPVAAAAAAATTSPPTPSLELLGQQLAEGDYRQADETTRALLIVLAGEAARRRGYVFFSEVQFISVEDLRAVDALWLEHSNGRFGYSVQRRIWEKSLREFTRFFIKIGWMKKLDTDVVQFNYRAFPDEFIWELNDDTPEGHLPLTNALRGTRLLENIFTHPAFDCEEDEAAGADEEADTGSATGQNNKDDNKGRGRPKSLTDFKPDYSF >SECCEUnv1G0554280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:284149644:284150234:1 gene:SECCEUnv1G0554280 transcript:SECCEUnv1G0554280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMDPARKEEIKDDLNAFKMGKDYYAKVGKAWKRGYLLFGPPGTGKSMMIAAMANFMDYDIYDLELTAVKNNTELRKLFIETKGKSIIVLEDIDCSVDLTGKRRDKKKARKKSGDQSDVLQKEDENKDDEDSKMTLSGVLNFIDGLWSGVRRRAHHHLHHQLQGQAGPSADPARQDGHAHRDVLLWLRGIQGAGP >SECCE4Rv1G0220870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:42469474:42471042:-1 gene:SECCE4Rv1G0220870 transcript:SECCE4Rv1G0220870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEIVEKMEKLEVGDGGKKPEEETKPAAVAAPVCFKKPAGEDTGILETTKDYFKQLKDTNADTHWDCIKNRVRAAREYISNKTSSAFGRQKVEPEAKEETPGAKPEAAPAAAESP >SECCE5Rv1G0351300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:697981427:697988703:1 gene:SECCE5Rv1G0351300 transcript:SECCE5Rv1G0351300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSPTDAKRKYSWWWDSHICPKNSKWLQENLEDMDSKIKLMIKIIEEDAESFAKKAEMYYRRRPELMALLEELYRAYRALAERYDHAAGDLRQAHKKIAEAFPDQVLMDPDDDLPAESATTETDQDNAEMTRYFLSFINAGDPKMHGKDDQDYEKLQSELASLTQENQDLKKRITSVLEQSNCAESEVLCLKEALAQQEAEKETAVLQCQQSSARLQNLRSEILHTQEQFNRLKEEMQTGLLPSSTADDERFLVLERDNQDLQLEVERLKHLLKQKHDELNEKQDELENLNISTEEEHLKCMQAEMVSLSLEKKLLIAHDKLRHLALEKQREEGKVKDIETSKIVLQKELDSILEENKRLTLEKQREESKVKDIETSKIVLQKELDSILEENKKLTSQYHSSSAVIIRLQDEIISMKNAQQKLEEEICKHADEKKTLQYELSRLKEDRSELERKHFSIKEQIQSVNVNVESLQALAHELRDGNVELKDIIKNHERTEALHAENLRQLERMSEKNVHLEKSLAASTTELEGLREKKAALEESCKELNSKICSHLSERAALVAQLEAISRTMEVLLEKNAVLENSLYDANAELEDLRRKLKELEKSSEAVNSQNSVLQSEKTTLVSQVDSISNTLLSLETQYTELERHHSALQQEKDSVLDEVIKLQEQIRLERKEHKDLALSASKTQLDLQNKIDLLLEEGRNREEQLQEEEMKIVKAQTEIFILKECLGEMTEANSDYSAKLQNKEEACKVHEKKLDCLSQDNQKLTEGIGSLLKVLHLDEKYESLDQMKLDIILQLILHEVNCLRNTISDAQDVRQKELVEKSLVVTLLEHFGQEVADLRSERNILKQDQQAKSEELLQLQAERQELMEISDEFWEEMESRNQRVDDLRAEAKFLVGQLSELQDSRRSLQSEIIKLIQQNSLLANELHDSREKEMIFEDDFSILMREAVSKDILLVIFRSLHEDRSLELKSLHDDFVCLQAVGSELCKDIRMMNKKLGDFEFLDDHLSKDTTMSICDRTSGENTTGKGHYSAWSLNSGGTKEVDDAGLQESNEMLLEEILKLHGNVEMLMSKEKASVDIRSCNEEITKLVSHMQMAIMNAALFKEKIVELIVTCESYEISAMVQKEVLKEDITRRNSYVDELKDKLNAVEIENRRLKVDLNGDVTMLGSLQTEVSALEKQTVSLANDFLQSNKLKVEENASCPQPLETIVGSSDQNTNETVKDMELQKLRGTIKRLQNVVADASVLLEQERLGFSANLQEARKQIEALKLKEILDDDLVEMNYEQMLKDIQLDLIQPSSGRRTEAPGQQKKIAAQGDHKAHDLAGPSNSHARDDLGPPQSESFDSGSSKQSPAELVVVKELSIVNQELPRPITTEPHQEWKNKVIQRLSSDGKRLNTLQSSIQELKTNTEASEEPELEDVRYQIKEAESTIIELIDTNSKLAKKAEEFTSADGLDGDNTDLRSRHQRKILERARKMSEKIGRLEVEMQKVQQALVKYQEEQTSSTTSKTMVQRSKVQLVDYLYGRRRESRKPRCSPCGCLRAKTIDD >SECCE1Rv1G0035310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:497766119:497767402:-1 gene:SECCE1Rv1G0035310 transcript:SECCE1Rv1G0035310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDGAVTTIASRVASICAVIDHAVASETLSARRVAAISAMIHDVAASAAEEGTTRSTRKRRRMGSSRSYVETRRIGEGRFGVVLRARHRATGQTVALKGLRWTTRHSPGSDKLVGKLLREACFMAACGGHPSVVALRGVARAPGTSDYAIVMEYVGPSLDQVGYDRMARHGRPFTEDEVRGVMRQLLAGADAMHEHRIIHRDIKPSNVLVVYDGGGCDGDLVVKICDYGLAMSMAEQNAEGAFVAAGTRSYMAPEMLLAKPRYDTRADMWSLGCVMAEMLTGEVLFERADTVADQLYKIFDVLGVPEKKAVKSFKSPFAFLADEVRLWRQARRGGRLRELFPERTLSKDGFMVLKGLLTCSPSKRLDAAAALRLPWFADADDGHVPVVAAVSKSNGAAASIRALASHTWHKALSCFGRPVRSKAV >SECCE6Rv1G0383220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:51104301:51104897:-1 gene:SECCE6Rv1G0383220 transcript:SECCE6Rv1G0383220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVAATLPPLLPTPARCLLLTPPPAVYTARVELDSKKQQPGRASTSRSWIKDKADLPGRASRSSSWATDKTLRRAGTLIARIERLGRVETPRENWKRPASRAPSVDRCEKKQRPPTEMVAASEPPTKMEVESTDQSEKKPEPLTKMEVESEASFFAGPTFIVSPDPSELPMLTFIMSPDPSKLPMPTFLYKKSLLD >SECCE3Rv1G0144180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3279247:3280107:-1 gene:SECCE3Rv1G0144180 transcript:SECCE3Rv1G0144180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYFLVIALLALASWQTMASDSSPLQDFCVADNSSHVLVNGFVCKDPKDVKAEDFFLAAKLDMPRNTSTNKVGSNVTLIHVMRLPGLNTLGISLARIDYAPLGESPPHTHPHATEILTVLEGTLYVGFVTSNPDNKFLSKVLNKGDVFVFPEGLIHFKFNPNPYKPVVAIAALSSQNPGAITIANAVFGYKPAISDDVLAKAFQVEKNTVDWLQAQFWADNQN >SECCE6Rv1G0398140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:413036052:413038311:1 gene:SECCE6Rv1G0398140 transcript:SECCE6Rv1G0398140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLVRLLLPSLPACQPPLRHPEYSAPPRHSHVSFAVSAAARSSGHRLAFAVCAASASVAPTPAETEADAEDEQPVGPKTRLIAMNIPWDFTPDDIRVLFEKQGTVVDVELSMHSSKKNRGLAFVTMGSEEEALSALKNLNLSTSNDRTIKVDFAKPKKKQPIVPSAPVDKNVVFVGNLTWRVRSRHLRELFASTPGVESVEVIFHTTTPRRSAGYAFVSFSSKEEAEAAISTFNGKELMGRSINVMFKEDTPKKNRSSDSEEEKLEEAESSEESDS >SECCE5Rv1G0306900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:101829217:101833008:1 gene:SECCE5Rv1G0306900 transcript:SECCE5Rv1G0306900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMVTELDEAEVRNAENSTEQREAYNVVDDEGYPAPMLPSGKEPVGNQSMTEGRLQPAGDNYQEPVGKQSMTEGTLQPAGDNDQDPSSDSIEKNTEGLLEDGQDQQTNSVYHPLQLAEEENQLHDNVESNEMLRNNSSSETDSDSSSGSDSDSELGKYFYPQIEQLEMAKQPEPGMKFQTLEDAHRFYNTYALLTGFEAKRGTNYMRKKFHLICNRSGKSRATQDLQRKRKRKSIEKTNCQAKVIVKLIKGQWEFTTVRNEHNHPLCPSSSLTKFYLSHKHISTEERSFLKVLQRTRIPPNKVMKIFRRMRGSFGSIPFKKKDGTNLLCAEQHRKENSDVGRMLMYFKEKELQDPSFQCMKQTDEDNIVRSVFWTDARSRMDYEIFGDFLLFDTTYTTDRHNMLFAPIIGINNHGRTLLLGCALLHDESAETYKWMFETLLHVMEDKMPVSIITNQDEAMAKAIGEVMPQVRHRLCKWDVLEKAQQNISAFMAESGNIKADLDSLVDNSLTEKEFEEGWDALIERYGASENEYLQLLWQRRKNWVPVYFREDFYPFVQSHGCDEGMNLLFNDYVLSIDRIEKFIGRYEEIHKNITKTDEEDRLQSRTVPSCFSLQPIEKHAANIYTRQIFLKVQRELLHSTAFNVQEVQRGAVYRLNKVFNYENPEFDRNNFEVQIESGSNAFKCQCAKFTRDGMLCCHIFRLFTQFGINQIPEQYIVPRWTEKFREEKEKQYKEKCSEQMDSTMRYTNFMTKMADLGKTICSDGAKYDAFMLEVNKIQENFAMAQK >SECCE6Rv1G0403030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:495084532:495087954:1 gene:SECCE6Rv1G0403030 transcript:SECCE6Rv1G0403030.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLWVVALAMAVASILWAYRWSHPRANGRLPPGSLGLPLLGETMQFFAPNPTCDVSPFVKDRVNRYGTIFKTSVVGRAMVVSADPDLNHYVFQQEGKLFESWYPATFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLRSVLLADTDTACHATLASWAAQPSVELKDGLSTMIFDITAKKLISYEPSKSSESLRKNFVAFIRGLISFPVNIPGTAYHECMKGRRNAMKVLKRMMRERMAEQGRQREDFLDVMIEELAREKPVLTETVALDLMFVLLFASFETTALALTLGIRLITENPKVLEALTEEHEAIVRDRKDPDAGLTWAEYKSMTFTSQVTLEIARIANIVPGIFRKALQDIEFKGHTIPAGWAVMVCPPAVHLNPEIYEDPLAFNPWRWQDKMEITGGTKHFMAFGGGIRFCVGTDLSKVLMATFIHRLVTKYRWKTVKGGNIMRTPGLSFPDGFHIQLFPKN >SECCE5Rv1G0314750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:276033171:276035348:1 gene:SECCE5Rv1G0314750 transcript:SECCE5Rv1G0314750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRCSRHRGKVKSFPKDDQQKPCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEDVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQLQLEKMKKYATIVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEVPVEAVFQKDEMVDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHEACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKIKFIDTSSKFGHGRFQTTDEKQKFYGKLKA >SECCE4Rv1G0294900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:893509001:893509735:-1 gene:SECCE4Rv1G0294900 transcript:SECCE4Rv1G0294900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSYQQTATTNLEAPVPKVKAAAVMTRNPSSPSGPPSSEMEATAEALTREEVLRLRRRRAARLLAAYRRLYWAMAEEVRARHRQYVWELGCSPLEAEQPLSKAKPGPAAAPRRKKCGVTGCKVRAMAMAKYCHYHILSDPNQVLYKGCGHIMIKSGAQTGKSTHNTPILKASVPSLCNFHLQKSQKNISQAYKKVGFNPPSTGQISPDFSVLVAESVRQIQAKRREFRSAAAGKKYPKDGKVN >SECCE7Rv1G0525210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:889174715:889176187:1 gene:SECCE7Rv1G0525210 transcript:SECCE7Rv1G0525210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVATTAGTERKRVVLYPSPGMGHLVSMIELGKLFAARGLAVTILIVELPFVDTGARGPFLAGVTAANPAISFHCLPRVQFRPLASPHPEAVTYEVARLSNPHLRDFLLAGAPPAVLVVDFFCSVALDLAAELGVPGYCFFTSGAEALASFLYLPVLHEQSTASFREMGEELVRVPGIAPFPATHALKPLQDRDDAAYRGFLQVSPDLCRSRGIIVNTFRSLEPRAVEAIGAGLCTPPGLPTPPVHCIGPLIKSAEVGVNRRGGGECVAVAWLDAQPAGSVVFLCFGSLGVFSAAQIREIAVGLEASGVRFLWVVRSPPNEDPARRFEEPPEPDLGALLPEGFLERTGERGLVVKTWAAQREVLAHGAVGGFVTHCGWNSVLEAVMAGVPMVAWPLYAEQRLNRVFLEKELGLAAAVEGYDHEGGLVEAGEVEKKVRWLMESDGASVLRERTLAAMRRAKEALAEGGESDVALTKLVEGWMGDDKVERQ >SECCE3Rv1G0171770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:277826053:277827541:-1 gene:SECCE3Rv1G0171770 transcript:SECCE3Rv1G0171770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMVCLVVLCLVSPLLLAGAVVGNPGYGGLFPQFYDHSCPKAKEIVHSIVAQAVARETRMAASLVRLHFHDCFVKGCDASVLLDNSTNIVSEKGSNPNRNSIRGFEVVDEIKVALEAACPGTVSCADILALAARDSTILVGGPYWEVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRLGLNVVDVVALSGGHTIGLSRCTSFRQRLYNQSGNGLADGTLDVSFAAQLRQGCPRSGGDNNLFPLDAVSSTKFDNYYFKNILAGRGLLSSDEVLLTKSAETAALVKAYANDVHLFFQHFAQSMVNMGNITPLTGSKGEIRKNCRRLNNYH >SECCE6Rv1G0451550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872863955:872877342:1 gene:SECCE6Rv1G0451550 transcript:SECCE6Rv1G0451550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAVVKMGRSEHHNNIANERSSPPSFRMEDLPAEVQSIIISLLPLKEVVRSRIVSTSWRMVWRFHCNLCFDGIATLDCDTDDEFKGTTKIRQANFIETINWVIQQHSGIGINKFGIRCGLHKEDSDNLDKWIEFAATSKAEIIAFSLVIIDYPFEFHHFPLEVLGTHEGSSFVRSLFLTGVSIKPQSGICSFTVLGKLVLKCVQIFGDFTGFLANCLALEDLEMIKCSGVTNLSIPHQLDKLQHLLVKKMDVEMIESHAADLAHFEYKGKEIPIVFNGRSKLEKATIMFEGRNGLARVFTTVPIIFRVKMLIVHARISAYEQLQKLTPRPDHKFIHLRHMNCQLSVLYLNVPNCDNGVLQLAHCLDVAPRLETLHLDMKYVHNMAWVNDDLVEEEGPHMRRHDHLKTVYISGFRCYTAQTKLACCILENACVLEHMRIQPWVSTRIYRCAIKLNVGVRRRFLPEVHEWARLTSERFGKAITVLDDPSE >SECCEUnv1G0561180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:352389859:352390475:1 gene:SECCEUnv1G0561180 transcript:SECCEUnv1G0561180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKRCIVPSILLMLALHAALLVAGDVGAIFLPSEGEDEAAMTAKERPWKCCDKAFCTRSKPPICRCMDQVFECPSTCKTCGPSMADPSRRVCQDQYIGDPGPICRPWECCDSPVCTRSNPPTCRCADEVDKCAPTCKTCLPSRPRPSRRVCINSYFGPFPSACTPPPEAVAAGGN >SECCE3Rv1G0151420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:38633833:38634206:-1 gene:SECCE3Rv1G0151420 transcript:SECCE3Rv1G0151420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAALTLLLTLAFLVLASNADTKPSCTVKYLKKFGGYLIGGCQEDCHRILTCPEDKDCDLEAAIVGKRCRCTMCIYYSKAPIKETVMLTI >SECCE6Rv1G0434460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:765602027:765604217:-1 gene:SECCE6Rv1G0434460 transcript:SECCE6Rv1G0434460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSRRRRAAKFMDRPSASTVGEVNPDDRMSSLPNDILLNILDRLDVRDAARTSVLSRRWSQLPAMLPRLVISAPDLLPSETKTKKTNYKLVRRTKAAVAEALANAGLSNAELVQRTNAAVGKALSDAAKAVANTAAAKATKSILARRDMGGCTIRLLSMTFYLKDDAPISVGHSVASAMATCKIEKAEFIVLTEKERLECDIADVENYGARFVSFFNQCGNAFAGLTRLYLQNLRFAKSDFVSNILVTCKKLNYLGFLNCDTEDWITLQVEHAQLSELSIVNCRFDMVKLTWVPKLTSLAFEFWVSFKKPPLSFGHVPLLEVLRLSNVALSWHKMVKLSTFLHGTSVRDLRLGFKSEKIWVQPECLTKRQAYVFCQLRILNLLSIPEGYDLSWTMFFLEAAPSLEELLLTVIDHPCEMEMDEKVRRQKSYSKNKGVRWESPTSNFKHHCLTKLTFFCFQSKEYMVSHVRRVMQAAVNLGDVYLYDRLTCIYWKGVEPLEPIVFPKTDTQMSSVEKQIRKGIESPAIIHFLSVAEISDDYRARITEDC >SECCE4Rv1G0229510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:150124412:150125140:-1 gene:SECCE4Rv1G0229510 transcript:SECCE4Rv1G0229510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSRDRGGYPPDSTRLRIGDDIAWADVGGVYDRDDSLKENTNPKCLLKAHNPAAPHHHHAGAASQRFSGNLKPTAAPIIGLSGKLGQGGARRTHQQHPPAMFPKKAKTGGGGRNPKPAVPEDEPSSPKVSCIGKVLSERERARRRPRPPPSERPSPGCCPGFGFLMRRSRSRKSAVESVDWSPPPPPPAARRRELKKEEAAPAPAPGLGGVMRFASGRRAADWAAEMEVDDRVAKSGPL >SECCE7Rv1G0517310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:853211937:853212350:-1 gene:SECCE7Rv1G0517310 transcript:SECCE7Rv1G0517310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAAEKTPAAGKKPKAEKRPPKSKEGGADKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE2Rv1G0096730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:443717813:443721451:1 gene:SECCE2Rv1G0096730 transcript:SECCE2Rv1G0096730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHHLPLFVLLAALAIREAAGGDADALLAAKASLDDPTGSLASWSNTSTGPCAWSGVSCDTRSGVVVGVDLSGRNLSGAVPRALSRLAYLARLNLAANSLAGPIPPSLSRLGLLVHLNLSSNLLNGTFPPPLARLRALRVLDLYNNNFTGSLPLEVVGMAQLRHLHLGGNFFSGEIPPEYGGWRRLQYLAVSGNELSGKIPAELGNLTSLRELYIGYYNNYSGGIPAELGNMTELVRLDAANCGLSGEIPPELGNLAKLDTLFLQVNGLTGGIPPVLGRLGSLSSLDLSNNALSGEIPATFAALKNLTLFNLFRNRLRGDIPEFVGDLPGLEVLQLWENNFTGGIPRRLGRNGRFQLLDLSSNRLTGTLPPELCAGGKLETLIALGNSLFGPIPDSLGKCKALTRVRLGENFLNGSIPEGLFELPNLTQVELQDNLLSGSFPAVVSAGGPNLGGISLSNNQLTGALPASIGSFSGLQKLLLDQNAFTGAIPPEIGRLQQLSKADLSGNSFDGGVPPEIGKCRLLTYLDVSQNKLSGDIPPAISGMRILNYLNLSRNQLDGEIPVTIAAMQSLTAVDFSYNNLSGLVPVTGQFSYFNATSFVGNPGLCGPYLGPCRPGGAGTDHGAHTHGGLSSSLKLIIVLVLLAFSIAFAAMAILKARSLKKASEARAWKLTAFQRLEFTCDDVLDSLKEENVIGKGGAGTVYKGTMPDGDHVAVKRLSTMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKKPVGEFGDGVDIVHWIKMMTDSKKEQVIKIMDPRLSTVPVHEVMHVFYVALLCVEEQSVQRPTMREVVQILSELPKPIMKQGEEQLTGSGDGDESCPAGPPETVEAATDEATEQQQPSSQSTPPPSLISI >SECCE5Rv1G0371360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846752472:846754178:-1 gene:SECCE5Rv1G0371360 transcript:SECCE5Rv1G0371360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRGDPAAAVPGEETAEELLARVRGMVPPAVGAATAAEGFPGRWKAIAAKLGTLPARLSDLSSHPCFARNALCRELLQSVAATLADAAQLAARCRGPPTDGKLQTQSAIDALGARLDVNLRDCALLVRTGVLSDSDASAAPATTAATTTAQADVRELLARLQIGHAEAKSRAVDGLLDALNRDEKSVVSLLGRANVSALVQLLTAPAPKAREKAAMVICRLAESGGGACERLLVSEGALPPLIRLAESGSSLVGREKAVLTLQRLSASPDVARAIAGHGGVRPLIEICQTGDSVSQSAAAGALKNLSAAPEARQALADEGIVRVMATLLDYGTVLGSKEHAADCLQNLTSGGDSFRRAVAHDGGMRSLLLYLDAPSPQESAVRALGNMVDAISPDTIVSLGVLPRLAHALRVGSAGAQQAAAAAICRIAGSGSKDMKRLVGEHGCIPLLVRMLEAKSAGAREVAAQAVASLAAGHPGNAREVRRDERSVPSLVQLLEPSPANTAKKYAIACLASLSPAKRCKKLMVSHGAIGYLKKLSDMEVAGARDLLERLEERGKLRSIFGSKNQ >SECCE5Rv1G0349440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:683887836:683892079:-1 gene:SECCE5Rv1G0349440 transcript:SECCE5Rv1G0349440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATFAATPALLSTTLLNPPAPPLRLHPPRASPRHRLRIPRRRPVTAPAAAHAASSLSSARGDPREAEAAVAELLRDHGASPEDAAAIAARAPGYAAMLADGVRELDELGLWASWSAGAGARAGAEMGALGFGRKVYFMGRSRRDGAVVPLIESLGVRLSSAKLIAPYVAAEGLPVLIHRVKFLKEMLFSSSGYETLIGRNAKRMMAHLSIPADEALQSTLSFFEKMEARHGGLSMLAHGDVSFPYLIESFPMLLLCSEDKHLKALIDFLEHIGVPKPRIPSVLLAFPPIILSDVEKDIKPRIHAWEKAGIEQEYIGRMLLKYPWILSASVIENYKQALLFFNRRKISSTFLGTAVKSWPHILGCSTTRMNSILVLFDDLGVSKKMLVPVLTSSPQLLLRKPNEFLQVVSFFKDMGFDKKTVAKIVCRSPEIFASDVENTLKKKIDFLIDFGISERHLPRVIKKYPELLLLDINRTLLPRMNYFLGMGLSKKDVCSMISRFSPLLGYSIEHVLKPKLDFLLQTMKKPLKAVVEYPRYFSYSLEGRIKPRFWIIKSRNIDCSLTDMFAKNNELFAEEYLGIET >SECCE1Rv1G0058730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701764857:701766191:1 gene:SECCE1Rv1G0058730 transcript:SECCE1Rv1G0058730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKAGVGFQAGVKDSKLTYYTPEYETKDTDILAAFRVSPQPGVPPEEAGAAVAAESSTGTWTTVWTDALTSLDRYKGRCYHIEPVAGEDNQWICYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPPTYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKSQVETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGEFTANTTLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHSGTVVGKLEGEREMTLGFVDLLRDDFIEKDRARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNECHSRGIDHYHT >SECCE6Rv1G0382610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:44873269:44873916:-1 gene:SECCE6Rv1G0382610 transcript:SECCE6Rv1G0382610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSNAVHIQMPVPAQQSSPHEAPPQTGVLPPADKTLSSASDLLKLLPTGTVLAFQALAPSFSNNHGVCHAANRYLVLALIGGCAASCLLLSFTDSLVGRDGRLYYGAATFGGFYPFNFTGTRAERDAVFKDLSRFRVTPMDLVHAVFSALVFLAVAFADAGIQGCLFPDAGTDTRELLVNLPVAAGFLASMVFMIFPTTRKSIGYTDMMPHSQ >SECCE1Rv1G0052780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:664674493:664677749:1 gene:SECCE1Rv1G0052780 transcript:SECCE1Rv1G0052780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMEVKLAFPQDRPPLSIISAAKIAGVSVIVDPTLASGSVPTLHFSSGDFIHGVNTILRYIARAASVSSFYGQDDIQAAQVDQWLEYAPLILSGSDFEAACSFIDGYLATRTFLVGYGLSIADIVVWSNLTGTGQRWESLRRSKKYQSLVRWFNSVAADYGDALDEVTSAYVGKRGIGKSPAPSLKEKMPGLKENTSGHEIDLPGAKVGEVCVRFAPEPSGYLHIGHAKAALLNKYFAERYKGRLIVRFDDTNPSKESNEFVENVLKDIETLGVKYDVVTYTSDYFPKLMEMAESLIKQGKAYIDDTPKEQMRSERMDGVESKRRNSTVEENLSLWKEMVNGTIRGTQCCVRGKLDMQDPNKSLRDPVYYRCNPDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNNMVEDWTDARFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIVDPICGRHTAVLKDKCVLLTLVNGPEEPFVRILPRHKKYEGAGKKATTFANRIWLEYADASVISVGEEVTLMDWGNAIIREIKTDNGTITQLVGELHLEGSVKTTKLKLTWLSDIEDLVSLSLVDFDYLINKKKLEEDEDFLDNLNPCTRREALALGDPNMRNVKKGEVIQLERKGYYRCDVPFVRSSKPIVLFAIPDGRQKSTSIGTGA >SECCE7Rv1G0481280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:282095118:282110096:-1 gene:SECCE7Rv1G0481280 transcript:SECCE7Rv1G0481280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKHQPSANATSISMAHLDFPSQMLEDIDSVNQVMSADFPSEAPIDIDTRELYFLIMHFLSHGPFKRAAGELCNELLEHQLLPRRYHAWYSRGGFHSGEENDDGVSLPLGYLKLVERYPHIGKDHLVKLLKQLMMTSCRPDSLVRDVSPNAADVPTLLGSNSFSLLASDRCRQDKETLRLPRYLRWPHIHADQVHGLSLREIGGFTKNHRAPSVRASCYAIAKPSTLVEKMQIIKKLRGHQNAAYCATFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGMPMSVLKGHTGVVTTIAFSPRPGAAFQLLSSSDDGTCRIWDARHSQQSPRIYIPKPSDVAAGKSGDASSSAVQVQPTNHQILCCSFNANGTVFVTGSSDTCARVWSACKSCSEEHDQPNHEIDILSGHENDVYYVQFSGCVVSRFFSSDSSHTSKEENNLKLRNSWFTHNIVTCSRDGSAIVWVPRSRKSHGKIGRWTRTYHLKVPPPPMAPQPLRGGPRQRYNPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHQDSTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHYKLVDGKFSPDGTSLVLTNEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLVHDTNGSAIDQETQLAPYRRNIQDLLCDSGMIPYPEPFQSMYQKHRLGTLGIEWRPPLVNFAIGPTYDATTGEYQIIPVIDPDRWEPLPEITDFIELEPENEAISAETDSEYNGMDDYSSEGEQEIWGGHASGASYSSAEIDGSNLNSTANLRRSRRKKKRSDADLVTSSDRRVKKGNLNGHGVATPSRPPRGRKSKTGRATKRKISPKSRGLRPQRCATHSTHSFFSKIETSTEEEDGSASSLSYSELNTESTEAEQSARHGLPRLGRETNQYDSEDVTRLSQFTETKGKNSANSRKLILRIPRRDLKVQFPSESTNPELPPHYAVLSLPAARYKDAEPELAFEPGNSSACKSEPPLVSSLHDVGNVHSNNAIKGGELKLRPSKHCKFGDSSSGDMWVSSNNALSHDVYGSGSQKTPHQYDNGVQQTVEQNVLKRQREIYLDSIHENHNTDDYSESNLPGKEWITDKKNTNLEEENNREHDQQFHSTRSISFKLKFSRPRGFADGASSSDISKTSAVGSDINHVKVSMQHDEASATSQHRSSDFPSVSRRFQAFESAKTYGTVCKRSEPSKHRKRLGSDAFGNGHSTSVSNGDGGHQPPDCSPVAPTGSLRRNERRSCAYTDYGRGRDAISHAQSSSLEAATSGRRIVANVREVMWGSTSKTAGIKSAMNKRESCDFPDTHLLEKKHQVSRYPWLMLLEHEDIYRYIPQLGDEVMYLRQGHEEYVYEVQSSDNCPSNRIKGLKAAELCKIKGLDYKPDRGSGESCCELTIQFIDHTSSGFGKEFVITLRELVTFPDFLVERTRFEVTTTYNWSVADRCKVWWMVEGEDGGSWWEGRVLEIRPKSPDFPESPWEKYVIQYETDGSEHPHSPWEVHDANNPLVPWKRPHIDPSTRNKLLLAVTGLQEKSLRSQDRYGVLKLDTVAGKSDFTNRFPVQFSIEVIRARLQSDYYRTLEAVKHDATVMLANAKSYFSKSGEMTKKIRKLSEWIQDKILSL >SECCE3Rv1G0190240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:736443423:736445452:1 gene:SECCE3Rv1G0190240 transcript:SECCE3Rv1G0190240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGLLERRSSVRRSGSTVKEEPAEDHAATTGPSDIEVIKEKFGKLLLGEDMSGSGKGVPSALALSNAVTNLAAAVFGEQRKLEPMAPDRKARWKKEVGWLLSVADQIVEFVAKKQVLDNGVEMEVMGTQQRRDLQSNIPALRKIDAMLLDYLDGFKDRTDFWYVKRDSCSDAEKEESTEKWWIPIVKVPPNGLPPASRGWIQHQKELVNQVLKAAMAINANCLMEMAIPESYLESLPKNGRASLGDALYRIITDVEFDPDDFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSSWGSAVSQEKREQFEERAQTLLLIIKHRYPGIPQSSLDIAKIQENRDVGFALLESYSRVLESLAFNVMSRIEDVIVADNVAREKAKKDAPAGSESAPQAADGPDSMTLLDFMGWNGDAEAKPDDQSPSAEQAQDDGRLMKLPNIMTNLKQTYMDVLGGPARN >SECCE4Rv1G0277320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:802642350:802644641:1 gene:SECCE4Rv1G0277320 transcript:SECCE4Rv1G0277320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVLSVTAVGLACTALKLYEEELRSFFTSRPAYLKTLDAAGADPVIGRDDEIDRVICILCGRTKNCAALVGAAGVGKTAIVEGLAQRIAAGAVPAALAGARVAELDIGAMVAGTQWRGMFEQRLKDAIKQVEDARGKLILFIDEMHMIVGAGDREGTGDAANILKPALARGRIRCIGATTSEEYRRYIQKDSALDRRFQKVDVEEPSVQATVAILQGLKHRYQDHHGLTIHDDALAAAAQLAGRYITGRQFPDKAIDLMDEACTTVKLHKQKDVQNKETNTINAPEKLTVGPCHIAQVVSRWTKIPITTLGREEEKLSRLADRLHERIVGQFEAVNLVAQEVLRSRVGFDQSGQPIGSFLFLGPLGVGKTELAKALADKLFDNEKALVRFDMSEYADSGSVSRLIGGPRSYEEDGQLTKKVRSQPYSVVLFDEADKAHPSIFKVLIQLLDDGMLVDGKGHNINFKNTIIIMSSTLGAENLSARMAGENIETARDLLMKQVEKRFKPEFLNKLSEIVMFEPLSHDELRKITRIQMKRVIDTAAYKGISLLVTDAALDVIWSEAHDTVYGARPIKRWMKKNVTRVLVDMLVNGEACQGSTISIDAADDNKGLKYQVQK >SECCE1Rv1G0013110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:100734629:100736866:-1 gene:SECCE1Rv1G0013110 transcript:SECCE1Rv1G0013110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAVKVWVVAMMLAVHCFDVGLGAGGDGLCLGSPDYSDALAKAILFFEGQRSGRLPANQRVRWRGNSALTDGRPENVNLTGGYYDAGDNVKFGFPMAFTVTLLSWSAIEYRGDVAAAGELANLRAAVHWGADFLLRAHTSPTTLYTQVGDGNADHGCWERPEDMDTPRTLYKVTADSPGSEAAGEAAAALAAAYLVLKEDGDKAFASRLLAASKSLFELANNYRGSFQSSCPFYCSYSGFQDELLWASAWLYRATKDGKYLDFLSQNQGSSNLVNEFSWDNKQAGAQMLATQEYLKGRRELARYKDNLDSFVCALMPDSGNTQIRTTRGGLLFTRDSVNMQYTTTAALVLSIYSKTLRSWGVSDGVRCSAASFSPDQIASFAASQVDYILGSNPMGTSYMVGFGGAFPRRIHHRAASIPSIKVLARTVPCNEGFSSWLPTSDPNPNVHVGAIVGGPDQNDQFGDNRGDSAHSEPATYINAAFVGACAAAMGQSQKLASPTLSSY >SECCE5Rv1G0322840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:425039331:425042055:-1 gene:SECCE5Rv1G0322840 transcript:SECCE5Rv1G0322840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLMRLSPPAAAPSPPYRRSHGGSTRRFNAVLPETSERMFLVARHASSSTTPARPRSDDFDIANGHGHFLIKSTSDLQKAVSSCFGKALVTSSAVMLAMPPSCLAEECDPGYSLPNMPLLFAIAMVGATVGGLLARQRKGELKKLNDQLRQINASLRRQAKIESYAPALSYAPAASKIPESEVIVDPHKQRLLTYLRTGKNYLRNQAPDKAFSEFKAALDLAQSLGDHVEEKKAARGLGASLQRQGNYKEAIKYHSMVLSISKMTGEDSGVTEAYGAIADCYTEVGELEKAGKFYDDYIARLEND >SECCE4Rv1G0264890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:723787680:723788948:-1 gene:SECCE4Rv1G0264890 transcript:SECCE4Rv1G0264890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRAQSLFLVQSSSSPSTSTSTARAGPRRSGAATCRAALRVPSGIRTAQDPDNLSLKLQWIEAPRVPASPSADVSLERLRAIANAVADRAEMHDIIGKQRDNWNHLLLHSTNSLTLAASVMAALAPAAPAMPALKASAGVLLASAAVTMAAVNRIQPSQLAEEQRNATRLWRELERDVRAALALGAPTTSADVRDAMDRVLALDAAYPLPLLPGMLEKFPKAVEPARWWPRRRPSKQPKNSKSFGRRGVTSGNGWTQDLEDEMRGILRVIKAKDEQEFLTVGKLVLGLNRSLAVAGPALAGTAALATLFIGSGEAGAWASGAAVFGGALAAAVNTVEHGGQMGMLFELLRNCAGFYRKIQEDIEANLGEPDVERREGGEVFATKVALKLGRSLSDLKQFRKLASPFVRDEEIKVFAGKLF >SECCE1Rv1G0027070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:377643875:377645904:1 gene:SECCE1Rv1G0027070 transcript:SECCE1Rv1G0027070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKDLVLQRNAPVDIREIAAKATLREVRQSGHTYVELRRVGKRVIFFCTICLTECFSDNVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSRENDPLLLDSSSHNTSELALVPHPDFAGNGTEVTSRLRDGSSSHNGAKGLFSAANGRPNGRSSAITEDSALSNRNETDGPLVIPGVLIKDVVLNLPVHLLGYGNIAYKIAEASEGRKKISKIWCAWVGEEASQGSEACNIYEQSGFAIVNFSYAYELGRKWPSEDQDLPISAGSFFVIDEAGHRGKRRKKSFSDQEASSEESNGQTHDSRSQAIVAGSPTGTSCNQVSPLSSKSMRRELRKQKRLAAEKVCDICGRSMLPGKDVATLLNCSTGNLACSSRNSSGAFHLFHTSCLLHWTILCQYEVLADQIAKMGKSKRGRKAKTAPRSRIMSILCPECQGTGIHVEADELEKPTISLSEMFRYKLKSIEAHKAWMKTPEVLENCSTGLHFPAEHLENAEDQVMPLKSLPFFAADGYMA >SECCE7Rv1G0456060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9346631:9347733:-1 gene:SECCE7Rv1G0456060 transcript:SECCE7Rv1G0456060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFSYADLAKATNGFSPDNLVGSGAYGSVYKGVLESETNGMIAVKVFKLDQLGAPKSFVAECEAFRNTRHHNLVRVISACSTWDNKGNDFKALIIEFMANGTLDSWIYSETRRPLSLGSRVTIAADIAAALDYLHNSCVPPVVHCDLKPSNVLLDDAMGARLSDFGLAKFLQNHNSSSAITSTSLAGPRGSIGYIAPEYGIGNKISTAGDVYSYGIIILEMLTGKRPTDVLFKNGLSLQKFVGNAFPEKICEILDPNIIGDEVVDQGNHEMVGMLSCITQLVQLGLSCSTETPKDRPTMPDVYAEVSAIKREYSALRVKE >SECCE4Rv1G0225230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:90244401:90252306:1 gene:SECCE4Rv1G0225230 transcript:SECCE4Rv1G0225230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFQPVLKDGSPAKKRPAGAATSDCADGPAPTAADAGGEGPPGEEPRRFVTWNANSLLLRMKSDWSAFCQFVARVDPDVICVQEVRMPAAGSKGAPKNPSELKDDTTSSREEKQTVLRALSSSPFKDYRVWWSLSDSKYAGTAVFMKKKFEPKKVSFNLDRTSSKHDADGRVIIVEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQQVDKPLIWCGDLNVSHDEIDVSHPDFFSDAKLNGYIPPNKEDCGQPGFTLAERQRFGNILSQGKLVDAYRYLHKEKDMECGFSWSGNPIGKYRGKRMRIDYFVVSDGLKDRIVLCEMHGHGIELEGFYGSDHCPVSLELSREVAEAPESKSSG >SECCE6Rv1G0378250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:7025058:7029224:1 gene:SECCE6Rv1G0378250 transcript:SECCE6Rv1G0378250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAVAAAARRRISVAPARLAHDLAQAQAQAESAGPDRRGLEPSWVPLYKKISKLSHGRPPGIVAAEMDEWLRQRLRLSQGQVLAYVRELSKFKKNEGALELLEWMEAHGAKFGLGHHALRLDLISKVRGIQAAEEYFWNLPDISKSVKAYTCLLNCYGKHGLAYKGLELYEKMKAENIVPDKLVYNHLMILYQKAGQPEKVLSTFEEMRESGISANKFTYFTLIESYIAMNDLDAAEKVLEELQKVAPVHWSLYTLMANNYIKLKLFGKAEVALKKAEEVMDKTASRSWYALLSLHAHCGNSTEVKRIWKSLKSKFKKCLNRSYRVMLQALRMIDDFESLQQIFQEWQSSHEHYDTRIANVMIKAYLDKGMIDEAEAIRQSTMAQGHYDEGMIYIFAEFYLDKSDVNAALEILRDAKNMVMTHKWVPSKELMSRFLKHYEESKDVAGVESFLECLKKLECLDPDAYDAMMQTYVVAGRTQDDMNC >SECCE1Rv1G0036910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:514653501:514658230:-1 gene:SECCE1Rv1G0036910 transcript:SECCE1Rv1G0036910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSAADAVIPACAVVGIAFALWQWFLVAKVKVSAYAPAGNGVHGRPVFRAEDEDGEDTRIGGGGGGGGESDDEEDGGDGPAAVARCAEIQNAISVGANSFLFTQYKYLAAFTVIFAVVIFLFLGSVHRFSTASQPCQYTKGKTCKPALANAVFTTIAFLLGAVTSVVSGFLGMRIATFANARTTLEARRGIGAAFATAFRSGAVMGFLLSSLGLLVLYVAIKLFGLYYHDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSFGADHNFAAVCYPLLISSAGLVVCLVTTLFATDFFKVKTVRGVAPALKLQLIISTALMTVAALVVTFAALPAKFTMFDFGEQKQVKNWHVFFCVAIGLWAGLAIGFITEYFTSNAYSPVRDVADSCRTGAATNVIFGLALGYKSVIVPVLAIALSIYVSFTLASIYGIAIAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSRRIRQRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVTVINVLSPKVFAGMLAGGMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRATPDYASCVRISTDASLREMMPPGALVLLAPLVVGTFFGVHTLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGASEHAKSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIIN >SECCE3Rv1G0200270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852111850:852113627:-1 gene:SECCE3Rv1G0200270 transcript:SECCE3Rv1G0200270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCHEYPLAMVDHVGFRKFCAMLQPMFKVVCRNTIRKDILEMHEGQRDKLIKYFANFKNRVAVTSDMWTAGHQKRGYMDVTGHYIDGSWNLKSFLLRFVYVPAPHTSEVICDVLHEDPVEYHLERKISTITLDNSTTNDTTVEDLLDKLDSSSLMICGKLLHMRCCAHILNLIVKDGLAVLGDGIERVRGSVGFWSATPQRHEKFKKTCRLMNIEYSRRLNLDCKTRWNSTYIILSIAVLYRDVFYRLSVHERICNCCPTTADWDFAKEMIVRLKLFYDVTTLWSGTSYVTANMFFPKVCGIKLAIRKWTTPDYELLQDMPDEMKKKYELMQKMSEEMKKKFQKYWTDTHGLMAIAIVLDPRYKLHMVQALYGPLYGPEAAIQEVACVKQLLRELLVQYQDASSAGTSNVASATQPTAPASEEEVFDIFEQYMSSQPIASSLLVHTELDMYLEEPTLPRTPDFDIINWWKFGGIKYPTLQLIAHDILPIPVTTVASESAFSTSGRILSPHRSRLAPKMVETIMCMQAWSNADMLGKTIQMLLFCSYSTYVLLLLHYFFFLR >SECCE5Rv1G0367270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:820126613:820128485:1 gene:SECCE5Rv1G0367270 transcript:SECCE5Rv1G0367270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAALAATRIPTSARLHSKAASRQRVDFADFSGLRPGSCSVSAAAREASFSDVLGAQLVARASGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHGRENSPLEVIVINDSGGVRNASHLLKYDSMLGTFKADVKIVDNETISVDGKNIQVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHLQAGAKKVIITAPAKGADIPTYVVGVNEGDYGHDVANIISNASCTTNCLAPFAKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVINTVKTGITADDVNAAFRKAADGPLKGILDVCDEPLVSVDFRCSDVSTSIDASLTMVMGEDMVKVVAWYDNEWGYSQRVVDLAHLVAAKWPGAGTGGSGDPLEDYCKTDPNAVECKVFDD >SECCE3Rv1G0185400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:674299562:674305106:-1 gene:SECCE3Rv1G0185400 transcript:SECCE3Rv1G0185400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQIVGRHALLFDDDAAAEVVNSGGSLVPWSAAGAADLLLDRHDVRHLLDRVPPRPNRAYSVALLSTPSPDGVSEAELDRERFLDLTADVGTGDASPSGNGTDSGQAGYNAVAFSYGGPAGSDDPNDSVSSYRPSFPVPESLLNKLPPSEKVHQIIARTALFVSEHGGQSEIVLRVKQGSNPTFGFLMPDHHLHSYFRYIVEHPQLLKDGPDADTNKGNKIVMSESENAALSSGALSLLGAVYESGDEDEGVLPASSKGKDSGNDALHDNVHKGSSFLVHDNEVKKDQTVTMEAATLVKDKPIFTKKNPTIAGNSIVGAQREKVKDAMTVLTTSTKSDNSILGVSDTKEMILEPPSFLKGTMEKIVEFILRNGKEFEQKLIEQDRMTGRFPFLLPSNPYHSYYLKMLQETQESKSRGGSSEHKDRRSSSERQDRRNSSERRDRRSSERKDRRSMERKDRSSSDLRDSGHDKEVTKSKGRGSANKDSSTSDRSSAEPSQKQLSDKQGEGKFQLVTGGVKKELPRTVTADEAAAIVMAATRGLGPANPQPNTLKDTSDIRHIQGTGAVSKPASNSGPGTSVTSSDQLKKEGIGIIDDDWITNTIAKAVAVAASKEADSSEASMTNEQKLKAERLRRAKMFTSIIKGGGNKSDLVASEITNESARSSPANSNLPVPPEPLATEREGSSARFEREGSSVRFEREGSNMIKQEKDSDDEQNRARKYRKHHPESDEDKDDLEEESYKHPRKRHRSERSRGHSKDAHKRKHKQHSKEREYRYDYSSSEDELRSSRSRHRHRDDHHYAEDDEHRRHRRSHRSGSKRKHKDDGDLNEQTLARAEASQCTPERRHGSEQPPSGTAQSSQAATQAPDELKAKIRAMLLETL >SECCE1Rv1G0014160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:110893442:110894797:1 gene:SECCE1Rv1G0014160 transcript:SECCE1Rv1G0014160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKGAAARRRKAATAKIANANAAAARTVEAPQKAVVPIKCPRLPSDVLAEIHQRLRFLDRIHFASIFAPRDDERFKPYAPWLLLPGKSPEKFELFSIADRRTATFQPAADPALRGHLVLGSSRGWLATADDRGQIYLVNPLSGMQLRLPHMSTMGLFLPRTQYHHFSLVIPDFLKARYGGGPPYDHEWGPVGYNTNTLIYYQMREHFYRKVVISMSPRPGSYAAMLLMEHTIGAPAFATAKHPVWRLAPSREGVEDAIHHDGQFYSVSYSGLVETWERNAVSGAYTSRAVAPRLALGKNEEGSSSSCHKYIAAAPGGRLMVVLKYLEMIKEKYGQGRRPCSFKVHVLSDQGQWKEATDIGDVALFVGVNNSLCLPTTERPQIKASCVYYTDDEPSNRSTYDGHGGRSESDLQAVGVYSLNDGTMKKIWDLGEQVRNFYPPPVWILPAAK >SECCE3Rv1G0171900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:279156840:279157172:1 gene:SECCE3Rv1G0171900 transcript:SECCE3Rv1G0171900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGPSSDDYATLLANRSLCLLRLENGSMALKDATLCRMMRPNWPKACYRQGAAFMCLKDYEKACEAFADGLKLDPKAVDIENALR >SECCE2Rv1G0083620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:168913503:168915167:1 gene:SECCE2Rv1G0083620 transcript:SECCE2Rv1G0083620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGRFGGEKGSSSDKAGGGAGEPDEVVELSLGLSLGGCFGANSGRDAKKPRLVRSSSLAAMCSLPGTSDDLAAATPPPAPLMRTSSLPTETEEERWRRREMQSLKRLQAKRKRLERRTSMNSGKSGGSSSRDDAQEPLYPSAFQLRRSVVDQGNASSSMPEQGSGDGAEARSTSSMEISSDNNNNASNQNKSLPPPAPAPSGKLPNGIVKEQPPLRTLRSLTMRTTSTGDLRKSMMEDMPMVSSKVDGPNGKKIDGFLYKYRKGEEVRIVCVCHGDFLTPAEFVKHAGGGDVTNPLRHIVVNPSPSVFL >SECCE4Rv1G0220630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41341815:41345377:1 gene:SECCE4Rv1G0220630 transcript:SECCE4Rv1G0220630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRSASSSRLSSLSSPASPPRPVASSASSPPLSFPGADLLLRLHLDPSDADADVKPGLAAFLDVHVSSASLLRSRYFAALLSDRWCPDPSSSPAGRLSLAVPAPASCPRPFHAHVEVVRLLHTLDFAGTIRSPADALDILPVALQLLFDVCVEACIRFLEAVPWSEEEEARVLDLAPLLPGDEAADLLARVSLPPAAAAAGEAATRSPSEAMLHGLIHSAIHGHPVPAATKAFVAMLLKDYPSRDCVHKVLDEAFLSRLDTVKELLGKYASPDFRIAVDSDEREAIQKLNLHSAVLNVKHLLWLIERMVDMRMADNAVKLWSEQVALAADLQKLLNDADMWRNMAPGLPMLVTRCTLRLAHSVMIGETLVPRQVRMKLVKSWLPVLNVCRDIAQPMHSGYKSSNCQELEETFLKIISTLSVPDAQELLQQCLGFSTRNVDDCPHLVTAFKTWFRRASRDPQGPQGGED >SECCE5Rv1G0331890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:541889225:541890238:-1 gene:SECCE5Rv1G0331890 transcript:SECCE5Rv1G0331890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGKADMSKEGMYQERKDAPAIRFATPPPMHHRHAGHGDQQQLECFSDEVDSRGTAEMKEPGGSAAGSQLVSAGGVGDGSSIEVAKKRRGRPPGSKNKPKPPVVITREAEPAAAMRPHVIEIPGGRDVAEALARFASRRNLGICVLAGTGAVGNVSLRHPCPTAPGAAPAVVVFQGRFEILSISATFLPPAMAAVAPQAAAVAAGLSISLAGPHGQIVGGAVVGPLYAASTIVVVAAAFTNPTFHRLPADDDAPMPVSVSLSGSADADEYRARQHQPEPQEQRHQRRQAPQVTPSAAQPVEPCGVPVYACHPQPQEVMWPLAARAPHQPPPPPPPY >SECCE5Rv1G0328320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:501588771:501589727:1 gene:SECCE5Rv1G0328320 transcript:SECCE5Rv1G0328320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQHRKLPTPTPSISTATLLLLPLALLGAVLMVVYPNEFALQSSLAGAGCPDDASGINVAARHVVVQAAPDFRLLMGVLTLPSRYERRHLLRTVYALQQANLTARVDVRFFFCRIESAEQRLLVALEAMRYGDVVELDCPENMDNGKTHSYFSSVPALFGDGAYDFVMKTDDDTFFRLPQLAESLGRAPREDLYYGCMVPCDYVRGWNEYMSGMGYVISWDLVEWIVAAADRIRNHTVGPEDRTLYSWFSGAGKAKNRVDVKPAMYDFPQRGAPCAHELVPDTIAVHRLKNNFRWSTTLKYFNFTAGLEPSKFYRVV >SECCE5Rv1G0323900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:440946416:440948354:-1 gene:SECCE5Rv1G0323900 transcript:SECCE5Rv1G0323900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVAIIGAGVSGLTACKHALEHGFRPVLFEAEADAIGGVWAHTLASTRLQTPRPYYQFTDFPWPPEVPDLYPDHDQVTEYLRSYARHFGVLECIRFGSRVAALEYSGAANEEEMMAWEQWAGNGEAFGSGRGEWRLTVQRGDDVEIHVAEFVVLCVGRFSGMPNIPTFPPGKGPEAFDGTVIHSMDYANMDNAKATELIKGKLVTVIGYQKSALDIATECANVNGPAYPCTMICRTKQWIIPGYNAWGIPIGFFYLNRFSQLLIHKPGEGLLLGLLTTLLSPLRLLFSKFVESYYRWAVPMKKHGMVPDHSFFDAMSSCSVVSLPDKFYDNVDQGSIVLKKAKEVNFCRQGLVVEGESSPVIIKSDVVIFATGYRGDEKLREMFASPMFRDIVAGSPSSIVPHFRQCVHPRIPQLAIIGYCENVSNLHAFELQSKWLAHFFRGTFRLPSIRCMEENVDEWDKYMKRYASGHFRRSCVAPVHILCNDQLCRDMGIDHKRKNGFLADWVLPYGPADYGGI >SECCE3Rv1G0148610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19333734:19334708:-1 gene:SECCE3Rv1G0148610 transcript:SECCE3Rv1G0148610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARVVALVLLAVGSGLLSVAAETTTAPSPQPFVWQKAHATFYGGADASHTMGGACGYGNLFSEGYGTRTAALSTMLFNDGAACGQCYKIACDRKRADPLFCKPGVTVTVTATNFCPPNDALPNDNGGWCNTPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCVKRGGVRFKINGHDYFNLVLVSNVAAAGSIMSMDVKTNDSEDWMPMARNWGANWHSLAKLTGKMLSFRLTNTDGHTLVFNDIVPKGWTFGQSFVSKLQF >SECCE5Rv1G0343930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:642708709:642709653:-1 gene:SECCE5Rv1G0343930 transcript:SECCE5Rv1G0343930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRRRRRKNSRPVPEREVDIDAVPCELLELVFLRLPTPAHLIRAASTCRRWRNVIAGDGSGFLRRFGSLHGAASDHVVGHYRVDERYGYPRPPGLNPVFVPSSSSSSPWADAVANRNLALDFLPRAEFGDCGWELADIRGGLLLLFHSELAPGLLVCDPLRRCYREIPRSAWFHGCHMLGAFLLEGEGEEHAGISLSNFRVTCALFRFGDRNARACAFSSTGGGWTSRAGRSSTPVCHDRELAPIYFAGSSKRAAYWTVGDYVVLALHKENVEIFSSIVLGDAQYALLRDKRQATEYAYQLPWPPTIEACVT >SECCE4Rv1G0239590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:413441410:413445706:1 gene:SECCE4Rv1G0239590 transcript:SECCE4Rv1G0239590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSAVPTPDRPPPEPKPEQAPPEEGNKGDQRAPAPAPAEKPAIKESRSRSSRSVAGARLGGSFANRARGEQVAAGWPAWLSAIAGEAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDTVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPSVIKIDGLVTSRMSCSLYLVFEYMEHDLAGLVASPDIKFTEPQVKCYMNQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGMLKIADFGLASFFDPSRKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRITDTFKDFPQSALRLIETLLAIDPADRLTASSALRSDFFTTEPYACEPSSLPKYPPSKEMDAKRREEEARRSRAAAGKPNGDGASKARTRDRPRGAPAPEANAELQVNIDKRRIVSHANAKSKSEKFPPPHQDGAVGFPLGSSNQMDPLYEPPDPTSFSTVFAHEKSLVPTWSGPLVNSSTVGNQKRKHKSSRSSKQPSTARAR >SECCEUnv1G0536120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:62697172:62700835:-1 gene:SECCEUnv1G0536120 transcript:SECCEUnv1G0536120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTAFCGALLLLVALLPLSASASSKLYIVYMGEKKHDDPSMVTASHHDMLTSVFGSKDEALRSIVYSYKHGFSGFAAMLTKSQAEAIAKFPEVATVEPNIYHETHTTRSWDFLGLDHNQPAQQPGLLKKAKYGEDVIVGVIDTGIWPESRSFDDNGYGPVPARWKGKCETGEEFNTTSCNKKIIGARWYGRGISAELLKDDYKSARDNNGHGTHVASTIAGGEVQGVSYGGLGMGMARGGAPRARLSIYKACWVGGSCSGAAVLAAIDDAIHDGVDVLSLSIGGAGQEFPGTLHAVQRGISVVFSGGNNGPVPQTVGNALPWVTTVAASTIDRSFPTLISLGNKEKLVGQSLNYNAAMNNSGFQDLVHVGSCDTELLSLSNVTGKTVLCYAPARAAIMPPRAELHFLINRTIEAGAKGLIFAQYTVNLLEILTSCEGFMPCALVDFEIAQRIASYSKTTKSPVVKISPAVSVVGNGVLSPYVASFSSRGPSLAFPGILKPDIAAPGVGILAAEGDSYVFKSGTSMACPHVSAVTALLKSVHPNWSPAMIKSAIVTTASVTDRFGMXXXXIQANGVPRKLADPFDFGGGHMDPDKAADPGLVYDLDAREYNKFLNCTLGLSNGCKSYNLNLNLPSITVPDLKDHVILRRTVTNVGPVEATYHLVVEAPAGIDVSVEPSVISFSQGSSRSATFMVTFTTRQRVQGGYTFGSLTWSDGSTHSVRIPVAVRTVIQDFVADTS >SECCE6Rv1G0425160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:705083418:705085373:-1 gene:SECCE6Rv1G0425160 transcript:SECCE6Rv1G0425160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGNKDDRLSALPDDILVNILDRLNVPEAARTSILSRRWSQLCAKLSRLVISALDFLPEDVSRTNISVDEVIKINAAAAEATESVLTRRNPGEHTIHLLSTTFYLRGDVPIFIGNAVGHAMSTHLVENVEFSIRTGKNHVAEIEDDDELVTWAREFMLFFDACPNAFGGLTSLELGNMRFGESGISSVLTTCKRLKHLYLYNCDSGDGSTLQVEHANLSVLCILNCRLAQVTLNWLPQLTQLAFDGWIDFQDPLVLGHVPLLEAVCLTNVGLSVNKMVKLSTFLSSTSLRDLKLGFCSEKIWVQPECPTESLASVFRQLRFVNLVELPEGYDLTWTMFILEAAPFLKELYMTVWDHVCHMELDEEKRKEGLYSENKGVEWGSASADFQHHSLVTLVIFGFESEDYFVSYVKRVMAAAINLEDVFLYSRLELECGNCQDLKPTRFPWTKRQKISLKKRITAGIESFAIIHSNKTIRADHELKMRHPRCSPLDRNIR >SECCE5Rv1G0333260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:555516588:555519637:1 gene:SECCE5Rv1G0333260 transcript:SECCE5Rv1G0333260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPRKGSIKSYGSSRSASFDFDQDQDRQRREVVVKINDDVAIAGVGASSFSLKAPAGPDYTAVPVTGSGGSSAPASPTGAGSRFAESFSFKNRPPQSPPSPARAEGECSDDPPSRLIDSFLRKQAAAGGELALDPELEMEEMRRLLNVPSPSRVAFQQDPRKRFCPSSTTAGSSSSSSDGGGANTRKKAAKAGAGADPAEVLRCSSSSTGAGMLPRSKTRSRLMDPPPPSSGSTTEGEQRDRKSFVMQGGVPPKSGQLRSGLLNRSGFLGKAGGGHDVEEDDDPFVDEDLAADSKADTVDCLIILEWVGLVIILVLLVCSVTIPTLARKKFSGLHLWKWEVLVCVLICGRLMSGWLIRMAVFFVERNFLLRKKVLYFVYGVRRAVRNVLWLGVALVAWHLLFDKDAQGEQDTHALVLPYVTKVLCCLLVATAIRLVKTLLLKVLASSFHVSTFFDRIQDALFNQYVIETLSGPPLVDESRMLAEVQRLQSAGANIPSDLQAAAMPSKAAAAPAPPKSARLTAAASRRGVSKQLQRQKSERHLEESSISIDQLHRLSQKNISAWSMKRMMKIVRYGALTTMDEQIKHATGPDQEDELATQIHSEYEAKVAAKRIFQNVAKPGSKHIYLSDLMRFMRQEEALKAMDLFEGAQEQNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLHHMANVVVALIVFALWLLILGFATTKTFVFLSSQLLVAAFIFGNTLKTIFEAIIFLFVMHPFDVGDRCEVDGMQVVVEEMNIMTTIFLRYDNLKVYYPNSKLATLPIMNYYRSPDMGDAVDFSVNVATPPEKLALMKERLMHYLDNKKEHWYPGSMVVLRDIDDTNRLKISIWCRHTINFQDMGMRFDRRELILQEMMKILRDLDIEYRMLPLDINVRNAPPINSTRMPTTWALNF >SECCE2Rv1G0113160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:720027671:720028318:1 gene:SECCE2Rv1G0113160 transcript:SECCE2Rv1G0113160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLLCHDRSDEQQSLESRGKQPTVGLLLGVLALGLVGLEASRRPEFSVLMDGFSDLEDHVARTFNLTMLINNFGGKSEVCVGGEAVVLFGGVPLAGCGVQDLCVPPKCSTKLPLVAASGGAGLPTELAERMADEKHGGGGVQVEVRVVSPKHRSFVSCMAALARGAAAPPSPCQGVFLSDESDGVRRTDDPPGFLF >SECCE1Rv1G0011700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:80749494:80749804:-1 gene:SECCE1Rv1G0011700 transcript:SECCE1Rv1G0011700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDEDSLGDQNPRSWTVSNTSTINKAEEASSMLSAQDHHPQRAVVNFLKDEDTTKTIHEQWQTKAKVAL >SECCE5Rv1G0371140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845695982:845698285:1 gene:SECCE5Rv1G0371140 transcript:SECCE5Rv1G0371140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRGRGPLLLLLLLGLVATALATAAAAAADDAPEGDADPLYRACFEECQRTGTLKEDSIKHCVVPTDDQPADKAWYAHEPLYLQWKEWNCNSECRYHCMMEREQEREELGLGPVKYHGKWPLKRASVFQEPLSAALSALTLLVQFNGWLSFFLLLSYKLPLRPETHATYYEYTGLWHINALLALNSWFWCAIYHSCDTAWTEKLYLSSAAAFFGYSLILAILRTSNLRDEASRVMVAAPILAFVTTHILYLNFYELDKGLNMKVCTVISVAQLLLWTVWAAITRHPSRLKVVFVAIGGVLSLYLEAHDVPPRWGYVDGHAICLAMAIPLSYLWWSFAKEDAEMRTAAIMKKKR >SECCE3Rv1G0181210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:607400210:607401942:1 gene:SECCE3Rv1G0181210 transcript:SECCE3Rv1G0181210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFRPLRRIQVEPERADPPPPPPAVATGEAAEMVPAPAAGLLMGAKVRRRAAVYRDCKGDYIGVPNDPCLAKILSKQGDNKVLFADKVLKFTQSGKMKRRILVITDFALYLVDPDADILKRRIALAAVDKLCISKLSDNFFAIIVPTEYDCLMASTRKKEIVDVIVKAIKSTSEYEPEVASSNRFEYHAAAEVIKEVEFEEADGCVKTRITHKEKP >SECCE3Rv1G0171800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:278267617:278269304:1 gene:SECCE3Rv1G0171800 transcript:SECCE3Rv1G0171800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMVCLVALCLVSPLLLAGAVVGNPGYGGLFPQFYDHSCPKAKEIVHSIVAQAVARETRMAASLVRLHFHDCFVKGCDASVLLDNSTNIISEKGSNPNKNSLRGFEVVDEIKAALEAACPGTVSCADILALAARDSTILVGGPFWDVPLGRRDSLGASIQGSNQGIPAPNNTLPTIITKFKRLGLNVVDVVALSGGHTIGLSRCTSFRQRLYNQSGNGLADGTLDVSLAAQLRQGCPRSGGDNNLFPLDAVSSTKFDNYYFKNILAGRGLLSSDEVLLTKSAETAALVKAYANDVHLFFQHFAQSMVNMGNITPLTGSQGEIRKNCRRLNNFH >SECCE1Rv1G0050240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:645697798:645701870:1 gene:SECCE1Rv1G0050240 transcript:SECCE1Rv1G0050240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAPSSPVVNVYPLANYTFGTKEAKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGESEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAEWWRPNFETVMYPYCPPHITKAKECKKLFIVHLTEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVSS >SECCEUnv1G0530560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13694522:13695274:1 gene:SECCEUnv1G0530560 transcript:SECCEUnv1G0530560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVLGVIVLVATAALCSAQMTTPTVQPTAMTMPTCAPVPLSLSPCIGYLFGVGSAALPSCCSQLQAFFQSQGPCLCAMSKLAPSPFGLVLGQVQGMIPNVCNLPTDPCDDVFGASNSTPPTAKAVAPEAAPPAATPAEPEPAATTSSTPESAGATEAPSVAGDDSPAVATATGQVAPQGAGSSTDSQGISKLPELLHAAGAPSSAAVTVLISVFLAYVSAMFV >SECCE4Rv1G0229690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:152163574:152170497:1 gene:SECCE4Rv1G0229690 transcript:SECCE4Rv1G0229690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCAVQRWSRRVATMLPWLVLPLILLWALSQLLPTAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALELQKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLGPAGKVPAGWPCSQDWANAVGDPGYWLDLRCSADNSYSGFSWRLFSTFYVSVAWFWKKVFRFGSSGDGAGLGRDGKMLTKGGDNAGKAEESRVDKAKRKAEEKRLARLEREMLEEEEKKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDARKEAERKRQERRRKEDKGSSKSNSDCEDIERRVSREGEWKRDFDRRNEPDRRDSGRVGADGYKPHNFEANVQGSKVVQSKTKYFGRMTGGLLSSSRGFSGGSIFGRSAQAPAPQANKVTKPLVTATDQSNTVKRDAQSAAAQAMAKSATTGETRNMWTNSHQPVSPNMQAHPTGLKKSWHQLFSRSTSVSPCPDVTAAAREKKGQPELNGAQISSAQNFLAHYPPLDSNPRLSQSMHFTGFSLVNGVPPNTPPSHFPAGHTPFCAEAETTVLEELERFEDPCYDPDAIALLGPVSESLDNFPPDWDNRFTLSGVAKEPHVKPSPIESPLSRSRTVEEKPIKPSHFSISKGPDGSMTPEATDEQGTWQMWSTPLVQDTLGLRGPQMQWLLPNKDQFNHGVSNLNGGTRSPLGAGLHDSDLWLQKSPFQQLPLDTESLFLSHNFPETPVHSELGFGSPNKVARAHPFGPPGPGHPWPKEELVLNGHQGASQIHSPTGAHAGLFPTNPDMQSVWSFDQKRDSMEPTK >SECCE3Rv1G0144610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:4954120:4955205:-1 gene:SECCE3Rv1G0144610 transcript:SECCE3Rv1G0144610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAAITSPAAAATAATHPXXXXXXXXXXXXXXWALAPADPALATAASFLAVSLSADALTAPRFRALLGSFLTTLSRSLALPAPPAKLLPAVRAAAPYIPATLVPVVASSAVRLAEYDVLLALAETRALPHPPQGLLAALDAAARPDLLCAVLRQAADLRSADLLAALRCFLSPASDRAYDAMVAVKARWKEAALAVANMAVDEPTTGVRTTRRAAMLLMMGHDGFASPEVCLHYLFSSRNVEDSLVLAAAVSELDGAEVASLLRYLAKWVGKYSRFPQAQPCPEAAGMLKLEQCDCAPSLVAVARAMGLVLDQHFSHIVLNAELRQDLLAAGVTVKELAVEAESSGPILDLLRRMPQAV >SECCE4Rv1G0226960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:116093710:116095716:-1 gene:SECCE4Rv1G0226960 transcript:SECCE4Rv1G0226960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKAKRLKSSPNDAAAADGVAASAIASSYNDHIRPLLDAVDRLRHLNVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRAPLVMRLKGDPTGGDAPVLQLEYDAGRVVSTSEAEVADAIDAATAEIAGSGKGISDRPITLVVRKRGLPDLTLVDLPGITRVPVKGQPDDIYEQVAGIIKEYIAPKETIILNVLSATVDFPTCESIRMSQQVDRHGDRTLAVVTKSDKAPEGLLDKVTMDDVNIGLGYVCVRNRVGDETHDQARAEEERLFDHHPLLSRIDRSMVGIPVLAQRLMQIQATIIAKCLPDIVKQITDRLSRSTAELEQMPPDLNNVADAVRAFFHIVKRTCSSLEKMLVRGEFDEYPDDRHFHGTARIAEMLNAYAKKLPAECPQQGSDLFLMEEVCILEETTAIKLPDFLPRSAFLVLLKKKVHTVVQVPHDLVREVWDYVEDLTLKVLLQHSNDFPQVQPSCRRAVQSMMVKARAQSARHVTELIDMELVADYTYNPDYSKTWSELMEGYGKFMEAVKDRTKPTAVTLKGFGKVEVAHLRNHDDLAGQAFDMRARLASYWRSIVIRLVDGLALHVLRAVKRLVENDLEVALSDELLGNKMAGVERMLAPSPGTGSKRQRLKKSIVLLQQSKEAVADIMDRISAAGEV >SECCE4Rv1G0265390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725633959:725636631:-1 gene:SECCE4Rv1G0265390 transcript:SECCE4Rv1G0265390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEGADGTGRRGLPSLLADGGTGQEEHIASDVTQLIGWTPLIELKRIAGKEGVGARIVGKIEAYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLVEPTSGNLGLGLVLIALSKGYRFVAVMPGQYSLDKQILLRYMGAELFITDPALGFPGLVDKVEQLKKELPNVHVLDQFSNPANPDAHIRWTGPEIWKDTAGKVDIFVAGSGSGGTVSGVGKYLKMQNPNVKIICVEPAESPVISGGEPGKHKIQGIGPGFLPEILDTLVIDETVTVTTADAMTNARRLAMEEGLLVGISSGANLAACLKVAAREENKGKMIVTIFPSGGERYMNSDLFAAVRDECIAMTF >SECCE2Rv1G0124600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833191592:833192722:1 gene:SECCE2Rv1G0124600 transcript:SECCE2Rv1G0124600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPYVPVHWDQPNKRYEREGSPPVETWSRSSAESATATHNFEVTDFSVLDGVGIGRFVTSSAFTVGGYEWELRLFPDGWMKEHKSAYMSVALDLRKGEVPGTGVKTTYTLSLLDEHGIPSKHTANHRSVQKTFEKCRGIPWGFSNFVEKSNLRQLMANNGGSITVRCVLTVMKQRHTEDVHKVLVPLPQSNLHRHFLDMLKGGEGADVTFTVDGQSFLAHRCVLAARSPVFKAGLFGEMNETLASESVKIDDMEPSIFEALLHFIYTDSLPDDRHVDNRHTEMEHLLVAADRYGIERLMAMCEGQLCRNIKVQTVATTLALAEQHHCVHLKKACLEFLSSWDVRQAVKETDGFRHLVASCPSVILEIFDKPPPQS >SECCE6Rv1G0385610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:82377262:82379310:-1 gene:SECCE6Rv1G0385610 transcript:SECCE6Rv1G0385610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLRQELENLDKDADSRRNAMKTLKSYAKQLDSKSIPHFLAEVSDNKAPGLPSGEFTISLYEVLARVHGRNIVPQIGNIMSTITRTLSSSGGSFPLHQACSKVVPAIARYGIDPSAPEEEKARIIASLCKPLCGALMSDEDGAASGAALCLKALVESSNWRFASGEAVNEVCLKVAGAMHDRSTRSNAHMGLAMALVKHNGLIAEAYARSLVRSALQVLDGDAAESSSQKRLSAIQMINFFMKFVDPRSISSELGKVVDVMEQCQNDRMPFVRGAAFETSQTAKNIAAQKGSRHEVTTSPMVGSNFQKRREKSPCRSLWSAKGSSPASSTMSASPVQFQSPESQVVDSTIMYGSSMLTESPISIGQSSCNFDQSRRANRRLWSNDGVDVSLKDGLFIRFCSNGKCLEDDLGEVCDSEVTDADFECTGTFTGFVSASPNNTLSSDETPSPQPQASERPISIDDVKLYTTPRKLLRSLQSSYDFDSDRHKEKSIMKLNCSSSSSSSSSSSLPPSDEEHKELTESSEDMQSEHYESKTEEGNKEAETADRPSANSTTEILCKEDKSGLFSAEVENTSREESSEVDFKELDVCAKRSRGKTSKYKTIFSCLLSMIMIVVAIIAVLVRIDSGEDYVGLVPT >SECCEUnv1G0527130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93375:95358:1 gene:SECCEUnv1G0527130 transcript:SECCEUnv1G0527130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGALGLSTPLQVMIRSVDYRRNRHCWVGIIGFVVCLCLVLFMYMFQGPPALGLPVPVPDKLSSAGPGRGPLDVVIVYAFDCANRTSAWYTVDKGIFWMVQQKLTHFAGSCMGYIYVISTPNTYTLDMKVVDPTETKEAGYTEFAWHRMTCTKNMASGLAEAHKMISSCGHHNSIILFFSDGLVNKGDFFDGTFNFVSKVPVHTFTLAGDAYNHVLHSIAANSPGGKFHATPVPERPNLSTPFSKLLDSLLGGTPKDEEKPPSTISGREPLDVVIVSAFDSTNSTPAWYTVNKDIFWLVQEKLTHFPNSCMGYIYVMSTPNTYTSDMKVVDPADTKETGYRKFDWRRLTCTENMASGLGEAHKMISSRGYHNGIILFFSDGLIHEGDFFDGTENFISKVPVHTFTLGGDAYNHGLQAIAANSPGGTFNPFPVPRKPHMSAPFSKLLDSLLSSTMKD >SECCE5Rv1G0350910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:694460382:694461530:-1 gene:SECCE5Rv1G0350910 transcript:SECCE5Rv1G0350910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSRRDAFKFRSMCPGWHAALPFTKYFAPMLMMLPFCPNSPDIAVTFFTAADGGETTFTRNLPLLRGKKLCSSSRGWLALVDEAGCVTLLNPLTGATVELPPADDRVLAASYRHYTFPDGNWVILPAVRGQHEWVRLEEMKKREIFREIVLSSSSLASGDCVAMAALAESSTVAFCRVGVDVAWTLLDTNVPKSCVTSVVHFGGSRFLAIFNGHSGRFVSPEVAVVGAISICDVAGAAPTATWIRSLHAAPKKKSAWACKYMQVNGELYLVASKLPGCTNLCRVYKSNIFSRRPKWIRVKNAPGVTLFVSTNFTMGDSEGAASISGFKENSIYCMDYNVYRNQLELKIIGIANGTCEFQPFHGNIQDSAGTLCWIQPNHWN >SECCE2Rv1G0107600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:650826484:650827933:-1 gene:SECCE2Rv1G0107600 transcript:SECCE2Rv1G0107600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPMVVPRMKLGSQGLEISAQGLGCMGMSAAYGERKPEQDMIALLRHAVAAGVTFLDTSDIYGPHTNELLLGKALQGGVREKVQLATKFGITAAWEVHGDPAYVRAACEGSLARLGVDCIDLYYQHRIDKNVPVEITMGELKKLVQEGKVKYVGLSEASASTIRRAHAVHPITAVQLEWSLWSRDAEQDIIPTCRELGIGIVAYSPLGRGFLSTGPKLMDTLREDDFRKNLPRFQPGNMEKNAAIFECVSEMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKVENFNQNVRALSVQLTAEEMAELESYAAMDAVQGDRYNDTFLNTWKDSETPPLSSWKAT >SECCE4Rv1G0228920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:140750574:140754563:1 gene:SECCE4Rv1G0228920 transcript:SECCE4Rv1G0228920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYHGGAGTDIHQAGSDGLQTLYLMNPSYGTGGYGDAAPSGANMMLLNSAVSSMTPASFGGHQASPPPGQHFVGIPLQAPPSGYNLWTPATTGIADVMSPPTQQAHGVSAVLSLSSRETPPVTVASIAGDEGRYHLGATAAASQGQVVMNSKYLRAAQELLDEVVSVSKDVDDVDAKAKSAASVKKKEDSEGLSGGGGGEEGASGAKGGAPAPEMSTAERQELQMKKGKLVNMLDEVEQRYRQYHQQMASVSSSFEAVAGAGSARTYTALALRTISRQFRCLRDAIASQVRAASRALGEDCDAEGLGGGLGGGRGVGSRLRYIDHQLRQQRALQQLGMMQSSAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKEHQQQQQDGGDDKGRPSGSGPAGGKSSSNADGVDDGTPRSMARAALGAGTSEGGGAVQASLLELAGDHQAHAGFYDEEGEDGGLRRGFKKARAADLEQQPPAAFDFGALHHAQAAAAAAAAAARQQHDEVSHRELLMKFMESGGTAGARDHHHHHQQDDDGAGGGYSLFAPGPYGQFGADQSFAFAGAGQHGGVSLTLGLPHGAGDQTAFLMGGGSSNGADSGGSGAAGYDMNMQTTKSFAAQLMRDFVA >SECCE4Rv1G0284400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:839498861:839501805:1 gene:SECCE4Rv1G0284400 transcript:SECCE4Rv1G0284400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MSADPPNQPKQPEQKGGGDGFAYLSGLGNSFASEAVPGSLPANGHNSPLVCPLGLYAEQLSGTSFTTPRHRNLRTWMYRIKPSVTHEPFHPRDPPNPRLLADFHHAVATPTQLRWRPADLPPPRDADLDFVDGLYTVCGAGSSFLRHGFAIHMYAANKSMDGCAFCNADGDFLIVPQQGKLLITTECGKMLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGAHFQLPDLGPIGANGLASARDFLSPTAWFEQAHRPGYVIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSKFCPFNTVLFDHADPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGVYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISKLGGPDANTPTRLSGTLAFMFESALIPRVCRWALESPSRDLDYYQCWIGLKSHFSHGNDDGDDGPATTGGGDDKDGEK >SECCE4Rv1G0217620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:17914175:17917115:-1 gene:SECCE4Rv1G0217620 transcript:SECCE4Rv1G0217620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQPRGPAAEPLLSPPPYLGLHDADADAADSYVLLVPVRLRRRLRRGCRCGRVAAALAALALLALAFLLWPSDPGVSVARLRLAHVSVVARPAVALNVSATLKARVRNPNLFALDYSRLDVAIGYRGRPLGTVVSGGGRVRARAVSYVDADLHLDGIRVVEDAIYLLEDLARGSIPFDAVVEVEGHLHLFFLSVPVKGRIACVVHVNPHDQTIVHQDCYPK >SECCE7Rv1G0459990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:28250961:28253921:1 gene:SECCE7Rv1G0459990 transcript:SECCE7Rv1G0459990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWHSIMPGASTALLLVSIVCISFPAVAAAAGASSERSMSLPGCPDKCGDVLIPYPFGIGEHCAATRRNSYFNLSCNGTIDPPRPMVGDPGAVAEVADISLEHGEMRVLSPISYICFKSNTTFTKFTRGYQLDNTPFLPSPSRNHFTVIGCNTLGLIGGYKGAASQYVAGCYSYCDGVNNTSDGAPCAGMGCCEAAIPANLTTFEVKFEMNQSKVWDFNPCFYAMVAEVGWYNFRQKDLTGSLGLINDRAQGGAPIIADWAIRNGSCPEEGKDAHNDYACISANSYCLAANNGPGYLCQCSKGYEGNPYLLNGCQDTDECELRKQDTKYEDLYPCRKGVCHNTPGGYLCKCKTGRRSDGTKFGCQSLHSPAEKLVIGLIVCVSATMLMALTCMLLMQFQRKRHKKEKDEYFKQNGGLKLYDEMRSRQVDTIRILTEKEIKRATDNYNEDRVIGRGGHGMVYRGTLDDQKEVAIKKSRAINNDWREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFVSHGTLSEFLHGADTRSPIPFDLRLKIATQSAEALAYLHSSTSRTILHGDVKSANILLDDQLNAKVADFGASALKSMDESEFIMFVHGTLGYLDPESFISRRLTDKSDVYSFGVVLLELMTRKRAIYSDNFNGKESLSYSFPLMFHQKTHHSMLDTEITDDAGLVVLENMAELAVHCLSQRGDDRPTMKEVAERLEMMRKLQLHRNNDHDNNRDVHNYGVSSSVFVPFDEKTHGTIDMSELVEDLAR >SECCE4Rv1G0249390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:582507268:582508716:1 gene:SECCE4Rv1G0249390 transcript:SECCE4Rv1G0249390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPPHPNKHVLKPLYQLLVNNFLAVVVVPVTAVVVLGKASRLGPDELLTRLHGLRQVHVFLSVFLPFALVTVCIMRRPRSVYLVDYACCRPKPNCRVSMGSFIENSRMMPYLDDGSFRFLTRMLERSGLGDQTYLHPSLHSIPPRCSLGDSRDEAEQVIFAAIDDLLAKTGISPGAIDIIVTNCTAFNPTPSFTDIIINKYKLRSDIRDAHISGMGCSAGVISMEVARNLLQAAPRGAHALVVSTETTSLINYTGKNRAMLLPAVLFRMGAAAVLLSTSKSTSRFRLTHVVRTLTAAQDRAYRCAYQEEDEEGQTGINLSKDLVAIAGETLKANIVAIGSLVLPPSEKLLFALSFVARKVLNRKIKLYVPDFRTAFQHFCIHSGGRAVIDAVQTSLCLSDEDVEPSRMTLHRFGNTSSSSLWYELAYIEAKRRTRKGDRVWMVGFGSGFKCNSAVWECIRAPSNRPIGAPWADSIYHYPV >SECCEUnv1G0537290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68237863:68238192:1 gene:SECCEUnv1G0537290 transcript:SECCEUnv1G0537290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVWVFRKDGVMELERQESSSATSRSSKALVYLPANETMRSLEALERRLGSLGWERYYENRDIVQLHRRDGGVDLIALPRDFARLRSTHMYDVVVKNRHHFKVVDL >SECCE3Rv1G0146870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12867298:12868563:-1 gene:SECCE3Rv1G0146870 transcript:SECCE3Rv1G0146870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVTKSWPLLVRPSTEQTTADHIKVSSFDKPLAFSAFSSFHVFDRAIHEPAETIKRALSRALDHFRLIAGRVVVGDDDGELSIACTGEGVEFVAATANWALEDVKLFDPPFAGLLKDLAVDYPEARCCVSDPLLLMQVTEFSCGAFVLGVTWNHVVADGTGIALLLRAVGELARGLARPSVSLSTCADHLMPDFPPLAAAIVKAMVGQLEPQDYPYLDITVPMSTIGRIKAEVGDELGAPCTVFEAATAVLWQCRSRAIMPDGDPDSAAPLVFAANARRHVGAEDGYYSNCVTTHVAAPPPTMREAAQGDMKDLVKLIRGGKDQVPGTLAGEEVDGVPAVDTLFGYNALFVTSWRNLGFEATDFGGGTPARVMSHVGPRSVPACVACLPCRDKDGANMLSRFVKEEHRGAFLTELAKFT >SECCE7Rv1G0508390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:771861929:771863520:-1 gene:SECCE7Rv1G0508390 transcript:SECCE7Rv1G0508390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDAAAEDTTTKLLAVLEKPRRRNMYAFACVTLASMTTILTGYNLAVMSGAELFIREDLGLTDTQVELLVGSMNVFMLVSILFSGWTADLLGRRATLVAANAFLMAGALAMSLAGSYAALMAARFVTGVGMGLALVVAPVYNAEISPPSTRGLLSSLLDIFINVGILLGYTSNYALAGLPAHLAWRIMYAIGVLPPVLLAAGVLAMPESPRWLAMRGRHADAHSVLLRTSDTAEEADVRLEDINRALDAPQAAGSVWQELIVRPSVITRRILICVVGLHFFQEASGIDAVVLYSPLVFKKAGMSTNSSVLGATVAVGIIKTCFIFVAMLLVDRVGRRPLLLASAGGVAVSFTALALTLCVRETSSASAAACVACVMAFVAAFSVGFGPLAPTYAAEILPLRLRAQGAGLGMAVNRLTCGAVSMSFISLAGWITMPGCFFLYAGVAATACVFVHVRLPETRGRSLEDMEALFAK >SECCE2Rv1G0123240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:822652572:822659740:-1 gene:SECCE2Rv1G0123240 transcript:SECCE2Rv1G0123240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRSQVHSPLLRWLQEMFLVHTAGLLDDSSDSIISQHMQEWPEIYSPCFWASTSVLIQLVFITSIVAQFLFKRIRWCRQRLKTATPETNKHSNKEQKNADIKLGVSYQASKFCCLLILATHVLRIFFLQLQGKISGCKYPPFVLGEGVQVLSWIILSLAVFRLQKTKPAKHPLIIRAWWILSFLQTIISVIFDLRFNLSDHGYMGYAELMDLFTLVICTYLFAISARGKTGITLINTSMTEPLLTPSAGQQTETKRTSLYGKASVLDLVTFSWMTPLFVIGYKKPLDKNDVPDIDERDYADLLSDSFKRILADVEHRHGLSTLSIYRAMFLFIRRKAILNAVFAILCACASYVGPSLINDLVRFLGGERKYGLQKGYFLAVAFLSAKVVETIAERQWIFGAQRLGMRLRAALISHIYQKGLRLSCGARQKHSSGEIINYMSVDIQRITEVMWYTNYIWMLPIQLSLAVYVLHLNLGAGAWAGLAATLAIMTCNIPLTRLQKRLQSEIMTAKDNRMKATTEVLRSMKILKLQAWDTEYLQKLEALRREEHNWLWKSVRLSALTTFIFWGSPAFISSITFGTCILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVAQYLQEEELKCDAITEVPRNDTDYDVEIDHGAFSWEPETTSPTITDVNLEVKRGMKVAICGVVGSGKSSLLSCILGEMPKLAGNVRVSGSRAYVPQTAWILSGNIRDNILFGNPYDREKYQKVIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGGQLFKDCLMGMLKDKTILYVTHQVEFLPAADLILVMQDGKIVQKGTFDDLLQQNIGFEAIVGAHSQATESVINAESSSRILSTESQKLTDIDDELERDNHTDDQIQGILKQESAHDVSQVINEKGRLTQDEEREKGGIGKKIYWAYLTAVHGGALAPIIVAAQSFFQIFQVASNYWMAWACPPTSATTPRVGLGLLFFVYIVLSIGSALCVFGRSMLVSLVGLLTAEKFFKNMLHCILRAPMSFFDSTPTGRILNRVSNDQSVLDLKMADSLGWCAFSVIQILGTIGVMSQVAWPVFVIFIPVTAICYVFQRYYIPTARELARLQQIQRAPILHHSAESLTGAASIRAYGRKDRFSKANISLVNNHLRPWFHNISAVEWLCFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNGQLSSITWNICNTENKMISVERIMQYSRIPSEAPLIVDDHRPPNSWPKDGTINIRNLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTLIQALFRIVEPRQGAIEIDNVDLSKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDQLIWETLDKCQLGDIVRRSPKKLESTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQKTLREEFGDCTVLTVAHRIHTIIDSDLILVLSEGRIIEYDTPSRLLEDTNSEFSRLIKEYSRRSKGF >SECCE6Rv1G0444480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:828419351:828422843:1 gene:SECCE6Rv1G0444480 transcript:SECCE6Rv1G0444480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALEAHCVGRRITRCAVADDSKVVVAAAGRVAFERAMVGRTIVAARRRGKNLWLRLDAPPFPSFQFGMAGAIYIKGVAVTKYKRSAVNSEDEWPSKYSKFFVELDDGLEFSFTDKRRFARVRLFDDPETVPPISELGPDALFEPMSIDNFVDSLAKKKIGIKALLLDQSFISGIGNWIADEVLYQSKTHPLQIASSLTRESCEALHQSIQEVVKYAVDVDADCDRFPVEWLFHHRWGKKPGKVNGKKIEFITAGGRTTAYVPQLQKLTGTQSNKKVVASPGQVSEDGDAKEAGAEMEVEADDDLKPRKRVATFKAAKGQQNKDVISAPSKITRKIAGGKKKQSIKHGSKDDVKTTEPNKAGAGSNDEHGLDEPAAKMRKVSGRGTRNLSKNKPKTTK >SECCE1Rv1G0062810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721309766:721310977:1 gene:SECCE1Rv1G0062810 transcript:SECCE1Rv1G0062810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELNEEIYRQQPDGFVIDGQEGKVCRLIKSLYGLKQAPKQWHDKFNTTLTSVGFIVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLKVIEEVKSFLSQNFEMKDLGVADVILNIKLLRDNEGGITLLQSHYVEKMKATTGYMFTLGGGAVSWKSCKQTILTRSTMEAELTALDTSGVEAGWLRDLLMDLPLVDKPVPAILMNCDNQTVVTKVKSSKDNMKSNKHIRMRLKAVRKLRNSGVIALEYVQTAKNLADPFTKGLSRVVIDSASREMGMRPT >SECCE3Rv1G0203610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:884285363:884286175:-1 gene:SECCE3Rv1G0203610 transcript:SECCE3Rv1G0203610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMADDTKFLLLVLLLSSSSLCFGSEADIQCLKSVQQSVIDPNGVLKSSWNFENATAGFICRFTGVECWHPDEDRVVSLRFGNLGLEGPFPRGLQNCSSVTGLDLSNNNFSGPIPPDISRQMPYLITLDLSYNSFSGSIPQNISQMTYLNLLNLQHNQLSGQIPPQFDLLTRLTEFNVADNLLSGFIPSLLAVRFSASRFAGNQGLCGAPLDDCPPSRRWRPVRTRLHRLNDQSSIGAAVGFVVGFVVAFYFPHCFVCSERLRAYVVRI >SECCE2Rv1G0117350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:772022018:772022845:-1 gene:SECCE2Rv1G0117350 transcript:SECCE2Rv1G0117350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADASHAPTTSSSSVSSSTLSTSSSSSSLANSGVLELPKNPKPKHPKKRKRAASPAAADREQDAAATNGARGDESSCCSTDEDNAASVKAAVSKSGFKHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRSAHLNFPELAHELPRPDSTSPADIQAAAAKAAATAAVQCEAEPEPETPSSSGAVPETPEPAACTEAVPADSGEVDNALFDLPDLLLDLRDGLFWSPVWPVALAAEDYDGGCCVGLSEPLLWAE >SECCE3Rv1G0197220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:816944689:816945285:1 gene:SECCE3Rv1G0197220 transcript:SECCE3Rv1G0197220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKSPTHAPSTAHAPASKISKPARPGPRTWVGYLLREQRLLFVLLGALIATSFFLLRPYLFSLSASNPTERSPIFSFAARASDSRGVPAGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKENVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYKYNPIKTIISFSTPGSMHTV >SECCE1Rv1G0044750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:604795170:604805989:1 gene:SECCE1Rv1G0044750 transcript:SECCE1Rv1G0044750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:Projected from Arabidopsis thaliana (AT5G48600) UniProtKB/TrEMBL;Acc:F4K1S1] MEPSPPPSPSPASSPGRPAKPRLFIQEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDGGNYKVVEGSEFSITRVAFRDNTSRYYINNRGSNFTEVTKVLKGKGVDLDNNRFLILQGEVEQISLMKPKAQSPHDEGFLEYLEDIIGTNQYVEKIEEANKQLEVLNEKRTASVQMLKLAEKERDSLENAKNEAEAYMLKELLLLKWQEKATTMASDDATSHVTQLEENVTDLAKNLASEREKIKQNSQELKEMEKAYNKRVKRQEELENNMKVCKDQFKEFERKDVKHREDLKHLKQKIKKLEDKAEKDTSKIEGSAKEIEESSNLIPQLEEEIPKLQERLNQEEKVLERIKESSREETEKLRAELAQVRTELEPWENQIIEHKGRLDVAFGEKKLMKQKHDGARAELTDAQNQMESIKEKIKTKDTFITEVQGKIEKHQSEASEARKVEQECLKQEESLIPLEQAARQKVVEIKSTRDSEKNHGTVLKAILQAKESKEIDGIYGRLGDLGAIDAKYDVAISTACHGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQAHHLRKLQEKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTVVAKDLDQATRIAYTADNEFRRVVTLDGALFEKSGTMSGGGGKPRGGKMGTSIRESVSEEAVTNAENDLNKLVDQLSRLRETINDAKKRYRSLEDAKSRLEMELAKAKKEVESMNAQYTYNEKRLDSLEAAANPKDDEIGRMKELDDIISTEQVALKKLEKSCSKLKDQASELQQKIENAGGQVLKDQKAKVEKIQSELDKTSSDINRHKVKITTCEKLMKKLAKGVEEAKKEMENLLAQKEKLMSVFKEIEKKAFLVQEDYKKTQEMIDTHKEELDKTKEDYNKTKKVVDELRATEVDAEYKLQDTKKLAKEWEMKVKAYKKRLADIQTNLAKHMDQLQKDAIDPEKLKETLSDEHLNEMCDLKKAMEMVALLEAQIKDSSPNLDSIAEYRTKARLYGERVDELNATTQERDDLKKLYDGLRKRRLDEFMAGFNLISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESVKPTTLESSPRA >SECCE1Rv1G0023740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:312289586:312291114:1 gene:SECCE1Rv1G0023740 transcript:SECCE1Rv1G0023740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKVGEYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCAEEFRLRKVKNDQLKAEAKARGEVISTKRQPLGPKPGFMVEGTTIETVTPIPYDVVNDLKGGY >SECCE5Rv1G0365440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:806990301:806992755:-1 gene:SECCE5Rv1G0365440 transcript:SECCE5Rv1G0365440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMPPSLLALLAALLLLSPPAAARVPGVYTGGDWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNEGQRCGACFEIRCVNQPGWAWCLPGRPSIVVTATNFCPPNYALPSDDGGWCNPPRPHFDLAMPMFLHMAQYRAGIVPVSYRRVPCRKSGGVRFTINGFRYFNLVLITNVAGAGDLVRASVKGSSTGWMPMSRNWGQNWQSNSILVGQALSFRVTASDRRTSTSWNAAPQNWRFGQTFEGKNFRV >SECCE5Rv1G0348150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672680324:672685447:-1 gene:SECCE5Rv1G0348150 transcript:SECCE5Rv1G0348150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) UniProtKB/TrEMBL;Acc:F4J5V3] MRPNIITEAGISTRFNQWWSSTPFITSGVTIICAAIYLVCLLVGYDSYAEICFLPSAVASHFQVYRFYTSVLFHGSLLHVLFNMLTFVPLGTELERIMGSVRLLFLMFLLATTNAIFHLIVAFLVDYNPLYHVSYLVDECSIGFSGVIFSMIVIETSLSGVQYRSVFGLFNVPAKWYAWILLILFQFLASNVSLLGHLSGILSGFAYTYGLFNYLLPGPSFYSSIEGLSMLSVCVRRPGFILCTGGTTYGQLPTHSNMSTPPSSLINANFLRNISSWMPSRRTSTVQTSTNQEQEDPRFPGRGRTLASTGTEPTAREANANLHARLLDNSTPSDLLTNSQHTAANTVRADATVTADQTDTFDEELKKLVGMGFERTQAEVALAAADGDPNVAIEILMSQQD >SECCE7Rv1G0508780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:774547680:774552381:1 gene:SECCE7Rv1G0508780 transcript:SECCE7Rv1G0508780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLYSGCIADPDRCRLLSVDAKRELVLQLSKCPHIALGLLHEWTSHDIKQILFSVFCREKKYDGVSKKIMLKYLFQAVNGEPSSLGKRVSKSDPEQNSSTLQFPHKKQRKNDATLLPVIASTPVTVAPTNSACKNSACRASLNPADKFCRRCSCCICFKYDDNKDPSLWLSCNSDQPLQGESCGLSCHLECALGDERSGILQSGQSKKLDGSYYCIHCGKQNDLLGCWKKQLLIAKDARRSDVLCHRIFLSHKLLVSTKKYLVLHEFVDTALKKLEGELGPITGLEDKGRGIVGRLVVGAEVQKLCSCAIETLESMLSGALTAESQTQSSCVVPSNFIKLEDISHESVTVVFDLNVCPMLSQGLTGFNLWHRKASEEHYPSNPTGIVPTPSTMLVVRGLAPCTSYVIKVVAFTNSKEIGSWEVRTNTINWPKEMDAKDSMPLDAGKDLNNTSVKTNSSGLSNPSSEDVESYNDSSTSANLSRSPESDVEYWTSLEKAPHRRNETAGNSRDLKTGVAGETEVDKLKEAPRGSASALDDNEEEPGSAAEAALPKRPSELMVYSREALKQNLATICSEIASQEHTGSESVAPPKHHGSPLHATQEGTENRKGVSARCIQAKSNDHIPQDDSSKAETEMYPGSLSCKGTPGKSEGGGHNDDGPSEPHTSPQAPSLRKPSNLLQRHKHGIVPENAPGSPVPVTGNGSKPKNDGRAPQPCPLKPVPEPGEPEDTARTDAEAYVYCVKVIRWLECEGYVEAGFRVKFLTWLSLRATQHEKRVVSVFVDTFIDDPASLAGQLNDTFSEAIYSRRPPMAP >SECCE6Rv1G0416130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:647129882:647140085:1 gene:SECCE6Rv1G0416130 transcript:SECCE6Rv1G0416130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVTAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKLVVAMGTSRSSILGEVDVNLAEFAEAVKPVSITLPFRGCDFGTVLHVTAQLLSTKTGFREFEQQRETGARSSQQLVNQRSHDPSEVAVSSSDVGSDKANARNKLKETSLGFPVAEDSAGSTEDYENSSHNSDGYFAEKNDPCGSHEISSFRSIHSGDLPLCPTSQSPTPEKGPFRGKRLSPQGSSDWSHGWSPEFSASHDLAAAHDENNRLKTRLEVAESAFSQLKSEATSLQDVTDKLGSETQGLANQLAVELMSRSELTTEVSFLRTECSSLKRELEDMKSAKPLQHGGNGVLATDSSVHNLQTEWLHGLLLLESKLQQTRNNALHGLQASDLDFLLADIGALQRVIENLKQGVQLGQMKENNYQEHLIPPSNVAHQSSSGRDHDSDKKNTGSTATMEEKMCGLLQKLEDSKTEKENLLEKMSQIERYYESFIHKLEESQKQTSIELDNLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFAEDRTALEAQNKEFERRAVATETALKRVRWNYSAAVDRLQKDLELLSFQVLSMYESSETLAKQPIVEDTEHFPEEHSAITDLRGTIEHDQDRPVVKQRGIEGLHDAIAPQMLSTENGTSRSFSYKMDGQQDLLRAAKIEELRSRSEVICNPDSQVNCSNTEGPKDASSTMESDILETYTVNIQWQVFSDVLRESHYTALDMIKKMQGRLYMLEKELHDSNDARESLMLKLNSALDQSKSLKESESGYIFKCDDLTVKNQILEAKLQDISVENALLMKRLVVSETLVEEHKTCESKYNACTEERKRFENLLMKESQQTSQLKDELRSVMEDFEGVKDELRKQSSLISEQQIVSTSLQEQLSILCSKLISLSKDIDIPCLDDVSLQHELQNKNYAAVIASLEFFQQQACQQVLHLHHEKEALEEMCDALRKRSDKSETELLDVKQKFHCDMAATEEKLNISEGHVEKLQQELQEMVHKFEIISEAQEQHSISNGDLTSKLAKMEVELRIVTSENETLVEKMKDIAAVVQELERTKVSLAESDEDNKTLAQSLQSKDELLMHMENEIRGLQNCLSCTEGNLLREKTTREDLESALASLTSQLGEKDQVLLSYNDDKTELLHLRDQISGMSKENSLMQDALSESEQIKRDLSFENCSLHSQLSNAENQLGTILEDLLASEIEASYMISQVEEVAVQLDFLTNDFGKLQLKNKDADELLRAHMLTVAELTDRNATLESAIHSQEINLARVIQEKEGLEELMKRNEQTLAQVSNSESRDTSVSINNSEAELKYQDEIVQLRDMQTNLEEHVDGLRSAKDEVEILTVVLKSKLEEQHTEMSALLQDSGYQLTNLKEQNKELTQKLAEQTLKAEEFKNLSIQLRELKEKAEAGKKEKEGSLFAIQDSLRIAFIKEQYESKVQELKSQVFVSKKYSEEMLLKLQSALDEVETGRKNEIALAKRIEELSMKVSELEVEMQDLSADKRELSNAYDSIMTDLECTKLNFDCCKEEKQRIEVSLQECSEERNRIRVELDLVKKLLENMALTDHVASPDNSGSRIPRATSIGQILGDVKSGSAPELIPKLTEVDSELLEDEGEIHSTHISSNVAESEDVGKSDEHPHAKHAPTKNLENCHKQSEASLENHPTVDNTIKDISKEHKKLANDLNLFQKELERLKNENSSPLLPLDINLIDPSLSGLERALSQLDMANEHLRSIFPSFKELPGSGNALERVLALELELAEALQAKKKTDIVFQSSFLKQHNDESAVFQSFRDINELIQDTIELRRRQVAVESELEEMQGRYSELSLQFAEVEGERQKLAMTLKARSPRK >SECCE4Rv1G0280350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:819640161:819640829:1 gene:SECCE4Rv1G0280350 transcript:SECCE4Rv1G0280350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHMTTTVFFAIVIMLLSSAIAVQSSGDVGGKPKPTDFMAEACKNASKWSHGYNEGYNYVTPEFCISTLQSDNRSANAKDHRDLALIPVDILKERVVTAGGNVKKMLHNTKNSTSTTARHLRICELDYAATAGVLNFCDALLRGYQGDQRSETEHNDGPPNFELPECVNTVNKVSEYCALALLHIPGAEALVKEGDELQMLIDLSIALLSPYRLDTHVDS >SECCE2Rv1G0074220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75031716:75033463:-1 gene:SECCE2Rv1G0074220 transcript:SECCE2Rv1G0074220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECHGTVSLVVLVLVLLLQWPALSSASVLVSRTITVDSKGGGDFRRIQSAVNLVPDGNREWVRIHVRAGGYREKVTIPREKGYILLQGDSPWNTIIYFDDYAHGNTDDLMRRGVGAMGTYETATFNVYADDFVARDIAFTNTHNGGNKNNATQAVAVLVDGDRIAFHHCAFNGFQDTLCDNTGRHYFHECFIKGGVDFIFGYAQSIYDGCTIVSNIPLRSSRHAGWVTAHARRHIGDPGGFVFKGGELRGTGRQYLGRAWNKYATVVYYHVNMSSVVVPEGWAPWYAGNDTNDVLFAEVGCTGPGSDMAGRVPWEKHLSEAEVKKFVDMSFIDDGWLSKQP >SECCE2Rv1G0141110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934336913:934338387:-1 gene:SECCE2Rv1G0141110 transcript:SECCE2Rv1G0141110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKTAMVALMGLVLAALLFPAAWSSRRPDQLISMTTDGQYHSTRPVVTIAGGLTADYHDESCPDLRGIVRTAVVEALQGDITIAADLLRMFFHDCFPQGCDASILLLGTPWSEMRMPPNLSLDIRRKVAFLMEDIRAKVHEACGPTVSCADIMALATHDAVIVSGGKPYHVPLGRLDSFEPAPLRFVEELPPPTFSIDQLIAAFRSRSLDEKDLVVLSGAHTIGKARCATFSDRFPNSDSDDFVRRLQDNCTADVNRRQDLDVTTPEKFDNKYYINLKQGKGVLTSDVQLLLNETTQGYVNDFANNEWWFWNQFGSSMSKMGMLQGPQGNVGRIRRQCY >SECCE6Rv1G0415780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:644523952:644524542:1 gene:SECCE6Rv1G0415780 transcript:SECCE6Rv1G0415780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRRDKERPRERGGYDQPRERDHDADRHRDRDRDRDVDRHRDRDRGQDKERDRDRDYRRARKRSRSRSPSADRDRSRRRRSHSHRSRSPDASRHKRRREGSPVADPKDDRKPDPPSAPKAAEEAAAPVADGDVDAEELEMMKMMGIPVGFDSTKGKYVPGADVSGVRAVTKRQPRQYMNRRGGFNRPLPPEVNR >SECCE5Rv1G0337640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:593259467:593279975:1 gene:SECCE5Rv1G0337640 transcript:SECCE5Rv1G0337640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETDLEDVVLSWSLQEINDDDLYRGKVEPIPCNFKSLDHYLASYRVPLIEETRSDLCSCLELVSQAPSSKILSMEVTAKSGSYFMDVDFWDNDAGFSTGAYSARNGDIFILSSIKPEAAEDLNRHGVTYCLAMVTEVSMDDEYQKGFRVKVEKNIDLEEDLNKLKHAIFLNNITTNIRIWKALTFDAHMNDNFTIIKSLLAPTNLGEDICGMCDKQDGGCLASFTEQLLSVKLNQSQVDAIESVISAVQCGHVNLMKLIWGPPGTGKTKTVSALLWVLACLKCRTLTCAPTNVAVVGVCTRFLEYLKDFNEHTDNIGLPFSLGDILLFGSRSNMDITEDLQEVFLDFRVDELVECFSSSSGWNYRIASMVSFFEDSASRYDMLLEDDGKIDPVCFLDFIKKQFDVIAVALKRCIMNLWVHLPGSCFSRDSAINISTLLHMLENFGALLCNVDLTDEGLKRGFGCLSTENSVCAQPLSSIEKKLDGARSSCLKLLKDLLHSLNLPTGVNKNWVQNYCLRNATLLFCTASSSYRLHHMEIAPLEVLIVDEAAQVRECELVIPLRLHRLKHVVLVGDDCQLSAMVKSKVCKEAGFATSLFGRLVMLKFDKHLLNIQYRMNPCISLFPNAQFYERKILDGPNVLSPSYNRDYTCLPFGSYMFINLTDGREDTEGTGNSRRNMVEVAIVLHLIHTIFKSWKRTDQRLSIGVVSPYNAQVDAIKNRLGQKYDTCDGFHVRVNSIDGFQGEEDDIIILSTVRSNGRGVVGFLADNQRTNVALTRARHCLWIVGNAHTLHKSGTEWTDLVADAERRKCIFSATNDATICKLVLQVKQELDELEDLLSADSAVFSNTRWKVILSDEFRKSFTKLKSPQLRKEVLQKLIKLGDGWRTTVKNLDMPGVSHLVKVYKVWDLYLVWSTDVEKTERTYSQIIRIWDLLSQQNVVRTVQRLENLFSMYTDDYLNHCRRVQAEGKLEVPMVWDIVHDIIRYNKDCKADAHEEHDPVDTQYGMENSKVSESFLLMKFYSLSSGMAKHLLTATDGSEIDIPFELTDEEKVIIQFPLTSFILGRSGTGKTTVLTMKLIQKEQQSLIASQGFNLDGADLSGVDDNNIMSPKNGGESSVKQVFITVSPKLCSAIKDHICRLKRFGTGDVSDQSSILHMHDIMDDLGEFTEIPDSFRDLPHGHYPLTITYRKFLMMLDGTCQTSFFDVFYGEMKSSFERGHSRSRAVQTFIELKEVTYEKFATSYWPRFNADLTKKFAASTVFTEIISHIKGAYQASRPYTGKLERQDYVMLADKRFSSLNNQMRDIIYDIFLEYETSSLAREFDLSDFVNSLHSSLVSEGYNGDMVDFVYIDEVQDLTMTQIALLKYVCMNIKEGFLFAGDTAQTIARGIDFRFEDIRSLFYTAFLAETEASNEGLEHGKIVHLSDMFQLSQNFRTHCGILRMAQSIMSLLYFFFPSSVDKLNPETGLVHGEAPVLLESDNDENAIMTIFGESKSKHGNLHGFGAEQVILVRDDATKKQIIDLVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLRNKWRVLYGYMQDKDIIAHSEQISHPGFDRSKHYLLCSELKQLYVAITRTRQRLWICENTDDYCRPMFDYWKKLCIVEVRLLDSTLIQAMHTGSSSDDWRLRGTKLFNEGQFEMATMCFEKAGDAHREKWARAAGLVVTADRVISTNLELGKASLQTASEIYESIGMHEKAATCYIKLGDYKKAGMVYTQKCGISRLEEAGDCFARAECWSEAAEMFLKAKCYTKCFSMCSKGKQLYNLGLQFLQQLEEEHSLQNSKSLEVSAIRSKYLDNCAQHYFERGDIKNMMPFVKAFSSMDHVRVFLNSRNLVDELLSLEMEMGNFLEAAGIAKHKGDVLLEVDILEKADLFEDATRLLLLHIIVDSLWSSNSRGWPPKRYAEKAQFLAQAKEMAKQVSECFYCFVCVEADALSDVNKSLSSLNCTLLEGRKCANLLVELIASRSILDVHLQSRASGYKIELGPGSEDENSCNDMLASNQMSPQTLFYAWNHWKSIIIKVLSHLRHTDGPELNDSAVVCEDLCTKYFGLRKDGEVDRYVVLNMNASWLSNAGRSSLQQDGNRYLLGAPQCHSCARCFWMNELSSVGFSVLKKLESIVQIPPKPASSYTLVKSILIIHEIAKFLEEPQFSLPKGSMKLRSFFVLCGRRFFELVFLVWGDETKRSLLHLLDSPAAYGLIADSLSANLRPANKKLTHGHLGRTTMLLLHAAQLDEALLSRLLQYLGNNSEWADFFRYFKRFLDSGCDRSSLILNFKLALEFTFSVKWKDELDYISPACYVGLMECLGFLASSCLVKNGFIYCTKSLLVNMLECRTSKAYIDTCLVSKSSPDSDLDGLAHISGRFIYRTIMTILTTKHMLQEWVHKTSCPSSTSYKAVLLRLVVTLYPLMLTLSLGKCYEVTRNLVRNEVLKDLPLEFSKKIGDALKTRSHAPSNFTRVLADALAAIGDHMVVIGSPKSRVICRNLNAYMISKEDLHDVPKIMELLRLEPSSVKQETPLLEKSDGSKKRNSTDVLVPVEVLRAEGSRKTDSPRIFDTLWKKLETFLLDEQGLKDARVVIQFLRDALPWLEQSGALALIDKELDEHNLEEMKHICSEFEKLPDRTDKKAVDDLYAKWEGAGKTLEQVIRLVSGTGISMNDCSECRKGTCKEKGKDPKLCILKVPTECLFKTRPDDGRADTGEAASTSTKAAQKQKSMKKSKRTKKPDKGRGKK >SECCE2Rv1G0076590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:92245819:92247015:1 gene:SECCE2Rv1G0076590 transcript:SECCE2Rv1G0076590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCCAFPSPIMSAIVVPSPVPSPSDDAEGIRKALQGWRADKEALVRILAGRTAAQRSAIRRAYAFLFREPLLNSFRQRLSRQYCPVTVDFWKAIILWTMDPAERDANLVHGALRRRGDGDHLAVLVEVSCASTPDHLVAVRRAYRSLFGCSVEEDLASCPALQQPLRKMLVSLVSSYRYGGDRVDADVAKLEASQLSEAVRKKQPHHDEVVRILSTRSKPQLMATLRQYREVHGTDIVEDIDSRCSSQFARTLKSAVWCLTSPEKHFAEMIRESVVGLGTYEDMLTRVVVSRAEVDMKQIKEEYRARFKTTVTCDVVDDTSFGYKDIMLALVGDEEE >SECCE7Rv1G0464240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59664732:59665530:1 gene:SECCE7Rv1G0464240 transcript:SECCE7Rv1G0464240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQQQQQQQAAGGSRFAAAFGLLRQYMKEQPGAGGGGGMVTVQGLMPDGVEAVAPEQRIRTMELFPQQAGTVRDSHERTGPERAPLTIFYGGRTFVFDDFPAEKARELMQLAGSFIAPPPASDAEPACQSAPGQPCLADLPIARKASLHRFLAKRKSRLAAADPYPAPPLAAPAAGAAKETAGKAVPEDGGAPWLGVNSALQLN >SECCE2Rv1G0082090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:147822790:147826961:1 gene:SECCE2Rv1G0082090 transcript:SECCE2Rv1G0082090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRGRAAAAAAATAADEEEGHAPIDISSDSDAGGSEDSGSSSEEEPSSDEDYIDISDSGESCVDISDSGESYVDISDSDSDCDDEEGSRAGNEGAAEAVRPGADRSEAACTKIADLLRRGRNLDGIKLAECKAYLKNNGLPQVGDIATCVERIMLHRRFKDEDPEKIYPRSSFCINCKGDVCRGDTVLFKQKVHEKSGKRHSKCMGKRIVAGKVVKESYGKDKQQHTFTIQVFWSKGVGKLPPLYLLLVKGRNLYRMMTFRQAWANEANRLKALDEKHSRGDAARRVRASNRRNSGGHALKGKKSKEKGKHQSQPGGPDGGSNVTKGRKRAMQSSNSDHPTKKDRKEEHRVSSAGKNFADGHQKAKTNSAPLDKNICTSTSSSQLNANTETNHAIPQRNLGTAPVNNCPRNTEARVSKRKTGSKQTYTVGGNHGEFEGRRVSSASHPQAYPGNSIGMHHPLSERPQRQRPPPLREVGNAWQHHMDGRSISCPNPTMAFEHPYAAPAGWRAPGYFREPPADQRAVVFPPFHTSQTGYFREPPPDQRAVVFPPFHTSQTIYRRHPDAAYVMPQYRYSGGSNWFPR >SECCE5Rv1G0302340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:37651763:37654875:1 gene:SECCE5Rv1G0302340 transcript:SECCE5Rv1G0302340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANPRVTGAAPAPLLLPPRLLRCAAGGSRGRRGTLLSAAVRGVPSGTEPPTGSPRGAALLAGVPWATAARRRRRAATGLAGEAALPVPALALTPSARRPLLLPLRHRFLCCTNAVASSGGEPSPSTDGDKNPFAPIVELWHRTVQPLGDYGFGKRSVWEGGVGLFMVSGAVLLALALAWLRGFQLRSRFRKYNAVFEFSQACGICVGTPLRIRGVTVGSVVRVDSSLRSIDAYVEVEDDKIIVPRNSLVEVNQSGLLMETMIDITPKDPLPAPSVGPLDADCSKEGLILCDKERMKGHQGVSLDALVGIFTRLGRDMEEIGVQKSFKLAEKVASIMEEAQPLLSRIEALAEEVQPLLSEVRDSDLVKDVETIAKGLAEASGDLRRLKSSMLTPENSDLIKQSIFTLIFTLKNIESISSDISGFTGDETTRQNIKLLIKSLSRLL >SECCE3Rv1G0209450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:938974206:938981155:-1 gene:SECCE3Rv1G0209450 transcript:SECCE3Rv1G0209450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMDSVAVMEVAPVPDPLFVGATPLIPAPPESELVLRRSARCLGRPRVPVYAEPEEPKQSTGRRARPKKKRDEENQDPAPQVAAKVPRKTAKVEPKERKPMPVLGPEPVPADFAGAAAGDDALGTGKSAKLRVKETLRAFNSHYLHLVQEEQKRAQAVIQEIAAKGAAKNKDGKKGGEGEIKEKRPSKRPDLKAITKMQENNSVLYTEKVLGPIPGIDVGDQFYSRAEMVVLGIHSHWLNGIDYMGMKYQERKEYQNFTFPMATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQQLNRGNLALKNSKDNGNLIRVIRGHVAKNSYTGKIYTYDGLYKVVDDWVQKGVQGHVVYKYKLKRLEGQPSLTTTEVRFTRAEAPRKISELPGLVCDDISGGQENIPIPATNVVDDPPVPPSGFVYSKSLKISKGIKIPSDCAGCDCEGDCANNKYCACAQLNGSDLPYVSFKNIGRLVEPKAVVFECGANCSCNRNCVNRTSQQGLQHRLEVFKTASKGWGVRTWDTILPGAPICEYVGVLKRTEEVDGLLHNNYIFDIDCLQTMKGLDGREKRAGSDMHVPSLHTENDSEAPPAPEYCIDAGSIGSFARFINHSCNPNLFVQCVLTNHHDVKLAKVMLFAADTILPLQELCYDYGYVLNSVVSADGEIVKLPCYCGAPDCRKRLY >SECCEUnv1G0542060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98469388:98471395:1 gene:SECCEUnv1G0542060 transcript:SECCEUnv1G0542060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSLSCRFLTIALCDAAEPWQLGSQDAATPMMQGIIDLHHDIFFFLILILVFVSRMLVRALWHFNEQTNPFPQRIVHGTTIEIIRTIFPSVILLFIAIPSFALLYSMDGVLLDPAITIKAIGHQWYRIKFGGRRASTQPYEYSDYNSSDEQSLTFDSYMIPEDDPELGQSRLLEVDNRVVVPAKTHLRMIVTPADVPHSWAVPSSGVKCDAVPGRSNLTSISVQREGVYYGQCSEIRGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN >SECCE3Rv1G0201050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:859610235:859614137:1 gene:SECCE3Rv1G0201050 transcript:SECCE3Rv1G0201050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATADPLLPQPAAAVDHLGRPASRLTSGRWPAAFFIIGVEISERFAYTGISGNLIAYLTGPLGQSTASAAATINVWNGVALLLPLLCVAAVADSWLGRYRTVVCASLLYILGLGMLTLSSILATQLPAQFGDRMDSALYSTSTAHLPFFYISLYMVAFAQGGHKPCVQAFGADQFDENDPEEYASRSSFFNWWYFGTYGGTLVTVSILNYIQDNISWQYGFGIPCVVMSLSLAVFWLGTRTYRFYPVRSDGSLFGEVRRYLLSLIRTWHASWCLRSPDDSHCMPTSTSSGAKDNAEMICFPDEAKSVLNLFPIGATCLIYAVVFAQWMTMFTKQASTLDRWVGSLQIPAAALQSLISVSIIISIPIYDQILVPVARKYSKNPSGITALQRIGTGLVISVILMVVAALVEMRRLRVAREYGLVDKPEATIPMSFWWMVPQFVLTGLSEMFTVVGLQEFFYDQVPHDLRSMGLALYLSIFGAGSFVSSILVYVIDWVTSNGGDSWFSDNLNRGHLDYFYWLLAVLSVLGLAVFLYFSQVYVHKKKSISAQ >SECCE6Rv1G0422110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:688253610:688257378:-1 gene:SECCE6Rv1G0422110 transcript:SECCE6Rv1G0422110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSSPAAATPPATGDDYFAMKKWQFKLRKPSPAIHLARRWRVVQSAEPQRRMRDCDAAMMSETHRLHVHELSHDAASTCSGSLNKTMCTQDVEQTVQNCDWISTLPDDILIKILSLLTVSDAAMTDCLSTRWRHLWKNVDCLILDLYSLRIPESEICYYHQKPCLRKAQVKKFVRKTNGLLRNHYGNRIKEFTVRFPLTSVNAFELDRWIRFAASASTEKLCLDLYDKNRSSCLDRSRDEPYKFILSPFSDGRGCRLSELTLSNCTVRTTPANLSGFACLHFLELSRVKIADATVSNIISNCCALKSLILAFCDQLIHLTVTCPQLLNFDVDFCDGLISVCIHADNLEAFMYKGHQINIEYEYAPFLDTLRVHFTKKNQCPLDFVSALPQLPKLETLILQFSGPVQVSRALRHTLRFANLKMIVFFLVKSWKECICSLVYLLKAAPSLEYFGVHGFSKLKEQPSELNMTWPEDLTFARLQIIVVKGFSGEPELMELLYFLLRRAPALESLQLETRAYEPFVFRKEKHKSEDEERCRYATEMASTHLAPKVPSTVAFTIT >SECCE1Rv1G0025280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:352832841:352834079:1 gene:SECCE1Rv1G0025280 transcript:SECCE1Rv1G0025280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGVPSAQDVWDWEVLPDEHRSFYAEMRAASRAHGAGEVLADHETEEPILPRPSEVDADNVDECKDIGVDVVPAEARSTREEPAATELLVSDGDGEEKFQACDDAKEVDDDGKQMAAAEAVESAFPPQEFAAGEVEEGKKEEEGAPPECVVFSVGKLRVNAVGALCSFGVAAATVCVFLVGGRLQHQKQHQHQQQQKIQLQFLGDDKRIQQVVQQTSRLNQAMSSMMGAGASTRANISFGGFYDGF >SECCE5Rv1G0331900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:542161322:542166814:-1 gene:SECCE5Rv1G0331900 transcript:SECCE5Rv1G0331900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVAALVAIVVVALVAVMRRAAARKEDARRAAWLAAEQAQFAERDALYHYDHHAAPHWTAADAAEWTAADAAEWTAPQVAWPPVGQVAALRMAWPPVAQLTAPQVAWPPVEQMAATPVAWPTVEQVAAPPVDWPPAVKLAAPEVAWPPIMQVAWPVVDQVAAPEVAWPRDEHVAAPRLEQEAATEPMLAAAAGKKGPCAVCRTPTTFRCKRCKSVKYCAVKCQIAHWRQGHKDECHPVSAHAREDTTIKASSTKKIEHTSSDEESVVTGVKQALESKEMLLEKASSMSEASQHDSKEFTFPQVTGHAESADCSSSPTFGKSCKVEGASVSESGSHTQIPSARDPSEKSDDLPEFSVKSEIRVVVPGNLPLTKSLIRQQTAPAVVRHYPSESTLFAYERFVKLYNFDKVELRPFGLCNLGNSCYANVVLQCLAFTRPLTAYFLEGLHSQNCSQKEWCFLCEFEKLIVEGKQGQSKLSPTGILSHLSDIGSSFGPGKEEDAHEFLRYAIDTMQSASMTEANANGAHMLAEEMTLIQLMFGGYLRSKIKCTKCGVSSDHCERILDLTVEIDGDISTLEEALHRFTSSEVLDGDNRYHCTRCKSYERGKKKLTISEAPNILTIALKRYQSGMFGKINKAIRFPEYLNLSSYMSTTDDCSPVYRLYAVVVHRDVMNSSVSGHYVCYVKDSQGKWYEMDDSQVKPVSLKNVRSECAYMLLYARCSPRAPRSLRKLIIAQGLSHTKKARQTTDPEPTSVEVRSYFSRQQGARSQSCRDQVVNGHTHTLDTSNVSSNPVLQSTSTSEDSSLFTSSDVGSSGNLSSDNTDSTKNPGSSEQMQPVCTVVMSEEHSRQMSSLNPSSSTQYADQGEVDRLHQLKHQASEGAWDEDCETPSFFYVDQGKYPGSSSSDSSSRSRRSISSSSSCILIGQRNRRMIGEVDHGPGEGQGHFK >SECCE2Rv1G0120140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:796882530:796885476:-1 gene:SECCE2Rv1G0120140 transcript:SECCE2Rv1G0120140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTATAPMDIDAAAVRPASKGKATLSAAAAVKSSPWVEKYRPQSLADVAAHRDIVDTIDRLTDENRLPHLLLYGPPGTGKTSTILAVARKIYGSQYGNMILELNASDERGIGVVRQQIQDFASAHSLSFGAKPAVKLVLLDEADAMTKDAQFALRRVIEKYTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGTHVSERVQHVIKSEGLDVDKGGLTALVRLSNGDMRKALNILQSTHMASPQITEEAVYLCTGNPMPKDIEQIASWLLNEPFSTSFKYISDTKMRKGLALIDIIREVTMFVFKINMPSNVRVKLINDLADIEYRLTFACNDKLQLGALISTFTTARTAMVAAAA >SECCE5Rv1G0372410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:852641220:852642026:1 gene:SECCE5Rv1G0372410 transcript:SECCE5Rv1G0372410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALLVAAVLAVVVCGAHGIPKVPPGPNITASPASYGNKWLDAKTTWYGKPTGAGPKDNGGACGYKEVDKAPFHGMTSCGNIPIFKDGRGCGSCFELKCTKPEACSGEPTIVTITDKNEEPIAPYHFDLSGHAFGSMAKKGEEQKLRDAGEVEIKFRRVKCKYPPGTKVNFHVEKGSSPNYLALVIKFLQGDGDVVGVDIKQKGEDKWIELKESWGAVWRIDTPDKLIGPFTVRYTTEGGTKTTVEDVIPKGWKPDTSYEAKGGY >SECCE6Rv1G0446600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:845270109:845272175:1 gene:SECCE6Rv1G0446600 transcript:SECCE6Rv1G0446600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHRPLSAMAVAAFAAVSSIELPDKFSHHHRLPDDVVSLPASKPEATTAPSAPPLSGLQFMPRNLQAFHPAKAPVASLPVIQTVYQYARFAKTSPEQEAALPAIPSSSSDALYRWHLPDPRACGGSPDKSQTVVVLLGWLGSKQKHLKRYADWYTSRGFHVVTFTLPMSDIVSYNVGGKAEKNVEMLSEHLGDWVREEDGKKIVFHTFSNTGWLCYGVILENLQRQDPSAVEKIKGSIIDSAPVAVPDSQVWALGFSAAIMKKHSVATKGAAPNTRSDAVVVESQTDIRPAATEAVLLSALEKFFDLVLNYPAINRRLSGVMELLSSNQPNCPQLYIYSSADRVIPAKSVESFVERQRKAGCEVRSCDFVSSPHVDHYRSNPGLYTSQLTNFLEDCVLARRDDLSSSPSPSA >SECCE7Rv1G0521450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874069045:874071152:-1 gene:SECCE7Rv1G0521450 transcript:SECCE7Rv1G0521450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVHPQVLPAVLHLRRSDADFTAALRARFRVLDFFTSGEPLPAFLAAAAAAPDPPRAAVVVGGGSVRVDASFLDAVPSLRCVLTTGAGIDHIDLAECARRGVAVANSGEVFSTDVADYSVGLLLDVLRRVSAAERYVRRGSWPAQGGYPLGSKLGGKRVGIIGLGNIGSRIAKRLEAFGCIIHYNSRKPKDLIDYKYFANVHDLAAESDVLIVACALNKTTRHIVNKDVLEALGKDGVVINIGRGANIDEAELVIALREGKIAGVGLDVFEHEPKVPAELFSMDNVVLSPHAAVWTEESRSDLRAHTIGNLEAFFSGQPLLTPVDADSLVQ >SECCE3Rv1G0158630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:89652772:89654154:-1 gene:SECCE3Rv1G0158630 transcript:SECCE3Rv1G0158630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKTEMKRIGNDVSRRSAFGKRRRGLQKKASELAVLCGVDLGLLVFDDGGAGKLFDYCAPNTSWSELIERYENITNHKFQFQGINHVDDQQLLADIAGLRRQTGEDLPSAATAAELDDLEQRLECMLGEVREMKDKLLEQQLEEAHHKVHILENQNSFLRHLMGEEGQQRAAVEASAVVAPKLPATAFGGSFPEVEEEEELTALRLWPRQLPDV >SECCE6Rv1G0406540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:546334884:546337486:1 gene:SECCE6Rv1G0406540 transcript:SECCE6Rv1G0406540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYILKKSGSAIFRLLVRCQPGVVRPPQPPLRTATRSYRAYRRRLPAVPRSATTPRQWPQRPELIHFTRGRGGEAWYHDWRKVAVRVLAPGAAAMAAYYHNLETVPYTNRTHLVFLSPRIERWLGGLALDDLKKENATKILPAQHYKSVRVKRITSEIVRAARRTLGVAPVDPSGELLDDRFMARNYGKQATTSHLDGLDWEVIVVEDRQVNAMCFPGKIVVYTGLLDYFKTDAEIASVLGHEVGHIIARHSAEAVTKSLCSYAVQRLVIGRDCPDLLRGVSKLLFTLPFSRKMEIEADRIGMLLLAAAGFDPHIAIAVGEKLGEISRNSESENYLSTHPSGKKRVQFLSQDKVLKEAMELYREASPGKEAERFSISDPFNIRGWRSQGVKK >SECCE4Rv1G0289860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:868819557:868820075:-1 gene:SECCE4Rv1G0289860 transcript:SECCE4Rv1G0289860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMITLKSSDGEEFQVEEAVAMESQTIRHMIEDDCADNGIPLPNVDSKILSKVIEYCKKHVQVSPKLADSTDASSSTSTAAAGPAEDLKSFDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKAFNIKNDFTPEEEEEIRRENQWAFE >SECCE6Rv1G0387990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:126892997:126895603:-1 gene:SECCE6Rv1G0387990 transcript:SECCE6Rv1G0387990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRRARVSSDSDGDDVEMNGQEPADAQSKSLYEILGVESTASQQEIKKAYHKLALRLHPDKNPGDEEANEKFQQLQKVISILGDQEKRALYDETGITDDDALVGEAADNLQAYFRTVYRKVTEADIEEFEAKYRGSESEKKDLKELYTKFKGNMNRLFCSMICSDAKLDSHRFKDIIDQAIAEGELKSTKVYAKWAKKISEIEPPTNPLERRVKKKKSQESDLILAISQRREQRKERFDSVLSSIMSKCDDSKASSSEPTEEEFERARQRLEKKRTKGRK >SECCE7Rv1G0489750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:461817499:461857073:-1 gene:SECCE7Rv1G0489750 transcript:SECCE7Rv1G0489750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANMRTLRCCMNDLEEEAAKRSAEEQRQRTAIDAHDSDIALVRAQAKQVSDEAEHLAKARAHVCVEMAEKQGRIASLEVVGATLKQTLDLLQLEITSTSAKLGQKRLFYTKTMETLSAKLQEHQGWLDSDKNKMVAIEPFVEAPSKHNFFEGKRYEVLNSGGGIDKKSDVGKKQLDIKAKGSRLLLEISECKQTLEQESSITASFPAAVQEMDTKSLEEEYKALQGDGAGEAEYFQSLEERITKMKGVSDPIKCRCGLEYNVELGGESMGVR >SECCE7Rv1G0455370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6266080:6266817:1 gene:SECCE7Rv1G0455370 transcript:SECCE7Rv1G0455370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPKDAAAAVIAKKDKPARAYLLSALAEDLPLQVAAKKTAAEVWASRKARFVGADRVRAARLGTLRGEFELLRMASGESLDAFAGKLGGMAARYAALGSTLEDGALVKKLLDSVPDRLCAALAGIEQFGDVSTMLFEDALGRLKALDERLRRRGQAGGERADGQLLCTAAEWRARERRRSGARAEDDDDGARSEALGFGGNRRGRCYKCGERGHFKRECPQWKKAPEAERALLVDGDVEDAGLL >SECCEUnv1G0569490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:443563023:443563850:1 gene:SECCEUnv1G0569490 transcript:SECCEUnv1G0569490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQNFFFFITSMVVPRGTAAPVLLKWFVSRDVPTGASSSNGTIIPIPIPLFPFLVYLHLRKFIRSMDRAKSGVLVKASRPILLPDKMERSSSARNALFRFVPVLHFLIIESMGDLSYLESFCGLLCLQFFRTLFSLPRDRSAKRERALRSKGQTLRPKGNEQQNDKMRCPGNPHIERRVEGFGPVAFPAPPSSSGACLGGVPPESRLEALALPTSRLLMAVGHDYYKKVKMNLSISHGGVCIFMLGVLLSCDPMAYVRPVAHASYSICSGRAA >SECCE7Rv1G0514590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:835085257:835085541:-1 gene:SECCE7Rv1G0514590 transcript:SECCE7Rv1G0514590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELIRRLSFSDRVSDGSSGVPRGCVPVLVVGDGDEDCERFVVRVEALRHPSLAALLEMAAQEFGYKQEGILRVPCAVRQFRRSPPPPSPRTSD >SECCE6Rv1G0392450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:261393213:261394750:1 gene:SECCE6Rv1G0392450 transcript:SECCE6Rv1G0392450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADDLKKLEEELKNRESILQAREESLKRLDEEKGKTVLIPSPAPSSYAASIKTYVPITLDLQDSNYVKWRELFLVALGRYGLSNHVIGDAAATPSDTSPTSDWGRDDYTVLSWIYGSISLELFGIIMAPGSSARQIWDAIANLFHDNKKSRALALDAEFRNTPQGDMTISEYCAKLKNLSDALADVGQTVSDETLVLTLLRGLNEQYAHLRTFLPFQAPFPSFLQTRSALVLEEAQKKTDAKNATATALWASGNNILPSAGGERAPSGGTARGSSVSFNSNGQGYRGGGGGGRGRGRGGRGRGRSEPWMFNPWTGLPTRAGHAQHPAPSQPQPWQQPRAWRAPAPGLLGPRPTSTSQAYAAYAPAAGYTNTQLHQAAPPLNFQQQQQQLDPALVTALNNMHFPNNEWYMDSGASSHMASDPGSSIQDRDSSMQ >SECCE3Rv1G0166860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:194416466:194420523:1 gene:SECCE3Rv1G0166860 transcript:SECCE3Rv1G0166860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper family protein / lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G52150) UniProtKB/TrEMBL;Acc:B3H4G8] MVTAREAREAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECAVLANVDPKQIKVWFQNRRCREKQRKESGRLQSLNRKLTAMNKLLMEENDRLQKQVSHLVYENGYYRQQTHSAGLATTDTSCDSVVTSGQQNVVVVPPPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAETLKDRPLWLRDCRSMEVVNVLPAGSNGTIELLYMQLYAPTTLAPARDFWLMRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFVRGEMLPSGFLIRPSDGGGSVIHIVDHLDLEPWSVPEVVRPLYESSAIVAQKMSMAALRYLRQVAHEDTHSVITGWGRQPAALRALSQKLTRGFNETLGGLADDGWSVIESDGVDDVCISVNSSPSKVMSCNATFNDGLPMVSTGVLCAKASMLLQDVSPPSLLRFLREHRSQWADSSLDAFFASALKPNFCNLPMSRLGGFSGQVILPLAHTFDPEEFLEVIKIGNASNYQDTLMHRDLFLLQMYNGIDENTMGTCSELIFAPIDASFGDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEVGTPGSRISGHSRSGSKAVMTIAFQFAFESHLQDSVAAMARQYMRSIISSVQRIALALSSSHLVPHGSSRLVPPVTPEAATLSRWIVQSYRFHFGAELIKAADASSGESALKALWQHTSAILCCSLKAMPVLTFANQSGLDMLETTLAALREITMDKVLDCDQGRKSLLCADLMASVAEQGYACVEGGTCASSMGRPASYRKAVAWKVLDDGGAGAAHCVCFAFMDWSFV >SECCE1Rv1G0010360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:61894786:61897233:1 gene:SECCE1Rv1G0010360 transcript:SECCE1Rv1G0010360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLHLLRRRCSTSSFTPTSPPSRSWSPHAAFTAATERVRAGTLTPQDAHHLFDQLLRQSTPVPGQALNGFLAGLARARDTDACRDGPSLSLTLFNRVCREEAGPRVVAPTVHTYNILMNCCCLVGRSDLGLAYFGRLLRTGLKADEVTASTALKCLCCAKRADEAVNVLLHRMSILGCVPNSFSYNIVLKSLCEDSRSQQALNLLQVMANGDDCSLGVLSYNTVIHGFFKEGEVGKACNLFHEMTQQGVVPNVATYNSIINALCKARAMANAELFFRMMVNNGVQPDKVTYTSMIHGYSTLGRWKEASKMFRKMTSRGVMPDIVTCTSFMDSLCKHGRSKEAAEIFYSMVAKGHKPDIVSYTILLHGYANEGIFADMMSLFNSMECDGIVANCYLFNILIKAYAKRGMMDEAMIILNKMRGQGLSPDVFTYSTLVSALCRMGRLADAMNKFSQMIGTGVQPNTAVYHSLIQGFCTRGDLVKAKELVSEMMNKGMICPNIAFFNSIMDSLCNEGRVLDAHHIFGLVIDIGEKPDVITFSTLIAGYCLVRNMDKALRVLDAMISAGIEPNVITYSTLVNGYCRSGRINDGLNLFREMLHNKKVKPATVIYNIILEGLFRAGRTVAAKKMLDEMIGSGTPVSMHTYDIFLRGLCRNDCTNEAIAMFHKLCTMNVKFNITALNTMINAMYTVQRREEAKDLFAAISDSGLVPNASTYGIMILNLLKEGSVEEADNMFSSMEKSGCAPCSRLLNDIIRTLLEKGEIVKAGKYMSKVDGKSISLEASTSSLLLSLFSGKGKYREQIQLLPVKYQFFDGVS >SECCE1Rv1G0019170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:223125579:223126125:1 gene:SECCE1Rv1G0019170 transcript:SECCE1Rv1G0019170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLSTFCFEILRRVALWRAHSIYDFTFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSQVGQPFKLPAKSMSRQDTTWRTETS >SECCE4Rv1G0247750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:563806702:563807098:-1 gene:SECCE4Rv1G0247750 transcript:SECCE4Rv1G0247750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSVVALIAVLIIGCLFMVGQCRPEPESTYEDGHANATMAVSSLDESKLTLKFCVIRDCQTKGEYYGFVGCYCCFSLKGNPCFDTSDECKKICPSTHRIL >SECCE5Rv1G0308430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:130322561:130326011:-1 gene:SECCE5Rv1G0308430 transcript:SECCE5Rv1G0308430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRLDRRSPGCLEGLFKLLALNQKLQTPKLIAYQKHGEGNDNTLRVKVPKPKNGIEKEETVQKETNSVSPTVKASMFTWKTLMFRKKTHKKDQKKIESHSNPRDSSPSSSRLIRSSSIHHSKCFEYVVPDELALEYQRMNESSSNETGSSQGALQSSHQSPRGAIFQESRKASGSISGKHSLIAEAPCETIPENLTTENEVSSKQKSLDDSTHHSKEFLDFLELFNAHRELFLKILHDPSLLEPPEQQEQEASSSGAVPLSKLESFPRPGGSSGKRNPIFDRSDSEKSRRAEIQRSPSRPKSDLEGAKVISTRMPSGLEASTVSLAESRSLKKAGTTSSRFKAISKKIKHVVKDGVFHKMPYGQKMAELTKSTSTKKFAQEEKQIQRSYSIAESVDKYSTLYDSMSRESRISFERPSTAVEDDASLRDKKSPMHIKRITSLPEMQLYAPQRDALTELSGSQIVPKTYSVESIRFSSHQNGSFSSVTEGNLYPDYITERTADIYSEQNDEEGGFLGSLEEDLRSILRTPSSSSFAQSFSHRRINSLPSFDRTFFQDHGRSFAEHSVADSEPTFEDMQLEDDEWLVKPSQASGECAANFKDDQWLIRPLQPSGIDATDYEDEEWVVETPQLLGANAAEDEEWLVKPGQALGANDSNSEFQFIHQFTEQNDAGSLHIYVNDKNEADFQYVKDILKKSGFSCGEADWYASNQPLSPVIFEEAECSCQDLEMTNDEPHSIVRRMLLFDIINEVLMHIYDSSLVNGPWHSRFDPRTRPIPMGSHVLEEVWANVSCYLSLQWKPNKTVDDIVAYDVMRKDSWMNLLYDAECVALDLEDLMVEDLLDDVVLQIVLISIDE >SECCE7Rv1G0515210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:838748612:838753552:-1 gene:SECCE7Rv1G0515210 transcript:SECCE7Rv1G0515210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSQSSKGDSSKSPMPHDGQGDEPSKGDSSKSPMPHGVKGDEPSKKPPTPQMLPITRPPKPGTRGRRITLLTNHFKVSGKPKHDSFYHYHVDIKYGDGHPAAGERVGRKVMRQLRETYASELAGKQFAYDGETGLYTAGSLPFTTNVFDVALVDASPRRIAATSGSPGGDASPGPSDTKRMKKEVDTKIFKVEITFAAKIPMNMYSQDALRVLDIILRQHSAKQNCLLVRQSFFRKDSRYVELGGGVRGCQGFYSSFRPTQSGLSLNVDLTTTMVVRPGPVIDFLLFNQDVKDTSRIDWRKAKQALKKLRIETIHTKAEFTITGLTENNCNEQTFPLKQKDGNFVDITVYDYYMDRWSMKLEKSAKFPCLIVGKSTRPTYLPLEVCLLVPLQRYKRSLSTLQRSKLVEGSRQRPDQRMLSVSGVLRANNYNSDPMLRECSILIAPEFTQVEGRVLQAPQLNSADGRELRTPNGRWNFNNERFIRPIEVKPWGVVNFSARCNVEDLVRRLIESGGKKGIKMAKHDFVIEERHQMRREPPTKRVEAMFQQIKAKFTQEPQFYFLLCVLPEKNSDIYGPWKRECLVEHGFFTQCLVPPPEIKDQYLTNVLLKINAKLGGLNSLLKKETTRAIPHVSRVPTIIFGMDVSHGSPGRNVPSVAAVVSSLKWPIMSMYRASVCTQSPRLEMIDALFKPVGDDDHGLIKDSLVDFLRKNDYQRPEQIIIFRDGVSESQFNQALNEELAQIMEACKFFGGKHFNGNWFPKFTLIVAQKNHHTRFFLRNGQRPDQVNNVPPGTVVDRGICHPSNYDFYICAHAGMIGTTRPTHYHVLHDEIGFSADELEELVHSLSYVYQRSTTAISVVAPIFYAHLAAGQVAKFTRLDDMSETSSQAEAAPVPELPRLHRNVASSMFFC >SECCE7Rv1G0522850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879461403:879461666:1 gene:SECCE7Rv1G0522850 transcript:SECCE7Rv1G0522850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQLKASRIKILAALLVFAMVTQGLAIHVKGTVSNDITGEAVNTKTTLGAGSSTTVDNHHAIPRGQYSSHGGEDGSGGSGGDTTKN >SECCE1Rv1G0009830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:58007023:58019635:-1 gene:SECCE1Rv1G0009830 transcript:SECCE1Rv1G0009830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPLNASNSYYMLLQELFFRLVLGLQVESSLSMEIIAFWLWLQQGNGQVGYLQHIYSLGDNHFRAIVSSAKRFLEVLHFGFDGSEARSVPRSDFQIQAIEGISLYLNTICYKALEDLRERIEMNFVRNQMRYLRQEAYGLSMTDRVRAARSTTDLCQDSYGESSNEQIPKSSKHLLTKIKDLYANTQKHHGEGTSSRNIHVQTSQIRHGEGSSSRDIHAQTSHMIRHDYQSTSRLVTLLDKLSLRENRNDAISQQLSDVPPDERTLFVTFSNGYPLTKDELQEFFMRHYGDIEEINVEEPIESRPPLYAHVTFYSQMTLFRVLDGNRRVKFMTRGKHLWARQYVPKKKKKTDV >SECCE4Rv1G0227580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:124634856:124635819:1 gene:SECCE4Rv1G0227580 transcript:SECCE4Rv1G0227580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSKLMAFLLLCSAVLVSTAAAYNTGRGAASSTTHLHFYMDDFGSQTNTAFYTGLNPTALRVVSGRSLSPDNGTTTSPGSARVGTAQGFAVRVSEGGVVSDLNLHLFLEAGEYSGSSVVVNGRVDLDSATRESVVVGGTRRFRFARGYMLSRDYEYDLANGGVVELDVYVQVQD >SECCEUnv1G0553980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:281134486:281135334:-1 gene:SECCEUnv1G0553980 transcript:SECCEUnv1G0553980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFNGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCTPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGVWFVRQIPTSISISEVFGFCKTP >SECCE2Rv1G0089460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:257684696:257691505:-1 gene:SECCE2Rv1G0089460 transcript:SECCE2Rv1G0089460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTADSVDHKGQKKAKKSNSKDERKHRKDKHERPAGDEASLGNEAKRKHKGGQEGKEHDKKPKKEKKAGTEADAMGAGATEVKRGNDKMKRAMEDERFSAARTDPRFRPMRRREAKVALDSRFNGMLTNPMFASSEAPVDKRGRRRKKGAKENPMLHYYLKQEEGGEEEKEKEKVKLVQEDDDDEVEEEDEQVEEESSSSDDEEEEEDDDDDECSVGSDIAHYLMAQHDDTPMIDKETHRLAVVNMDWDHIKAVDLYMVMTSCVPKGGRVLSVSIYPSEFGLKCMNIETTQGPSTLLGIHGHSDGDGGEDDNDNDNDSDSDEEDDEEDREHDSEDDSDNDNDGDEDDDNDSDEDNDEEDEHDSDSENNKLRTYELNRLRYYYAVVVCDSSTTANHLYTTLDGTELLKTANVFDLQFISDSMEFKHPARDVATEAPPNYKEPDFETRALQHSKVKLTWDDDEPERKKKLRRKFNDEQLDDLGVFLASDSDSDDDVDDSGDEPRPNGVAKRKLTNKERLALLLEGDKSDEEQADDQDMEITFNTELEDLSKRILERKSSEKKTVWEMHQEKMKEKRKARKRSSKDDDDDDDSNEDGADEDDDFFEDEQSDEDVKPMKKQKVKAKGKEKGKGKDKLPEEHFEPEATKEELELLVADQDAGHGAKGYNIKHKSKKGKKGKDSVEDKHPHIDLSNDKRFDPMFTSHLFALDPTDPQYKRSADFKRKQAGKKGAHAGTLDSEPPVEESSPGSTLPPDDASTSRKMKQKHDGASTEKLQMLSAVKSLKRNLTAFKKGSSTSER >SECCE2Rv1G0123500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:824620265:824620792:1 gene:SECCE2Rv1G0123500 transcript:SECCE2Rv1G0123500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSTEPTKHITLHNFLKQQHLLQQRLKPAAVLWGWPATPRPAPDDAADDADGMGGAAWPPRSYTCAFCRREFRSAQALGGHMNVHRRDRAKLRGQFGAASAAEAPAAAAATTEYALLYPVLNSTAAGARAVFIPSGDVLLSTPLAMAHGHRCQQSDDENDTDIDLELRLGWP >SECCE2Rv1G0125200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:839678788:839685505:1 gene:SECCE2Rv1G0125200 transcript:SECCE2Rv1G0125200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPPPPPPEHPIPPAPTTISALDDDQLREIFLRLPDLPSLASAAFTCRTFLGAVRSSRAFRRSFRALHAPPLLALFLTPYMRTVPTFPVSRPPTAARFSPLRDDDASEWGVDFSDPSIAYDEGFIALQHRSTKQEVYYNPQTMALFLHPKEHHDMPDGTTLEFHTLSPGEDQRPPRVVSVRHDYSRPWARVAVFSSDTMEWQIFPETAALLPEGFRGTTRTLVDGFICWQCESVGGASLSEYILVLNTDTFQFSLIDLPPPLRVVYPEFKIGQTKDGRLCIVNEKECTISVWILTDSDDGVQRFVLHNTFLLHSSFMEVTNCSVEDTISVRLMTVFSGFVYLSISPWKNSMGQYKSPEWFLSFSLETAELNQHFKNREQLLCPVHPYLAWPPLVCSMEDSESEVTGNILGDVGPEGTETTSSVLITALRSFKEALVKDGEATVAEIDAFLLCIDAEDEKNSLVRKITALDELLITVRDRVLRVGADSVFYGQKTETESWWEMCKGKLRRAFFFAS >SECCE5Rv1G0317630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:347718715:347721650:1 gene:SECCE5Rv1G0317630 transcript:SECCE5Rv1G0317630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWF3 [Source:Projected from Arabidopsis thaliana (AT5G05690) UniProtKB/TrEMBL;Acc:A0A178UQC4] MDAGALQLAAAAVVIVVAALVCRWFLVACAVRGRKQRPRLPPGSTGLPLIGETLRLISAYKTPDPEPFIDERVARHGGVFTTHIFGERTVFSADPAFNRLLLAAEGRAVSCSYPSSITTLLGARSLLLTRGTAHKRLHSLTLTRLGRPASQPLLAHIERLVLATMRQWEPTATVRLLDEAKKITFNLTVKQLVSIEPGPWTESLRREYVKLIDGFFSIPFPFASFLPFTTYGQALKSRKKVAGALREVIRKRMEERREENGVKEEMDGKREKKDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTVAVKFLTETPAALAQLKEEHENMTKMKGENQPLEWADYKSMTFTQCVINETLRVANIIGGVFRRANTDIHFKGYTIPKGCKIFASFRAVHLNNEHYENARTFDPWRWQSNNKLQNEVGANLFTPFGGGPRLCPGYELARVVISVFLHHLVMRFSWEAAEEDRLVFFPTTRTLKGYPINLRRRSKSV >SECCE4Rv1G0261520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:702414148:702419375:1 gene:SECCE4Rv1G0261520 transcript:SECCE4Rv1G0261520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRVEKATSDLLLGPDWTLNIDICDDVNSDHGQAKEVIKTVKKRLQHKNSSVQILALTLLETLVKNCGDHVHFLVVERGILPEMVKVARKKANVQVKDKILTLLDSWQEAFGGPGGKHPQFYWAYSELKQSGLEFPRRSPEAATILAPHLQPGIGSPVNSSLRADGMISSSGSPLSLSDLQRILGAAELLSEMLREVNPNDHEAVNDEIIAELVSQCRSYQKKIMSLVSSVSDEDLLSQSLDLNDRLQILLSKHDAIASGSPLPGEETDLLSEMPRGITANPAVTVVPETAIVPTFVLDDEEEEEEDDEFSQLARRNSRFRSANEESASSGVGASSSSTQDGTASSATSVTTTASASTSSSALSLPEHLAPIRTSPEDKIMSDLLALTIVSSPTPEPAFHHGGSPTSYHRQPHYVDPEHTAVAQNSYVAPWAQHQPQTAPIKQEQQQQAPPQQQFPYSPSPYPPPPWAPQDNTDSNPFVASSPQHLSTSSSPLKVPLNMRPLQQSQSFGVPLRSAASDSPTNKGLKQPMSAGSRRPSYVPSNKFFDDLLERNADGTLKTGSAVIGGTSSPYKP >SECCE4Rv1G0223420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:69811450:69824395:1 gene:SECCE4Rv1G0223420 transcript:SECCE4Rv1G0223420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPSPSGPPVLPISEHEDEIVAAVEANPVIVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELGVSIGEEVGYAIRFEDRTSEKTKIKYLTDGVLLRESLSNPELKQYSVIILDEAHERSLNTDILLGLMKRLIKHRASDLKVLITSATLDGLKVSNFFSGCPVLNIPGTIFPVEKFYSTDRPTNYIESSLRTAIDIHVKEAPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFSPAPPNCRRFIVATNVAETSLTVDGVVFVVDCGYVKQRQYNPSTGMYSLDVVEISRVQADQRAGRAGRTRPGKCYRLYPSSIYQKEFLEATVPEIQRTSLAGSVLYLKSLNLPDIDILKFDFLDPPSRESLEDALRQLYLIDAIDESGQITDVGRLMAELPLDPSLSRTLIEANELGCLSQALTVAAVLSAEITLRQTRSKDMEGKRKRQELPDGSGWGDHVQLLQIFESWDQADYDPRWCSDHDLQVRGMKFSKDVRNQLSQIIQKIAKGPTDLQARRGRKSDPDYRKLRRALCVGYGNQLAERMIHHNGYHTVGYRTQLVQVHPSSVLEGDEYGKLPVYVVYHELINTTRPFMRNVCGVEQTWVKPILKKLEKLNINKLSGGSSALTGSEPLEEKQPGSPTKAAAAKQSDVDSKIQAARERYLARKGKK >SECCE6Rv1G0399130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:431350956:431352557:1 gene:SECCE6Rv1G0399130 transcript:SECCE6Rv1G0399130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHQLQVLHALDVARTQRYHAWAVVIAGMGFFADAYDIFCVTLVTKLLGRIYYHVPGQLEPGMLPRRIEAAINGVTFCGMIVGQLLFGWLGDKVGRKMFYGKTIMLMIMGSFLSGLSFGNTADGVMATLCFFRFWLGVGIGGDYPLSATIISEYSNKRTRGSLIAAVFAMEGFGILAGCIVTLVVSATFQARFNPPAYEEDPMASVPPQADYVWRIILMLGAIPAVFTYRWRVLMPETARYTALVARDAEKAARDMSKVLKVEFSGEQDKIEGFTKDRDYGVFSRRFARRHGWHLVGAVASWFVLDIVFYSQIILQEEIFRDVKWIPEAHTMSALEEAYRVARGQAIIALCGTLPGYWFTIAFVDVVGRKAIQFLGFTMMKGLMLVVAAFYHQLTQPGRRIWLVVMYAFTFFFANFGPNSTTFIIPAEIFPAHVRSTCHGISSAAGKAGAIVGTFGFLYASQRADGSNETETGYPSGIGVRTSLFVLAACNVLGIIFTCLLPEPNGRSLEEVSGEPINGEDADLGDSKVLPL >SECCE1Rv1G0041580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:572511307:572517220:-1 gene:SECCE1Rv1G0041580 transcript:SECCE1Rv1G0041580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRVDSAILAVVVAFLLPLRLLSLCARLNSSGSAGDIRRSCSALAIAAALLATFFALPRYDGGRTGQCAASVGSPWEEGTGREVRLEIEQLKLQLNRLESLWENNSKASDEKGDASEEDAEFVKAMGLDIQALIKEQENIKESLWSSGGAIKSVENEVQILAAESRKMNSDIYNVWSLANDTEKTVEALHSDVQKAQILMDESRKMNSNAHQIWSLAKDTAKKVESLYADVAKAESVIDISKKMESNMHKVWSYAKQTEKRVEDIYSDVKKGFKKKAWMS >SECCE5Rv1G0321900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:412141117:412144547:-1 gene:SECCE5Rv1G0321900 transcript:SECCE5Rv1G0321900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSSRRDRDRDRDRGEDRHTTHERSDDHHRRRRHDSDGHQHHHKRDAEDDHRRRRHDDGGVEEDRRRARRHRSHSPSESPPTAKRDRSSSRAPRDSVERRNSADHEPLPPPPPPSRKRKDHDSGGGRRDGDEPDREGGKRPRASVEPPVRKEERPRRERRRFEDVDAYGDERGKGDKGSSSREQKKVESGANGDSQSGAATNGGAQQPLNSAPAVAVPSADPVSSKVSSITTTNENGGVSIRSDEVTGKSSTDGTANSSAGRSSNLSLDALAKAKKALQLKKELSEKLKRLPALNKLGSTIPDTQAPKKEAQSVSGSNASAVHVGASSEVPASSVSAGISGLTNIPNLDAVKRAQELAAKMGFRQDPQFAPLINMFPGTSSELTVPQRPAKAPVLRLDAQGREIDENGNVINMTKPSNLSTLKVNINKQKKDAFQIIKPDLESLAKATVHFDERMGINPTKLLRPKRPGFQFIEEGKLSRQAELQRIKNQFGEAQAKELKVKQAQLAKAKVEVDMNPNLIEIAPGGRPPKQKQKEEIPDIEPWDSKILISPTYEDISLEKLNMDKITIYVEHPEPLEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGAEATQDPTRMEMEIRTAAAEREQAHVDRNIARKLTPSERREKKERKLFDDPNTLGCIVCVYRIRDLSHPQTRFKVDVNAQENRLTGAAVITDGISVVVVEGGRKSIKRYNKLMLNRIDWAVAVGGEDDADEEPDKPVNSCVLVWQGSVVKPTFPRFGVHQCRSEAAAKKVFADAKVPHYWDLAVNFSEDSS >SECCEUnv1G0560150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:344956206:344958566:1 gene:SECCEUnv1G0560150 transcript:SECCEUnv1G0560150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPGALHRSITLQSFTVFSLVAVFVADHHVQGGDDGCPLFSCGHLRDISYPFRRRGDHRECGVEEYELGCTGSKAMIHINTGTYYVTAINYTGSYFWVMDPNFITSSSCPLPLWNHLPYFGYSYGIDSVSPPGFRYLVTQSPHGACFANCSRAVTDNSAYKPIACQSASNSHVYVWVSNYTYCAVEDLEPYCGYLAEIPFGNEYSPDWRQLQNASYADITQFISKGFTVQFPVDTIYRKRSTSVMLRKAINLCLNNSISYFKEQISGTSIVNWTHAIFWSEVHFLECMTQSSNDDYYSLSPEILAIKIDYYYKTKLVLIIATLVSAIAIPQFFFVLCRFLLAPLAVWIFLAYKYMKTRIIIDAVEKFLRIQQMIGPTRYAYTDIIAITSHFRDKLGQGGYGTVYKGVLLPGGVHVAVKMLEGNSNCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPNGSLDKYIFSTEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRGDSFVPLSAMRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNMGSSSQAYYPSWVYDQLTQEEAGEISPVAANMHELEKKLCVVALWCIQMRSRDRPTMSEVIEILEAGADGLQMPSRPFFCDEGHIHVEDSYQFTSELTVVSEEELSAVSEEDDV >SECCE4Rv1G0244770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:520723493:520724964:1 gene:SECCE4Rv1G0244770 transcript:SECCE4Rv1G0244770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYMNGPGRNHLFVPGPVNIPDQVIRAMSRQNEDYRSPAIPALTKTLLEDVKKIFKTTTGTPFLFPTTGTGAWESALTNTLSPGDRIVSFSLGQFSLLWIDQQQRLGFSVDVVESEWGYGADLGVLESKLRSDSQHTIKAICIVHNETATGVTNDLHAVRKLLDAYRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEAAKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRAGLDLLFEEGLDNVIKRHTRLGTATRLAVAAWGLKNCTAKEENFSDTVTAVVVPPYIDSSEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLLGCLSGVEMVLKDIGYPVKLGSGVAAAAAYLSNSTPFIPSRI >SECCE3Rv1G0164950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:167791262:167792539:1 gene:SECCE3Rv1G0164950 transcript:SECCE3Rv1G0164950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLQPWALLPVLFLLSSVLSLGAPATVFNENFVPVWGADGYHLANQGTQVSLTMDRNSGAGFRSKMTYGSGLFHMRIKLPVGYTAGVVTAFYLTTEPEYGDHDEVDFEFLGNVDGKPVALQTNIFLNGQGYREQKFYLWFDPAAAAHDYKILWNQHQLVMLVDETPIRVLKNLAGRTPAYQFPTRPMRIRASIWDGSSWATDNGKIKVDWNRAPFTSAFQRFNVDACPATGDAPCGSPNLWWNKFGDLTSAQKVAYKNVKSKYMTYNYCDDKARFNYHLPVECNYN >SECCE2Rv1G0120790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:801760420:801763699:-1 gene:SECCE2Rv1G0120790 transcript:SECCE2Rv1G0120790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTGNEFGFSVAGKRKRSPEFPCVSRFRKRRLISFLGHHNFDRTADGLVAETDVFLCLRHLAELVDAGSWDDAIDYLSRFLPSDRPLGVHGRALFHYLRLHKAIDDVLAGAPEARSVTIALDQCIIRHPTKSHAITRLRAIFSSLLCSKRYRAVLDTRRVRHEAKLTVVDLACKTPELKDHMRHARGPMEPQNVLPIGFGYACFRPRRHVKKRGGRVPASVLGRLYLEKKKKLLTSDDHSQGLTRESLIKAKEWLVDLVEISLEVGKMSDAELIQSASNKGGPVTPVSRTNLATFKVVTNRTLFRKAKEWMVYFTEECLKAWPDASQDDLPQLFCKDGDPDGTVSHTVPGSLTSLAENSMFASVKKAGKLVAYSQISIPG >SECCE7Rv1G0518230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:858117613:858118371:-1 gene:SECCE7Rv1G0518230 transcript:SECCE7Rv1G0518230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDQHQQCGDQPDAAMAATGTRSSATASVSQVAFVLAAVAFLSLFSSSFLGGATAAGGASSSSLPSGAALSARLAALCGIVTTKKALFVLSNAIFLFLAADCRCFFSGVSSTATPSTTDHVVTASELPPCAVQARPCASYSGNLYYFYRNTKSASVNSPGEQGIKREDVPVSSRPESAGFHAGEANVTLETVVIVEEDEPTCGGEAQDEELEKLEMDELNRKFEDFIQSRRIKWLKEEEEHVLQCQLRQAV >SECCE4Rv1G0270590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755898240:755900300:1 gene:SECCE4Rv1G0270590 transcript:SECCE4Rv1G0270590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEVFIVGAGPSGLATAACLSKLSIPYIIAEREDCIVSLWHKHTYDRVKLHIAKEFCELPHMEYPTDSPTYLPKDQFLRYMEDYVKHFNISPKFNTSVESCMYDEARKCWVVMTHRKVDGPTMYACKFLVVATGENSAGHVPEIAGLQSFPGEAIHSSSYKSGRDYVGKSVLVVGCGNSGFEISHDLAVHGANTSIIIRSPLHVMTKELIHLGMILSTWHLPLKLVDFILIILAYILFGDISKYGIVRPTIGPLTLKAKTGRSAVIDTGTIELIKKGDIKVFGPISCIRGNLIEFADGSERYYEAIVFATGYKSTANMWLKNDMSLLNSDGIPKNDFPNHWKGANGLYCVGFARRGLAGIAHDANIVASDIHANIAMAYFN >SECCE6Rv1G0438970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:793541135:793541460:-1 gene:SECCE6Rv1G0438970 transcript:SECCE6Rv1G0438970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGTACKCGNGCGGCNMYPEVEATGATFLVSATATHKASSGGMEMAAENGGCGCTQCKCGTSCGCSCCSC >SECCE2Rv1G0139740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:928832504:928834645:1 gene:SECCE2Rv1G0139740 transcript:SECCE2Rv1G0139740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRRSTRLRPQAHASKEGARVARSCSTRLRPHVHASEEEVRRRRGSFRATPLEDDDLLREILLRLPPEPSSLFRASAVCKQWRCAATDPEFHRRFCAHHRKPPLLGFFTISICSIEFTPALDRPDRIATHLGNGNVRTHELLDCRHGRLLHTDQLGDDVIVSDPITGAARRLPFPPDIEDRNCINGAVLCGASDRDHVHGSCHLNPFKVVLISEQVEAYRVMACAYSSETGKWSDSISTTVPCELEGTRVFGSLVGNALYWSLNSPSDSILEFDLDENSLTVINGPPVTNDFRHGSHWIIQAEDGVVGLAILSYPRLQLWEMNVNFHGVATWVMWKIMDMHNILGLPPQIEGKRAYVGHIVGCIEDTDGILLSVDDIVYMVQLKPMHSRKLGQDCRYSYYYSFKSFYLPGTSIDGACDGAEILHNT >SECCE7Rv1G0483890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:331044926:331046342:-1 gene:SECCE7Rv1G0483890 transcript:SECCE7Rv1G0483890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLKVPLILYLLAVLGGRHVHCKSNGAAAGLYDVTEYGAAPSSEDNKDAFLAAWRAACSSTTGNATLLIPEGTFAVSAVEFSGPCKSGSAPVVVIDGVLRPCTGGCHLSDDDWITFSALSNLLITGAGTLDGQGGVQTNKARTTTLELDGVTNSTVRGLRFVDSRGFHVSFHRSSRVTAEGLRIHAPASSRNTDGIHIGCSSHVRITDSVIGTGDDCVSVGPGSSDVVVTGIVCGPGHGISVGSLGREEGEEDVRGLVIRNCTVRGTTNGLRIKTWPGSPPGRASNITFEDIIMSDVSNPILIDQRYCPHGRCSDVDKPSRVQISDVTFRRIEGTSSSKVAVQLRCSEEQPCSGVRLDGINLRCGDQPCHAQFANVRGAPALEAQAPSLWVQEAHGLPSDAE >SECCE2Rv1G0080340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:129250307:129250713:1 gene:SECCE2Rv1G0080340 transcript:SECCE2Rv1G0080340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASVKPEYPVVDRNPAFSKVVGNFSALDYMRLSTISAVSVTVGYLSGIKPGIRGPSMVTGGLIGVLGGFMYAYQNSAGRLMGFFPNESEVARYKYKL >SECCE4Rv1G0277920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806294531:806297046:1 gene:SECCE4Rv1G0277920 transcript:SECCE4Rv1G0277920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLASGRVRLLRGISRHRLPQQGFELGRYTACFRRYKAHFLLDGIEDAAESAIGPQQPPVSLAKSLASLTKESTMVTQSQRKPLSRMERKRLAELRIKKRVKAQYFNGKFYDLIGKVVASAETLEDAYDIVRLNSNVDVASARDNVCFIALAEQLRSDDFDIAANSFSVAAKRQGGERIVLPRLNLKVIQEAVRVVLEVVYRPQFSKISHGCRSGRGYHSALRFISTEIGVPDWCFTVPLYKEVDSDVVLKLISQIQEKIVGDQLVAFMQDMFDAEVINLVFGGFPKGHGVPQEGVLAPILMNIYLDSFDHEVFRICMKYEGLYSGATNGTDNQGSKLRLWFRSQMKDMDLTNEDQTEGQPNVRLYACRYMDEIFVAVVGSRDIAETVKSEVVDYLSKSLYLKVDDGLCLVPVKKDSRGLQFAGTVVKAATKESAALKTVHKLKEKVRLFACQKQEIWDAMNLRLGKKWLAYGLRRVKESEIKSLGLSTPLLDHIAQFRKEGMKTDHWFKTLLKVWMQDINAKNEANEELLLSKYIAEPALPQELRDAFNNFQKQANDYISSETAATEALLSSLKNKESMHTCPDDAAIKIYAPLSYIKKCLNGYGVTNLEGFPKHVSALVLQDDELIISWFAGIIHRWVRWFSEVDNFKELQLMLVECVRKSCIRTLSAKYRMYEKLTEKRFELDDYGIPMVEDFEAMIAQSEPNSSSVSTDEALTYGISSSGLCVLTLSRVRVPARKFNCFVMGCQSSSPSLYIIHVKEKQRFPGWRTGFSSSIHGSLNGRRIGLCTQHVKDLYLGQISLQSVDFGVLIR >SECCE2Rv1G0103960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:597330521:597334679:1 gene:SECCE2Rv1G0103960 transcript:SECCE2Rv1G0103960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVREPVAMEIPAEDGAASRVPPRIRRRLLEGRTSGGGGPASAEEIEAKLKEADHRRQVLTALLKRKAHARLMHIQRAAMTVSSQREAERIKLKEQLESKLQRAKRKRAEYLKQRGSPCSSAHADYIKHADLLSRMLARCWRSFLKSRKTTLALAQAYDALGINEKSVKSMPFEELAMLMGSPTALEATKAFLDRFERRVTLYQSANSSSAENIDHLLKRLVTPKRKVPPSRDGRTRVAAKKPATTSETSRLSRYSLRVALCAYMIMAHPSAVLSGDGEQEKLLMESAANFVREFELLVKTILEGPGRASRQPCLDGAGSSSCQKSYDVARQSKFKTQLVNFDKAWCTYLYRFVVWKVKDARSLEGDLVRAACKLELSMMQTCKLTADGQSHNLTHDMKAIQKQVSDDQKLLREKVQHLTGDAGTERMDSALSDARSKFFEAKENGSPLATPVANVSTPLSINSSGKLPPSEVNVSSKTDAEGSRSVVRSLFRASAASSSTSPVNLPTENEQMVNEMLHEDGRAIASNSNDAHTTEKDFQVKVRETMEKAFWDVVTDSLKGDKPDYSQLINLVKEVRDSLHDLAPKGWKEEIYENIDLEILSQVLESGSQDTQYLGQILQYSLDMVRKLSAAAKDDEMKVSHGKLLSELAASSEVNDNGVSSFVIVVIKGLRFTLEEIKQLQVEVSKAYIQLIQPTIKGSAGVEYLQKAFGDRYGPPANASASLPVTLQWISASKSIVDAEWREHLGSLSVLPAANHAQPLVTVLRAGHGAPTAAVASAGSSGLPECKGEKIDKLVRVGLLQLISGMEGLQLQSTPESFHLNFLRLRAVQGQFQEVIVMATSMLVLRQVLMSENSKVTPLELETVISELFGALVKLLDNFPEAGTEEIVEAMMSASASAGSLSDTKIQARRQIITRVLLKSLQADDVVFKKVSRAVHCAFRGVLVGGSGAKGQKLADAALRRVGAGKLAGRVVKAAEVLIRVATVSEKVHGPWYKGLA >SECCE7Rv1G0461950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:41575648:41581783:1 gene:SECCE7Rv1G0461950 transcript:SECCE7Rv1G0461950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAVTVTASASADGGAFLEFVDYAVSVLTSSGGDGDESPGAGPAPARPPWGWTVAQVLKSCRAYSSGVTAAILLSDVFQSWTEQRKSLTAKRKVEVTSLLNPRSKRRRLPRTVTIDSIHEKNFLSPKSVLEAVVIDVFVLPGTNIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHCILKKGREVVLTGCCLRTAVEGSGHARILPTEYMVILLDEEQDEDAMLLAAQFCTYSFSSMKEEKSRNDVVYSFYARIEKIETLEPFGCTERKQIVLVDNEDEKIKFILWGEQVSLANLFSVGSMLALDSPYISSFAHNNHEEPQELCLEYGSATQVYLVPIAQHEEQVFLTPTQVRSQGPRLSCVPVENMASQVTLPRDLHGAVDFSKYPFRAYVSDLHGKMVGVSLFGTVTSVCKVSTSGTTFYVEIEDATGVVLTKLIFTGHWSLGRVGVGHMVYISGLTCSLNKINILEVSWSEMEPGSLFVNLSLLPALLNSTCLHNISLLSDLPHSANRTHICRVRLDHIDCDSLKLSLFHNLCGYVVDEQPDGLQCSFCKVACQNGCTPGFQLHLTIADDSEKVFAWCVGQTAVEFLQISPDEYMELPEDEQAMYLFTLQNESFTVAIANTSKRVVDGYAENAEALPVWEITRAQRCE >SECCE4Rv1G0222890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:64151705:64153120:-1 gene:SECCE4Rv1G0222890 transcript:SECCE4Rv1G0222890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDQKLLNFLRPDPAVAACSPRSFSSSSASVSDDDGYSCSSYPTTDGDASPSRYGGSSTPPTPKSPWAHLPGLGAGGAVAEPGATGLIASLVKEDGHVYSLAATGDVLYTGTDSRNVRVWRDQRELAGFRTASGLVKAIVVADDGRIFTGHQDGKVRVWRAGAENPAVHRQVGSLPKLKDYLKSAVNPTSYVETQRKGRQRAVWLRHSDAVSSLSLDEGAGLLYSASWDRTIKVWRVSDSKCLESVSAHDDAVNTVATAGFDSVVFTGSADGTVKVWRREMAAKGDATKHVLEKVLRKGESAVTAIAVSPEDHVVYVGSSDGLVTYWYWVDGEARYGGVLKGHKMAVMCLAVAGNVVVSGSADRTLCVWRRDGAEHVNLGVLAGHTGPVKCVAMDEEVAAGSGGDRRFVVYSGSLDGSVKVWRLSNAQAPQGAPVTDRTAAPSQAWMGRPAPAPYAEAWAPYQTKRMAAA >SECCE2Rv1G0140330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931281064:931282917:1 gene:SECCE2Rv1G0140330 transcript:SECCE2Rv1G0140330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEANVKSGKSGNCIDHGAEIQRRKHEETKSEIEGAKKRGQVAALTSASIDTKLEMGGTEEMKENIVSEIQRRKHEGTKSETHSAMETVRAEIYSLLSRISKNVTELKAEQKLRISDYSSDEVHIHMDNMYSEVTNLLQMMENVQNSEIPGKEHVSENIGVEGIQIRTEDKGGSATKMQGPTYYEYGLNAIFNGLDQLCTQLDQMGHLSYNSQFFSKLFKESLVRTRIKLDEVDETPPIISNDTLEAATGKCEVSPKISTSTEMKSEIEETKEMGVKAKEKLRKAAVMHNKHAEKFFDEYRCGWVSNWSRLYGTFTETTSLSPMHFTHSTPGNTPSAAFVASTLQIYSIDVKDIKDVPGLKLPLEVYGVIAARDAVDHNRNILFSRRRSNCQILNPEDPFLHLTGPSRAIVCQEPVHVEIKLKVKGGTESEDIALMSRVWYYSGSVSCTLYTPLVGDLCTMVLSSEELHESVQATIVGIRLRVPEETPSLFKNGGRVVCFSLPRKGRSRKGRLPNSTHPLFRQVVLQDGAMTSCSKGYLNLSRHVVSVKLCGTLEVVIHANSQSGAIKGEVSIKAQNCNITQDVCHLGDSELEITVAWSRLVQDKLWISMEGSEQV >SECCE1Rv1G0038340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:537813609:537816073:-1 gene:SECCE1Rv1G0038340 transcript:SECCE1Rv1G0038340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAKFLFGISGNVIALFLFLSPVPTFWRIIRNKSTEEFSGVPYNMTLLNCLLSAWYGLPFVSPNNVLVSTINGVGAVIETVYVVIFLVFASSKKARLRTLGLASAVAAVFAVVALVSMLALHGPARKLLAGLAMTVFSICMYASPLSIMRMVIKTKSVEYMPFLLSLAVFLCGTSWFIYGLLGHDLFVTIPNGCGSVLGAAQLILYAIYRNNKGNAAGAGKLQGDDVEMSVDGRNSKVADGDDRGVAGSTKAGKMVSQV >SECCE7Rv1G0489550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:457490143:457495321:-1 gene:SECCE7Rv1G0489550 transcript:SECCE7Rv1G0489550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone protein p23-1 [Source:Projected from Arabidopsis thaliana (AT4G02450) UniProtKB/Swiss-Prot;Acc:Q8L7U4] MSRHPEVRWAQRIDKVYITVQLPDAKDAKVNLEPDGVFSFFATAGTDGNSYESKLDLNDKVNVEASKVSVGVRSIFCILEKAEAKWWNKLVRDDQKAPHFVKVDWDKWVDEDDDGADVNVDGMDFSNMGGMGGMPGMEGLGGMGGMPGMEGLGGLMGGMGGMGGMGMPPGMEGLGGMGMDEFEDESDDEGEVSKPQDAGKADAVEISEEVEGKAGTTPQSN >SECCE1Rv1G0056010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:684585712:684585999:-1 gene:SECCE1Rv1G0056010 transcript:SECCE1Rv1G0056010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVTVPTGFKPRSNTRCSWRVTVKLMNGTATLDQGWATHAVVHQIKIGYMVTFKLMTPDTLKVIIFNDDGIEVVNRCGKHDEAFAARD >SECCE2Rv1G0135590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908079574:908081058:1 gene:SECCE2Rv1G0135590 transcript:SECCE2Rv1G0135590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSPSTDLLAVLAFLICLLRCCEAGFDVGVYNPIILIPGISCPNLEARLTNAYVPSLPRCGALKGKGWFSLWNNTSDLIRHNYVPCFEEQMRLVYDSAIKDYRNLPGVETHVPDFGSAHGFTSKTLDASRKGFCMTRVLEELELLGYRDGVTLFGASYDPRHAPPLPGQPSRVFSDYFARFKDLVERASEKNQDKPVILVAHSFGGMATLKFINWTPIPWRKKFIKHLVLISPAVPGGFMEALTNLASGPKPLVVPTVPRLSLRPMWRTFASALLFLPSSPVFGHKPLVITKSKNYSAYDYKDFLPAIGLNAEVVERVISTKLRVDAPMVPTTYLNGVGVQTPEQAVYWDGNFDVAPKNVYGDGDGVMNLVSVLALVNDLRRQQQANIQFKFIKIVNATHSDIVVQEHSLKKIMAEILEANR >SECCE1Rv1G0000300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1103062:1110155:-1 gene:SECCE1Rv1G0000300 transcript:SECCE1Rv1G0000300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGLSYAKSALAEEVALQLGVRRDQVFITNELEMMQAFLESAHDEGDDNRVVKVWVKQVRDVAYDVEDTLQEFAVLLKKQSWWRIRRTLLDRRHVAKQMKELRANVEDVSQRNMRYNLIKGGSSKPAMTGDNSAISDAKMSGVNEGKRQHDKAKVDLIRLINMKDDNLRVIAVSGTSTRHLEKMSVIKCAYEDRNVHMKFECRAWITGFMCPFSLTDFIRRIIEQLHVNFLQETGGKGKSTLEAQVLRMMGMMKEDDLVDEFTRYLSEKTYMIVLDGINTIKDWAHIKPCFRDSKKASRIIVSSEQVGVASLCVGPEDAAPEYMRLFADENIYAFYEKGSQDGTGSMEAMSSSSVDTAGGDSSANMKTLDRTHTLLAAFMESQLIGRETEKTEIVKRITNIDSRQLEVISVWGMGGLGKTTLVRDVYQSQDLGGKFEKRACVTILRPFNLDVLLKDLATQFGYKDVPEMDTKLAGHKYLIVLDDLSSNAEWDIIIPHFPAMETASRIIVTTRVKDIAIHCSEKHENLYELQSLGHDSALDLFIEKVFGKTTNFNEEYPELVEQANLVLKKCSGLPLAIVTIGGFLSNQPKSSLEWRKLNDHISAQLEMNPKLGTIRTVLMRSYDGLPYHLKSCFLYMPIFPEDYKVRRGRLARRWSAEGYSMEVRGRSAEEVADSYFMELISRSMILPSQESIHSSKGIASCQVHDLIREIGISKSKEENLVFTLEEGCMSNSQVTVRHLAINGNWKGDKSEFESIVNMSRLRSVTCFGECKSVYISDKMRLLRVLDLEDTTDLSNHHLKHIGKLLHLTYLSLRGCADICYLPDSLGNLRELETLDLRDTKMVMLPKSIIKLRKLNYLRAGKKPTDEDVSYEETINFPCPLCLVTGAFCLMCCAPQCLSDDTPPNRYDVCTSICCYALPGIAMRLDFYGALVPRGMRKLKALQTLGVVNIGRRGKLVLQDIKGLARLRKLGVTGVNKENGQELCSAVIGLSRLESLSIRSEGKPGLCGCLEGTFPFPETLQSLKLYGNLVKLPEWVQGLNNLVKLKLRSSRITEHDTAL >SECCE3Rv1G0157510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:82320892:82322054:-1 gene:SECCE3Rv1G0157510 transcript:SECCE3Rv1G0157510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAMASSLHPWVLLLIFPLLSLVLTPAALAAVFNDNFVAVGGTDSNHLVDQGSAVRIVLDKSSGAGFISKEAYGSGFFHMRIKTPPGYSAGVVTAFYLTTEPEQGDHDEVDLEFLGNVDGKPVILQTNIFLNGKGDREQRYELWFDAGADFHDYKILWNTYQLVVFVDDTPIRVLKNLAPSQFPSRPFKIRASIWDGSDWATENGKYRVDYNRAPFITVLQDFDVDGCPATGGAPCGSPSLSWNAIQSLTPAQLEAYKDAKGKHMTYDYCTNKDKAYAQLPGECNNN >SECCE3Rv1G0162350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:129526713:129528942:-1 gene:SECCE3Rv1G0162350 transcript:SECCE3Rv1G0162350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGDGGDDVRHHRRIPRADEIVDARYPMTICYSDDDEEIDLKSPSEIVALHAACRDRITQYDPKKRARVLTRFCGVNLAGFDLDRESKIGLGPLLEDVTPQLWELRAGTSYNVVSVKVIESDRGYPISVFGTVLVRDSIDYRCVYLFRREREDPQMINSKEDMLALTGPRRGLVAFDNIHFEFNLKVKGDPDDEDFTKGVIESRTFDSGPITMMLPSLLSTVELVFAPVKHPVAASLQINILNGPPYAPFVGKVSAGTRNSETHIILYDGRAMSCSGILVGDDGSVPLSRNLVVIPIPTWDDDDEFLVHVCIFDNDEDEGTHVTLQHPDEEHVCTHGSYELKVKVTWTAILARPLGAKYNPKRDLSWTERYGSLNYSG >SECCE7Rv1G0465740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:72933082:72934509:1 gene:SECCE7Rv1G0465740 transcript:SECCE7Rv1G0465740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLGKPGAPKGRSRRAVVGAKESAVAGSFRRLCFAAVLAVTFFLYYRFSQLDDPCRGRYIHVYKLPPRFNTDMTRDACRGDANGGGRWPAGMCESIDNAGLGRPLADPADGVLTGEDGWYGTRQFALDVIFHNRMRRYECLTNHTAVATAIFVPFYAALDFARYAGRDNVTRDAASVDLAEWLKGQLLSDPQRRQDGHDNFLVAGRTARELARDGGNSGGPNWGTNLLARPVGRNLTVLVLESSLSPNASDLAVPYPTFFHPRTDADVFRWQDRVRGLQRKLLMAFVSEKPRGTDNAATATIHDHVMAQCKASDACGHLQPDPDCATGSSDGECHPPVKAMRLLQSATFCLHPPPGGSPPSYTRRWVFDAMVAGCIPVFFHPASAHLQYRWHLPEDHAKYSVLIPEAGVRAGTASIEATLRAIPAATVERMREEVVRLIPQVVYADPRGKLETVKDAFDIAVDGMLDRVARLGH >SECCE7Rv1G0472180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:138071361:138074490:-1 gene:SECCE7Rv1G0472180 transcript:SECCE7Rv1G0472180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRHFAVFTTASLPWMTGTSINPLFRAAYLAKDGSRDVTLVIPWLSIRDQELVYPNKIVFDSPLEQEGYVRRWIEERVDFRPSFSIKFYPAKFSKEMRSILPVGDITECIPDEVADIAVLEEPEHLNWYHHGRRWKNKFRRVIGVIHTNYLAYVRREKNGQVIACCLRYANTWVTRIYCHKIIRLSGATQDLPKSVVCNVHGVNPKFLEVGRLKLKQLQNGEAAFTKGAYYIGKMVWSKGYRELLDLLSKYQSRLGDLEVDLYGSGEDSDEIRESAERLSLAVNVHPGRDHADPSFHDYKVFINPSTTDVVCTTSAEALAMGKIVICANHPSNEFFKQFPNCRIYNNDEEFVQLTLNALAEQPTPLTDMQMYDLSWEAATDRFMEAAEINLPTAEPRIQQASKTYFPTFLRTRKLKQSLEDASVYLHQALSGLEVTRCAFGAVPKTLQPDEQLCKDLGLTSPPKRKKLKQKLMT >SECCE3Rv1G0152020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:43846618:43846965:-1 gene:SECCE3Rv1G0152020 transcript:SECCE3Rv1G0152020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAAIKLVLVTLLAALLLMASDAAISCGQVNSALGPCISYARGSGASPSAACCSGVKRLAGSVRTSDDKKAACLCIKRAAGGLNPGKAADIPTKCRVSVPYKISSNVNCNNLH >SECCE7Rv1G0478940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:243403544:243405873:-1 gene:SECCE7Rv1G0478940 transcript:SECCE7Rv1G0478940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAPVAEFRPTMTHGGRFLLYNIFGNQFEITAKYQPPIMPIGRGAYGIVCSVMNFETREMVAIKKIANAFDNNMDAKRTLREIKLLRHLDHENIVGLRDVIPPAIPQSFNDVYIATELMDTDLHHIIRSNQELSEEHCQYFLYQLLRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRAPLFPGRDHMHQMRLITEVIGTPTDDDLGFIRNEDARRYMRHLPQFPRRSFPGQFPKVQPAALDLIERMLTFNPLQRITVEEALEHPYLERLHDVADEPICTDPFSFDFEQHPLTEDQMKQLIFNEALELNPNFRY >SECCE2Rv1G0066970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:16517825:16520305:1 gene:SECCE2Rv1G0066970 transcript:SECCE2Rv1G0066970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKDYSTAILERKRAPNRLVVDDAEGGVAVDTSTVALSPGTMDALDIFNGDVVALRGKRRRETFCYAAKDESCPDGLARVNRVVRGNLRLRLGDLVTVALCAAVKDAARVAISPFEDSVDGISGNLFDAYVKPYFIGARRPVRKGDRFVVHGRMHAVEFKVVDTDPDECVIVAAGTEVFCDDANPVKREDEERLDGPGYDDVGGVRKQLAQIRELVELPLRHPKLFKTLGVKPPKGILLYGPPGTGKTLLARAIAAESGANFVVVNGPEIMSMMAGQSEDNLRKVFAQAEAQAPSIIFMDEIDAIAPNRDKTHGEVERRVVSQLLTLMDGLRPRAQVMVIGATNRPNSLDPALRRFGRFDKEIDIGVPDEVGRLEILRIHSKDMPLSDDVDLERIGKDTHGFVGADLAALCSEAAFQCIRQKMDVLDLEADTIDVEVLNSMSVIMDDLVHAKEVIKPSALRETGLVEVPKVSWEDVGGLEDVKLELQETVQYPVEHPEMFELFGMEPSRGVLFYGPPGCGKTLLAKAIARECKANFISVKGPELLTMWFGESESNVRDLFDKARQSAPCVLFFDELDSIAVKRGNSVGDAGGTSDRVLNQLLTEMDGINAKKTVFVIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRLQIFKSCLRRSPLSRRVSLPDLARSTAGFSGADITEICQRACKLAVRDLIQRSSLVGKAAAMAGAEITRKHFLGAMEHARRSVSDLDVLKYEYFARKFKQGGSFEEAPLPAVGPPQGHLELRAAEDVEASMDEDSLY >SECCE5Rv1G0331920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:542443446:542443998:1 gene:SECCE5Rv1G0331920 transcript:SECCE5Rv1G0331920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGTGAKGKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVIPKINPVLLPKRTAEKEDKAPKSPKKAAKSPKKVATPKKAVLCSSG >SECCE4Rv1G0227890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:129377133:129378338:1 gene:SECCE4Rv1G0227890 transcript:SECCE4Rv1G0227890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRRHVHLLLQNHKKRAYSLHLLKRDHLFYPTEEEAAAKARALPRLRKVPPFSPLGTVPKRKKNQAGPSYQLESVKLSPAVFTVRPSPCPTACTGDARVEFFPLSGSMIFFTDSGARTAFYDTEDRCMITSPNLQSHKYQPIVVSVPNPGSEGEQDGGDHSLYIMDTLLDHCNASPFEALIYRKLPYSAVETWHCDTLPLPPFLNDGPHRRCVSISSYTVVGDVICVSADGSGTHCFDTVSRTWSLAGDWQLPFYGKAEYIPELKLWLGLSAANPELPCAADLSPVLGGHEPKRRYVWGDPHLPKEWLPASNGSSSIISFGSGRFCITNFYEDMNNIVSDGQGGPVGETIVVFTGLEVLSGKESGSDMGKDRSDGKGNGLRMIKHKSCTIAQTDYIESIL >SECCE5Rv1G0372670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:854158801:854160435:1 gene:SECCE5Rv1G0372670 transcript:SECCE5Rv1G0372670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEIRSSHHQEEATGSLMKPGSEYPPGMLRGGAPAAHRRQFQPIPAPIMSFRPPTANTPMMPHYQGQLRFHGHHGGPRPFHQPPPGGNPGAADGVASTAQQRFTAMSAASRSAVGVYAPSRRIWNPKSTLMTMFYNGAVNVFDVPVDKAQEIMVLASRASVSTPPRPTEIHKSDSHFPANTRFAVPDPRKTFATHVSTISSRIPAVPQAPTLSKSTPPGYQNYTPDPRTSSGVQSSVVPPVCRASSAQSMQQASSVAVAAAVRPIAVRQSRKASLARFLEKRKDRVSSVTPYQVSKSPQESSGSLGSGSTPSRLSSSDNAPSSNNCQESMSYASNDAMSAIPSRVIIELE >SECCEUnv1G0563270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:373865102:373865371:-1 gene:SECCEUnv1G0563270 transcript:SECCEUnv1G0563270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIRVFFTLSASPADNNPGARSSWATLSWRGRQQDGQPREAEQHHQQLGKGKHQSHLALPPPREETRRLRFAPEFDGIECFETIIWRP >SECCE1Rv1G0055710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:682542828:682543800:1 gene:SECCE1Rv1G0055710 transcript:SECCE1Rv1G0055710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASSFASLCRHRLIHCTTRLGCPNPPASAAHFLALDRRRSFSSSGPRRGIRGTRSGGGEAGGTGRPAAGFSTWARLAIGSAAAVTAPFLHSKWASILRIGNEVEMVKDAAESAAEVVEEVATAAEKVSSEVAGHLPEDGRLRRAAVKVEHASKEVAEEAHRARDIIHKVDEIEEDVKAIIEPIMDHGKHERKHLEK >SECCE6Rv1G0440660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:805795114:805799450:-1 gene:SECCE6Rv1G0440660 transcript:SECCE6Rv1G0440660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADATMYADRFPSAEFPRRPVDYEPKTWDYDSICSLQQQAHQDDKHSKQRSLQTSLKQRVRHILLEEQEDVATRLMVIDQVQSLGVAYHFEEEIKSILMSLDVHDAHLQLKHDLSSTALLFRMLRGHGIPASTDMLSSFRDEDGDLKAANSKDIDAFLALHEASYLAFPGEAMLDDARAFTIKKLQELMPSMPISMWPASQTERQGDLPLHWRVPRLQAIWSLKQHCYNEQSSGCRKIDPFILQLAAVDFNLVQAVHAAELMEVTKWWKETGSGEKLPFARDRLVECFFCAACIAPEPHLAGCREVLAKIGSLVVQLDDVYDVYGTVDELTAFTDVVGGWWEWDDRDFSSVPGLPEYMKAIYSVIRSTSTEAADRVMKEQGYDVLPLYKKAWHELCKAFLIEAIWQHEGQVPCLEMYLENGWVTSTGPLLLLHAFTMLQQQEQMDSWLRNHEDGVDMAMAYPKLVELCSIIFRLCNDCATHEAESERGEAASAITCRMADSGGHVSKEEAHTAVADAIDRTWKEMNREVAFNSSTGTGSMAKRVCVNLARTIQFIYQDGDGITSPKDSRKLLVKDMLFTPVDLDICGAW >SECCE3Rv1G0191780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:751510211:751511823:1 gene:SECCE3Rv1G0191780 transcript:SECCE3Rv1G0191780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHLFPLYLCRPTMSTKFLKGLSLRRVLGQQRNPIDLYTASRAWSSSTSFSGVHEKNGMGVEADGDLADSWKDADFRGVYRAIICGSVGQVPVQKKLRSGHIVTVFTVGTGGMFDQRRAGAENLPMPAQWHRIAVHNEQLGTYAVQKLVKNSAVYVEGEIETRVYNDDINNLVKIVPEICVRYDGKIHLVQSGGSDVSKSLEELREGLF >SECCE7Rv1G0469670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:112312003:112314688:-1 gene:SECCE7Rv1G0469670 transcript:SECCE7Rv1G0469670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein UPSTREAM OF FLC [Source:Projected from Arabidopsis thaliana (AT5G10150) UniProtKB/Swiss-Prot;Acc:Q9LX14] MEAAGEGRKLHHHVGGGRAQMEASPERGRPAYASAVTARSAPARPMRRVQIIYYLCRNGQLEHPHFMELAQFPHQPLRLKDVMDKLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSESDVIYPSDGVEYVLKGSEIFPGCSSAVAADRFQHLRVTDRSPTKPPLALPHSHKQYVDAYRDDAGEDPEDDELGYPYHRRAAAARLGGPNKPVSARTNRGHPVELPVEETSPPSSTSSDKPPPAQQAGRCDEAEPNRTGSMLLQLIACGAVTAGPAKCGGRAEPRRSCGLVSRLSSRAGAEDDDEEEEAGGELSRRFGRMGAEEKEYYSGSIIVDSGGRGTPLPASSLKRSNSYTEERGSRLGVGAIGEETAQERIGGEEGMMRGRCIPGRKRQPQQHK >SECCE1Rv1G0041370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:570844916:570845110:-1 gene:SECCE1Rv1G0041370 transcript:SECCE1Rv1G0041370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEYHYNSSGSGSFAKEKRPPAKRGQVKLQIARALSSLMSPSGAADGSKQANRSSFRRETSYN >SECCE6Rv1G0441890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:812498708:812499928:-1 gene:SECCE6Rv1G0441890 transcript:SECCE6Rv1G0441890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRRGATLFEDLPEEIINKILVLLPSKDVGCCRVVNTSWRSATSTPEFMLEHHRRQPSLPIVDGCGKPANYVVLGDAGAGASNQQLWPFLSSSKPHFEDQLNAVCDGFLIVYRGYKFYICNPVTRKHALLPQPQVGQGIHSTMVGFYQHHPTGEYRVLWVSRPRYSSESSLYVLTVGSDRPRRISVRMPTVSSSSAEQKLLNQLPSSYLSSAVHHRGSLHWLPYDDSHIKVGGGDIIVFDTETESFSWMCIPTQPCYYSKLFDMEGTLAFLCGSFPSFTSMDVWVMQDYAAEIWAFKYRIDVSTVEASRQLYLTSFKKKKSRPLESAVQLFNGMAVLNERELLVRFNHKHVLRCDIDGKFLGIVNIGKSQYRMEFTHYRLKESTVPIPGHEMQEDGEPPFSTGHV >SECCE5Rv1G0336950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588013744:588017061:-1 gene:SECCE5Rv1G0336950 transcript:SECCE5Rv1G0336950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKIAPSMLSSDFANLASEAERMVRLGADWLHMDVMDGHFVPNLTIGAPVIESLRKHTKAYLDCHLMVTNPSDYVEAFGKAGASGFTFHIEVARENWKELIQSIKANGMRPGVSLKPGTPVEDVFPLVEAETPVELVLVMTVEPGFGGQKFMPEMMDKVRTLRKKYPSLDIEVDGGLGPSTIDAAASAGANCIVAGSSVFGAPDPGQVISALRKSVEASQIKS >SECCE5Rv1G0321260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:399853822:399855224:1 gene:SECCE5Rv1G0321260 transcript:SECCE5Rv1G0321260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRAAVESPKKVAALVDLVNLPTALREFAGGRSQMSHLSFFLGVWSHIKNNNLQDPTNRNIVNCDEKLKTVLLGRSKVELSELPMLVKLHFPKVFKS >SECCE6Rv1G0437380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:783373067:783373588:1 gene:SECCE6Rv1G0437380 transcript:SECCE6Rv1G0437380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRLSELLQEQQEPFLVEAAKIRRPQRSRGSDGGACCPVAANQRLLRLCNHGFKKRSGGIGGLRSSLSKVPCGKAVRSAFRWENLGGECFTGVSDREFRRLRRSAGYSGECDARAMEFDDDDGYERQGGARWKTDMEVDSARQLSPISILELHSDDESPMHSRCKLPGPS >SECCE6Rv1G0429430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:735965107:735967664:1 gene:SECCE6Rv1G0429430 transcript:SECCE6Rv1G0429430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGDIEMGTSARSAAPAGALYPGMAESPELRWALIRKIYVIVSLQLLLTAAVAAVVVKVPAIPHFFASSYAGLGLYIFLLILPFIVLCPLYCYREKHPVNLLLLGVFTVAISFAVGMTCAFTSGKVILEAAILTTVVVFSLTAYTFWAVKRGKDFSFLGPFLFASLIMLLVFGFIQIVFPLGKLSHMIYGALAALIFSGYIVYDTDNIIKRYTYDEYVWAAVSLYLDIINLFMALLTLFSAGDS >SECCE3Rv1G0208340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:929970926:929971171:1 gene:SECCE3Rv1G0208340 transcript:SECCE3Rv1G0208340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEYSMGYYLTDGIYPDWATLLKSIKEKNGVPLTRKEAHFTKAQEAARKDIERAFGVLQARFAIVRGPAHFLDKKPWRTS >SECCE7Rv1G0485090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:357447039:357454772:1 gene:SECCE7Rv1G0485090 transcript:SECCE7Rv1G0485090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 727 [Source:Projected from Arabidopsis thaliana (AT3G54300) UniProtKB/Swiss-Prot;Acc:Q9M376] MDGGSKQTLIYSFVAKGSVVLAEHTAFSGNFSTIAVQCLQKLPSNSTRSTYSCDGHTFNFLVDRGFVFLVVTEEALGRSVPFVFLERVREDFMQRYGSSIDEEGQHPLADDADEDDFLFEDRFSIAYNLDREFGPRLKDHMQYCINHPEEISKLSKVKSHLSEVKGIMMDNIEKILDRGEKIELLVGKTETLQSQADSFHRHGRELRRKMWLQNLRFKLMVGGGIAFLILILWLMVCRGFKC >SECCEUnv1G0529010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6272868:6274108:1 gene:SECCEUnv1G0529010 transcript:SECCEUnv1G0529010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGARAGEENAAAVVLAAAARSSDGLRPQLHHHHLHGHDHDPSAAAKKKRWWSRLSSTLCFRPHFHAHPRRLIADAEDTPPRPAVAAHSTASASAYVHQAPPAHPVFAFAAPPSSPASSLFHSEAPSPVLQLDLHGTAGSSPTMFAVGPYARGPQQLVSPPVLYSALTTEPSTAPRTPTGPSSPEVPFARFVDDGGHELLFHHAAYQLRRQGVSPGSPSSSPRLFRKLHRRDEQGSLLDGHVPVASSFEGADARDQEEEGEDDEVPDSAGEFVFGHADGRGAAPEEDVVDGKNWRFFPTAMGEQQAKI >SECCE2Rv1G0086020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:201652216:201654989:1 gene:SECCE2Rv1G0086020 transcript:SECCE2Rv1G0086020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEKKPPAVSDLGAWGMNVVSSVGLIMANKQLMSSAGYAFSFATTLTGFHFTVTALVGWISKATGYSASKHVPLWELVWFSLVANASITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVLNSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAVSLIILGPFVDYYLNGRWLLNYSFSTGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWVLFDSALTIKNILGMLLAIMGMVVYSWAMESEKKATSAIPRNKSDMLDGEDVPLKSRTSGIPLSSELDDLEEGPMKS >SECCE3Rv1G0202560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:875181709:875183766:1 gene:SECCE3Rv1G0202560 transcript:SECCE3Rv1G0202560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQDGAFTAECGHVFHPRCASGAPTCPSCYATWRATPTFTPHPLAYSLLQPQPGRMPLPFHGAPSRSTCTVCKVAVGCAFAGATFTAECEHTFHLSCVMGSVCPACNTRWPYQVSSYPTFRHYAPPPGPALFPPFMDQPSPMYLPYRAVYDDDEPVEPPAQGVPLDDWDLVQDAAKGGGKLVLDAHCEHPAVARGKPHDNFVVLVHAKAPGAVTAKAAQARAPLDLVTVLDVSGSMAGHKIALLKKAMEFVVDQLGPADRLSVVAFSTAAHRVIPLTRMSDAGKVRAKDAVQLLEAEGGTNILKGLTEAAKVLDGRRHKNAVVSVILLSDGQDTYNLDLGGYGSCTKNYRGLVPSSLLSGAGHRSTPIHTFGFGVDHDSSAMHTIAEETGGTFSFIEDEKVVQDSFAQCIGGLLSVVVQEALITVKCVHPVRVRAVKSGRYDASIDAYGRSASVDVGELYADEERRFLLLVDVPRAGDADDVTQLVKVTCTYRDTATGQVLDVAGEDVVVQRPVELAKDQQPSMEVAREKFRVLATEDIAAARAAAERGEYAEAARILERRREALVPALADDARCKALVEELRELSTRVASRREYEKSGRACILTGYSSHAQQRAASAYVAGAEGYGCPQPGGAAPSILGFGAAGAYATPAMQRMVGASRQIREQQQQQPATSKRGSGSYGGAY >SECCE6Rv1G0395430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:328397962:328402367:1 gene:SECCE6Rv1G0395430 transcript:SECCE6Rv1G0395430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSPSSSDQSGNPSTPPRAPAGARPGAGPAAWPRRRCRDVFWLVVFLLHLLVFGGALALAGLNRFRVADRFNIDHYANHTAAPPSAAVAPPGSDVGVSKEKALPPELTETYWKYYGVAGAVGTLLAWSWLAAAAGKKDGGRVLMRAAVHSLTAYLAVISVLCFWGNHSFWGVALAVGAALHFLYVMSVIDRFPFTMLVLQKAIKMVWELPDVMRVAYAFVLVMLCWMALWSFGVSGIVAMPIPNCGQWWLVLALSVSLFWTGAILSNTVHVIVSGMVFLVLIHGGQAAASMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGVRSKIGSNECLLCCVDFFFHIVETLVRFFNKYAYVQIAVNGQSFNCSARDAWELFQSTGIEALIAYDCSGAVLLMSTILGGLITGTCAGVWTYFTQRDKAIMVGSTSMLIGMILVGLTVVVVESAVTSIYICYAEDPLLIQRWDPEFFEQMSEALHQRLQYRSSRARQILNGRLDHLPNTLSI >SECCE1Rv1G0040270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:557331765:557332759:1 gene:SECCE1Rv1G0040270 transcript:SECCE1Rv1G0040270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASALRRAPRAAAAARALFAARGSPRSGRVGRPHPRAAPVAPLSSLSAPQPSAVADAQLLRVITYEISRAQLDCRNRNWAKELGEGFPFEIRDKEGTNRITLTRTHQGERIEVEVLLPSPVDGAAQDDGEQEEDDQAEDGEQKQGHTGGDVPDKYCIPLTVRIRKGVGAASCLQIGCRSYPTGLVVERLEFGSSVESAGGTAFCDIPGELQKALHLYLGSRGISTQFTDFMHAYMITKEGHEYLSWLRKLKGLVKG >SECCEUnv1G0554110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:282536344:282536559:-1 gene:SECCEUnv1G0554110 transcript:SECCEUnv1G0554110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDKETIVTWSRASSILPTMVGHKIAIHNGKEHIPIYITNPMVGRKLGGFEPTRHFTSYENARKDTKFRR >SECCEUnv1G0548260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:182761153:182764150:-1 gene:SECCEUnv1G0548260 transcript:SECCEUnv1G0548260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANKPRQEEEEEEEEEEHKAMSKEQTGTKAVSEEAQSSSSDAGDGEEADAVHNHRGWKAMPYVIGNETFEKLGTIGTLSNMLVYLTTVYHMPSVNAATLLNIFSGTSNLATVLGAYVSDTYLGRYTTIAAATMSSFIGMLILTLTAAIHTLHPPACNASKGQQCQGPTGSQLSAILVSFFFLVVGAGGIRPCNLAFGADQFNPRTADGRRGIASFFNWYYFTFTVAMMLSATVIIYLQSNVNWALGLAVPAALMGLSCAVFFMGTRLYVRVRPEGSPFTSFAQVLIAASRKRHIRRARGDAELFDPPHQSKLVSKLAYTDQFACLDKAAVRTPDDVLCIDGRTPADPWRLCTVQQVEEVKCLARIIPVWSSGIVYFIVLTQLGTYVVLQAAQMDRRINKSSNFKIPQGSFVVFQMLALTLWIPVYDRFVVPVLRRFTKREGGITLLQRIGVGLALSVATMVVSAAVEQRRRKIGSSMSCFWLVPQQLLAGLSEAFGAIGQIEFYYRQFPENMRSVAGALYFLGFAMASYASGLMVMVVHRATRGRDGQPDWLAQDLDEGRVDLFYLVTAAIATVNLVYFVICARWYRFKKSDHAGAGDVEPDDDSPKKSGANLA >SECCE7Rv1G0462780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:48812098:48813763:1 gene:SECCE7Rv1G0462780 transcript:SECCE7Rv1G0462780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILGMGAPAVLAAAVGLACGLLLWLRGAWRRPSDDPMRGIPGNMGWPVVGETFAFIAAFSNPAGILSFMRERQARYGKVFKTYVLGRTTVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGDEHRRLRRLIAQPLSVDALRKHFAFIDGLAVQTLRSWAGRGRVLVLDEASQFTLKVIANMLVSLEPEGPEQEEFRANFKAISSSFASLPLKLPGTAFHRGLGGRNRMYAMLDAVIARRRAGEVREDFLQTLLMKHARGQQAGDAASSDPAEEEKLTDAQLKDNILTLLVAGHDTTTAGLTWLVKFLGENPGVLARLREEHMGIRAAHDGDDTQHLWWSDLGSMPYTAKVMNETLRRATILPWYSRKAAQDFSIDGFAVAKGASVNLDVVSIHHDAAVFPDPERFDPDRFDGTLKPYSFLGFGSGPRMCPGMSLAKLEICVFAHHLVCTYDWKALEDDASVQPTLVRMPKNKYPIVATALP >SECCE4Rv1G0240110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:438002402:438035456:-1 gene:SECCE4Rv1G0240110 transcript:SECCE4Rv1G0240110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFGRGMRSPQRDSWKTTMLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLERNPETVDKTRVKVWLEKHKNLHTALLIMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHHEYAVIPITCVILAFLFALQHFGTHRVGFIFAPIVLAWLLCMSALGLYNIIHWNPHVYQALNPYYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSEKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTFLTSLVIMVCWHKPPLLALGFLLFFGSVEALYFSASLIKFLEGAWLPILLALILMAVMLVWHITTIKKYEFDLQNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHKVLVFVCVKSVPVPYVFPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELIESLATFIKLNASYRCSGVSQSEQLEEWEPGLTVIGSNTLRDHASYDLQDSVQHSASSNEVEMRPAADSPGGTGLESNSSKQVRFFIDSLVASPEADKQVVEELEALAAAREAGTAFILGHSHVQCKPGSSVVKKLTVVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >SECCE6Rv1G0429800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737871785:737873864:-1 gene:SECCE6Rv1G0429800 transcript:SECCE6Rv1G0429800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMRGSAPVLAVALLAGVFFLAQVPPGVQSIGVCYGIIGNNLPPPSDVVQFYRMLGITNMRVYSVQLQALDALRGSGISLALGTTNNDVAVLASSLSAAAAWVQANVKPYYRAAVDVRYISVGNELAADTAQSILAAMRNLNDALAAEGLGGAGAGIKVSTAVRLDVIANSFPPSAAVFAQPYMGDVARLLAATGAPLLANVYPYIAYRNSPRDIQLNYATFQPGATPVRDAGNGLMYTNLFDAMVDALYAALEKAGAPGVRVVVSETGWPSAGGFAATQENARAYNQGLIDHVARGTPKRPGPIEAYVFAMFNENMKPGDETERNFGLFYPNKLPVYPMKFA >SECCE3Rv1G0187990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:707878475:707879101:-1 gene:SECCE3Rv1G0187990 transcript:SECCE3Rv1G0187990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMVTDFGDGHCIVAFDQDHVHTTLTSSGGVVDEWLDLIYRIHRRRLDRLVVGLDVEWRPSFRGLPPGPVALLQLCVGRRCLVFQILRADYIPGSLFDFLADGRFTFVGVGVYGDAQKLSAHHGLEVTNTVDLRYLAANTLGKPALRHTGLQGLVWQVMGVWPEKPHHVRVSAWDAPRLTRDQLQYACADAFASFEVGRRLYDGDY >SECCE5Rv1G0299960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:21179549:21182618:1 gene:SECCE5Rv1G0299960 transcript:SECCE5Rv1G0299960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYPTIRDDPLAEKKRKLDVWSEEEDPSAWVYPHDSLAKMQQARRDQESAPRPVKIPTLDRFKPPTRFHIAELFSVRESGSQAVLSAARFLLGVSSSLDGEPLRRCSGFWVDWDEEKKTGLVLTTARLIRTKDAPFSVWTGGQEYAPNADVTVHLLNGTTAKGELVYYQPHYDIAFLNVEVDQPIKLPSLREKDVEFAQEVFQLGRDNSLNLRITYARAEYLNPNLFERHHNVYFRSLDDHDDDNNEYDNGGLVIDLNGEVVGMVNDPERFGSFIPSSVLLNCLDSWKKYRYIGRPHLGMRFEAIKFLEPAHVDMLCCMYNIDDGLVVREVSKGSNAEKCGIQKGDLIECIEGKCISTTVQLENLLMSICKGPSDSKNGHKAEVHISLGVFQTLKKYRMTVELTANVSDLGEVIARGTRLLF >SECCE2Rv1G0102970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:585563125:585565772:1 gene:SECCE2Rv1G0102970 transcript:SECCE2Rv1G0102970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFLIPFGFFLSLHLHGCSAAMDAITSRKALVGNDRIISNNGKFALGFFQTGSKSSHNTLNWYLGIWYNNVPKLTPVWVANDHNPVTDTTTSELIISSDGNLVILNQVTMSIIWSTHTNTTSNNTIATLLNSGNLILQNSSNSSNVLWQSFDYPTNTFLPGIKLGWNKVTGLNRRLVSRKNLIDLAPGRYGEELDPSGANQYIFTQLNSSMPYWSSGVWNGQYFPSIPEMAGPFVVNFTFVDNDQEKYFTYNLLNETTIFHHLLDVSGQTKSFLWLESSQDWVMTYAQPRVQCDVFAVCGPFTVCNDNTLPYCNCMKGFSIRSPSDWELDDRTGGCVRNTPLDCGINKSISEEDRFHPITCVGLPNNGHSTEDATSADKCAEVCLGSCTCAAYSYGVNGCFVWNGEIINVKRQQRDDTTNTSKATLYIRLANREVQKLESNRRRIIIGIAIGASVAFCGLLSLFLLLMIKRNKRLSAHRMENLQGGEGIIAFRYVDLQRATKNFSEKLGAGGFGSVFKGFLDDSTAIAVKRLDGACQGEKQFRAEVRSIGFIQHINLVNLIGFCTEGDGRLLVYEYMQNRSLDAHLFHNNATILKWSIRHQIALGVARGLVYLHDSCQDCIIHCDIKPENILLDASFVPKIADFGMAKFLGRDFSRVLTTMRGTIGYLAPEWISGTVITAKVDVYSYGMVLLEIVSGKRNSGRQCTTGDDYVYFPVQVANKLLEGDVGSLVDINLHGDVHLEQVERALKIACWCIQDNEFDRPTMGDVVQSLEGLLEVNIPPMPRLLQAIAGNQHSTLSFICSSFTRGTQTATYLRAS >SECCE5Rv1G0353970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720820628:720823168:-1 gene:SECCE5Rv1G0353970 transcript:SECCE5Rv1G0353970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAILARGMAPCKKVPMFFILFILSITSAATTNAIASKVDRYVPQDNYLLSCGASAAVQVDDGRTFHSDPESVSFLSTPTDIKIAAKSSLAAASPISPLYLDARVFSDISTYSFFISQPGRHWIRLYFLPITDSQYNLTTATFSVSTDSMVLLHDFSFIASPPNPVFREYLVSAQGDNLKIIFTPKKNSIAFINAIEVVSAPPSLIPNTTTRMGPQDQFDISNNALQVVYRLNMGGALVTSFNDTLGRTWLPDAPFLKLEAAAEAAWVPPRTIKYPDDKTLTPLIAPPSIYSTAQQMASTNITNARFNITWVMVAEPGFRYLIRLHFSDIVSKTLNSLYFNVYINGMMAVANLDLSSLTMGLAVAYYKDLIAESSSIINSTLVVQVGPNTIDSGDPNAILNGLEIMKISNEASSLDGLFSPKTSSEASKRTLTGIAFALAATAALAVVICYRRNRKPAWQRTNSFHSWFLPLNSSSSFMSSCSKLSRNRFGSTRTKSGFSSVFASSAYGLGRYFTFIEIQKATKNFEEKGVIGVGGFGKVYLGATEDGTQLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGDTNIKPISWKQRLEVCIGAAKGLHYLHTGSAQGIIHRDVKTTNILLDENFIAKVADFGLSKDAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAINPSLPRDQVNLAEWARTWHRKGELGKIIDPNIAGQIRPDSLEMFAEAAEKCLADYGVDRPTMGDVLWKLEFALQLQEKGDVVDGASDGIPMKSLEVSNVDSMEKSGNAIPSIVQGR >SECCE4Rv1G0243720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:505527331:505533587:-1 gene:SECCE4Rv1G0243720 transcript:SECCE4Rv1G0243720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEGSGAGGGEGARGSRVNQELWYACAGPLVALPPPGSLVVYFPQGHSEQVAASMRKDADAQIPSYPNLPSKLICILHSVTMQSDPDTDEVYARMTLQPVSNVAQCDKEILLASELALKQNKPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSLQPPAQELQARDLHDTIWTFRHIFRGQPKRHLLTTGWSLFISGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGVLAAAAHAAANNSQFTIFYNPRASPSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKNSQWRNIQVAWDEAAPSERRTRVSLWDIEPVIAPFFIYPTPLFAAKRARQPGMIDDDTSGMDNLFKRTMPWFGEEICKKDMNTQNSIVPGLNLAQSLQWMNMQQNLSLAGTVMQPELLNSLAGKHVQNLSAADISRQISFQPQFLQQNNIQFNTSLLPQQNQQAEQLAKAIATPTQLGNIMVPQKVDQDCNSDQKQHTVTQSVQGSQANLNVTQPEHAVQAQFQQPRVILQAQLQQQQPLVQSHTVFQGGLQQIQVLQQQQPHLQQQLQQQQHQHHQQVQHSVQEQQQMKIQPVHVSSDANMNTQLSDHQMKLQLLKALHSQQHLTMEQQKMLFDLQQQLVNSQSDPQQCVQGASQTVGFHNSNTIQYATQQKAQSHQPIEDSPRGTIPVAKSDIVTSMGASSLNVAGRMQSVRTDNVLSSSTSPSTTTNHVLLQSIPSSSKNQSLPTAAKTSQSSVVLGPTVEQEMKSYQSVKPAIMIPKIIEQGPATERDYINNPHMDYLDTSSSATSVCLSQADGSLQQNFPSSSFDQHQLLRDTAPDSEFEISDPTNNLLFGVNIDGQLGLPLNADALLANSIENDKFMDEMAGNGISNYISSKDSQQELSSSMISHSLGVADMGFNSIDSAINDPPFLNRNSRAPAPAHQRMRTYTKVHKRGAVGRSIDMNRYSGYDELKHDIARMFGIEGQLGDQSRVGWKLVYEDHEKDVLLVGDDPWEDFLNCVRCIRILSPQEEMQMRLVGDFGDGFLPNQACSSSDGGQPW >SECCE2Rv1G0084900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:182611707:182613661:1 gene:SECCE2Rv1G0084900 transcript:SECCE2Rv1G0084900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNVSRAIGAALRSPASSPVAEAAAAAKAKDAEKLRFIDEMTCNVDSVQQRVLAEILARNVDTEYLKNCGLDGAADRDTFRAKVPVVSYDALQPYIQRIVNGDRSPILSTHPVSEFLTSSGTSAGERKLMPTIKDELDRRQLLYSLLMPVMNLYLSGLDKGKGLYFLFVKSETKTPSGLTARPVLTSYYKSEQFKNRPYDPYHNYTSPTAAILCADAFQSMYAQMVCGLCQRHEVLRVGAVFASGLLRAIRFLQLNWKELAADIEAGALNPRVTDASVREAVAGILRPDPELAQFVRDECCNDDWAGIVRRIWPNTKYLDVIVTGAMAQYIGTLKYYSGDLPMACTMYASSECYFGLNLRPLCDPSEVSYTIMPNMGYFEFLPVDEATGAASCVDAGNLVDLARVEAGREYELVITTYAGLNRYRVGDVLRVTGFHNAAPQFQFVRRKNVLLSIESDKTDEAELQRAVERASALLRPHGASVAEYTSQACTKSIPGHYVIYWELLTTGAAAGATAVDKATLDACCLEMEEALNTVYRQSRVADGSIGPLEIRVVRSGTFEELMDYAISRGASINQYKAPRCVTFPPIIELLDSRVVSSHFSPALPHWTPARRSD >SECCE2Rv1G0141670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:936200524:936204037:1 gene:SECCE2Rv1G0141670 transcript:SECCE2Rv1G0141670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRSRIRGREPPPPPAPSSGGRYRRRSPPLPPPSPRQPHHPRRAPPRRTSPERPPPRRLLALDEKPLPPPAAALVVAAERRWRNDVLLEAGRLAAHYLVAQGVLPEHVLHAREDPSPKHQHVLHARDDPIPSPRPRPEISATAGYARKRDDDRDDPRWRRNGAGADWGRDKRDEDDGARLARAKSGWDRRTQSFDGRHRYDEGDGGRGAGGDADRGVRRSHPYDEKRRPAMSRSYSQNDRRASSDDRRPPPMDDRRPSVDRRLDRKRRSRSRSRSRSRSRSRSRTRPRSSYSGSRRDPDWRPRGGDFDQPRSGDLDQSKVPAESGTVASRDGDVNGDDVDKLPRDPKTLRSEVVMVEANDGASREDEDAVEPEHDGARHEDEDEDAVEPEHDGARHEDEEAEYEYEEVVESDDHGEDDDGVTYEYEEMETEDNGQDDEAAAVAGLNDADAADINASHQLSMVDVHPSEPAEEPAHTQSQLSDAEEATEAEVAHVDACLIEPAADNSGCSEVRGETEAPQSQPETELEVRGEMEALQGELGTELQVRGEVEVLQSEHETELQVAGEIAAPQSELETVLQVTDEVEAPQSEPEAELQVAGEMEAPQSEPETELQVADEMEAPQSERETELQVAGEMEAPQSEPETELQVADEVEAPQSERETELQVAGEMEAPQSELGTELQVAGQMEALQSEPETELVGETPQMEIELGTELQVAGEMEALQSEPETEIVEETPQMEIELGTVLQVADEMEAPQSQHESVLVEETPQMEIEAADGDPTRDEEELPSWYQIFDLNVDGTRESCEVTEIPGDPPEEHACDSLPDLVGQMSQQEYFDPPDTQVQDKRADENQQFEENQVLLNQGIGMHDLDRNYQNSEQMLINQIADEHGQGDQQLEGEQMLFNNEETMLKQDAEEQVENEQLLPDRATDANHQIKEEQMLLDHVTVVHDLDHCDLNGEQMLLNDGSVNKSAVDGDQIKDGQMDRAAKRQATLRSLDNGQMMIPIINLDDDDDDDDYAEQHDTREFLEPKTYVFSRRASRKLS >SECCE2Rv1G0087810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:232706825:232710665:-1 gene:SECCE2Rv1G0087810 transcript:SECCE2Rv1G0087810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Secretory carrier membrane protein [Source: Projected from Oryza sativa (Os07g0564600)] MAGRYDGGNPFEEEEDVNPFSEQTRGKAGGQSNYGGGGAFYMPNPRNVAPSSNSRLSPLPPEPADFSATVDIPLESSKDLKKREKELQAREAELNKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDIANEIPTHLQRMQYFAFASFLGLVCCLFWNVVAVTSAWIKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLLYLFHIVFVVFAAVAPPAVFEGKSLAGILPAIDLISVNALVGIFYFIGFGLFALESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMRAAF >SECCE1Rv1G0020010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:251277245:251283184:1 gene:SECCE1Rv1G0020010 transcript:SECCE1Rv1G0020010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSKNQKTFRPKKNAPSGNKGVQLKKHIDATLGNGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPSSCPTMTAGSKFEYRWADGVQIKKPIEVSAPRYVEYLMDWIEVQLDDESIFPQKLGTPFPQNFQDVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFTLFTCEFKLIDKAELAPLIDLIESIVTVC >SECCE2Rv1G0123540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:825134787:825139364:1 gene:SECCE2Rv1G0123540 transcript:SECCE2Rv1G0123540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIDALKPAGGKLADYPFVRRLRNRRLVVYLHLQGFQSAYDRIVHETDLYMSRRHLKQLVACGQWAKALKYIRRFLPPAIGGVEARTLHIFLDTFWAVDNAAACSTGAPVTTAIYRHGVSRLTTMCTGNTKLSSILHKIHHKPLFRASLDWKLVREKASLIADDLAYHSPELRRSLLLPNGPKSPHELLPIGPRRTSRQRRERSRRPTTSAIVTSYLNRKRSLPSSSPVFGLNSEGRNRVVDLIEDCINAGRLPELHQGHLLQSSAMEGAFSTPFSPSMLGMTGPAKNIETSSVRNAAQSLPNYLWYPSVANSGAFITPFSQTMVGTTGPAKNIETSSVTNAGNPTFTGLPNYLFWYPPVANSDIPVSEPAETPGFTSATNAGVVD >SECCE3Rv1G0186490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:686157927:686158346:1 gene:SECCE3Rv1G0186490 transcript:SECCE3Rv1G0186490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAVPRNMRHEATPKARPAGASRSGATPAVPSGRAGTTARRHGGKVARAAGRRRGVKEQQAGGGGGGGSTVVMTVKVVVTRKEAEKLIARLEEQSARERKARIAELTGQLRAGDGGGGRSPATCGAAWTPRLAAVQES >SECCE6Rv1G0436820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:779349205:779350634:1 gene:SECCE6Rv1G0436820 transcript:SECCE6Rv1G0436820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCKKTRAEATSVVSSDRLSSLPQKIKGNVLSRLDVREAVMTSTLSSTWRDAWTDMPKISLHDRNFMRTRFVTLVDMVLALHKGTIEEFDISGKKSYHDELARWLLMLSRRSPRSVIIKLNSGPRYKISSCLFSISDLKFLQLENCIISLPRAFQGFNSLTYLSLNILSSTDMDIQNLISFCPVLTDLILTSFEGINQLNIQAPKLEYLNVYGHFEDINLEAPNLKVAILHLGHQAKLYQSVPIGYDKENHVKKSLGSLSEIKTLGITGSFMKYLSKGCILMKLPVVFTHLENIYLTICFWDQRQVLTAYSLFQNAPNLKKLAVWSYASSTCDQDQARILEHTLQMQLDHLVTASVKGFRGVDSELGFVAKLLSWAPALEELKIEWKGETDCSIVLAKLLALPRVSPRAKVIVTF >SECCE3Rv1G0156680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:74371947:74372756:-1 gene:SECCE3Rv1G0156680 transcript:SECCE3Rv1G0156680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLVQALVTKERESNGELQRARKRLIDELPNFTNGAAHIGVKRMGELDLKAFANACIKNAPQEDADVHSAILCSQWQAEIANPGWHPFRIVTVDGKETEILSEDDDKLRSLKEEHGEEIYSLVTKALFEMNEYNPSGRYAAPELWNRKHDRKATLEEAIQFLLKQLQSHKRKRR >SECCE7Rv1G0469370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:108705693:108715228:-1 gene:SECCE7Rv1G0469370 transcript:SECCE7Rv1G0469370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGAGRASVRPVDRPRGSSTARSKSVAPRRPPSPARARPAADHDDTADLCRVRVALRIRPKNGDELAQGADFDTCVELQPECKKLKLKKNNWASESYQFDEIFGEHSSQKRIYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTYTVGQLGKEDPSERGIMVRALEHILSSMSLETDSMAVSYLQLYLESVQDLLAPEKTNIPIVEDPKTGEVSLPGAAIVEIKDLEHVFQLLQIGETNRHAANTKMNTESSRSHAILIIHLQRSLKIKEETTVSIPSDTEHTLPGDLPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLSSLGKCINALAENSSHIPTRDSKLTRMLRDSFGGTARTSLVVTIGPSARHYSETSSTVLFGQRAMKVMNTIRLKEEVDYETLYKNVESEVDHLTSEMERQQKLRHREKMQLEKRLKESETFLNDLKMTSSVQIENLEKEKHQFEYAVKRLMQELEEKEGRNNVLSEKIVHLETSLNEKKQQQLESFSTTQILAETTKTYEKKMGELLRELEEERSCSASMKGHFNVLEQQLSDARSSAQFQENTARELKRELSKITQTFTSQVHSLEEKISQLVSEKELIYEELKSTQAKVQDEASHRQSLEAEVLRLKRSKTDNCAEESKTLCGMVRSASGLGSEAFMPKSGNLKETLASQRGTISKIFEEVGLPNVLALLKSEELEVQIHAAKVVANLAAEDINQEKIVEGGGLDALLSLLETSENTTIHRATAGAIANLAMNVSNQALIMNKGGARLLANIASKTDDPQTMRMVAGAIANLCGNEKWHAMLKQDGGIKALLGMFQTGHNDVIAQIARGLANFAKCESRVISQGHKKGRSLLIEDGVLSWIMANSTMFPPSTRRHIELAFCHLAQNVENSRDIIITGGIKELLRISKESSRDDARNLAKKALNSNPAFLKEIQ >SECCE7Rv1G0497430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:625395871:625400339:-1 gene:SECCE7Rv1G0497430 transcript:SECCE7Rv1G0497430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBBY-like protein [Source:Projected from Arabidopsis thaliana (AT3G48420) UniProtKB/Swiss-Prot;Acc:Q94K71] MNAMAAASTASTSLPARTFSSARPVAWIAARCLPRRAGGGSMLSPSPLRFSAGRTRRAEAARASAAGAGGAPAAALPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVELYGELLKIGGGKERMTAYFNKTEWPAKAPKTDEERKEFVASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKGVKVAVCSTSNEKAVSAIVSCLLGPDRAEKITIFAGDVVPRKKPDPAIYLLAATTLEVDPSSCVVVEDSNIGLSAAKAAGMKCIVTKSGYTADEDFVIADAVFDCIGDPPEGRFDLEFCANLLQKQLVS >SECCE7Rv1G0524870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887473235:887475025:1 gene:SECCE7Rv1G0524870 transcript:SECCE7Rv1G0524870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWLSLCFIALCTLVALWFRKLSGGKRKPRLPPGPWTLPIIGSLHHVASVLPHRRMMEMSRRHGPLMHLMLGEVPTVIVSSAEAAALVMKTNDLAFAGRPHSATLDIFGCGGRGIVFAPYGDPWRQMRKVCTMELLSSKQVRRMDGIRPEQVGNLLRYVVTAASKGAAVNVSEKVMSLSNDVVSRAVFGGKFPQQEEYLRELDEAFVLLGGFCLVDLFPSSRLVRWLSNGERHMRRSYGHIQRINTDVIEGRKLARAATPDGTSSTDDDDLLDVLLRLQEEDKFTFPMTTESIGAVLFDIFAGATQTTGVALEWAMAELIRHPEAMTKAQLEIGEVLGQGRAVITNSDLTGLHYMQMIIKEVLRLHPPGPLIPRRAREDRKVMGFDMLEGTNVYINAFAVSRDPNCWESPEEFKPERFENSDMDYNGTYFEFTPFGAGRRQCPGILFGTSTMEIALANLLYHFDWVLPGKANPEFLDMTEKYGIIVGRKYDLQLIPISRGGFHAT >SECCE6Rv1G0448810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:858439787:858440692:-1 gene:SECCE6Rv1G0448810 transcript:SECCE6Rv1G0448810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFARSISFPLSPSRSPKTRAPSSSYHARSVSLPCRSHPILAHLHTHIRAVRAWAQQGPSALVASVAVGLAHVDALQAALGDLLDLPEAQAALSGAGGSVDRLLDSFLRLADAHGCFQEAVVALKQDVAEALAAVRRRDGARLASAVRSQRRAGKELARLAAAASECAVRPSRLSILGGGGRSAAEVEVTGLLMESAAATASASAALFGTVAALSASVASESCSCNGTASLVCLLTKNKKKKKAAPGLGEDPTAVAAVAERLEELEECIEEMEAGSEKVFRSLVQSRVALLNIHTLHIF >SECCE2Rv1G0122130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:813278654:813283201:-1 gene:SECCE2Rv1G0122130 transcript:SECCE2Rv1G0122130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLVAIVRLAAASRVLVLTLSLLARLLFRPYDTSASLHPPCLSSPSPPPSAFFSNSTATAISSLAVWDGVYFAHAAECGYEYEQSFAFLPLLPASTVLLSRSLFVPLVPMLGYRAVLVISGHVLNNVAFVAAAAYFYRLSVLILKDSGAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGLFYLFSGARTVAMIMLALSGSARSNGALNAGYVCFEALLQAYDAAIQKKRPMWAVQALVTGFLRSTFIFLPFFAFQAYGYLNICLHGDTDELRPWCKAKLPLLYSFIQSHYWGVGFLRYFQVKQLPNFLLASPVLSLAVYSIVHYTKLLRQLFQSTSMHEQIITTVEGRLVEAYESSDVATMLKSEISTGLKNKKHGNTEVKKRKSVAASAASAASFDGNKSTGRIVGDNEDECPLLVLPFILHLTFMTLTAFFVMHVQVSTRFLSASPPIYWAASRILAPARGGSCKGWGYFIIVYFIAYILLGSLLFPNFYPFT >SECCE1Rv1G0028630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:404768453:404772207:-1 gene:SECCE1Rv1G0028630 transcript:SECCE1Rv1G0028630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XBAT33 [Source:Projected from Arabidopsis thaliana (AT5G07270) UniProtKB/TrEMBL;Acc:A0A178U6J8] MGNSLGCSASGERLVSAARDGDAVEARMLLELSPALARYSTFGGLNSPLHFAAAKGHLDIVTLLLEKGADVNARNYCGQTALMHACRHGHWEVVQMLLLFRCNVTRADYLSGRTALHFAAHDGLVRCVRLLLADFIPSGPLEDTASSVADGGDSQTNSGSSPTSSLGLKFNEPARVRYINKPADGGVTALHMAALNGHFDCMQLLIELGANVSAVTFPYGTTSNLIGAGSTPLHYAAGGGSLECCQVLLSKGASRLTLNCNGWLPMDVARIFGRRCLEPLLSPNSHTIIPAIQPSSYLALPLMSILNIAREFGLQHTVSSVDESDLCAVCLERSCSVAAEGCGHEFCIKCALYLCSTSNVRVEFTGPAGSIPCPLCRNGIMSFAKLPSTPTEGLKSSSALTFCNPCILNPRSVDSPATVCKAEIRRNRVAALSSELVCPLTCSPFPSSALPTCRCSDDDPCGSTETLDGSEVQSPRPSHSTSTELDKRGEQDVDRTTCSGMFWSRRSCQREQQCNAEINA >SECCE6Rv1G0404540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:520562409:520568590:-1 gene:SECCE6Rv1G0404540 transcript:SECCE6Rv1G0404540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRTPPVSLVSIVGCPEMHAAISAALSSQQPPMNTLALPDFAKANILSRTAKSRDPLAPPQAATGILKKDWLLKHRTRVPAAVAAMFRADQVTGDPAQWLQACSDLENLKSIIQGRHSKLVVILVQTQAGDELGEEVMVALRKRAEVDSKHLIVLVESDEAERNASLLKLRTIFAELCSTYYKEEGRRIKARIEKRNFSSVELSVRYCFKVAVYAEFRRDWPEALKFYEEGVRVLREMIGTSTRLPPTQRLVEIKAVADQFHFKISTLLLHAGKVVEAITWFRKHIRSFERVVGSPEVAFLHWEWFSRQFLVFGELIETTSTTVPDTVSPRFGTADNALTEWEFQPAYYYQLAANYLREKRCALECPSSRANLTGDSEIPDSVMSSVYVGQYVRLFEEGDTISVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFLSLGATRMASSCSAGMAIEYYAAGEFGNAKKLFDGVAGLYRQEGWTTLLWENLGYLRECSRKLNSLVNFISYSLEMAALPLFSGSVQGNSENKSNGPAGWPTISRREEIQQEVVNILEGKHTSEVIDDEFNLQLTEESTHLVIDQISPLRIVLVASVAFHGQSVKPGSPLLVSVSLLSHLPSPVAIDQLEVQFNQSDCNFVMVSAQENSSTLNSDVHGQVVHSTSLTLFSNKWMRLTHEVKSGQSGKLECLSVKAIINKHLVVCCQAESPASMEDFPLWKFEDQVETLPTKDAALAFSGQKLIQVEEPDTQVDLVLDSTGPALVGELFVVPVTILSKGHAVHSGELKINLVDAKGGGLLMSPREADESESHHVELLGVSTATGDEVSKEEVDNIKKIQYSFGVVSVPTLVAGDSWSCKLEIKWHGAKSVMLYVSLGYSLDSSEDASLHRLNVHRSLQVEGKIPMIVGHQFLRPFRREPLLLSRIRSSSGDDKKDSLAMNESNMLIVSARNCTEVPLRLHSIAIESDGDGKQLCSVEQISGLSDEYAVVAPNAEYKAIFSVNPRASNPDFYLGELCLNWSRDLVLGENQDSRVTVKQRLPEVHIEEPPLVVSIECPPYAILGTPFTFYVKIHNSTSLLQEIKYSLVDSQNFVFSGAHNHAAFILPKTEHTVSHKLVPLGSGSQQLPRITVTSVRYSAALTPPTSATSVFVYPSEPKFNLEKSHPISDECVS >SECCE3Rv1G0201400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:863583052:863583537:1 gene:SECCE3Rv1G0201400 transcript:SECCE3Rv1G0201400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKESEGVGEFALKLTSLVNKMRALGSKMEDITVVEKLLRAVPDKFLPIVGILPIVGTIEQWGDVTKMSVMEVIGRLKTYELTLKGRERDQEEEQLMFSRSREKDKQKYRKFDKSKVRCYNCQDYGHYSRECPNPRKEAKKEHGTLQLAEVGMDDDPRLL >SECCE4Rv1G0228870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:140146918:140147718:-1 gene:SECCE4Rv1G0228870 transcript:SECCE4Rv1G0228870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHQQGTPALHFLFHYKQKHTISSSIRNMACHQRSASLPSIAHSCESKVEVELQGLESCISSPSATIDTMCGGYARLGDIYKCIEEIMGLPSNQVGLSFPKNKKMVEEELERSLVLIDLCNSMQENLAELKMSTQELQLVLKRGDDAAVQLKVESFIRLAKQAQKPFKKIPSSKASGEHCRLVRLLAEAREMSVSLLESTSQLLPKQFIAVKGSKWSLIQKRKVVCEEEQLQALERSMGDLENGAELLFRRLIQSRVSLLNILSS >SECCE5Rv1G0318720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:366476249:366478767:-1 gene:SECCE5Rv1G0318720 transcript:SECCE5Rv1G0318720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSPASRSSYSHATASSSSSSHCHLLPCPPDFLLHLHLLDHQEPAAAMVRKRPATDMDLPPPRRHVTGDLSDMTAGPPVMSSATAQLPALPSQLLPPFQLQQQQQQVDHMDVAAAAVPAQAGEAANTTAFVDGIIRDIIGSSGAGLSVAQLIHNVREIIHPCNPGLASLLELRLRSLLASDPAPPQQHQPALPNAPMPMAALPPPPPDKRRREEEREPNNPPQSPKVPTAEETAAAAAAAAAAASAAVKERKEGQRRKQRDEEGLHLLTLLLQCAESVNADNLDEAQTALLEIAELATPFGTSTQRVAAYFAEAVSARLVSSCLGLYAPLPHASPAASRLVNGRVAAAFQVFNGISPLVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMDALEATGKRLSDFADTLGLPFEFCPVADKAGNLDPEKLGVTRREAVAVHWLHHSLYDVTGSDSNTLCLIKRLAPKVVTMVEQDLRHTGSFLARFVEAIHYYSALFDSLDASYGEDSPERHVVEQQLLSREIRNVLAVGGPSRTGDVKFGCWRDRLARSGFGAASLAGSAAAQAALLLGMFPSDGYTLVEENGALKLGWKDLTLLTASAWRPMHASGR >SECCE6Rv1G0419110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:666571748:666574831:1 gene:SECCE6Rv1G0419110 transcript:SECCE6Rv1G0419110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAHLAVTMPLPAPAAKTLFFSRLPPPPSPRLHLGRATATAAGGTGDGAAAASGTSARDRRLARVREERRRREYDREHTYPGWARVLENACRDDEEMRAILGDSIGNPELMKQRIQERVRAKGRAGFNRPKTGSVAAFKVSFRDFNPLNAFIWFELFGEPSDRDVDLLGGVIQAWYVMGRLGAFNSSNLQLANSMLDFDPSYDSEEASAVLPSSFHDISDVEFQDNWGRVWVDLGTSDHLGLDVLLNCLTQLSSEHLGIKQIVFGGRKLGDWEEGMTSSDYGYKHFKI >SECCE3Rv1G0212310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954902768:954909629:1 gene:SECCE3Rv1G0212310 transcript:SECCE3Rv1G0212310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPNHSGARIPFQDISNIHSSDPKDIRRQRDRERYAQNRDEILKRQRLSREQRKATTTLLTNDSTVSHTPATGQSSVTQLQKISSAVLSNIPNSTLSPEHVPHDKENTLTYDEESDWLHRNDAYQIQQIGGRIRAVAMPLGHNMQSISTTVRDIAQVGNDQIEDPYGIFEPVVQQANFEGLSYESYQLGRPTARAAPVSNSNEFIYQNLPTKHHVLRKVADCRHCGALRFPFEGPAFCCRKGKVNITTPDVPEELKRLFTSQDDEDAKYFREHIRYFNSHFSFTTLGVTLDRRVSTAAGTGVYTFRACGGLYHALDGLVPSDNGPRHLQLYIYDTDQDLCHRAMRSPDLNIDLIRKILRILECNPYAQVLKSLGSVPNLDEYRISLNTDINLDQRRYNAPTTSQVAAIWVEGSDPQNCFDRSVVVHGKKGDRPLYIRAYYGCYDPLSYPLFFPRGETGWNRRMPYVGLLDDTTQCSTGNTAPQVTQTSDLYTDDNEYNPDEDFGDNEVNATQSRKFVSAREYYCFKLQVRKKLFNIILFGARLFQQWAVDMYIKMETMRLDWYSKPENQKVIRADLYQGLVDTVIVGESRGDRIGKRIVLPRTFPGGDRDMQRRFLDAMAIVQRWGKPDYFITMTCNPYWEEITQQLEPGQLPQDRPDLVARVYKAKQRDMMDLLTKGKHFGEVAAYVHVTEFQKRGLPHEHILLIMKAKSKLATPDDYDRVISAEIPDKEKYPILHDLVIKHMMHGPCGELKKSCPCMIDGQCRFHYPRDFCNATQQGKDSYPIYRRRDDGRGVRIRGANLDNRWVVPYNPFLLMRYNCHINVEACSSIKAVKYLFKYIYKGHDRTSFAFEQDIINDGGIINEIRQYRDARYISPPEAIYRIFGFKMFGVSPSVLQLQLHLPNMHTVAFKSCENLEDVLARPSSSKSMLTEYFEMNRRFPEARKWLYREFPEHYRWIAGKKLWQNRRNKRSQIGRLVYAHPAEGERYYLRVLLSHVRGATSFDDLKTVNGKACSSFREACEHLGLIEHDRTLDDCMTEAATFQMPCALRRLFATILVFCEATEIRQMWDKHLPSMSEDYRRNQSNEATLEQMVLRDIRDMLQSMGKDITSYGLPDPVETDGSYDGEYREVTEERQVTVDKEHLDLFSSLNNEQLAGFNDIMDHVMNQKSQVFFVDGPGGTGKTYLYKALLAKVRSMGQIAIATATSGIAASIMPGGRTAHSRFKIPIKLTDNSMCSFTKQSGTAELLKQASLIIWDEVAMTKRQAVETLDRSLQDIMECPLPFGGKVVVFGGDFRQVLPVVARGTRAQITDATLLRSYLWEKTRKIRLMHNMRAQADPWFSEYLLRIGNGTEETIGDDYVRLPDDIVIGYTEDEEAINTLIEDVFPSLHANARSREYMSARAILSTKNDHVDDLNDKMISRFPGEEKLYHSFDSIEDDLQNNYTIDFLNSITPNGLPPHVLRLKVNCPVILLRNLDPHNGLCNGTRLMIRAFQDNAIDAEIVGGQHAGKRVFIPRIPMSPSEDISLPFKLKRKQFPIRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRGVSRETTRILAKPNKEVDKSGKSTKNIVYRDVLER >SECCE5Rv1G0361700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780750744:780751544:-1 gene:SECCE5Rv1G0361700 transcript:SECCE5Rv1G0361700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMSLSSPAFAGKVVKNLPSLSLFGEARVTMRKTAAKAKKVASSSPWYGSDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLTDPVNNNAWAFATNFVPGK >SECCE2Rv1G0065820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10426090:10428533:1 gene:SECCE2Rv1G0065820 transcript:SECCE2Rv1G0065820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKNFSVGNNGLVGHLPSYIGYSLPNLQSLIMGSSSLEGLIPASLANMSNLQMLDLSNNLLNGPVPSLGSLAKLGQLVWGSNLLEAHDWSFLTSLANCTQLTKLSLEGNALNGSLPAAVVNLSTRLQDLSLGSNKISGSIPVEISNLVNLTSLRMESNFLSGSIPSTIGMLQNLYILNLSKNKLSGQIPPSVGDITQLGKLYLDDNNLSGNIPDSLGQCKGLLELNMSTNSLDGSIPVKLFDRPPLSLGVDFSYNKLIGEIPSEVGNLANLALLNVSNNMLFGTIPEALGSCLTLLFLRMERNMLEGKIPQSFRNLQSIQQINLARNILYGPVPELFGDLTLLDKLDLSYNNFEGPIPSGGCFRNSSTVVLDGNKMLCARVSMLGLPICDDTQTKNHVPLLRIIMIITPLIAGVLLLYLVVTVWKRRAQLTLPRCNKVPGVLCLVVNRKEREAVANQKIREVVACSNHKETLKKISYGDILKATNWFSSVHTISSTCTGSVYVGRFKSDRSLVAIKVFNLNEPGGYGSYFTECEVLRSTRHRNIMPPMTLCSTLDSKNHEFKALIFKFMVHGSLDRWLHSEQHNVIPDRVLSFGQRLCIAADVASALDYVHNQLTPPLIHCDLKPHNVLLDDDMTARLSDFGSAKFLLPGQVIRKNLVDIGGTIGYIAPEYGLGCKISVGGDVYSFGVLLLELLTGKRPTDDMFVDGLTLPIFSESMFPDLVAEMLDPHMAHEEHQGCVEAWMQRYIVPLVSLGCHAPLNLRRTGLE >SECCE2Rv1G0080920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:134096686:134098817:1 gene:SECCE2Rv1G0080920 transcript:SECCE2Rv1G0080920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSAIAARLGLSGSRPVVRKAAELRRLCDINFDSSVLGIGEVCKAIICLEIAATKFQVIFDRSEAVRMSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFVQKGLALYKERFLAALPPSRRSSTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSSEFTTVSTSMGDLCFDVFGIAKEKKDPTSIKGNRELLDVLPSKRKHEDDSDHSDESSGDDNDDELDLPTHKRHKKMEKQAYNQWKSSVISTNKQAKPDPTKPRKQAQLNFKKKLPDAALEVPPPAN >SECCE4Rv1G0225750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:99597091:99598610:-1 gene:SECCE4Rv1G0225750 transcript:SECCE4Rv1G0225750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASGGQPSVLSTLPNNLPLDFVKTITDQFSEERILGTGAFGTVYKGIMPDGQIITVKKLAENSPIAREKAFANEVQNIMALHHENVVKLVGYCHEGQKKVVLNNGRYIVADIVESLLCHEYVPRGSLQKNLFELHTKMDWNTRFNIIKGICNGLYFVHNIPIVHMDLKPENILLDDNMVPKIADFGLSRLFGQEQTRMNTQNVVGSYGYIAPEYLYRGEISTKSDIYSLGLLIMETTTGEKNCQAKEPSAVQFIKNVRENWKEQRIASEYPLLDADGLQQVKKCIEIGLECVEIDRQKRPSIETILDKLNGRCASRKN >SECCE7Rv1G0523950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884213946:884215528:-1 gene:SECCE7Rv1G0523950 transcript:SECCE7Rv1G0523950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLTLVTPKKGLHAAIHELHMKMGSVFTVNLFGLKKVTFLVGPEVTPHFFKGSPSEIDFGDTAKIIVPVLGSGVLFGVDMATRNEQMRFCTKAIQPARLRRDVHSMVSVVEDYFAKWGQSGTVDLKHELGHLIVLIANRCLLGNEIKGNNLEEVSRLLHELFENSFHMINLFFPHLPIPPHRRRDEARARLGEILHEIVRSRRRISPARVMEKNDDDEGDVLQCFMDSKYSDGRSMKESEIVGLLIAILFAGQHTSSSTSAWTGACLLSNQKYMTAAMEEQKKIIEQNGEPIDYTILSKMDTLRCCIKEALRLYSPTPLLLRHAHKSFAVQTRDGMEYEIPEGHALACSIAVSNKLPYIYKIPNMYDPCRFGPGREEDKTGGKFSDISFGAGRYSCLGQDYAFMQIKVIWSYLLRNFELELISPFPELENDKILPGPRGKVMVTYRRREGQ >SECCE7Rv1G0474350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:170677867:170679094:1 gene:SECCE7Rv1G0474350 transcript:SECCE7Rv1G0474350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPLPAALLAVCALLAAMALFQGARAQQLSPAYYDESCPHVYDTVRRVIQAARTADPRILASLVRLQFHDCFVNGCDGSLLLDDGPGINSEKNAAPNNNSARGFPVVDDIKAALESACPGVVSCADIVALAAEVSVELAGGPYWRVLLGRRDGTTANFDAADNLPGPTDTLNVLRQKFADVGLDDTDFVALQGAHTIGRSQCRFFQDRLDNFAGTGQPDPTLDGAYLSALRQSCPAAGADMRLNNLDPATPDAFDNSYYHNLLRNRGLLRSDQVMLSAPEGAAASTAPIVERFAASQADFFGSFATAMIKMGNIAPPTGSMGEVRRNCRVVNRS >SECCE7Rv1G0497310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:622577868:622580563:-1 gene:SECCE7Rv1G0497310 transcript:SECCE7Rv1G0497310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASKPLLAPTSLTAMAIRLPPHAPTHHAPPHRRTPPFCSIARAAPSPSTTATAVSLSPSPKKVLVPIAMGTEEMEAIILAGVLRRAGADVTLASVEDGLEVEASYGKRIIADKSIAACADQVFDLVALPGGMPGSVWLRDNEILQRIMVRQAEEKRLYGAICAAPAVVLMPWGLHKGRKITCHPSFIGDLPTFRAVESTVQVSGELTTSRGPGTAFQFALSFVEQLFGPHAVEDVDSTLVCQNKPCISLFCIMPFSRLYYFL >SECCE4Rv1G0295410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898203280:898204869:-1 gene:SECCE4Rv1G0295410 transcript:SECCE4Rv1G0295410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALATTSSSAPLLMGAVSLVGALMFLLNRGGKKEQNLPPGPPAMEFLAKFINLRRSIFYVRPILRELHARYGPIFSVRLFKTFIFVRDRGLTHEMLVRGGATFADRPDPLYQPWPMFFDGGILINAYGTYWQRMRRNLVEALHPARVALFKQARSRTRDALLARLSAAAAGGGEVVAVREIFRRTLFELLAYMTLGPGLSTETLNDIFMVQKDAFGAVTSFSYFMAFVELTKRIFSKRWAALQEIGKRREAILSPLIQDRRLRASSSGGGMETDMDTDTDTDQDPPCYADTLLKVRLPDEGDRALTNAEITALCSEFMVAGTDTTASLMEWLMAEMVIRPDVQAKVYQEVSGDEELKESDLAKMPYLKAVVLEALRMHPAAHLIFPHKSMHDTEVGGYTVPKGAEVNFLVADCALDPTVWTDPEEFRPERFLEGGEGHGVDITGNKEVKMIPFGAGRRMCPGYSLATLHLQSLVATIVKEFEWLPAVEGQKIDMTEDLDGVIVIRDPLRVRLIPRRSSELVSTHSDLK >SECCE4Rv1G0283950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:837394374:837397395:1 gene:SECCE4Rv1G0283950 transcript:SECCE4Rv1G0283950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRAGTPPVLYSYASMQQRSGGGMRWRECVAVLGAAAMVVLVVTHALLPGATVGDLGDVVNPVLRLRRARREVAAVPSSEKTVGEIGDEADGFPWSNAMLQWQRTGYHFQPDKNYMNAPMYYRGWYHFFYQYNPEGVTWGNISWGHAVSRDMVHWHHLPLAMVPDRWYDINGVLTGSATILPDGKVVLLYTGNTDTLAQVQCVAEPADPHDPLLRTWIKHPANPVLFPPPGTYKKDFRDPMTAWFDKSDNTWRTMIGSKDNNGHAGIALMYKTKDFVKFELIPRPVHRVEGTGMWECVDFYPVGGNSNSSHEVLYVLKASMDDERHDYYALGRYDAVTNTWTPLDPEADVGIGLRYNWGKFFASTTFYDPAKRRRVMWAYVGETDSNRTDLAKGWANLQAIPRTVALDEKTRTNLLQWPVEEIETLRHNATDLSGITISTGSVFPLRLRQAAQLDIEASFRLNTSDIAAHNEADIGYNCSTSGGATNRGALGPFGLLLTNGHSEQMAMYFYMSRSLDGGLRTHFCHDESQSSLARNVVKRVVGSTVPVLNGEALSARILVDHSIVESFVMGGRLTATSRVYPTEAIYEAAGVYVFNNATGSTVIVDKLVVHEMHSTPMQLDLLA >SECCE1Rv1G0034200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:478307720:478322321:1 gene:SECCE1Rv1G0034200 transcript:SECCE1Rv1G0034200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLISPAAAGQGCGLVKAGFLGVVSPLHYQRLPSSSSKRGSPGNKYSSCLANLTKQGSHWTGTRSNPLLSLHSSKFVSKRTACLIATEPPPAATEEPEMDLPKEIFLKDYKKPDYLFDTVDLEFQLGDEKTMVTSKIAVSPGNEGTSSSLALHGRDLKLLSIKINGTELESDKYTVDPRHLTILTPPAGVFNMEIVTEIYPQLNTSLEGLYRSTGNFCTQCEAEGFRKITYFQDRPDVMAKYTCRIEGDKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPCYLFALVAGQLECREDSFVTCSGRKVTLRIWTPAQDLPKTSHAMYSLKEAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNVFQSRLVLASPEAATDGDYAAILGVIGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRSYQFPQDAGPMAHPIRPLSYIKMDNFYTVTVYEKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAMCDANNAHLPNFLQWYSQAGTPTVKVTSSYDEVSQTFSLKLSQEVPPTPGQPMKEPMFIPVAVGLVDSTGKDMPLTSIYSDGMVQTLSNDGHPVFTTVLQFKKKEEEFIFKNVPERPVPSLLRGYSAPVRLDSDLTESDLYFLLANDSDEFNRWEAGQILARKLMFSLVADFQQQKTLALNTKFVDGLRAILRSTSLDKEFIAKAITLPGQGEIMDMMSIADPDAVHTVRTFIKKELAFQLKDDLLAAVTSNRSSEAYAFNHDSVARRALKNTCLAYLASLNEPDVTELALNEYKSATNMTEQFAALAALSQNPGQVREDALLDFYNKWQQDYLVVSKWFALQATSDIPGNVANVQKLLAHPAFDMRNPNKVYSLIGGFCGSPVSFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIISANGLSENVYEIASKSLAA >SECCE4Rv1G0230460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:164117737:164120040:-1 gene:SECCE4Rv1G0230460 transcript:SECCE4Rv1G0230460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDTNNLVLHIRRLVRSSIRLGYHSACGHPEVLGAGIALLFLRALCPSLFTFVMSSSPVIVLTALLLGALLSYGEAAVPLDGEERLEEHESLSLKSSNIHSSDCSNKEVEDIAVKVQLEKRTETESSEVVHVRERASDDCMHDALCEDKNVTYIASDAAVLSGTYVEEETTPSDNLHGTHCEEKNVTFVADDTVPSAEPCNHPETNGTLECEERAKEISEKAELQEPESTCTEGCNNGVHYQYQFGEFMRSCWQPVIRQDLPYRDSESELTDESSSPDASMTDIIPMLEDLHPLINLGTGQLSLASRENLNSSSDDNEYEFEGEEEEQDDSISSDDDEGAERQQDNEVNWKNVVQLNCLDMEQNDKLGNLVELQRAKNMLKFELDMRLIDLQAADATRKLKDASRFHVQVPSICTPRHNPFNPSRDSEESIELPQIPGSAPSVLLRRRNLFDLPFDRAVHQRSRFQESWTPRSRFRSAQAQNMKHRNSCGQNHSTYNGETGDCHSDDDAEQEGSNVKLFGSLEAHLGEEMKILSAAISDVGLLGEVNHETDEGNRNANVRDDAASLAGAHDSETHGVEADSMSEMNSLFRCRMEEVLVQSVSEGSVCQPMGVKPEAIPSAPLSSDSWMRANKASSIEELDFQFLTADEAAPTTCAASGLSGYSESDRSSEALCVVYGQSSELSTAGEQQTVGMSEPNETLTTYCDELPVVEVVNVSVEEMNSLFEQLEEEAQPSNGRSKMDIPQDGLCQVEVDLVELSLDRGLSAP >SECCE6Rv1G0451960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874502410:874508308:1 gene:SECCE6Rv1G0451960 transcript:SECCE6Rv1G0451960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin ligase SUD1 [Source:Projected from Arabidopsis thaliana (AT4G34100) UniProtKB/Swiss-Prot;Acc:F4JKK0] MAEILDPAAAVAAGEPEDQEEARPLSAAAAAAAAADDDEDDEEEGDVCRICRNRGDDDHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYAQNAPTRLPFQELVFGVGMKACHVFQFVLRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHEADRDDAGRERHGARAVRRLAGPNNRVPGADGVIDDLAEAQGLGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASSPMLARVMPFTESAISLANETLNSAFNAMKNFSSDSHNEGVIGHVIEVVTQSLKINATGLAVMQGTGKSSLVKGTAIGSSYLSDLTTLAVGYMFIFCLVFLYIGSLALLRYARGERFTIGRLYGIAAILEAIPSLCRQFFSGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGTTIAQRVEFFTMSPLASSSIHWLVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPKTFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKALLHHWFAVIGWALGLTDFLLPKPEENAAGQENWNGRAERRDRVNGGMEMVAPQLEQRMIQHAAIDNDGRGNANEANDIAEESDVDDQGDSEYSFALRIILLLVLAWMTLLIFNAGMIVIPISLGRLVFEAVPRLPITHGIKCNDLFSFSIGCYIIWSAAAGTRYAVDYIRSRRLGFLVQQICKWCSIVLKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRMKFERVREDGFSRLKGLWVLHEIITPIITKLLTALCVPYVLARGVFPVLGYPLIVNSAVYRFAWLGCLIFSTLFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSRRSNVADENPGSGDEDLALIPIDHQEDDDVGLRFRGNNRHAHEDAGVVVVG >SECCE3Rv1G0190260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:736599514:736602975:-1 gene:SECCE3Rv1G0190260 transcript:SECCE3Rv1G0190260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G79840) UniProtKB/TrEMBL;Acc:F4HQC0] MGSSRPRTKDFFAAPTLSLSLAGAFARNAPAAASGDEVEEGEEGSGGIRSGPPGEEVEISSENTGPAGSQSGDGSGEEEGRDDGGKRKKRSRKSYHRHTAEQVRVMEAVFNESPHPDEKQRQQLSQQLGLSPRQVKFWFQNRRTQIKATQERHENSLLKSELENLQKENRAMRQLTKRPSRCPSCGAGAASSDGFDAPVGNQEQLLQLENAKLRAEVEKLRGALGTAAADGAASPAFSPPFSAGTAQMSGNRSPFEVYGGGFVGSDRQSALELAGRALEELKTVSSSGEPLWVRSVETGRDILNYDEYVRLFWHDDGPGDRRAGWSVEASRETGVVYLDATKLVHAFMDVNQWKELFPSMISKASTLDVIRTGDDHDGHDGMVQLMFAEVQMLTPTVPTREFYLARYCKKLAAEKWAIVDVSFDKAETDVGTSPLVTCWKNPSGCIIEEQANGHSRVTWMEHTRCRECAVPSMYRAVTASGLAFGARRWVATLQLQCERVVFWVATNVPTKDSNGVSTLAGRRSVLKLAHRMTSSLCRVIGGSRGLAWSRAPRAGAGDVRLTSRTNAGDPGEPQGLIACAVLSTWLPVSPTALLDFLRDESRRPEWDVTMAGRAVQCRVNLTKGKDRGNCVTAYAPASADGQGGEWIVQDSCTNPCESIVAYAPVDAAVLQPVISGHDSSGVALLPCGFAVVPDGLESRPAVITSRREDGAAAGSLVTVAFQVLASSSPVAALLPESAETVTSLASCTLRRVRKALGCEDR >SECCE7Rv1G0525200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:889170173:889173007:-1 gene:SECCE7Rv1G0525200 transcript:SECCE7Rv1G0525200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLDYDTRHSELDRLFYRYGRIQRIDMKSGFAFVYFEDERDGDDAIRALDGYPFGPGRRRLSVEWSRGDRGTRRDDRDGYSKPPVNTKPTKTLFVINFDPINTRVSDLERHFGHFGRISNVRIRKNFAFVQFETQEEATKALDATHLTKLLDRVISVEYAFRDDSEPGDKYDRPSRGGGYGRQDDSSYRRSVSPMYRRSRPSPDYGRPVSPQYGAYGRSRSPVRDRYRSRSPVQRSRSPVPNRRGYD >SECCE5Rv1G0321640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:407799694:407803570:1 gene:SECCE5Rv1G0321640 transcript:SECCE5Rv1G0321640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDTVGGGGGDIWVNDYRFRVLRRLGDAGPAGSSVFLVKEVVAVAAASDGTAGAGPGAAGLAKKKGVDPSHISADGTYALKKVLIQSDQHLELVRQEIRVSSQFSHPNLLPLLENAIIAVKGVQDGSQNHEAYLLFPVHLDGTLQDVTKSMQEKKEYLSTITILQIFRQLCAGLKHMHSFEPPYAHNGVKPDNVLITQRKEQPHLAILMDFESARPARRAIRSQAEALQLQEWASEHCSAHFRAPELWECPPHADIDERTDVWSLGCTLYAMMYGKSPFDYELDESAGESLVTVIKSAQVKWPTEMGSSYPDTLRQFVTWMLQPHPAVRPNIDDIIIHVDKLIAKHST >SECCE2Rv1G0126440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:846347588:846349253:-1 gene:SECCE2Rv1G0126440 transcript:SECCE2Rv1G0126440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIILLLDYIAAAKKKNIVILKIVLPIVACLLIFTCIYLGCKSRGKRGRNIQNKHKLKHLNASDDLGNEDTELPSYSFKDILIATNYFSDHNMLGKGGFGKVYKGMLESGKEIAVKRLSMGSGQGIEEFRNEVVLIAKLQHRNLVRLLGYCIHEDEKLLIYEYLHNKSLDAFLFDATKKYVLDWLTRFMVIKGIARGLLYLHQDSRLTIIHRDLKASNILLDAEMSPKISDFGMARIFGGNEQQANTKRVVGTYGYMSPEYAMEGYFSVKSDTYSFGVLLLEIVSGLRINSPHLIMDFPNLIAYAWSLWVDGNARELVDSSVVESCPLDEVLRCVHIGLLCVQDHPNARPLMSSIVFMLENETAPLPTPEKPVYFTLRDHEAEDRRKYMGRSETENDMTITTLEGR >SECCE7Rv1G0481220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:281721630:281726367:1 gene:SECCE7Rv1G0481220 transcript:SECCE7Rv1G0481220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSHSLLSPAPTSLPRARLAFPAVRAVTLRRRPSFPAVAAAASSMASPDADEKKETKLWGGRFEEGVTDAVERFTESISYDWQLYKYDIMGSKAHASMLAAQGLITTGDRDIILEGLDQIEKQIQDGKFEWRKDREDVHMNIEAALIEKVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILIRIKQFQVSLVLLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLIDCRERVNFCPLGACALAGTGLPIDRFKTAKDLKFTAPMKNSIDAVSDRDFVLEFLAANSIAAIHLSRIGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLMTVLVLCKGLPQAYNRDLQEDKEPLFDSVKAILGMLEVCSEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRCVALCVSKNCQLTELEMDDLKAVHPVFEADVYAYLGVENAVNKFISYGSTGSEQVKKQLEDWRVQLGINP >SECCE6Rv1G0378810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:10600968:10604929:1 gene:SECCE6Rv1G0378810 transcript:SECCE6Rv1G0378810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFPPPPLPANASSAPPPPPPLHQQAGSPPTPATLLARHLPEAITQDMLSGLSSRYGATSFRPCGGKLRNCAFVDFRDEMAANQAQSRLNRLRLLGKVLILERADQPNAKNANEKHQEHLAHGVPQVPSMNSQNQKSSTSTAEPIASRLGVDYPFPPHLEYAYPPPDGNILNNIVNSLIAVPRFYNQVLHLMNKMNLPAPFRMALPTPPLPSQGPLRPPPPPPQPSMTEKLHLADLSSDESEMESSDEDVDTREVKRAKHEAIVGPAVDRSVAHESVGVKPVALVPNELQVIKKKNPVVQIKIVPKAAYKELADRSIIDEKLASRDEQLEEKHFATPQEIEKEKLPTEEILSLPMFKNYTPGNPASVLYIKNLAKDVVHDDFYYVFGSVFESLDAARSGLSIKLMQEGRMRGQAFVTFPSVEHAQRALNLAHGYAFKGKPMIIQFGRNPGASKAS >SECCE1Rv1G0007070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:38528592:38532152:1 gene:SECCE1Rv1G0007070 transcript:SECCE1Rv1G0007070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMLSENAERRRTVLDNLQKQLDEAVIDMQLYEKALDVFEGDPATSGILHDHLLRTMGTPIVDKILFSLDKDNKLKNGMEFEGSEEQHVQLSTTERTFLAKDLPGQLSSKAQALVEALEGKRFDSFIDALRDTAEESGLLFKKLDERLEQSMLHSHHKDLIAQVSSETDPVSFLPEVAALLFLQAYNKALQAPGSAVGAVITLLKDKLPASTLKVLTEYHATTVKLLALQDAATGDEDDCTSDRMLEKQEDLEERLLPELKSLALGTSKEQ >SECCE7Rv1G0483600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:324419660:324423014:-1 gene:SECCE7Rv1G0483600 transcript:SECCE7Rv1G0483600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSTDAATKEMEALHVGQTKETDDILKNASDSNGGAPGAQSSPLADDDDAQVDGPSEDGAGASAAAKKKKKKSKAKKKKDPLQQTDPPSIPVDELFPSGDFPEGEIQQYKDDNLWRTTSEEKREQERLQKPMYNSVRRAAEVHRQVRKYMRSIIKPGMLMMELCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDCAFTVAFNPMFDPLLQATKDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRIGETKYLMALKNLCDVGIIQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >SECCE7Rv1G0495510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:598831523:598832101:1 gene:SECCE7Rv1G0495510 transcript:SECCE7Rv1G0495510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPGFVPIASPPPPWWPFHPSVPLPPPPPSNAKPSSTQGRILAGLLVGVVASLIVLTAVFTLCREYRDRRARAAAAAWRWPVPERNGVRVVGERQLGRPCAASPTAGLPAFTYSPSVKHNVTGGGEEAAVTCSVCLGALQLGEMVRLLPACLHLYHADCIDPWLEAHSTCPVCRSDIGPAAGVGRPPSV >SECCE4Rv1G0225490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:94556394:94556828:1 gene:SECCE4Rv1G0225490 transcript:SECCE4Rv1G0225490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNHFLLILLVAASVVASSATVTSSNSPSSTAYELLEKNGFPRGILPEGVQDYALHPDGSFEVSLPGGCDINVGGFTLRYEGNVHGNIQSMLINELTGVSVKIAIKWVSINAVERHGDQLIFNATVISKSFPINNFSASPRCN >SECCEUnv1G0546460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:156575274:156575648:1 gene:SECCEUnv1G0546460 transcript:SECCEUnv1G0546460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVVVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE7Rv1G0483340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:321079487:321079843:1 gene:SECCE7Rv1G0483340 transcript:SECCE7Rv1G0483340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific 50S ribosomal protein 6 [Source:Projected from Arabidopsis thaliana (AT5G17870) TAIR;Acc:AT5G17870] MSLVTAFLAGSAAPAVAPRSARPSAGFFGVVGGCALSVECSSRPQKKGTKHHMKTRPKKTQRWDIKRRPIQYEPLPALPDDWTLVAAGEKEDEAPQDEETTPAAAVEVEVVAAPAAAD >SECCE5Rv1G0356540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:740178613:740181296:1 gene:SECCE5Rv1G0356540 transcript:SECCE5Rv1G0356540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDADDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYVLDEILISGELQESSKKNVARLIAAQDSLVEAAKEEAGSISNIIAQATK >SECCE3Rv1G0172190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:286921333:286929199:-1 gene:SECCE3Rv1G0172190 transcript:SECCE3Rv1G0172190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGGDGLEVPLLKRTYYHGNCPGCRLEKRNETRRGVPYTEFACMWLVTVCSTLPIQSLFPFLYFMIRDLHIAKQVEDIGFYAGFVGASYMLGRALTSTVWGIVADKHGRKPVLVITLIAVITLNTLFGLSSSYWMALTTRGLLGLLSGMLGPIKAYATEVCRKEYNHLALSLISSSRAIGLIVGPAIGGYLAQPADKYPGIFSQESIFGRFPYFLPCLCISILAIAALVSCIWLPETLHKHNEATDSNNSIEAMEESLFEPNAEKSGGRYWGLFTNWPLMSAITVYCLFSLQDVAYAEVFSLWAVSDRKYGGLSFTSTDVGSVLALSGLFLLIYQILIYPSVAKAIEPITLVRATAILTLPLLASYPFMTSLSGFNLQLVVNCASSLKNSFQVTTITVCNILMNDAVSQDLRASANGLSVTLMSIFKAVAPAIAGVIFSWAQRRQTASFLPGDHLVFFMLNAATVVGLMCTFGPHFARGSTKH >SECCE4Rv1G0249960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:588025875:588027579:1 gene:SECCE4Rv1G0249960 transcript:SECCE4Rv1G0249960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISLIPSQLLPQVQLPQQWQQLLLGLGLLLPILVLIVRRRKGLKLPPGPARLPVLGNLHQLGSLPHRSLRELARRHGPVMLLRLGATHMLVVSSASAAREVLKEHDADCCSRPACPGPKLLSYGFKNMAFAPYGEHWREMRKIFIVELVSMRRVKAAWGARQEQVDKLMAALAPNEPVALGEHIFAFTDGIIGTVALGNVYGADMLARKKHFQHVLDEAMDMLATFSAEDFFPNAAGRLVDRLTGLVARRDRLFSNLDDFFETVIEQHLDPARPKPENGGDLVDVLIDLWKQEHRGFTKDHVKAIIMDTFVGGIDTSSVTILWAMSELTRNPRVLKKVQEEIRAAVGERRSRVQPDDLPKLNYLKMVLKETLRLYPPATLLLPRETMRDVKIGGYDVPATTRIAVNAWALGRDPASWGEDADEFNPDRFEAGARHAEVDFHGAHFELVPFGAGRRICPGLAMALMNVEFALANLLCGFDWALPEGTKPEDLCMEEAGGLTFHRKTPLVLVPTPYVPPSAA >SECCE5Rv1G0369970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:839202787:839206395:-1 gene:SECCE5Rv1G0369970 transcript:SECCE5Rv1G0369970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAADAAAASSLMPAMDAGAPELYGLQGGMELLGMRGLHVAAMSEPGDGGGDGHDGSTMRFFLEQQQQQQQHRHQHHQPSQQAPLSLSLCRPEGVAQLHHHHLGDSSQQQQQQQHEASAASWMLPHEHEAATYAHGQGAAWPLRSSRFLLPAQQLLQGYCSLPVDITPKRAKPLQQDEAGGGEASSSSTSGWTPSAQIQAMDALELKRLKDRLYVMLEEVDRRYRRYCEQMRGLAGGFEAVAGERAASGYTAVAARTISRHFRSLRDGIVAQLQAVRKALGEKDVSPPGMTRGDTPRLKVLDQCIRQQKAMHQSGGMMMDNHPWRPQRGLPERAVTILRAWLFEHFLNPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKGEQQDDGGPINPNNPSSSGSHASDALGQQGAAAADEGDRVGGVDDRKPTRAQLHVGHDAGSLASVVNIAGVPDRMESFGIMDAGHHLDFDAYGGQGQGGFGGGAGGVSLTLGLQQHDTHGGVGGVNIAFGAPSSAQHGAGGFLFPGEQMDGVGMHPSGGHGQNIQFGMDGAGEGSSHGVQDQHYRGMSAGFHLLRDLAG >SECCE1Rv1G0017340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:152973740:152977508:-1 gene:SECCE1Rv1G0017340 transcript:SECCE1Rv1G0017340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNRRGSGAEELRIGSGNVFAALETLKKKKKPAAAEKKQAPVVEKPEVFWAPAPLTAKSWADVEDDDDDDYFATTAPLCPVRESQGDGADAGHDDDNEHSALEQEIESEDDSLDDEVDAAAEDEHEAGDAVPSEPAVQKAVAPLVPPKDTERQLSKKELKKKELEELDAVLAELGISHESSNATQDETNDKNGACQAADGGKKEDASAPLESKTSKKKKAKKDKSSKEAEETSDQNNATDDAAGAEPDEDVASVDVKERIKKVASMKKKKSSKEMDAAAKIAASEAAARRAKLAAAKKKDKNHYNQQPLR >SECCE2Rv1G0087650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:229599810:229600439:-1 gene:SECCE2Rv1G0087650 transcript:SECCE2Rv1G0087650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTSGASSAPGFRRASGRRDTDSRSPVAYRESSMAYEPPKLCYCRTRWKAPRWISWSRQNPGRKYYACVDAMHGGCGFVEWHDDPLPKFLSDLIGDLRDEVWRLMGQGSVAQTEDQIPNVHISGHEAALENLVVSLQVQVKEKNAQLEAMKDKYMNVVMVFMVFVLGVVLGKMLVSV >SECCE3Rv1G0205270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899679571:899682385:1 gene:SECCE3Rv1G0205270 transcript:SECCE3Rv1G0205270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSSRSLEVRPAARKPMASKQTAPIMNQGQGETSYAHNSSIQNAEQNKMKSLIDAVIAELCSNTGTLQPGKMVIADLGCSTGPNALALVSIAVEAIHAHCLQFQQPSPEVSVLLNDLPENDFNTVVKSLVTLRQSNDPVVVTGITPGSFYERLFTSESVHLVCSSNSLHWLSKAPEDLKRNLIPAYDIDEHSRCERLPIVLEAYAKQYRKDFTLFLELRAKELVSGGRMIISLLGRRSDIIATKFPFLPEIVAQILCVMVSEGVIDKEKFDSFYGLMHEPSLEELREIIQEEGSFSIREMREHDPRTDINKTISTPGIFAGFLRSLFEPVIVQHFGYVMDEFVRTVERRYILEGSLQEERAKCPYAMLVVSLAKA >SECCE5Rv1G0349700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:686149099:686149551:1 gene:SECCE5Rv1G0349700 transcript:SECCE5Rv1G0349700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEALHQLLLNGVSLDLCLDTMTHHRGTRRPASPAPADGQQQHHGKEAFSCNYCQRKFFSSQALGGHQNAHKLERTLVKRSRDADTGIHAAAAATPVAHSWLHAGGDLWAYSVSPMASMSMGGWAGTRATTTAGGEATAEMDLSLKLCL >SECCE7Rv1G0510500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:790264932:790265285:-1 gene:SECCE7Rv1G0510500 transcript:SECCE7Rv1G0510500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSQMLLLAIAFLVLASDVVTKASAGIEGIPCRTSFIPLPKPCDSKSCYDACIDRTWVGGFGQCVAEGCKCEQCTYIPPKNGN >SECCE1Rv1G0055060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678138411:678138791:1 gene:SECCE1Rv1G0055060 transcript:SECCE1Rv1G0055060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLQPLPRMCKETRVMDATVAGAAKMVKRKERPAAAKDVVARADQYGIEDEKEVAWPECRVEDGGVRLKVLMTRREAAEFMARLEEQAAAESESRTGEVLAGGALSPPCEVAWRPRLATIPETC >SECCE3Rv1G0187730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:704501162:704506671:1 gene:SECCE3Rv1G0187730 transcript:SECCE3Rv1G0187730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain receptor-like kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G51940) UniProtKB/Swiss-Prot;Acc:F4IB81] MPPRRRLLLLLLALACSGGVAAVDTAPGNATSSPLACSELSRVCTAFLAFPAAGNASVLQSMFDASPADLTSDPAASPGYAFVRKNCSCLASRTYLANTTYTVPSAVPLNATAAQVAAAAYAGLAVPPPGGALQRPPRPGAVVALHLICGCSSGPWNYLLSYVGADGDTVESLSSRFGASMDAIEAANGMPGPDPISTGKVYYIPLNSVPGQPYAAMSSAPVPAPAPTQNTLPEISDHHSAKFPYGWVIGGMGVALALIAIALLALLMCKSFHYNHQGSNNQGKSPNQPPHKFQLLKSGSFCYGSGRYFCCQFGTEKQSGKGGGDHHINVPKGMVVDVFDREKPIVFTYEEILASTDLFSDANLLGHGTYGSVYYGVLRDQEVAIKRMTSTNTKEFIVEMKVLCKVHHASLVELIGYAASKDELFLVYEYSQKGSLKNHLHDPQSKGYTPLSWIYRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDGSFRAKISDFGLAKLGVRSNDAEASVTKVVGTFGYLAPEYLRDGLATAKCDVYAFGVVLFELISGKEAITKADAVGASSNSERRSLASVMLTALRNCHDPTCVGSLKDCIDPNLMDLYPHDCIYQMAMLAKQCADEDPVLRPDMKQAVITLSQILLSSIEWEATLGGNSQVFSGLVAGR >SECCE7Rv1G0482970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:316409637:316410503:1 gene:SECCE7Rv1G0482970 transcript:SECCE7Rv1G0482970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTSVTMVELESQDAAAMKVASAKHVTATVALRVGAAVASLAAAVLVVTNRQERWGIEVNFTMFDVWVAFVATNFFCTAYSLLTAIFVKKLIGKRWLHTVDQLVVNLQTAATAGAGAIGSVAMWGNKTSGWYAVCRLYRRYCDVGAVALALSLAAFLSLGSACALSRYPRTPAKH >SECCE2Rv1G0129100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:866767860:866769550:1 gene:SECCE2Rv1G0129100 transcript:SECCE2Rv1G0129100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAKIAVEWLQEPLSWFFVASVVFMVLQRRRRRRGKAPPLPPGPYPLPIVGNMFTMDQDQLTHRGLAALAKQYGGICHLRLGKVHAIVLSTPEYAQEVLQAQDVAFSNRPATIAGVYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRAGTWLAVRDESAALARAVARRSGESVNLGELIFNLTKNVTFRAAFGADAAGDPAKRDEFIAIMQEFSQLFGGSSIGDFIPWLGWADQGLNVRARAARAALDEFIDKIIDEHMRRGKTPDDVDADMVDDMLAFLPETKPKKDAGDDLQNTLRLTRNNIKAMIMDVMFGGTETVASGIEWAMTEMMHSPDDLRRLQQELADVVGLDRNVDEPDLDKLPFLKCVIKETLRLHPPIPILHHENAEDCVVGGYSVPRGSSVMINVFAIGRDPKVWKDADTFRPSRFMAGEGEAARVDFKGSCFEFLPFGSGRRSCPGMALGIYSLEFAVAQLAHGFSWALPDGMKPSELDMTDTFGLTAPRATRLCAVPTPRLTHSLVSDVDATHKV >SECCE4Rv1G0251520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:603901730:603909064:-1 gene:SECCE4Rv1G0251520 transcript:SECCE4Rv1G0251520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHDAPKRKRGRKPKPPAAAAAPDNGNHDSAPSPKPKRGRKPKPPAAASPDNDHPSSPLAAAADTPEPGSSSGPRGRRKSRRGRHELPSDADAALRAPPSPTRRGAPKGAANMKVEVPAVEPLRWEQVAKVMPSMDAVVKVFCVHTEPNFSMPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGNECDIAMLTVDDDEFWKGVLPLEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDQGKCVGIAFQSLKHEDAENIGYVIPTPVIKHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKSDQKGVRIRRIEPTAPESGCMQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKARVKVLRSSKIHEFNIKLSIHKKLIPAHIKGRPPSYYIVAGFVFMVVSVPYLRSEYGKDYEFDAPVKLLDKHLHAMAQSPDEQLVVVSQVLVADINIGYEELVNTQVRAFNGKPVNNLKQLATMVEDCNEEFLKFDMDYDQVVVLETKTARAATQDILTTHCIPSAMSDDLKA >SECCE5Rv1G0322100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:416366638:416371872:-1 gene:SECCE5Rv1G0322100 transcript:SECCE5Rv1G0322100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEEAIKQFSVLMEQLEEPLKTTFQNVHQGYPRGTLLRFLKAREWNVPKAYKMLMDCLNWRLQNEIDSVLAKPILPADLYRSIRDTLLVGLTGYSKQGQPVYAFGVGLSTFDRASVNYYLQSHIQMNEYRDRVVLPGASEMSGKQINTCLKVMDMTGLKLSALNQIKMLSTITAVDDLNYPEKTETYYIVNAPYVFSACWKVVKPLLQERTKKKIKVLYGPGRDELLKVMDYASLPHFCKREGSGSGSSSDEVDCYSYDHPFHQELYNYVKQQALRNQDSVGPAKQGSMHVRVPTPDLEEAKIMETIQSELHSLKGGDGISRSFSRITIEGP >SECCE1Rv1G0052830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:664852092:664856846:-1 gene:SECCE1Rv1G0052830 transcript:SECCE1Rv1G0052830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTITVVENVFGEVERKVQKSYWEEHSKDLTVESMMLDSRAKDLDKEERPEVLAILPSYAGKTVLELGAGIGRFTGELAKDAGHVIALDFIDSVIKKNEEINGDIYKNITFMCADVTSPELKIEDNSIDIVFSNWLLMYLNDEEVEKLIGRIVKWLKPGGHIFIRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECHSYDQEGNAFELSLVTSKCIGAYVKSKKNQNQICWLWEKVKCTEDKGFQRFLDNVQYKSTGILRYERVFGEGYVSTGGFETTKEFVDKLDLKAGQKVLDVGCGIGGGDFYMAETYDVHVLGIDLSINMVSFAIERAIGRSCSVEFEVADCTTKEYAENTFDVIYSRDTILHIQDKPALFRNFFKWLKPGGKVLISDYCRSPGTPSEAFAAYIAQRGYDLHDVKTYGKMLEDAGFHDVIAEDRTDQFLRVLERELAETEKNKEAFLADFSQEDYDDIVNGWSAKLKRSSAGEQKWGLFIATK >SECCE3Rv1G0143870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2284211:2286412:1 gene:SECCE3Rv1G0143870 transcript:SECCE3Rv1G0143870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTALKALTLLFVLAVLAPDQAEGRHHRPDCSSFSCGPLENVSPPFRRASDPPGCGYQSYELVCSDTKATIRIDNAPYYVSDINYINHTFRVVDADLDLYSSCPLPQWNPPSHVAVHGGMAELAPVGHNEACFVKCSREVKDNGMYMPVACLSTNDSFVYVLTGYRACQIEYLELSCGYLSMTRLPWEEVKLYNASYADVVKSMRSGFAIRFPYRYPRYLSDIRFRFKICLMHWSSGFHGMPIPISIVYSLLFPGVLLECALDVLVEFKTKGIVELVTWILTFIAVLCRYVLAPLSVLIFLAYKYWQTNITIDAVEKFLRMQQVLGPRRYAYTDITAITGHFRDKLGQGGYGSVYKGVLLPGNVHVAIKMLVSSSNCNGEDFISEVSTIGRIHHVNVVRLVGFCPEEMRRALIYEYMPRGSLDKYIFSAERMFSWDKLAEIALGIARGIDYLHQGCEMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRDNSFVPSRALRGTIGYIAPEMISRNFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYPSWVYDRLTEQEVCEISPVADMHELERKLCIIGLRCIQMKSHDRPTMCEVIEMLEGGVDGLQMPSRPFFCDDEHTAVPDSYPLLSELTEISEEDDISDSYVSQVL >SECCE1Rv1G0041640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:572997694:572999887:1 gene:SECCE1Rv1G0041640 transcript:SECCE1Rv1G0041640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEVYSPTPAAQHREQAAWWAVVGWLGLLLQVLLRAIRGAPSSLAKLLGSLGLRHPLLPAAPAVAFAQLPSKAPADELPPLSPPPGRLTVVLDLDETLVCAYESSSLPATVRTQAVEAGLHCFDMECISSDKDAKGRQRVNHVTVFERPGLHEFLQKTNEFADLILFTAGLEGYAKPLVDRIDAHNRFCRRLYRPSTVTTKYRDHVKDLSCLSKDFRRIVLVDNNPYSFLLQPLNGIPCLTFSAGQPMDDQLMRVIFPLLKRLSLQNDVRPALHDAFHMPEWFQRNGIPQIDQAM >SECCE1Rv1G0024460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:327020633:327022216:-1 gene:SECCE1Rv1G0024460 transcript:SECCE1Rv1G0024460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMARAFFHTPQRQHHLAELRIEGDQSPVAFSDPCAAGRKRRCLFPAFSPRKKMLVDLPPFSSAPAPSPPPSAGRTVSVFSSALSPSSGSNGSFAFCALPEQPSPKGSNRSGAFAFLTSTERSLTPMGSTASSGFAVFSSRPSLSPGHGGSNGTGAIAPLAPPTPTRTGSNGNDGGESAFLASPNPALGRKDSSASAADKVLSPAGPRISGGGDLVFSPPLVLPPSRTSASPERKAEGSTLWSRRRGNKRQLEEQLQVTVPLNKVAKTEALAIGDPTRRAALSMSSTRPCCAFVNSPAKAINQEANKDILAASGASCSSSPYQSPAGTICTFDASPTRPLEKASRRESEGGGGHGAAACPGAEVVVCVTCSCGARKEFCFDHRH >SECCE2Rv1G0074890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:80663573:80665713:-1 gene:SECCE2Rv1G0074890 transcript:SECCE2Rv1G0074890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVSHLWSEWGIHILVLLSLALQVLLLVFGGMRRRGLSTGLRVALWLAYLTADSTAIYTLGHLSITSGSREHQLAAFWAPFLLLHLGGPYNVTAYALEDNRLWLRHLQTLVVQVLGAAYVLYKYMADSGTLLQLASISMFVAGIAKYGERTWALKRANDNKSSSGKEVNPYELLRKDMSDDEILLRAHSQFAICKSGFDDTKVVMTPKPRAASSGLSPFYAEDIFKLVEMEMSLMYDTLYTKAAVTHTWYGFCIHSISLVGTSAALCLFQLRLSKGDHAYNRADVAISYILLAGALLLEVISACRAVLSSWTCCLVLRKAEDCSGSTRAAWLEWLHYYVLSSLRKTLKPANRRLWRGTIGQYNMFHLCSQDHTGLGSKLAAKIGQEDWWNKLHFSGTFCGSDSLSMQQLKDLLFRMIRQNGFATLAGPLSTMTTRGSFILKRKEAFKGVAKWTVLDVELDESIIIWHIATDLFIWESKAGHEVELIEATRVLSNYMMFLLVAKPNMLPGRERRAVHLKASRILESIWRTTILGDEDKSVRTSPGSWNKCCVLKELFHHEGPNGSRITRAQREKLAEQLFGSWSNEWGQQLDSYPEVLQGNRDELYKCAMVLAKQLLNLGRPDTLELIFCVWVEMMLYAADHCLRDSHARELGNGGEFITILWLLEQHWTYVHKYKIAG >SECCE2Rv1G0139290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:926367988:926368686:1 gene:SECCE2Rv1G0139290 transcript:SECCE2Rv1G0139290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLAFASLFVSGNDATASLSCSPPPSMSAASSGSTAASWQWPSCAQARTSSFDGRSSVEAEVASSSSARRDCWRSRTTRVITNLAYCDDDTADSSFVSATATASSSASAAAPEPELWGAREAEEAVIRGIRASSRLFFEPEATKSIVTASKPAAFGGATALAIDSADPYGDFRRSMEEMVLSRGGAGGEDDWGWLEEMLGWYLRANGKKTHGLIVGAFVDLLVGLSAVSN >SECCE6Rv1G0378680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9550662:9554074:-1 gene:SECCE6Rv1G0378680 transcript:SECCE6Rv1G0378680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPLAAGDSAYRRQWRYTWETLAHLPLLRLYLSHPALSAAAPSGLHADLRLEADLLLLSFSLASDPVSLRVPVPRVLVDPSAPPECRAAGDHIEVRLTLVLPVDHPVVAAAFPGADPPAPLSLRDDLKSLSSGDVHLYCKTCSARLTKQPLRDIVEMPSLNWEDVADNWFGGCCTSFGGASEKLISHYINAYGRLQRTTLLDATSIIIEKDYLETDIVSRVDTSVPSVDFVALEEAMSNVTLESDPTAEKIKLKNPEEEVCHEKKIGSNHMRPPVLLEEGPCIDNTEKNGGTPWTDQCGTIQLNSGGDVNSEKSTSDCFIENMEQTSQEADLNLVDPHSCCCDSGDSGKAGLENQRDYKLTKSISLGSSFIVKESNLVKDVNWVELLCSHCSSSLGSYPSQYSDAPSDGRVRLFKCYTSSDIPVGGSRDVFRGHTLEKLFVNLLLEIAEDEISFRTVVRDLKTKRPMLQIVLLSSKAWMFSGYCYENEMDGSHVTAHLQPTVKLLFSNCSSASEADLRTVEEWSSKYRAEQLYMMARQINELTECLCPAMDKFPLSCSSLEGMCLSSLER >SECCE4Rv1G0262050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:705841281:705847290:1 gene:SECCE4Rv1G0262050 transcript:SECCE4Rv1G0262050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLANDEVADSESQVTPFAKAGFFSKMSFWWLNPLIKVGYKKPLEDKDMPLLGTTDRAHNLYLMFMEELNGKKQLQSHATPSFLWTIVSCHKRAILVSGFFALLKVLAVSTGPIILKEFINVSLGKGTFKYEGCLLAGLMFICKCCESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNAAKMKHSSGNIMNYVTADAYQIGEFPYCFHQTWSTSVQLCIALAILYYAVGAAMISSLVIIIMIVLCNVPFARLQHKFKSKLMEAQDVRLKAMCESFVHMKVLKLYAWEAHFKKVIEGLREVEYKWLSAFQFRRAYNAFLFWSLPTWVSAATFLTCYLLKIPLDASNVFTFVAALSLVQEPVRLIPDAIGFAIQAKVAFTRISNFLDAPELNGQIRKKSYVGIDYPVPIAMNSCSFSWDENTSNRTLKNINLIVKGGEKIAICGEVGSGKSTLLAAVLGEVPKTEGMIQVYGKIAYVSQNAWIQSGTVQDNILFGSSMDGERYHNTLMRCSLVKDLEMLPYGDCTQIGERGVHLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMSALSDKTVLLVTHQVDFLPIFDSILLMSDGEIIRSAPYQDLLTDCEKFIGLVNSHKDTIGASDRNKNIPPQRSKEVSEKVTDDIHGSRNTESVKPSQENQLIKKEERETGDAGVKPYMIYLRQRKGFLYFSLCMFFHIIFIAGQISQNSWMAANVQNPHVSTLKLVYVYIIIGVCTIFFLLSRFLLVVVLGIQSSRSLFSQLLNSLFRAPMSFFDSTPLGRVFSRVSSDLSLVDLDVPFSFVFSLGAIFNAYSNLGVLVVITWQVLFVSVPMIVLAIWLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFAKNLDLVDKNASPYFYNFAATEWLIQRLEIMSAAVLSFSAFVMAILPQGTFSPGFVGMALSYGLSLNISFVYSIQFQCNLANQIISVERVNQYMDIQSEAAEVVEENRPLPDWPQNGIVEIRDLKIRYRIDAPLVLHGITCKFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAEGKIIIDSVDISMIGLHDLRSRLGIIPQDPTLFQGTIRYNLDPLGQFTDEQIWEVLDKCQLIEAVREKEQGLDSHVVEDGSNWSMGQRQLFCLGRALLRRCCILVLDEATASIDNATDVVLQKTIRTEFKHCTVITVAHRIPTVMDCDMVLAMSDGKVVEFEKPTKLMETEGSLFRELVKEYWSYTSNTNI >SECCE5Rv1G0363770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:796352163:796352600:-1 gene:SECCE5Rv1G0363770 transcript:SECCE5Rv1G0363770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKHQLALRPASTHGGCGGDGRAASVRERSSVTNASFRVYYSLRAGAVPFLWESAPGTPKRGVAAVSPESSLPTTKATSGGAADAGGATLLPPPPSPAPSYQSPFHGKGRKPSCTPTAGGIVRALLGVLRLRKSHRRRPDMSCL >SECCE7Rv1G0461710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:39375078:39378649:1 gene:SECCE7Rv1G0461710 transcript:SECCE7Rv1G0461710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGAAAASSRSQLKGDRFYYPPHRRQSQQHQQQGLQSRRPPTSPSLSPSPSPRRKTAAAAVTSASVDADGRADSDDSSSTSSKPSLASTAAEVNVVSSAPAAEEEEEAGNLDRFLASTTPSVPVRNMPETSLRMRRSGDATDSSPYFCLDDLWESFREWSAYGAGVPLVLNGGDSVIQYYVPYLSAIQLYADPSRPVASTRHPLDESDGESTDFSSESSSETDAAQNGVLQSEDGDAFVSASFPIFEHLERDPPYGREPLTDKVSVLADRFPALKTFRSCDLLPSSWMSVAWYPIYRIPTGPTLKDLDACFLTFHCLATPSKDSHPTTPACPGFEGIGHCTTATGRLSLPAFGLASYKLRSSLWASNGAPEQESVTSLMQEADNWLRCVQVDHPDFRFFVSHFGAAWR >SECCE4Rv1G0243210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:498557522:498561462:1 gene:SECCE4Rv1G0243210 transcript:SECCE4Rv1G0243210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGNKSGGAAGGGDGACSGGHLCHLCGYKYASAHPSAKQRRAHRKNCGGGGKSPPTSAAAAASAEVEEARQGKKLVLGEDVGGEANGAPAPDSGAVLPGSAEDVENALDDDDTGVHSSPNSAKFHVIINDVTSEGPPKANGPDVQTEVDLPLPENSRHFQDLYPPGSLSSGDQHQDASASHCQSEPEDGERFSPDFSADETSKSNAVSLASDAASGEFFAQTIATAGSPDGIAVTENDCMIDTIDKDKTSESMSVKGNEIGSSYQENLQTKIIEGHSPTTVEEDLYVNNLGVVPGEQSKDESGVIEPNPVEQVCTIKEPVDVLGNKEPSTDNNVHTDDTSSDDLSQLASGGCHLEAADVVETQQQIDSTSVVADQLTNSKQTCLEEGSSYPGADEFIQAVSRATESPVDSLVEEVISAGSACDVTKKDTSEVTTEDDTQNNSSHTSDVISMPSQIDPIEPSLDPTQEISMSSKHDVDENKQIENVSVDLTSGETNDVCSMDSIEETKQIEEISAEESTLKTSILQGTSSVEEKEEIEEVIADPASDNIDVMSSRDIIVQKEQSAVHVGTVHEINVVDIPAVVELEKHGEGSTADLPAIVELEKHGEETTADPTAQMTNIADKVEEKKQDEEITPDPAPHAINVIHEDNMQNEDMPEIPSSHENVLDDTDIVKEKGEETMSEPTSHSTDAVSIVDAAEGMKEKEEVTPVSTLDVSLVHAIDNVKGKESEEPTSDPTSVDAGGNAGTILVTDSSSHMNSTPQGTNDAKEEDRDEKAAADHARTDGVEEKKQKEETAAETNTKDSANDHPNEEITDKEMTIDSDKSHVSLKSLLSEKGIEFEVKEKKVSTKDRMLSFRRRSSKDNPSPAKPGSEQQDWNSPARLPVEKSPKGKKQQWMPFICCHSMN >SECCE7Rv1G0463710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:56194901:56199685:1 gene:SECCE7Rv1G0463710 transcript:SECCE7Rv1G0463710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPAGVDNTFRRKFDKEEYLERARQRERDEKDEARRGKDRGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFEALKKRKDPASFSEQDLDERIWKQEQEEEEKKRQRREKKKEKKKEQAGQNEPEDIDPDVAAMMGFGGFGTSSKK >SECCE3Rv1G0181190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:606904269:606904604:1 gene:SECCE3Rv1G0181190 transcript:SECCE3Rv1G0181190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHLRDGNAAYDTGSSLYDSYELASLNRILDRHLAVTGPPSPDESRREGPPVEGKNQQVVAFRARRKVTLRALFRGVASWVVRPRTQPPACACVGVAPARTSAVGSEGW >SECCE4Rv1G0279870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817205287:817207499:1 gene:SECCE4Rv1G0279870 transcript:SECCE4Rv1G0279870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSAEPAGAGAISKVLVVIAMQTEALPLVTRFHLVEAAADESIFPKGAPWTRYHGDYKGLHIDLVWPGKDPVLGVDSVGTVSAALVTYASIQLLKPDLIINAGTAGGFKARGAGIGDVFLASDVAFHDRRIPIPVFDSYGIGARKTFETPNIVKELNLKVGKLSTGDSLDMSPHDESAILSNEATLKDMEGAAVAYVADLFSTPAIFVKAVTDIVDGEKPTAEEFLQNLISVTMALDQAVMQVVDFISGKCISDL >SECCE4Rv1G0253700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:629224865:629227698:1 gene:SECCE4Rv1G0253700 transcript:SECCE4Rv1G0253700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZOP1 [Source:Projected from Arabidopsis thaliana (AT1G49590) UniProtKB/Swiss-Prot;Acc:Q7XA66] MTEYWVSQGNKWCDLCKIFISNNPFSIRTHELGKRHKDNVTQRLSTMQKDGAAKEKEQQQAAKALQLIEAKAKKSYQKDLENNQRNTDGDSSAAPGEGWVFDSTSGYYYDKSTGLYYDSNSGFYYSDGLGKWVTQEEAYKWAETSKTNVAQSSTSQPKPAGGGGPVSNIKGGPAPGVVVTKPLNPMRAVKGAPSALAANKRKREETKKPKVVSKEEEAALKAREAARKRVEDREKPLMGLYKTY >SECCE5Rv1G0349680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:686018501:686018734:1 gene:SECCE5Rv1G0349680 transcript:SECCE5Rv1G0349680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIQAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE7Rv1G0480910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:275867129:275868115:-1 gene:SECCE7Rv1G0480910 transcript:SECCE7Rv1G0480910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASASAGSGNAPGAGASSCCYYALLGIRKNASSTDIRAAYRRLAMKWHPDRWASDPGATGEAKRRFQRIQEAYSVLSDKGKRAMYDAGLFDPLDDDDQDFSDFMQEMLVMMDSVKNEKPDTLEDLQKMLDDIVNGDGGSRAPAGAAGGSGGGGCGGRVPPDANRRTRVAPYPQPSRR >SECCE6Rv1G0417010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:652772388:652774966:-1 gene:SECCE6Rv1G0417010 transcript:SECCE6Rv1G0417010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLVLAIRGEDVRTTKNRENIDQIRQVDKTMVTDGGDVYDCTDVNLQPALNHPLLKDHKIQMEPSSLPNGLNVKSPFLNVESEAHPSVIECPIGTIPILRNNRREDVAGHNIDAVFTKFQQQGVAGIKYYDDVYGARAILNVYEPKVKKDSKDLSATGVQIDNGPNGIDGIIVGYSVAPNLVGDSFARFHIAWDAGASNKSCYDHTCPGFVQVNRNFGLGGRLQHVSVYNGKQYVLKILIFKDTKTKNWWVAYGEANIPIGYWPSSLFTYLRDKGNYTLWGGHVSGPTASSDSPQMGSGHFASEGRGKAAFIKSVQIIDENNKLVTPNENRVVTGTSDITKYTVDGYGIDKEGMHIYYGGPGNFV >SECCE7Rv1G0525490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:890526016:890526426:-1 gene:SECCE7Rv1G0525490 transcript:SECCE7Rv1G0525490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIENSLSAAATAERSRHGFWAWKERFVLNHLTLYNPADDDDAANGVASADADQDSRRRFLWGSTLLAIFVVLSTALLMACIEPSTAGPAVYLASRVVGYAGQIVGACWGLLLLHLWEAVRLDNRKDQRIIDSLM >SECCE5Rv1G0323830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:440447940:440449202:1 gene:SECCE5Rv1G0323830 transcript:SECCE5Rv1G0323830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRRQRSNNKLKKASRSDIPSGPTTVHQIPDHLLELVLLRVGSSVALVRAAFTCKRWRRLVADTAFLARFRSLHAAHVAGHYHVVDRPYREKLPPDGNNQVFVPDPSAADAIDRRHFSLDFLPECDGSSSWELADSRGSLLLLYKARTDWTGQLRFPDLVVCEPLSRRYQGIAYPPAMRRDLCFGAFLLDGRAVDETGGCIGMSNFRVIVAVYEYVAHARSAPRACVFSSGADGGWSLGQRAAGSGGVPLSWFGLINFIGRTRWSLYWTMERDGVVLSLDESTLEFSLVMFPDAVVGWRDELSTFWVIGGQDGAVRVARMIKRDLTVFAQLQGGGEWVTEKVVRLRPADIVAANERYVLLTPRGETCLFSVELDTLQAESTHERNRYAGAAYPYELPWPPVLQACCAADDRRRRRCH >SECCE6Rv1G0430860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:744937137:744937676:-1 gene:SECCE6Rv1G0430860 transcript:SECCE6Rv1G0430860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGAVGVGGGAPAAAAAAAAVRAVRAVARVHIVLGLVAAAFAVWVATLHPQLQQQGEGGDCAATDEDAVRMRTHGLLLLLSAGAQAVAATVAAFATDTWRLSLSWTFTVFAHVVSVRAFFHLVGAFSAAVAVAVGSCPGHLHGHAADFIADYILLVIPLWVFFLLGISVLVLHRPW >SECCE5Rv1G0316940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:333337365:333337604:-1 gene:SECCE5Rv1G0316940 transcript:SECCE5Rv1G0316940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMRTTCTWTERPYEALLFPGIGFGPFLRSLGGRRRRLPSGGARAISEIPLWKSSDSNLVSDPRAKGQSQVDSFYGA >SECCE4Rv1G0251580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:605093692:605094564:1 gene:SECCE4Rv1G0251580 transcript:SECCE4Rv1G0251580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHPSPLTPVPQTTGPTDHHHHAGGGPGVTRRRGGSWAHRARGGARRRGQVETASPIKHWRPLPGLASASVPLLPAHAPHIIALQERVSANRPEISMDAGAGHGGAADDADAAFFSRRRYRCCCFSAPWQSPSSYARRAGTTTDEEWWHQVGEGGAGARAGAERRRWWRRGVDALMKVREWSELVAGPRWKTFIRRFRRGHRHGAAGAGGGRKLNYDALSYALNFDEGHGASPEGPGGELPGYPDFSTRFAAPPGSARSSMDLGGRDAPSLFHHPLPQQPHTPPATARG >SECCE2Rv1G0131230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:883818564:883823894:-1 gene:SECCE2Rv1G0131230 transcript:SECCE2Rv1G0131230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHREDFKRLDEFSPRSSVQSEVGGRSSLRFSMPGFDYDSFNPLRSFMSGLRKSSGRLKSLRQPNPSGAPKTAFAEDLKSFKKNIFDPQQKFLLRMNRFFFLSCIFAVAVDPLFFFLPIIDNSNCIGIDEKLAVTSTVVRTIIDSVYLIRVFLQFRTAYVAPSSRVFGSGELVIDPTLIAMRYIKSYFVMDFFALLPLPQIVVWRYLHSSDGPDALATKDALVWVVLCQYIPRLLRIFPVTKDLKRTAGVFIETAWAGAGYYLLWFMLAGHNVGTLWYFLSIERKDSCWRVNCHSTDGCEPTYLYCSKNHASSDKYKNWSMSTPIFNRCNGTDDSFNFGIYQQALVSGILGPGNFVSKSCYCFWWGLQNLSTLGQGFVTSTYPWEVLFSIAICILGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPEIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPALYTEHEYILREGDPVDEMQFILHGSLESVTTDGGRSGFFNKVQLKEGSFCGDELLTWALDPKSGANFPVSSRTVKALSEVEAFSLRADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWGACFIQAAWRRYYKRKMAEQRRREEEAANRQSSSSGPSLGATIYASRFAANALRGVHRLRSKAALPIVRVPKPSEPDFGVDVDEAD >SECCE5Rv1G0347690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:669141170:669142109:1 gene:SECCE5Rv1G0347690 transcript:SECCE5Rv1G0347690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEARSGGARRVPLRPRDGNAAASPSLGGGGVAKSKAKAKARAAAASPRSVRSYVGRDEAESAVVREKEVSLAEELEKARERRGRLRAARQVTERALAEADEALRREMRAWERRADEQRRVVAELMRLIGVPEVYVPVESLRSREERKRKQGTASSDPPGPITVASTLLQEESGLCLSDQELLATPTRETTAGATTEGSSS >SECCEUnv1G0560430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:347317145:347318170:1 gene:SECCEUnv1G0560430 transcript:SECCEUnv1G0560430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHSRALLILLLCSLLAGAANAEPSPEPTYKDCHPGDKAALLAVKAAFGEAYEFASWTPNNPCCDWYDVTCDRFTGRVVGLAVFQDANLTGTIPTALAGLTHLEDLTLRHLPGLSGPIPPAIGKLSNLSSLSISWTAVSGPVPSFLGALKKLTFLELSFNSLTGAIPASLGTIPNLSGINLSRNRLTGAIPPMFLSKSPHQDVYLWLSHNNLTGPIPADFPAVNFTHLDLSRNALTGDASGLFGSGKELQYIDLSRNAFDFDLSGVVLPERLNFADVSHNAIHGSIPAQVATAANLNFFNVSYNRLCGPVPAGGNMARFDLYNFEHNKCLCGAPLPSCNK >SECCE5Rv1G0375080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:866640113:866641678:-1 gene:SECCE5Rv1G0375080 transcript:SECCE5Rv1G0375080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTCLDNMTDQNGIVQVVVDTAEKKTKSPSLLDADEFRRQSQQVVDLIAEYYDRMGDYPVHPSVTPGFLHNLLPPDAPFRPEPDAFSSALKDIRDIILPGLTHWQSARHMAHFPASSSTIGALGEALTAGINIVPFTWAASPAATELEMLVVDWLGKALHLPESLLFAGGGGGTLLGTSCEAMLCTLVAARDRKLAEIGEDRICDLVVYCSDQTHFAFRKAARIAGILRDHCRAIPTCHDNMFALSPTKLEAAMQADVDAGLVPLFLCATVGTTQTTAVDPTGDLCAVTAPHGVWVHVDAAYAGSALVCPEFTNVIDGMEAVDSFSMNAHKWLLANNDCCAMWVKKPSALVASLGPEQDLILKDAASEGHGVVDYMDWTMTLTRRFRALKMWLVLRCYGIQGLRDHIRNHVRMAMEFEKMVKADERFEVVTDRKFALVCFRLRSQDKFDGADKQAANELNRRLLEQVNAATLGPYMSSANVGGMFILRCAIGSTLTEDHHVSDAWKVVQDQATIILRTN >SECCE3Rv1G0166380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:185746524:185748730:-1 gene:SECCE3Rv1G0166380 transcript:SECCE3Rv1G0166380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVEVHKDWDGSFPSGKKITVVFVIGGPGSGKGTQCSKIVSQFGFTHLSAGDLLREEVKSDTEQGAMIKNLMHEGKLVPSEIIVRLLLKAMLASGNDKFLIDGFPRNEENREAYEKIIKIEPEFVLLIDCSREEMERRILHRNQGRDDDNMETIRRRFEVFQQSTLPVIQHYEKMGKLRRVDGNRQPDMVFEDVKAIFAQLNAQANQDGDVSRAQTNPFKRWFLDIFCSCFDVADRRN >SECCE3Rv1G0145210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:6000733:6003969:-1 gene:SECCE3Rv1G0145210 transcript:SECCE3Rv1G0145210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSTSGPDGGLDPRDVCVVGVARTPIGALLGSLSSLPATRLGSVAIQGALRRASVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPNTVPCTTINKVCSSGMKAVMLAAQSIQLGINDVVVAGGMESMSNAPKYAATARRGSRFGHDVLIDGMLKDGLWDVYNDFHMGMCAELCADQHSITREEQDAYAVQSNEHGIAARDSGAFDWEIVPVEIPSGRGRPPVIVDKDESLAKYDPVKLKKLGPAFKKNGSVTAGNSSSISDGAAAIVLVSGEKAKKLGLQVLARIRGYADAAQAPELFTTTPALAIPKAISNAGLRDSQIDYYEINEAFSVVAVANQRLLGISPGKLNLSGGAVSLGHPIGCSGARIIVTLLGILRQKNGRFGVAGICNGGGGASAIVVESMQPRSRLRSSL >SECCE3Rv1G0209190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:937072661:937073530:-1 gene:SECCE3Rv1G0209190 transcript:SECCE3Rv1G0209190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRQTYWCFQCRQRVRPRGREMECPYCDAGFVAEMDDVDALMSQFVGMYSDFHRDPRFGIMEAMSTVMRHGMGSINREVDVRGRPSILSDLETEFGSGPWLLFRGQLPGHLSEANNGFDVFVNGRRGVGMRRADVADYFVGPGLEDLIEQLTHNDRRGPPPASQSSIDAMPSVRITARHLTGDSHCPVCKDKFELGSEAREMPCKHLYHSDCILPWLEQHNSCPVCRYELPTQSSSGASCSRSRSTNQNDSSSSSSSSGRTSGRQRRRNPFSFLWPFRSSSSSTGSR >SECCE6Rv1G0448190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:854484621:854485973:-1 gene:SECCE6Rv1G0448190 transcript:SECCE6Rv1G0448190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAISVCFGGRTRRPSKGRRLVAAAAGQVARWARRLCFSLPKRPASAVIQQLDAHGDNDQDCWSGLPEDVLLTAMALMEVTDVVRAGAVCSSWRSAYAEFRRLRLPAKPNPPPCLMHATADGAAAIYSPSTGATFPCGGGGDGFIIAGSAHGWVLAADVATANPCLLNPLTGARAALPAVATLARVKGTFFDDDGTAVYDVDHAFGNATPDMQLVTARTVRNWMFRHIAISAAGVVLLVHMPHGEASYARPGDERWTSLSSHLEPDAIAVVHNDGDGLFYVLCKGGGILFAVVVDGPAAPTAWPMSYLFTIFEPRHTHYLVLRGGELLLVTRQLLLTRPRESEKVVSTTGICIEKVGLGRSKLVTLDGIGEDYALFLGYGSPICLPVKEYPMLRRNCAYLADDSEQHFPPITRRDVGIWDFESKSMSRFEDLHPWLDNQPPIWIAPSLY >SECCE3Rv1G0156260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:71033051:71033554:1 gene:SECCE3Rv1G0156260 transcript:SECCE3Rv1G0156260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSTAPAAAARLEYPTVVDVEVKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE5Rv1G0348490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673996610:673999048:1 gene:SECCE5Rv1G0348490 transcript:SECCE5Rv1G0348490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) UniProtKB/TrEMBL;Acc:F4K8F7] MATAAAAATVRWRVLAASAALRLALVAYGEWQDAHLEVRYTDVDYLVFSDAAASVAAGGSPFARATYRYSPLLAFLLLPNSLLHPAWGKLLFSAADLLVGVFIDAVLELRGVPARARIWCVVAWLFNPFTFTIGTRGNCEPVVCAAMLWILICLIKGRVLQAAFWYGLIVHFRIYPIIYAIPFVIVLGKNYAGPAGRPVLTQWSSKQQLRSDKASKNVEEPTSLLVTLWNFFSSLITRDTILFGLFSGSMFFAWTGIFFYLYGWEFLNEALLYHLTRTDPRHNFSIYFYHIYLHHQQGFSSIQRLASFLPQLIVQLALIVRFSRDLPFCMFLQTVAFVAFNKVMTAQYFVWFFCLLPLILPWTGMKLRWKGLVCALVWMGSQLHWLMWAYLLEFKGRNVFVQLWAAGLVFLAANTFVMIMVIRHHRHTPLFSAPARPGTKIAAKKE >SECCE2Rv1G0118850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:786007532:786009697:1 gene:SECCE2Rv1G0118850 transcript:SECCE2Rv1G0118850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTPPAASDHLRGMEDEHPSTERVFEGEPVPSRSETITVRSVAVSIVLGCTLSVVAMKLALTSGFAPSLAMPAGLLGFFLPRLWIRLMDRCEASQLPFTRQENTVIQTCVVACTSIAYSGGFGTYILGMSKHSAEGGIGNDGLNVEGPHIGRMIVFLLLTSFAGMFAIMPFRNSLIVHRRLTFPSGTATAHLINTMHTPQGAKRASQQVAMLLKTLSGTVAWSIFEWFFAARKGCGFQAFPMFGLSAFRLGFYFDFSMTNVGVGMFCPYKITISMLAGSLLSWGLLWPYIQTKEGDWYPRGLDGDNISGINGYRVFIGISMILADGLLHMLCILLRTLYTMCKQQENPQPRQSGNGQPFQCLSAVLDRTASSFDDRRRTQVFLRDRIPAMAPVVGYVVLSLISTLVIPQLYTQLRYHHVAFAYIIAPVFAFCNAYGNGITDMNIATTYGKISMLIFSSWVGLKDGGVVAGLAACAIIVSNVSTASDLMQDHKTGYITLTSPHTITICQVAGTALGCVVNPVIFWIFYRVYSSGAHDDSNSIGPYAKVYRGIAMLGMTETGLPKHTTQLCKIFLALALSISVLREVSTHKRWRVLGYIPSTIGVAVAFFVPPTIPVGMALGSAVIYLWGRSDRDGMRLMSPAVACGLICGDGFGSLLSSVLTLLKATPPICIMFLSRGMNQSLDAFLAAKGMPS >SECCE5Rv1G0375030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:866391684:866397542:-1 gene:SECCE5Rv1G0375030 transcript:SECCE5Rv1G0375030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTRATAALVLAVLTLAHHASLAAAAAAAGGPRVIIVGAGMSGISAGKRLSEAGITDLVILEATDHVGGRMHKRDFGGISVEMGANWVEGVNGGKMNPIWPIVNSTLKLTSFRSDFDDLASNVYKEKGGVYKKAYVQKRIDLSDKVEERGEEHSAKLHLSGQDDMSILAMQRLNNHLPNGPSAAVDMILDYFKYDYEFAEPPRVTSLQNTIPLATFSDFGDDVYFVADQRGYEAVVYHLAGQYLKADKSGDIVDPRLQLNKVVREISYSGSGVRVKTEDNKVYKADYVMVSTSLGVLQSDLIQFKPQLPTWKILSIYQFDMAVYTKIFVKFPKKFWPEGKGREFFLYASSRRGYYGVWQEFEAQYPDANVLLVTVTDEESRRIEQQSDNQTKAEIVEVLRSMFPREDVPDATDILVPRWWSDRFYRGTFSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSEHYNGYVHGAYLSGIDSADILIKCAQKSMCKYHVQGKYD >SECCE2Rv1G0111020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:697441829:697447356:-1 gene:SECCE2Rv1G0111020 transcript:SECCE2Rv1G0111020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPEQTMSDPPTPPQESIQRPKKNKKGRRKKPKKAAAAATAAPSSSGATMVEDPFLVLAGGKEGGFLELEEIDGADFGIFGSVVEDVGASGKKAWNDQKKKKKKKRKRGDAKRLDGDVSGGGDGDGDGDCAGDSVAESEEEGEKGEKKGKRKKRNKKKRKVKDNDSESKEDVADDNVEDMQDDIKNMEQDNKEEVKLGEDELYAWLELRLHPLLIKAMHRLGFNEPTPIQKACIPAGAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKTTRLHVEDEKAAEESSTGGPLRALILTPTRELAKQVCDHLKEAAKFLGIHVVPIVGGLSMEKQERLLKKKPEIVVGTPGRLWELMSSGNQHLVELHSLSFFVLDEADRMIERGHFKEVQSIIEMLPLSNSSDEQTVKATSSCETVANLQIKKRQTFVFSATLALSANFRKKLKRGLSTSKTATADDLCSIEALSKQAGMKPNAEIVDLTNASILPEKLEESFIECSDDDKDANLYYILSVHGQGRTIVFCTSISALRHISSLLRTLGINVLTNHAQMQQRARMKAVDRFREGENSVLVATDGFARGMDFDNVRTVIHYQLPHSSDVYIHRSGRTARKSLTGCSIALISPADKAKFYSLCKSFSKENLQQFPVDQAYMPAIMNRLSLARQIDKISSKNSKENANKSWLQRNAESMGLILEASDSEEERVRGHKQRKATSAQLQKLQQDLNELLQHPLQPKTFSRRYLAGAGISPLLQKQLEELSKRNVNSNSSNDNNKGSRFVIIGQDRVEPLQALQDSGQEICVNMDKQKEKRRLAESWRRKKHEEKKSTREQKRKDRRSAKERD >SECCE1Rv1G0054980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:677703258:677704437:-1 gene:SECCE1Rv1G0054980 transcript:SECCE1Rv1G0054980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRGAWPAITAILLLRGLFAALPATSVDMGDMLMMDRFREWQVTHNRTYLSTEERLRRFQVYRDNMEYIDATNRRGDLTYELGENEFADLTQEEFLARYASSYDGLGGDTGITLPVGGGDAKLWSSGSNDDSSLEAPPPSMDWRSKGAVTPVKSQGSGCASCWAFVTVATIETLNWIRTGKLVPLSEQQLVDCDQYDGGCNRGSFHRAYNWIVENGGLTTAAEYPYTAARGACNRAKSAHHVVKILGGGVIPPRNEPEMQVAVAGQPIGVAIEVGSGMQFYKTGVYSGPCGTNLAHAVTIVGYGVDAATGAKYWLVKNSWGQTWGESGYIRMRRDVGGPGLCGIALDVVYPKMAKA >SECCE2Rv1G0067180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18087169:18088389:1 gene:SECCE2Rv1G0067180 transcript:SECCE2Rv1G0067180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWGSPNQENSQESGSAIQIYCQEGERYSLIEAGFHVSPSLYHNRDVRFFTYTTRDTKSAGCYNLQCPGFVPARGAALVPGQAISPPSIYDQADHYARLSLNKDPNSGDWVVYRHDLDRPSFLGHFPGQLCPGESRMQALTGFVNYLNNTRGPPMGSGHFPDDEDDKRCAYFKHIKIYDDKGHAWDPITTHMIKLVDRPDCYNETDFTVNINRGYVFYYGGPNGCIG >SECCE3Rv1G0204490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:895015133:895018209:-1 gene:SECCE3Rv1G0204490 transcript:SECCE3Rv1G0204490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETSTSAAAGSGGGSWVESYTGMSTDNIKGLVLALSSSVFIGTSFIVKKKGLRKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLADIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQEREIESVAEVWNLATEPAFLFYATIVLAGTFVLIFRYIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGINQLIYPQTWLFAIIVVACILTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPTLPIRIPKHGDENGYASEGIPLRSAAEGLPLRSPRAAE >SECCE6Rv1G0422640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:690473623:690486480:1 gene:SECCE6Rv1G0422640 transcript:SECCE6Rv1G0422640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASIAVGSQVWVEDPDEAWIDGEVLKVNGDTITIKCSNEKTVTAKASHVHAKDPEESPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGSILIAVNPFRRLPHLYDIQMMEQYKGADFGELSPHPFAVADVAYRLMLNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGRISGAAVRTYLLERSRVCQISESERNYHCFYMICAGSPEEREKYKLGDPSTFHYLNQSNCYKIDGLDESKEYLETRKAMDIIGISSEEQEAIFRIVAAILHLGNVEFAEGDDVDSSKPKNEKSMFHLRTAAELFMCDEKALEDSLCKRIIVTRDENIVKTLDAEAAKGSRDALAKTVYSRLFDWLVNKINHSIGQDPTSKCLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIDKKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNHKRFVKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVIAEHQALLGASGCSFVSALFPLLSDDSSKSSKFSSIGSRFKQQLQSLLETLSSTEPHYIRCVKPNSLLKPAIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFLEFIDRFGILAPDVLTGSSDEITAVRRLLEKVDLQGYQVGKTKVFLRSGQMAELDARRNEVLGRSANMIQRKVRSFLAKKSFIALRRSAVQIQTVCRGELARRVYQGLRREAASLKIQTRYRMHNARKAYTELSASALIIQSSLRGMAARKEIHFRRQTRAAIIIQTRCRQFLARLDYSRTRKAAITTQCIWRGKVARKELRKLKLAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEESKSQENAKLQAALQEVQQQYKETKDTLVKEREAAKKVAEIAPVIKEVPVIDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYDETNKISEERLKKAMDAESKIDDLNMAMLRLQEKISDMETDEKVQRQALLSTPVRSMSEHLSIPIVPKNLENGYHEAEEPKEPQSAPPALKDYGNGDTKLRKSSAEKQQENVDALIDCVAKNLGYCEGKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIENEEDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGTVSRKKPPQPTSLFGRMAQGLRSASFANMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKKELSSLISLCIQAPRTMKASMLRISGRSSGQTQSNHWQKIIENLDTLLKILQDNHVPPVLAQKIFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATSEYAASSWDEIRHIRQAVGFLVIFQKFRISYDEIVHDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVEDITNAIQEKDFMDMKPAEELLENPAFQFLQD >SECCE7Rv1G0503620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:714933177:714934772:-1 gene:SECCE7Rv1G0503620 transcript:SECCE7Rv1G0503620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGDGTASKGSSLSRAVRVGNVFAGSIAPTAGDVVGVQAIESNGQPYNDHPKTLVPLTVKQVSMLVPSMEHGGALSIHGTPVSTARLVGRMLNRRDTTDEIDFILADGTGAIRAKIWSIQSEYILALRSVSDGDYVIVNGSIKEEGCLKHIRVYSLSVVTNYNTITHHFLQCIYVHLDLQKKYRDRRNDIRRVDLAVAAHEKTSYVSDSANRLFDDVLRVFYHPGILELENGASFSLIQSQTGADAEQLRAVIGAHVAMGSLFTTVDDDHYKCSFNG >SECCE5Rv1G0298810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14076278:14077972:-1 gene:SECCE5Rv1G0298810 transcript:SECCE5Rv1G0298810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLHRLYKSRRNAAAAAASASFLLLLLVLCLSLRTRHGGTFFSGPGAGARGRGSCEAELQALEGRAARCRYLRSSSRPPCAPTGYVDYLALFYCACGDDEGLWWSPWLGGAAIALWLLLLFYLLGDTASEYFCASLEGLSAALRLPPAVAGVTLLSLGNGAPDVLSSVVAFASDGGEAGDVGLSGALGGALFVSTVVAGIVAIVAARRGGAVIERRGFVRDACFLLVALCYLLAVLVAGTVTVWAAACFLSLYAAYVLLVSTSHCCSAPAADGDSTNTMKPSDDLAAPLLLPVAVSSKQPPRTFASGLLAALHAPLYLPRRLTIPDIAAHRWSRPCAVTSTLLGPLLLAVVTFPTSPTVLLAGALTGTLLAMAAATTTDTTAPPHGRYVRLVWLSGGFLMSILWSYLLARELVALLVSTGIIVGVPASVLGVTVLAWGNSLGDMVADVAMATQDGAAGAQTAVAGCYAGPAFNTVVGLGLSMALAAGARYPEPYKIPVDAATYVTVAFLVAGLAWALVVLPARGMRLDAVLGAGLLAVYLCFIAVRVADAVGVLSLDSLLPRQ >SECCE3Rv1G0209600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939582995:939590648:-1 gene:SECCE3Rv1G0209600 transcript:SECCE3Rv1G0209600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTTRSQLPSRHDSSGKNSKPPSYYTGYYVAAQLDAASAAAHGVDGLKLQPSRFPRRLAMADDDNAEEEAAAGTSSRGGGGGYEDGGSKDWLRLGLGAVASSSSTTTSSSSAGGDNDGSRAAPMELDLLAGGDGRESARVMSRPPLFPLPIRSYHHQYGHGRYRPTAAASGSMTPAPPFLQFARPLRSCSADLLRVVSPPTRTEAAGLWLTLQAAPNQGREPILPQIPKSYLRIRDTSMKVEVVLKYLAEKLGLSQSHQVELTCRGHLLPPFLQMRYVRDCIWRGSPAPSEEEEAGPPRRRSSPATATTDHVMILFYSTSVGINS >SECCE7Rv1G0463620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:55122126:55123791:1 gene:SECCE7Rv1G0463620 transcript:SECCE7Rv1G0463620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGMGLEGLWRRYAPHNMMIMVQLCYTLMYFVTEAAFNRGLNPYVYVTYRHLLVAVLLWPFAYYHEKKLRPKMTWMLFLEIFVLSLLGVSLTLNMYFASLKYTSPTFVTSMVNTVASITFVIAIALRMEIVDLRSTRGLAKVAGTAVSFAGVTTMTLYKGAALASPWKAPVHIPGAGGDAPHDAWLKGSLLAVASCVCWSVWYIMQATSVKRYPAELSLTAWMATVGGVQSLAFALLLQHERRDWLIGFGLNFWCIIYSGLACSGFTVFAQLWCTEKKGPVFVTMFNPVSTIMVAILAYFIFGENLYVGSIIGGVVVILGLYMLLWGKDKDQEYNAAGAAASGEEQAGEPGLDCEKQQQQQAKKTLAQDGSEQEETKTTR >SECCE4Rv1G0294530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:891467125:891467679:-1 gene:SECCE4Rv1G0294530 transcript:SECCE4Rv1G0294530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDRTKTKTGEGKDFPVPVNQAGRKVLKKGRKKPELDRQKKAKKKRRRLEKALANSAAIISQLGKKKQNKKEEQEMLDEEVASKQKDGPGRQKQAEKKLRRLEKLLANSAAIISELEKKKQKKKEDQEMLDEEVASMADAVALHVRIGEDSGESRRLVLNRHNRRCSSDWDPDAGFNLCMEM >SECCE6Rv1G0409910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:592759844:592760368:1 gene:SECCE6Rv1G0409910 transcript:SECCE6Rv1G0409910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRFSEGANGVLDCFSCLEPNNSFSKFNVDKLAHLAKIYHDDFSNDDRATIREQLLTYISQVKRHASFSTCDDVQSLAMKLVETEKHLVFPLVYKLIELALILPVSTTFVARAFSAMKIMKTKLCSKINNEWFNDLMICHTEQELFKSVDDKDIIRTFTAMGSRKGHLPRNFL >SECCE1Rv1G0059240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704437630:704437821:-1 gene:SECCE1Rv1G0059240 transcript:SECCE1Rv1G0059240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGHLRAFLVFLLVQVCLFLMMAASGKVQGRAVPGVPACCSYRPECCERMMAEAPLAAAVTP >SECCEUnv1G0527520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1344472:1345098:-1 gene:SECCEUnv1G0527520 transcript:SECCEUnv1G0527520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQTSAGGRSRGRRTRDSCVAVLCSTLCSVLLAFILVAGVALFVAWLSLRPHRPRFAVASFALSGPVPGGRAAFNVSDRNPNRHIGIYYDGATRASLRFYDALVASGPAFPAGWYQPNMTTVSVAGVLDVVGPTPADPAAWRSFSAALRAGRLPLRLELTFTGVRFRVASVFHSGRQTMHVKCDLLVGADGSLLSESVGASCDRYF >SECCE2Rv1G0134840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:903441388:903442965:-1 gene:SECCE2Rv1G0134840 transcript:SECCE2Rv1G0134840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSENGRRGVSRMVRPSDRRRATMAVRVAAPSPAAARALAFALAIVLAVVSAYGDSGHASFREAAIRGNAPSLRASEEGKEFAVGDHVPASRLSVVGCVCVPSTVSLEGCACPPTPPPPPPACPPPPPPPCPPPPPPPPPACPPPPPPACPPPPPPEPMPWDFENMKLAALYPVIQAFKRTITSDPLNVTATWVGAKICDSAKGGSAYKGFYAPTLAGFIDAFPDLALFHANSNNFSGVLPELTSLRYFYELDLSNNGFSGAFPAAVPPLGRLAFLDLRFNGFAGEVPPSVFGISVEALFLNNNGFTGVIPESTFGTSGAQYIVVANNRFSGPIPRTIFNASGTLSEVLFLNNDLSGCLPYEIGLVEGLTVFDAGGNQIRGPIPLSFGCLADVEELNLARNQLYGHVPDVLCLLAKTGKLTNLSLSDNYFHSVGYHCMELVRSRVLDVRRNCILGFPGQRPHIECAMFYADPTKHCPFIPHIPCDLPGFKPHATAALPAGESAVHGYGADGSVAVGTTDVGIGG >SECCE2Rv1G0106050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:629395931:629396646:1 gene:SECCE2Rv1G0106050 transcript:SECCE2Rv1G0106050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAASATVLCVALLLASLQGAHPAPETQTTGPSSSSCTTELLRLLPCLSFLDGGAAAPPDTCCANLGSMVHDEPLCLCQALSQSGSGRSPVAVNMSRAVLLPSLCRLDLPAAASACSGLLPEGQAPSPPVSTPGMSVNSTVSSTPTPMPMTPTPPLTTAAPRTTSQNTGYSGGSKLIADAISAALGFMALATVLAF >SECCE3Rv1G0201160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:860577832:860579688:1 gene:SECCE3Rv1G0201160 transcript:SECCE3Rv1G0201160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAEAVAAVDHRGRPVSRRSSGRWPAALFVIGVEVAERFAFAGISGNLITYLTGQLGQPTAAAAAAVNTWSGVAMMMPLLGAALADSWIGRYRTILYASLLYILGLAMLTISSAASSATASPSAAQVAFFYVSLYLVALAYGGQKPCVQAFSAEQFDENDPEELASRGSFFNWFFFVTDGSVTITVPALNYVQDSVSWQLGFGIPGAAMALALAVFLLGTKTYRFYPPARNGGLLARLGEPIVATVRSWHSSWRSSKLSDNSQPLLDGSSQSPKGSTGNAGYPHEAASLLRLFPIWSACLIYAVVYAQWTTFFTKQAGTLDRRVGSLVVPAAAMQNLSHVAVMIFLPIYDRILVPLARKHTGNPHGITMLQRIGVGLAISIVAMIVAALVEMKRLGIAADHGILDEPDAVVPMSLLWVFPQFLLSGLISVFTFVGLQEFFYDQVPDSLRSLGIALCMSIGGVGCFISSFLVYAIDRVTSSTGESWFSNNLNRAHLDYFFWLLAALSALGFSAYLHFATVYVEKKKNYSVLVQ >SECCE1Rv1G0039010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:544810674:544811487:1 gene:SECCE1Rv1G0039010 transcript:SECCE1Rv1G0039010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGVIVLSVVVALFGVASAVLGFIAEGAKLAPNDIKISRNECVYPDNPAYALGLVAALLLLVAQITASAVGGCCGCCKPRGAGFSGSKRSRSKKIIGVGAVLCLVSWIAALIAEWFFLQGAFANAPVTRGTTQGHGCYYLKDGVFRMGAVLSIAATVLGIISYIILYAAAAGASTTTTATMGAAAGPSAGGGTKHDGIAIGQPAAAQQQSQAV >SECCE7Rv1G0465470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:70266447:70267231:-1 gene:SECCE7Rv1G0465470 transcript:SECCE7Rv1G0465470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQSALLPEAGKRPPCLSFADASVVASTATSKKRKRDGEVEQEEEEEHDGIELNFDAAPLPLEWQRCLDIKSGQIHYYNTRTHKRTSKDPRRHGGAAPAVEEDVANYCGPQGLDLDLNLAFEPRRSSPVKELLQKKAEAKTAPAGGDHHGEQAPGSASGGMEMVAAVCMRCHMLVMMCRACPACPNCKFLHPTSRPTPPAPEPAPLKLGLQLLCCRD >SECCE2Rv1G0116250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:762350041:762351238:-1 gene:SECCE2Rv1G0116250 transcript:SECCE2Rv1G0116250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQSYFDLLHSDVRLNDLHWTEEQHVDLEGHVEEENDLEGHEEQQTDLEGTEEPTPPVKARSSKVKAKKASASKASASKRQKNFSKAEDLTLVDAYLEITQDPIIGVDQSRDCYWKRIDAYFLANKSEDHGRTQGSLQHRWAIIQEQVNRFCACYAQVLNRNQSGMTRDNKLFPIKGHLESRRLKMQYGGLKVLPLQREEDRLVEERKKLAIQEKKIKLEEEKIQIMRMAEERMMGVEESKIMSMDLTGMDEQEQEFYKLRKTQIINRHRTSSA >SECCE4Rv1G0269330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:748330866:748340820:1 gene:SECCE4Rv1G0269330 transcript:SECCE4Rv1G0269330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G02100) UniProtKB/Swiss-Prot;Acc:Q8VY08] MEPAEAEAGGGIAAARSSPAAVQATNDDAAASKLSCVTKGYMKDDYVHHFVRRTTKRAPIINRGYYARWSVLRKLMLQFLNAGNGSNDQKKKQILSLGAGFDTTFFQLQDEGLAPYLYVELDFQEVTSKKAAIINHYNDMKDKLGPDASISIEKGEVTSTNYKLFSADIRDIPKLDAVISMAEMDPSLPTFIIAECVLIYLDPTSTDAIVSWASEKFSSAIFFLYEQIHPDDAFGEQMIRNLESRGCPLLGINATPTLSHKEKLFLDHGWKRAVARDMLKIYNDFIDGGERRRIERLELFDEFEEWHMMQEHYCVAYGINDAEGIFDDFGFKE >SECCE6Rv1G0427160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:718098291:718100229:-1 gene:SECCE6Rv1G0427160 transcript:SECCE6Rv1G0427160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRVILGPSGVISRSDIRADLERHGQDPETLDRCSNMMLYMAYRYLPAPPVSPTATLSLAGASWVPDGVDRISRLPDVLLRDIISRLPAKDAARTAALASRWRPLWRSAPLSLVDSHLLPDGGASGPLIIGAPSPRAVTAAVSSALAAHPGPFRYVHLTCSNMDEHRGEMERWIDTLVAKGVKDLVFVNRPWPMDLRLPATLFSCASLTRLYLGVWRLPDTTAVPRGARFPNLLELGLCMTVMEDHDLAFMLQRSPVLEFLLIMWSQSGVRLRLVSQSLRCLQVALTCLQYIDVVDAPCLERLFQWETVPRAEPTAPGKKKRSSSIKIGRAPNLRVLGYLQPGGHELGISDTVIVAGSKKNIAPSVQILAMVVQFGVRSAVKKVPGFLRCFPNLETLHVHSPALSEEPTGKVNLKFWQEDGPIECVVQSMKKVFFYEFQGSRSEVAFLKFIAERGRVLEQMVVVVAQECFSSGDDDVNVKLKPLTGAKWNSKACQLELFKSPRAGGGGPAYSSQIASDFGVADPFDLQYYYEAERIIPVS >SECCE5Rv1G0374210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862099948:862106654:1 gene:SECCE5Rv1G0374210 transcript:SECCE5Rv1G0374210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLRRRAARDGPAAHPLDWTALPDDATLHLFARLGYRDRAALAATCRAWRALAASPCLWAALHLRRLDHAAAATLAPRCAPHLRSLRLAGRAAAEAAPFLRAAGLRSLRLSGCRDLTDAALAVLAARHGGLAELHIGPDPLDRISSDALRSVALCCPRLRCLRLSGLREVAADALADLARHCPLLHDLAFIDCLALDEPALAALTSLRFLSVAGCQNIKWATASASWAQLPSLTALDVSRTDVSPGAVSRLISHSTTLRLICALNCASLEEEEAHSPAAFANSRGKLVLTINRTISKFIAADAALLCPDTAVKDIVVFDECRSSSDKRNGVSQLITWLEWILSQSLLRIPETNPHGMNQFWLDQGAALLLTLLKSSQEDVQERAATTLATFAVIDDENTNVDPARSEAVMLEGGIPMLLDLARCSRESLQSEAAKAIANLSVNPKVAKAVADQGGIAILTNMAKSVNRLVAEEAAGGLWNLSVGEEHKVAIAAAGGVKALVDLIFRWPAGTGVLERAAGALANLAADDKCSLEVATAGGIHALVTLARSCKVEGVLEQAARALANLAAHGDNNNNNAAVGQEPGALEALVQLTHSPSEGVRQEAAGALWNLSFDDRNRESIASAGGVQALVSLCQECLNASDGLQERAAGALWGLSVSEANSIAIGREGGVPPLIALAQSEVEDVHETAAGALWNLAFYSCNSLRIVEEGGVPVLVHLCSSSHSKMARFMAALTLAYMFDGRMDEVALVGTSSQGSSKIVKFEGARRLAFKHIEAFVLTFSDPQMFSTAASSSGAAALSQVAEALFIQEAGHLRCSRAEIARFVDTLRNPSSVLRACAAFALLQFTMPAGRHAVHHAALLQKAGASRVLRWAAAAATAPIEAKIFARIVLRNLELHQAGPSS >SECCE1Rv1G0008570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:47691991:47694713:1 gene:SECCE1Rv1G0008570 transcript:SECCE1Rv1G0008570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGATSATAAAGAFAAAAASAKAACPWAVAAAGGRRRSGVLVRCDAGGDAQAQAASKAASITALEQFKISADRYMKEKSSIAVIGLSVHTAPVDMREKLAVAEELWPRAISELTSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVEWMSKKSGIPASELREHLFMLRDSDATRHLFEVSSGLDSLVLGEGQILAQVKQVVRNGQNSGGLGKNIDRMFKDAITAGKRVRCETNISAGAVSVSSAAVELAMMKLPKSECLSARMLLIGAGKMGKLVVKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLTEMYEAAADADVVFTSTASESLLFTKEHAEALPPISLAMGGARLFVDISVPRNVGACLSEVEHARVYNVDDLKEVVEANKEDRVRKAMEAQAIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDNLNKKMRKSIEELSTGIVNKLLHGPLQHLRCDGSDTRTLDETLENMHALNRMFNLDTEKAVLEQKIKAKVEKTQS >SECCE1Rv1G0062480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719677793:719680736:1 gene:SECCE1Rv1G0062480 transcript:SECCE1Rv1G0062480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNQFDLLGDVDNDDPSQLLAAAAAKKAAEPKPAAPAKPGSKPPAKTPPPADDARGSRGDGAGRGRGGRGGGPRTGPRRDYGDADANGGFEGGYGGGGGGGYAAPRLEDGDGKQGERGRGPRQPYRGGGGRRGGYSDGQNTDEFGRPHRSYERRSGTGRGFGMKRDGAGRGNWGTATDEGFPQENVEAVNTEETPAVAEDEKKPEDAPQPEAEKVKEGAENEEEQKEAEEDKEMTLEEYEKVLEEKRKAILALKAEERKVEVDKELQSMQQLSVKKDAEEVFIKLGSDKEKKKENTEREERAKKSLSINEFLKPAEGERYNGGRGRGRGFRGRGEARGGYNGGDGGRRQAAAPAIEDQSQFPTLGGK >SECCE6Rv1G0439950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:800379669:800380460:1 gene:SECCE6Rv1G0439950 transcript:SECCE6Rv1G0439950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLKVSPNFYVYDNNGYAILTGLDCCSNHGDAVPSNPLSFFKVVIIGYSGDDLKFNLHMFSSSDKSNWDERTNCFDGDVQSYDYGSFSDAIVHNGLPHWIFHNFGEGCLQVINLNPKTGHISLTKVPLKLNYQPTSPSCLTLGINGVLSLLWMQKEGPQLQIWEQHEDQGNMRGTSEWLCTRTIELKQPVRNNEIRELLVLREKCGTLLISDNYGQVYTTDLKTGMMEKIVDWPSRRSLCHWDSMPLEIDWPTIFVSGLTN >SECCE7Rv1G0497180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:621322008:621322376:1 gene:SECCE7Rv1G0497180 transcript:SECCE7Rv1G0497180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFGEAKSISGHQTLQLRKRPYGVKGSVYVVTLPALQRCLEDGPDAAERRPRSGFPTSRGTGDGHLEAHHDLQATPARPRKVTRLGVRGSIVPAASRTSYSSFSKERVRDLFSAMEKNKLR >SECCE6Rv1G0438750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:792442928:792444210:-1 gene:SECCE6Rv1G0438750 transcript:SECCE6Rv1G0438750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHTSTTGSSGGMDKWLMKAATSGDSTSMKEMASQDPSILLGTTPQGNTCLHISCILGNVGFCKDVLELDESLIKAVNLDEETPLITAVRNGHVSLASFLLDRHCSVLGLRHAILQQDMYGFNALHHAIRNGHKDFALELIAAEPAVSQAVGKHNESPMFFAVMRNFTQVFEKLIQNPSSASGGRHGRNCLHAAVTNGDKEFVKIIMKERPELAREADTSQNTPIADAIRFNKIDILQVMLEHDSTLGYLTGNIGFPLLNAAAYRGRVAAARKLLEHCPDAPYLEPDGSTCLHTAVWNDQPDFVEFVLRTPTLRKHVNGQDNNGRTALHYAVQKCNPRVVAALLSHVDIDATLLDKVGDSAAWDLSRPGIMETAKTLNWVRIYVANNTINHAYRHCCK >SECCE6Rv1G0390870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:198173392:198173682:1 gene:SECCE6Rv1G0390870 transcript:SECCE6Rv1G0390870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVGGFLQRMDLCCKYAFGKMLVIGSEPPFKVKGLWFFRGPEIPKFVMDEVYDMALYDWAKVDLSYAAQKERFSAMIEDLEPFEGEALLDAKCFK >SECCE7Rv1G0514230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:831465503:831469907:-1 gene:SECCE7Rv1G0514230 transcript:SECCE7Rv1G0514230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAASKAAGKEKSRRKGGGAGAGEHLLTDRVLSLRARLHDALSLGLARSDGHSAKKWQSTDAGIQSHAIKAVTAFLGCLSTEMLRLPPIKETISDILVLLEGVLQTKNVSVLIQAADVSSKLVSSIGNSIRQYPILEIVSPLSCQLSAHQLPTAISCARAMNCILNSLVTARGSTHAEILETLERTNAVASIVSALQSYTPDVHPLSYLTEMISLLRTILWIWPSSRYHVWSNGNLMGKLAHYCLATETMVVAKVLRLYAALALCGHGAMILLKNDVLMAKICELVGTSHPSSTRIEAFKLCHVLLRSSKGCSQLMTSRCQPIVEGIIDAMRAKDDKLLVTEGCRTALLVLRYAGNHHQCFWSNAIDKVLYSILTDRRLSSHQSDKVLCDDELFDMVSKNFMDIHPYVWDILGYLVVHCTEDHHPVRKGKGHSLHALISCACLLATDVMRKSSPMTLSKDVQEPALRAVLMMLLSPNGYIQYEASFKLSEGLPYLGDGYLNVLLSSLESNTTRSVATSFDSFKIMTNLMNLACLVLSRPYHNLLNKRNPIDVLSIIIKECLHNNIHITRSKVTSHLHFCFEGSSCCCYLGEEWEGENIVLFYGLVGLFNLLRSTTLVCVQCKRKLDVGILCHDCRDQYTEDFLIVLQRALSQSLSSGPKLYIAHILSLFGLCGSPSKLGGKMSSALDNNDLADLEFLLSDGESINAHTAIISARCPKLLPSVKYLVGSDEKVKDEWGKSAYRVQMSDRVDSHALKKILEYTYTGFVMVGDDIVKPVRTLAKYCHLKSLAEMLQKEQPRWNSDYTRYDLTVALGAVEHSFSDIILEAQSNEEMKCDHGPCQLLTPHVHSHKIVLIMSCDYLRALFRSGMHESFSDVIRVPLGWQALDKLVHWFYSGELPKAALDCRWNNLSSDEQGSHLNAYAELSSLAEFWFLEGVKEESLSAVSSLLGSSTSAAAAVEFVAFAASLGQWEMVEAGVRSVAHLYPRLRDSGRLERLDDELLDMVRTEYVRYSQHGGGQR >SECCE4Rv1G0246090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:540457993:540462466:1 gene:SECCE4Rv1G0246090 transcript:SECCE4Rv1G0246090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G68740) UniProtKB/Swiss-Prot;Acc:Q93ZF5] MDATSAQSHAAHISTPYPMASFETPAHRCHHHSCALRPPMVKFSKQFEGQLVPEWKEAFVDYWLLKKDIKKLQAAAAEHGTGAAAPPAGQAPVAASHWVMRLPFLNPHGHHKEHGAIQVHRKLASGGNHGAVAGEVYETEVLDAAGFAGTEAEAARAFFQRLDEQLNKVNRFYERKEGEFLERGECLRRQLQILVELKAAVNEARRRGGSSSADPEDPSVSCSILHGDQSLRGITEQEHESQEKLTDDATAKNTDEGEDHLSISEGLGDSGRIEKPREEAANKLRTFSGRAVTCQGRSVRINIPVTTPSRTVFAIRELLFDDMLSQSRKTGGNGGDGGEKLSINKKKVHQAEKMIRGALVELYKGLGYLKTYRSLNMLAFVKILKKFDKVTTKEVQTIYLKVVESSYFNSSDKAIRLMDDVEELFVRHFASGDKRKAMKYLKPNQKEESHATTFFIGLFTGGFVALFIGYCIMAHIAGMYTHQSNKVYMSTSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYAFIFEFAPTKELKYRDVFLICTTSMTIVVGVMFAHLTLIVKGYSSSTVQAIPGCLLLVFLLVLVCPFKILYRSSRYHFLIAIRNIILTPFYKVVMVDFFMADQLCSQVPLLRTLEYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVVIVSSVATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFISMGLNLLLRLAWLQTVIHPNIGSLDSRVTLFFLAALEVIRRGLWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEAD >SECCEUnv1G0556850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:316007524:316007763:1 gene:SECCEUnv1G0556850 transcript:SECCEUnv1G0556850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMRTTCTWTERPYEALLFPGIGFGPFLRSLGGRRRRLPSGGARAISEIPLWKSSDSNLVSDPRAKGQSQVDSFYGA >SECCE3Rv1G0177080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:456322350:456325349:1 gene:SECCE3Rv1G0177080 transcript:SECCE3Rv1G0177080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYEQEQEMELEALQAILMDDIKEIDHSESGIDTNSRCFEILLSPQDDDFDEAAHVPVQMALVFAHTEKYPDEPPLVNIKSVRGIKPDDLTSLKEKLDQEATENLGMAMIYTLLDSAKEWLTEKYGQNAGDEEPDETEEPAEEVIIPHGEAVTVESFMAWRERFEAELALQRAKLMPESALTAPKEKKLSGRQYFESGRHITKGAGTVAEEEEEEEEEDIEFDDDFEDDEEDMLEHFLAEQTGKSSA >SECCE3Rv1G0172910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:309095187:309102265:-1 gene:SECCE3Rv1G0172910 transcript:SECCE3Rv1G0172910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:Projected from Arabidopsis thaliana (AT4G17040) UniProtKB/TrEMBL;Acc:A0A178V785] MEAVAALDARALFSPPTALPSSPSSRLRLTARPRALAASPAFVAATPKQRFLTPHPDPAGGRGARDVVAMVIPFLRGTAFEQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYEDAEKPIYMYINSTGTTKNGEKLGYETEAFAVYDAMRYVKIPIFTLCIGNAWGEAALLLAAGAKGNRAALPSSTIMMKQPIGRFQGQATDVDIARKEIRNVKIEMVKLLSRHIGKPMEEIARDIRRPKYFSPSEAVDYGIIDKVLHNVKSQTDAGLVSEVKKELI >SECCE1Rv1G0044260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:601348189:601350945:-1 gene:SECCE1Rv1G0044260 transcript:SECCE1Rv1G0044260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGRLNPHAPHGKLLAVVLVAACTSIAAAASSPSEQAGSSQKSFNCMPCSMTYVSDAYRGALAPLLAQHNDLADVPDSSDLCKGLIDVPVLLESHRQLVGEGSHRRLVYSMKFGNSKDTLAKFLDGYDVHLVIIESLPSGVFVDPFELHHFVERKVFLDVAVFGDTNLELPSALSNRSAVEIHFDLKPSTSMNCNLVMELPLHARYPPLDGSGYATVEFGSPDLLLRYRRKVTHPDSCLWVLQNLDATPVEKAAWHIPCGDEAHTGLVSSLTFILALVCSISIVLAASLVS >SECCE3Rv1G0201660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:866216809:866224634:1 gene:SECCE3Rv1G0201660 transcript:SECCE3Rv1G0201660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTPPQRKRRAVSDADPAANLDHDLAAAVAVATGRTPVSDRRLVPYDRPTALVSASGAEEPSDDMVCTYHCRQMVKSEFVVALDTAEKAVQEYRVMLDTLEKQLSKSEDERTTYHDKLNYVEQELAATKGRETALQERLLKELGEYQERYRQQVKKIGELEGKLNKEIDSRISAESSSASAKESVKELERAMQRSSENSEREKKALQKELSYMQDDSKLSISKLNAELERMSLRAQNSEKEAKILNEQLEDLRKQLNECLREKNEAELRLLDSAPLSVQRNPTDDQKLIKLLQEELRNYEKEVHEARRLKSSHTNVELLSEKLLEEQSRRKRAETELSKLQEIEAKAQKLELELASCTSLLGNIPDVSSYSNIADLQRQALTDLNKLGEVTSRLKELEVTLEFAEISKQRAEGEATLAKERAESASREVKRLELLLTAVSEERDRLRKDHNMLSNQKTRDGDDMSSKKMESDLSQMEKVVRELESTLHEQRELISQQHAELNLMNEKLSIEARKAKSLEREGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAMDSEAKQTIEALQAELKKTKERLQAIEELKGQADAGTVVDANVAEKLAQLKNQVATLEKREERYKAVFLERISVFRKACCSLFGYQIVMNDEQQPNGIHVTRFTLQSVYAQTDDEKLEFLYESGSTNIVVNGYTSQHEIAQQVDIFIRKMNSIPAFTANLTMESFNKRSIC >SECCE3Rv1G0184830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:666643645:666648968:-1 gene:SECCE3Rv1G0184830 transcript:SECCE3Rv1G0184830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEEALRRGVLKHGAGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGLGSRDKLRVPRPKAPSSSPSPSPQLLLLSGPNNVAEASPPEDCEKSPQDDKTPSPKYSSMILEALGELKEPNGSEVTTICNFIEQRHEVQPNFRRLLCAKLRRLIGVNKVEKIDKSYKLTDSYAKRALAPMKDPSLKKKDSAKSSKAAKGVGQSSPALNAAEAAAMKVADAEAKSHLANEHMMEVERIGKMAEETESLLELASELYERCSRGEVLTILQGAHREF >SECCE2Rv1G0128610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:863095115:863095573:-1 gene:SECCE2Rv1G0128610 transcript:SECCE2Rv1G0128610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVINTVQSLAWLRRAVRRWRSRAAAAVRPVKGMLDAAVPAGHVAVRVQGRGVGESSSSRRFVVPVARLSHPAFRELLRQAEEEYGFSSASGPLALPCDEDHLRDVLRRVSSSGSEDRPSFRRRRGVTAAPRDDSRPLLQVQGVAVEKLVS >SECCE2Rv1G0064800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5131474:5133010:1 gene:SECCE2Rv1G0064800 transcript:SECCE2Rv1G0064800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISKLVLLLLCAYYTLVAHAGDDLLSYYKVLPAGSLKSATIECTDHKAVSPPSGGGVTVPLHHRHGPCSPVPSTKAPTLEEMLRRDQLRADYIGPKFSGVKGGGVQVQKFDVSAPTTLGLPLGTLEYVIAVRIGSPAVTQTMFIDTGSDVSWVQCKPCLQCHPQADPLFDPRSSSTYSPFNCSSAACAQLRQDGQGNGCSGSQCQYIVKYGDGSNTTGTYSSDTLALGSSTVRNFQFGCSQSESGNLLQDQTAGLMGLGGGAESLATQTAGTFGRAFSYCLPPTTGSSGFLTLGAATSGFVKTPLLRSREVPAYYGVRLQAIRVGGRQLNIPTSVFSAGSIVDSGTIITRLPPTAYSALSSAFKAGMRQYPPAQPMGIFDTCFDFSGQSSIRIPSVALVFSGGAVINLAPAGIILHGCLAFAANGNDGSLGIIGNVQQRTFEVLYDVGGGAIGFKAGAC >SECCE1Rv1G0007450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:40510150:40513173:-1 gene:SECCE1Rv1G0007450 transcript:SECCE1Rv1G0007450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAAAGDPRQVRNTCIMAHVDHGKTTLADHLVAYGGGGLLNPTMAGKARFMDHLREEQERAITMKSASVLLRHHDGTRVHLIDSPGHADFCSEVSAAARVADSALIVVDAVDGLGVQTHAALRKAFAERLRPCLVLNKLDRLITDLRLDPGEAYERLRRIVAEANSVYSTLRSGSYFSLLDGLAPAQQADDGEDTFVPQKGNVVFGCARDGWGFRVQDFAAVMAMGRPGQASKLVGWLWGPYYWDKEKKKAMPLAYGMKQQPMFVEFVLKRLWKVYDHGLKVDGASWLHDHVVQTFNLKVSDRELQSKDRPKAALEAVMRAWLPLAETVMTMIVECTPDAVAAQRFREPALMPERELPTGVSAEHAGIVAEAEKVRRCVVACSASSSAPVVVFVSKMFVVKHKDLPPTLNHGQETAGEPEECFLAFARVFSGVLHAGQKVFVLSPMYDPVKGDTTGKHVKEVEVQQLYEMLGEGLRPVASVGTGNVAAIKGLGEHIMKTATLSSVRNCWPFASMAFQVSPILKVAVEPANVADLAAFREGLSLLNRADPLVEYSISEKGEHVLAAAGKVHLEHCVKNLRERFAKVELNVSEPLVSFKETIQGEGDGLIDSLKDPQGYVERIAPDGKFAVRVKVIRLPDALVKVLEENEELLSRTIKGQTARSDGATGSQCPRGDDGRSVAVLRQDMLGAIESELETLSARADEVKLGWYRKALMGYLHKISALGPSEVGPNLLFMPGVKLSNGLTTIQNGREGILVRGRCHVSEKLGFVSASDDAEISNGIIADNSEPSTDVPDPEALRNIIISGFQEVTNAGPLCDEPMRGLAFIIEPYIFSGSPDSVNCSYQHKAAVREACRAAVLQSKPRLVEPMYFCEVTTPIERLGGVYSVLGDCRAKVQEAEMQLETFLYMVRAQLPVAESSEFSEKLWNASSGAATARLTFSHWEAIPQDPFFVPKTKEDIEEFGDGSNMGPNLAKKLIDSVRRRKGLHVDDKVVKHGTKQRTRAKKV >SECCE3Rv1G0212220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954809085:954810314:1 gene:SECCE3Rv1G0212220 transcript:SECCE3Rv1G0212220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRRLSAAAALTSVAAVLGNDDLLREILLRLGFPTCLVRAALVSKRWLHHASEPAFLRRFRERNPPRILGVFASYPETPYKFLPLPQPPELAALSRRVASTCNDAFAAHIYQWVEHCRNSRLINVFFQDGTFMHSLLAPLHAGESEAVLAQVPLPRRLLRARTQGLFSQTFLPEDGGRDGITLVTLWKVRREVRAEVRVLGSGGWGVPTTAVTEVDLPYAETSFIKMLPPMHGKVFMVTGFGYTLGLDLARASFFTLELPPVGVRSNYLLSCAEGSGIYLVSANGFQLSVWLHPMTGDDNDAGWLLVDTFCVRKAYARSAGHDWVWMAQDGDFLGVAAVGDNAEFVILDYPRYGVVLYVHLRSRVVEKLYEHQALLDHCFYDIPISPFMMIWPPVFPALKGGHDQEQ >SECCE1Rv1G0017080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:147008041:147009213:1 gene:SECCE1Rv1G0017080 transcript:SECCE1Rv1G0017080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLAGPLEAYLRALPRRPHCLVADTCNPWTAEHGVRDRASGEFEPFEVPNLPVRTVVNKAMSLGFFQWPGLETQRRETLDAEATADGFVVNTCAAFESAFIEGYAGALDRKVWAVGPLCLLDSDIETTARRGDRAVMNAGRISSWLDARPPRSVLYVSFGSIARLLPPQVVELAAGLEASDRPFVWVAKEGDDLDAGFDTRVEGRGLVIRGWAPQMTIPAVGGFLTHCGWNSTLESLSNGVPLLTWPHFADQFLNEKLVVDVLGAGVPVGVKVPSTHVFLDPETPPVQVWADDVVRTVAKLMDDGAAMELAAKAREAMAKGGSSNSDLVGMIQHLVELASNNEVVSSSSNTSVGRSRSAET >SECCE6Rv1G0428100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:724115252:724118030:-1 gene:SECCE6Rv1G0428100 transcript:SECCE6Rv1G0428100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAELLRAQLEEQRIEGDEPILEDDDEDDDEDEDEKDDDDVEGGYAGGRSRQSRSEKKSRKAMEKLGMKVITGVSRVTIKKSKTVVYVLSKPDVFKSSQSDTYVMFGEIKVEDPSTALQTQAAEQFKEPGPSSVISKGEPSVAAAQDDEEVDDTDVDKKDVELVMMQASVSRSRAVKALKAADGDIVSAIMELTN >SECCE3Rv1G0214200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:964927919:964929124:1 gene:SECCE3Rv1G0214200 transcript:SECCE3Rv1G0214200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGVSVVDDDGLCPATESPVETSADCGYHLLVVQDYSDIKDKTPTGESVASRPFMVGGHHWIIKYYPNGESQSCADFISLYVARLHDDDDDVANGANCKKPVEAKFGLNFVDQVEKQNPVYFRQAETYNFTGSSWGQDKFIRKDALERSPYLRGNCFTIRCDIMVFNTILPDICHDFNILFQSEVGADVKFEVGGDIMDAHRCVLAARSKVFMAQLFGPMKETSSVIQIKDMDAKVFGALLSFIYTDSFPEMLYDNDMEADEMPGVVKQGQEEEAAEDKMLEGVEQGQEKEAAEVEMRLQWLQDLLIAADRYDVQRLKFICEKHLSEHINVSSVMSTLALAEQHHCCELKEACFKFIQVQSPSCLQAVMDTNGWDYIFTTYPSVFKELVAKLASNQRK >SECCE7Rv1G0480510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:271051765:271053736:-1 gene:SECCE7Rv1G0480510 transcript:SECCE7Rv1G0480510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRQMELHYINTGYPYNITESFMDFFEGLTYAQADFALADAFQDQANPYWTMMQTNSYKYGYSGAGNYYSYGHVYDMNDYMHRADSGRRIWDNTMPVNNTDSPNVVLQGGEAPHASASSTTEERTFSLIH >SECCE4Rv1G0279770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:816744876:816748193:1 gene:SECCE4Rv1G0279770 transcript:SECCE4Rv1G0279770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITATKPLVGGACAAPSSARRQTFVVPEARRKTGNGRRTSVSKVSSTSTTTTTTTTLSDSNGPAVVGTVARADVHVQDRTQITEMKATVTVHMSKAAGVRDFLYDLILKTWLHVDLVSSELNPQTGQEWEPISGAVKHSGTVDDEWDMYEASFKVPASFGPIGAVQVTNYHHSEMLLGDIEVFPTGQEESAVTFHCNSWIDPSHCSPDKRVFFPAFSYLPSQTPKGVVGLRKRELEVLRGNGCGERKDHDRIYDYDVYNDLGNPDDGKNPTTRPVLGGKEHPYPRRCRTGRPRSKKDPYAEERSHKDHIYVPRDEAFTERKTSAFETKKFMSVLHALTTGLKTAKHKSQSFPSLSAIDKLYDDNFRNQPVQPEGGKLRFVIDLIDTELLHLFKLEGTAFLEEIRRVFKFETPEIHDRDKFAWFRDEEFARQTIAGMNPMSIQLVTEFPIKSKLDEATYGPADSLITAELIEEQIRRVMTVEEARANKKLFMVDYHDLLLPYVHKVRKLDDTTLYGSRALFFLTDDGTLRPIAIELTRPKSKRKPQWRQVFTPGCDGSVTGSWLWQLAKAHVLAHDAGVHQLVSHWLRTHACTEPYIIAANRQLSQMHPIYRLLHPHFRFTMEINAHARELLINADGVIEGTFAPGEYSMELSSVAYEQQWRFDMEALPEDLIRRGMAVRREDGKLELAIEDYPYANDGLLVWDAIKQWALTYVEHYYPCTADIVDDEELQAWWTEVRTKGHADKQDEPWWPELDSHENLAQALATIMWVTSAHHAAVNFGQYPMAGYIPNRPTLTRRNMPTEMGAEDMRAFVEAPEKVFLDTFPSQYQASIVLAILDLLSSHASDEEYMGTHEEPSWKQDGAIRQAFEEFKERTMEIVEQVDEWNNDPDRKNRHGAGMVPYVLLRPSDGNPMDEKMVMEMGIPNSISI >SECCE7Rv1G0455120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5332417:5332785:-1 gene:SECCE7Rv1G0455120 transcript:SECCE7Rv1G0455120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDTVATATAAAAAAAAARVCRRCKEKYSPSGNTPQSCRFHPSYFVCRRHDDQKRYYELKEGDPPYAAKFYDCCGAEDPDAAGCATGFHLSYDDPE >SECCE7Rv1G0510430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:789779929:789780303:1 gene:SECCE7Rv1G0510430 transcript:SECCE7Rv1G0510430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAFHIIEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVDVHVCELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE1Rv1G0062530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:720273820:720276583:1 gene:SECCE1Rv1G0062530 transcript:SECCE1Rv1G0062530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLAWLNAKVVDPLLQVIQRGAEPKQLAFSAALGVTIGIFPICGTTVIIGGLAVAMLGARCNAVTVMVLNLAATPLELSLIIPFLRLGEAVTGGEHFPLTSDAFKMVLTGHASKGVLLSIFHAILGWMVAAPFVMGGLYTLSVPCFKYLVGRFGVIPSSPRTPMKAVLLCPNESESENGAVPLLVHAD >SECCE2Rv1G0116780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:767376151:767378320:1 gene:SECCE2Rv1G0116780 transcript:SECCE2Rv1G0116780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent enzyme, beta subunit domain containing protein [Source: Projected from Oryza sativa (Os04g0555900)] MGSRDDDGQSTEGQGYAADISSIREAQARIAPYVHKTPVLSSTSIDAIAGKQLFFKCECFQKAGAFKIRGASNSIFALDDNQAAKGVVTHSSGNHAAAVALAAKLRGIPAYIVIPQNAPACKVENVKRYGGQVIWSDVTMESRESTARKVQEETGAILIHPFNDKYTISGQGTVCLELLEQVPEIDTIIVPISGGGLISGVALAAKAINPSIRILAAEPKGADDSAQSKAAGRIIKLPATNTIADGLRAFLGDLTWPVVRDLVDDVIVVDDNAIVDAMKMCYETLKVAVEPSGAIGLAAALSDEFKQSSIWHESSKIGIVVSGGNVDLRVLWESLCK >SECCE5Rv1G0330530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:530864949:530870026:1 gene:SECCE5Rv1G0330530 transcript:SECCE5Rv1G0330530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAILAALLLVLAAAASPAAALYSAGSPVLQLSPSNFKKVLNANGVVLVEFFAPWCGHCKQLTPIWEKAAGVLKGVATVAALDADAHKELAQQYGIQGFPTIKVFLPGKPPVDYEGARDVKPIVNFALSQVKGLLRDRLDGKTSGGASGKTSGGSKEKKTEPNESVELNSSNFDELVIKSKDLWIVEFFAPWCGHCKKLAPEWKRAAKNLKGQVKLGHVDCDSDKSLMSKYKVEGFPTILVFGADKESPFPYQGARAASAIESFALEQLEANAAPPEVSELTSADVMEEKCASAAICFVSFLPDILDSKAEGRNKYLELLLSVAEKFKKSPYSFVWAGAGKQADLEKQVGVGGYGYPAMVALNVKKGAYAPLRSAFELAEITEFVKEAGRGGKGNLPLEGAPTVVESEPWDGKDGEVIEEDEFSLEELMADSSAPNDEL >SECCE2Rv1G0093890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:387729115:387736019:-1 gene:SECCE2Rv1G0093890 transcript:SECCE2Rv1G0093890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSRKRLMRDFKRLQHDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKVREIVEQSWTAD >SECCE4Rv1G0285810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:846528259:846529368:-1 gene:SECCE4Rv1G0285810 transcript:SECCE4Rv1G0285810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELHPDIVDEVLVRLSRDDPAGFIRASAVCKSWLGTLTNPAFLRRYRDMHGTAPLVLGFLHNPVDRRLARFVPTTAFRPPAATDHGTTVVLDCRHGRALFYDYGSTEFVVWDPITGRERRMPDDVLDTCTNHAVLCAAGTGCDHSACNEGPFIIASAGVECHEYEWVQADAWFVNSETGERGGPDGLYLDSKRYRLEEGRAAVLVAGALYFVCENAALLRYDVLGLGTQDLSVIEPPPGKFRGSKIIVRRAENGGLGLATLRHDVLQLWSAETRPRGDVKWAKMNRIQLRKLTPFKRPARLIGYAEDINVVFVRSDDHCIFTIELKSLLMKKLCEMDEVDDVFPYVCFYNTPTGTTSSTSSSMFG >SECCE2Rv1G0136490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:912276454:912279460:1 gene:SECCE2Rv1G0136490 transcript:SECCE2Rv1G0136490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVEEEYEEEEEGESIERVFEGQEVPDWKEQVTVRALAVSALLGFMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLHSWTSLLDKAGVPGVKPFTRQENTVVQTCVVACSGIAFSGGFGSYMFGMSERISEQSGEVRDEDSIKNPSLGWMIGFLFIVSFLGLFSVVPLRKIMIVDYKLIYPSGTATAHLINSFHTPQGAKLAKLQVKTLGKFFAMSFSWGFFQWFYTGGKDCGFSSFPTLGLEARRQKFYFDFSATYLGVGMICPYLVNLSVLLGGIISWGIMWPIIEHKKGDWYPADLKPSSLRGIVGYRVFISISLILGDGLYNFLKVLTRTMTALVTQVRGMMSGPTLPISEVGGDLTPAETFDDQRRTELFMKDQIPNTLALSAYVITAAISVVTVPRIFHQLRWYHVATSYVIAPVLAFCNAYGCGLTDWSLATTYGKLAIFLVGAWAGASNGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYKAFGDIGTPGSEYPSPNALVYRNMAILGVQGLGSLPKHCLDLCIGFFVGAIVVNLARDLAGPNIARFIPLPMAMAIPFYLGPYFGIDMCIGSLIRFVWDHVDGARAKAFAPPVASGLICGDGIWTLPQSVLALAGVRPPICMKFLPRATNIQVDAFIKALPPPPGS >SECCE3Rv1G0213310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:960620151:960620381:1 gene:SECCE3Rv1G0213310 transcript:SECCE3Rv1G0213310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPVPESWPGLIGTELNAAVQIISQERPDIRIARVLPPGEPPSPPPQDQVRVIIYNDVGPVPDTWVVVPPAPYIG >SECCE1Rv1G0024560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:329138482:329139053:1 gene:SECCE1Rv1G0024560 transcript:SECCE1Rv1G0024560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSIFQYSWEILPKKWVHKMKRSEHGIHLIPILTTHFHCFSIDICGVDHPSRKRRFEVVHNLLSTRYNSRIRVQTSADEVTRISPVVSLFPSAGRWEREVWDMSGVSSINHPDLRRISTDYGFEGHPLRKDIPLSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWEQRSDG >SECCE7Rv1G0465460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:70215020:70220724:-1 gene:SECCE7Rv1G0465460 transcript:SECCE7Rv1G0465460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLEMWLPAAGEGAAAGLFLDAGDAAAHGALLAAMPGCSASFGVPRRRGRAPPGFLSMTMSVKGGRGFAPAPVELLTGGDDKGGGVEVAEGLVAGRGAEGVVLVEAEADGKLAEEKEARSGAGAMNTTKHLWSGAVAAMVSRTVVAPLERLKLEYIVRGEQRNLFELIQAIATTEGLKGFWKGNLVNILRTAPFKAVNFYAYDSYRKQLLKWSGNEETTNLERFIAGASAGVTATIMCIPMDTIRTKMVAPGGEALGGVIGVARHMIQTEGLFSLYKGLVPSLISMAPSGAVFYGVYDILKMAYLHSPEGKRRISMMKQQGQEANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVVRRQLQLQVKATKMNALATCLKIVDKGGVPALYVGLIPSLLQVLPSASISYFVYELMKIVLKVE >SECCE4Rv1G0258270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:676879824:676880510:-1 gene:SECCE4Rv1G0258270 transcript:SECCE4Rv1G0258270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYEWLRRAGLGEDLAAEYALLFARNELGAADVRHLDHAFLATMGVAVAKHRLEILKLARKESSASAAITVLPWRATRLLAAAAQRSARSLAGCLRSAARRGRSAAAVAPRPLALCHQGVAGPARWKGAPTAANGRGVTKRKLAAFLARVGKPMHTLTNSAQKPTTKKGAVASPTTYMATAIGAGCFASTETCGSDYDADDDEDEDEDVDEDPETPWESMFEDLNPT >SECCE4Rv1G0240790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448144123:448145513:-1 gene:SECCE4Rv1G0240790 transcript:SECCE4Rv1G0240790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDWFEERLEIQAIADDITSKYVPPHVSIFYCFGGITLTCFLVQVATGFAMTFYYRPTVKKAFSSAQYIMTEANFGWLIRSVHRWSASMMVLMKILHVFCVYLTWGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWEVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >SECCE4Rv1G0245210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:526762605:526764590:1 gene:SECCE4Rv1G0245210 transcript:SECCE4Rv1G0245210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALHSSRCPALLSLAVLLLTLSPGNAFYLPGSYMHTYSQGEDIWAKVNSLTSIETEMPFSYYSLPYCRPPGGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLFLCTTKGLNENDAKLLKQRARDLYQVNMMLDNLPVMRFAEQNGITVQWTGFPVGYTPAGSADDYIINHLKFKVLVHEYEGSNVEIIGTGEEGSAVISEMDKKGMSGYQIVGFEVVPCSVKRDPEDFSKLNMHDTIEPVSCPVELRMSQVIRQQERITFTYDVEFVKSDIRWPSRWDAYLKMEAGAKVHWFSIMNSLMVILFLAGIVFIIFLRTVRRDLTTYEELDKEAQAQMNEELSGWKLVVGDVFREPACPKLLCIMIGDGVQILGMSIVTIVFSTLGFMSPASRGMLLTGMIILYLFLGIIAGYVSVRLWRTIKGTSEGWRSLSWLTACFFPGVMFTVLTILNFVLWGSKSTGALPISLFFTLLALWFCISVPLTLVGGFLGTRAEQIEFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLMLVIVCAEVSVVLTYMNLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLRSLSGPVSAMLYIGYSFLMAFAIMLATGTIGFLTSISFVHYLFASVKID >SECCE7Rv1G0494140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:579098256:579100356:1 gene:SECCE7Rv1G0494140 transcript:SECCE7Rv1G0494140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSPAAAAVGRAVEEVRSALNEHADVVAELFGRVSTELRGGFGPAVDTFVGFFHAVDWKEPWLIGMISFHAILLLVTIISRRNINFQLILSALTFSGVFLAEKLNTFLGQNWKSFSSQNYFDPQGLFISVMWSGPLLLITILILVNTLVTLCMLMVRWKRAELKHRAREARSKQE >SECCE1Rv1G0045670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:611317428:611319346:1 gene:SECCE1Rv1G0045670 transcript:SECCE1Rv1G0045670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEWWGSARTGGDALSACSATPTGSEISGTSGMSSGPAGLPDSAAAASPFFLADPHMDWTQSFMGGKVAAAANAPTSFNALLHLQGDASRQFLLDQAEHPPPVLATLYTDGASHQGSVYADGLYGSSLASSYGDTPAAATTKPFSQQQQHQFPDFFSSTGLFGAPAPIQRSPQPLLQALEPKLLKSSTEPAAQDACSSSSATRRSSAAPPAAKKPRTETPMPTFKVRKEKLGDRVTALQQLVSPFGKTDTASVLHEAIGYIKFLHDQVASLSSPYFIRCSGGPVQQLQHKQASDGDAGEAREDLRSRGLCLVPVASTYTMASETAPELWHPTFGGTFH >SECCE5Rv1G0303800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:51353904:51356309:1 gene:SECCE5Rv1G0303800 transcript:SECCE5Rv1G0303800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKVAKRRPPPAKLLYISPTATFDSTAVYSCPFSPSSSSSGRPRDRGDLLFTIDGARGNYVEVVTPAPCHGLTLLYDALDTAYYICNAATRAATRLPPSSDVACDSSAGLGFDARTDEHKAVRLINRMFHQKDLDPVRCEVYTPRGPFVDCRWRPTARGVPSSLHEFAHAAVLNASCNKLSPVFANGCLHWLMAPAYFITTPSVAIVSFSVAEETFTCQRSPPFWVPGAPPASRNWSSGEQLLEMDDQLCLVRNRMPHGSNTLEIWKLLDYSSGDWLLNHRISLSGHLARDLRQSQILRVIGSFGSYGSPRKKIIIATSMHKIFDKYQKMVHTYDPRSEALETILSITETHSTPQYGCPSSRFSFIQETLAPVHTTDEETALSSDLAKATREILLRLPVKSAIQSKFVCKQWFRLIESENFIQSYFQHKNIDKRPKVMLVVKSTGRLGFSFAPLNKCLQEAPSHSTLLDTKVGCSKPCHGLNLVSTETKDYLCNPCTDFYRGYSNLGPNLHLRPRMPKTEEHAFTVGNKNVGLTFNPLTREHVIVEIFYHRKDFESRKYDMSCALHWCGTPNAAQEHSVPPLPVNDMPPAYVEGMLYWMNEPRLGQSCEWTIVSFNLATSTFGVVTCPLWFARWSSRNRCRAFVVELEGVLCAVLADPVADKLDVWKLEHGQWGRAYTIHLEACPGYSLKTSVVVPLAVDPDHGRILLNTGWKIGLYDPVEQTIQNLYSLDQVPVASSALLKFLDVPSTSSSGDSLTCSEEEPLAETNIMDSKLIPFVPMLYEESLACYSFVRRANCLW >SECCE7Rv1G0509210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:778878527:778888224:1 gene:SECCE7Rv1G0509210 transcript:SECCE7Rv1G0509210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MSSSSGRRSSPPARPAASSSGQKRARGDEDPGTSLVSNPDSAPAPVPAQNPRRAFASSPFADFGSYMSAKNSKLSAQFDADASTSSAAPGALFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRHTVTHIICTHLPESKMRNMRAFSKGLPVVKPGWVVDSLVENRLLSWVPYQISQHNSSSRKQMKLSAFFSEKQNGMRHRGNQNDENKDLEFQTSSAQEGSHDQNESCEQEGPLLNVEVAEGSLSSDEHGVPLMKSCEQEGPLLNVEVAEDSLSSDEHGVPLMKMEVAEDSLSSDEHGVPLMKMEVAEDSLSSDEHGVPLMNVEVAEDSVSSDEHGVSTFEERDGEDIAVDEDANACKISFSESMDNDMDAELHVAQSPDATSRCSDVCGTGSVGSHLSIGSLEKDTAKSSSRSHSTLTDPNFVENYFKHSRLHFIGTWRNRYRKRFSNLPGAKSSKGNNDHSGKKKTIIHIDMDCFFVSVVIRNRPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVRDAKARCPHLTVVPYNFDAYGEVADQFYGILHKYCTKVQALSCDEAFLDMTECSHDNPEEVTERMRNEIFGTTKCTASAGIAENRLLARLATRSAKPNGQLFISSVKVDDYLSTLPIKALPGIGYTVSDKLKSKEVEHCGQLRKISKDALHKDFGKKISNMLWNYCRGIDHSVVESVQETKSVGAEVNWGVRFNESKDADNFLVNLSKEVSLRLQGCGLQGRTITLKVKTRRKGAGEPLKFMGCGDCETMSRSTTMTGATDNLVTLQRIARQLFAALHVDVKEVRGVGLKMSKLEHADLVRGAPQGNMLKSWLGSSSEKLKKQSSERTCFLKNSDDAGTSEVRNLGSSRPLFTGVASHSSKVNLTSGRSTRVHAVELPPLSELDLEVLKNLPPEIISEMNDMYKGELHGFLGVRSSAEGKQSNSKSRVLPAVNQNSVPVSNARLHQYGERTGSMHLEKRNNIKGASDEQVQTAHASCSRANELIDTESVTRLDFMPNSLSQADFTVLQELPEDVKADLFNVLPLHRSIDPTRHTSNVTENKSLKNGVTDDPKDPVTCVLPGSSEKWAEQFRVSSSLLLKAIAEQQGNSISSQPLSSILEHAASLLPLCPTSGSEEWNDTLSRLSVLLTEYIHLKVDSDIEELYKCFLLLKRFSSASELFLELHNSILPLLQDSITQHYGGRLRL >SECCE1Rv1G0056750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689090149:689093110:1 gene:SECCE1Rv1G0056750 transcript:SECCE1Rv1G0056750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPASVSGPFGLSPDLARCSFDQTLRREDFQDNRLLRSLVSMREQENHSKEIITEAIESCMNKQAENLVNSLDVISGRLSQLELYCYKLERSIGELRSDVMDYHSEANLNFRSLDKNVKEVQKSVQVLQDKQELAETQNELAKLQIVYEDSAQKSEGTAPSVFMAKENDGSFPGAKHELALVPLHQVNATQSPAMQFQSCNGLILQQIVPVSFSNQQDQPRSNQPTVYCMQNQGQPERRQTQTFQPAPQSMQLQPQAQNPQPQTVAEAPEFYLQAQHHWQHQTVQDVHSQARQPPPQQQQPQPQVAQQQQYHNMQQVPAQVVQLQTSSPQAQSAPHVALFYPPYGSQQPACGNTEALSRGMVVQPAYSTISSSQRKHHEAAPVYVQSSAIAVPMAEHHIQHQQTQQFQSPGNGSFAPQQCKVGPYSVQGGAQAYNTVYGSPPNSAATFVAVLPQQAQQASAPMMLHHLGPQPVQNHPADMADKAARMGYLNDHVENMPRRMVAAGQPVEYNTFHDGLSSVGNAAWSG >SECCE2Rv1G0088710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:247584466:247585401:-1 gene:SECCE2Rv1G0088710 transcript:SECCE2Rv1G0088710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDLPSLAFAIARFLQKENRRFPRTHRHRPASCRRRRGLGARSGPRRRFFARNHRQNRRRGYARQGNRPLHGQAPTVHQAGPSAPATAANHAGPSAPAPAVADDNTVPATPPPSVFTIPPMDWLLAGPSAPFLGEEEFFPCELAPPPLPPYCVKHGFGSCPARTGAPPRKPSPTPSDELPEHFIPPGYGPVPDLPSPTLAAAGTGGYSSIPDLNIKIKVEEEEIEDQGSSSTPPPTSPATPPPPPAPPLPPTPPPEARRILRQFAAAMAKNRAAPRGAWSPDALGLTGAPGASSSGAGRAAKRGPPRFH >SECCE1Rv1G0007260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39713427:39714407:-1 gene:SECCE1Rv1G0007260 transcript:SECCE1Rv1G0007260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSTQSTGPWFFNFLKEGLLLPTRNRRLFAAVYAVVIASTALLLLGGDLTVQPLADEIQLDAKALNSTDPGSPDFAKLVQEIQDDTRELLLVGAGYLLLAVVVSSAVRVVLLFAAVSTYSGEEQGATFTLGALLGKAKAQLKGPLLTLAFVYVLEIVCTVLLSFVAVLLGVLMVMLKHYFVVLLLASIIILAATTVFLVYFSFLCSFSIVVAVAEPGCHGAAALGKAWRLAKGKRRQVVLYVAVTGALSAVLSPVHTLARTCAGDHSVALGLFLGFVYAALMALVQVLAVCAMTAFYYERKEDSDNQLGATGYAKLSSTEEATA >SECCE2Rv1G0082350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:150424487:150424804:1 gene:SECCE2Rv1G0082350 transcript:SECCE2Rv1G0082350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINIPEDKFYLGDVGYACQPGILPPFRKTRYHLNKFSGRNYPRTAQELFNLRHSSLRVTVERAFGALKNRFKILDQKPFHPYSTQVKLVLACCILHNWIL >SECCE3Rv1G0211400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:950222823:950224448:1 gene:SECCE3Rv1G0211400 transcript:SECCE3Rv1G0211400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLTYYGSIYKDHNQRKKLCIIFQVPKYIKQVDKLSYEPKVLSIGPYYHGNSSLQFMEKVKWNCLDYVLKLNCRKKLEVYLTAMESLEKQARSCYPEEVSLGSDMFLRMILLDGCFLLVYLGGTDGLDWCVKEHSASGSNYQGREPLQYTIAQPEVIMEHASHKGTRSTESMRLLAGTSSNLSSTEGVELDHITSTGEECNDRKDPDQPKCDPISDWHHSHAFRDLLLLENQLPFSIVKRIYGLLVGEDAPDLLTEKVCKYLELNIRKYTTVARKFDGQKDFHHLLQLCHMYFRPQQRIQPEQHFQIRNRWLPPLTTLWRTYLKRSSFEDFSTNPQTSHVSSCRTLNRWRRAEQYHEAGIEFKTKEHNKHNPPSLLDITFDKGEVEIPCLLIDENTICLFRNLVAFEQTCSQFGNDVTAYIAFISQLASTPCDVALLARKGIILHHLRTDEEVSTLFSKLGKNVDFDQSGAHYLKPVCRMMEEYYQNRVNRWMAWLWHNHLRNPWLVLAVVAAAIVLLCTVIQSLLALLAYLDQTTDTN >SECCE2Rv1G0105710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:624298354:624300230:-1 gene:SECCE2Rv1G0105710 transcript:SECCE2Rv1G0105710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSIINCAFPSIPQQPFSPAEMANNFLLGGLGAILVVAVVVGVVATVTTSGNNKAGDNFNVPGEATLATSGKSVKSLCAPTLYKESCEKTLTSASNGTENPKEVFATVAKSAMESIKSAVERSRTIGEAKSSDPLTEGARQDCKELLEDSVDDLKGMVEMAGGDIKVLLSRTDDLEHWITGVMTFIDTCADGFADEKLKADMQGILRNATELSSNALAITNSLGAIFKKLDLDVFKTDSRRRLLSAGESKYPAWMKAPERKLLASGGLPAPNAVVAKDGSGKFKTIQDAVNSMPKGHPGRYVIYVKAGVYEEMVMVPKDKVNIFMYGDGPKQSRVTGSKSFADGITTMKTATFSIEAAGFICKNMGFHNTAGAEKHQAVALRVQGDLSAFFNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFADRFKIPSYLGRPWKEFSRLVIMESMIADFIKPEGYMPWNGDFGLKTLYYAEFGNRGPGAGTSKRVTWPGFRVIGRKEAEQFTAGPFIDGATWLKFTGTPNYLGFKV >SECCE3Rv1G0162900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:140625786:140630949:1 gene:SECCE3Rv1G0162900 transcript:SECCE3Rv1G0162900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKRPKHSSPGKRQEMPPAPEEGPAEDPRVLLRRRWELASVLHFLQVFEPVIETNLGLSADEIETALITNNEDLARIHIALLKGIPPVNKKINVDDGWVISTAKKLSDWWSWVAEGANPFEKNPGKEVETFKEQDPVNRLFILKALCEVRLEQNDAVCYIADEMKKGGTIGNFRKDKLGCASDGAIYWYVGDSTIGHRLYKEDVLVGFKNNWKGKDGRLTNPVVNIQWETVATNLDEFLEISEKLCSKGRSEATIGEHLKENIVPAVEKFQKKKERNLKRRQQKNERLERLAFANVFQTRSLRERKPVSYDYSDYDRRINEAIKATAKGKEADSRKEAEKKEKQVPRQADNKADIGADIEHNEQEDAKEDLDDLSSGDDEVSDYDDKDDGSSSSDGDTDASDSHESNSDEEVVVTRKRTRLAARRLATKQLRPGVRRSRRNMKGSDEEMVHPGEVTPEAMTKKTTRQRPTPISKQFSMSGSEDGSEEDHQSESAADSEEEDHQSESAADSEEEEHQSESAADSEEEDHQSEPAADSEEDDHQSEPAADSEEESDSHSS >SECCE2Rv1G0072180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:51084035:51085292:1 gene:SECCE2Rv1G0072180 transcript:SECCE2Rv1G0072180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVTAVMDLALPPGFRFHPTDEEVVTHYLTHKVLRESFSCQVITDVDLNKKEPWELPGLAKMGEKEWFFFVHKGRKYPTGTRTNRATEKGYWKATGRDKEIFRGNGRDAVLVGMKKTLVFYTGRAPSGGKTPWVMHEYRLEGQLPHRLPRTAKDDWAVCRVFNKDLAAKNVPPQMAPAAVGVKEDPLAFLDDLLIDTDLLDDADLPMLMDSPSSADDFAGASSSTSSAGLPQQQILSPTPNYFMPATANGNLGGAVYSPYQAMGDQQAAIRRYSKPKAEVASSSVLPSPSLGFDTWALAGADNSFLMPSSRSYLDLEDLFRSEPLMDYSNMFKI >SECCE3Rv1G0200140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:851351486:851352211:-1 gene:SECCE3Rv1G0200140 transcript:SECCE3Rv1G0200140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYEKQQPPPPPPANAAPYYAYPAPQQPAYYAPPPPPPPPAPRRSEPGCLLCFVFKVIALVVIALGAAVLVLWLILRPGAVRATAVSATLSRFDLAEGGGRGREGVLQYNLTVDVRVRNPNRFRIHYEYAEAQASYDGERFGYDPVEPFYLERKGERTVTAAFGGSSGVDDRGALRSYRRETGDGFYYVKVRLYADLGFKVRVFNARRKSKITCTLRLPVPKASATPVPTMLGTRCAVDF >SECCE7Rv1G0515910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:842122288:842125571:-1 gene:SECCE7Rv1G0515910 transcript:SECCE7Rv1G0515910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAASVALLLLSCLAAAAGNDRDALLAFKAGVTQDPTGALRSWNNGTGFCRWAGVNCSAAGRVTTLDVGSRRLAGTLSPAVGDLNHLEVLNLTDNAFTGAIPASLGRLGRMYFLSLCDNAFVGEIPAALRGLENLTTAYLNGNNLTGAVPAWLGAMPALAVLRLAKNKLSGRIPPSLANLKTIENLDLAENLLEGGIPEGLARLPNLQFFTVYQNSLSGEIPPGFFNMSSLQGLSLTNNAFRGQLPPDAGTHLPNLMYLFLGGNNLTGPIPAMLANATQLQFLSLANNSFTGQVPPEIGKLCPVSLQLSNNKLTSTDASGWEFLDSLTSCDALTEIFLGGNKFSGAMPSSIARLSTLQALSLSGNRMSGVIPPGIGNLVTLQMLDLQHNLFAGAIPEGIGKLENLQELQLQGNELTGPVPSAIGDLTQLLSLDLSDNSLNGSIPPSLGNLQRLRLLNLSGNGLAGHVPRELFGLSSLSSAMDLSRNQLDGVLPREVGQLVKLTFMTLARNRFTGDVPAELGSCQSLEFLDLDSNLFAGSIPPSLSRLKGLRMLNLSSNMLSGAIPPELGRMTGLQKLDLSQNDLSGDVPVGLENMSSLVELDVSDNNLVGNVPQRGVFANATGFKMTGNSALCGGTPQLRLPPCRTLADSTRGSHLFLKVALPIIGAALCIIVLFTVLLWRRKRKSRTTSTAARSVLNGNYYPRVSYAELAKATDGFAEANLVGAGKYGSVYRGTLALKTKGNLAHEAMAVAVKVLDLGQAGACKTFLSECETLRTARHRNLIGVVTCCASVDAAGGEFRALVFDFMPNSSLDRWLHPGPADARKHGGLSLVQRLGIAVDIADALSYLHNSCDPPIVHCDLKPGNVLLGDDMTARIGDFGLAKLLLLDAGGEGTESTIGIRGTIGYVAPEYGTTGSVSTAGDAYSYGVTLLEILAGKAPTDGGLGDGTTLPEFVAAAFPERIEQVLDPALLAMEELDRSVSVSASISTMSMVSSSSYSGESEVHVTARDCVVAAVRVALSCCRRAPYERMGMKEAAAEMHLIRAACLRACGARKPVEQ >SECCE1Rv1G0028970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:408847045:408847879:-1 gene:SECCE1Rv1G0028970 transcript:SECCE1Rv1G0028970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKQETAAVHVLGRWRSPFVIRVLIALGLKGVDHELVEEAVGNKSELLLASNPVHKMIPVLLHHGRPVSESLIIVQYVDEAWASQAPALLPSDPYARAAERFWAQYVDDKFPTAIRVLRGRLDGDKDEAAAQVCAALQHLEVAFVECSQGKDYFGGDSVSYLDIALGSHLGWVRAVERIAEIRLLDAAKIPKLAAWADRFCAHPVVADAMPDVDRFVEFSLKSDGVPKADSANSK >SECCE4Rv1G0231900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:191890927:191894028:1 gene:SECCE4Rv1G0231900 transcript:SECCE4Rv1G0231900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFSSTVGAPASTPSTFLGKKVKKQAGALNYYHGGNKINSRVVRAMAAKKELDEGKQTDADRWKGLAYDISDDQQDITRGKGIVDSLFQAPMGDGTHEAILSSYEYISQGLRKYDFDNTMDGLYIAPAFMDKLIVHLAKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADAPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDNVPDDAVVRLVDTFPGQSIDFFGALRARVYDDEVRKWVGEIGVENISKRLVNSKEGPPTFDQPKMTIEKLMEYGHMLVQEQENVKRVQLADKYLSEAALGQANDDAMATGAFYGK >SECCE6Rv1G0424780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702425850:702426350:1 gene:SECCE6Rv1G0424780 transcript:SECCE6Rv1G0424780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:Projected from Arabidopsis thaliana (AT4G34870) UniProtKB/TrEMBL;Acc:A0A178UWH6] MVFFDMTIGRAPAGRIMMELFVKDVPKMAENFRALCTGEKGVCKSGKPLHYRGSAFHRVFPHFMCQGGDFTMGNGTSSESIYGAKFPGEKFVRKHKPGMLSMANAGPDTNGSQFFICLGPCPWLDGKHIVFGEVVEGMDVLRCIEKVGSRSGTCAKSVAIADCGQL >SECCE4Rv1G0288140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:858664662:858670424:1 gene:SECCE4Rv1G0288140 transcript:SECCE4Rv1G0288140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPMLAPSTPLPPLHRSHTKPRRLAVVRSKQGWDDASFTDRILDYIEGGPKLRKWYGAPDLLPKDGGAEGEEDESSDIEEPRDAVLVTNGESEIGQMVILSLILKRARIKALVKDKRSTKEAFGTYVESMVGNIEDKSFTKKTLRGVRAVICPTNDGFFSDHIDLKGVEHIVLLSQLSVYRNSGGLQAIMNSKLRKLAERDEEVVLASGIPSTIIRSASLETTPGGEKGFNFTEQPRGKISREDAATICVEAMGAIPQTTLIFEVANGDENVTDWKTWFAERMAKG >SECCE1Rv1G0001440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4874573:4875136:1 gene:SECCE1Rv1G0001440 transcript:SECCE1Rv1G0001440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGMLHVLGNLLVAAHSNNWEDESVLVAIDMEGKTWKTISVPRGRNYGTLGWSQGCLHYAAISPAPLTVDDDDDEDSLNMAEEVAIWRLEDYDTQQWALKHSFRIDKVLNLNKVDYQLIGFHPDHDTFIFVRKGIFEGSDAASLVSWDMRRGQISSVLDLEKRSAAPYLPYVPLFSSEPLADADGH >SECCE2Rv1G0101520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:566048463:566049070:-1 gene:SECCE2Rv1G0101520 transcript:SECCE2Rv1G0101520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRILSVIAVVAIFALADTAVANDLPDYIVQGRVYCDTCRAGFETNVTEYIKGAKVRLECRRFDTDKVERAIDGVTDETGTYKIELKDSHPEDICEMVLVQSPLQNCNEIQALRDRAEIVLSRNVGISDNIRMANPLGYLKDKPLPVCPDLLKMFNLTTDDDH >SECCE6Rv1G0404640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:522142360:522143349:-1 gene:SECCE6Rv1G0404640 transcript:SECCE6Rv1G0404640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPGGGSPGAGSSRYFHHLLRPQQQQPSPLSPNSHVKMENSKMSSPDKTPVGDADAGGSGSGAGADQPSSSAMVLADGGSGGGGSGGSGGPTRRPRGRPAGSKNKPKPPIIVTRDSPNALHSHVLEVSAGADIVDCVAEYARRRGRGVCVLSGGGAVVNVALRQPGASPPGSVVATLRGRFEILSLTGTVLPPPAPPGASGLTVFLSGGQGQVIGGSVVGTLVAAGPVVLMAASFSNAVYERLPLEGEEEEVAAAAAGAEAQDQVAQSGGPPGQQPAASQSSGVTGGDAGGMSLYNLAGGNVGNYQLPGDNFGAWGGGGGGVRPPF >SECCE2Rv1G0127100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:850942793:850945420:-1 gene:SECCE2Rv1G0127100 transcript:SECCE2Rv1G0127100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICLFTLLALFHSICTSASSSEYQSRAHKLFSMSPLYILSLGLLLLHAPHWCSSSAAASDTLKAGQSLAVGGSELVSGNGKFALGFFQPAAGTISKSQNTSGSSWYLGIWFNKIPVFTVVWVANRDQPIAGPSLNRTQLKISSDGNLVIVHDDSVVWSTHIVNNRTQARSINTTASAAVLLNSGNLALTVTESPSSSDLPLWQSFDHPTDIVLPGAKFGRNKITGLNRKGISKKSLIDLGLGSYSVELDTSGQVVLKRLNPSVVYWHWASSKTSSLKLIPILKSILDMDPRTKGLINPAYFDNDQEEYYVYTSPDESSSTFVSLDISGQIKLNVWSQASQSWQTIYAQPADACTPAATCGAFTVCNGTAQPVCDCMGSFSQKSPRDWVFHDRTGGCIRNTPLHCNTSSNNKNMTSSTDIFNPIAHVTLPYNPQSIDIVTTQSKCEEACLSSCSCTAYSYSNNRCSVWHGELLSVNRNDGIDNTSEDVLYLRLAAKDLPPSLMKNKRKPNVGAVTAASIIGFGLLMLMVLLLIWRNRFKWCGLPVYSNEGSSAGIIAFRYTDLVRATKNFSEKLGGGGFGSVYKGVLSDSKTTVAVKKLDGANQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGHHRLLVYEHMFNGSLDSHLFKKSNNADAAVLNWNTRYQITLGVARGLSYLHQSCRECIIHCDVKPENILVDASFAPKVADFGLAAFVGRDFSRILTTFRGTAGYLAPEWLTGVAITPKIDVYGFGMVLMEIISGSRNSPETDNTSSSTSYHTEYFPVQAINKLHGGDVKSLVDPRLHGDFNLEEAERVCKVACWCIQDNELDRPTMGEVVRVLEGLQEIDVPPMPRLLAAITAQPGDAYSV >SECCE3Rv1G0186390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:683902044:683904897:1 gene:SECCE3Rv1G0186390 transcript:SECCE3Rv1G0186390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPLLPRKDQEDAAEEGMGYWRSEAGKLAYLALPMVAVSLSQYAVQVSSNMMVGHLPGVLPLSSAAIATSLASVSGFSLLIGMASALETLCGQAYGARQYHTLGLHTYRAIVTLLVVCVPLSLLWAFMGKILVLIGQDPLIAHGAGRYIVWLIPGLFANAVIQPITKFLQSQSLTTPLLLSSVATLALHVPLCWVMVFRTGMGYTGAALAISVSYWLNVAMLVAYIVMSSSCKETRTPPTIKAFRGVGVFLRLALPSALMICLEWWSFELLILMSGLLPNPELQTSVLSICLTSITLLFTIPYGLGAAGSTRVANELGAGNPEGARSAVRVVMSVAVTEAVIVSGALLLSRRLLGRAYSSEEQVASAVAAMVPLVCITVVTDGLQGVLSGVARGCGWQHVGAYVNLGSFYLLGIPMAMLLGFVLKMGAKGLWMGVVCGSISQTTLLSAITFFTNWQKMADEARERSLSEKAMESESRSLLE >SECCE7Rv1G0475440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:188189376:188192860:1 gene:SECCE7Rv1G0475440 transcript:SECCE7Rv1G0475440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLKRFTDVAGDGTPRLDDAAGEELVCVERAASVALGSRAPEPPGTLFITTRRVIWLSEAEKGKGYAVDFLDITLHAVSRDPEAYPSPCLYTQIEAEADSDEEGGDFDSEADGDSQLPKISEMRIILADDAQLDSLFNAFCHCAELNPDPTAEENEDSDLFHGEGMTNGGWIHGDEDEHMVDGIDPEFFIPNPIGQNGGDDLSSSVLELQINDQRFEDAEEEEARENGH >SECCE3Rv1G0166990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:195527917:195528531:-1 gene:SECCE3Rv1G0166990 transcript:SECCE3Rv1G0166990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPTAAQAAAVSQRKAWEWEGRVASPVPAATADEAWALLSDFLAFHKWHPRVAVCRLASSGAAAPGPGCVRYCEGTPPADGTPADWAHETLLEHDQARRFFRYEMNDNNMGFGAFFAVFRVVPAAAGGCELRWEFECEPVRSTPKEALEARLQAGLDGMAARVHDHLMSARAAVAVAAAAPTTAVAAGLEAADELKLDNSIAA >SECCE3Rv1G0212970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:957274707:957278115:-1 gene:SECCE3Rv1G0212970 transcript:SECCE3Rv1G0212970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSVATGALKPVLEKLAALLGDKYKRFKGVRKEIKSLTHELAAMDAFLMKMSEEEDPDVQDKVWMNEVRELSYDMEDAIDDFMLCVDDKDTKADGFIEKIKLSLGKMKARRQIGNEIEELKKQIAEVGQRNARYKTRETFTNTINTTIDRRALAMFEHASKLVGVDGPKAEIIKLVTDEDGVAATQQQVKMVSIVGSGGMGKTTLANQVYQDLKEKFKCRAFLSVSRNPDMMNILRTILSEVSGQDYASTQAGSIQQLISKIADFLVDKRYFVVIDDIWDVDTWDVIKCAFPTTSSESIIITTTRIKDVAESCCSSFSGCTYGIQPLSVVHSRQLFHTRLFDSKEHLPSNLEKISDEILKKCDGLPLAIIAVSGLLANRKKREQLWNQVKDSIGRALERNPIVEGMIKILSLSYFDLPPHLKTCLLYLSIFPEDFIFEKKGLIRRWIAEGFIYKDCRYTSYEIAERYFNELVNRNLIQPVEVGIYGQVLSCRVHDIILDFLVSKSIEENFVTFMSSFSMVPLTPSFHMRGKSM >SECCE4Rv1G0229330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:147474736:147475578:1 gene:SECCE4Rv1G0229330 transcript:SECCE4Rv1G0229330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMATAVGDGALRRLFEKPLPENPTLLEVLSACSNHTHHRKQLPPVDPASFTEIFGELHFHEKPDGAAARPVLPRVPLSDSRVAAASWLDVADQTEKSKDDSSLDALLRPKPASAGAAPGGVRRSASFCLKKSSASLLLCTEGLGSESTVDSDDMVRDDGADAAAALRGREREEATLRDAAAAAEPGLGESPPSFPPPIRSIGRGGKPCVCFRTFRADGRFVLTQVVIPGKELLQASREGGRLRLRFANPAAADEEELELGDKEDDDREANRACIDACA >SECCE4Rv1G0230000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:157162839:157170552:-1 gene:SECCE4Rv1G0230000 transcript:SECCE4Rv1G0230000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRAGGGGPARRPRPAAAASDPRRAAAAREAMLRMEEVMLAHAGAAGEFSMIIEAPLPNLQRYRRNATPPAAPSSDSPSRRRGGPHDAGARDDVPTRLRREGSVTEDIGNARGVRGGEDMGYAEDARSRRGTGDARAARPASARAGVRGGEEETEAPVRLRDPRRESGRVSAPPGRVVEAQPDVAAAVEEETPLQQLSRGGRSSSADRHVEGALEAEAMAARPSSRGSRREGSRDDVVNAIVAEPAVEALAARPSSRRSRRDGSGEDAVNPIVAEPAVEALAVRPPSRRSRREGSGVAVVNAIVAEPAVEVEVEVEADVESVGWRSSRGSEDGGEEEVLLAKPLQSIITGGRSRSNSPAISRNGVDSGVANRAQSTGRSSFVPPVGANVRPLQAVEVPNGAPRERRTIYPDPTFAQSGRSRDSHDSSTLTEEVEMLKDENVNLLEKLGLAEEKFRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNDKSEEITTLQQQLQSASEKAAVAEQKLNEAESETKALRTMTQRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKYEYWSSFAPLPLEYVTSAGLRAKNGGSNELEETDMLVHDLTVTAGEGNIETMLAVDKGLKELAFLKVEDAVLIALAQHHRPNVAELSDPDIKSSGDEKFTEAFDLSKEEEEDVLFKQAWLMYFWRRAKTHNVEEDIAEERLQVWVDRHGQQPTSHDAVDVEQGIHELRKLGIEQLLWEFSRQEVNVAEDELSDAEDDLT >SECCE5Rv1G0297770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:5319499:5320662:-1 gene:SECCE5Rv1G0297770 transcript:SECCE5Rv1G0297770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRSERQMPPPPADWSGLHQDTLSRIFLSLGCIGDRVRFAAVNQHWRGVALQNPPPLPWLLTPSTAGISCYRIFGGFADPQPPLAGAVRGARFCGSSPGGWFVVLLHHWHGHALLQLRSGERVPLPDHVRVTLSNRRTPPNFNFLRCPIMIRAAAMSVPPPSAACVVAALTTGQTTMAFWRLGMDCWSPAPRGAPCDAQDLTYHDGCFWAVDPWEQLFCYRPKIAGADGALTVQQLVYECCADQMTLAAPGEMVSRYLLPAASGEDLLMVKRFVDPARGGTRRFEVFRLDNQLGRTSWRLYKMEGQVLIIGRSCSKAFDTGRNDNPGYIYFLDDVYGGRPMSVLQQNEYPCTDIGGWSCSPEDEEIKRCLPGAHPSDSSPSIWYLH >SECCE5Rv1G0311240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:189140029:189150960:1 gene:SECCE5Rv1G0311240 transcript:SECCE5Rv1G0311240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGANFHQQPLPQGMLPPRHGARAPSLQTSLSLASSEQVGSPEMQEPVSNSDQGHDSATESASSRETWPVEPEHSNAAAASSGGAVKKVEMEKDIRNGIPKLQVIRGSSRIDRVSLREIARERVDLVVEKMKVMPEEHLEEIKNELRSILEGTGGSHHIEEFLYLQKFVQGRGDLTPTMLSMAHHVQLEILVAIKTGIQAFLHPSVTIPQSHLVEVFLYKRCRNIACQSALPAEECRCNVCANRNGFCNLCMCVICNKFDFEVNTCRWVGCDFCSHWTHTDCAIRVGQIGTGQSIKSGTGHAEMLFRCQACQKTSELFGWVKDVFQQCAPGWDRDALVRELEFVCKIFRLSEDPKGRNLFRKCANLIERLRNSSPDSVNPRMILHALRELEMDSPKSSENEESGRLITPQEACNRIAEVVQEAVRKMELVAEEKMGLYKKARTAVEACDRELDEKARQVQEFKAERLRKKQQVEELESIVRLKQAEAEMFQLKASEARQEAERLQSIALAKSERAEQDYASLYLKRRLEEAEAEKQFLFEKIKLQDGHRPPQASSSVPGDSSQAPSQALMLSKIQDLLKNVRTMPTKSEAHSK >SECCE2Rv1G0118130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:779506267:779508187:1 gene:SECCE2Rv1G0118130 transcript:SECCE2Rv1G0118130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSDSSSLCSLPFLFLLLVANGKATMLNITNRCSYTVWPAAVPIGGGVQLDPGKSWTLNVSGLTTSGRLWARTGCSFDGRGHGSCQTGDCGGTLACTDYGQPPVTLAEFTTGQGQTQTDDFFDISLVNGFNVPMDFLPVPTKGGPGCSKGPRCEANVTSRCPTELQAPGGCNNACIGNGTSNCEPTTYTVFFKQMCPDAYTDYNDFTCPTGTNYQVIFCPPFNLTISPAPASSLPAPIRPSSKRLKSSILRRHVVIIALVGGFILLTEMEEEEEFGELQGALVRFTFQQLQVATWQFADKLGEGGFGSVFRGQFGEERIAVKRLDQAGQGRMQFLAEVQTISSIHHINLVRLIGFCAEKSHRLLVYEYMPKRSLDRWIYSRHDNNAPPLDWSTRCKIITHIAKGLSYLHEECAKRIAHLDVKPQNILLDDNYNAKLSDFGLCKLIDRDISQVVTRMRGTPGYLAPEWLTSQITEKADVYSFGVVVMEIICGRKNLDISQSEENIHLITLVEEKVKSGRLVDLIDKSSTDMQAHKQDAIEMMKLAMWCLQIECRKRPNMSDVVKLLEGGDMKANTSISNNFVAISPTKSQIYQAQGELRESKNICN >SECCE4Rv1G0257140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:663773216:663785733:-1 gene:SECCE4Rv1G0257140 transcript:SECCE4Rv1G0257140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRNASRTENVRRRLPKKLFVFGIPSFAACLSLFGLILLVKKEVEGIDVPNHALFFRCTQFLAWVAVLVSANGPWPEVLCNPIICICWMLKILLEIPHLQYTLTEMKALSYFAEIVSFSTSITFGLLLVVITVVGKLCNKREVNSIEAPLIMNSDNSEDGSANLVNKDPNIWELLTFKSVNPMMDIGIRRQLDFTDLLELPADLRPASCYEELLSSWTAEQQTYHAESSILRAMFYAYGWSYLRLGILKVINDSINFVSPLLLNKFIRLIQEGSVGMDGYIIAISLGLTSIIKSFLDTQYSFRLAKLKLMLRSSMMGIVYRKCLCLSLSERSRFSEGEIQTFMSVDVDRTVNICNNLHDAWSFPLQIGLALYLLYTQVNYAFLSGLAITIILIPVNKWISTRIATATQKMMKQKDERISCAGELLAHIRTVKMYSWDTLFTQRLNKKRALEVEHLATRKYLDAWCVYFWATTPTLFSLFTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSLPWVINGMIDSVISSRRLHNYLSTPEHCSSELTISTDILKDDFDRNTETVYDPTAVIIRNLCCSWSSTSTVEPQIILRDISLQLQKGLFIAIVGEVGSGKSSLLNSIIGEMSVISGSINSCGSIAYVPQVPWILSGSLRDNILLGKGFDTRRYEEVIQACTLDVDISTMIGGDMSHIGEKGLNLSGGQRARLALARALYHDSDVYLFDDILSAVDSQVASWILEKAIMGPQMKRKTRLLSTHNLQAIYAADMIVVMANGFAKWFGTLDSFLTTPYSRISNPDSSSTVSATSSQKEKGPSTSEFKTKDVLDNGSVVDQEEQRDQTEAESRKEGMVEIIVYKKYATLTGWSMVFLIFVSAFLMQASRNGNDLWLTYWVDSSSGTSNTRFYLTILAGFGMINSFFTLGRAFSFAYGGLCAAIQIHADLLGSLIGAPVSFFDKNPSGRILNRLSSDLYTVDDSLPFILNIFVANFFSLLGTLVVLCYSQVTFLLILVPLWLIYSKVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIQHLTLYQKTSYSELTASLWLSLRLQLLAGLIILFIAVMSVVGFHSNSPVNFGTPGLVGLALSYAAPVVSLLNSFLTTFTETEKEMISVERVVEYVGIPQEELQGSESPDRSWPTEGKIEFEHVTLRYKPDLPLALNEISFHIPSGMQVGIIGRTGAGKSSILNALFRLTPICNGRILVDGFDVAKVAVRDLRGHFAVVPQSPFLFDGSLRENLDPFGLTTDIRIWEALEKCHMKAEIESVGGLDVHVKESGGSFSVGQRQLLCLARAILKSSKVLCLDECTANVDNQTAFLLQNTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLMNDSRSRFSRFAKASTM >SECCE4Rv1G0251100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:599055611:599057088:-1 gene:SECCE4Rv1G0251100 transcript:SECCE4Rv1G0251100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDSDFHMAKGEGETSYVKNSTHQKKALIRTKPVLEKAVIEVCMDLLHSTMTVVDLGCSSGDNTLIFVSNVIEAICCNHEKLRGNLVELQVFLNDLPGNDFNRVFQSLEQFKESITVTHKLEVLPPFYIAGLPGSFYTKLFPRRSVHLFHSSYCLHWLSELPGGFAAEREMYLNKGNIYITRTTPPSVVKLYQEHFEKDMLLFLKLRYEELVLGGQMVLTFLGRKTEDVYNGDMNQLYGLIAQSLEYLVEEGLLEKGKLNSFNLPFYGPSLTEAKMVLKQSGLFDINHVELFESNWDPHDNSESNEVHDPLRSGMNVSKSLRAVMEPLFTSHFGESVLDILFDKFAYNVAEHLAREKTKYSIIVLSLKRK >SECCE6Rv1G0430820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:744790445:744791623:-1 gene:SECCE6Rv1G0430820 transcript:SECCE6Rv1G0430820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGMGMAMSGNRRTRSSPPATTLASTTITTCSSSSSTNLEETASPYFLPQLIPLIASRLTTLEDFFALRAACRSYRAHLPLSSSNSNLASQGPLLLVHHKTSASEALFHVPLRRILRFRLPRAPLANGRRSPTCFGRLFTPKDVVLTFFHSFGCRVAIINISPTSRPEFRICNLLTGERARLPHPPIPVGGVLFSGDLVLAFTPWQRNICYCRIGDAQWQAAWCDEGYRLYSLIFVKGTLYALIYPNYRLAVVELGNDSVVLSFLGDELSAQTVPESPVALLAECHGEILLIVKTGLYHVFQWQSGERKWASTDSLGGCSLFFNRHEFVGCLGPDHPGVQRDCLYVTGNFGNWKEYSLVDRSLHEIVVDYPGRVVRQDCVPLAWVLPSIC >SECCE1Rv1G0044160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:600403916:600405672:1 gene:SECCE1Rv1G0044160 transcript:SECCE1Rv1G0044160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDVKQRQIVEDRARNISHNVRCTECGSQSIEDSQADVAVLLRRLIRDEIKSGKSDKEIYRKLEDDFGETVLYAPKFDIQTAAIWLSPVIVGGVAAGVWAYQKHRQRTNVHIMALNLVRGVPLSPREKETMIDILTPPAPTRRWWWPVK >SECCE7Rv1G0477920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:229925118:229926728:1 gene:SECCE7Rv1G0477920 transcript:SECCE7Rv1G0477920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTALSMDTATAHHPHQGPSTFLSMDTASHDDFDLFLPPPGPFQRCLHAAAAAPPDINLPLAADASPPPPAMQTTTLHESNVDMLDVGLGCPQLYDSDSPAAAAATSAPVSTTTTVHVSHTKSSGSSAARKCVKRNDSIWGAWFFFTHYFKPVMSADKGSKTKAPTAGGNGNSATLDAFLVQHDMENMYMWVFKDRPENALGKMQLRSFMNGHSRLGEPQFPFSADKGFVRSHRMQRKHYRGLSNPQCLHGIEIVRAPNLVGVPEADMKRWFELTGRDANFSVPTEADDFESWRNLPTTEFELERPATAAPAKGTSHGHHKKVLNGSGLNLSTHASKHGSGDGLDISGSCHKRRKDSSPSAMEEDCSNSNSDKVQDMDVSHTFEPSWTNDFTGVMRHASGPVTAAKTIYEDSKGYLIIISLPFADIQKVKVTWKNTLTNGVVKISCTSVGRMPFLKRHDRTFKLTDPSPEHCPPGEFIREVPLPTRIPEDATLEAYCDESGTGLEIIVPKHRAGPEEHEVRVSLRPPSSWCQ >SECCE5Rv1G0342160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:630945247:630946536:1 gene:SECCE5Rv1G0342160 transcript:SECCE5Rv1G0342160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAHSSSSPPMHIVIFPWLAFGHMIPLLELAERLVARGHRVSFVSTPRNLSRLRPVVGVHFVALPLPRVDGLPEGAEATSDLPPSPGNLAELLLKAADGLVGPFSAFLDEGKKPDWLVLDNLHYLAAAAAADRGVPSVMFLPFAAASTALWGVPRVSTAVDPELGATVPQRFVLTYQCCKIVAQRCCVEFDPEAVPLLPGVLGKPFAPMGLLPPPPLRANSNNEGDELVSWLHRHPAKSVVYVALGTEAPLTTELVHELAIGLELAGTPFLWALRKVGDQLDVLPPGFEERTKGRGLVAMGMVPQTRVLAHGSVGAFLTHSGPGSAIEGIQYGHPLVMLPFFGDQQTGAQFMERKKVGLLVPRNGEDGRSFDRQGVASTVRAVVVDEQAGRVFAANAKKWQQVVQDTACHERYIDDFVQQLRFYKDQ >SECCEUnv1G0561130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:351982748:351984370:-1 gene:SECCEUnv1G0561130 transcript:SECCEUnv1G0561130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGEDTQEVVAGRGGGHVLLLPYPSQGHVHPMLQFAKRLAYHGLRPTLAVSRYILATCKPDAAAAGAVRLAAVSDGCDAGGFGECNDVTAYLALLEAAGSETLGELLDAEAAEGRPVRAVVYDAFLPWARGVAQRHGAAAVAFFTQPCAVNVIYGHVWCERVGVPVEAGSTVVGLPGLPALEPEGLPWFLKVGPGPYPGYFEMVMSQFKGLELADDVLVNSFYELEPEEAEYMASAWRAKTIGPTVPASYVHDDRLPSDTKYGFHLFELTAAPCVSWLSTHPARSVVFASFGSLSNLDTAEMREVAHGLLDAGRPFLWAVRESESHKLPAGYGDAVAARGGKVVSWCPQLEVLAHPAVGCFLTHCGWNSTSEALVAGVPMVALPQWTDQPMNAKYVEAVWRAGVRVRPAAADGLARRAEVAGGIEAVMAGERSGEYRRNAAAWAEKARAASREGGSSDRNIAEFVAKYGSSSK >SECCE7Rv1G0492020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:542702214:542706014:1 gene:SECCE7Rv1G0492020 transcript:SECCE7Rv1G0492020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGMMIPGRNMIGRSNGAGLAYASSSSSALSLGQNLMDGMHHHQLPAMLQHQMVDHHLLLPQHQHHHPHQQAATSESDARGPHGGGNNNSSNNNEELEMSKSGTGSDNNLDGEGGGGGEDDEQEDPAGQHPRKKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRSLSLEPLQVKFWFQNKRTQIKTQHERQENTALRTENEKLRAENMRYKEALANASCPNCGGPAAIGEMSFDEHHLRVENARLRDEIDRISAIAAKYVGGKPGAGVAVASAAYPPLPPQSSGRSALDHLGMPGMFGGAEFDKPMVIELAVAAMEELVRMAQLGEPLWVPSLDGEALGEEEYARAFPRGALGPKSPELRSEASRETDVVIMNHVSLVEMLMDVRQWSALFSSIVSRAATLDVLSTGVAGNHDGALQLMSAEFQMPSPLVPTRDTQFLRYCKQHPGGAWAVVDVSLDGLRSAARGGGGHFRRRASGCLIQEMPNGYSKVTWVEHVEAGDDAMVHDLYRPLVNSGLAFGARRWTSTLKRQCERLASAMATVPSSGGDVITTAEGRRSMLKLAERMTASFCGGVTASTTHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIILNAATSFWLPVPPSRVFGFLRDDSTRSEWDILSNGGVVQEMAHIANGSHHGNAVSLLRVNNANSNQSNMLILQECCTDATGSYVVYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAGAPDAGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVDRIKAAVVVSPGDNAGAAAAR >SECCE4Rv1G0223970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:75718028:75722467:-1 gene:SECCE4Rv1G0223970 transcript:SECCE4Rv1G0223970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMKLGSKPDVFQTEGSNIRFVATELATDIVISIGDVKFYLHKFPLLSKSSRLQRLVASSNEESNDEVNISDIPGGASAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSIFRTWKDSIIVLQSAKSLLPWSENLKVINHCIDSIASKASIDPSEVEWSYTYNRKKHQSENGVDSHWNGVRMQQKVPKDWWVEDLSELEMDLYKRVLLTIKAKGRTPAVVIGEALRAYAFRRLFGSLEDAVSNGIDCTKRRAVLESIVFLLPTEKGSVSCGFLLKLLNAACLLESGESYRDNLVKRIGTQLDGASVADLLIPATGAENGVYNVDLIMAIVEQFMSHHSDNGKMTFQDDDEIVEVEKFASVSSTSKVAVAKLIDEYLGEIAKDPNLPVLKFIALAEMVSALSRQMHDGLYHAIDMYLKEHPSLSKSEKKRLCGLMDCKKLSQEACMHAVQNERLPLRVIVQVLFFEQARASAGSARSDSGADLSSAVHSLLPRENGNSYGSSRSAATTTTEEEGSGVPTSSDINSFRSMRLANNCGGSERSSASSDINKNGEDKSATTSKAKVMLMPKMLSKLWSGKTHVGENSSSDTSESPGSANPEEVKSTPSRNTRNSTS >SECCE4Rv1G0267280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735460438:735460956:-1 gene:SECCE4Rv1G0267280 transcript:SECCE4Rv1G0267280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPPAYHDQKLAAAKRCAREAALAGAKAAAIAAVAAAVPTLVSVRMLPWAKAHINPTGQALIISTVAGMAYFIVADKTIVSTARKHSFENAPEHLKNTSFK >SECCE1Rv1G0016600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:139883263:139897633:1 gene:SECCE1Rv1G0016600 transcript:SECCE1Rv1G0016600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEDFLPEGGKLPELKLDARQAQGFISFFKKLPKDPRAIRFFDRRDYYTAHGENATFIAKAYYHTMTALRQLGGNSDGISSVSVSRAMFETIARNLLLDRTDHTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQYSPVTVALFPVFREGQLYVALSFVDMTNRKLGLAEFPEDSRFTNVESALVALGCKECLLPADFDKSIDLQPLQDAISNCSILLTERKKAEFKSRDLVQDLGRIIRGSIEPVRDLLSQFDYALGALGALVSYAELLADDTNYGNYTIEKFNLDRYMRLDSAAVRALNIVEGKTDVNKNFSLFGLMNRTCTAGMGKRLLNKWLKQPLLDVNEINNRLDMVQAFAEDPELRQGLRQQLRRMSDIDRLTHALRKKSANLQPVVKLYQSCSRIPYIKGVLQQYNGQFSTSVVTRFLSSLEEWLTENRYGRFAKLVETAIDLGQVDNGEYRISPLYASDLAVLKDELSEVENHINNLHMHTATDLDLSVDKQLKLEKGPFGHVFRISKKDEQKVRKKLTTNYIIIETRKDGVKFTSAKLKKLGDQYQSLLSDYTSCQKKIVDNVVQVSCTFSEVFENFAAIISELDVLQSFADLAVSCPVPYVRPDITTSEEGDIILQGSRHPCVEAQDGVNFIPNDCTLARGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFVPCDQASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASEKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALANKNGDQHQHVSDLGIANYHVGAHIDPSNRKLTMLYKVEPGSCDQSFGIHVAEFANFPEAVIALAKSKAEELEDFTSAPNLSGEPSDEVGSKRKRVFSPDDVTRGAARARLLLEDFAALPLDEVDGSKATEMVAKLKSDFEKDAASNPWLQQFF >SECCEUnv1G0554000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:281174328:281174600:-1 gene:SECCEUnv1G0554000 transcript:SECCEUnv1G0554000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGRKIFGFMVKEEKEENRGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRRRLLAYLAKKNRVRYKKLIGQLNIREQ >SECCE7Rv1G0466000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:75694891:75699695:1 gene:SECCE7Rv1G0466000 transcript:SECCE7Rv1G0466000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPGSSYDCSFKVLLIGDSAVGKSSLLVSFVSAAPTDDDISPTIGVDFKIKFLTVGDKKLKLTIWDTAGQERFRTITSSYYRGAHGIILVYDVTKRQSFTNLSDVWAKEIELHSTNKECVKMLVGNKVDKDEDRMVTTEEGLAFAQQCGCLFLESSAKTRENVEKCFEELALKILEVPSLSEEGSSVVKRNSLKQKHEKSGGCCQ >SECCE5Rv1G0305930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:79055371:79056994:-1 gene:SECCE5Rv1G0305930 transcript:SECCE5Rv1G0305930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAAMAVSAPFCHGALPFPAAARGSSARSRGGRLGLAEGCCSRPIAAAVTDAAPVTGAARVGSRRCELFDLHRQIVPYVDSWGWQKSIVERRKALVGIDTDTDEDHSDTLIALQHLPVYTLGNGNDEKYLNFNIEDSPIEIHHIDRAGQVTYHGPGQLVLYPIINLRYQKKDLVWYQRSLEELIIRALQSAFSIKASRIEGLTGVWVGEQKVAAIGIMCARWIVYHGLALNITTDLTPFQHIVPCGIKGRGVGSIKQILQKASNGRELNDAELMDIAYESLIKEFTEYFQLSLELSPDLHL >SECCE2Rv1G0122680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:818003517:818009415:-1 gene:SECCE2Rv1G0122680 transcript:SECCE2Rv1G0122680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 21 [Source:Projected from Arabidopsis thaliana (AT2G33640) UniProtKB/Swiss-Prot;Acc:Q6DR03] MARRHGWQLPAHTLQVVAITVFFLLCIAFYAFLSPFLGKDLYQYIAIGIYSFLALSVLILYARCTAIDPADPGILITVNGALIYKSEANVDTQEEAGKSGLEANEEIRKHRSCLGTGCFCCAIFKKEDCRKEDEAYQQENYAEEALFCTLCNTEVRKNSKHCRSCDKCVDGFDHHCRWLNNCVGRRNYMTFLCLMAVSLAWLAVECGVGIAVFVRCFTDKAAIEEQIGEKLGYGLSRAPFAVIVALSTALAVLASVPLGELFFFHMLLIKKGITTYEYVVAMRAQSEPPGLSVNDEQQSLPSSPMSSAPTAFSGSSFARHYKGAWCTPPRIFVDQDEIIPHLGPGRLPSTVDPDSTDPVERAKQHAKRQVRINPWKLAKLDSNEAMKAAAKARASSSVLKPINSRSQYDADQCSSDNLSCRSSVMSADTNNHIDTRSGRNAPYRSPYPPSIASADDIELYPQTPSSFQSNSRTPTPIAEHHPSKHFNPIYQTSANRSPFSAKANEAAIPEANNTRRFSAPNTDRSPRSSVYWDQEAGRFMSAQANQGPSSRVTRPDLLYTGQSIFYGGPLMADSATRSFRDPGGSSQRSVVSRPHQLPVFIPADPQKDHLPKLP >SECCE7Rv1G0465910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:75376130:75383819:-1 gene:SECCE7Rv1G0465910 transcript:SECCE7Rv1G0465910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGGPAAPTPEPEPLRLAEEEVRDAELFEDAVDVSPTDSAQPVTWREGEAAPPSMSVSIPQRHEAGAADEEEEAYESPPASGASGPPGIDGEEWSPSASESREGSAPPLGQRARGAEEEEEGNWAPSGPAGSPGMEDSRSRSSSAPPSPRPSSSPASQIRSHPRHVRTSSFQRFRQQMQRAWKWGPVGVGGGAERSPREQLLRTSVNIEAMANQKRQWCQVHAKVRDQNQFKEPSSLFEHFFVVGLHSYANVAVIEDAFAKKKAWESNVACSEVVDLSKIQYHGPIPTMEPQILFKYPPGKKEDIREADLPSFCFPEGVKARLVERTPSMSDLNEVIFGQEHLCRDDLSFIFFLKVSDNAPLYGVCLHVQEIVQRAPGILGAVSPLNQTSYKPSRFLVSAPRCYCLLTRVPFFELHYEMLNSIISQERLERITQFASEIALAGPVPRSLREQLQDQSDGNFESPNGLSYNDWTEYAVPVDSISGLVSSPGLTSERDAPSYLFRSWEPNSPESISASEASDSSYAKEPEKEGRHSFQQYEDCISQNTESRCDSFGRASSTSENGHTSPDLLSMHSPISTRLERAQSMESLHSSVKGAGSDEEDDEVNVKNESGVDDGKVLGWAKAHNNEPLQIVCGYHALPLPPRGGEIVFQPLEHLQPVKYSRPGLLSLGLGDRNLDNDLNSAETNLVIVNAHLVAAEEALALSIWTMATVCRALSLESMLALFTGVLLEKQIVVICPNLGVLSAIVLSIIPMIRPFQWQSLLLPVLPKKLIDFIDAPVPFIAGIQHKPPDIKMKASSLVRINVQKDQVKASSLPQLPRYKDLVSDLSPIHARLSCESALAKKHPMYKCNEVQAKASWQFLNVLRAYLESLCSELRYHTITNVQSDNDRVSLLLKDSFIDSFPSKDRPFMKLFVETQMFSVLSDSRLAAFENEHTQGVASGGDQNMNFD >SECCE4Rv1G0246400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:543824345:543827019:-1 gene:SECCE4Rv1G0246400 transcript:SECCE4Rv1G0246400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAARKDAGGGIGAGPFGVSCLDIKSFVASLALFALIMALWQFHPYQPILAITRSSCPLIPPPSTAAASTTTTTTTTTAVSFSNSTAGRAAPTTAASATKAPTLPSRARDPNKRELRPYGSAAALFVQMGAYRGGPRTFAIVGLASKPAHVFSTPYFKCEWLPNRDPAGGPPPRPVRTKAYKMLPDWGYGRVYTTVVVNCTFPSNPNAGNRGGKLLVHAYYSTSSRRYERFVALEEAPGSYDGSRFRPPFPYEYLYCGSSLYGNISAPRMREWLAYHAHFFGPRSHFVLHDAGGVSPAVKAVLDPWVRAGRVTLQDIRAQAEYDGYYYNQFLVVNDCLHRHRHAANWTFFFDVDEYIYLPDGRSLEEVLGQLERYTQFTIEQNPMSSKLCVEDPTKGYSRQWGFEKLVFRNSITGVRRDRKYAIRARNAYSTGVHMSQNVYGRTTHKTESLIRYYHYHNSINVMEEPCREFVPKPSNGSKVMFEEVPYVYDDNMKRLAGDIKRFEEETVGAVYS >SECCE3Rv1G0200990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:859177011:859177978:1 gene:SECCE3Rv1G0200990 transcript:SECCE3Rv1G0200990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYFVVFLLGKGTYRIEQPVDSSSLGRFIEKFIFSRTDATVDTQRLLETNQGIDGDRFLVKLLPIWLSSLVFAACVSQMTTLFTKQGGAASLVVPPAALQCCISFTYIVLVPVCDSAIVPSVRRLTGHAEGITMLQRILVGMVTACITMVVAALVEAKRLRVAKDAGLLDRPDVAVPMSLCWLVPQYVLIGLAEVFTFIGLKEFFYDQVPEALRSVGLALCLSIFGVGATLAACSSWFSDNLNRAHLDYFYWILAGLGTLEVVVFLYFAKRYAYRDKPE >SECCE1Rv1G0005440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:25913306:25914673:-1 gene:SECCE1Rv1G0005440 transcript:SECCE1Rv1G0005440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKAAQLGPEEILSWLHGFRQVHLLMAIFLPFALATLYLMRHPRSVYLVDYACCRPKSNCRVSIGSSIENVRFSPYLDDGGVDFMTRMLKRSGLGDQTYLHPSFHYMPPRCCLSDGRDEAEQVIFAAIDDLFAKTGISPGAIDILVTNCSVFNPTPSLADIVMNKYKLRADIRSVHISGMGCSAGVISLEVTRNLLQAAPQGARALMVSTEGTTIINYTGKNRGMMLPYALFRMGAAAVLLSTSKSMARFRLMHIVRTLTAAHDKAYLCISMKEDDEGETGVYLSKDLVSVAGEALKANITAIGSLVLPPSEKLLFAIAFIARKVLRRKVKLYVPDFRTALQHFCIHSGGRAVIEAVQNSLGLSDRDVEASRMTLHRFGNTSSSSLWYEIAYIEAKRQMRKGDRVWMIGFGSGFKCNSAVWQCIRPASNTTVGTPWADSIHLYPLNNISEEVG >SECCE2Rv1G0075010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:81726388:81728094:1 gene:SECCE2Rv1G0075010 transcript:SECCE2Rv1G0075010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQYNKGKLIEEAPSIGSSSWVVEMEETIRDIDPEVEMARWKRHSIYQVPERIKNLHNSKAYRPELVSLGPFHHGEPDLLPMEEHKRRAVVHLVKRSGRPLRDFVGAVAEATQQLQEAYKDLGGRWRGAENRQRFVELMVTDGCFLVEAMRMDALGGKVDDDYAPNDPVFSQYGYLYMWRYIQSDMVIMENQLPLLLLHRLLVVLDHDRYQDAREITKLVLDSLCPWRRHVVETNPVGLHPLDILHQSLTHDDHQDRKGSKAYVMPSATEIYEAGINFKVSETDSLLDVHFERGVLSMPAVRVDECTEKRFLNLMAYERLHPAAGNAVTAYVIFMDNMISSAKDVALLRCKNIIESGLGSDEEVAKLLNNTLNKGGVMSPSSRLHDVQRQVNAHCMKSWNRWRANFIQTYLRNPWVFISLVATVILLVATLLQTVYTVAPFYKFMST >SECCE5Rv1G0373140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856309735:856310256:-1 gene:SECCE5Rv1G0373140 transcript:SECCE5Rv1G0373140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLTLFLALSLVLVGTSHGCGSCGNTPSVPVPTPPIAVPPPAVPVPTPPIAVPPPAVPVPTPPIAVPPPAVPVPTPPMTPGGGGSTCSINTLKLGACANVLNLLKLNLGVPASEQCCPLLSGLANLDAAVCLCTAIKANVLGIKLNVPVDLVLLLNQCGKTCPADFTCPS >SECCE5Rv1G0342290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631460601:631463835:-1 gene:SECCE5Rv1G0342290 transcript:SECCE5Rv1G0342290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTFCPDCKKHTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESNDNDPVRVGGPSNPLLTDGGLSTVIAKPNGAHGDFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNTAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSEDKKPLKDISLATGVAEGTIRNSYKDLYPYAARLIPNSYAKEDDLKNLCTP >SECCE6Rv1G0396870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:377135528:377139384:-1 gene:SECCE6Rv1G0396870 transcript:SECCE6Rv1G0396870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVLMEFAPQRLIKRSHDEMAYRGLPRGYAETVGESGSPVRVDSQDSSAPKRKCISLNSDGFGVKREIFVPSKMTSSERRYLRKRFRSELDSVRDLLKKPEFLAIMPVSRAPAYSSSAAPRPKKVQRGSHVLRGAKGRFLPTKPRPETSMVLPEATILNMCEGILKKLMTQKCSHIFNVPVDVEKLNIPDYNDIIKHPMDLGTIKKKLDSGSYTRPSDFAADVRLTFSNAITYNPRGHAVHDMAIQLNKMFESRWKTVEKKLACAATKPHVEVDRADSKRRKTPPVHCSDLSIERARPTEIMKLKMTFEEKESFGNCLASLSEEPELLPDHIIDLLQQCIDNNTDQPGDGEIEIDIHALSDGILLELKKHVDKYLQERDNQQKKSEPSENKAVNVPGLSHLSTNPCKGGEPVEEDVDICGNASPILIEKDPQIRTNKCGSPSSSSSDSGSSSSDSDSGSDTESESEKVGSPAKLAKAIKIPEQPAEQEKSDVINPVDANHTAGDVELREQDSESKAAPEGENAKPDRQVSPDKLLRAALLRSRYADVIVKARGILSQGEGGDTQEELEKLQKEEKERLLAEGNAAMEARRAEAEAESKRKRDLEREKARQALQEMERTVEINDSVHPKDLEMLGTVTTEHIVSSVDETSPEHSQDGMPSFLPGSGSMLEKLGLFMKVDEEEEEDEPCSFPSAKDVQVEID >SECCE4Rv1G0228820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:139760549:139761439:-1 gene:SECCE4Rv1G0228820 transcript:SECCE4Rv1G0228820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRRASSMRVRAAMKMPYLLAFAVVLLSACSLASSRSLPSVTTHGADAQLEGGRAARAKLAPPTANADKPPELGIREDGAIQSEKKKRHAKAPPKHHGPGKHAPPADPSPPAPDSDGGQPPEAPVPEGPHDQNPPAWPFPWPQPPPGNDQWPPFHPPPLPAWTRPGDDRPPLPPFPFHPPPLPAWPQPEPGEQWPPLPAWPQPEPGEQWPPLPAWPEPGPGQKWPPFPAWPQPEPGKQWPPFPAWPQPEPGKQWPPFPFYPPPMPSWQWPPASSFHDEEERHASRLAPPVPAHN >SECCE3Rv1G0170230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:250459478:250461887:1 gene:SECCE3Rv1G0170230 transcript:SECCE3Rv1G0170230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGLTGGIASGKSTVSGLFKSAGVPVVDADIVARNVVQKGTGGWKKIVKTFGNEILLENGEIDRTLLGQIVFSDPAKRKLLNRLLVPHISCGIFWEILKLWVKGCTVITVDIPLLFETKMDRWTNPVIVVWVDPKTQIERLMLRDGCSEEQAQNRISAQLVLDWKKSEADTVINNSGSLEDMKEQFREALKQVSAPLTWKERMRSRDGFLSIVMCTAAGVLLAQKNLL >SECCE2Rv1G0109000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:671446761:671448754:-1 gene:SECCE2Rv1G0109000 transcript:SECCE2Rv1G0109000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSLLVQCFPGLLPSKATSCVPIISERDLHLPSPAVELIPSKSAHPYKYAGEKVDVQGLDVFKGKVSVADMIAFSPSEVASSKHDGSLKYWESSITIVNIIKNEIRDGQLSFRGKRVLELGCGSGLAGIFACLKGASIVHFQDTNAETIRCRTMPNVLANLEQARDRQNRPSESPVTPSRQLLAPVVHFYAGEWDELPTILSVVHPPAQPTNLSFSEDDFMDGCSSHDGSSIVGQDPRRSRKLSGSRAWERASETDPADGGYDVILISEIPNAVNSLRKLYALITKCLRPPYGVLYVASKKNMVGSNGGARQLKSLMEEEGVLGGHFLTEISDREIWKFFFK >SECCE2Rv1G0068030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:21717984:21721016:-1 gene:SECCE2Rv1G0068030 transcript:SECCE2Rv1G0068030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFTTQLVPLFLLFLSHLATPSSAATTRLGADLLGAARAPPFQSWLRGLRRRIHQHPELAFQEHRTSELVRAELDALGIPYVWPVAQTGVVATISGGRGGSGPVVALRADMDALPLQELVDWEYKSLENGKMHACGHDAHVTMLLGAAKLLQSRKEDLKGTVKLVFQPAEEGYAGAYYILEEGVLDDVSAIFGLHVFPHLPVGVVASRPGPFLAAAARFTATITGKGGHAGNPHDAVDPVIAASSAILSLQQLVARETDPLEAAVVSVTQLRGGDAYNVIPESASFGGTFRSMTDEGLSYLMKRVKEIVEAQATVHRSAATIDFMDEKLKHYPATVNDEGMYAHSKEVAEGMLGEANVKVAPRSMGAEDFAFYAQRAAGAFFFIGVGNETTMDMVRPAHSPHFVLDEDVLPIGAAFHAAVAIEYLNRS >SECCE3Rv1G0208200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:928624201:928624983:1 gene:SECCE3Rv1G0208200 transcript:SECCE3Rv1G0208200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKGGNGRKKITIRRIEKKGARQVCFTKRRQGLFNKANELAVMCGAEVTAVAYSPGGKAFSFGHPSAGAIIDRFVAGGGRRVLSATDNNKLKKLHLQHGELHTQLKEVKMRKEYMEEAMAKERAMGDQIAVWLNPELGDIGEDETMAFAAKLMQAGVAVSEGANQVLLNLGMENVLRELRAHGVPLSGFSAGMEMQQMVSVMSPQPDFANGTGKQQVTIAMPPPEFPTDKEMPPPMGIAAKTEMVQQGLRTNMGFPY >SECCE4Rv1G0264250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:719637679:719638164:-1 gene:SECCE4Rv1G0264250 transcript:SECCE4Rv1G0264250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAGERCELCGAGADVHCRADAAFLCAPCDAEVHGASALASRHRRTRVSRSRGVVRVRCEAALEGWARWMGLEEEVARRCAAAAGRVLRAEVAVAAPRMLLRVAMAAALWWEVAAHAVHGHGGTLQRLEACANVPPGLLVAVAAAFGRARAKKRTAAVDA >SECCE4Rv1G0252160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:612745346:612752093:1 gene:SECCE4Rv1G0252160 transcript:SECCE4Rv1G0252160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADYSKNDPFERNVEQAITTVKKGTHLLKYGRRGKPKFCPFRLSNDETMLIWYSGRMEKKLNLIQVSKIIPGQRTAIFLRHPRPDKEHQSFSLIYGQRSLDLICKDKDEAEAWFVALKAIISRQNCKKLTTETKNDKPSYCPINQMHGDLPLASSCYSTDVGKKVSKGVQQCATNHDARPFANFGNIFSDVILYTGQERSRVSTGSVSSSNSSISGSADTSDGGVAGVDNNVRVSYSSAVSSCSYGSGDDFDAMGDVLLWGKGVGDSTLAYSSHLSGNLYGPRIDASLPKALESTVLLDIQNIACGSKHSVLVTKQGEMYSWGEESGGRLGHGVDTNLTHPKLISTLSGINIESVACGEFHTCAVSFCGDLYTWGDGMHNFGLLGHGNDTAHWIPKKVCGPLEGLHISSVSCGPWHTAVVTSTGQLFTFGDGVFGALGHGDRQSTNVAREVSSLRGLRTVRAACGAWHTVVIVEVVDSLNSATSCKLFTWGDGNKGQLGHADRETRLIPACVESLRKLSFCQVACGYDFTAALSTSGQVYTMGSNAFGQLGNPTIDGKLPTVVKGSISSNCVEEIACGSHHVALLTSKAGVYTWGKGANGRLGHGNNFDRNTPTLVEALKDKQVKSVTCGTDFTAVICFHRCTSGLDQSLCSGCRLQFSFRRKRHNCYNCGLVYCKACSMGKSTKASLAPNSNKPYRVCDECCTKLNTSGDAKKLQNSKPLDGNPHPLSSEATDRENTVKNLRVRLSRLLTMESFKPEGKHSRNNSRFPLHHSGNLSLGSIGHSKELTSLCIPTSTASPLSSGPISPHPTNRLTTSVLTSPDSACAYPSNKNMTEEVARLQSQVKELTRKSELLEAELDRTNNQLREARTTADEENVKCKAAKEVISSLTTQIRSITERTPEECTVNDTWTDQVSKLLGSHFCENHLNDVSRAPDSSAHLAHQSPCKGNSIVADTEWTEQVEHGVYITIFRSPAGHKYLRRVRFSKRHFTEQQAERWWAEHRPTLHQQYGILTGDSIIPS >SECCE1Rv1G0051630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:655942353:655943522:1 gene:SECCE1Rv1G0051630 transcript:SECCE1Rv1G0051630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGWSTQPPVSVPSCPTDDALLAAFLGASSFGELHSAGAGDGTVSSDAYNGGLDLPSCQSDLSLLRCQDGVALPGHGDASSNVFLDSMGFLPAIAGAHDGLHDRFAHAAGSNTAFSGYSTTTCGGGGGNVSSGESNTYGGGGHETEVASPPCAVSRRAQEISQVAPPPTRRNKMSDEHPAAATTTVEAKERWGTTNRAAAPTTSITFGHSGGRRGYEPDTEAIAQVKEMIYRAAAMRPIPSLTGAGEPPNASPEPSSSKPRRRKNVRISSDPQTVAARLRREKVSERLRALQRLVPGGSKMDTASMLDEAASYLKFLKSQVAALESLGGGGVGGDDDGRYSSLQRYTGRNFNPLSRGIGGGGGTMLAFGRDGVAGYVKSGRNMNMQL >SECCE3Rv1G0149430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:24776793:24777473:-1 gene:SECCE3Rv1G0149430 transcript:SECCE3Rv1G0149430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVDTLAGETISLKVDPSDPICLVKAKIQDKQHLTFKGEQLDEGRTLADYGVRDGSALGLRLHPLHEKMQIHVVETLTGRAMNLAVTSSDTVNNVKAKIQEWHGFPKDQQCLIFANRQLDDEGRTLADLDIRNNNTLLLVLQSRCPRGRMNIYVKTLRGKFYDLEVDSADTIYNVKEKIWAKEGIPPDLQRLIFDRKLMEDDRTLATYNIQMYEVLYFGLNLRG >SECCE4Rv1G0238700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:385723696:385728559:1 gene:SECCE4Rv1G0238700 transcript:SECCE4Rv1G0238700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRTNQAAAVAAQQQQQQMMQQALLMHQHQHQHQQQAAVAVPPMFPPHHAHPGLLAAPHIEPIVSGNLPPGFDSSTCCSVYVGNIHLQVTDSLLHEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRYAALAIVSLNGRQLFGQPIKVNWAYASTQREDTSGHFNIFVGDLCPEVTDAALFAYFSAYSTCSDARVMWDQQTGRSRGFGFVSFRNKQDAQTAINELNGKWLGNRQIRCNWATKGANAGEEKQSTDSKGMVELINCSSAAGKENANEDGPDNNPQYTTVYVGNLPHDINSNDVHRFFHLLGAGSIEEVRVTHDKGFGFVRYSTHEEAAQAIQMGNSQLIGRRQIKCSWGSKPTPPGTASAPLPPPALAPYTPGVSAGDLISYERSLALSKMAANPALMGQHAALRQAAMGMGAGASQAIYDGGFPSVNPQQQQQQQLMYY >SECCE4Rv1G0221750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:51924766:51927485:-1 gene:SECCE4Rv1G0221750 transcript:SECCE4Rv1G0221750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRF1-interacting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G28640) UniProtKB/Swiss-Prot;Acc:Q8L8A5] MQQQHLMQMNQSMMGGYASSTTVTTDLIQQYLDENKQLILAILDNQNNGKVEECARNQAKLQQNLMYLAAIADSQPPQAASLSQYPSNLMMQSGPRYMPQQSAQMMSPQSLMAARSSMMYAQQAMSPLQQQQQQQQHQAAAHGQLGMSSGSTTGFNLLHGEASMGGGGATGNSMMNASVFSDYGRGGSGAKEGSTSLSADARGANSGAHSGDGEYLKGTEEEGS >SECCE5Rv1G0344990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:648968731:648970942:1 gene:SECCE5Rv1G0344990 transcript:SECCE5Rv1G0344990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGNDSNMQQLVPMAPPARVSGGELVAKAAVGDSGKQLVLVEGGGKSSGGVKLREDVEDIEVKLKRIMDNVPVRASNTSGSSAGSGSGDFHQYRQMRRREQDRITRMETDYEKRKQVAEFNLRREERLKAAEERTSKKRLKRQKKKQRKNEKRAKPSSGGEEPNSEAVPRQVEESDEDDEGSDYEGDDKFKQCK >SECCE7Rv1G0482080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:300215503:300217623:1 gene:SECCE7Rv1G0482080 transcript:SECCE7Rv1G0482080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSVRFLPSSAAPAVVAANARIAWMARAGNMEGARATFEAMPLRTTASYNTLIAGYFRNHLPEAALGLFRRMPSRDLGSYNALISGFSLRRHTLPDAAAALASIPLPPSVVSFTSLLRGYVRHGFLADAIRLFHQMPERNHVSYTVMLGGFIDAGRLDEARKLFDEMPDKDVVARTAMLSGYCQAGRIAEARLLFDDMPKRNVVSWTAMISGYSQNGKLNLARKLFEVMPDRNEVSWTAMLVGYIQAGHIEDAEQLFNAMPEHPVAACNAMIVGFGQRGMVDAAKAVFERMQEKDDGTWSAMIKAYEQNEFLIEALSTFRDMSCRGIRPNYPSVISILTVCSALAILNYGREVHAAMLRCSFDMDVFTVSALITMYIKCGNLDKANRVFNMFEPKDVVMWNSMITGYAQHGLGEEALGIFNDMTIAGMAPDGITYIGVLTACSYTGKVKVGREIFNSMCKDSAIRPGAEHYSCMVDLLGRAGLVDEALDLIKNMPVEADAIIWGALMGACRMHKNAEIAELAAKKLLELEPESAGPYVLLSHIYTSTGRWEDASKMRKFISSRNLNKSTGCSWIEYDKRVHLFTSGDILAHPEHAIILKMLEKLDGLLMESGYSADGSFVLHDIDEEQKLHSLRYHSERQAVAYGLLKVPEGMPIRIMKNLRVCGDCHAAMKLIAKITSREIILRDANRFHHFKDGFCSCRDYW >SECCE4Rv1G0279150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:812187373:812187678:-1 gene:SECCE4Rv1G0279150 transcript:SECCE4Rv1G0279150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMFEDFVRADNRFKVVVPRNFALVCFRIKPSGSMTEDDADEANRQLVENLNKTGKAYLAHTVVGDKFVLRFAVGSSLQEERHVRSAWDLIKKTTSSIME >SECCE6Rv1G0390410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:183071438:183073453:1 gene:SECCE6Rv1G0390410 transcript:SECCE6Rv1G0390410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MAKRGKSAEAATSTAPPPPSSSPAVKPKAKSRLEIGGYPVEGISIGGHETCVIFPTLSLAFDIGRCPQRAVSQDFLFISHAHLDHIGGLPMYVATRGLYRLRPPTIFVPKYLRDLVERLFDVHRAMDQSELKHTLIPLDVGEEYELRRGLKVKAFKTYHTIPSQGYVIYSVKQKLKQDYLGLPGSEIKRLKLSGVEITNTVTTPEVAFTGDTMSDFILDPDNADVLKAKILVMESTFLDDSVSIEHAREYGHIHLFEIANLSEKFENRAILLIHFSARYTTEEIDAGISRLPPSFRSRVYALKEGI >SECCE3Rv1G0209310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:937713431:937716146:1 gene:SECCE3Rv1G0209310 transcript:SECCE3Rv1G0209310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAAAAVGVEKEDELVGGGGGDWGYLTSEAMATAGFPSFGFPCGTRGGVTPAPTSASLLMSMGEHAALFDYNAAFPSSSSSAVAAPPAYHDFGSGGNPFNVDAPPFLLEAPPPLTAAPGGQKGGFLAPPLSAFGDGMGWDDEDELDQQSVDASSLGVSASLENAVVGAPGGGGGGGGGGNGKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRISDLHSELESAPSSAALGGPSTANSFLPSTPTLQPFPGRIKEERCPPAPFPSPSGQQATVEVRMREGQAVNIHMFCARRPGILLSTMRALDSLGLDIEQAVISCFDGFAMDVFRAEQCREGPGLLPEEIKAVLLHCAGLQNAM >SECCE1Rv1G0022760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:288787450:288789450:1 gene:SECCE1Rv1G0022760 transcript:SECCE1Rv1G0022760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKLLLLLLLAQLLVAVAGVEFAYEGFPAAGLALDGIASVTPDGLLLLTNDTDLNLAHAFHPAPLRFHRTSSFSTTFVFAIVSELADLSTSGFAFLVAPTSRDLSSAMAAQYLGLFNASDNGDARNRVFAVELDTVRNPEFADMNDNHVGVDVNSLNSSAAAMAGYYDDATGAFRNLSLASREPMQVWLDYDAAATEITVALALARSPRPRRPLLTTRIDLSTVVADTAYVGFSSGSSIVLCRHYVLGWSFSLDGAAPALDHAKLPTLPRIGPNPQSKTLAIALPIVTTAAILAAVGVGLLLLRRRLRYAELREDWEVEFGPHRFAFKDLYDATAGFKDKRLLGTGGFGSVYRGVLPGSRTEVAVKRVSHESRQGMKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNSSLDKHLHGHGHALDWAQRLRIIRGVASGLLYMHEDWEQVVIHRDIKASNVLLDGEMNSRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELVRTGKATTLSDVFAFGAFLLEVACGRRPIEEEEEEDIYGDVDRFVLADWVLGHWRNGAITRAVDAKLGTGYDAAEADTVLRLGLTCLHPSPAARPSMKQVMQYLDGSAPLPELSPTYVTVNMLAAMETHQGVLGTWAVWRSASSIATMSDIGLSGR >SECCE5Rv1G0298760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:13526703:13531716:-1 gene:SECCE5Rv1G0298760 transcript:SECCE5Rv1G0298760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNWSSSSSTAVVPAANGVERRLARHHQAVFGFLLGFFVSVVLYTAVSGLLRSTNTLVVLQSMPGKHTEQGARTAPPLVSSTPNNSTEAPVHDIENERKDELTKTMGKGGFEDKILVDGTVMNNKTGNVQVHSTDQLGQTANDASGKLEGELIRQELDQDNDGNNRSDVTRGAPRKPICDLSDPRYDICEISGDARAIGASVNCTILYVPPADERGPDGPEWAIRDQSRKGLGYIDKVNVKTLSAAQSLAAPECTSRHDVPAIVFAMNGLTSNPWHDFSDVLIPLFITTRAYDGEVQFLVTEFRPWFVDKYRLILTNLSRYDIIDFNKDTGVRCYPHIVVGLRSHGDLRIDPARAPHNYTMFDFRMYIRDVFSLPPEGQGIPYKEANKKQKPRLMLISRAGIRKFVNLPEISAAVQAAGFEVLVVEPLRDMRLEEFSREVDSCDVLMGAHGAALTNFFFLRTNAVMLQVVPWGLERESMSYFGVHAKDMMLQDIEYSITVEESTLYEKYGKDHLAVRDPEALRKEGWQLLRKYLWDEQDIRLNVTRFTPTLHQLLLTLGE >SECCE5Rv1G0354730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:726489282:726492488:1 gene:SECCE5Rv1G0354730 transcript:SECCE5Rv1G0354730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEQDVSSSLNDLPNTATLDGSPPRRYPVLRFDVPTIDGRAIVSVDSSGIARFCVEEGPVIFVNQVDGKCFIARDRRLPGEPFESVFQLIQSAGLQLLAAFAHLPPGNASSQMPALERRHLQASCDLPCDEEHVAETASAHSAQMLQPITATSSASVQADAAVPQEDTVHVSPPLLRFLSSSPIWGLRRGDWGYAFFYIRIDLKGSFHTYPPVGGPFQSLEQAYSAIDRYLEEQRHPTMFMDQPGMSQVDKVVRQCLYWPDGTRKKLIDERRDWIRQLVQALLDKYNDYNHLLGDPTYELKDVVCFESVHGPNDHTRLYHHINFTAKTKAADDDSNCGIEDLFFAELMWERGERIELVVSCFCVVKPTDNGRCDACDAKHPNDAAYTHQISPLGEYAKLDNIVYVGYDEDLQEEEERVRRHYEGRDDPEGMNRKLTTIQT >SECCE5Rv1G0356450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:739510103:739513612:1 gene:SECCE5Rv1G0356450 transcript:SECCE5Rv1G0356450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIQRASHPITKVFSERMTSLPNRPTVTDGWSVTSEDSLTPRLSFKSNSSTESYFEGSGRSLSRSNRHICAPNAETNYLEYLDMMKLEVDTHLDKLKGDVTGLENYALPDNGYIVSTHLGMSLDVMLIEIDERFNALKLLLAVVFRQARDMPGLSNPSVTDLQWEHELQLEIIGITVGECIAGLQEELERKLYEQISVTNIMSKNWQEAVSQFAAMREDLGALSKLLLPSVPESHISHSKQESSGSKSNKWKYLFGKKTKEDHAAPRTEESKSFRKQKSMVISEKSDFRHLNALTREETISYFKSEISKLKRAHEQALQEKTEELFKLKREKGSLALQNDVEFEPLRKKIPQIIARMDQIMSKNIKAPTLHTTHDELDESCRMAGKIDSLYYDNQHLRGLLADNMKDVKELSSQLSEASRDISLQLSSEEELLRQIERSREEQEDLRIEGDVRDGLYCTVTRSLLDDSMDDMHEASLSFDAKLSSLEAVISEKEKALCLSNEENRKLKEKLAALEKDRLLQEHQEDPEVIKQESAEMILRDIEVEPNNTSPGRSHETPKHALQYDELLKLNGSVMDYDGALTKNGQEKQLECILVSIMKLSKEFVEIEQKLSTEKTGNRSEDLTDHCSHMVKQAVVLTKVGLWYKQMLETRRSELQKAEAKVVILGDKVNTHLTLLEKIYVTLDNYSPTLQKYPGLLDAFLKTCKLVAGLRSRQDEDETA >SECCE3Rv1G0212580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:955967016:955972258:1 gene:SECCE3Rv1G0212580 transcript:SECCE3Rv1G0212580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRNQPPTARTNLPAGGATMIAVLFLLACLAAAVQPTSAAEAGSDGILHIPSAADLARARCPSRCGGVAIHYPFGIGPGCFRQGFELTCTSTGGHKRLFLGNSTTEVLDLDPEVSTIHTSAIHFNVTMKPGMNDTYNMSWETPVKCVMPFEETHLFVVGCGVGVYLFGHDTNKPIGSCTSICLDNKEAMKEANANQQYDGDVGVGVGYCSIRLQHDVRAFGFIVGRLNGGFSALSSQGQGPSNSSIKVFLAEYYTFHTTDIYSSKIDEQNVGGAYFRRAITDQPNCKNAQKNMSSYACSTDSICLDLPSAGGYSCGCRTDTTGSNPYLMGGCQVQYNPNHKGGCTKFCGNTSMHFPFGLEEGCYAAEKFRLNCTSENITILDRGGNNIYEGAKLIVDNISVNEGYLTVRETKSNSRYGNEELAVMGYRSNGDQEVEDISSDGFHLSEEYGMKLLWYVDNLTCSKAMSKQKSATYACRSSNSTCMIVNQPGYKNATIQLGYRCRCSQGFDGNPYILNGCQDVNECLLMNICNGPCLNYPGGYNCSECAHGKEFDPIELKCVMSTKRHNLLLGTAIGISCGLGAIILVVGATVLINKWKRDIQKRIRRTYFKKNQGLLLEQLILDERATDKTKIFSLEELDKATNNFDVSRILGRGGHGTVYKGILSDQRVVAIKKSKLVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYDLLHIDVSVKCLLLWDDRIRIATEAAGALSYLHSAAAIPIFHRDVKSSNILLDGNFTTKVSDFGASRSLSLDQTHVVTIVQGTFGYLDPEYYHTGELSEKSDVYSFGIILVELLVRKKPIFINEQGMKQSLAHYFVEGLQQGVLMEIMDPQVVEEANQNEIDDIASVAEACLKTKGRERPTMKEVEMKLQLLKTRRLRSQLPPISDGEIESFGCLNGASSHAQSNSIASNVGLTPTCSSGKYRLEQEFLNSASFPR >SECCE5Rv1G0353530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718077667:718079235:1 gene:SECCE5Rv1G0353530 transcript:SECCE5Rv1G0353530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVMVLPFPAQGHVTPLMELSHRLVDHGFEVTFVNTHVDHALVLAAMAPDGGADALRGIHLASIPDGLADDEDRKDLNKLIDAYSRHMPGYLERLVGEMEAAGRPRVKWLVGDVNMGWSFEVAKRLGIRVASFWPASAACLAIMLKIPQLIEDGVLNDKGWPERQEMLQLAPGMPPLHTSLMSWNNAGAPEGQHIIFQLVCRNNKLNDLAEMTVCNSFHDAEAGAFKLFPNILPIGPLFADGEFQKPVGNFLPEDARCLEWLDARPHGSVVYVAFGSMAIFDPRQFQELAEGLELTGRPFLWVVRPDFTPGLSKAWLAEFTQRVAGTGMIVSWCSQQQVLAHRALACFVSHCGWNSTMEAARNGVPVLCWPYFCEQFLDRSYVTDVWRTGLAVSPGEDGIVTKEEVRSKVEGVIGDARIRKRASSLKDAAFQCIAEGGSSHKNFKKFIDLLSQ >SECCE4Rv1G0262830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:711203919:711205585:1 gene:SECCE4Rv1G0262830 transcript:SECCE4Rv1G0262830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFEDGQHVRLRSRERGTYLHADDDGLGVSLSRRRASMNAAWAVHIYQGDRGPQYLLLHSAAYGRYLGATDAPAPRGHSGRRVEQCDYEPWEEMAIRWQAVRIGSGDDILLRQFAGRLRANGRYLSVDAFNSAGTMMHWVVEQIPAREDTPRLAAPTGLRLPRSLSFLLPWRVIQYQQAGADEPNANFAWPSFLFRGRSAFHLRNKLSRRLDAAMDASNLVMCVQAGTLGRPTPLLVDLPHSDETLDIIVVMAGTPAHADLRYPNVNAE >SECCE6Rv1G0440520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:804744622:804746614:-1 gene:SECCE6Rv1G0440520 transcript:SECCE6Rv1G0440520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKLFCRYFIIIDDIWELGTWETLKCAFVKNTLCSRIIITTRIVDVAKSCSPSSEDLVYDMKPLSEADSKKLFFKRIFGCEESCPDSLKEAANDILKKCRGLPLAINAISSLLATTRETKEEWDRVRHSIRSSKVKSDIIETMNYILSLSYFDLPHHLRSCLLYLALFPEDELIGRKRLVHRWISEGFIHGESGQDLMELGEEYFHQLVNRSLIQPGNIGYDGKAEYCRVHDTILDFLIDKSSEENMCTVLKKQCKPNGIVRRLSLTGNEDEEIVEQLDLSHARSITAFRDIKLLPSLGRSKCLRVLDLQHCHQLKNHHIKDIERLYQLRYLDISFTGITELPRQIGELLYLETLVTSYGLRELPESTSRLQRLARLFHVEELGKLTNLRKLRIRLDTDGIEGNKLQQSKEKLVSSLCKLDECGLRSLSIDYDLTEKDGEEPFLPALGCIQEVCVYGQDISRISRWLASLPNLHMLVFHNPKIEQQDIEMIGLIPNLINLSLSLRQTDDAGRLIIGREGFQQLQSFEVYNTRMGVLMFEPGAMPRLKELKLHGFMEKPKPAAGDFDFGIQRLSSLARLTVSLSCVRSTVAEVEAAEDAFKSMAEANPNRPILEMTRLIAHRMLRDEQIDLAGSGTTNKFVVLSW >SECCE6Rv1G0392180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:253350168:253350542:1 gene:SECCE6Rv1G0392180 transcript:SECCE6Rv1G0392180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDASVPEGEEPKSAVEIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAAHVRELEQKLERSELQAKVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE1Rv1G0034470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:483113459:483115596:1 gene:SECCE1Rv1G0034470 transcript:SECCE1Rv1G0034470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFLTRSKTLALALSRADAAPAPLAGSRALYSFPRHPAAAAPSPAIGSPPGLGKVLGHEPTSHLSGTQFLPRWFSTVASNGSPMQNTQVSETCKSVARTGQSDALNATEEAFPKVMAFSPLEAAAKPRSSPLTSESSKVRRSEILTGATFYMIPTLLLSSRNSISTSIMVAAVYHQIYMFHKEIFLDYVHHDITRKWALIYFKLLLLVMAKDTIVYFGLV >SECCE2Rv1G0122920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:819868256:819868546:1 gene:SECCE2Rv1G0122920 transcript:SECCE2Rv1G0122920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACAFFFDAEPAGEPGKHALDACALCAKRLARDSDVFMYRGDTPFCSEECRHEQMHLDTVSARQSARRLQRFSAETESNRGQRQSRKVSIAS >SECCE1Rv1G0015810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:129813117:129816792:1 gene:SECCE1Rv1G0015810 transcript:SECCE1Rv1G0015810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCLQHIVLYVLLLANVITGGSSSTEQGNSSMPWPSIIRPVISHTSENLASDQNSVISYITSHVWTPRGGADNYYGIEATLDVYGFNLQPGQLSAAGIWIMTRGDGQPSSASGFQVGWSIFPRFYKDSHTHFYTSWTSGGSPAKGCSDMICPGFRKTSSSIAPGSIINPVSDLRGRKSYITIRVFKDKSSGDWHVHYGLNGGLKPVGYFPKSLIPGLIDRKVEISFGGSVSHQKPQPSPPMGSGYAPASGNAASFNSIKLIDANGNDHLVNTNLPFRVDPKRCYPISYIDSARFFYGGSGCAD >SECCE2Rv1G0099020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:506054505:506063802:1 gene:SECCE2Rv1G0099020 transcript:SECCE2Rv1G0099020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENSSLFLIFILTMIALPLVPYTITRLCHAATKKAKTIHCRCSGCHRSGKYRKSIYKKISNFSTWSNLTILLLWIVVIFLVYYIKLSSREVQVFEPYSILGLEPGASESEIKKSYRRLSIQYHPDKNPDPEAHTYFVESISKAYQALTDPISRENYEKYGHPDGRQGFQMGIALPKFLLNMDGASGGIMLLGIVGLCILFPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIRTEQAKFWKQHPSLVKMELLIQAHLTRESFALTPALVKDYRHMLELAPRLLEELVKIALLPRSPNGFGWLRPAVGVVELSQSIIQAVPLSARKAGGGNSEGIAPFLQLPHFTEATVKKIARKKIRAFQELCDMSEVERATLLTQVAGLSEEEARDVELVLEMIPTVEVDIKCETEGEEGIQEGDVVTMYAWVSLQRRSGLTAALPHAPFYPFHREENFWLLLADTASNEVWLSQKISFMDEATAITAASKAIQDTQEALGASPKEIGIAVREAVDRVKKGSRLVMGKFQAPAEGNHNLTSFCLCDAWIGCDSKTSFKLKVLKRSRTGTRTYVPEEGPVAEDGIEEEEEEEEEEYDDYESEYSEDEEEEKKKGKGKVANGAAHQRASSDIDSGSDD >SECCE6Rv1G0415790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:644527433:644527780:-1 gene:SECCE6Rv1G0415790 transcript:SECCE6Rv1G0415790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTSPTHLLQHCSGSDELGRSMRVYPAATGKVPFGWEDEPGKPKSPPREGALPPLCPSPAMQSARLTDDKDRRRRRSLKRRADQGGFEGCLPVKFQLGRAMRRWDIVCYFRGE >SECCE7Rv1G0508480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:772576367:772578772:-1 gene:SECCE7Rv1G0508480 transcript:SECCE7Rv1G0508480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLVDIALLFLLYMSACSAATMDTILAGQALTVNDKLVSGNGRYALGFFNTSSKSSGATTNWYLGIWFNTVPKFTSAWVANRDNPIRNITSSELTISQDGNLVILNRSTKSIIWSTQANIKRNSTTAMLLSSGNLVLTDSSNPSEFLWQSFDHPTDTFFPDAKLGWDKLTGLNRRIVSWKNSIDPATGGYRYELDHSGVSQLFVGPLSRSAPYWYSGPWNGKYFESVPEMAENIIWRSKFDIGHEKYYTYSLVQAKRITRHVIDVSGQVKVFIWNEGSQDWQKVFAQPRSPCDVYSVCGPFTICNDDTVVNCNCMKGFAITSPEDWEVQDRTSGCLRNTLLDCISNKNTTHTTDNFYSVPCVGLPQNAAKVEAAKSANECAQFCLNNCSCTAYSFNNSGCSIWHNELLNVRQLVQCSGSTNSNGETLYLRLSAKDMQSLENNRKRIVIEVATGIAACVLGLFGLSLLVIIWRNKRKSSGRMLNDGCNGIIAFRYIDLHRATKQFTEKLGRGSFGSVFKGLVNDSVSIAVKRLDGAYQGEKQFRAEVSSIGAVQHINLVKLVGFCCEGSRRLLVYEYMPNLSLDVHIFQNNSTMLSWTARYQIALGVARGLAYLHESCRDYIIHCDIKPENILLDASFLPKIADFGMAKLLGRDHSRVLTTVRGTAGYLAPEWITGVPITPKVDVYSYGMVLLEIISGRRNSCGPCTSDGNLDVYFPVHATHKLLEGDVESLVDQKLDGNVNLDEAELVCKVACWCIQDEEVGRPTMGEVVQILEGLVDIRMPPIPRLLQAIGGSSHSTRA >SECCE2Rv1G0087490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:226819743:226823616:-1 gene:SECCE2Rv1G0087490 transcript:SECCE2Rv1G0087490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARFPLLLLLVFSAQLLITSAAAAASPHGLTQVDVAKRLKEELWETNPEHEMLKSWNGGDPCSPSAWEGFSCRLLDGNQVVAKLNFSSKELQGPIPAVIGNLTQLTEIDLQGNNFTGSIPESFSALIHLVKLSVKCNPFLSNQLPDGLPTNLDFSDGGCAAQEYHSPPGAANQRTFVVSGLAGGSLACTFALGLFFTCFNKRERRSQKSDCASTTNPVFEERSIHITTNPAVQQLSYKSIQSATNHFKKLIGGGGFGAVYQGTLAHGQQVAVKVRSPASTQGTREFNNELRLLSTVWHDNLVPLIGYCCEKDQQILVYPLMSNGSLQDRLYGEASKRKVLDWPTRISVCIGAARGLVYLHNFAGRCIIHRDVKSSNILLDQSMCGKVADFGFSKYAPQEGDSVASMEVRGTAGYLDPEYYSTQVLSTKSDVFSFGVVLLEIVTGREPLDVQRPRSEWSLVEWAKPYIRDFRIEEIVDPGIKGQYCSEAMWRVLEVATACTESFSTFRPSMEDIARELEDALIIENNASEYMRSMESTGTFGSNRYLSIDRKMFASGSARFDPAKFASGSGRIDAGKGPLQAMPSLPR >SECCE5Rv1G0312820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:247421898:247424382:-1 gene:SECCE5Rv1G0312820 transcript:SECCE5Rv1G0312820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERRSVVYDAEGGDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLLGFSCVTYYTSTLLANCYRYPDPVSGTVNREYIDAVRCYLGRKNVLLCGCAQYVNLWGTLVGYTITASTSMVAVKRVNCFHRSGFSTTGCNSSGSTYMVLFGLMQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWASHGDVRGTLAGAAVDAPRDKAFNVLLALGNIAFSYTFADVLIEIQDTLKSPPAENKTMKRASLYGLSMTTVFYLLLGCTGYAAFGNDAPGNILTGLAFYEPYWLVDVANVCVIVHLAGAYQVFAQPIFARLESYVACRWPDAKIINATYYVRVPGRPSSSLPVAPLKLVLRTVIIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHIARLKIRRGERRWCWLQAMSFVCLLISLAASIGSVQDIVHNLKTAAPFKNVD >SECCE6Rv1G0386720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:99897923:99899179:1 gene:SECCE6Rv1G0386720 transcript:SECCE6Rv1G0386720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSITDEDDLVGGAIGGPRGAPVKEIAFAAALLAFGALGVVAGLFMAANQVGGDTAHGIFFMVLGIVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >SECCE5Rv1G0333690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:560677327:560677740:1 gene:SECCE5Rv1G0333690 transcript:SECCE5Rv1G0333690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVQSFVEDEGAFNSSVDGRFAALDTNHDGLLSYSEMAQELMSLRVLEKHFGVDESAMSHAELVELYRGLFARFDRDGNGTVDLEEFRAEMKELMLAVANGLGFLPMQMVVEEGSFLKVAVDRELAKAG >SECCE6Rv1G0447610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:851114678:851116870:-1 gene:SECCE6Rv1G0447610 transcript:SECCE6Rv1G0447610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGLLPMKHQSPGSQPSFATMDIDIGTPSSSPRGRSSCAGFNLRCVLSVIAGGIFTVILLAASEAALPSASLFLQRYSHATQRPSPPRFAYLVSGSAGDAGRLRRCLLALYHPRNRYILHLDAEAPDSERAELAAFVAAHPVVAAAGNVRVVEKANLVTYRGITMVTTTLHAAAAFLHGPGAADWDWFINLSASDYPLVTQDDLMDVFSRLPRDLNFIEHTSDMGWKAHARAMPLVVDPGLYLKTKRDLMWMNTETEKRELPTAFTLFTGSAWTVLSRPFVEYLIGGWDNLPRNLLLYYGNFVSSPEGYFQTVACNADEFRNTTVNHDMHYISWGEPLGQHPELINSTHWDRMLGSNAPFARKFGRDPYDPVLARIDVELLSRQPDMLIPGGWCPDNVGRSGGGDPCSAIGDMSRLHPGPRAIQLQRLVESLLLEDNFRPKQCKIAEHND >SECCE6Rv1G0432160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749994885:749995619:1 gene:SECCE6Rv1G0432160 transcript:SECCE6Rv1G0432160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCASMPAAAEVPAAARLVYWGGRTRALPVTEDEEGEGNGCVSCTAADVTAELLPADHVVCPADSFFVGLPVPVASPGERLLPGRTYFVLPRRLLSSPSPGGNGSGKAAVLTAATLASLSAAPGGRKTVQLAGPGQCPFEYVKGGEEGATALIRVLPQFIEKVITCDGGNGDGDAAGRRAAGKVAASGKELCSTPELKRHYAQLVGAKGRPWSPRLETISERSKRRIFPSPARLLLSSQ >SECCE5Rv1G0332620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:550423756:550424985:1 gene:SECCE5Rv1G0332620 transcript:SECCE5Rv1G0332620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPPPPPPIDAPLRLPPLPADTILEILSRVGDATALVRCAATCKAWRRLILEPSFLSRGRAGRSDPSPLLGFFFRDTSQKLSRRRHYLRRPTRFLPLGPSQSQATALPLSHFLPKPDAAGLSGFAPVGSGAGGLLALRRSPASSWDPVRICVCDPVAGTSTFLPPLPPTTFPENIVFLDADGSSFRLLVVMDRSMGIRLRVFSSQTGQWGTDVTAQLPGNMVVHLCSPAVVHRGAVHWICGTHALPNAVHALAVRPGQAEVSACRFDLPLRAGIHRLNHATEAVRLSSSAQGCLSLVLLDEPVISIWNFKDNGADGRSWELHKTVHLTSVLPSTIFDPSAEWRLSVVASCDQSGSLFLRAVGVGLFVLNLETEMMWKVCNDQCAKFVCPYVANLSSCLGAMKNF >SECCE7Rv1G0477600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:222696844:222698279:1 gene:SECCE7Rv1G0477600 transcript:SECCE7Rv1G0477600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDERCLKVQDPKFDCLLFDLDDTLYPLSSGISSHVKTNIEAYMVEKLGIEESKIENLGNLLYKNYGTTMAGLRAIGYNFDYDEYHSFVHGRLPYENIKPDPVLKQILKNMRMRKLIFTNGDMIHAVRALKRLGLEDCFEGIICFETLNPPCLLTPCDQAPEIFDIAGHFAALGSADDLPRTPVLCKPNVGAMEAALRIANVNPYKAIFFDDSVRNIQAGKRIGLHTVLVGTSHRVKGADHALESIHNIREALPELWEEAEKTEDVLYSDRVAIETSVTA >SECCE3Rv1G0195960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:804275330:804276616:1 gene:SECCE3Rv1G0195960 transcript:SECCE3Rv1G0195960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRGRWWWWGGRRRSMLMVVLALCIALAVVALCCCARSGACSASGCSGRSTVAVLRSDFGRRTAASWGNQERSRASGSGSGRRRRLLVVAGPGSYPPRCTSKCGSCNPCYPVHVAVPPGVPVTTEYYPEAWRCRCGNRLYMP >SECCE4Rv1G0227640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:125276268:125281978:-1 gene:SECCE4Rv1G0227640 transcript:SECCE4Rv1G0227640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MELCTASVSISPRRPSISTSSRPVLYTRYAGSKSCISKRTRRPSLYVLNAASTNAPLSSRTLPAQTNGAAAKGMPNKKPNSALEQLDIERGVCMPFRKYSPEMVRSKVLGSSGSVLSLASRGLEIIWKLGFYWSSLVYDYLVGRDEEIVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMSELCILQDDVPPFANQVAFSIIEEELGQSLEQLFSKISSETIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIFRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFIVNFKNDPTVKIPLVYNKFSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNVFAMRDGRIAYVDFGNVAVLSQRNKEILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIIPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPEFKFLEVAYPYIANRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVSKMSTNPALKSNSLQTVSSQKLEKKLDLTDTIKDGARLFLIDSGIRRQLVMAFTEDSRLHVDELVDVYKLVEDQIDIPSVALEVLQDLPSVARDFMLSWSDSVLSDR >SECCE2Rv1G0067420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18828102:18829259:1 gene:SECCE2Rv1G0067420 transcript:SECCE2Rv1G0067420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPGTWPAITTAILLAWAAAASGRRVDVGDMLMMDRFLRWEATHNRSYPSAGERLRRFQVYRANVEYIDATNRRGNLTYQLGENQFTDLTREEFLAKFTSYAGDDRTDDDDMIIPTAVGSDDDDLWSSGGDNVSLDPPSVDWRAKGAVVPPKLQSSSCFSSWAFAAVATIESLHMIKTGKLVPLSEQQLVDCDQYNGGCNRGSFRMAFHWVHHNGGLTTEAEYPYTAAQGTCDTAKSDHHVAAITGHGLVPGSNELAMKHAVAKQPVAAAIELGSDMQFYKSGVYSGPCGTRLQHAVTVVGYDADESTGEKFWIVKNSWGQTWGERGYIRMLRKILGPGLCGIMLDVAYPTM >SECCE7Rv1G0515790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:841234968:841236712:-1 gene:SECCE7Rv1G0515790 transcript:SECCE7Rv1G0515790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVEIRRIANDVSRRATFGKRSAGLLKKARELAVLCDADLGVLVFDGTGRQLDYCSPHTSWTELIQRYDSITNDQLQGNQGTNHHEHQQLLADIARLRRERDHLEVIVQRQTGEDLPSGATAAELRDLEQKLECALGKVRETKDKLMEEQLEESHHRVHILEDQSSFLGHMMSEEGRQRAAVEASAVVAELMAPVQPATLFGGFFPEVEEEEEAATSLRLWS >SECCEUnv1G0564740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:386535645:386536256:1 gene:SECCEUnv1G0564740 transcript:SECCEUnv1G0564740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHRRHRAGAPKPSWLVTIKATPPAPARGDAAKKLSAPARSPILPSPSVWQKGMSVGDRGDTGVAPASPRIGCMGQVKGARRCSRARGPTSSRGPAASGLPCGSLAGLLMGLFRRRSTGRKSRAYSKVRDVASDSSSSGSARASSSSGSAPGARAPRPLELAPPLPVPAPVVRRPAMSENAPSLWERRRGGAKVLEGLQLT >SECCE4Rv1G0290970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875063344:875064867:1 gene:SECCE4Rv1G0290970 transcript:SECCE4Rv1G0290970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAGSTGDTVAGRFSLPVDSENKAKSIKIFSFGNPHMRAFHLGWMSFFTCVVSTFAAAPLIPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGAICDLLGPRYGCAFLVMLSAPAVFCMSIIDGPAGYITIRFLIGVSLATFVSCQYWVSTMFNSKIIGTVGGLTAGWGDMGGGATQLIMPLVFDAIITCGATPFTAWRIAYFVPGLMLVVMGLLVLTMGQDLPDGNLRSLQKNGDMKKDKFSNVLRGAVTNYRTWIFVFIYGYCMGVELTTNNVIAEYYYDSFHLDLRAAGTIAACFGLANIFARPMGGYLSDLGARYFGMRARLWNIWVLQTAGGAFCLCLGRASTLPTSITCMVLYSICVEAACGAVYGVIPFVSRRSLGLISGMSGAGGNVGGGLTQFLFFTSSQYSTGKGLQYMGIMVMACTLPVALVHFPQWGSMLLPPSADTTEEDYYRAEWTEEEKNKGLHLASLKFAENSISERGRRNAILAAPATPPNSTPQHI >SECCE6Rv1G0416410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:648673164:648682258:-1 gene:SECCE6Rv1G0416410 transcript:SECCE6Rv1G0416410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAMGVMNPLIGKLTALMGDEYKKLKGVRKEVAFLRDELSAMNAALEKLEFMEKLEPDTKNWRDHVREMAYDMENCIDDFMKDIGGADANAGAGFVKRMSRRLKTLRVRHRIAGQIEDLKALAVEANERRLRYKIDDCNTTCGSVDIDPRISVIYKDAAGLVGTDGPKKEIVSLLTDTEKKLKVVSIVGFGGLGKTTLAKQVYDDLEGQFDCKAFIPVSQKPDMPRLLNSLRLKLGINESSGICEVQDIIDQLREHLANKRYFIIVDDLWDEEAWDIIGCAFPENGNGSRVIVTTRVEAVAISACSYHYEHIYKMKPLISEDSRKLFTSRVFGSENKCPSNFEEVSNEILKKCGGLPLAIITIASLLASRRERSKNDWENIRNSLGAQFAIYPTLKGMRSILNLSYMNLPLHLRTCFLYLGMYPEDYEIMRDDLVRKWVAEGFVSNSHGTNLEDVGISYFNELVNRSLIQPVMDKWGNMSYKVHDMMLDLILSKCAEDNFNSVAYTSEDMTGLSNCTYRIRRLSLISSIDRKSETLSWTVSDSTSQVRSLVWFGNRQSIPCLSEMKYIRVLSFEYSDLHGCSHLDLTAISQLFQLRYLKVSAYFYAKLPTEIRGLVHLDTLDVPNGSIPSDIEDLPRLSNLTMGMYGKIGLPERIGIMESLRTLDGFKLERSSLEALEGLGKLTNLRSVKLHKECNLLEKAKSNAFASSICKLRNLKYLQMIGNHDDKDDILGSISDPPALIEEIYHISWKMLGVPKWISNLNCLRHLGLSVRENLLGRQKLMGLLFLEDCHPSSPSILQL >SECCE3Rv1G0184640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:664780025:664783491:-1 gene:SECCE3Rv1G0184640 transcript:SECCE3Rv1G0184640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGDESRRPNPLPSALVSNLQSVLAARRPPSPEDAGSTVAEASAPTAETASDDAPAKPVVLLTCAGGIQSPGLAALVDALVKGGRCDVHVCAPESDKPVCGHSITIRETVSATSVHFAGAKAFEISGTPVDCISLALSGRLFSWSAPALVISGINTGPNCGYEMFHSSAIAAAREALMCGVPSIAISLNWKKDETKDNDFKDAAGLCLPLIHAALADIEKGTFLRGCLLNVGVPSSPAANKGFKLTKQSIYSPAQSWQAVSTSRPQPAAHFMGMHQSLGIQLAQLGKDASAAGAARRGNTQRKTVEVESVAAAGKQEAREVVKKSFRAEFIEKLHKDLDDDIDVRALENGFISVTPLNVHGHVEPELEAPASDWLSAAVAVSEEKEAPAT >SECCE2Rv1G0108040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:657375490:657375821:-1 gene:SECCE2Rv1G0108040 transcript:SECCE2Rv1G0108040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAGIVRLVALVLMLVVGSSVHQAVGVGAIRLHDRRTHGEQWAEERMQMRSYMTMDYTRVKRRTPKHN >SECCE4Rv1G0217760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18745784:18747394:1 gene:SECCE4Rv1G0217760 transcript:SECCE4Rv1G0217760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAPSRRAGPRRDGRPLIPPNYVSLRDLQELRLREKAERRRRQEEEEAAARREAEAEQEERRLRKEAEAEDAARRAQEEEEERRRRRKEEEEEAAAATRVRDAAAAEMKGSSSSRAPFRYNERPRGGPRVVVVAHRPPPPRGEGSAVNSGGAVGRKGPGGEAANGTLGGGGGGKARDDGKGKASVGLIAGPGDPAEPATGGKPEENSKGDTSGCQGTALGTSSVLPGELAQADTASYRGSVKPGYKNKGKKGLDGRSTETAMTGSLVEAVKASPLQVADSENKGKAGSNNQSAGKASADTPGEAAEDSPLQGVKSDNKWKKKNKPSGGRRAGAAPSSDWPNGKRDQLRPAAEGSRGRRNGDGQGAVWEAKSDGPGGKQPEVEGNVHSHLPTESSRSNNRRRGSGQGMTRKENFDDFGEKQPVVEMRAHPHLAADSSSYRSSSGDQGMTRKAKSEDLGDKQPVGRSSGGQGMNQEAKSERFEEKQPVVEMRAAKTYRGQRPGGCSRVNAATRQLGSVWVPKAAAVPVRTEVGNIP >SECCE3Rv1G0174580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:395181820:395242628:1 gene:SECCE3Rv1G0174580 transcript:SECCE3Rv1G0174580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQDPLPHLPLALHPPHLIPPAPTPDHRSLSFLPDFGGLPWLAYAAGSLLVVSHLPSPPRTSGSDTVEDESPFFCQVIDLHTPVSAVAWCGRGRGELAAASGNSVSVFQPAPSSGSFSWLLRWAITETFTVTAIAWTGSGDGIVVVGGGVAMWARVQSSWQLAWRSTPQVPQSFVSATHFVHAPVVAASAAAPVEGNVPVLVFVNDAKLGLETAELLHPQPVSMIQWRPLTLSVGDPSEVRREILMTCCLDGTARLWTGAEVTRSKKQPTSRRSFSVIAVIELDNILNGVLGVDISVRWAVETGSVVSRDEESKFKLFSGHSWQNQVGKCEWLVSVGPGRCTNFWAGHCLDDVSPPRYPRITLWKQSKPQAWEESAINPKSTAQPIFVEAVISRSICSGPPTRCSLLHLLPDNSFIWSRLAFGLSSDSGSHVSSDSSKSISRCSNKTINQVGHKGSIIEVSVHPYSCEIELAVSMDSSRMLFVWSLSTLSTLISTLHAPTYPLWKLLCKFDLCDISSDAQYSCLCWAPSVFHDNRFLVLGGEHGADFVVVRIPNGGVVSCHKMFTVPFFGGSNAEEPPDSIHTIPLASNCNKSFLNNSFLIVCVWRKSFQVLSWKVVLHSENHHEGRGCLCEFSANSRSTADQGRHVTYVHGEMFAAAIYEGSTVYLTDVDGEYPTCISVMSLDNTVLPLQQHVPFATSPGYHIATGYSDGTVKLWKMSGADNPLQTGRGSNSWELVGMFGAHPGPISAISLSRCGRVATVGRNVQKNSTSIHIWEAVKLMGDGCFLLEDALMIHSAVVGLDWLSLGNGRHLLAVCFRNKLNIYSCKHPSFQNVLHTENLEEKHLWSCIALAHSHHDVASFCWGPKASIVLVHNNHLSLFSSWLVRGANERITQKGVCSATDVYDKLPCTVHVNETIFGKSGLSENYSNTEAIENNSTLLPGQHNSHCSNGLWSLLDISSNLSGPLAPYHPRALIHHLYSGEWKRADGILQHLAESMKASTTLNTSLDCSSCSKSCHNIPELPLSQYFTDTPSSDISSKGLLWGDKGSSTAFNLLSPSNSFSYMTNNLGINTTTSASERSEISQLLDKNFGMFAISDTEKIQIHAVSDLLGEITDQNRASPYKTLDEAGRRFWIAVQFQRLYVVRRSGDSSSAEGCHVDSASIAWAFQSDCQDDLLDYVLPAESTWLEMQNLGMGLWYTNVSQLRTRMEKLARLQYLKSKDPKDCALLYIALNRIKVLVGLFKVSRNEKDKRLYDFLCRNFQEEKNKAAALKNAYVLLGRHQWELAIAFFLLGGDTSSAINVCAKNLQDEQLAMVICRLVEGCGGPLERNLISNVLLPDAVEKGDHWLSSLLEWMLGNYSQSVSKLFGCHPKLLFDESDTHGGQNVFADPELGQYCAILSTKNSFRNSAGEALSAKLSKLSFALATCALNRCGLPLEALECLSCKSSIVEKDGTSSQHGADDKILNGILNPFSASSNWLSSSVVNDVESELKVTMASNYLSRMVRNHFLCAHCGLPLAKDKVLNEHNSHGIEELTRGVSAAISIFDKRFSLQFYDIADKILTSCCHDGLLFLAYVLLSVCRSPDGGTNSHCLEGCASRSIDYLLLVSCKESFKFLTRYVVSCCFICFVLNTDLTNITACTPMENMKYIIATLSHYLSTSRLLLKHDLSRTSALDKTSAISTVIDLLDYNTGFSISWLCQDIKALLIMSNPVLGASANDESFQVLLDRLMQAAHHKSHGISINTEAVMPNGSLDKRQPGGSEDSSLSVDEKWHLIGASLWIGLSSFMKYHLKEFIGNEKLELEACTSDVKEFKGLTSSVAAKFVIDSVQFMSSSLVRLHASFFREKLSNNLHSSVLFWLEYMSSQPRSNKTSRDQLAYIVQGTNTENMEVLFHVLWEMSANPVDICAAFVNEDVNCFPLNNTKLSRSWKNMVESTKVECENDSTQSNGGENKCSVSSKYNEKGCGFVDKASSDVETSLEPKRKCLIEEKGFQSPKELLRRNGELLEAICLNSTNEQNAAIATNRKGLVFFNWYGNQHDKKSAEYIWSGSEWPSDGWACSETTPAATLISPSVGPGRRRGSHLGSDGANIGVGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDLVDPPATLENIHSRAVSRHPSLPLLLVGSSNTHVYLWEFGKDSARATYGVLPAANIPPPYALASISAVQFDYYGQRFATAALDGTICTWQVEVGGRSNVHPTESSLCFNSHASDVAYVDASGSVLTAAGCNSNGANVVILDMLAPPATCQTSIVCHEGGARSLSVFDNNIGCGSISPLIVTGGKSGDVALHDFRFVSTGKSKHHKNSAGGSSRGMIWHIPKAHLGSVTSLSTIPDTTLFLTGSKDGDVKLWDAKNSQLVFHWPKLHERHTFFQPTSRGYGGVVRAAVTDIHVLTNGFISCGGDGSVKLVQIKNEFATVHQD >SECCE7Rv1G0474760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:176692447:176695131:1 gene:SECCE7Rv1G0474760 transcript:SECCE7Rv1G0474760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSDDWRFLFPVSSVFNPPSLAPPDASHGPLFFTPLPPPTPLLSLPFPFPPPLHASTTGDLRHALRYFVASTSFLPYSDLKSLSGPLLAAPSPPFPPPSNLLAVLPSRSSSSLVLFFPYGENADKIAFALVNSPVASSAPVSPFVQSDVFKHPGHRIQQLAAIPAQSSWSSEPDDSCVEGFLLAATLYSVSWFRVESRDSGSPLLVPVAKQGFDAAVVHACWSRHFQTQCAVLLESGELCWFDLNTRLGGKTTVRFGSNGEDWGDWLSCAYGAQPWMVIVASTKAVLLVDLSFVDHGDKYVMENEPHKLQYKVLAKIGLPGLFETEPFDRTEHYIAFCKAGFDDSHISVVTERHLILLDVTKPLEPVLAWQHGLENPNHVAMFRLSELRPSKEYEWASNSGFAILVGSFWNGEFSLFCYGPKEQGCPDNSHLYAWDIPSRLSLTGQHCGCSNGIVKEIFSAPVSGYDGYASQHRAKSIVGYYVLPDDLSISEPTSASFALIRLTALGKLEMQQYRASRGLHDEIDTPCDESEHASMDSSSSILIDTQGENVSTKYRFLKLHFLYEHLKGNLCSALAKHGTSVNGDRDQIIISEDVLAFAEDNSRSSSLPVSDFLCNASIPMNVFEIACQSILNSLPSNILHVSLSKYKDMLKCDTKEGLLEYLEVPSCSPHNELRPFLLAKPSSTCEKVTSKAVSENALVGPVLPVHVLLAMEEMNRGIDSPSERETAETDLVRHRCGEVLEAFVPQVSIAESDNFDGWFSSQKLNDKKPYLVYEPRIENKFTLDEIVIKKENEEQKAADPTSFETSAAPYKDENFMTFVCGKAGALDSGPEQTTSDLFDFTPVRMDFASTHLDIQPAEEEVYRCLKKQFLRWQNNFKPYQDFCSSYKIQKPS >SECCE5Rv1G0356410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:739311787:739312270:-1 gene:SECCE5Rv1G0356410 transcript:SECCE5Rv1G0356410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMCLCFIILAIVMTVSADECEGDRQDMIKECGKYTKWPAEPKLDPSNACCAVWQKANIPCLCAGLTKEKEKIWSMEKVGYVANFCKKPFPHGYKCGSYTFPPLA >SECCEUnv1G0554010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:281179354:281179554:1 gene:SECCEUnv1G0554010 transcript:SECCEUnv1G0554010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVAVSALIPEALGKSEERALILGWAYYLYAFSSYPLRTWLPSVYRRHDNWYTRGASFPVLSY >SECCE3Rv1G0146020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:9625609:9627484:1 gene:SECCE3Rv1G0146020 transcript:SECCE3Rv1G0146020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPISGGNSNFVTYATMSSHVSEDPDKSGNAEPQPQPQIPLWSAAADEELTYAKKEIANAPLVFGDPDLYAPLFRNVSVFKRSYELMERFLKVFIYHDGEKPIFHSPELKGIYGSEGWFMKLMEADQNFVVRDPNRAQLFYLPYSSRQLRQKLYVPGSHKLALSIFIKNYIDMISAKFPYWNRTKGADHFLVACHDWAPYTTKLHDELRKNTIKALCNADVSEGVFIRGRDVSLPETYLRSPRTPMRDIGGKPAAERSILAFFAGQMHGRVRPVLVKYWGGKDADMRIYTRIPRQVTRQMNYVKHMKSSKYCICPMGYEVNSPRIVEAIYYDCVPVIIADNFVLPFDDALDWSAFSVVVAEKDVPRLKEILLAIPESRYITMQSNVKKVQRHFLWNPKPVKYDIFHMILHSVWFSRVNQVHQVDQ >SECCE4Rv1G0217900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18928730:18931352:-1 gene:SECCE4Rv1G0217900 transcript:SECCE4Rv1G0217900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISMYRGNLHRGGDDAARRWPVPPPTLSASQFRRLLHRRSLAVSRLAGAPPPNSPNPRPDDGAAGPAAAGEAREVDGAQQQHHAEQQEEEGHDVPMQQQQQGEEEGQGVQQQPQGEGGGHDEQQQGEDEEQEEGAVEDVEMDDAGEVVAGDVDAGGNGDPEEGQGESEGFDPNSEVGQPDGVEERKRELTDKLDTLSKKKHDLVQMLKQILNAEEEIRTRSMQASLRAAMPQPPENTADGSVPRQVPRMTVDVNFSEFAGESDAGSNQGTPGRPLHHVHSISPSTASFARSPFGSRNHNPGNTPRSPAAPFSTASPSRFAGTGHQGHHPSASLPASNFVASSPSPAASGGSSSVFRDHRPPSST >SECCE4Rv1G0214990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:2327340:2332440:-1 gene:SECCE4Rv1G0214990 transcript:SECCE4Rv1G0214990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of histone chaperone HIRA [Source:Projected from Arabidopsis thaliana (AT3G44530) TAIR;Acc:AT3G44530] MLTEKPSWVRHDGLQIFSIDIQPSGLRFATGGGDQKVRIWNMKSVSKDNQNDDSNQRLLATMRDHFGSVNCVRWAKHGRYLASGSDDQAILIHERKAGSGTSEFGSGEPADIENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWSMTNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWTATFDFLGHNAPVVVVKFNHSMFRKNLATGQDAKTAPAGWANGASKTSAKEYQPYNVIAIGSQDRTITVWTTAGARPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEAKELGYRLSDSELDELKRSRYGDVRGRQSNLAESPAQLLLEEASVKQLAAKKATPIVQQYQAPPKVPADVPKPPPVVVVESQKAPETLPEAEKKTAGQAADDTSKVTRVSSPVKQREYRRPDGRKRIIPEAVGFPSNQENLSNRPQSQVVDFSSLDQRMRPGENGIRSSYGTTGNCNNCGVRERSGITARANISESLVIQKASAGTGRDGRLSVEHTGSVVPGSLASSSELSIFVFNKKDNDDSLPVCLEAKPVERSAGDMIGLGGSFSTKETEIRCSRGTETLWSDRISGKVTVLAGNANFWAVGCEDGCLQVYTKCGMRAMPAMMMGSSAVFIDCDDCWKLLLVTRRGLMYIWDINNRTCILQDSLASLVTSPDDASTKDAGTVKVISAKFSRCGSPLVVLATRHAFLYDMSMKCWLRIADDCFPASNFSSSFSSTQGGELGKLQIDIGKFMARKPVWSRVTDDGVQTRAHLETQLAASLALKSSQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMGMVGAVSTDANNPSWDPDVLGMKKHKLLREDILPSMATNRKVQRLLNEFMDLLSEYESAAEENIDKMDVTPPAADAKVDKVAVTPPAADAKVDKMDVTPPATEAKDTAA >SECCEUnv1G0538530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72977571:72977924:-1 gene:SECCEUnv1G0538530 transcript:SECCEUnv1G0538530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKIAVISDETVVIHHVANGGCAKDFAMAMSECHPSPPKEFIKERGDDTNRVVDANACIKATAALRKCFASNPAMFKHQYVHRMDQGLDQDWRPSPELVKEEENAKFRWWTGMRRS >SECCE1Rv1G0007850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42858659:42859480:1 gene:SECCE1Rv1G0007850 transcript:SECCE1Rv1G0007850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAIRRLLRPTPSTALFSARRLFSSTSSPPPDRSANTNSPVSFDWSDDDDDNSPPAPPPASPAKNPTLPPPYDPFSKKPAVADPPDPTNLQEVFHRMRAGGLTDYAIKMFDGLSKDGLTHEALALFSIIKEKGAMPDVVAHTAVLEAYANAGPARWCDAVRTYKRMLSSGVAPNAYTMAVLVKGLAASDRCAEAGKYLLEMLDRGMRPNVETCLAAFEAHVRMDKVGEGKALLETMKKKGFALDEEAVRSGTVKRGHVFRGVMNLLFGKS >SECCE2Rv1G0125130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:838908136:838909988:-1 gene:SECCE2Rv1G0125130 transcript:SECCE2Rv1G0125130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPSPPPEHRTPPAPTTISDLGDDQLREIFIRLPDLPSLASAAFTCRGFLGAVRSSRAFRRRFSALHAPPLVPCFLAHTIAPFPASRRPSAGSTPLQDDDTSDWRVDFYGPWVLYNDRRIAIKHRSVKHESVWYNPQTMDLFLCPKDHHDMPDGTTLWFRTFSREEDQMPSRVVCVRYDYSRPCARFAVFSSDTMEWQISPETSTPLPQGFRSGACTVLDGFICWQWESMWMMVVREYIFVLNADTFQFYRMDLPPPLRVLHQTFKIGQTNDGKLCIVNEKECTFSIWVLTAGDDGIESFVLHKTFPLQARFMEITNCSVEDTISVRLMTVFNGFVYFALCPWRNYADQYECPEWFMSMSLETAELKQQLKSRERPVFLVHPYSAWPPSMKYIPEDSKSEAIGNGVDNVGLDSTEKDSSLLIKALRSYKEALIKDGDANVAEIEAFSLCIDVEDEKNSLVRKIMDSDELLRTLRDRVLRAGADYEFYREKIKSESWWQVCKKKLWKAFCTG >SECCE6Rv1G0382990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:48932612:48934998:-1 gene:SECCE6Rv1G0382990 transcript:SECCE6Rv1G0382990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cys2/His2-type zinc finger transcription factor, Heading dat [Source: Projected from Oryza sativa (Os10g0419200)] MMLCDLSSDHEATGSSSHGGDLGFSSHVLLSPLFAPAATPTSTLLPRPPPPLLLEEPARAKRKRSQPGNPDPGAEVIALSPRTLVATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTVLLPRPGGDGGSGAPRKRVYVCPEPTCVHHDPARALGDLTGIKKHFSRKHGEKRWKCERCAKRYAVHSDWKAHVKNCGTREYRCDCGILFSRKDSLLTHRAFCDALAEESARLMAAANNNSTTTTCNNNNNNNSGSSSNDINNMLMPSNSSPLFLPFSSPPHAQNHNPLVFLSQEHHHHQVLPPFQPLTYLDDLPMAGGGSTVSTDTVSFRLTPEGSVTMHAGGRHLTRDFLGVDNAGEVEELQIPCAAAYQGRSIGPTAACCATDLTRQYLGRLPPVNEPWSHNF >SECCE3Rv1G0191380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:748137814:748140153:-1 gene:SECCE3Rv1G0191380 transcript:SECCE3Rv1G0191380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAGGENCDPRPPGAKASFTGEVDRGLGAGGAEPSRLSSPTSTPPAPPLSPFESLEADRTVTVSPITRSTSSPTGTWPELSPCATDESVPAVPDKQDSLCQQDDHSQGGDTSTWPLPNLPEDIWCLIHSLMPLRDSARSACVSHTFLRSWRCHPKLIFSEETLGLIQKEGQNIDIARAFTSRVDHILKNHSDYGVKILEFAIRNHYNVSTCHLNSWLQKAVTPGIEEVTLLLPTKYRKKYEVPCSALLDGRGNSIRYLNLTNCAFRPPAGFDCLRSLTKLHLYGVCITGDELGHLISNCFALEELEVRCCMKLICLKIPSWLERLRCLTVSQCGKLRVIKSTAPHLSIFDFFGEPVQLVLGESSKVKDLRVGYSFAPNAVSYAITKLPFIAPHLETLTVCSFCERVSTPMVADRFLHIKRLNIYLGEDGDGTVSPAYDYLSLASFLDACPVLESFILSVDQSDMQHDSVFEDPSSHLRQIPEDRRHERLKMVQIDGFCSAKSIVELTCHILKNATSLESLTVDCIFGAEAVGESVRCSARKSGKCMPKSRRMILEAHKAIGAVERYILERVPSSVKLNVGRPCTRCHVMDVMLPQLSHM >SECCE4Rv1G0257460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:668295511:668295729:1 gene:SECCE4Rv1G0257460 transcript:SECCE4Rv1G0257460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMNGYEATRHIREEESRHGVRTPIIALTANSTEEGLQEAVEAGMDLHLTKPLPKPKIAGIVLELCKQDKN >SECCE1Rv1G0015540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:125875579:125881506:1 gene:SECCE1Rv1G0015540 transcript:SECCE1Rv1G0015540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGGGSRPAKRRGRRRIPSGDRGFTSAVRPCDEGRGIAAGNRPQRNRRTAPGTYRDPSSDDEDGEEPVNNRVVQPLNKPKRSDAGKKRGPRNKKSDQDGSKAHFPDGQNHGGDNTTSDDEDFEPAKKQRRRDAGKGSTAKRLKEDEEEYKVTSSKNKVSHERYKNGKKMLTGENARMCHQCQRNDKGKVVWCTSCNNKRYCGECIKRWYPNLTEDELAAKCPYCCKNCNCKACLRMKGVGEPPQKEISEEKQISYACHIMRLLLPWLGELQKEQMEEKKLEARILGVSMDEMKLEQIKCGPDERIYCNRCRTSIFDFHRSCKHCLYDLCLICCRELREGKIPGGEEVESMQYENRGKDYIFGRNFHSNGENRRDSLRRKMDSSTDGSKPCSLLLWRANSDGVIPCPPKEMGGCGDSGLDLKCVFPEKMHAELQERADKVVRSELFEKSAFSRSDKCPCFDHSGTVRTDLKTLRKAANREGSSDNYLYCPVATDLDDDDLIHFQMHWAKGEPVVVSDVLQSTSGVSWAPDVMWRALRETAQGKADDEKLLVKAVDCLDWCEVSIKIRDFFTGYKNGRTHSGTYWPEMLKLKDWPPSSMFDKRLPRHGAEFISALPFPEYTNPKNGPLNLSVKLPAGVMKPDLGPKSYIAYGFSEELCRGDSVTKLHCDVSDAVNIQTHTDEVPCETYDLRRIKKVQENMEKQDLQELRGDLNSCTVLRAQPSVDGSYEAAMTSSSMESYKDSSNGLHVNAPRRDATDDVKDKASPHKSVTKSDEIRNGTCLYYQRRVNRKAHQNKASDPSKPVPGKSDEIGKGIHRKVHQNKATDPRKPVPEKIEKDKTGGALWDIFRREDSKKLENYIRKHASEFRHIYCNPVNQVIHPIHDQTFYLTEKHKKKLKKEYGVEPWSFEQKLGDAVLIPAGCPHQVRNLKSCTKVAMDFVSPENVGECVKLTDEFRALPSAHKAKEDKLEIKKMVLYAFLDVLEFLGRHVEGSKSGDVHPDQSSNGTAEERPKRGTRARGGSRSRR >SECCE4Rv1G0226390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:109506953:109519829:-1 gene:SECCE4Rv1G0226390 transcript:SECCE4Rv1G0226390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGRGGKRRAGPPAAPSPLAAKRAQPMPPGAPQPPPPAAAAAEEEDMMDEDVFLDETILAEDEAALLLLDRDEALASRLSRWKRPALPADLVSGCSRSVAFQQLDIDYVIGESHKEFLPNSSGPAAIIRIFGVTREGHSVCCQVHGFEPYFYISCPPGMSPDDISGFKQTLEARMKESNRNSSVQRFVKSVELLQKQTIMHYQPIKSQSFLKIVVALPTMVASCRGILERGITIGSLGSKSFLTYESNILFALRFMIDCNIVGGNWIELPAGKYSKATRVMSYCQLELDCLYSDLVSHAPEGEYSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLLTLQGESQPFVRNVMTLKSCSPIVGVDVMSFDTERDILLAWRDLIREADPDIIIGYNICKFDLPYLIERAEVLKIVEFPLLGRIRNSRVRVRDTTFNSRQYGMRESKDVTVEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQRNLVIPNIKGQSSGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAHNLCYCTLVPPEDVRKLNLPAESLYKTPSGEIFVKPELQKGILPEILEELLAARKRAKADLKEAKDPFERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAAEYISGTFIKPIKLEFEKVYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILVDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDAATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASRELLHGSHTRAVSISTPSNSGIMKFAKKQLTCLGCKAVISGPNQTLCSHCKGREAELYCKTVANVSDLEMLFGKLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARVQLDRWDF >SECCE3Rv1G0151860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:42218782:42220047:-1 gene:SECCE3Rv1G0151860 transcript:SECCE3Rv1G0151860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGFTRFDVEKFDGMGNFGLRQTRVKDILAQQGILKGLQETKPAKADNDVWEDMQVQAAATIRLCLADQVMYHVMDEDSPKGIWDKLANRYMSKSATNKLYLKQKFYGLKMQEGSDLVEHVNAFNQLVTDLARLDVKIEDEDKALLLLVSLPPSYEHLVITLTHGKTTVNNEEVSAALLAHELRKQKNATEESTQGLGLAVKGYQLRKGQEAEKEKKKKVQCYRCKDWGHIKRECPELKVRASANAATHGDDLDNSSDVLVVSNRRSTKTKAWILDSACSFHATPNREWFSSYKSSEFGLAYVGDDTGYRVAGVGDIKIKMFDGVERMLRGVRHVPGLRRNLISLGVLHDGGMEFRCDRDKKTIEIMEDGVTVMIGERTASHLYKLQGSTVVGGAMESGVAGIAMEFHSGGGSGPSGSSR >SECCE4Rv1G0220260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:36975656:36978009:1 gene:SECCE4Rv1G0220260 transcript:SECCE4Rv1G0220260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQAADAAGRISALLSLLALRRILAVLQPLLLLLLLPFRRRAAARQGDAAVPDAVVTASSSGKKAKAAAVVLRVPAVCSRRQALARREAAMRRAREAGRDYELVPTARGETLFTQSWWPHAASVKPRALVLVMHGLNEHSGRYDHLAKRLNAMDVKVYGMDWTGHGGSDGLHGYVQSLDHAVQDMKMYLKKISAENPGVPCFCFGHSTGGGIILKAVLDPEVDALVNGIILTSPAVRVQPAHPVVAALAPVFALIAPRYQFTGSSKNGPAVSRDPEALRVKYSDPLVFTGSIRVRTGYEILRLTAFLQQHLRRITVPLLVLHGADDMVTDPEGSRRLHREASTPDKAIRLYDGLLHDLLIEPEKEVVLGDIIDWLSPRI >SECCE3Rv1G0184430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:663369146:663372232:-1 gene:SECCE3Rv1G0184430 transcript:SECCE3Rv1G0184430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARQPSRAASSVATAAGVLLALAALLTEQVIVANSLSIGVNYGQIANNLPAPGQVSTLLRSIKISKVKLYDADPHVLRAFLGTGVEFVIGIGNEHVPAMVSSTVAQAWLQQHVVPHLHAGARITCITVGNEAFKGNDTALQASLLPAMHSVHQALGALGLQGRVNVTTAHSLDIMGVSYPPSAGAFNPGAVSHLQPFLKFLSATRAPFLINCYPFFAYKDDPARVPLDYVLFQPNAGVTDPNTGLNYDNMLYAQVDAVYSAIKALGHTDVDVKVSETGWPSRGDPDEIGATPQHAGTYIGNLLRRIEMKQGTPLRPAVPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNVGLRGYLPPMADSQGARQVIHLILLIAISTVAFALS >SECCE1Rv1G0061530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:716371053:716375003:1 gene:SECCE1Rv1G0061530 transcript:SECCE1Rv1G0061530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPKEMKYRQRARAPEPGEYGQCGGGDRGGGAVDWAALKQQDPAELLRKLDEIRDQITRSCEAAGPPPHRVGRRAVSMRPSHADPLPPPPGRGAPPEYYRSSRHAARYGPPSSYDQRSVCDDGYARQPSGRFRQPRPEGQWEGYGYAGQGSGHHSSCQCAQCVHGQRAMPPQEESIPMARFFAGQQRPPYQFDRSASSISSEYDRRSVASSLYSHLSLSKRRVEYFSKKADSFCRPTKGGAPFVVCSSCNQLLQLPPGKCTSPKQNQVQCGSCSEVVTFDFKPKGVKVHPVIPSSSFSVPKSVRSSDRRAPQSSGWYPYEDDDTSSFDSYRQKQNFSDNLSQSSVGSYGSSTNKEPGPNKISQVKPVSVSKSRFADSPKDILCQGDADSQVEASVIRTISPQAPILEDKLVDPFSSQHNGCSGGDQIKSKRYGLNSKGNFDVRGERIGVKCEQKSNESRKDGFSGETVKKTYGQKYLKQLNASCHDGHMGNKNTWKANSDDRRSSSLEDEATSKKYEEKSKHDDNNFEVEDDNNRYDNCNKEGYNNDFGFDNITKTCEEESIEEDCAKSVSSNCENAKRVGKNESSVSERTNTSSHVSSDAGLDEIQSSAGKSGDSSFFGGFLKKGFKDLSLFNQSMDSVKVSINGHPISERALRKAEKKAGPVSPGSYWYDQRAGFWGVMGHECSGIIPPFIKEFSYPMPKNCAGGTTGVLVNGRELHQKDFDLLVKRGLQRFSEKSYTVDISGTVIDASTGEKLRSLGKLAPTIEKMKRGFGMHVAEEIS >SECCE6Rv1G0377540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:2547011:2547860:-1 gene:SECCE6Rv1G0377540 transcript:SECCE6Rv1G0377540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLKKKTRNYLTWTNEMDEALLAVLVEHHNIGDRAQNGWKPHVYTACIKHVKDTCDVDITKDNIFGRIKTFDKHYEIISKMLAQSGFGWDWETNKVTVDSDEVWTRYVEANKDARAYRTKVVHNWSAIETIYSKDHANGGGARTGAECAQEQNTPVVEESPEVPQKRQRTSDAILCMMGQMRTSFDEALKATEPLPMPKATPATEILEALKKVEGLEDADMLQAYGKLTANERMFESFMALPQNLRKPWLMTLP >SECCEUnv1G0556680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:313614665:313615144:1 gene:SECCEUnv1G0556680 transcript:SECCEUnv1G0556680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALSLPATVRPASSGPGRQLYGSPPRHAPVLRPRRPQAVPCHGLLTRRCSAFGQDHYGGALVDEGMAVLRRRIREARMAETNYEAPAGWAAWEKRYYPAYVSDVSALAGALQLLAMGTRPGVAAAVAALLLAGVPVSALAVLHLLGQAVESVLHHVS >SECCE2Rv1G0122770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:818897834:818898124:-1 gene:SECCE2Rv1G0122770 transcript:SECCE2Rv1G0122770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVPCSFFFDDEPLGEPGMPALDACALCAKPLARDSDIFMYRGDTPFCSEECRDEQMQLDAICSRQAARRQQRLSSGSEVRRWHPESRKMPVAS >SECCE2Rv1G0123040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:820878270:820879298:1 gene:SECCE2Rv1G0123040 transcript:SECCE2Rv1G0123040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFPAPPPRHSDDPGTSLGSCILIILGLFAFVVVACFSISFCLGRRERSNRSRPSPPPSPLPGTHSRDGWADEGKKDLIESLPRFTMASALAALPKSSPDCAVCLSPFTPEAELRLLPACRHAFHAVCVDAWLRTTPTCPLCRATVAPPHPSIAALLAAEQPPPAAEPAAARGRDRARRFRVEMGSVSSRGGSPATSTGSDDSRTYSLGSFEYHIDEEVEAVVSRMVRAAARADTIKDEKPAAQGSPSPPDEAVAEAAGTPPRGWLREYMDRLTSSASSFSYSFSDRWSSRWSQGQQGHRQEEPWLWDAEAATVPPPPPPGSDEEVETTFMVLYRWIAAV >SECCE7Rv1G0498550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:641154504:641156671:-1 gene:SECCE7Rv1G0498550 transcript:SECCE7Rv1G0498550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLAAGAPSLQGWKRQISAVTCQHPHSVPRTVFRVSATKNNERKRRRSRNLSKGPALIAEEASPSNGENPTTVLDLNGDGDATRDDRAAGAPRSAVLQSCTLTSGLLLAVGLLLREASHVASSNGLPFADPTVVSFNFETWHLELVAGLVILISCTRYILLQTWPDFRDSSEAANTQILTSLESLDYIVVACLPGISEEFLFRGALMPIFGLNWISALATGVFFGVLHLGNGRRYSFAIWATFVGLAYGLATIASSSIIVPMASHSINNIIGGLLWRFRGSSEREM >SECCE5Rv1G0325670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:467936058:467940351:-1 gene:SECCE5Rv1G0325670 transcript:SECCE5Rv1G0325670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGIQEEERPPAGGLRPLRPRRSGGSSRWVDASEVDSSESAHWSLEDEREPWALSAADEAEVLSATGGAVLSRRSSSAGFRRRLGKRPKRVDSLDVEAMNVHGAHGYSAQDISLMGTVAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRYAKVSLLPNQQRVDEDISSFRLKLPTPELERALFVKDCLEKNPLFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGQVAGFDTDAVVIVSILVLLLLFSVQRFGTGKVGIMFAPVLALWFLNLGSIGIYNIFKYDTSVVRALNPMYIYYFFKMNGIKAWSALGGCVLCITGAEAMFADLGHFTVKSIQLAFTAVVFPCLLIAYMGQAAYLMKHPLDVERIFYDSVPEVLFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTALVTLVMLLIWQTNLFLVLCFPVFFGAIEFIYLTAVMSKLLEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGSTLGTVRVPGIGLVYNELVQGVPSIFGHLLVTLPAMHSTIVFVCIKYVPVPYVPLEERFLFRRVGQKDYHMFRCVARYGYKDVRKEDHGSFEHLLVESLEKFLRREAQELALEVSTMEAERDDVSDVSEMVPSSPATAAEDLHTPLLSDQRPGDDGRMLGMEGSVPLLPSSSMSAEEDPSLEYELAALREAMASGFTYLLAHGDVRARKQSFFTKKFIINYFYAFLRRNCRGGTATLKMPHSNIMRVGMTYMV >SECCE7Rv1G0498980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:649476920:649481217:-1 gene:SECCE7Rv1G0498980 transcript:SECCE7Rv1G0498980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSRRAGAPLLLVLLCCVSTAVTSQSQPQPQPQTTLASSQAKALYRVCRLLGFPPALAALVKAPDPCALPPTPSLAVACAAGQVTALSVLGDRRPDPAWRSALPSNFSADALFTTLTRLPALSRLSLVALGLWGPLPGAKLLRLGALQALNLSANYLYGGVPEQVARMYSLQSLVLSGNWLNSTVPSLSGLAFLQEVDLGRNRLDGAFPEVGKAVARLVLADNNFTGKIPAGVASLGQLRFLDVSRNRLEGWIPSAIFALPALRHINLSHNKLSGQLPASTACADTLEFVDVSANLLIGARPACMRSNSSARTVLDAGNCLRDAKLQRPSTYCSPGALAALLPPPQGSGAEQSGGKGGGVGMVLGIVGGVVAGALLIALVMVVVLRRARRQHPGVMALPKSPLITPAKKGDGAKATAKMSQKIATPADKRHASQAAMVNTLEVPAYRVYTAEELQEATDNFASSNLIKKSPLAQHYNGQLQDGTRVLVRCLRLKPKYSPQSLSQYMETISKFRHRHLVSIIGHCIVSDQENPAIASSVYLISECVTNGSLRSHLTEWRKREMLKWPQRVCASIGIARGIQFLHNLTAPDTVQNDLNIENILLDKTLTSKISGFSLPMISTSKNGKLFSENPFAVQEENDHGSAQPAERGDDIYQFGQILLEVITGKPTASQSELESLRAQLSEVLAEDPDMLKDMADPTIRGTFAVDSLSKVTEVALNCTAGDPSDRPSVDDVLWNLQYSMQVQDGWASSESLSLSVKSQA >SECCEUnv1G0543720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:118940105:118943704:1 gene:SECCEUnv1G0543720 transcript:SECCEUnv1G0543720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLVGGGGGPEARLCVVTGGRGFMARHLVAALLRSGDWRDAGILGAALRDGRAAYISVDVCKLAQLTKALEGVHTVFHTAAADHTKNNFQLHYKVNVEGTKNVIEACNTCKVKKLIYTSSSGVVFDGVHGLFGVDESTPYPDKFPDAYTETKAEAEKLVIKANGTNELLTCCIRPGSIFGPGDTIVPILVSYGGMMIIIGDGKNYDDFVYVENVVHGHVCAEKTLSTKDGANRSGGKAFFITNLEPVNMWDFIYMVLGELGYKSRFRLRIPSCLVKPITCLVDWSYNNIFSLYGMCQPGMLTSASIKYATLNRTFNCNNAVEQLGYKPIVSLKEGVKMTTEFYKWSRT >SECCE5Rv1G0319670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:377886957:377887637:-1 gene:SECCE5Rv1G0319670 transcript:SECCE5Rv1G0319670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPLLPVSVLPAATTATATIILPAPDTSSAADQRAYLSRLLDSAKRSLSGARPWPELLDRAALSRPDSLADATARVRRNLAYFRVNYALLVALSLAASLLAHPFALAALVALLAAWCALYLLRPADAPPLAAFGKTFSERETLGGLLAASAFVVFVTSVGSIVFSALAAGAALACAHGAFRVPEEQLFLDEDVQPGAGGRAGSSVDLLSFFTNATGGGGGRG >SECCE2Rv1G0115100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:746276627:746279329:-1 gene:SECCE2Rv1G0115100 transcript:SECCE2Rv1G0115100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGAFALVALCMLELALLHGAGAGAANGERLVPAMFVFGDSTVDVGNNNLLPDCKPECRANYLQYGIDHPSHAPTGRFSNGYNLADQIAQLLGFNESPPAFRSLPAEGIIPQMKDGINFASGGSGLQNQTGQHCGGVYRMADQLEEFTLVVKMMGNGSYDLISRSLFFVSVGSNDLFEYADAKSPPPNRNDTAFLECLVDSYKTYLQELYAVGARKFSIVSPSLVGCCPSQRAAALQHHNDFDELHCFRPANKLSKQLYPLLASTLQDLGGDLDGMHYSICDSAGMAEMVFSRGGAIGYNLTVLDTACCAGPGQFGVGQCNTSATLCPNRANFVFWDGFHPTEYASGLAAFVLFNDPGEFVHPINVRQLAAL >SECCE2Rv1G0104730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:610373810:610375609:1 gene:SECCE2Rv1G0104730 transcript:SECCE2Rv1G0104730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPSPPTLCSLLLLPSPPPSFSGHPHHPRRTSPSRRHRARPRSSNPNAPSFPKPSPDPPPPPPRRLHAEDRRLSSLVHRGDLDAALRVVDSSPSPPDVQLANKLVRDLCRRGRLNDAARVVQACGSAATAVTYSALADGYCRVGRLDEARRVVDGMPVRPSAYAYNPLIHALCVRGQVTDALVVLDGMLSRGCPPDVVTYNILLEATCKGSGYRQAMELIDLMRAEGCTPTNVTYNIIMDGMCREGDVDGARELLNTLPSHGCTPNTVNYNTILKGLRTAGRWPDVEELIDEMFRENCPPSEATLSVVISSLCQQGLLQQAIRLLDKMSKHECTANIATYNAVISALCEQGHVANALELLVKMESHGCKPKTTTYNILVKALCSGDQWEDAEELMPKMSQTDQLPDISPFNTLIGILCQKGLTLKAIEVFKQMPRKGCYPDSVTYSTLIDGLAKTGNMEQSLELLNEMGNKGFNSEVKYELLAEFLDEEDKVEEVIQVVHKLQDTGIPPHAVLYNTILLGLCRNGKTDHAIDIFADMVSDGCMPDESTYVILIDGLANEGYMKEARELLSKLSSRDVLIDNLTNNDASLFDQNIPTS >SECCE2Rv1G0116000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:759774273:759778798:1 gene:SECCE2Rv1G0116000 transcript:SECCE2Rv1G0116000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFLRAFTAASAVPAAAVAAAALSSSSSPSRSPSSRLRFPLLPSLSAFAASSSSSSAVRAPTAAPPMAAAATADLSAPDKGTALPELTTEFMVDMKCEGCVTAVKNRLQTLEGIQNIEVDLTNQVVRVRGSLPVKIMLDALHQTGRDARLIGQGNPDDFLVSAAVAEFKGPVIFGVVRLAQVNMELARVEATFSGLSPGKHGWSINEFGDLTKGAESTGKVYNPPDYLSDKPLGDLGTLEAGENGEAQFSGSKEKLKVVDLIGRSIALYATEDRSDPGIAAAVVARSAGVGENYKKLCTCDGVTIWESS >SECCE4Rv1G0280890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:823947149:823948623:-1 gene:SECCE4Rv1G0280890 transcript:SECCE4Rv1G0280890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTLLGLLDVVSDRHGPVPIPICVSSRDELDAVCAVVANLPFMSLPPLYSDQDEAERASVLEKSRRAAIQRNQIEDTCIDESPKLESVVLKLHITVVTDACLPSPAMGEAPLMPRVLINYELPTKKEAYLRRVSACLATDGIVINMVVGGEVAPLRSLEETSGFVIAEMPIHVSEIL >SECCE2Rv1G0065420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:8439508:8440083:1 gene:SECCE2Rv1G0065420 transcript:SECCE2Rv1G0065420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKIILLLLVLAPFCKATARTDCPGVPSLDGSSACGESCSTKLLQDLCIDVMIWGGVEISGSHKEGATGYVILAARFAMESLDATQLTVRKQLSQNTTLSSQERDAYEGCLNDYVVARSSIDRVGNEMLPSCRFEGVPDEFARGVKSLESCWIRLMRTPLKSTTLYNIVWADRYKLLLIHLLDGKLLGI >SECCE7Rv1G0457910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19152112:19157218:1 gene:SECCE7Rv1G0457910 transcript:SECCE7Rv1G0457910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVREVNRKYFDRDVCRLFLAGLCPHDLFQLTKMDLGPCPKVHSLQLRKDYEEVKAKGTENFDRELEDMIDRLIVECERKIQRALKRLADEDAKAAIAISVSEVTQSEEVAQLSKEIKEKMKEADIFDFEGKTDDKIKTMELVEELRSKRADMQATLLLDAFNKDRAAIPQPILPPQMATLPAPPPPDARTQELINEKLTKAEALGEQGMVEEAQKALEEAEALKKLAAARQEPVADPSKYSVADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQEERNKKRTEKPEDDRRSRENSRDRNGRASRDRDAERKDRVEPRDSRRDHDRDRDRRHDRDRRHDRDRDRDHDRSSRGREHDRDRRRERSRSRDRSRRHERY >SECCE2Rv1G0137700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:919033301:919042428:1 gene:SECCE2Rv1G0137700 transcript:SECCE2Rv1G0137700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIGMLSVAGAVLLWAVSLGRILSSPTPYSLPPSPRFLPPLGDRRSRNVLLVLAHPDDESMFFTPTILFLKSKGHNIHVLCMSLGNADGLGDTRKEELYDACATLKIPAEQVAVLDHQKLQDGFHEKWDHGLLAELTMEQIQLWDIDTIVTFDSYGVSGHPNHRDVHHGICKLLHENQRGNIEAWELVSLNMFRKYSGAVDIWLSSLISSSSKQLKYCLVNCSPSRTFEAMAAHRSQWVWFRRLFVRLSSYTYVNMLQKI >SECCE7Rv1G0481490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:284187739:284193795:-1 gene:SECCE7Rv1G0481490 transcript:SECCE7Rv1G0481490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLALLVVAAALVACAAAHEHHGEAPTCAGGGGRVVAEFRPGEVTLDGHPADWEAVEASDFVLLPALDPDDDKAYTGGKVAVKAVHDGVNVFFMLQVDGDYAYTKGESKKCPSVALMFQVGEKATYYDMGGCKDLPGSCTRKSCRDHEVDIMHFSVGNAIPGRLYGGNHIDNAAGNGGDRFGHLVDVYAWNPHCRYLDGIGPKENNSNAQNDWHGAWWHSSLTFHSGFVDDDSPYGKKDDKGTYYFEFSRPLRTMDQFQQDAQFTIGEPSNMAVAFWYPTDGKPWSNSQHYSASCDWLTLDIQPSLEAARYRPAPNRSWDAATAFALLLSVVAVCVSIFVGYSASKNKSGVQFTQLAEI >SECCE5Rv1G0355180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:730004758:730005945:-1 gene:SECCE5Rv1G0355180 transcript:SECCE5Rv1G0355180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWEILLLLPPQPSSRLLASLVCRRWRGLATDPNFIRQFQARSRSWKPPLLGFFERRRKIVFNQDILYPPDRIPAERFHIPFGMASRGFEVLGCRGGRVLALHRVFRQLIVFSPVTGQQRHLAVPAEFGPPSILYGAVLCAAGEQDHVHGDCHCSPFKVVLVSHRRDNQPRACVFSSETDTWSNIITTKYPCELHGDSVPATLIGNALYWLLSCNAIFWFDLDMQSLAVIMGPPGMNESGNYRIIKPEDGTDGLAIAIFLFPNLQIWQRVVNSQGIATWFLRKTVEMHSTLGIPPQIRRKVWQDKVLGYDEDNNVIILYVDGSAYMLELKQMQSRKLNGTRSMKNCHPFTSFYPPDIVILKFSLITCIE >SECCE5Rv1G0327440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:492562777:492569261:1 gene:SECCE5Rv1G0327440 transcript:SECCE5Rv1G0327440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADEANAAAEGTEGKNWQRKGKHKKEKPWDDDPTIDRWTVEKFDPSWNEGGLLEVSSFSTLFPQYREKYLQETWPIVKGALKEFGVSCELNLVEGSMTVSTTRKTRDPYIILKARDLIKLLSRSVPAPQAIKVLNDEMNCDIVKIGSIIRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGSFKGLKQVRRIVEDCIKNIKHPVYHIKELLIKRELAKNPALATESWDRFLPNFKKKNIKQKKPNTKEKKQYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKKWQEKLDKQSEKSEEKKRKREAAFVPPKENTAGLSESAKSTKDNNEIADITKSLKKKAKKFRNSEAEENVKIESYVASNEKSHSKKKRKSLSK >SECCE7Rv1G0492460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:551460526:551464304:-1 gene:SECCE7Rv1G0492460 transcript:SECCE7Rv1G0492460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTSPDNNTPPKDERTAREKAIDDWLPITSSRKAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVMILSWIITVYTLWQMVEMHEIVPGKRFDRYHELGQHAFGDKLGLWIVVPQQLVVEVSLNIVYMVTGGNSLKKFHDVICDGKCKDIKLTYFIMIFASVHFVLSQLPNFNSISGISLAAAVMSLSYSTIAWGASLHKGKAENVDYSLRASTTAGQVFGFLGGLGDVAFSYSGHNVVLEIQATIPSTPGNPSKKPMWKGVVVAYIIIAACYLPVAFIGYWAFGSSVDDNILITLNKPKWLIAMANMMVVVHLIGSYQVYAMPVFDMMETFLVRKLEFAPGITLRLITRTVYVAFTMFVGMTFPFFGGLIGFFGGLAFAPTTYFLPCIMWLIICKPRRFSLSWFTNWICIVLGVILMIIAPIGGLRQIIISAKTYKFYS >SECCE7Rv1G0522860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879480457:879480702:-1 gene:SECCE7Rv1G0522860 transcript:SECCE7Rv1G0522860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIVFLIMAMLLSNSCPYVRSRNSEGNARQLKNMRKLTSSSVDGRSTPAGEEIHHACPLGNYPCQGMFHSSQESTEGGGN >SECCE5Rv1G0327380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:491831266:491833648:1 gene:SECCE5Rv1G0327380 transcript:SECCE5Rv1G0327380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSWNPFSCCVGGGRVADDNDDCKRRIGRRGKGSPRSSSRMSFKSLSSSGTLSPEDLSITLSGSNLHAFTYAELRAATGSFSRANYLGCGGFGPVYKGAVDDKLRPGLAAQPVAVKYLDLDCGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEFMNAGSLETHLFKSINGSLPWMTRMKIAVGAAKGLAFLHDADPPVIYRDFKASNILLDSDYNTKLSDFGLAKDGPQGDATHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGLRSVDRARRLREQNLVDWARPYLKHSDRLYKVMDPALECQYSCKGAEVAALVAYKCLSQNPKSRPTMREVVKALEPVLGMEDFFPVGPFVFTVIVEEDKVVDMKVEVEEKHQHPRQNHQDRHRQKYPDSAIHAGIVLRRGDGLITGYTGAQRRQQRASSYHRERGA >SECCE2Rv1G0117360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:772039109:772040732:-1 gene:SECCE2Rv1G0117360 transcript:SECCE2Rv1G0117360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPGGAARRRGGRRDGGGEAVRKGPWMAEEDAVLLEHVRTHGPRDWSSIRSKGALQRTGKSCRLRWVNKLRPNLKTGCKFSADEERVVIELQAQFGNKWARIATYLPGRTDNDVKNFWSTRQKRLARLLRAPLRARPSKVRSSNTKAPASSLDSAMGSCQDHVPSVGNSSGGQSFAAAPPMGHQDTARISHDQMSSGFLSFEPLPLQAAAPATTEGEASSSNAAYYQLAPESSFYHHPYHLFEFPGLPERCNVDPGFVGASAMDDLAYQELLPPMHPAPMMMPFFGMEYARDAIKVETRDNFFDDLPPDVFDSFDQVPPPFSPSATNSEL >SECCE4Rv1G0268520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:743101005:743107618:-1 gene:SECCE4Rv1G0268520 transcript:SECCE4Rv1G0268520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MAISPLPLLLLVAVLLRAFASLPIQAAARGGLGPSPGIAVEKHGAFTRSLLQDMPEITEEMTRGYMSNSELEIAIQDFGRRCANVSRIYSIGKSVNGSPLWAIEISDKPGLKEAEPAFKFIGNVHGDEPVGREVLMQLVYWLCDNYLKDPLATLIVENTHLHILPSMNPDGFALRRRGNANDVDLNRDFPDQFFPNNDDIKHRQPETRAIMNWIKQEHFTASASLHGGALVANYPWDGSRDTRKQYYGCPDDKTFRYMASVYSQSHYNMSLSKEFEGGITNGAMWYPIYGGMQDWNYIHGGCFELTLEISDVKWPKASELLVIWKQNKMSMLNLVASLVKTGVHGRIFAADTGRPIPGSLMVKGIDSKINASGTFGDYHRMLAPGQSYEVVASMEGFRPKRTRVMLGREAMNLDFILDPDGAVRGTKPLRNDCGCSCNDDGGSNKPFLLREAYLWLYLLVLFFLLGLYLLFRRRMASRLAAHRHPPPKRPVAV >SECCE1Rv1G0002180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8172659:8173813:-1 gene:SECCE1Rv1G0002180 transcript:SECCE1Rv1G0002180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHAMAALDLPVKTIEAANKICRGFLWKGRRDVHGGHCVVAWDQVCTPKKYGGLGIPNLRILNAALRARWPWLMRTERDRQWNEFNIQVSPESLGIYKAATKCEMANGEVALFWTDWWLREGRIQDLMPNLFAAVKKRARKRTVRQAMDEGWWLDVSPNMSPQALTEFLQLVDRTQHIQLVDGVEDRLFWVWEPNGCFSVRSAYQAFFAGRVEAAGAVQIWRSRAPATCKFFAWLAARERCWTADRLARRHLPHPPACPFCDQEPETINHILLGCVFARQVWLSILDHWDKLTWLPTADGNLVEWWTSINPQAKLRKETWTVIALVAWMLWKHRNDVVFNGASASTSEVLRKINLQGQDWRAAGLLREAGSLPIRVDEWASSE >SECCE1Rv1G0051370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:653190808:653192797:-1 gene:SECCE1Rv1G0051370 transcript:SECCE1Rv1G0051370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEAARNIVGIIGNVISFGLFLSPVPTFWRIIKAKDVEEFKPDPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIESAYLIIFFIYATRNTRLKMLGVLAIEAVFMVAVVAGVLIGAHTHDKRSMIVGILCVIFGSVMYASPLTIMGKVIKTKSVEYMPFFLSLVNFLNGGCWTGYALIKFDLYITIPNGLGAIFGLAQLILYACYYSSTPKKGKNVELPTVKVTKNSVGGGRVSVNVEK >SECCE7Rv1G0506790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:753142069:753150190:-1 gene:SECCE7Rv1G0506790 transcript:SECCE7Rv1G0506790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMERQQQQPPPASSAAGSAVTVAVPGAGCEGEKKAPAINSDLWHACAGPLVQLPPAGSLVVYFPQGHSEQVAASMQKDVDAHVPNYPNLPSKLICLLHNITLHADLETDEVYAQMTLQPVTSYGKEALQLSELALKQARPQNEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQEIQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDERQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEELGTRRYMGTITGINDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGAKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQSSSFANTAMQSEYLRSITNPSMQNIGSGDLSRQLCLQNQLLQQNNMQFNTPKLPQQMQPNNDLSKAALPLNQNGVSIKQQEQTQDASNFQRQQQSMNYALPLNYTLPLSQAQTSLAQAQVLVQNQMQQQQSHISQNQLPSVSQPILTQQQQQQQQQQQQQQQQQQEHQQQQQQQQQQQQKILQQQQLLIQQQQLQQQQQQQLSKMPAQLPNLSNQQLHLSDQQLQLQLLQKLQQQQQSLLSQPGVTLAQLPLIQEQQKLLIDMQQQLSNSHSLSQQQMMPQQSTKIPSQAASLPPPMQPDTTQQKLPQKQALPADTLEAAIPSTKSHKFGSANGSPLRMPGATHSAVTEEIPSCSTSPSTANGNHLLQPVTDRDQYSSMINTEKAPHSTAPMSVPSSLDAVTGAQRMTKELPKLNSNVKQNMMPSKLPNGGAAHQNFANNAPPTDYLETASSATSVWLSQADGLLHPNFPMTNFSQQQLFKDAPPDTEIPAEVPSNNALFGIGNDGHVGFPMVTDDFLTNGIDAVKYENHIPTELDNNYRIPKDAQQEISSSMVSQSFGASDMAFNSIDSGINDGAFLNRSSWPPAPPVKRMRTFTKVYKRGAVGRSIDISQYAGYEELKHALARMFSIEGQLEERQRIGWKLVYRDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLDGDLGSSVVPNQACSSSEGGGNAWRARCDQNSGNPSTGSYDQFE >SECCE4Rv1G0278130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:807305619:807306089:-1 gene:SECCE4Rv1G0278130 transcript:SECCE4Rv1G0278130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSAGLALQAAAVAAMLAMLVLPSSGRCPSLGPAPPPPAQGAPPPAPTSLPAPAVSCGACAQSFGSSTCRSLCMASANEKCPCLLVQPRLCHDCTTAVDECTANCTGDGCDCGAAECDATCALFVKCIECPENQSKMCRITCTGECMRGCNGP >SECCE1Rv1G0060010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:708435546:708439977:-1 gene:SECCE1Rv1G0060010 transcript:SECCE1Rv1G0060010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPASPASRSGTPRLRRRDSSAPSTPRAGPCGLGGVGRRTTLREDVGHAAAETYLVTRLAFILLRSLGVGSRWICQLLALLIYAVLLMPGFIRVGYYYFFSSQVLRSIVYGDQPRNRLDMYIPKDRSKPNPVVIFVTGGAWIIGYKAWGALLGRRLADRGIMVACVDYRNFPQGTISDMVSDTSEAISFICDTAASYGGDPNQIYLMGQSAGAHIAACALLEQAVKESQGEEIYWSVTQIKSYFGLSGGYNMQNLVDHFHKRGLYRSIFLSIMEGRRSLPQFSPEIVVKKLTHGAIALLPEIVLFHGTGDYSIPSSASKIFGDVLKKAGAKAKVQLYKGKTHTDVFVQDPLRGGKDPLVEDVVSIIHADDAVARQKYDDSAPSPERLVSEWRIMLARQISPF >SECCE2Rv1G0135020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905109634:905112703:1 gene:SECCE2Rv1G0135020 transcript:SECCE2Rv1G0135020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAAGAGLLLALLLLGVASAADMSIIAYNAEHGARGLERTEAEARAVYDLWLAEHGGGSSPNANSIPERERRFRAFWDNLRFVDAHNARAAAGEEGFRLGMNRFADLTNDEFRAAYLGVKGAAERSRAGRVVGEMYRHDGAEKLPEAVDWRDKGAVAPVKNQGQCGSCWAFSAVSTVESINQIVTGEMVTLSEQELVECDTNGQSSGCNGGLMDDAFEFIIKNGGIDTEDDYPYKAIDGRCDVLRKNAKVVSIDGFEDVPENDEKSLQKAVAHQPVSVAIEAGGREFQLYHSGVFSGRCGTQLDHGVVAVGYGTENGKDYWIVRNSWGPNWGEAGYLRMERNINVTSGKCGIAMMSSYPTKKGANPPKPAPTPPSPPTPPPPVAPDHVCDENFSCPAGSTCCCSFGFRNLCLVWGCCPAEGATCCKDHSSCCPPDYPVCNIRAGTCSATKNSPLSVKALKRTLAMRNTA >SECCE3Rv1G0145070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5714981:5715729:-1 gene:SECCE3Rv1G0145070 transcript:SECCE3Rv1G0145070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRVVVVVEEAPASRAALEWAVRNFIRAGDSIALLHVCPPARSKRRRRRLRLRGFQLALAFRDLCDGVAEAMVEIVVREGELGETVAAAVGQLRASTLVVGLHDKSFLYRSPGPCEGASGGLGCRVLAVRQHATARHGAVEAELTQVETIRLQVPPAKIPFPIFALPLGVIWRRSSSSSSKKRR >SECCE7Rv1G0454400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2467605:2470634:-1 gene:SECCE7Rv1G0454400 transcript:SECCE7Rv1G0454400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVTTRFPKVADMVKTVDPLKLRGLESNDFFTFFEACIFGEDDKPEHYQDEFVGIARKIANKLKGSPLAAKTVGRLLQKDLSEEHWHGVLEKHQWLKQQENDDIMQSLKISYDYLPFDLKKCFSYCGLFPEDHRFTSSEINRFWVATGIIDSNHQADRNHMEELVDNGFLMKQFDWRDRWWYVMHDLMHELSKSVSAQECLNISGFDFRADAIPQSVRHLSINIEDRYDANFEKEMCKLRERIDIANLRTLMIFREYEEERIAKLLKDSFEEINSLRVLFIVVKSAQSFPYRFSKLIHLQYLKISSAYRDREMTLPSTLSRFYHLKFLDLDDCHGLSDLPEDFSHLGNLHDFHGRSELHSNIRNVGKMKHLQELKEFHARNGSVGFELSELGPLTELEGGLIIRGLEHVATKEEATAAKLMLKSNLKELKLLWGRDGPSTDADILDALQPNSYLRALTIKNHGGTVGPSWLCLDMWLTSLETLTLKGVSWSTLPPFAKLPNLKRLKLKKISGMHQFGLGCGDKCFMRLKEVGFYEMPDLAEWAVEPNCHSFPSIEEIKCIDCPNLHVMPLSEVSCTNLKRFKVSACPKMNLPSMPHTSTLTDLEVNGGYSETLLSYDGKKLVVSGYGGALASHNLDKVENMAIAKALCISLTDIEKLKSLTKLTVGRCEGLFPEELDGSIVFRSVKSLELHVSHLTSSESSSSKVLNCFPAISVLEIYGDEECVMQFPSSSSLQKLTFWKCKGLVLVPVENGGEIQEENSLLRSLTIYRCGKLFCRWPMGMGESETICPFPASVRELNVYQEPSMKSMALLSNLTSLTTLRLQECSNLTVDGFNPLIAVNLIELQVRECNSLAADMLSEVASQRAKLLLPAGYISRLENLIVGSIRGLLVAAICNLLAPTLHTLEFQYIWMTQSLTEEQEEALQLLTSLQKLSFSWCDGLQSLPQGLHRLSSLKELRVFRCPKIRSMPVEGLPVSLRKLQMDRRRAAEIEEQIEKIKRTNPDLSVEDY >SECCE4Rv1G0230010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:157172430:157172798:-1 gene:SECCE4Rv1G0230010 transcript:SECCE4Rv1G0230010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRRTGSPTYGRRRSPAGMYSAPASPAHPMGPPTSSPVHPLAARSKARAAAALAHVMARPGARVAAADYDSDENGYGEDDGGTDGRYGGGRSPLHGYVAKSAGGVKDKFFGMALPKVRIYC >SECCE2Rv1G0133270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895805974:895806798:1 gene:SECCE2Rv1G0133270 transcript:SECCE2Rv1G0133270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGTGTSHIVLPRAAPGHAGSKRTLALYDSAAAQQRADAARLSADEGALVPSPMGMAGEPIKERAEPPGIRAPKGLLAYLSLRIDLPVHFIDDKTVTATDVDPQQNRFRLPIEGVMQNLRPVLSHLDRQAANLLHVEAPRPRLPKLPKVPGEKTKKRRGKEHGGLPVLVIEPYAGIRELQLTRWESSGVCVIKGEGYMDFINNCGFGVGDVVEIWAFKQTAVRLFGVDIYQEEYPESPLFILFIKKGQMLPPPHAPVISDGREETAHKTTHPS >SECCE6Rv1G0444170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827060089:827060340:1 gene:SECCE6Rv1G0444170 transcript:SECCE6Rv1G0444170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSFSFFCPMFSFLSRSSSRYDDGYMSDWEGRPRCGSKVRSSDEDSGWWVGERDVDRKAADYINDFHQKKVSGPLAPPTT >SECCE7Rv1G0525190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:889167070:889169631:1 gene:SECCE7Rv1G0525190 transcript:SECCE7Rv1G0525190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g57430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57430) UniProtKB/Swiss-Prot;Acc:Q7Y211] MAATVAPPAAPPPTSATIRSLTAAGNHAAALRALSSLSASSAQLDHFALPPAIKSAAALRDARAARALHAAALRRALLHRPTPAVGNALLTAYARCGDLDAALALFAAMPPELRDAVSYNSLISALCLFRQWERALDALRGMLAEGRHEVSSFTLVSVLLACSHLAGEDGRRLGREAHAFALKHGFLDEGRERFPFNALLSMYARLGLVDDAQTLFRTTAAAFSPGGGDVVTWNTMISLLVQGGRCAEAVEVLYDMVALGVRPDGVTFASALPACSRLEMLALGREIHAVVLKDGELAANSFVASALVDMYAGNERVGHARRVFDMVPEPGRQLGMWNAMICGYAQAGMDEEALQLFSRMEAEAGCAPSETTMSGVLPACARSEGLAGKEAMHGYVVKRGMAGNRFVQNALMDMYARLGEMDVARRIFAVIDPRDVVSWNTLITGCVVQGHVADAFQLVTEMQLPSSTEEDGERCMPNNITLMTLLPGCAALAAPARGKEIHGYAVRHALESDIAVGSALVDMYAKCGCLAAARAVFDRLPRRNVITWNVLIMAYGMHGLGGEAVALFDEMAAGGEATPNEVTFIAALAACSHSGLVDRGLELFHGMERDHGVKPTPDLHACVVDVLGRAGRLDEAHTIITSMEPGEHQVSAWSSLLGACRLHRNVELGEVAAERLFELEPGEASHYVLLCNIYSAAGMWDKSVAVRARMRRQGVAKEPGCSWIEVDGAIHRFMAGESSHPASAEVHAHMDALWERMRREGYAPDTSCVLHDVDEAEKAAMLRYHSEKLAIAFGLLRAPAGAAIRVAKNLRVCNDCHEAAKFISKMVGREIVLRDVRRFHHFRDGSCSCGDYW >SECCE7Rv1G0474980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:180438231:180439226:1 gene:SECCE7Rv1G0474980 transcript:SECCE7Rv1G0474980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSLPCLVFGHGDDQHATTLYSVSDGTLRPCEEMEEVLRGKRSWVTSRGWLLLWDPATLATFLWNPRAAASDGDKIALPPWASPPASGTDCALSGEPTDPGGCTVVVLGQYRSSSDETAMWYCHAGGTPSPWARYVYDLGGVRTPWGKFAKRFVSGLAACGGKFYWSVREDEYGVLEFSPEPTLTTMMMKEAVEVIVPPGEEYAQAFAYSLDLDGQVHMAWIFFTGAQAGAIVDIAVYRVDLAGKRFIRVDSIGDRAILAGGSSYAFAGWCPANEFGLLPNSVYWIHPYDGRMYVYEVGSKTEEVRELGEGAPEQSQSPPFWIVPAHP >SECCE2Rv1G0114550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:736294834:736296031:-1 gene:SECCE2Rv1G0114550 transcript:SECCE2Rv1G0114550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIHVESVQTAIPTRVALELGRTLPIAVTGPPIAAAELQHRFRAVLYYRDRLEGEAMAQLEQASWVQEALSEALADHPKMAGRLWRRRACAGGGQGPWDWDVKLCDAGVRLLMASVDTTLAAFLEAEDRQSKEPALALWTDVEAKDPEKCSPFVMQLTRFQGGGYAIGACCSLLHADPLCLINFLKSWARTHAQLQAQSKLVPNQMLRYTRYFRNPAAATRRLRSIPLVSVAGDAATTVLFNVVGDAPDRRALAEACVAQASQKLGVEKPTRFTVLAGDGLGGLNVLRSCAGGDGEKTTTTTPPPGHLLRDACWQEAGLEEAVLDGCKPVHVSCGIVSPGAEEGVVVVMQAGAGAELLISATVPSRK >SECCE2Rv1G0093630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:382104401:382114347:1 gene:SECCE2Rv1G0093630 transcript:SECCE2Rv1G0093630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSPPLSSPSQTNPNPHAGGDPRSDPYMPAASDGDGASPASTELQEEAELAAPGKEELEPPTPAEEIPTPRKTRLPRACNSKPRLPPPPPLERPRRRAAAGAAADETPQCRVVTQLVSEPEAPAELARWRLRCMWELGSVLNFLYVFRALLNITVELTAEDIEAALITPNGTLYDLHMPLLKSIPPITRMAMGRGTWVTVLCRKLRDWWHWVAEGDLPIVASHGAEIEMYKELEPSTRLLILKAICDIRVEQDDIRNYIDTSVKRGYDLSTFRKERIGGDSLGISYWYEDDPILGHRLYREIRRVEQMKKEPGKRSKGKRVSTPPVVSYHWETVASTFEEFDDVAEKLFSSRNRTEVSLGKKLKIEYLPEIEKIHKKKEKLLKKQQREALLLDSYLTSDITTGRSLRDRKPVTYTFDDYDRSINEAIKITKKRENSAEPVITLNRRMLIPRPESSSNGKLNGPSLANELDDGNSSKSDDYQDSDGEQENEALDRSNRRRRRSQRYTQDFVEAVSDIDLNFDSDDDIMGEAVYDEEYLRTRKLQKTSSASEEDEEFRLEEDVEDDDEEEEEEFSAGTSEDIEEPQRHKKLRSQNGRGAKLRSVDEIQTGLRRSKRSSRPRINYQQYDFSDTDAEVGKAGKSDASDPDANSDAENGMELSTSSQEQQEAEDDGPEELKVSNGNNKMAEDHAVAANKEQKEQPQLQPESVEKMYAPSRESGSVGRTFLDLNELAPGGGFEEGPSLAMKDEDMDNS >SECCE7Rv1G0459500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26095482:26096204:1 gene:SECCE7Rv1G0459500 transcript:SECCE7Rv1G0459500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLDCGAIDCGDCGDCGDCCVECFDCGDEPCFCFVCFSDAISGKALLRILCGLVIFAVLATVVTLLVIALVPRRVGASMEDAALARLALVDKNATATALAYDISIAVAVHNGNWFMPAQHTAPLYAELLFDGARFARVGLATAGSVVRPRRTEVYHATAADDSASVALGSAGVEDFVQEKAAGQFQLQVKLVGEVMYRPHHKKHRLDAICRVELALSTATSPAMFKKVKCDVQKGHGVH >SECCE3Rv1G0191110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:746377849:746380702:1 gene:SECCE3Rv1G0191110 transcript:SECCE3Rv1G0191110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGDASGDWLARLAGLGSYVCSKMNYHEDCIHEYAGIHSAFHRVLEEKEKVEQEHKARLQLIAAKEELVKRNEEQQAEIQSLKRKLQASEASDTPAQGSGREHNQSGRVQIASVQKGKRQFEGHAGEDAEEHNAAEILCAMNNLERGLSTELRDAREETSNINAELIKGFLDMGGVGRQNITVKYMGQLSERPFILACLRKFLRKEAEAEASRLCKFWQEQLMNPEWYPFKTDTIGGISEETINDDDVKLQELRATWGEESYKALVNSFLEFKECGKLSDRTIVAQLWNVKEDRKATLSECVEYVCSKVKSLSNENGRTSTRGKRGHRGGRA >SECCE4Rv1G0248800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:575775419:575776651:1 gene:SECCE4Rv1G0248800 transcript:SECCE4Rv1G0248800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPMKFHGVKLPSIAHHSRHWHYVFPAAVLTTCLVVLAAVSLPGRVPLMLPAVTRMTGHGRGVNRSCDIFKGEWVPDPGAPRYTNETCPVIHGHYDCMRYGKPDLGFVRWRWRPDGCELPRFDAARFLGAMRGKSVAFVGDSLARNQMHSLVCLLARAERPVPWTKAGYVYRFERHGFAVADFWSPFLVRAIETDPDGPAPGGAGLWSLHLDEPDAGWAAHAGMFDYIVVSAGSWFYRPSLFYERGRLVGCSGCLAPNVTDLTLRYSLRLAFRSALRAAVGAPGGRSRTIIVRTISPSHYENGTRNEAGDCVRTRPLRRGEWEMDEEQKEMRRIQVQEFAAAEAAARGKGVRMLLMDAAEAMALRPDAHPSKYRLWEPEKFTVSRDCLHWCLPGAMDACNDMLLHMLIG >SECCE3Rv1G0200060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:850773189:850773977:1 gene:SECCE3Rv1G0200060 transcript:SECCE3Rv1G0200060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYYQQAAPVARRPWAKEEDKVFEAALVMLPDHAPDRWERVAARLPGRTPQEAWEHYQALVADVDLIERGAVDTPDCWDDDDDEACATAAAGRGRRAGKPRGEERRRGIPWSEEEHKLFLDGLEKYGRGDWRNISRFAVRSRTPTQVASHAQKYFIRQANAATRDSKRKSIHDITTP >SECCE4Rv1G0296510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903293522:903298515:-1 gene:SECCE4Rv1G0296510 transcript:SECCE4Rv1G0296510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLGILLLAAFAVFFSLQHEGDFSFREAWYHLADDGYPIKHDADRLPPPLVADLNGDGRREVLLPTHDAKIQVLQLPAHARLATALDDFHEARVMAEISLLPANVRVAAGRRPVAMAVGAVDRSYKQADARKQVLVVVTSGWAVMCFDHNLNKLWETSLGDDFPHAAHHREVAISVTNYTLKHGDAGLVIVGGRMEMQHHSADLFDDFMTSEHGREEHRRSATEKQALEAGNVDVRHFALYAFSGRTGVLRWSRKNENIQAQPSDASAMIPQHNYKLDVHSLNSRHPGEYECRQFRESILGVMPHHWDRREDTFLQLAHFRKHKRKELKKTQGKNVVNNVHKPVEHNPLGKDDTNRISKVIGKAADLAGSAKGKKSLHTVYIPTITNYTQVWWVPNVVVAHEKEGIEAIHLASGRTLCKLHLTEGGLHADINGDGVLDHVQVVGGNGAEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNHLNLFHHGDFSRSFGRTFDPSGLEVATPVLLERDDGHKHRRGSHGDIIFLTSRGEVTSYTPGLLGHDAMWRWQLSTGATWSNLPSPSGMMENVVVPTLKTFSLRAYDPKQVIIAGGDQEAVVISPDGSLLTTIDLPAPPTHALILEDFSGDGLTDIILVTSGGVYGFVQTRQPGALFFSTLVGFLIVVIGVIFVSLHLNSSNGSKPRSSTGYR >SECCE5Rv1G0317500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:344892843:344893430:-1 gene:SECCE5Rv1G0317500 transcript:SECCE5Rv1G0317500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNQQFSLLKQPIYSILNQHLIDYPTPSNLSYWWVFGSLEGICLVIQIVTGIFLAMHHTPHVDLAFNSVEHILRDVEGGWLLCYMHANGASMFLIVVHLHIFRVLYHASYSCPGEFVWYLGVVIFLLMIVIAFIGYVPPWGQMSFWRATVITSLASAIPVVGDTIVTCIWGGFPVDNATLNRFLVSIIDSPLF >SECCE5Rv1G0360700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:774175059:774176378:-1 gene:SECCE5Rv1G0360700 transcript:SECCE5Rv1G0360700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAARSRPFLIIVDMVKNYDLLEDPGSLGLEVVECKRSAVGCGPTGARVLEGVSLYLVRGGDDDDPERYPRMCVRVSDQAFDLLSAEIDDRTADIWGRDLHMGCRVFSMQGDVMVISLVFIAQHDPRPFRAYYLVYDSATASLFMIPYLPPHCRTIGTPCPLPVRHPDDGRYTLALLAYRSDCLGDHEPDALCLWSLPPLNDNMNPPALPPAPNDKDPWVAKSRRPSNTDRLNAHVVFSSKDYAFWVDLKQGILYCSISDLLNGEEPVDLKYIPLPEECSMPTDMMDAAHVHRNMGLDGDSAIWFVAIEPSSNSARRTNVKVWTLDLTQLVSKKKTKKWQKVREFKMQSIRRLYAFRKKGLPRTDPRFPVWRPQRGGGVLYMLLPRHNDRHGYLVGIHVGCSTSKMRLLSCQSISIPWINHPVVLPSDFFTLGDAIV >SECCE3Rv1G0173880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:364072320:364074325:-1 gene:SECCE3Rv1G0173880 transcript:SECCE3Rv1G0173880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTGGVAPAPSRAAAAPSKLPNASEPKKRKTSAKKKAADGSGSSKKKKLAGRRTAPASTEAPASSIVEPAADAHHVLDEMPPSLNDDAYMSTMGVGSNNSHWSQNNEIHLDDHEFEVDEEGEGIVEAPKGRAGNYITNVDKLLCNTWLQVSRDPSVGGDQSRDAYWGRMKEHFDAQNVSGIDRSERSLRSQWSTINSNCQKWAAAQKAVDKLNPSGTNEDDRYNIAQNLFKEETRRTKKGKIKKGKIFTLTHCYEVLKDDEKWKKREDLDDLHLSNKRKRTIELNDDDEEDDASSEDGKRSPTPNSVSYSKPKRPDGCKKDKTEKKKRKGDDELTNAMEAIVKARKEANEVRKMARNQDATAEERRLAAEERRVAAEERKVTLEERKVGMEERAKLLEWEKHLFFLDTSLFNEAQMEYVNLAREEVLIQKRAMIRTMDGGGLGGMGGMGLGTMGGLGGFGAMGGMGAPPAAMGGMGGFRAPSNAMGGMGGFGAPSNAMGGMGGMSFASLMGGMGAPPAAMGGMGAPPAAMGGMGAPPAAMGGIGAPPAMRSGMSFDVPHTHTHENAIEELAKTVGATRDAMRDKVREEDSSAEEEEESSAKEEKEEEEDEEDG >SECCE1Rv1G0000360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1346059:1347727:-1 gene:SECCE1Rv1G0000360 transcript:SECCE1Rv1G0000360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESSGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >SECCE1Rv1G0041830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:574353542:574354105:1 gene:SECCE1Rv1G0041830 transcript:SECCE1Rv1G0041830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTHIICALAVSLGAIALLSGSTDAACAGDPSMSVADACHKTSAWQGQRELELCQKTLHGAKDGLTASAYGVVAVRAALQSSEATEGAGKKLAQDPKISEGARAMYQSCVDLYGFARADVAAMEGALKACSPADFRRVWEGALASVDACARKLRLVDGDVLRRTVSADRERIMLAFILGGLSFPKW >SECCE5Rv1G0300170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:23366861:23368708:-1 gene:SECCE5Rv1G0300170 transcript:SECCE5Rv1G0300170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSAQPLASSSASSSIQHHHGRRRGASSLRFAPRAAAAAADSVLIAASTSTARTPAYVSSLSTRTVPGYEQSAPPAIASPEEQGGSGKDGQSGLNFFQRAAAAALDAFEEGFIHNVLERPHALPRTADPAVQIAGNFAPVGEQAPVRALPVSGRIPPFINGVYARNGANPCFEPTAGHHLFDGDGMVHAIRIRNGAAESYACRFTETARLSQERAVGKPVFPKTIGELHGHSGIARLALFYARGACGLVDPSHGTGVANAGLVYFNGRLLAMSEDDLPYQVRVTSAGDLETVGRYDFDGQLDCAMIAHPKLDPVSGELFALSYDVIKKPYLKYFYFHADGTKSADVEIELDQPTMIHDFAITENFVVVPDHQMVFKLAEMFRGGSPVMLDKEKTSRFGVLPKYAKHSSEMMWVDVPDCFCFHLWNSWEEPETDEVVVIGSCMTPADSIFNDTDDRLESVLTEIRLNTRTGESTRRAILPLESQVNLEVGMVNRNMLGRKTRYAYLAVAEPWPKVSGFAKVDLVTGELTKFEYGEGRFGGEPCFVPMDGEHARPGAEDDGYVLSFVRDEAAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFIGAGDLEAQH >SECCE6Rv1G0387920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:126322887:126326955:1 gene:SECCE6Rv1G0387920 transcript:SECCE6Rv1G0387920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarylacetoacetase [Source:Projected from Arabidopsis thaliana (AT1G12050) UniProtKB/Swiss-Prot;Acc:Q8RW90] MAEGKLRSFVEVPRESHFPIQNLPFGVFRRRGQPEGPPRPATAIGDFALDLAAVSAAGLFDGPLLSASPCFHQETLNMFLGLGRPAWKEARATLQKILSADEPVLRDNEALRKNCLVPMSDVEMLLPITVGDYTDFFCSVHHARNCGFIFRGPQTPVNPNWFHLPVGYHGRASSVIISGTDIIRPRGQGHPTGSSQPYFGPSQKLDFELEMAAIVGPGNELGKPIDIADAEDHIFGLVVMNDWSARDIQAWETIPLGPFLGKSFSTSVSPWIVTLDALKPFACEAPKQEPEPLPYLAEKNHINYDIPLEAWIKPKEQSDASVVTKTNFKHMYWTVTQQLAHHTVNGCNLRPGDMFATGTLSGPEPDSLGCLLEITWNGQKEISVGNSVRKFLQDGDEVILTACCKGEDYNVGFGTCTGKILPPLP >SECCE6Rv1G0398200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:414903701:414909706:1 gene:SECCE6Rv1G0398200 transcript:SECCE6Rv1G0398200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAADNDLEEQLKDVGARLQEAPDDSDGLLKLLYDVEKYLLRVEQSPAASTFAAVRPAMDALVRNELLTHPNAEVRLAIASCISEVTRITAPEAPYDDNLMRDLFSIIVGTFQNLDDIESPSFVRRVSILETVAKVRSCVVMLDLELDDLILQMFNHFFATVTSNQPEVVISSMVTTMKLVIDESEEIQTALASYLLQKARNEERETSPASFELAEKVISSCEDGKLKPIFLQLLQVQGTPLDEYSKIVTLVCEGDKVVREDNNVDPSGKDTVDDGKLSERTISDELPQESSKLEQDGTPTTAISSGATPVDNGEANQGPASPKGPASPKGPVSPKGPASPKDKPEQPEDAKDADQLKSANDEGVKSVDAKPKKASDVDSDKDLKLKPCKSVATPHSNVDVDKEAHVVSGELSGDKKVVNGVADNVPKRADTTPDVVKPKRGRPPGPKLSEKKAARNNQSSDLDAKKTEEAMDSTGKLTKRSAKDDIKSSTKKTGEGESSKKQQKPSLKQHKEEILSEEDTPKDMTLKEMVSPKSLTKGSGRTKGQNGENNVVKRKREQDTEETPRSRKDKGLDGSLVGARIKVWWPDDEMFYKGVVDSFDTHSKRHKVAYDDGDVEVLLLRDEKWDFISEEEGKTPDVTSEMRRGRKGRGITLLPVKEEKIETPKSDGGELPKKRGRPKGWRPNNGGTPSTTPSKSKGKTAIKDAKGTPKTASEIKKEGKEKATGSANKTKDDLAKDSSNQKLKEVGSKGKDGSKSGDGSVKGRPGRKPKSAATTPVAGSGSGSVQEKRKEKEVQEENEEAEASEMEQEASVKGASSTGKKRRRKA >SECCE6Rv1G0388130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:129732968:129734704:-1 gene:SECCE6Rv1G0388130 transcript:SECCE6Rv1G0388130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRRCSRLRRIVGGVSVGALLLLAGGHNAYSGRPVFSLPLGLGTPFPLVADSPAPPPFPFAANFLPSPSPQSQYSPFCLSDDSLARRLLPLRLRASQSSPQQDADAVLLPDQEVLILDDAEPTGDTICAFQGGASSPARPLGRLPASGLHVYVCRLPVPAQSFQQLQAPLVLHSSTSSAAAAAPDSPSHSPGYALLNWSSDPIVFDLALLDGGDVLVFAKGVSSRQGLQCLYRYSDGTDTMLTSTPAITSVQQVTCCPSPPTPIKSGGSTKVLVTLGVTGEDPMPSLATFDRQQAESNSLTPHKSSICACTMGRNISKFLREWALYHSAIGVDQFFIYDNGSEDNLGGLVAQLISAGLNITTVPWPWTKTQEAGLSHCAATQQASCQWMAVMDVDEFIFSTSWAGLEMLSKSLLEPVISVDDSVGQIYLACYDFAPSGQTAHPLEGVCQGYTCRLKNPQRNKSLVRLDAVEPSLMNVVHHFKLKPAFKSIWTAFACVNHYKYQAWSEFKVKFKRRVSAYMADWKDPINLDSKDRAPGLGVDDVEPEGWAQKYCEVKDNILQLLTARWFGVGFGNPH >SECCE6Rv1G0415660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:643019007:643022544:-1 gene:SECCE6Rv1G0415660 transcript:SECCE6Rv1G0415660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSCHNLLDLADELPPLPPSPLRLPRVMAAASPSSPASPAPQGPPRRVIVSHRLPLRASPDPAAPFGFRFTVDAGTVAYQLRSGLPPAAPVLHVGTLPPAAAEAASDELAAYLMANFSCLPVFLPADLHGKFYHGFCKHYMWPLLHYLLPLTPSTLGGLPFDRALYHSFLSANRAFADRLTEVLAPDDDFVWIQDYHLLALPTFLRKRFPRARVGFFLHSPFPSSEIFRTIPVRDDLLRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETADVVRQVADAYKGRRLMLGVDDVDLFKGIGLKFLGMEQLLVENPELRGKAVLVQITNPARSEGRDVQEVQDEARAISARVNERFGTPGYTPIVMISRPVSEHEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESTALGDAPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESVAEAMSSALRMSDGEQRLRHEKHYKYVSTHDVAYWARSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVEHIVPSFRKTENRLILLDYDGTVMPESSIDKAPSSEVISVLNRLCEDPKNRVFIVSGRGKDELSKWFSPCEKLGIAAEHGYFTRWSKESPWETCGLVADFDWKKTAEPVMRLYTEATDGSYIEHKESALVWHHDEADPDFGSCQAKELLDHLESVLANEPVVVKRGQHIVEVNPQGISKGVVVESLLSSMVRGGKAPDFVLCIGDDRSDEDMFESIVCPANGRVKLPATSEVFACTVGKKPSMAKYYLDDTVDVIKMLQGLANAPSQQRPWPVQLRVTFEEGNGV >SECCE1Rv1G0009590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:55790425:55790912:1 gene:SECCE1Rv1G0009590 transcript:SECCE1Rv1G0009590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMCLCFIILTIAVVVSADECEGDRQEMIKQCAKYQQWPANPKVNPSDACCAVWQKANIPCLCAGVTKEKEKIWCMEKVAYVANFCKKPFPHGYKCGSYTFPPLA >SECCE4Rv1G0245030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:524177225:524180649:-1 gene:SECCE4Rv1G0245030 transcript:SECCE4Rv1G0245030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein At-B [Source:Projected from Arabidopsis thaliana (AT1G55590) UniProtKB/Swiss-Prot;Acc:Q9ZWC6] MEKKPRAAGDGQGGGETSGSVGGGLVDILPEALLVEIVGRVGLEAACSAAASCRALRGAAGAALSSVASLDLSAFTPTNAIANRILAGNGRLCSLTVNCSLLNDSAVAAIAKESLRELSLLKCSSFSPYLFVVIGERCTNLRSITLEMANLNGSEHFVICRKSIAHMFKGCDYLENLSLKFPLLAPGSVDFDSLVPVIPSTIKVLLLMPMANWQAKKLFPISSSLKTPFSDSLESLSLVLDIITDELVTFITRSLSNLLELCLEDNPGSEADLDNDLTNIGLQALGLCQNLTHLSLTRGKQGCSSTFRRVNDFGLLMLAEGCKQLQTIRLGGFSKVRDAGYAALLHSCKDLRKFEVSTASCLSDLTCLDLDEAATKITEVRLLSCGLLTSETAISLSSCTNLEVLDLSGCRSIADSGLSSISQLSKLTLLDLAGADITDAGLSALGNGNCPISSLCLRSCRRITNNGIASLLCGSGTINKTLVAFDIGNVPRISGRAVTLIAKNCERISSLCLRNCVLITDPCLETLGLDRHGSGKSTLRMLDLSYCTRLSRNFLRLFDPLVDLPLFRGLRWLGVGKNVLERRGGSPTVAEILERKPGLTICGSNCEMGCRNQCHPDVRTL >SECCE5Rv1G0314640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:273409073:273410689:-1 gene:SECCE5Rv1G0314640 transcript:SECCE5Rv1G0314640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNGGPKKVVAPMEVSVEAGNAGDAAWMDDDGRPRRSGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPSVMLLFAAVIYYTSTLLAECYRTGDPATGKRNYTYMDAVRSNLGGPKVIFCGVIQYANLVGVAIGYTIASSISMRAIRRADCFHANGHGDPCKSSSNPYMILFGLVQVVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGITQTISNGGIKGSLTGISIGVGITATQKVWRSLQAFGDIAFAYSFSNILIEIQDTIRAPPPSEAKVMKQATRLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDIANVAIVVHLVGAYQVFCQPIFAFVERWAASTWPDSVFISREFRVGPFALSVFRLTWRSAFVCLTTVFAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIRQRDVPGRSTQGVCLRMLSVGCLIVSIAAAAGSIANVIEALKVYKPFSG >SECCE4Rv1G0216580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:11962139:11967104:-1 gene:SECCE4Rv1G0216580 transcript:SECCE4Rv1G0216580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYRCCGGPFFEHIAIIVVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTDKDRKYAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAVLISVTLILLFGEIIPQSICSRYGLAIGASVAPLVRVLVWICFPVAYPIAKLLDHVLGHGKAALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELSEKKAKDAMTPLCDTFVIDINAKLDRKLMQEVIEKGHSRVPVYYEKDTNIIGLILVKNLLSINPDDEIPIKSVTIRKIPRVSEDMPLYDILNEFQKGHSHMAVVIKQNIPSYPAKQPSIDGESLEVAIAIDEKQGEKVAKSLTPLRRWKSYPNTLNSNTGSRRGKWSKDQSDVLQVHEEPLPTLSEDEEAVGIITMEDVIEELLQEEIYDETDVHVEEQ >SECCE1Rv1G0026720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:373941479:373942144:-1 gene:SECCE1Rv1G0026720 transcript:SECCE1Rv1G0026720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADRWRAAANVVSQALLQAVGTPEEVFRSIDPAIAKFQARAELLRAIRDGATHEEATAGYVEPDPAGVLPAELLEDARRGIKRRRSLHGLARGLSLCAHGLGRAPNAETERSWGSCYSAVLTHARDAQTRLRNAASLNKAAVDAIDLASVLPFGAPLRVAWMGAAEQLTRLAAREATMARDYMIMMRGAVGLQCWVAWAMMGPAPGALGGGINNQVHHQ >SECCE7Rv1G0476470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:205446808:205447062:-1 gene:SECCE7Rv1G0476470 transcript:SECCE7Rv1G0476470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to CLE family OsCLE302 protein [Source: Projected from Oryza sativa (Os03g0260432)] MAMVCCSRRQDRPAVGGLLVSWLLIAVLVQSSLLGSTFLLAVDAARTSAFMAMAPLPVAMAPSPSGLKDDKRRVPTGANPLHNR >SECCE1Rv1G0001650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:5628590:5632103:-1 gene:SECCE1Rv1G0001650 transcript:SECCE1Rv1G0001650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASSNAVKSLVGKLGSLLAQEYTLIQGVHDDIQYINDELASMQAFINRTKHAGGHDEQRQDWMKQVREVSYDIEDCIDDVNHRLGHEPRGTGKLMYLKKKWYLLKTLYARRCIAAEIRNLKVRAQHVSDRRSRYGVENLTGSQIDEDDAPTDRVTPPELIGTKQPVGVEDAIKELGDWFQEGAPMVPKRFLAIDGFGGLGKTTLALELYRKFGDGFDCRAFVQVSQKFDLLMLLTNLVMQFREQQASDSQNDSSERVEELGEQKLQVELRRQLKNKRYLILIDDIWSVSAWEKINDSLPDSSKGGRVVVTTRFRSVAVACCRQNGFPYEHKPLDEEKSYNLLRQIIPSAPHHPTDVASDVLKKCGGLPLVIIVVAGLVASKLRSGPSSSTLDHYLPEVAKALSGELGTTLTTQGVTKILEKCYTDLPADLKTCLLYMSMFPKGCCISRKRLIRRWIAEGFIIEKHGKTMEEVAEDCFNELIIRNLIRAVNNSSNGKVKTYQIHDMVLEYIVSKSSNENFITVVGGHWQTPFPSYKVRRLSVQRSEEKEKVERMKLSHVRSLTAFESFKALHSCLLKFQILQVLDLESCRDLSLHQLEKICKMHQLKYLSLRGTDIGEIPSHIGMLKYLEVLDIRETKVRQLPPSVDLLKRMTHLLTGNKSKRMAVTLTEEMTKMTALETLSGVGIYGSSSAAKTGLFKAASSGTSNEVIQSLEKLTSLKKLSLYIIGILGEENEKSLLSAIEHLSSCSLKFLAIDDGFTGFLDNSFSSSKAPPEHLHTLELSGKLPKVPQWIVRLHSLGKLTLSLTSLKTETLIVLAELPELFSLIFSVNATRANDPSVHTVVDQNTMESGGEIFVPAGGFGKLKLLRFVATVLPPLSFLEGAMSVLQRLELRFRISDGVHGLENLESLQQMFLTVSSKAPKAAEEIKRLASKIGSLTSIIVDEYNESSVDQGE >SECCE4Rv1G0242510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:479917803:479919488:1 gene:SECCE4Rv1G0242510 transcript:SECCE4Rv1G0242510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSDPAMLPPGFRFHPTDEELILHYLRNRAAESPCPVSIIADVDIYKFDPWALPSKASYGDREWYFFTPRDRKYPNGVRPNRAAGSGYWKATGTDKPIRCSATGESVGVKKALVFYKGRPPKGIKTNWIMHEYRLAAADAHAGNTYRPMKFRNASMRLDDWVLCRIYKKTSQVSPMAVPPLSDHELDEPSGAGAYPMSSAGMTLQGGAGGYSLQAAAGGTQRMPKIPSISELLNEYSLAQLFDDSGHAALFGHPIMSQLHVNSSGNNMSQLGQMDSSASTSVAGEGAAGKRKRPSEDGDHNGSTSQPAAAMTGKKPNSSCLGSATFQTGNNTLQGSSLGQGHQTLLHF >SECCE1Rv1G0016520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:139084159:139086652:1 gene:SECCE1Rv1G0016520 transcript:SECCE1Rv1G0016520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLWHASVVVLVLLFIGGSDANPAARRHGQLDVNHEKKPLQTSRPYNIAHRGSNGELPEETAAAYLRAIEEGADFIETDILASEDGHLICFHDVTLDDTTDIANRTEFVGRKRTYEVQGVNMTGWFIVDFTLRELKSLRVKQRFSFRDQQYNGKYNILTFDEFILIALHADRVVGIYPEIKNPIFINQHVKWSGGKKFEDEFVETLLKYGYKGKYMSEDWLKKPLFIQSFAPTSLIYISNITNAPKLLLIDETTVPTQDTNQSYYEITSNGYLAFIRKYVIGIGPWKDTIIPPENDHLGPATDLVARAHARNLQVHPYTFRNENKFLHFNFHQDPYAEYEYWLREIGVDALFTDFTGSLHRYQEWTTPHQNKEKKCREPPA >SECCE4Rv1G0240760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448138698:448139153:1 gene:SECCE4Rv1G0240760 transcript:SECCE4Rv1G0240760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAVEMELRKRTIDYNPKRTRFRKQHRGRMKGKSCRGNRICFGRYALQALEPAWITARQIEAGRRAITRYARRGGKIWVRIFPDKPVTLRPTETRMGSGKGSPEYWVSVVKPGRILYEMGGVFETVARGAISIAASKMPIQSQFIRLEI >SECCEUnv1G0540080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78344585:78346610:1 gene:SECCEUnv1G0540080 transcript:SECCEUnv1G0540080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual-specificity tyrosine-phosphatase CDC25, Arsenic metabolis [Source: Projected from Oryza sativa (Os03g0108000)] MCKPRQTKRGQESPPSSPARPRPRTPRRPETMARKGVSYVTAAQLVSMVRDPRLAIIDVRDEERICDAHIAGSHHYASDGFADRLPEIAEATRAKETLVFHCALSQVRGPSCARMFLDYLSEAKEESAVKSITVLERGFNGWELSGRAVCRCKDAPCKGVCP >SECCE4Rv1G0249190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:579561493:579565912:-1 gene:SECCE4Rv1G0249190 transcript:SECCE4Rv1G0249190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLKNKKKKGHEWDLNDWRWDGNLFLATPSSNADAPSGCGSRELGRAEEGVSFGAAADKSRRRRRVTTVDNPEECSNTANAIPHERFAVRRGQIGEEEGPASATAGASSSSAPSCQVDGCHADLGDDRDYHRRHKVCEPHTKSTLVRIKNIEHRFCQQCSRFHLVQEFDEGKKSCRSRLATHNRRRRKAPAEAVSSLSENQSLTNTLLLLLRQLAGQDSAASSSEQINGPNFLVNLLKNLAAVAGTQACQDMLKDATSSNAGNYVGNQSGPPVHAEEPLAKRRAQNFDLNNAYVEEDESRTDKIVFKLFGKQPNDFPADLRAQILNWLSHYPSDMESYIRPGCVILTIYLRLPTWMWDKLNVDPAPWIENLISISTHGFWETGWLYARVQDRLTLSCNGRLMLVSPWRPVIGDEHQILCVTPIAVAINSTANFSVRGFNIAQPTTKLLCIFGGKYLIQEATQILHDDTTVQQGPQCLTFSCSFPSTSGRGFIEVEDYDQSSISFPFVVAQESICCEIRMLEAKLNIIAFGEGREDLMASRSQALKFLHEIGWLLQRSHARATSSKAPQQHQHRVVGFPAARFRWLLSFAVDQEWCCVLKMLLDTLFQGNIAVASPVEFVLGESLVFAAVNKRSKPLVACLLRYTTKSAPMGSGAVTTPARFLFTPDMTGPSDITPLHVAATITKAGANAGRYRPAIDRSGAGGRREWGGTTAPGDGSVAGRGAVHYHQPNAAAVLDALTDDPQQLGIKAWKNARDATGYTPEDYARRRGHTSYIQMVQNKINSRLPAAHVSVPMTTTGIAEKHADAGRPRSTDQTVFDVEKSPPGCRQCVQLQHIAYRPCPNRFLSNRPAVLSLVAIAAVCVCVGLIMQSPPVVRGVPGPFLWNRIRWGPT >SECCE4Rv1G0280210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:818828635:818829218:1 gene:SECCE4Rv1G0280210 transcript:SECCE4Rv1G0280210.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLCPSKQLPDVHPIKPKVEPCEDSQSPAPDASEDSETTPLSCNHPFFTIILSRSHVQKPFQLYIPGRFHKHLPEERTSATLICCGRSWAMRYCGDLKMKKLDADWMDFAVDNRLQVNDACVFELVTGSREEVVFQVQILRGDLLKDITSKGYTADEPLVIVG >SECCE6Rv1G0388220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:131183071:131186001:-1 gene:SECCE6Rv1G0388220 transcript:SECCE6Rv1G0388220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKEEAIRAKALAERKLLEKDFVGAKKLIIKAQQLFSEIDNISQMLTVCDVHCAAGTKVNGELDWYGILQVPAFTNDDTLIKKQYRKLALLLHPDKNKFAGAEAAFKLVGEANMTLTDSSKRSAYDMKRRVSVRVGAARPSPFQQSRRAAPVRPVNLHQPSNSAGTQQTFWTMCSSCGMRYQYYTAMLKKAIRCQSCLKPFIAHDINEQAVPSGADRQYAGVKNAGAPQNFAGCPPNAPGQQAWNNATPGVHANYGSHKAAANTNKKKGEDGNSASAAGVPNEKAKFARTSKGSSAAGSKRGRRAVSESSDSETTTDSEEEITVDGAAANNAKPSQHSRRSSRQKQEVKYKEESEDEDIGDDGNDEDDNNVSSSNFKRLRKGGVLHGDDQSSTPKFDEDKTGHNGHTNGLNHEKEETFNSVSSNGLDPNLDDASDEEKFSCADPEFFNFDELRDVSQFRPNQIWAVYDSHGCMPRFYARITKVKMAPKFIVHFVWLELDPTNEAEVAWSRAELPVACGHFKHGTSDTAKEANMFSQTISCAKSKTKNSYEIYPRKGEVWALHKGWDIGWSSDADSHTDFEYELVQVVSDFTTSTSIIVMPLVKIKGFVSLFMQSKEATPCVIPQDNTLRFSHCVPHHFMRGTEREGIPEGAIELDPAALPLNLEEAFTSVVLESTVKGKGVDTKYAGSSSGINSGKGSEKVGEVQHASCMNTGLFTRTTKEENKEHHTPSTVEGVDVNEESDGIVQDEFECPDSEFYEFTEIRSIHKFEPGQIWALYSDMDKFPNYYAIIKKVDLKNNKVQVKWLDLCPRGEEEKRLTGKEDRTVACGIFRVSPGNDGTTTYTGTESFSHPVVARSTGRKNEYEIIPRFRDIWAVYKNWRAGWTAEDFKNCEYEFVEIVGQTDSSIQVHPLGKVDGYRAVFRREAGVKTISKDEYPKFAHHVPCFHLTNEKAGKLRGCFELDPYSVPEAFLFTS >SECCE2Rv1G0118910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:786516577:786523263:-1 gene:SECCE2Rv1G0118910 transcript:SECCE2Rv1G0118910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGILEVRCAGCGETLEVEHGLTEFACPDCGMAQSLPPELMPPRPRRALPLPGRGAPYAAPAAPARVTCGGCGSVLSVPHGPGRFACPLCGVELASFPLAAVPVVVSPTAVPISSPRPMNASEVQQPSSQSARAGMVQKPIHSEPTHGQQYKHFFGEKSFNSFRAEPRTHIDAPRTLLIEHPNPSVCRDESHTEPVQGTLARPGKRTQIDAARTLQNELPNASIHREESHTDPVQGNIAMSGKRTYRFVFGPKSWQEGNVEKEQPNQVVHASQAQVMPTESSVHTNQAVGRFPDDPIPIHSKQITEHVDVPSATEHGQMRSQHQVVHEQQAGENPTDTVHMEQEKVDSPCKPSSENRKSAENTKGNHNRKNSNLINVHASQAHVMPTESSVHINQADGGFPDDTIPKHGKQRTEHVAVPSAIEHEQVRSRHQVDHEQQEGENPSDGVHMEQEKVDSVCKPSNKNIKSTKNTEGNRKRKNKNLMNSSNERPQLRRSKRLSKGSSPELIDVEPIQKLDASPHQNHSEAPQIGNSIADQTLSPETGWALPNPGSSSSHEHEIPQKSFNGIDQLDGSDEELHSSPSDGQNQYMDGQVAEAACSGKNHSEQVRLKPHSKNFAEHGRPINLAASCSRLAALLPVPASATLPTISPFSSPEKLPPQCSSPITPHNQPQAVVYSQDAQCDDMLSGSLSKSSKKRRGRGPSVLVEPREEADRPVLTPSGTDNWSVHPPYPKKVATTISLLIKQNYPGTCISVDDEGRSCEVVVHYWHQCPPDVRATVLDEFLKRYKWAPGHEEECQKIFERKAVRQLVNLFCYEKQRVREELAAKKFKGSSAVGRANGELEKADNKEDLEDRQGDGSVAENDDPLNWKPFVPDWMQPAWWEMLCDHWAQDEFMKVSYQKRKNRSAGGHPSAAAGSQIIAMHQHPNDTTSWHAEEARDPLLGPHPVQEQVGSSKRERYHGTPVASKKAQTDSSSKSSPDFLSRQGQEPRFTQEQVQLMINQALQGLNETWEKKFLSLEQNMRSVPSARAVPVGAKTRSAVAVARDKRCKISRQGTLDSAEREEDPDDGEEQDDANWS >SECCE1Rv1G0051330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:652932719:652933156:1 gene:SECCE1Rv1G0051330 transcript:SECCE1Rv1G0051330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYVNVMMAVVLLIGCLAAIGQLGRVEAGRSYREGQQADGEASKLTVKFCVRRDCETKGEPIYTACFCCMTLPNIPCYFTRDECQGECPNTGPPALPASAVGNGTAYAHEQMDGN >SECCE3Rv1G0163550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:148831722:148835127:-1 gene:SECCE3Rv1G0163550 transcript:SECCE3Rv1G0163550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAQPIASAEASPAAQAKRRGRPPKAPLAAEVEAPRTPPPVSPPTAAAEGYEREREARIKENMERMQKLGLVDLATRFNQSATPASTGTGRGRWRRRPETPGSPSAVAPRIRTASPMPARRSLRLKSVEPVRYVEICEKKEKGLDRLRPFSIEEGCKEEVYTEEHEKLLGTCGTPWTLFVDGCGKDGKRIYDQVRGQTCHQCRQKTLGHHTRCCNCQIVQGQFCGDCLYMRYGENVLEAKSNPNWICPVCRGICNCSICRTKRGWFPTGNAYRKVVSLGYKSVAHYLIATNRAGAKSGDSSSADSSNELPSADMVSEHAPVAKQDADLSSNAMNDAGEVEQKEGNMKKKKKEGNMKKKKAAAIKDEAKAPRKKITADVVCKDDGRSESGVTFDSLECHQDVGCVTPSKPESKKKRKWVEARSPDCVASRLRSRSAPKS >SECCE5Rv1G0352120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:706961117:706977627:-1 gene:SECCE5Rv1G0352120 transcript:SECCE5Rv1G0352120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSTLLSKVVFAAPQQQPPPPPPPPASPLHAQDGDQADPATPRLSSASASASDDGRASAASGNSPSAARGKNELVSDFRRFWEEFRSSSSEKEKERALNLAVDVFCRLVKQQSSVAQLVTKLVEAHVFAFVIGRAFVTDVEKLRIHSKGRSLHVDDVIGFFSEITELGICPGSNLLYAVEVLVTETIDKQPLLDSGILCCLIYILNSLLSSDESSKKSSPVGGEGSASGKNKDWGPLQSRRLEIEASVVHIMKALASHSSAAPSLIEDDALQLLFHMVANGSVSLFSQFKEGLVPLHTIQLHRHAMQVLSLLLANDNGTSAKYIRKHQLIKVLLMAVKDFKPQSGDAAYTIGIVDLLLECVELSYRPEAGSIRLREDIHNAHGYQFLVQFALTLCSLHKNQVHQSLPKIVSEESRSDASSRLEEDTFSCDLSPQLSRLLDVLVNLSQTGPSEDFVGKSMQSSHGKGTGHSRSRTPSADKFADDILEMSSPKVKDLEAIQMLQDIFLKADNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGGFPAALQEVILKILEYAVTVVNCIPEQELLSLCCLLQQPISTSLKHTVLSFFVKLLSFDQQYKKVLREVGVLGALLDDLKQNKLFSGDDQQSKIFYSPEIRSDTDDIQKTVDNEDSILSPKLMASGSTKFPMFDDEGTLNVAWDCLFYLLKRAETNQQSFRSSNGVNTILPFLVSESHRSGVLRLLSCLIIEDSLQAHPEEIGLLIEILKSGMVSTSLGSQHKLDNDAKCDTFGALWRILGANNSAQRIFGEATGFSLLLTTLHSFQNEGENEENEPSLFTHMKIFGFLIRAMTAAVCNNAVNRIRLHTVLSSHTFYDLLSDSGLLCVDCEKQVILLMLELALEIVLPPTSNLQVESISSETSEDEPCFLSATSFGLSKLDVERVYNASAVVVLIRSLLMFTPKVQLELLKFIEKLANAGPFNQENLTSVGCVGLLLETINPFLEGSSPILNHALRIVEVLGAYRLSSSELRLLVRYILQLKVKRSGHLFVNMMEKLIQMEDVRQGDISLAPFIEMDMSKAGHASIQVSLGERTWPPVSGYSFVCWFQFRNLFRSHSKETEKPSKGAYGKRSAQVLRIFSVGTVDDANTLYAELYLHDNGVFTIATSNSSSLSFPGIEMVEGKWHHLAVVHSKPNALAGLFQASVASIYLDGKLRHTGKLGYSPSPFGKSLQVTLGTPATRGKVSDLSWQLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDTDLLRFVPNRACGGEVMAILDSLEVEVTAPSSSQRIDSSAKQVSSRLESSGIVWDMERLRNLSMQLSGRKLIFAFDGTSSDAFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYVCNQCTIGDTVQTVGGMPVVLALVEAAETKDMLHMALELLALSLQQGHQNVKDMQALRGYHLLALFLHRRMSLFDMQSLDIFFRIAACEASFPEPQKSNINRTSSYASGISPDASLDDLSLPKFGDDLSSGGSHGDLDDFSAQKDSFSHLSELENADLAGETSEFIVLSNADMVEHVLLDWTIWVAAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFIIMTFDPPELTSNRQIVREAMGKHIIVRNMLLEMLIDLQVTINAEELLEQWHKVVSSRLVTYFLDEAVHPTSMRWITTLLGVCLTSSATFALKFRTSGGFQGLNHVLPSFYDSPEIYYIIFCLIFGKPVYPRVPEVRMLDFHALMPSDGNYGELKFVDLLDTVIAMAKATFDSFIMKSMLAHQNNNLSHLNGTLVADLVEATSDMGGDLQGEALMHKTYAARLMAGEAAAPAVATSILRFMVDLAKTCPPFSAVCRRHEFLESCIDLYFSCARSDCALKMAKDLTTAAIDEKNMNDDDNGSSKDTFPCLPQNQEQSAKTLSSASFPQEQKSTSSGSTDMQNSSDNGEVKIDISPSEELSTKFLNGEASQVFQNVHDKGQLSAVRSNGIADSHQLADSPSSVSVINIGSPVLSERSTHKPANTPTASPMAPFTSWAGSSGSFTDGRHLTASPSMSSTISAMDLDSSPDLKTSIQGSPAVNTFFPISSKLLLDIDDVGYGGGPCSAGATAVLDFIAQILADIISEQLKATLFIESVLESVPLFVDVDSALVFQGLCLSRLMNFLERKLLLDDEEDGKKLDKSRWSVNLEPLCWLIVDRVYIGCFPTPVGVLRTLEFLLSMLQLANQDGRIEDAVPSGKGILSIARGTRQLDPYIHAILKNTNRLIMYCFLPTFLKNLGEDDLLANLAFLTETGRNLASKPPQEEYSVDICTILQLLIANKRLILCPSNVDNDLMCCFCINLMALLRDKRVTAQDFAVDLLKYLVVHRRPSLEDLLVCKPNQGQQTDILHGGLDKLLTGTTFVFFEWLENSQQTISKVLDQCALIMWVQYITGSAKFPGVRIKGMEVRRKKEMGRKSREIVKLDARHWEQINERRYNLDLVRDVMSTELRAIRQDKYGWILHGESEWQSQIQQLVHERGIFPIRQVSTEPEWQLCAVEGPYRMRKKLENSKFKIDTIQNVLTSSLGFDDVTRAKKEDGDMMTSGSDTMSGLNLLTYDTEQRELDAADFASFKEDDDIFKGGSTTSPPIGWTDDKSSINEQSLHSANDFGAKSSSFSYHMSDSIQGKSELYSPRQPPSVKGTDTRTSEDKSDKELLDNGEYLIRPYMEPSEKIRHKYNCERVAGLDKHDGIFLIGELCLYIIENFYIDDSNCICEKGDQDELSVIDQALGVKKDIMGSIDSQQKSPSPWGATAKDSLGGRAWAYNGGAWGKENLCNSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREEVFRTLIAMNLPRNSMLDTTISASSKQDSGEGSRLFKVMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDNLDLSNPQSFRKLDKPMGCQTEGGEDEFRKRYDSWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSMENQKLQGGQFDHADRLFNSVKDTWTSAAGKSNTSDVKELIPEFYYLPEFLENRFNLDLGEKQSGEKVGDVVLPPWAKGSTREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDAVSDPTMKASILAQINHFGQTPKQLFQKAHPQRRTDRKIPPHPLRYSTYLTHQEIRKTASSVSQIVTYNDKILIAASNSLLKPVAYNEYISWGFPDRSLRILTYDQDRLQSTHENLHGGSQIQCTGVSHDGNILTTGGDDGVVSVWRFVKDGIRRLLRMEKALCAHTAKITCIYVSQPYSLIVSGSDDCSVILWDLTGLVFVKQLPRFPTSVSALHVNNLNGEILTGAGVLFAVWSVNGDCLAVVNTSQLPSDLILSVASTTHSDWQDTNWYVTGHQSGAVKVWKMVHCTSDEAANNKNKSPTTTYGGPGLNVQTLEYRLILQKVLKSHKHPVTALCIPPDLKQLLSGDANGHLFSWSLKDDSFKGS >SECCE7Rv1G0476570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:207744828:207747167:-1 gene:SECCE7Rv1G0476570 transcript:SECCE7Rv1G0476570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRCKLGLLLLLECLSSSAAAADADTLSVGESLTGNRTLVSEGGKFELGFFSPAGDSNYYVGIWYKQIPVQTVVWVMNRDRPVSDPSSSELTVAPDGSLVLLLNDNQIWSSTSNARTSNGPVVAVLLDSGNLVLRGRQPGNSSEVMWQSFEHPTDTLVPGGWVGLNKSTGAYQALVSWRSAVDPSTGLYMDRVDPSGSGQYTFSWNGTTVYHRVGASSGQRFPSVPEMGMSSRYKYNSVNNDEEVNFSFEVVDPSTLSRMVMSPHGQLTMFDWSRESGQWLLHWASPTSPCDVYSVCGPFGLCDVASSQYCRCLPAFDGASPGDWSGGCARKTSLHCSDGASTDGFLPVENVKLPSSYFSEADGAGTSGDCASACLRNCSCTAYAYSGGCLVWGGDLRNIQQLNAGGTTLFLRVAAADLAATSNHGGASTNERGVILVASSVSFLTILCLFVFICWRHRRSKTVRHDGSLLVFSHGYLARCTDNFSQKLGMGSFGSVYKGTLRDGDHTAVAVKRLEGSAQGEKQFRAEVRTLGMIHHVNLVRLRGFCATRCERRLVYDYMPNGSLASAMAGPSFGLLDWGTRFGIMAGVARGLAYLHEQCQERIVHCDVKPENILLDAAFRPKVADFGMAKLIGRDFSHALTTARGTVGYLAPEWVQGLPITPKADVYSYGMTLLELVSGRRNRDAGGRGAGHFPLWAATRVSEGQFVALLDVRLAGDADVVELGRACSVACWCIQQSEAMRPTMGQVVQVLEGSLTVGAAPVPRHLEVFCAEDSRTL >SECCE3Rv1G0204060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:889474678:889475226:-1 gene:SECCE3Rv1G0204060 transcript:SECCE3Rv1G0204060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEASARGGEEERCRYKGVRRRRWGKWVSEIRVPGTRERLWLGSYATPEAAAVAHDTAVYFLRGGAALNFPERAAAAYGAGSVAPLSPRSVQSVASDAGMAADAQLVAARDGAPRQYYAEARTGAGAAQGGASTRHGDLEHDRAYAYTYTGDGGDTTGGGGSREQLVSGELSVDDMEILM >SECCE5Rv1G0330640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:531589772:531592712:-1 gene:SECCE5Rv1G0330640 transcript:SECCE5Rv1G0330640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLAMLWGIIGPGVAGALFGAGWWFWVDAVVCSAVQVSFIHYLPGIFASLAALMFNCVDKDAIGNDYYSSYGDDSEWRVKLWLFVAYVVSFVCLAGSVGLLVQDALTDKGPSVWTGVAGVLQCVFVLISGLTYWTCHTED >SECCE2Rv1G0075700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:85173946:85174960:1 gene:SECCE2Rv1G0075700 transcript:SECCE2Rv1G0075700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAMQVVTRGVSRGAAPASVAGYVSRSGYSTAPSSQRLAGKVAVITGGASGIGKATAAEFVRNGAKVVLADVQDDLGRALAAELGPDAACYTRCDVTDEAQVAAAVDLAVARHGKLDIMLNNAGIVGSLARPRLSDLDLADFDAVMAINARGVMAGVKHAARVMAPRRGGSIICMASVAGVLGSVTPHPYSVSKAAVVGIVRAVAGEMARAGVRVNAISPNYIPTPLVMRILEEWYPKASAEEHRRIVEGDINEMEGAVLEPDDIARAALYLASDEAKYVNGHNLVVDGGFTVGKAPNMPAPAL >SECCE3Rv1G0186680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:689051599:689062779:-1 gene:SECCE3Rv1G0186680 transcript:SECCE3Rv1G0186680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGILLAAGLISDHFGPLVAKVCDCLLRHGALQLTEIVRRLKLPQGQVKNSLLVLIQHNCVQAFSSSRGERTVTLYLAIFDNVLHRLRFSKFISVIRADIPESEALIEGLLQNGRLTFDQLVGRTISKVPEGTIRPAREEIRMNFNRLVYARYVERCPKAEPFFNPQEDEQSTAARRRVPKTAEEVLSLEQKVVNTATLSDPERFSEIPYSMEDASKTNDYPHNAVVGAKRKHGAFEVDEELNSTIAENEVLWRVNFEKFIFCLKKKFCADRKKPKLKVGTHPIWESFFEANLTDKDTNSVTSSINGILEKLGQKEGGAAMSIGQIKPVLLDLQCTTSDGEEYNFDLSKMVEACRNEEIESLVKKKYGQEAFTIFRLLVTQGCAVETDQIIDTTILDKQIVHSTLYKLWNDGYIDTEKIASAAGTGYAQFFVWRAKNTFREQFVDNLYHAALNLRQMVNYIAELLLEGSKDETKLRNRKNILILALTRHDDSLMLFHDFCKHGSRC >SECCE6Rv1G0447590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850932922:850934462:1 gene:SECCE6Rv1G0447590 transcript:SECCE6Rv1G0447590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVSVPRTQHHPAGSQPSYSVTLVINGPSTLCGRTCGGIDLRWVRSMAAGSILLVFLLAASRIAFPSASVLLHPSPAATEPPSPAGTPRFAYLVSGSAGEAGGLHRCLFALYHPRNHYILHLDAEAPDSERTELAAFVAAHPVLAAVGNVRVLEKADLVNYRGIAMVTTMLHAVATFLQGPDADWDWFINLSASDYPLVTQDDLMDVFSRLPRDLNFFDHTSDMASARAMVDPGLYMNETQKRELPTTIFTGSAWTVLSRPFVDYLIRGWKNLPRNLLVSSPEAYFHTMACNALEFRNNTVNHDMHYISWGDSPARQPELINSSHWDGMLGSDAPFACKFGQDDPVLDRIDAELLSRQPGMLAPGSWSIGDTGGDGSFWIVRDATPLRPGPGAARLQRLVTSLLSEENFRPNQCKIVDHTA >SECCE6Rv1G0390920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:200765142:200768444:-1 gene:SECCE6Rv1G0390920 transcript:SECCE6Rv1G0390920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTLFVLGQESRLRILQQAASRVPACAYLCVWAPIPAGGHRPTTPFTTANRARRQLSCLDAWLRDGGSGDVDRARALFGVYRGSLCAAVSGCVPGWAYEDGQAYMELSEHELAASVSSPVQLQFYQEAGIKVAAFMGCESGEIEVGMSTPAGQMNLQAGLDQIFSEDFFQQSLLEELLQLPPMRPSSSSSSLPSISVGSPADGSTSLLRTMAMSSSTTTSPRELTAAPVLHPCHPPHPVPFSRHGPAQVHGHVHFPSPEADDVAMAQAMLAVISASSSTSSAMPTTSTHPPGYRRAHRSPRRGSTATAFRAYNAALAPRAPRRASDAPGQRMIKMGISILRRMHTLNNNCQERTTGATTQRWREEDEETAAPPAPTSSQLHHMISERRRREKLNESFEALRDLLPPGSKKDKATVLANTLDYMNVLIAQISELETRNRSLETAAQIQQHQRITNGNSRDRPDQASLQAGGSSKGVQVEVSTSSSSFPTAQCREVTIRVAAAGDLSDLVARVLALLKDTAGHGFTVVAVDARQPQGSSDGGIAQASLTLRATVAGEFDEEALREAVTKAVLGLVTPPSSDEL >SECCE7Rv1G0496520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:613662914:613666264:1 gene:SECCE7Rv1G0496520 transcript:SECCE7Rv1G0496520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGGGGGSWSIHGRPDVTSRYDVLGRAGSGAYADVYRGRRRSDGAPVALKEVHDAVSAQREADALLAAASESSPHVITLLDHFPGGDHDDDVLVLEWLPLDLAAVVREGRRAGGLPAGQLKRWMLQVIEGVAACHRSGLVHRDLKPGNLLISEDGVLKVADFGQARILQEQPSDEPEIPAAQEPETLTAADYLHEIDQLRAKSTYGDVDRMSLQDGNTSCLATCSTADIDDDPYRASYSYDAEEDIGDEESGAFTSCVGTRWFRAPELLYGSTSYGQEIDLWSLGCILAELISLEPIFPGQSDIDQIGRIIGVLGNITEESFPGCSNLPDYNKIFFSKVEKPTGLKASLPNRSASEVSIVKRLLCYDPAKRASASDLLNDPYFTEEPLPVPTEALQVPASKGEDDDSSAEEWGNYRDGNSDSDIDEFGSMDVTKTDKGFSIRF >SECCE5Rv1G0369330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:834771819:834772634:1 gene:SECCE5Rv1G0369330 transcript:SECCE5Rv1G0369330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPLIPIAAATAAATSAVGVALGVRLLLILSRSRALKPLAATTSAAAAALRAPRVLAAASSPLAALLAASKAASKSYKAARALDPAARLPSLPSSKRVKAAFAAASLLRLAPTLLLLPAAASSSSSSPTALVALALLKSGYKLSKNSAKVVEGFLGLQVHKGFRNGVDALGVVVKVAVIASEVAVWVGGRFWGADDGRGRSVRFLGFTRTTTLVLARSAKSEARVVLFNPGTVVEMDDEGCWLEEEEAHSLLLCLAVPLPCLPEMAKLVS >SECCE3Rv1G0188920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:719838457:719841516:1 gene:SECCE3Rv1G0188920 transcript:SECCE3Rv1G0188920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNQFDLLGDVDNDDPSQLLAAAAAAAAKKAQANKTEAAPAGKAAQTAAAAKLPAKSAPPAQAGRDARNGGPPSRGGFGRGEPGRGRGGGRYGQNRDFGSENTNGYQGGYGGVGSGDGAVAGGGDGERGPRPYRGGGGRRGGYRNSEFGDDSERPPRRNYERHSGTGRGQGMKRDGAGRGNWGSSTDEGLAQETDEALKIEDNAPIVEKQGEQDDAPPTTVENKDHKDGAAKEEEENEEDKEMTLEEFEKIREEQRKALLALKTEERKVEVDKELQSLQPLSTKKANDEVFIKLGSDKEKKKESTERDERAKKSLSINEFLKPAEGERYYGGRGRGRGRGEGRGDRGGFRGGFGGGGYQRGQAAAPSIEDQSQFPTLGGK >SECCE5Rv1G0327170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:490430382:490435544:-1 gene:SECCE5Rv1G0327170 transcript:SECCE5Rv1G0327170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNKRRLMRSDEEQPAPCKRTRPSLDTTSVARSSKSRKGALSAQVVTRLRKAVVALASFDGDTKLRECTGICIETSCSDATILTSRQLVPPTWPASLRIQVRLPNNRIVTGWIEDPGIYVGFFIVNIKKVSGFAAAHVSLDCDMQFEPYRKVAAVCRHFSSGYISYTTGVELASPSAHIDEEMLSTCWIRKVGIGGPLVDFDGNFVGMNCSRTRKRKTPYVQRLSILQFLHIHRMVSVKEGVDDAAGAFIKCQMKEPCVRSRGAGIYINRLEAPFDEDIWMEPFIDVPLEMYQSLYFWKANAAPVASESSKHLASKVNRSVVSLASFNGFAFFFACTGVFIKCNARSATILTSASLVRASGDAHMIDDNLRIEVCLPNQFRVVGILNRYNLHYNVALVDIMGYWGGREIEICAHQATSSMDVTAVGSLFARHRLMAVEGKVLIDKQSKLDCKQLCVSTCKITKAGIGGPLIDTDGNFVGMNFYDEEETPFLPRDVIHRLLLNVNKERCRTSADDTVIEGDENRWLLPGGGHRNTDTTAEGGGNKWPLPKPRLVGVKGGMPLHDFLF >SECCE2Rv1G0107140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:646873587:646876061:1 gene:SECCE2Rv1G0107140 transcript:SECCE2Rv1G0107140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPYVFHAQAPPARAEEYKSKGAMPQPQVRAQWPAGSGSGAGRGRGGGGAGWMGLGSRERPLASSYDLVEQMHYLYVRVVKARGIPVGAVTGGCSPYVEVRLGNYRGTTPHHDRKSNPEWNQVFAFSRERVQATVLEVFVRERDAVARDDYVGRVAFDISEVPLRVPPDSPLAPQWYRLENVRHGGKMVLQSEVMLAVWVGTQADEAFGDAWHADAASVRGGADGVAAVQSARSKVYVTPKLWYLRINVLEAQDVVTGRFVGDKVRQHVEVFAKVQVGGMMLRTKPCAMRNPTSLAWNEELVFVVAEPFEDPAVLIVEARAHPGKDEIVGRAVLPLTIFEKRLDRGAIHSQWFSLEPFAHPLRRPESTFAGRVHLRACLEGAYHVMDEPTMYVSDTRPSARQLWRPPVGVLEVGVLGAQGLTPMKTADGRGTTDAYCVAKYGQKWVRTRTVVDSCSPRWNEQYTWEVYDPCTVLTLAMFDNCHPGKANAAAGNAVLRDQVMGKVRIRLSTLEMDKVYTNAHPLVVLHPSGVRKNGELCLAVRLTSVSLSSVLLLYGQPLLPKMHYLQPFAIPQLDALRRQAMSIVAARLGRAEPPLRREVVEHMLDAGSHLWSMRRSKANFFRVTALLSGAASTARWLVDVCHWRNPVTTVLVHLLFVTLMCFPELILPTVFLYMAMAGLWNYRRRPRRPASMDARLSCAEATHPDEIDEELDTFPTSKPNDVVRLRYDRLRSVAGRIQTVVGDVATQGERVRSLLAWRDPRATALFTALCLVAAVTLYVTPLRVVALVAGLYALRHPRFRSRMPSAAGNFFKRLPSRADTML >SECCE5Rv1G0332810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:552154361:552157171:-1 gene:SECCE5Rv1G0332810 transcript:SECCE5Rv1G0332810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTVLRQGGRSEGSTGNLLTPESLKWLDSVTFPMILTAAAVALFTKLLMMEHEATDQERRERKIKNSHPEQGTVRMLSREEWDEIQEVRPRTPFESKLARPHARLRTGEKVRLEDAKDWAVDVLTDAFTRAEESAKRK >SECCE3Rv1G0181330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:610602395:610604198:-1 gene:SECCE3Rv1G0181330 transcript:SECCE3Rv1G0181330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRTRIYWRLPGPACQSQKSTPSRAGHHHHASYPVHFVKPLSSSKPWSPFMCGLLLRMEHLNDWDLQAVVRSCTTFSSHHDRAGPPPAAPVPEAAVKREPRDVVRPASADKDASSLYGLEYLDLDHKPFLLSAPSAQSWAAVDDRHEMMISFPAAASTSGVRPRVPPGRKPGIRSSTPRPKRSKKSQLKKVVCEVPVADGGVSSDLWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKLVERSPAKPGVLVITYMAEHCHPVPTQINALAGTTRHKTTPAEGHATTTSPKSHGDAHEAVRCDDESNEASSMAVDDGGEFWPTELELDLDELLAPVDGDLDHVLDEDGALGRRLSL >SECCE2Rv1G0121420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:808033615:808034083:1 gene:SECCE2Rv1G0121420 transcript:SECCE2Rv1G0121420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANPPSKGRSLPKFGEWDVKNPATADGFTVIFQRARDDKKTTAGPGKPVIPPAFRNADGSYGSNKTGNSYKYARMATPKRVKKKSWFSCTSCRF >SECCE7Rv1G0483130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:317755863:317757409:1 gene:SECCE7Rv1G0483130 transcript:SECCE7Rv1G0483130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRKAAAAVAVVLAFLLFALASSAAAATPDMSIVSYNSAHAVRGLERTEAEVRAMYDHWLARHGRSYNALGEYDRRFQAFWDNLRLVDAHNADADAHGFRLGMNRFADLTNDEFRAAYLGAIPSGQGRHAVGERYLHDGAETLPESVDWREKGAVAPVKNQGQCGSCWAFSAVGAVEGINKIVTGDLVTLSEQELVECARNGQNSGCNGGMMEDAFDFIARNGGIDTEEDYPYTARDGRCDLAKRSRTVVSIDGFESVSENDELSLKKAVAHQPVSVGIEAGGPEFQLYESGVFTGRCGTELDHGVVAVGYGTDNGRDYWSVRNSWGSDWGEGGYIRMERNVTARTGKCGIAMMASYPVKNGPNPSPKPPNPPKPKPVACDRHSKCPAGSTCCCTHGVRKTCFVWGCCPAKGATCCKDGATCCPSDHPVCNAENRTCSKSKNSPYTVDALIRTPAKRSRTTTLTQLVDLVFSELDI >SECCE7Rv1G0456680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11241931:11242812:1 gene:SECCE7Rv1G0456680 transcript:SECCE7Rv1G0456680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTKLAALGFVVLVSIGFADAARMLASSSSASGGGGGGGGGGGANGGSGWGGGSGSGGGLGYSESGGGWGNKWNTAKGSGGGGGAGGGGGSKGGSGSGSGSGSGTGSAVSGSASAPSGNGYANADGKGGGGGGGGGANGSSGTGAGSGLGKGYGESGVSKAPAPVAGGDGTSYSDAGGNGNGGGGGNNGNGGGAGAGAGQAGSDDTSGGFANAGGSGNGGGKTGSVAEGPSLGVGSGAGSGAAQTGSTGSYGEGYASGMGGGMGGGTGESQNGGTGNGGGSGSGSGGGGYH >SECCE4Rv1G0253000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:620853547:620854497:-1 gene:SECCE4Rv1G0253000 transcript:SECCE4Rv1G0253000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALGGLHLQGHALAVLVLALLSLAYGSMGARRLMELYEPDPSEQLTYHNGAVLGGDIPVYVLWYGRFTAAQKAIVSDFIASLAAAPGDYPAPSVPQWWSSIDRLYLSKAEAAGKKAAKKTQVILSGQVSDEGCSLGRSLTLSQLPALAAAAKPAKGGVALVLTAQDVAVEGFCMSRCGMHGSDAKAGTAYVWAGNSAAQCPGQCAWPFQQEEPPVLPPNGDLGMDGLVINVASMLAGAVTDPFGDGFYQGEHEAPLEAATACPGVYGNGAYPGNAGELLVDKATGASYNANGAHGRRYLLPALYDPASSECTLI >SECCE4Rv1G0253330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:625574240:625575921:1 gene:SECCE4Rv1G0253330 transcript:SECCE4Rv1G0253330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRRRAEQRTPATPLPPPLRTKPSQRQPVVVFAHGAGAPSSSDWMTHWKEMVKDALDAVEVVTFDYPYMSGGKRRPPPKADKLVDHHLSVVKNAVAEHPGHPLVLMGKSMGSRVSCMVASSDDISVSAVICLGYPLKGVKGALRDEILLKLMVPTMFVQGNKDGLCPLDKLESTRKKMTCKNELHVVDGGDHSFKVSQKYQNSAGISQHDVELEAVKAIAQFVQNSIAESLT >SECCE6Rv1G0431120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745656591:745657469:-1 gene:SECCE6Rv1G0431120 transcript:SECCE6Rv1G0431120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTVREVLYMYSVARQAYERFLSVGGSPEKAQNAVALLVWLDQGTISAIHHVPAMAPDAVAVVAEEANAVLGCLRHQEPALPPIPLISVLCMQGGVHIEPGFFAFHQDLVVRGVAHFLDGAGKFVFNNRLHVLLRRSETGLMVNPPELMAPYTSQPVVVPEDSRSMFITFSKGNALHREEIFEYFRQRWGDCVVRVLMEKTKGGHMPMYGRIIFKTEAIVKLVLNGERLVKISIGQREIWLRKYVPRPTNAAA >SECCE3Rv1G0207320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:919750114:919750350:-1 gene:SECCE3Rv1G0207320 transcript:SECCE3Rv1G0207320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARNNELRMTLLGLALLGLLLLSHTAAPVEAAASVRENSFNMNSVGGRSLKSFSMNTAEGGEGSKGGKVKPAAGDF >SECCE7Rv1G0471240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:128792444:128793580:-1 gene:SECCE7Rv1G0471240 transcript:SECCE7Rv1G0471240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPENGFLAAKLTDDLVVEILSRLPFKSFCRFKCVCKAWLALSSDPQYCKKLLKIPTGLLYQRRYNSAIKLASLPHNDKDFDEALSFLPQYEQLELMDSCNGLVLCKYKRSCTPFGISRFIVCNPATREWKVLPDTPTSDYNPSYNLSILSFSPSWSTKFYIFNFQRLRTRYWAFGPVKLQVFSSDLNTWLLDDTSVLSETKVYRLHLFIDGALYVHIGLHGILVLKNLEETSSGIPPSLRTIKLPHEDGAFMGRFSQCCFGQSSGALHYALPEEDARAILVWSLDADEPYEWSLKYRLNMSQAFGRDNLRQHDARHWKCDYAVVALDLERDGLFLFDKREDKIRLYKISTGELTDIQPEDHRSRFLDNNYYHYVASY >SECCE2Rv1G0104650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:609330228:609332072:1 gene:SECCE2Rv1G0104650 transcript:SECCE2Rv1G0104650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSMPVVSKMYCSSTPAALMIRRRPMVVNGGGFVVTDFSHNVVFIVDGCGILGSKGELMVKDSDGEQILFISRKGGIVQALSTRNKWNGYSMDYQGKNKLVFSLTDPKSCIAKGAPVRIHIEPKRHCNNWHFEVCGSFADRNCTIIDCAGKIVAQMGKKELIESNDFYHVTVQSGYDQAFIIGVMAVLDNIHGESTRC >SECCE2Rv1G0068400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:23052145:23053259:-1 gene:SECCE2Rv1G0068400 transcript:SECCE2Rv1G0068400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRGSASGWLALLLALLAVSLVPSCSAAEVKTSPTEWSLHLPLPNGVTGAESLAFDARGQGPYTGVSDGRVLKWGGSAVGWTTFAYHANYRKFPMCTVPVAPSQETESLCGRPLGLAFHRKSGNLYIADAYKGLMRVGPDGGEAEVLATGAGGVPFNFVNGIDIDQATGDVYFTDSSVTYPRRFNVEIMMNADATGRLLKYDARTKQVTVLKDGLPYPNGVAVSHDRTYVVVAHTVPCQAHSYYLQGPKAGHYELLADLPGYPDNVRRDGKGGYWVALNQEKGRPGATTAPVKHLVGVRLDGGGVEVEELTAAKGVTLSEVTETKGQLWLGSVELDYIGLVA >SECCE5Rv1G0372620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853757884:853759371:1 gene:SECCE5Rv1G0372620 transcript:SECCE5Rv1G0372620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGTTTLLLVAAALLAASCGAWEVTVEDAVVAPLIHALRPLLGSGGDAGVACDSWRLGVEAHNVRDWKAVPASCEGYVGHYMLGSHYRRDSKVVVDQAIAYVDSLKLAGNGMEVWVLDVDETTVSNLPYYAKHGFGATSFNSTSFRTYAREGSAPAPPETKRLYNKLLSVGIKPVILTGRREDLRASTSTNLRSQGFSGWMKLLLKQQDFKGSSVAFKSGERQKLQDAGYIIVGNIGDQWSDILGAPEGARTFKLPDPMYYIG >SECCE4Rv1G0276940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:800847740:800850349:1 gene:SECCE4Rv1G0276940 transcript:SECCE4Rv1G0276940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGETSLAALRTLDAAAVDPVIGRDDEIDRVICILIRRTKNCAALVGPAGVGKTAIVEGLAQRIAAGDVPDALAGSRIVEVDMGAMVAGTNWRGMFEQRLKDAINLAEESDGKVILFIDEMHMIVGAGAGERHPTVDAANMLKPALARGRVRCVGATTSEEYKHIQKDAALERRFQKVVVEEPSVQATIAILQGLKQRYQEHHGVKIQEDALVAAAQLAARYITGRQFPDKAIDLIDEACATRKMHVDKQKNAKNKRNNTIINTSEDMIVGPGHVAKIVSRWTKISLATLHQGEKEKLTDLAKKLHERVVGQDEAVNSVAKAVLRSRVGFGQSGQLINSFLFLGSLGVGKTELAKALAVTIFDTQKALIRFDMSEYADCGSVSRLIGGPRSYEEEGQLTEKVKSCPYSVVLFDQVHKAEPSVFKLFIQLLDDGMLADGKGRLVDFKNTIIIMTTNLGANHLTSRITGENTMRAGRDLLTKEVEKCFKDELMNRLSEIVTFEPLSHDELRKVVKIQMKNVTAMVANKGVSLVTTDAALDVIRSEAIDPVYGARPMKRWVQKHVTAILSDMMVNGEACEGSTISIDATDDKMGLKYHVLN >SECCE1Rv1G0037610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:527656674:527658238:1 gene:SECCE1Rv1G0037610 transcript:SECCE1Rv1G0037610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESSDLWPAIAILSIIVALIGIARRRLTFDPMCTQSPPPVVNSITLLGQLPKLLMRGIQATIHDLYTKFGSVFTMNFFGHKITFLIGPEVSAHFFQAPESEISQGNFYEFTVPMFGQEVGFGVDSATRSEQSRFSVDALRPSKLRNHVDPMLQEVEGYFAKWGQEGIIDLKHELSQVLLLISGRCLLGKEIREKMLDEFYALFHDVENGLNLINLVFPYISTAINRRRDRARSKLAKMLSEIVRSRRSHDQTEEDALQNLIHSKCRDGRSMTESEVTGLMVALVFVGKHTSSQSSAWTGAYLLNDTKCLVAVVEEQKQIVKKHGDQIDYGVLLEMDTLHGCIKEALRLHPTTPVLIRKAHKHFTVWTKEGNEYNIPSGHTLVSPTIFNNNIPCIYKNPGVYDPERFGSQRKEDKVEGKFSYTSFSGGRHACPGEAYAYMQIKVIWSHLIRNFELKLISHFPKTEWGKFGLEPKGKVMVSYKRRLLLGI >SECCE5Rv1G0366260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:812268578:812270085:1 gene:SECCE5Rv1G0366260 transcript:SECCE5Rv1G0366260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAPSKKHAPVTSSPYWPAPTMALGGSAAVGRRRHVLLFPLAYQGHINPMFRLAGILHGRGFAVTIFHTHFNAPNPSRHPEYRFVPVPDGLSGAAPAAIEDVVSHILALNAACVAPFRERLAAVLDEYSRDAVACLVADTHLLSMVEVATQLSLPTLVLRTGSAACLSCFIAYPLLIKRGYLPVQESELETEVSELPPYRVRDLMQLGRHHDLTCKMLERIVAAVKTSSGIILNTFDALERRELEKLRRDLAMPVFDIGPLHLFSPAAAAGSSLLRQDRSCLKWLDAQPAASVLYVSFGSLACMSARGLVETAWGIAGSGVPFLWVVRPGLVAADGLTRLPDGFEEATRGRGMVVEWAPQEEVLRHAAVAGFWTHGGWNSTTESVCEGVPMLCRPHFGDQMGNARYVEHVWRVGFEVAGALERGGVEAAIRRLVTGSEGAGMRARAGELKKAAKECTGEAGSSGLAIGKLVDHMLAL >SECCE4Rv1G0238790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:387786682:387787048:-1 gene:SECCE4Rv1G0238790 transcript:SECCE4Rv1G0238790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSFPPLAKKYVIQMLYVSAPMPAAAMQEWVLDEYASKHKVAIDRLLQLRVFVEVRDR >SECCE4Rv1G0275230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:792156915:792160434:-1 gene:SECCE4Rv1G0275230 transcript:SECCE4Rv1G0275230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVSMARSMLGGAISKAASAAAAELSLVMGVQKDIWFIKDELKTMQAFLAAAEATKNRDMLLKVWAEQVRDLSYNIEDCLDEFMVHVRSQSLMKRLMKLKDRRRIAIQIRNLKSRVEEVSSRNARYNLIKTEASTTSDKEVSYIEDVRNHSASNTDEAELVGFTKPREELIKLLDVNTRDGDTKVICVVGMGGLGKTTLARKTYESKEDIVNNFPCCAWITVSQSFVKIEMLKDMIRQLLGGDSLKNLLKELEGKVVQVKDLAEYLNQEIKDKRYLIILDDLWTIDAWRWIKDIVFPSSNKKGSRIIVTTRDVGLAKECTLESLIYHLKTLDVVEATNLLLKKSRKVSEEMDKVENFNSIVEKLVKKCGCLPLAILTIGGILATKKIVEWEHFYSQLPSELESNPSLEAMKMMVTLSYNHLPSHLKPCLLYLSIFPEDFEIHMRRLVERWIAEGLIRGGTGVNIEDVAKGYFNELINRSMLQASRVNIEGVVKSCRVHDIVRDVMISVSRDENFVHVAGNNVTGAMEETFRHVAYHGSMCQKIDMDWSHVRSVTVFGERSLGPSSSVCSPDMRMLRALDLENAQFQVTQKDISNIGLFRHLKYLNFSDPPGYSLIYKLPRSVGRLQGLRTLNIRDSYITELPTEICKLKSLHSLRCTRNKSSEYFDLNHPKECLLSTFCWPMLFTPLFDPSDRTKAVADLHMAWSSRWTESDGVRVPKGIGNLKDLQILEVVDISRTSGKAIKELGWLVQLRKLSVVTEGCTKQKCEVLCDAIQKLTSLCSLEVDGSLGWLHDVSSPPPLLRSLKLYGSLGEIPGWVGSLMYLVKLYLRGSVIKEEGKIMEILGSLPNLMHLRLESYSYSGEKLGFKTEAFPNLKKLRIWGLEQLREVRFEDGTSPQLAMIDISWCSLESGIIGVNQLPKLKEIALGFLGQVAKLALLQSEVDAHTNSPVLRLETDRNHHDLGGVVVQVEEAMEESSSTHAEPEAAVVTPNISQDDLLYTYNSC >SECCE7Rv1G0507040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:757605641:757610389:-1 gene:SECCE7Rv1G0507040 transcript:SECCE7Rv1G0507040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-carotene isomerase, Strigolactones biosynthesi [Source: Projected from Oryza sativa (Os11g0587000)] MEATALVLLPHSHSGLTARAPPCVGGRGVSCVTKKSYVRRIKRSSTVRGVMARPQEATLARVPAPAPTPTRPVRETAAATTTMTVYHDTWFDNLAIGYLSRKLQEASGIKNGKHGYQGLIEAAVTISRIFRLDTQCEIVASALERAMPSYIVTMIKVMMPPSRFSREYFAAFTTIFFPWLVGPCEVRESEVNGTREKNVVYIPKCRFLESTNCVGMCTNLCKIPSQKFMQDSLGVSVYMSPNFEDMSCEMIFGQQPPEDDPALKQPCFSTKCIAKQDYGVNC >SECCE1Rv1G0018400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:191581634:191584098:-1 gene:SECCE1Rv1G0018400 transcript:SECCE1Rv1G0018400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYRRAWSEVLGTEITSQRTHKVDMVNKIPAPWDEQKWNTLKSQGQAHLFTKATLTHSVSFRQWQGGDESTGSVQNKSKQSLVNGIQDRRNSDTSSPSVSSSPKCELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKHSSISFFNGGKPETAASRWARARTRAAKVGKGLSKNGKAQKLALQHWLEAIDPRHRYGHNLHIYYDVWSRSESTEPFFYWLDIGEGKEINLENCPRSKLQGQCVKYLGPQERQHYEVVIEGGKLMFKQTGVLVHTSDDSKWIFVLSSTKALYVGKKKKGSFQHSSFLAGGAITCAGRLVVKYGILKAIWPYSGHYLPTEDNFRDFIRYLQENDVSLTDVKKSAIDKHDEYTLLSKSDTQPELVENNDAAAAAAEDLTHVEIEGVLTGTADHGDMSDAEEDAGTPVDPHTTDTDEEEEEVRRPPASVDHSKNHQTCRWSTGTGPRIRCVRDYPLDLQSRALEHVNLSPRLAGSPSRKRDPVPSPRPSPGMILSPRLGSVGFQPRMVPLTLPDFKRSRLQ >SECCE4Rv1G0218000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19726259:19726935:1 gene:SECCE4Rv1G0218000 transcript:SECCE4Rv1G0218000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIAGGRCRTSGLALLACAVLLSATMANGIRTGTVGGMGAPGPAAAAAQAATLVAAPPIAAAAAAATAPPSELAALEDPYKNSKRKVPNGPDPIHNRRARWGDAPAKRV >SECCE7Rv1G0506810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:753888043:753891084:-1 gene:SECCE7Rv1G0506810 transcript:SECCE7Rv1G0506810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHQLLLLLPLLALAVSFVVAAPEGQGDAAAFIDGASHRYLRDQHDDQATSMSLDEVSAAVSVLLGFAPPAMLPAISSAKLNKLLLPKPFDRPRAVFLMQIDGSHDSVDSFISDAGSIYKTKIDGAKNAATGLTDRDELIVIRSDESSGSDVLDNELTDLATWLEGSYQKADSKLNIPLKSGNSLTLLLNKEVDVEFASSLISLLKTIKRGIQVHEDFSGGIVSPAELLVCHFTGIKALEDGYGSAEIVKQGAEVVQTALTKAFDQLQGAYNGKIVGLVISTEEASTSLASIIDGPSSLHISRRLAEASKTNASASIAAIYLVRLSLAWITGIIFLVSTLIGICLLMNMPLTRDTLLYSNVKMD >SECCE3Rv1G0181850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:619297480:619299267:1 gene:SECCE3Rv1G0181850 transcript:SECCE3Rv1G0181850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSHPAATAAQSPASSRGRRPRYRGAMISASCCRAAALASILAAAAATAFLTFSLPSSPSVSTTKHTGELSAASSTPPPHPTLATVSPPLPPAKPATSAARPRKREPSYWRMAPEEALRYAKKEIMAAEPVAADPDLYAPLFKNVSQFKRSYQLMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLEESRRFVVTDGAKAHLFYLPYSSQQLRLSLYVPDSHNLRPLSVYLRDFVKGLAAKYPFWNRTRGADHFLVACHDWGPYTTTAHRDLSRNSIKALCNADSSEGIFMPGKDVSLPETTIRTPKRPLRYVGGLPVSRRRILAFFAGNVHGRVRPVLLQHWGKGQDEDMRVYALLPGRVSRTMNYIQHMKNSRFCLCPMGYEVNSPRIVEALYYECVPVIIADNFVLPFSDVLDWSAFSVVVAEKEIPDLKRILQGISLRRYVAMHDCVKRLQRHFLWHARPLRYDLFHMILHSIWLSRVNHVELDD >SECCE4Rv1G0218560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:22988627:22989062:1 gene:SECCE4Rv1G0218560 transcript:SECCE4Rv1G0218560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSNATRMAAIFMVLMIMASTRSSSSCYAHTIEDGENSTLCFHVENCQRDSCQTACRFSSNPSTGAYCKLTNYCCCV >SECCE1Rv1G0053560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:670265697:670265993:1 gene:SECCE1Rv1G0053560 transcript:SECCE1Rv1G0053560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKATLVCFMVLALAAALLATPGTVEAATCSPTQLTPCAPAIIGNAAPSAACCGKLKAHPASCLCKYKKDPNLQRYVNSPNGKKVFSACKVRLPRC >SECCE3Rv1G0208190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:928472973:928476436:1 gene:SECCE3Rv1G0208190 transcript:SECCE3Rv1G0208190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVSSAAPTKLTPPRARRPRQAPRNRRLPLGGPAAGLLTTLGLNGGGAALAAPLSYEEMLRLSSDTAGGGGDGFSLPDLGLGGLVDFVSQNPLVVAAGLAVVAVPLVVSQVLGGASKPYETVSVKAAYRRLLEEPDAQLVDIRPLKDAREVGSPDISEAKKKAVAVPYDGEDKNGFLKKLALRFKDPENTTLIILDKFDGNSELVAELVTSNGYKGAFAVKDGAEGPRGWQSSDLPWTAPKKGFSLDFGELFGDGSEGWPVTIGLAAATGLGLLAYTEIETVLQFLGSAAVIQLVASKLVYAEDRKKTLQQIDDFFNKKIAPKELVDEIKEIGQALLPSSGEAKSQPAAATAAPAAATATAAPVAEPAAPATAPVAEPAASAAAPVAEPAAPAAATATAAPAAEPAAPAAPAAEASTESPPDTATSSRPLSPFANYPDLKPPASPSPPASEGKTEVKATDVTATESPAVEVNSAPVAEAVEESSPPAKPRPLSPYASYPDLKPPASPSPSPP >SECCE7Rv1G0506370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:748234700:748236657:1 gene:SECCE7Rv1G0506370 transcript:SECCE7Rv1G0506370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACCYFVSELLIVMTLIYLVMTKSKVRSGTCSSETVSLPLPPGPWSWPLVGSLPQMVLNKPAFRWIHRVMKDISTDIACFRLGGVHVIPITCPKIAREVLKKQDKNFSSRPLTFASDTISCGYKDAVLAPFGDQWMKMHKVLTSEIICPSRHKWLHNKRADEADNLTRYIYNLTAGGSSSTSGIANLDVRHVTRHYCGNVIRQLVFGQRYFGEPQSDGGPGPMEVEHMDASLTLLGITFSFCISDYLPCLLGLDLDGHEKIIKEANTKVDRLHNMVIEERWRQWKSSERQDGVQDFLDVLITLVDGDGKPLLSIDEVKAQCKTMILAAIDNPSNAMEWALAEMVNNPELLAKAVEEIDRVVGRERLVQESDIMHLNYLKACIREAFRLHPVAPFNLPHVAIADTIVSGYHVPKGSHVILSRLALGRNPTVWDEPLHFKPERHMGDNINVVLTESELRFISFNTGRRGCIAASLGTTMTVMLFGRLLHSFTWTKPAGMSAINLSESKHDLFIEKPLVLHAKPRLAVHLYPLMHH >SECCE2Rv1G0124010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828813136:828814445:-1 gene:SECCE2Rv1G0124010 transcript:SECCE2Rv1G0124010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNRGSWTPEEDMRLVAYIQKFGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTVDEEETLIKLHNMLGNKWSKIAACLPGRTDNEIKNVWNTHLKKRVAASAGEQKKGGAKGKKKTTCVDVPAPSPSPSSSTTTTTTTTTNCSSGESGEQSNTSKELESELDKIEIPMLDLSFDLDMLLDAIPDTHGPAISSARTSPCSSASPPCVVDDGALLDLPEIDIVPELWSIMDGEGACAETAQAPWSNAAPCHGDGTEAIAATGDEEDGKEWWLEDLEKELGLWGPIEDYQHQQQPDPQGRVDPLSASVDDPVSCYFQAGPAAGTSAVLGAQAPPVPTDIGTNRPIS >SECCE3Rv1G0159560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:97243687:97244895:-1 gene:SECCE3Rv1G0159560 transcript:SECCE3Rv1G0159560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDKRAELPPSEYTAGELPRDALYDILLRLPAKDVCRLRAVSPSWRSLTIDPLFVKAHAARHTGPLLATTFVDGESCGVSIVDLLSGDVIKRIRTSDPNLRVQRTRLDRVGLVGGRHPLGVPVTLLDPATDAVISSPHDISMKYAGLLKTRKAYMDSCIFGKVPSTGVYKAFRFLEVHPLISRQQLCEVMTLRGSTRWRARPGPPGPVFSDHTMKSAVIDGVVYFLMDFSNMYEEYWKVTFKPASMAAFNLETEEWMPPIDGPEQVSSLYDEEDVLPVFKDPRAVGILSITNLNGSLVVAQVHHSLPQSMDLWFLMDLEKGLWVKKYSIGYYRREDLFSYPLLVLDDERIVFVMQLTGLLQVYDPKTETYTDLWQLKDFRSMCIYTGNLLSQEAALNC >SECCE7Rv1G0502820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:701158901:701160820:1 gene:SECCE7Rv1G0502820 transcript:SECCE7Rv1G0502820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRRRRGPRRRRRKEAAAETRNWADMPLDAILAVFHKLDHIDILMAADHVCSSWRRAARDEPALWRRIFMRGTADLSSRINRQGIACDAVRRSAGQCEAFCGEYAGDDGFLLYLSEQAPCLKSLRLISCDGISLDEFAELIGRFPLLEELEVSQCSELLVRWSRSLRHQLYEVLGEACPHLKHFRMNKQYFEEQNWGNNDVDAEGIVAMHELRSLQLVANDLTNKGLAAILDNCPRLESLDIRHCFNVKMDDDDGEDHDGTLLREKCARIKTLRLPRDSTHDYHLEVQSPRFAYKEEINRCGWAISPVYYSPWLQESEEDDDDFYRSPSRYEADLDKYEKVLPRSMRTFL >SECCE2Rv1G0068230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22481703:22482881:-1 gene:SECCE2Rv1G0068230 transcript:SECCE2Rv1G0068230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKTSSLSELGFDAGDASSGFFRAVADGCPLTPTSSSAPHRRLTKVSVVGAGNVGMAIAQTILTQNLADEIALVDALPDKLRGEALDLQHAAAFLPRVRIVSGTDAAVTENSDLVIVTAGARQIPGETRLNLLQRNVTLYRKIVPPVAEHSPDALLLVVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLVAEHLDVSAQDVQAYMVGEHGDSSVAIWSSISVGGMPALKSLRDSHRSFDEAALEGIRRAVVGGAYEVIGLKGYTSWAIGYSVASLAASLLRDQRRVHPVSVLAAGFHGISDGHEVFLSLPARLGRAGVLGVAEMDLTEAEAAQLRRSAKTLWENCQLLGL >SECCE2Rv1G0138480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921744318:921746318:1 gene:SECCE2Rv1G0138480 transcript:SECCE2Rv1G0138480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATTLLLGLLLAALLLAATPAPSAAAAAKEKGSGNGGAGPVIGIDLGTTYSCVAVYRNGRVEIIANDQGNRITPSWVAFTDSGERLIGEAAKNQAAANPHRTVYDAKRLIGRNIGDAEVQRDMKLLPFKVVDKNGKPHVEVEVKAGDVRTLSPEEVSAMVLTRMKETAEAYLGEKVRDAVITIPAYFNDAQRQSTKDAGAIAGLNVVRLINEPTAAAIAYGLDKVADGKERNVLVFDLGGGTFDVSVLTLDGGVFEVLATNGDTHLGGEDFDQRVMDHFIRLVKRKHGVDISGDARALGKLRRECERAKRALSTQLQVRVEVESLADGVDLSEPLTRARFEELNADLFRKVMAPVKKAMADAGLTKGDIDEVVLVGGSTRIPKVQQLLRDYFGGKEPHKGVNPDEAVAYGAAVQGGIVRGDAKEVVVLDVTPLTLGIETAGGVMTSVIPRNTPIPTKRTKMFTTYEDRQTTVTITVFEGERSMTKDNRLLGKFDLTGIAPAPRGTPQIEVTLEVDVNGILQVGAVDKGTGRSEKIDISSAGRSITQEEIERMVQEAEEFAEEDRKVRDRVDARNKLEAYVYNARTTTDGELGAKMDDGDREKVTEAVREAIEWLEANPDADQDDSAEKLKELEDVCSPAFAAAYGNTDGGHDDAAEEDNDHDEL >SECCE2Rv1G0140900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:933448164:933449398:1 gene:SECCE2Rv1G0140900 transcript:SECCE2Rv1G0140900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSNTMFLPTEASWEATVRPSFVRDEDERPKVAHDHFSDAVPVISLDGIDGVCRAEIRDRVAAACEDWGLFQVVDHGVDADLAADMARLSGEFFALPAEDKLRYDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPVKARDYGRWPEKPAGWRAVVERYSERLMELSCKLLGVLSEAMGLETESLAKACVDMDQKVVVNFYPRCPQPELTLGLKRHTDPGTITLLLQDLVGGLQATRDGGKTWITVQPISGAFVVNLGDHGHYLSNGRFKNADHRAVVNGESSRLSIATFQNPAPDARVWPLAVKEGEQTILEDPITFAEMYRRKMEGDLDLARRKKQAKDQLKQH >SECCE5Rv1G0305370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:71683506:71684393:1 gene:SECCE5Rv1G0305370 transcript:SECCE5Rv1G0305370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGCGYAPLGLAAEEQFQEAAAAGLRSLELVISSFSSRAGCAPPPLGEMADQTVSRFRRVINILDRTGHARFRRGPVGDAADSLTPPPVSSAPPPMPVQAPAPAPAVSPQLTPQKSVTLDFTKPLKAPAAASAAAPSVTSTSFFSSVTAGGEGSVSKGWSQLVSSGKPPLPAGTKRKQRQQQTHCAHSDAAGASGRCHCLKKRKHRVKYTTRVPTVTSHGADMPGDEYSWRKYGQKTIKGSPHPRCYYRCGTVKGCPARKHVERATDDPAMLVVTYEGDHCHDTWPLAAAN >SECCE7Rv1G0464850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:64587883:64601686:-1 gene:SECCE7Rv1G0464850 transcript:SECCE7Rv1G0464850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRERIAIRRIENLAARQVTFSKRRRGLFKKAEELSILCDAEVGLAVFSATGKLFQFASSSMNQIIDRYNSHSKILKNAGEPSQLDLHEDSNCARLRDELAEASLWLQQMRGEELQSLNVQQLQALEKSLESGLGSVLKTKSQKIMDQISELERKRVQLIEENARLKEQASKMEMQVAADPPAVYEEGQSSESVTNTSYPRPPLDTEDSSDTSLRLGLPLFNSK >SECCE1Rv1G0053010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:666401759:666405791:1 gene:SECCE1Rv1G0053010 transcript:SECCE1Rv1G0053010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGRSVLLLLLIPTALSLLMVYERRLSVALNPIQRKDLPAEIASQGHDFKDSKLNASPLENLSSLKEPVGAALVEELLHLADDSTDSADQELPPGKKANRVLSETTSGDGAVLEGGGLIGQVTGQTAQDGGLATGSMDGQEKHTGSQQQSSSEGRSLEAVTRIPQGYQGAEKNPQKENTDGRSKNTASSDTRIRDIRDQLIKAKVYLGLGAIRANPQYLRDLRQRIREVQKVLGDASKDSDLPKNANEKVKALEQTLVKGEQTQDDCSAVVKKLRAMLHSAEEQLYAQKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFSLDPSQQQFPNQEKLDDPKLYHYALFSDNILATAVVVNSTVLNAKHPSHHVFHIVTDRLNYAPMRMWFLSNPPGKATIEVQNIDEFTWLNDSSSVVLKQLGSQSMIDYYFSAQSANSDSYLKYGNPKYLSMLNHLRFYLPEIYPKLDKMVFLDGDVVVRKDITGLWSIDMKGKVNGAVETCGESFHRFDRYLNFSSPLVAKNFDPHACGWAFGMNMFDLAEWRRQNITEIYHFWQKLNEDGSLWKLGTLPVGLVTFWNKTFPLNRSWHVLGLGYNPHVSSRDLERAAVIHYNGNMKPWLEIGLPKFRSYWSEYLDYDQPFLRECNINP >SECCEUnv1G0566510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:405584406:405586109:1 gene:SECCEUnv1G0566510 transcript:SECCEUnv1G0566510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFFSSSSLRAHQRVAWVLLSLCMLLCRVHGGSTRKVYIVYLGDVKHGHPDHVVASHHDILTTLLGSREESSASVVYNYKHGFSGFAAMLTPEQAEELAEFPDVISVEPSRRHKASTTRSWDFLGLNYQMSGSALPHGTNYGEDVIIGVIDTGIWPESRSFSDEGYPPIPSRWKGKCQLGPDWDKNNCSRKIIGARFYDTGVSEEALKTDSLSPRDHSGHGTHCASTAAGSAVQAASFHGLAKGVARGGAPHARIAVYKTLWGADGFGDTSAFLAAIDDAIHDGVDVLSLSVGFPDENSFGALHAVQKGITVVYAGGNDGPRPQTLENTSPWVITVAASKVDRSFPTAITLGNNQHILGQSLNYHVVNSSAGSSHFTGLVSDE >SECCE1Rv1G0024170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:320931650:320933188:1 gene:SECCE1Rv1G0024170 transcript:SECCE1Rv1G0024170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT3G02660) UniProtKB/TrEMBL;Acc:A0A178VAQ8] MASAAMAASSRALFRPHRLLLLPDPRRRRHISASAVASANAAATTVRRRVVDVLRERGLVEATTSEALGSSSAASGEQQQLKVYCGFDPTAESLHLGNLLGLVALSWFRRCGHTAVALVGGATGRVGDPSGKSAERPELDLAAVIANSDAIKSLIAQILSRAPEPSRHAQSGKSAILEKHEDALANSGQGLSEKSGNVGESMGSFVILDNYDWWKDITLLDFLKEVGKFARVGTMIAKESVKKRLNSEDGMSYTEFTYQLLQGYDFLYMFKNMGVNVQIGGSDQWGNITAGTDLIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSPKMLSPYKFYQYFFSVPDVDVVRFMRILTFLSLDEIHELEESMKRPDYVPNTVQRRLAEEVTRFVHGQEGLEEALKATEALRPGAQTQLNSQTIEGIADDVPSCSLPYDQVLKSPLVDLAASTGLLASKSAVRRLIKQGGLYLNNMKIDSEDKLVEEGDIVDGKVLLLSAGKKNKMVVRIS >SECCE5Rv1G0318840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:368551353:368554517:1 gene:SECCE5Rv1G0318840 transcript:SECCE5Rv1G0318840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEKLEKVGEGTYGKVYKARVAATGQLVALKKTRLEMDEEGIPPTALREISLLRLLSASLYVVRLLSVEQVTKPCGKTVLYLVFEFLDTDLKKFVDGFRRGPSSRPLPTQVVKSFLYQLCKGTAHCHGHGVLHRDLKPQNLLIDKEKGVLKIADLGLSRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDIWSIGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPTEEHWPGVCALRDWHDYPQWKPQSLARAVPTLEPEGLDLLSKMLRFDPANRISAKAAMSHPYFDSLDKSQF >SECCEUnv1G0545520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:142667108:142670116:1 gene:SECCEUnv1G0545520 transcript:SECCEUnv1G0545520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPISQFIICYNFFAKVQSDLKFLVSIGRDESAAAAPSSKQSKLAVLLTRLIVLAPIANQKRQTESPKDAASLLQNAQFPPSPSHVHLQTPHSLARRRTNQDHGGSDAEALRFLSSSSRVPFSSGNQPPPSAHSRAKQRGSQILSWFFAKAKKKAKPETTPPAAAVIERGNMSQLLKEWGLLSLDSLRKELAEANAHRDAAQEDAAEMRSSLGELTTKMMSLEAYCSELKKALRQATDHNGGTDTQSHSRRSSSRSIGASRELPGNGMPVSHEAMVEGFLQIASEARLSVKQLCKALIQQVEEPDNGLSDKLNQLLRPHQLAIAGRHCSKAVLYHLEAVMNQTLYQDFENPSFQRNGAARHLDPGQGRRESFASFVALRNLSWSEVLRKGTRYYSEDLSRFCDQKMSCVVAALSWSWPWPEQLLQCFFVATKCVWLLHLLAFSFAPPLPILRVEEGRAFDQAYMEDILPDRRQVQDPLRVKIMVMPGFYVQDRVLKCKVLTAKQLDQ >SECCE1Rv1G0042890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:584782976:584785442:-1 gene:SECCE1Rv1G0042890 transcript:SECCE1Rv1G0042890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >SECCE4Rv1G0273870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:780094717:780096903:1 gene:SECCE4Rv1G0273870 transcript:SECCE4Rv1G0273870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR22 [Source:Projected from Arabidopsis thaliana (AT1G11290) UniProtKB/TrEMBL;Acc:A0A178WFR8] MLCSASSPPPPAGGHAAADHHARLRSAAARSDLPGALAAFAAMSSSASASPVLRTFTSLLKLCAARADLATGRAVHAQLAARGLSAESLAATALANMYAKCRRPGDARRVFDRMPVHDRVAWNALVAGYARNGLAGAAMEMVVRMQEEDGERPDSVTLVSVLPACADAQALGACREVHGFAVRAGFDELVNVSTAILDVYCKCGAVEVARAVFDRMPGKNSVSWNAMIKGYAENGDATEALALFKRMVGEGVDVTDVSVLAALHACGELGYLDEGRRVHELLVRIGLESNVSVMNALITMYSKCKRTDLAAQVFDEVHYKTRISWNAMILGCTQNGRSEDAVRLFSRMQLKNVKPDSFTLVSVIPALADISDPLQARWIHGYSIRMHLDQDVYVLTALIDMYAKCGRVSIARSLFDSARERHVITWNAMIHGYGSHGFGKVAVELFEVMKSSGRVPNETTFLSVLSACSHAGLVDEGRKYFSSMKEDYGLEPGMEHYGTMVDLLGRAGKLDEAWSFIQKMPMDPGISVYGAMLGACKLHKNVELAEESAQRIFELGPDEGVYHVLLANIYANASMWKDVARVRTAMEKKGLQKTPGWSIVQLKNEIHTFYSGSTNHQQAKDIYARLAKLIEEIKAVGYVPDTDSIHDVEDDVKAQLLNTHSEKLAIAYGLIRTSPGTTIQIKKNLRVCNDCHNATKLISLVTGREIIMRDIQRFHHFKDGKCSCGDYW >SECCE4Rv1G0268270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:740895389:740898694:1 gene:SECCE4Rv1G0268270 transcript:SECCE4Rv1G0268270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAAAAAAAARLLADSPSPFHCSHHHHRRALPVPTSRSKTSSSKPAPPSPRTDTTGDPATSTGPRPSLFQEISGLITPAAGTVSDPPFQSRLNRQPGRSGDEAPAQCTEGARPNAPETFAFLAGRVPDQGVPDGPSDSPSREASSHEVVGGALAQDPDIDSAHVQKITEVLRSEVPGSPPFEERLGSLGVVYTPRIVDMVLKRCFKKPHLGLRFHYWVKQVPGFRHTTETYNTMLFIAGEARRFGLVEELLGEMDKEMCPRDIKTWTIIIASYGKARQIAKMLSAFHAMKKSRSVAVDTRVYRTILRALCNNARHELALEFYKDVPTNMDVRSDILRLLLCLLAGSNNAEAVFMIRDDMIKSARYPEEYCYLEALRSFCVSGKIAEAQKVFQQMMNKSMDSSSAFETLLRGLCKSGRMDEALQLTEHMKNRSSISSMAFGFLIDGYLRKGERTKALQLLQGMREYGCIPLASSYTQLMQHLFTSDQYKEACELYEEMQEVGVQPDIVTITALIAGHIRSGHVSEAWDILKKMSENGQRPTIKAYTVFIHELCKASKPLESLELLKEMLKFDFRPSEETFCRIISSLRENNYLEEAINLQRMREPFDIIKPKGETEIRSLEKADTVDEFQKLSECELEEKKMIFGSVVLPSDKDSEISRCTLRDNENHIELTKGYSDEDVEGICQILSSSDNWSSMQQALEMRSLQFTPNLVNAIMKCCKRNGHAALKFFSWVGKRPSYMHTTETYNTAMKLAGSAKDFKHMRQLYREMARAQCSPTVDTWNVMICQYGNAGLTEMALETFYKMKQAGFQPAKSTYNHLIMYLCRRKGRKVDTAIKILKEMIHAGYMPDNDVLCTYLSALCECGMLVDARSSIVSLCEHGFTKQIGYSILLRSLCRSDRKEEAVSLFDDMEGYGCSRSDYMYGSFIHALLRWGRFEDAVAKFEELKNAGIRPSTHMYTSFIVYFFQKRDVAKAMDIFKEMVDDGCEPSVVTYSALIRGYMEVGMVSEAWDVLRAMKLKGPSPDFGTYSMFIMYLCKAGRSEDGLQLIHDMLDGGITPSAVNFRTVFHGLNMEGKHKLADSVLQSKWHLRRQRSFSDSSFV >SECCE6Rv1G0386840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:102477277:102506475:-1 gene:SECCE6Rv1G0386840 transcript:SECCE6Rv1G0386840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEIVEIEPVGPSSRPLRYAPAPQWRAPPPPPPLPPPHMMASSSASAAEASASASAVTAALQFDSEKLPQTLVLEIRPFLRVANQIEAESPRVAYLCRFHAFEKAHMLDPRSTGRGVRQFKTTLLQRLEQDEKSTFSKRKERNDAREIKSFYEKKKQASAHQLMPVLGEVLKAVLIGTGLESLVASEDFGDKSGFRYNIIPLHPRSSQQPIMLLQEIKVAVSAVFNVRSLPLANVKGEKSQTDIFRWLQSWFGFQKGNVANQREHLILLLANMHARLNPKSSSAPMLDERAVEELLAKTFENYLSWCKFLGRKSNIWLPSVKQEIQQHKLLYISLYLLIWGEASNLRLMPECLCYIFHHMSYELYGVLSGAVSLITGEKVRPAYGGEDESFLNKVVAPIYNEIYAEALKNKNGVSDHSTWRNYDDLNEFFWSADCFKLGWPMRLNNDFFFTSTKNKKSHETKIQNSQLPRGSSSAQNIVDSEVPDQSQQQTISVTSQQRWLGKTNFVEVRSFWHIFRSFDRLWTLLVLGLQILIIIAWHGLESPLQLLDPIFFEDILSIFITNAVLRVIQVVLDILFSWRTRGTMRSSQKLRFVIKLSLAVTWAIVLPIFYSSSQNYKACSARRPKNFLGMFCLSKYMVAVAFYLTSNVIGMALFFVPAVSSYIETSTWRICNILSWWCQAPSYVGRGMHEGQVPLLKYTSFWTVLLSSKFLFSYYFEIKPLVEPTKEIMRVNVNIYEWHEFFPQVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIVHHLGEIRTMGMVRSRFCTLPEVFNACLVPRSSQKEKKGILPNFLEKKIFKDLGKSERHDPTKFALVWNQIINSFRSEDLISNREMDLMTMPMSLEYRSGSIRWPLFLVAKKFSTAVDMAANFTGNSAQLFQRIKKDNYMFCAINDFYELTKSIFRFLVIGDVEKRVIAAIFAEIKKSIQNSSLLVDFKMDHLPLLVDKFERLAEILYSNKQSLQYEVTILLQDIIDTLIQDMLVDAQSVLDQINSSETLISDKDGAFDYYKPELFASISSISKIRFPFPDSGPLKEQVKRLYLLLNTKEKAAEVPSNSEARRRISFFATSLFMDMPAAPKVRSMLSFSIVTPYFMEEVKFSDEELHSDQDEASILSYMQKIYPDEWTNFLERLGTKVKREDIRYWASFRGQTLSRTVRGMMYYRKALRLQAFLDRTNDQELYKGPVGTEREQNKRSIHQSLSTELDALADMKFSYVISCQKFGEQKSNGDTHAQDIIDLMARYPALRVAYIEEKEIIVDNMPHKVYSSVLIKAENNLDQEIYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFIRHPRDQTPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIYRLARRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQSALLIKAHHQNMKSLETALASQSFLQLGLLTGLPMVMELGLEKGFRAALSDFILMQLQVASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVVFHASFTENYQLYSRSHFVKAFELIFLLIIYHLFRKSDGKFHVMVTYSTWFMAMTWLFAPFLFNPAGFAWHKIVDDWVDWNRWMMNQGGIGVQPEKSWESWWNAENAHLRYSVLSSRIIEVLLCLRFFVYQYGLVYHLKISHDNKNFLVYLLSWVVIISIVGLVKLVNCASRQLSSKHQLIFRFIKLLTFLAVVTSFILLSCLCKLSIMDLIVCCLAFIPTGWGLLLIVQVLRPKIEYYAIWEPIQVIAHAYDYGMGTLLFFPIAVLAWMPIISAIQTRVLFNRAFSRQLQIQPFIIGKTKRR >SECCE5Rv1G0367920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:825060270:825061422:-1 gene:SECCE5Rv1G0367920 transcript:SECCE5Rv1G0367920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAAVASSTMEVDQDLPGFRFHPTEEELLGFYLSRVALGKKLHFDIIGTLNIYRHDPWDLPGLAKIGEREWYFFVPRDRKAGSGGRPNRTTERGFWKATGSDRAIRSTADPKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDSGAAPPKEDTVLCKVYRKATPLKELEQRAFEMEAMKQRSGGNGGYDYSGAARACPVPAAGDFYLSPSDHVQDNFLIPSSSSSSSSSTAPSGNSSSHDAPRVTKKEADVATVTVASMSSLSQAANAPFHLQLPAVNPPCGLQLPAANHGMSNMQLPAASQGVLNLPSLQLPAASSQGVFDWLNDPFLAQLCSPWQDQHCMSPYAHLLY >SECCE5Rv1G0362750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:788789865:788790920:-1 gene:SECCE5Rv1G0362750 transcript:SECCE5Rv1G0362750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSKTVSVCTPLEEDQGTHVFDILGYSKHKGMGHDPDGYIRSGIFSVGGHDWAILFFPDGINGYGLDYLSVYLMLLSNDTKLRASCDMRLVDQYTGFPFSVHKTKPRTFSSDDFTKLAPQTPYFMRRSEFEGSAYLRDDRLTIECIVTVFRMPHVTETKSVPKIDMPQADMTEHVSKLLEEKTGFDVSFFVGGETIEAHRFVLAMRSPVFKAELYGSMQEARLGQCITIKDMQPAVFKALLHFIYTDSLPSGEDTEMIRLLLVAADRYAMDRLKLVCQSILCEDLDVDTVTTTLAFADRHNCHQLKDACLEFIKLSNFMDAMMRTQRLKDLKATCPSFIVDELEKRIKFS >SECCE6Rv1G0424050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:698863158:698866002:-1 gene:SECCE6Rv1G0424050 transcript:SECCE6Rv1G0424050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQEMQVKGVQVEELYSLDVDSLSQLQPVYGLIFLFKWMPGGNDERPVVSDPNPNLFFARQVITNACATQAILSILMNRPEIDIGPELSNLKEFTGAFAPDMKGLAINNSDSIRAAHNSFARPEPFVSDEQKVATKDDEVYHFISYIPFEGVLYELDGLKEGPVSLGQYTGGPEDLGWLQMVQPVIQERIERYSQSEIRFNLMAIIKNRKDVYTAELKELEKKREQILQELSNEAADKEALNGALAEVALAVEAVGEKIAMEEEKFRKWKTENVRRKHNYIPFLFNLLKALAEKKQLTPLVEKARQQKAPATGASASTS >SECCE1Rv1G0017660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:160012543:160014667:-1 gene:SECCE1Rv1G0017660 transcript:SECCE1Rv1G0017660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNLHRQITFMKKSFFDQGYLDEQFNQLEELQDESSPNFVEEVVALFLKDSPRLLSNIEQTIGKYPQDFYRLDSLVHQLKGSGSSIGAVRMKNECSVFKAHCNDRNLEGCRRSLQKMKREHATLKQKLESYFQLLRQVGPREHAVNSRK >SECCEUnv1G0537730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69846277:69848985:1 gene:SECCEUnv1G0537730 transcript:SECCEUnv1G0537730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRKDEEDDFKGVDESSRTEIQDVGTEIYQVVQQHKTLVVFHNGSNYTIDLNDFGIPLSVWGTRVLWTLRGRLRLSPGISDKVDNSHLFLYRERTPLGWHYLLQKEAREIVGYTDKLYEVVEECCLYMLSLNSQGGDIVDYNWATHASIYWVCDGIIQGGQGDEAWEVAADLHQRICIEDYSSNALPTFGTELKTPLKRWILSKDNSVVHPGSTSFFLAAVESMSYPPFRSLPNDMFHQSDKLRVLKLCRCTFSFSSPPFCCCRNLKFLGLEDCKDQPVEQDEKKGRPAMEFFQRLWVLDICHTDWELDLSAEITEQMVSNIREVHIRNGRIWCHSFAWRQLQNLHKLRVIEPTSPWQTGKMDEFTDMVKMEFLDLSGNSTIQVLPCLSGATGHVGPEGLPMSLESFSLDVGTRVDQNEEAKISHISFGGCGKLMKFRLYGSLPNLEELDLSGTLVKTLDLKEVQAPSLQQIVLLGCMRLHAIVWPKEGLPALKVLHVDLRSVDLQVQTKLHEVYVTIMDIRFFQSLVLQSNGRFCWKSNRFHLNLCVPCTTTVKGQSYMKEKMGPGNCKQITGPTQSKSLTPNTCATYIDVSVGNIIIDHDYNNGMEFQTSGCHVEIGKGVSNASVESVEAIKAIIFAMNQSDGTETRCFAKLRSIHLYSCPRLLQHICEVKMHAPKLERVWLRGCWGLRRLPAVGQDSRRPIVDYEQDWWEKLEWDGLEAGHDPCLFERRHSSHYKKPLPRVSVLR >SECCEUnv1G0564200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:381411442:381411954:1 gene:SECCEUnv1G0564200 transcript:SECCEUnv1G0564200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLFAAVHTAGAPGAAALVLVVLIVLVVAAVVVSLCTSSTHEKLWGQQRGSSSSAPLAKADSSVGASNRKHLLSATLSGIGGKAARMVSWNRRSPAPGGSSSDDEEAVAALGQDDDDEAVWRKAIIMGDKCRPLQFSGHIAFDSDGNQLPPPPAAIKKADPEVHAKN >SECCE4Rv1G0226450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:109902438:109903076:1 gene:SECCE4Rv1G0226450 transcript:SECCE4Rv1G0226450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVVLDEAQRRRRQSVYEFLDATKPARAQALRWCETAREMRRIDGDMKEAGQLLRGALSCVKDYASVYRTWIAMEMDGGGGVGVARWLFEEWGAVCAKDGNLRKDDDGTTADEYGDYWCAYLAFELRHGDARRARTVAARAVKACPHDASLRDTVELRLSDAIEIEQQRRHRSGLLRTAKKWLTNSEQSRGCSSLVPRPTQGQGYRRLLSG >SECCE2Rv1G0123150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:821646683:821647935:-1 gene:SECCE2Rv1G0123150 transcript:SECCE2Rv1G0123150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGEIRLQIAGTRGEEEAEGVDDNGTSLETAAPPTMSERVRWWAVVLVNIVFVLAGQSVASFLGRIYYDQGGDSLWMATVVQSCGTPLAIPLLLYFRRRPRPTSVTRPPFIRISAIYAGLGVLLAGDNLMYSYALLYLPLSTYSLICATQLSFNAVFSYFLNKEKFTALILNSVVLLTFSAALVGVSHGSDGTNSSVPEGKFPLGFALTLSASALFSLILSLMQLTFDKVLKSDTFYDVMEMQFWSNTAAAVVSVAGLFISGEWSTLDGEMDGYKKGKVAYGMTLAWTAISWQLATVGMMGLVAAVSSLFTNVISTVGLPLSPIVAVIFFGDRMDGVKVLAMLIGVWGFLSYIYQHYLDDAKVKKILAERLADDDEHQTVKLNTE >SECCE6Rv1G0417370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:657077552:657078273:-1 gene:SECCE6Rv1G0417370 transcript:SECCE6Rv1G0417370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSIIRSTRTKTEACAGQFLVTAIGGGGGGVAAAQMARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGQRARTNFPAVAAAGTASSSSAAVASSFLSAALVAKLHRFNMASVQAAQRQRDAAAAAASAAATAAAAMPPGGAYAGSTLPSSTGWVGTHLEEQHVEQMIAELLDSDFSMEICH >SECCE2Rv1G0105910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:626958652:626968348:1 gene:SECCE2Rv1G0105910 transcript:SECCE2Rv1G0105910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLNPTARRLTLAACRRLSTPPAVEPLVAHWSTQARYNSSLVEVNGGFGEMVADTKRYYVLGGKGGVGTTSMAASLAMKFAKHGEPTLIVSMHPAHSLGDTFEQDLSGGNIVPVNGVDSLFAAEIGHVNTKEESSNSGSFMRNILDKIGLGVLADPLGKNKLHEMLMKTPGFGEAIAISKLMQIVELQESNKFRRIVLDTAATGHTLNLLSATTLMEKLLGMANKAVNLASSFPALKSAFGKDQIDPARIELLRKQIARVHELMQDPQSTEFIIVTIPTVMAITESSRFHASLKKDGAPATRLIVNQVLPPSTSECRFCSTKRKEEARALDMISRDRELGGLELIQAPLLDVEVRGAPALRFFGDVVWK >SECCE6Rv1G0453000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879800294:879804006:-1 gene:SECCE6Rv1G0453000 transcript:SECCE6Rv1G0453000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENHTNTLKDIGLKIIQKFGGLPLAIKLMGGLLRQREGLRCYWEKVLDDSKWSIAEMPRELNYAVYLSYEDMPSYLRQCFLYYSRLPKCKKFHVGEVVAMWISEGFIHGSFNDLEESGRYYYNQLISRNLIEPDKSYFDQSYCSMHDVVRSFAQYVMKDEALIVHNEDINILAKLNSQKFLRLPIETNMLQSGEIYWQSMHKQHVRTLISTIHIKMKHGDSLANFSCLRVLHIESTVVATLVESLHQLKHLRYLALINTDIFVLPGNIGNMKLLHFLNLCGCTQLVNLPDSIVNLRQLRLLSIPSIRRIPRGFCGLTSMRRLHGFRAHMDGEWCSLDELGPLSQLNFLKVIQLESVSSASFAAKARLGEKIHLIDLFLHCTSKLGDYWFGKEYEGISQAEQQRIEEVFDELCPPPSVENLHIEGYFGHQLPSWMMSSLTVPLHNLKTLYFSDLACCTQLPNGLCQLPYLHLLQVCNAPCIKRVETGFLQAAATPFPRLNNLSLIGLVEWEEWEWEEQVEAMPHLDELLLCNCRLARVPLGLASNARALKKLSIQDIQHLSYLENFPFIVDLTLLGSPDLERITNFPNLQKLTIIDCPKLNVLESVPVLNMLVLEDYTMEELPEYMRDIKPRHFQLFCRLWLLCSVATGQSSIEWDKFSHVEHVKAYAYDGMNKRKWYVMYTRSDNFKIDSNIRRSSIFKETLSSSMVDTQGFESLYKMRRSTFSYICSLVKVPLSEDMMVKRHTFIGDRVLSLHDAVAIALRMLNSGETPEIIGSSFGVEGSTVSLLTQKFVKAVEGRAMHHISLPGSAQVAKIERKFDKIHGLPNYCGVVHTTHIKFGSQNHENVENDGMLMHAVVDADMRFTGISLRPQGTMNQSRFFHDAYVVESCVEGVRLNGRKLNVSSGAESEVSEYIIGDVGYPLCPGLPTPYRLDTDFSFSDAKVEFNGRHSAATAVDLRPLTRLKETWKCLQGEGWHPNNQLEMYWTVSTCCMLHNIVIDMEEEGVGMRSDREDNYIEQVLQVEDEDAIGVRDAPPQCLIESGEEEQEAVTVAPGSGDENKEQEQHQGQKAG >SECCE3Rv1G0164760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:165796264:165799005:-1 gene:SECCE3Rv1G0164760 transcript:SECCE3Rv1G0164760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATARLRPVRAPGVLWIVSALLVCGAAAYSPEDNYLVSCGSSLDTPVGRRLFLADDGGSGAVTLTSPRSAAVKAAPDLVSGFRDAALYQNARVFSAPSSYSFAIRRRGRHFLRLHFFPFVYRSYDLAAAARAFKVSTQDAVLLEDGVPAPEPGNASTSPQPARVEFLLDVARDTLVVSFVPLVDGGIAFVNAVEVVSVPDGLVADAADSSTGRPEPIPAALPLQTAYRVNVGGQAVAPDDDALWREWITDLRFLSHSVTDAVTREVRYNGTLNRLPGQATVTDAPDTVYATARELVINSSSFDGQKQMAWQFNIDASCSYFIRFHFCDIVSKAPHQLHINAYVDDASQATVLTDLDLAAVSDGALAFPYYKDFVLPASEASGKLAVHVGPLANKIVMPAAILNGIEIMKMHPSAGSVVVVEPAAGAAKSRLAVVLGSVCGAFAFVSIAVALAIVLRKKKKKKKMKEEEGDKEQPTPTQSQSSTPWMPLLGRFSVRGAIASGSSSFTTAGNTPGASPRAAAAAAAAAVVPSYRFPLAMLQDATRNFDDSLIIGEGGFGKVYGAVLQDGTKVAVKRASPESRQGAREFRTEIELLSGLRHRHLVSLVGYCDEREEMILLYEYMEHGSLRSRLYGRGGAGRAPPLSWTQRLEACAGAARGLLYLHTAVDKPVIHRDVKSSNILLDGDLTGKVADFGLSKAGPVLDETHVSTAVKGSFGYVDPEYCRTRQLTAKSDVYSLGVVLLEAVCARPVVDPRLPKPMSNLVEWGLHWQGRGELDKIVDRRIAAAARPAALRKYGETVARCLAERGADRPAMEDVVWNLQFVMRLQEGDGLDFSDVSSLNMVTELTPPRRQRSAVDCDGLDLSDVNSINMVTELKLPQTGSVEGDGVAADDDDFTDASMRGTFWQMVNVRSR >SECCE3Rv1G0158610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:89633833:89634984:-1 gene:SECCE3Rv1G0158610 transcript:SECCE3Rv1G0158610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQECVFSRLLSSPSTSVVSPLLRLLSAAPISLNPSGFAVEEYLVSTCGLTRPLALKASPKLARLKSPVNPDAVLAFLAGLGLSGADVAAVVGKDPLLLCTKVDQTLAPKVVGLTGLGLSRPDIARLISLNPDRFRRRSIVSKMQYYLTLFGSFQTFLRTLKRSSHLLSSDLDKLVKPNVAFLRECGLGDCDIAKLCIAVPRMLTTNPERVRAMVACAERLGVPRGAGMFRQALQAVAFLNEEKIAAKVDYLKNTFMWSHAQVSIAVCKTPMVLRKSKESLKRRSEFLFSVVGLEPMYIAHRSVILCLSLEGRVRPRYYVVKFLKQSGLLDLDRSIYSVIMVTEKVFMEKFICPHKEAVPHLAEDYATACKGEVPTSIIFR >SECCE1Rv1G0015360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:124220896:124221588:-1 gene:SECCE1Rv1G0015360 transcript:SECCE1Rv1G0015360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRMEAKIAAPALLSLVAVALLAHTLLLHRPRATTWFLSSVDAFRFDGRRLVELVTRRNMILLCNAILLLILKDAGLLAAPARPRSSAAVGAACSSSEARPQPKTRRTSTAAGATGDDEGRLEREHRGPETATARVRRGKPLTRARQREYAAVQAIDPAEKQRSDYSFSHFHHGTDDRSRREEQTVRQETETDCAGDVDEMNKKFEEFIANMRRKMHLETLQLQQQVEV >SECCE4Rv1G0270570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755802919:755805640:-1 gene:SECCE4Rv1G0270570 transcript:SECCE4Rv1G0270570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLGAFFLVVTLIVLVIFQLMCLADLEFDYINPFDSSSRINKVVMPEFIVQALLSALFLLSGHWAMFLLSAPMVYYNYTLYERRQHLVDVTEIFNHLSREKKRRLFKIGALIVLLFLSLFWMIWSVLEEHE >SECCE6Rv1G0391020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:203406970:203411239:-1 gene:SECCE6Rv1G0391020 transcript:SECCE6Rv1G0391020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNFLVRSPKEEESSAAVREAVLLGGKNAAIAGTVVAVPTLVACRVFPWAKHNLNYTAQALIISAACIAGFFITADKTILRNARQNTIGRIDKST >SECCE1Rv1G0036180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:506142548:506142835:1 gene:SECCE1Rv1G0036180 transcript:SECCE1Rv1G0036180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEESAGGAARQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSTAPPSAAAPAAPASIAVAAPTVPSLFPSGFRQL >SECCE7Rv1G0523910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884079697:884081840:-1 gene:SECCE7Rv1G0523910 transcript:SECCE7Rv1G0523910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLVSSAAVVSCVRPRPSRVSVRASAAAAPRRETDPKRRVVITGMGLVSVFGNDVDVFYDRLLAGDSIVGTIDRFDPSPFPTRFAAQIHGFSSEGYIDKELDGRLDNFHRYALVASNKALESAGLVPGSSAMEKIEKALAGVIIGSGVGGIERLADFVKNLIASKECNEISPLFSLPGLTTNTGSSEVAIATGFRGPTYSISTACATSNHCFISAADQIRSGQAHIMIAGGAEAPIVPAGLGGFLACRALSMRNDDPLTASRPWDKQRDGFVMGEGAGILVMESLDHAMRRGAPILAEYLGGASTSDAHHITDPRPDCLGISSCIKRSLEDAGVAPEEVNYINAHATSTPAGDMAEVRALMHVFKDPSQIKMNATKSMIGHCLGAAGGLEAIATIKAIMLGSVHPTINQFDLEPEVGQFDTVPSKKQDHTINVGISNSFGFGGHNSVVVFAPFKG >SECCE3Rv1G0209520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939341379:939341705:1 gene:SECCE3Rv1G0209520 transcript:SECCE3Rv1G0209520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSMKVFVVVLLLLVATEDQGPVQVALARECKSDSHKFKGMCVRDDNCATVCQTEGFPRGRCKGILHECHCYKDC >SECCE7Rv1G0462730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:48278209:48278676:1 gene:SECCE7Rv1G0462730 transcript:SECCE7Rv1G0462730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTFAASCVLLGNARTTDAPQKAVKSRLSFLGRGAPQLPSLRSSSFPSKKLDVSAAATYKVKLVDHEGQEHEFEAPDDAYILDSAETAGVELPYSCRAGACSTCAGKIEAGSVDQSDGSFLDDAQQEEGYVLTCVAYPKSDCVIHTHKEGELY >SECCE1Rv1G0063670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726280972:726281439:-1 gene:SECCE1Rv1G0063670 transcript:SECCE1Rv1G0063670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRALLVEDTAVQRMVVSAMLRNQFHCETTLAKNGKEAVDMFLEGNTFDIVFCDRDMPIMTGPEAVVKIRAMGATDVKIVGLSDDDNAMEAFMSAGADDFVPKPVRPENVSPMIQEVINRKNNE >SECCE4Rv1G0232220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:194104020:194105022:1 gene:SECCE4Rv1G0232220 transcript:SECCE4Rv1G0232220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDVQEIPDLGAKAKKAPKVMNWTPPMSAIMLKGLAEVVAKGVRTDKGFKEADKIKVAKALTAFVGYDVSNTHVHNHLRKWRTRWQRIVHLRGLSGALWDDDKKMIILEEQHYLGHTQDHPTDAELLNTPLEHYNYMELCFADKLATGRFSMGSGVALGKPVDVEGKEKPIVVEGQGTSGEGFVNGPVGSEFVFVGASETNDPSPSTTKKRKRTSIMTEEDSIQVNNMSDAVREIASAINNTCHIETHPELYKAVMDLVEFDLAERLAILDYLTEHKGKCLNFVKMDEEVRKASFKRILEKNPDLV >SECCE3Rv1G0187350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:698254887:698257188:1 gene:SECCE3Rv1G0187350 transcript:SECCE3Rv1G0187350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDYSWVRRTRFSHSVVRSNSGREQFGAFVEQFNRGAALRQNKGSDSGFKLHGMNFEPGTKASAATNSPATPPIPRTKSLSAQPKTDLNHAFSNAVPVHHHEKPADHGHPPQSAPTNNSANGMRGGTNLFVDVCSEPEVQEPGKGDSPGPLEFSFHPDEQSTRLQRVCSSPSPFPAKKAPVLDAPRPPVRSSSLRVLPEGRTTQMLRARSPLPSRPVPEVFKEAKSASKRFSTPPPRRKSSSPPRAPPADAPLKAPAKVKHHRKEHWDNQRAKAAAEKVLESWTADRKQLLVGQKFAYGAHSRLFHGIYKELPVAVKFIRQPDVEEDAELASQLEKQFNTEIVTLSRLQHRNVIKLVGACTSAPVFCVITEFLSGGSLRSFLHKQEHKSLPLDKIISVGLDIANGMGYVHSQGIVHRDVKPENIIFDGEGCAKIVDFGIACEEAYCDPLANDPGTFRWMAPEMMKHKPYGRKVDVYSFGLILWEMLTGSVPYEDLTPFQAAFAVFDKNVRPAIPATCPAALRVLIEQCWALQADKRPEFWQIVQLLDKFKMVLERDGTLDNMPSSNCGESHDPKKWLAHWLHKLKHPHHHHDLSGPPPPKLL >SECCE4Rv1G0222440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:58297870:58299462:-1 gene:SECCE4Rv1G0222440 transcript:SECCE4Rv1G0222440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPHSSKGSGGLGLRDMHGFNIAMLSRQIWRLLQNPESLCAQVLRARYYPNDHLLEAGPSNGISYTWRSLLHGLQLFQEGYIWRIGDGAHVNIWTDPWIPRPWSRGVLTLRGHNLLEKVCELIDPVTGQWDEQMVRDTFWAADVELILQIPLRDGAQDFIAWQYDKKGIHSVKSAYKLHCQLEKTRMTADVGASTVNPGALDRVEDDSWKRISKLPCPKNIQMFTWRIKHESLALRTNVERRGISIPETKCLFCGRAGEDGAHLFTKCKSVKGVWRELAMERERDALKHITSVHAMLDFLWRLDVKRRLLILTFWWLWWSNRNKLRNGELPSTAEDVARRSKSSVHEYLHIYTPTAAKISPEKWRPPADGEYKINVDGSFVPGQSSAGWGVAIRNTEGQLLCARAGCDERVNDAFAAEAQAMAHAITAAADLGMVRVEFETDSQLLADALDLGKVDSSAYSVVIEDMKLQLKLWFAKFSISVCRRTANSVAHELAKLGRMCDLNHCMQWELDVPAHVGDCVSGDMPNHS >SECCE2Rv1G0118770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785539669:785541156:-1 gene:SECCE2Rv1G0118770 transcript:SECCE2Rv1G0118770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPCDYQLAVADYWEGRPAMDPNVWVPGVPLEMTIADPASHSGPVDGSPGRQQLKLVEEESIDHHYAVQVFKQAAQSLQDERDKMETKIHMFPPNMGDLAAKYGAPKVVAIGPYHHGSTAALRQMESTKHVAAWHFINDSGCMVEEVYGAVCAVADEARRHYDEDKVRAFGDDDFNPMMFYDGCFLLQFLLHWVDETVDPLLKDAFSSNCTSISYDIALLENQLPWVVVQELMRFMPAPPDLVRFTTEWKASLQPSVSLVDNNPVPWDDRYTPPHLLELLRYYIVGSTTETPPEPEVHKKAEKIPISVSAIELAEIGIDITATKPTADLKKMGVKNNKSLLTGELFLAPLSLDDANASFLVNMAVLELCTTPDFSEVVEEKSAVCSYLCLLGMVTDSEEDVQQLRKKGILLGGAGLSNKDALELLNRVENRLRSGTHYLRTMVLIENYRNERPSRIKFHKFHYNYRKAIILTLSGIAGLASFLGALKSLNSGKS >SECCE6Rv1G0398320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:419383122:419384986:1 gene:SECCE6Rv1G0398320 transcript:SECCE6Rv1G0398320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCQGIATATANSQLFGFPAANRYGYPARSSASSKQAFLKLRAPAIRCDKKIPPLRACYDFSARAVSSSLSKYDSITGNNPFKPLPSIRSLHPRSQVSCQASFASFSYPELSSKPKWWWRTLACVPYLLPLHNMWSHADAVYQLHPYLQQFGLFYAFIDTMALVPGWLFLMIFMTVYFFVVRRKWSPHFLRYHIILAILLDTGSQAVATMCNWNPSIVFQGKPMAYFWMTLAFIQIFTVVECMRCALAGMYPNVPFISHTAFIHSDLNLFR >SECCE3Rv1G0211550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:951195724:951196878:1 gene:SECCE3Rv1G0211550 transcript:SECCE3Rv1G0211550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSMKMSDPTIKVPDDLLVEIFSRVPYKSTCCCKCVSTRWRDLISHPDHREKLPRSTLAGFFYTTYGMGRDPRFPHVYQSVSGNWCPLDASLSFLPGCEELDTLDCCNGLLLCRRRTAPKTLGDPGTLEYVVCNPATQKWVSVPAIEYSLLVNDARLGFDPAISSHFHVFELVTAVALDLNNKYDYRIQEVGIYSSKAGGWTHQIVWDNPIEIFSLSGGAFLSRVLYLCSVNNSVAAVDVEGNCRIIPIPTSPDARGVPNVYVSRGQLYLTDHGSSELSVWVLEDSMSENCWTLKLKVSYFQLVGIEYSITGEHYSVISAHPEHNVIFITVESTSGIFSQMKLFSYEMDSKERRFICDLGWNSGCPYLPYVPLFLESLADGH >SECCE1Rv1G0007420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:40450535:40455596:1 gene:SECCE1Rv1G0007420 transcript:SECCE1Rv1G0007420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRALPLPCQFELLLGEDRGRWPPEARFIEAAHLGDVRRIKEIAKELDVRGHGIPVTVASTSYMGMNALHAAGGRGRLPAYQYLVEEVKMDINKPDTSQDYSPVEHAVTYGNLPAVRYLLDHGADLHQQRSGNITLLHSAAARGHSEIVKYLLSRGVDIDAQSIIGTPLAFAAQKGHATVVKILLQHNADPNKGTLILGPLDMALHKSNVSCAKLLIQGGANVSGAGPCYNPLVTAAEKGLTEAIKCLLEAGANPNVLDQFGRLPIELAAEYGTREDVEILFPSTLPISTVTNWSIDGIISHVKMEIKQLEDDNFVQTRVSDLKRQGDEAFKKQDYLNASVFYTQALKMDNFDPKLLSNRSLCWLRMGNGEKAFGDAHECIALCPKWAKAHYRLGAAFMFMKDYNGAYQSLSCALQLDPESEEVEKLFWEAMELMS >SECCE1Rv1G0048750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637259343:637260431:1 gene:SECCE1Rv1G0048750 transcript:SECCE1Rv1G0048750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAKPHVLVACALLLLAVGCKASPFWPLEIGYYHDKCPQAESIVKGVMEKAISQNPGNGAAMIRMLFHDCFVEGCDASILLDPTPFNPTPEKLSPPNDPTLRGFELIDAIKDALEVACPGVVSCADIVAFAARDASCILSRGKVNFQMPSGRRDGTFSNASEPLKFLAPPTSNLSDLVASFVIKGLNAEDLVVLSGAHTIGRSHCSSFVSDRLNTPSDINGGLATFLRGQCPTDAMPSGNDPTVMQDVVTPNKLDKQYYKNVLSHTVLFTSDAALMTSQETARMVVENAKIPGWWENGFEKAMVKMAHIEVKTGYQGQIRKNCRAINHY >SECCE6Rv1G0419790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:673002556:673005415:1 gene:SECCE6Rv1G0419790 transcript:SECCE6Rv1G0419790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITRAHIVKNDSLEDRLGDYVGGKPRRASSASKHSSRLVAALTCLQLAFAIYATFLLYYMSPAVDLRAKPDFAWATRIAQRWKQTIMPGGAPGDGGGEALSPLEVCEHETIEFEQKKSTDALMIRLKRELYDEVLAFQGRSFAAETLPELLRMRSRWPSPPSDEASRPRVTVILNHFKRRTLCAQLDTLRRQTLPFHRAWVLAFGSPNEASLRRIVESYNDSRVSFVASGYDFKYYGRFQMALQAESDFVYVLDDDMIPGTRMLEILCHVAGTDKYRNAVLGSIGRILPFRGKDFTFPSYRKFRSREAGLYLPDPAYDITVDRIVQVDFLSSSWFLATELVKTLFIETPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDPSDKETWGDSEHRLAYVSETTVIFKDIVTVRDEQWWRALTSGYVTQWAAMNPQKVDALFYAHSLGEVRALAPLLEKFRTTAGRKAYLVVSGGGHCPCEEAAAVLKWPKVVCKERRFKVFDLALGALSGPSRSEVPVLQAVYSSMRGLVRMHNPSVIVAVDDVDGKVKDALRMAADAAINQTALVLLPRKTIPKVLWMATLRPASLPNWNKMRISVNIITQTRAGSLGRLLSSLKNAYYLGDEVPISFNMDSKVDAATLNTVNAFAWPHGGKTLRRRIIQGGLIRAVSESWYPASDDDYGLLLEDDIEVSPYYYLWVKYALLAYRYDPTVSLPELSSISLYTPRLVEVTKERPRWNATAFFGATKHGANTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKTNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQTSFSTNHMEPGAHISAKDNKLKHDKGDFEVPLVADDFAPLLPSGKMPPASKLPVLNLFNQPVSIKGLKAAGAKLRQDVLSCVATQLVSVDHVTGLPKNCTAF >SECCE3Rv1G0210020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:942016472:942017149:-1 gene:SECCE3Rv1G0210020 transcript:SECCE3Rv1G0210020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTRTATPLLIIVLATTVATSTSSTNAAASPPSPKAPPPPPPPPPPCVPAQSVAAFLRARCATTLYRVTCYDTLIPYGCVFQTNPVRLARAAVDLNAAQLRALSTRVKEVVARGGIGQPGGPAYALRDCAGTVSSAAGLAKMSGAEIGKLDAAGSNATVTQVRWAISNAQTWLSAAMSNEATCTEELAPWGAAAAAAKELVARTVVAMESTSVALALVNGMPR >SECCE1Rv1G0029860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:421375800:421378199:1 gene:SECCE1Rv1G0029860 transcript:SECCE1Rv1G0029860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35490) UniProtKB/Swiss-Prot;Acc:O82291] MAPLFASCHASHASLLLLSPNPAAGARLRVGGLRVRRPRRLRLPVRTAAGGSPLDAEIPDPTVDDDEWGREPPASAPGNSRPGVTDEWGEPGVPEPEQPSGADTPTNDDEWGGEPTPTPPKAKEAPVTKEDEGREELKRCLVDTVYGSGLGLKASSEVRGEVVELVAQLEAANPTSAPVQAPELDGNWILLYTAYSELLPILLAGATPFARVDKISQEIDSRSMTIINASTISTPFASFSFSATASFEVQTPSRIEVQFKEGSFQPPEISSSVNLPEQIAIFGQKISLGPVKQLLEPLQRAFASIAGSISGQPPLEVPIPGDKGAKSWLLTTYLDKDLRISKGDGGGVFILAKEGSPLLD >SECCE7Rv1G0461850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:40555729:40556502:1 gene:SECCE7Rv1G0461850 transcript:SECCE7Rv1G0461850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRAIMDKRDKIRKTSVISHADHGKSTLTNTPVEAAQTIAQEVAADVRMTGTRADKAEGEKGVASPPPQSGSHTPTEDLK >SECCE3Rv1G0183680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:653290136:653290978:-1 gene:SECCE3Rv1G0183680 transcript:SECCE3Rv1G0183680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRIHNRPTISPTIVLMNMYQRPDMITPGVDAQGQPIDPRKMQEHFEDFYEDIFEELSKFGEIETLNVCDNLSDHMIGNVYVQFREEDQAAAAHTALQGRFYSGRLIIVDFSPVTDFREATCRQYEENTCTRGGHCNFMHVKQIGKDLRKKLFGRYRRSQRGRSRSPSPHHRRERRDRDDYRGRDDFRRGGGGGGGRRGGSSRHERHDDGGRRRYGGSPPRRARSPVRENSEERRAKIEQWNRERETK >SECCE4Rv1G0242040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:469193785:469194177:1 gene:SECCE4Rv1G0242040 transcript:SECCE4Rv1G0242040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVSPTPTATAVAVRPSLHAVPRSLASAERLGTGRAATCTTALRAQRRGGAEPVEARREDGPVPRERVREEDEVEQLELLEDKAMAGEDEGRSPTDYGRRADIFEESSRVFRALKERRDGDGGAATRHG >SECCE3Rv1G0170350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:251493006:251493635:-1 gene:SECCE3Rv1G0170350 transcript:SECCE3Rv1G0170350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHAYDHSSGMSPSTPPVQQQQQWRRRRGASSHATVPRAATTARPAIRIIHIIAPEIIKTDAANFRDLVQRLTGRHHHQTADPTADDDDNSKTLTVGVAPPSPVEEKPLPQKKRLADDFVVQQENRRRKNIKCEVVKVEEGGFGCGVGGDLDFSELWMDLNPGGFLSFLEEDVFQFQEMMAPDLLQQPLGAPTPRMDLVSEMCASCLA >SECCE1Rv1G0059520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:706452458:706458086:-1 gene:SECCE1Rv1G0059520 transcript:SECCE1Rv1G0059520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFGSSAKVYRPAPEVDLGPGSGELYISPNVKAPRVAGLLVKIFVWVLEMPVVGWVLLYILKKDNLINKLVSEAEIPEPPLFTSTHRWEDTPEQNVSLTKPGLSPAERVREAVDCLPARLESTLAADAPPSSSLKRWTIMDFSRAYSSGETTPVQVAKRFLAAVKECSGPTMNMAFFISCNPEDVLKQTQESTLRYQTGTPLSVMDGVLVAVKDEIDCLPYPTTGGTRWLGKARPCEADAACVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSARNPYNVGKVAGGSSSGSAAVVCAGLCPVALGVDGGGSVRMPAALCGVVGFKPTAGRLSNAGVLPLNWTVGMAGILAGTVEDAVVAYSAIVDQSQPSYLRPELNLPMLTPTPSISNVRLAKYGKWFNDSSEDIRTCCDKALQTLRAQYGWETLDVTVPEIEEMRLAHYVTIGSECTASLAKYLDKLKRSEIGWDVRVALAVYGSFSSRAYLNSQRLRNRQMFFHKEIFKTADVIVSPMTGVTAYTLQDDALNSGELDYINGAALIRYSIAGNFLGLPAITVMVGQDKGGLPIGLQFIGRPWSEATLLHIAFAMQEACSKNHRKPAVFYDLLKKD >SECCE4Rv1G0219700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33363293:33364309:-1 gene:SECCE4Rv1G0219700 transcript:SECCE4Rv1G0219700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERRPPQLHLKLDAPTWAFRCPAPAPVTAATPSTSAARPGGEFRLSDFDKLSVLGRGNGGTVHKVSHRRTSALYALKIIHRGHPGADEEVDVVRRVDSPHIVRCHSVLPTASGNSALLLELMDGGSLDSLVRACQGGFPEAALAEVAAQALSGLAYLRARRVVHRDIKPANLLVNRAGQVKIADFGIAEVVSRAGKYRAAYEGTAAYMSPERFDTERTLQGDGDVEGPVDPYAADVWGLGVTVLELLMGRYPLLPAGQELSWAALMCAICFGELPALPDGAASPELRSFVAACLQKDHRKRASVAELLMHPFVAGRDAAAARRALREVIEQRCR >SECCE6Rv1G0412560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614960201:614970097:1 gene:SECCE6Rv1G0412560 transcript:SECCE6Rv1G0412560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVDALYEIGRHATGSHEIPCERDETARASGGSSGEGGGVLSYLSFQGVSKLRERWSRYNTLGGNKRRKRENAASLFVSRNAEYVAVAVGNRIYILRKSDGYESPCGIYTNNNRMAFFTNGAWLEDQGIFGVVDDSNSLCLIKENGDVLTRRTSNQLKLSSPIIDLLVQDASSSQRGFYIFTTDCKVYKFDYTREPEATLYQVSIVTKDVPSTRSPQLPQSLSCVDYHQDHSLVVLVGDSTHSSSSNGCSGAYFLYVLHFDEYLELSLSFQSAPLEGVFSPPTDRKTLVPLPKVRISPQGKRIATLDLNGSVDIFVLNGNMRSVSLHPHGSGAGTHLIGVKDISWWTDNILLIVKEDGRISMYSIAEDMVVSKGDLVLSTPLLEKANAIEGYAFVLQSSRQMDSVPGDHQHTEMDKIFWSLVSFSKVTVLEMYSVLIRKNQQKEALDFASQYNLDKDDVLKACWLHSAGDIHDIQSYLVNIKDQAFVLSECVNKVGPTEAALKALFSFGFRMTDRYKFSEPDNSGDGSAWDSRIIRLRLLWYNDLLETFLGINMGRFSAGEYSKFRLTPLVDTAIALAESGKIGALNLLMKRHPYTISSDILRVLSAIPETIAVQTYSQLLPGKYPPSVVILRDGDWVECKQMATYINTSPGQLDKRGVVKTEILLKHSTGFLWPSAAELSEWYRSRARDIDCLSGQLENCLAMIELACQKGIVELQPFFDDLNYLYQVIYSDESNEFIMNLATWEDLPDYQKFKIILKGAKDDTVQRLDDMAIPFMNKRLHLISSSNADKQEESYLTRWMKEVAAENELSICLSIIENGCGESPICGIFKDLNEMIETAICCIYVCSATNQWNTMSSILSKLLHKTKREKSLLASEEDFSLKDAKQALGTCVVSCDEMQHVCADILSRLSDSGDSYCNDSTSFQFDNIKSLDMREKMLKVAEGHVEVGRLFAYYQVPKPTHFFLAAHLDEKNVKQLIRLILSKFGRRQPVRSDNEWANMWRDLKLFQEKAFPFLDSEYMLAEFIRGLLKAGKFSLARNYLGGTSAVSLSTEKAENLVIQAAREYFFSASTLSCNEIWKARECLNLLPNSKNVQVETDIIDALTVRLPYLGVTILPVQFRQVKDPMEIIRMVITSQTGAYLHFEEIIDVAKLLGLRSEEEIAAVEEAIAREAVVNGDLQLAFDLCLNLTKKGHGEVWDLCAAIARGPQLDNLDTSTRERLLGFSLSHCDEESVGELLNAWKELDVHDKFEQLMVSTGTNPPNFFVDGSTYTPLPVQSVQDILDLREGVSHDREHDHVAIAKEMLSKVCMDFTNDDTYSQESTFAENRKLLSFSALELPWLLKLSNDEVHDGNKHSLETNHPIRRYRFSTKTEAINSIIYWLGVNSFAPSDDLIMFLAKSVMEPPVDEDDYVLSCSILLNLMDPFNGVKIIEEELKKRECYQEISSIMNVGMTYSSLNSLKKECSTPEQRRNLLLQKFHEKFTSIDSDDLDQIDMAHATYWGEWKSKLEEEKRMADQARVLKNVLPDIDTSRFLSGDANYIKKVVFSFVDSVKLERKHILKEAVKIAENYGLQRTEVLLRFLGCALVSEYWDNDDILNEISEFREDIVKSAKGVIDMIYSDVYPEIDGYNKQRLSYIFGILSACHSYLKRTSKIELTYQEHVHTHKLEPFQYYKVLEEECKKVSFIDGLNYKNIVSLDNLNFDHFNEEVCKNIHASTVSALADMVQALVSMYVDVHAKGLVSRQGVYKHYVLGMLASLEGRNEARSNSTDCEKLQAVLCEIELNYDSCKEYIQTLPATDISYIIGRYCTLCFPCNLARSQPQEPSWKEPLCMLITLWIKLVDDIPRQSTDASSYERTGYLDSNWLTHCMSAFRQLLISDEITVRQGWDVVSMFVQGGFNSEMMMDTSHFCRAMILSGCAFKTVVEVYYGGQEHLESVNADSRNTLDLLELYGASTDGCLSDLIEHSCESQALLHKLLSSLSQSTGEHAGSLEMIRSGVWGKLITFSENMQLGNQLRVYALQLMQCITGRNLKSLPDEIVSQVEPWESWYEPGTSDSIADEGSTPSCSITASLVALRSNQMVTAVLPDASITPENLSSLDSAVSCFLHLSERASSVESVAVLEAVLEEWEQLFSSPKEEYVQPQDSPKEASDWSDGWDDGWEALPEELENPAQKQDGASSLSVHPLHSCWMEITRKLAGLGELQKIIELLDRASSKHSMLLEDEEARRLLDLLSATPNCFMALKIMLLLPYEAPQLQCLQTVEAKIREGTASTSSNANDHELLALVLSSGALQKIAGEEGYSKFFSHTCHLVGHLARSFQGDLCAHWEAESKMNQKSLLFGKVLLPCFISELVLKGQHLLAGFVVSRWMHTPASLGLIDVAEPGLRRYLEGQVAQAQQQVGERDASFAEDELSISRTLSGLRLKLVSLLQAALAALPSQDS >SECCE4Rv1G0295820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:900180747:900181319:-1 gene:SECCE4Rv1G0295820 transcript:SECCE4Rv1G0295820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPSYIFLLSSVALFFLPPPAMSSTAAIIRLPYGDDIIAETCQRCGENDRNVDYALCVASLSADPSSRGANLHGLALISAKLVRAGVAGMDSGMAALRGKEATGSTRWSCLNACIDVFRDAMADLDDSIAAIEDGRYSDAKMKMTATTDAPVTCNDEFKEQGLPPPMEGESRRLFQHAVISLAIISLL >SECCE6Rv1G0382850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:48066034:48069228:-1 gene:SECCE6Rv1G0382850 transcript:SECCE6Rv1G0382850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHHFSNRGHSKILHMPPLALVLLICLVSPTSSCTEQEKASLLQFLAGLSLDGGLAASWQHGTDCCQWEGITCGQDKTITHVLLASKGLEGHISESLGNLTRLWHLNLSHNSLSGGLPLELVSSSTSSILVLDISFNQFNGTLPELPASTTARPLKVLNISSNFFTGQFPSTAWKGMENLVVLNASKNRFTGQIPTHFCNTSPSISVLDLSFNRFSGSLPQGLGDCSKMIELRVGYNDLSGAIPDELFNATSLEYLSFSNNHLHGIPDSIGQLKKLEELHLNNNNMSGELPSAVSNCINLVIIDLKSNSFSGELANVNFSNLLNLKTLDLLYNNFTGKVPESIYSCSYLTALRLSGNKLHGQLSPRIGDLKYLTFLSLGKNSFKNIRNALHILQSCRNLTTLLIGQNFIGEHMPELEKVERFEKLQVLDIGGCPLFGKMPLWISNLANLEVLVLSNNKLTGSIPAWIKALKHLFYLDVRNNTLTGEIPTILMDMPTLMSEKKEAHLDPRFFELPVYKSPSLQYRIPIAFPKVLDLSNNKFTGEIPLEIGQLKALLSLNFSFNYLTGQIPQSICNLTKLLVLDLSSNNLTGAIPGALNSMNFLSAFNISNNDLEGPIPSGGQFNTFPNSSFDGNPKLCGSMLTHKCASASTPLVSQNQRNKKVIFAITFTVFFGGIAILLLLGCLLVSIRAKGFYAENRRDNYGDAEATSVYCSSEQTLVVIRMPEVKGEEKMLKFNDILKATNNFHKDNIIGCGGYGLVYKAELPDGSKLAIKKLNGETCLMEREFIAEVDALSMAQHENLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDDASSYFDWPTRLKIAQGASLGLSYIHDVCKPQIIHRDIKSSNILLDKEFNAYVADFGLARLILPNRTHVTTELVGTMGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGMRPVSVLSTSKELVPWVLQMRSEGKQIEVLDPTLRGTGYEEQMLKVVEIACKCVDHNQFRRPAMMEVVSCLASIDDDRQIQRTAKTEGTTHDI >SECCE6Rv1G0412100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:612907419:612907772:-1 gene:SECCE6Rv1G0412100 transcript:SECCE6Rv1G0412100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEQAEKRGKVKKGWLAVRVGQAEQGDGFRRFVIPIAYLYHPLFQRLLEAARDTYGYDSAGPLWLPCSVDEFLRLRALVDREMAHSHSSSSHRVHAGGHQQHGYSFAPCTRARVTS >SECCE1Rv1G0023700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:310562190:310566115:-1 gene:SECCE1Rv1G0023700 transcript:SECCE1Rv1G0023700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN/FHY [Source:Projected from Arabidopsis thaliana (AT4G21470) UniProtKB/TrEMBL;Acc:A0A178V341] MAAPKPITRLISHVILDLDGTLLNTDCIVSQVLKPFLVKNGKKWDSKKAHKFVGKTPYEAAAVVLEDYGLPYSTEEFLSLINPMFSEQWGNIKPLPGANRLIKHLKSSRVPAALASNSSRSNIESKISCQQGWKEYFSAIVGADEVESGKPSPDIFLEAAKRMNADPSNCLVIEDSVPGVTAGKAAGMHVIAVPSVPKRTDEFSSADEIINSLLDLRPEKWGLPPFNDWIEGTLPIDPWFIGGPVIKGFGRGSKVLGIPTANLAAENFSDILSEHTSGVYFGWAGLSKRGIYKMVMSIGWNPYFDNTEKTIEPWLLHGFDEDFYGEELRLVIVGYIRPEANFPSLESLIERIHEDGRIAENALDLPEYARYKDSPYLRNPLQQGAATDGNEAKQEFI >SECCE5Rv1G0323320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:433438800:433447777:1 gene:SECCE5Rv1G0323320 transcript:SECCE5Rv1G0323320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 25 [Source:Projected from Arabidopsis thaliana (AT1G25540) UniProtKB/Swiss-Prot;Acc:Q7XYY2] MVEGMASERQLVVVVEGTAALGPYWPAIAAEYVEKIVRSFCSTELSGQKLAGVPPELALVVFHTHGPYSAFIVQRSGWTKDMDAFLSWLSGISFSGGGFSEAAICEGLAEALMILQGSPSSSQNHQNRELQKHCLLVAASNPYPLPTPVYRPSVQSSDQKKSNEATKESCLADAEAVAVSFAQCSVSLSVVSPKQLPTLKAIYNAGKRNPQASDPSVDHSKNPHFLVLLSESFMEARTALSHPLPGNLVPNHTITKMDTTPAATVPGPPLNASPSVNGTMMGRQPTANVKVEPTTIPPMVSAPAFSHMTPISNVASQGVSAMQTSSPSIISQETNVANEILQEHKPLVNPIQQQVRPGGPANVSILNNLSQHRHSLTAATSMGPNMGATPIQVHMSNMISSGMTSTPAVISSISGTVQPIGAQQLVQNTALGSFGSNTSTVSGNSNIAVSSSLANIQSNMAMGQSVPSMAQGGLMSGPQSGQGGIGTNQNMINNLGTTAISSTPTMMPTPGMVQQTGVNALGANNSSAMNMPLAQHPNGQQPSKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASDTLASDWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAGRLIGMLFPGDMVVFKPQVSTQQPQMQPQQQQQLQQQQHQIQQQQQQLQQLQQQQLQQHQMQMQPQGQQLQQQQQMQQMQQQQQQMQQMQHQQQQQQQIQQQQQMQQQQQQQQQMQQMQQQQQQQQPQQLQQQPQMVGTGMGQQQFMQGHGRAVQMMQGKIAPQGPGNMSGGGYLS >SECCE7Rv1G0482580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:308073424:308077727:-1 gene:SECCE7Rv1G0482580 transcript:SECCE7Rv1G0482580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHGSSRHMSSMSMSHKELGDDDARVVHVGDADRTNERLQFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLAVTAVKDAYEDWRRHRSDRAENNRLAAVLPPGAGAQFVPTEWKQVRVGDVVRVGANESPPADMVLLATSDTTGVAYVQTLNLDGESNLKTRYAKQETLTTPLEHLAGAVVRCERPNRNIYGFHANLELPGESRRIPLGPSNIVLRGCDLKNTSWAVGVVVYAGRETKAMLNNAGTPTKRSRLETHMNRETLFLSGILIVLCSVVATLSGVWLRTHQTDLELAQFFHKKDYLKVGKEGNENYNYYGIAAQIVFNFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDAKLYDASSDSRFQCRALNINEDLGQVKCVFSDKTGTLTQNKMEFRCASIDGVDYSDVARQRPVEGEPAWVPKVPVKVDRQVLELVRNGGATEQAMNAGEFFLALATCNTIVPLILDDGPDLKKKVIDYQGESPDEQALVSAAAAYGFVLVERSSGHIVIDVLGQKQRFDVLGLHEFDSDRKRMSVIIGCPDKTIKLFVKGADSSMFGIIDKTLNPDVVQATEKHLHSYSSVGLRTLVIGVRELSQSEFQEWQMAYEKASTALLGRGNLLRSVAANIERNMRLLGASGVEDKLQDGVPEAIEKLREAGIKVWVLTGDKQETAISIGYSCKLLTRDMTQIIINSNSRESCRKSLDDAISMVNKLRSLSTDSQSRVPLALIIDGNSLVYIFDTDREEKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMSYMILYNFYRNATFVFVLFWYVLYTGYTLSTAINEWSSVLYSVVYTSAPTVIVAILDKDLSRRTLLKYPQLYGAGQREESYNLRLFIFIMVDSVWQSVAVFFIPYLAYKNSAIDSASLGDLWTLSVVILVNIHLAMDVIRWTWITHAAIWGSIVATWICVIIIDSIPTLPGFWAIYEVMGTALFWALLLAVIVVGMIPHFAAKAIREHFMPNDIQIAREMEKSQDSGDAYHPEVQMSTSTRA >SECCE6Rv1G0420100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:675026755:675027924:1 gene:SECCE6Rv1G0420100 transcript:SECCE6Rv1G0420100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVTAGAAATPLLSGLPDEIVTWEILVRLDPKSLLRCRAVRHAWRRVTSTRRFLLAHHARQPALPILAGNQLVLGVQCRDILAFDHRAAVSTQLHTVARLDGDFYLEASCDGLLILFNIARTSISICNPATREHASLPEPPWDFAFLGMYLHPPTGEYRLLLQRRDVGTSQEQTGWYVLPLGSDQPPRYIGWPGTDSEVFNLPVQLRDSLHWYPLYHRNESNQSESKPVIVFDTIAESFRHMHAPIVPTKSHIFEMDDTLAIYCHDHDNHTVGIWVLQDYENEVWSLKYRIRLPVEEISRRFEGYDDSWDVKFWNVNAASSRHGGVLLLLSFGQWVLHIDTNGKMVDSFHRGLLDLHIYECRLKQSLVQHTFFPALEGYAVNASPFL >SECCE5Rv1G0314950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:281759216:281760871:1 gene:SECCE5Rv1G0314950 transcript:SECCE5Rv1G0314950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >SECCEUnv1G0533510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:30782816:30783937:-1 gene:SECCEUnv1G0533510 transcript:SECCEUnv1G0533510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNRGSWTPQEDMRLIAYIQKHGHANWRALPRQAGLLRCGKSCRLRWINYLRPDLRRGNFTAEEEATVIKLHALLGNKWSKIAACLPGRTDNEIKNVWNTHLKKKASEQKPAAGESKGEAAPGDPDTSVPSSSSASSSSTTITTSEGSNGGAGEQCGTSNEPETMDVPSPLELELEPVMDILDMLADEPTEAFATAAPMPTSSCSSSSPTTCGGGVEELLVLPDIDMDADIWSIIDDDIARLQGDATVPCTTKASHEEEEGKEWWLEDLEKELGLWGATEESQPQEGPHGQIGYPGPLYETEGDMASSSYLQSRSDHHQASNSE >SECCE5Rv1G0350690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691706897:691707772:-1 gene:SECCE5Rv1G0350690 transcript:SECCE5Rv1G0350690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVAAAASVSKKKKQCLNNQDFIHRLPDEILGTIISLLPTKAAARTAILSSRWRHLWRSAPLNLAADRRLSGRECDRIAIVSKVLAAHAGPSRRLSLHGIICLRRGIYAKIDRLFRSPTLDGLEELYFTSNGSYYGDGDGGDRPPRPLPPSALRFTPTLRAVSIDCCDFPEMNAGPALRFPRLKQLKLYNIGISQAAIHRLLAGCIVLESLELGWIHGLKTVRIVSPTLRSIVVSVSYHNQNRANVMLQELVIEDAPCLERLIPLYDGPKIIKVISAPKLAADYLSSRT >SECCEUnv1G0529220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6931918:6937608:-1 gene:SECCEUnv1G0529220 transcript:SECCEUnv1G0529220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAGGGDPRPSPSGGASPPPPPPPPPSGWLAGLVSGAGRLLASVLGPSPSPSTRGSASGGSASSSPTSLRHPRARGEGLHVGAAADFDDSVRVSSKNNQLNQNEKETVLQDYTQGSLAIVSEIEPKDAIMQLLMQETYSRSECSTLIKIIQERVVDPDSGGIADGEIALPISWKADNQPTLGYSSFSPNVSSPSPSSFQIHGHGFDNSAAADTVPILTPASRSLFNDKADNIQPAFKRRYSVVRDIPEDLRRVRTKADGNPIHITKFKKVDVVRNRPVFSGEDNKLLSDVPLGANNLAHSNIVSKVERANEKLDIPKPPAMPNKDVKNGFPLKVKPLDGVSPFEQKMMDLSHQKHKDAAYDDSDSVSKLMFMGDIETTPSLQLQNGSKNRRRKQSNSPRTTPRAADSPAMGTRRRLGEATVKAEIDLLEQTTPVTMDKQDPDYVPERRPAGRPRKAK >SECCE3Rv1G0207880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:925131884:925140497:1 gene:SECCE3Rv1G0207880 transcript:SECCE3Rv1G0207880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSRGTTRRPSASSRSRGDSSSSAPDPDARRAAAAAAARRSGRGDHGPLRLMAVSARTLLLLGIASVALLSLAFLAYTGGWWQAEAESEGAAALRRMARSVTPLDAPRMMDLPQFQGDHKESLYWGTYRPNVYLGIRARTPLSLIAGLMWIGVKNGQYFIRHVCQDSDELSKYGWADHNGRDYGRQELTDHGLHLTTSFLKEKGDGSGYGGDWAVRLDAKNEGSSLSEAQESTTHLFFYIADEAGKLITMGSHEPPSRGPVLLASGSHEEIGDWELYLRSEDNLEIHRAGFQTISMHNLSDLVQHALVTNARQSGNLNLPDMTEDSSNAMIYQVSIKLPAKIDIVFLSGTGSKNPMTAERVNNLTGPMLSTRLESKRKDFEERYDQIFNVNNKIVSKELSVGRAALSSLLGGIGYFYGQSKIALPKGFSQKNGDKYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQLVIWRWDAHISMDIIGHWLDLINSDGWIPREQILGAEALSKVPEEFVLQYPSNGNPPTLFLALRDLASGIHAHQFSDEEAEKISTFLKRAYVRLNSWFQWFNSTQSGKYEGTFFWHGRDNMTTRELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCMRSIAGFLKMDGSLEKDYYKLSDQLSDFETLNKMHLDDKTGAYFDFGNHTEKVRLRWYEDREAMKRELLRETLEAPQLQLVPHVGYVSLFPFMMGAIPPESWVLEKQLDLISNTSILWTDYGLRSLSRTSSMYMKRNTEHDAPYWRGAIWINMNYMILSGLHHYAHEDGPYRVRAGELYDELRSNLIRNIVGNYQETGFFWENYDQKNKGKGKGARSFTGWTSLVVLIMAESYPSLHR >SECCEUnv1G0529900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9685618:9687250:-1 gene:SECCEUnv1G0529900 transcript:SECCEUnv1G0529900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAALDDVIRRLLEVRRRRPGKQQVQQVQLGEGEIRQLCGAAKDVFMRQPNLLQLDAPIKIAGDIHGQYTDLIRLFELGGFPPQHKYLFLGDYVDRGKQSIETICLLLAYKLRYPEHFFLLRGNHECASVNRVYGFYDECKRRYSVRLWRHFSDCFNCMPVAALVESRILCMHGGLSPDLRHIRDIAGLPRPVDVPDTGLLCDLLWSDPGGAAGWGPNERGVSYTFGADVVAAFMERHDLDLVCRAHQVVEDGYEFFAGRRMVTVFSAPNYCGEFDNAGALMCVDDDLTCSFQILKPADNRQRRFAFGMGSSATTSRGVRSPWW >SECCE6Rv1G0434910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:768842645:768845577:1 gene:SECCE6Rv1G0434910 transcript:SECCE6Rv1G0434910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CH1 [Source:Projected from Arabidopsis thaliana (AT1G44446) UniProtKB/TrEMBL;Acc:A0A178W6L1] MTTVASLSLVPHLLIKPSLRCLSRKGVGRYGGIKVYAVLRDDSADYLKDNHHWEALFHVDDPGPRVPIEKGQYLEAKQALDVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLARDFKSVGNLRKDLDGLQEELAKAHNQLYLSESRVSSALDKLAQMETVVNERLLSDGSAFANTADCASLDPSTSLDTTTSTSSTVRVGAKKPKRRSLNISGPVKPYSANLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDKRPGCVQNTCAHRACPLDLGSVNEGRITCPYHGWEYSTDGKCEKMPSTKMLNVRIRALPCFEQEGMVWIWPGDDTPTPTIPSLLPPSGFTIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSFVKFLTPTSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTQQCATHLHQLHVCLPSSTNKTRLLYRMSLDFAPWMKHMPFMHLLWSHFAEKVLNEDLRLVLGQQDRMNNGANVWNWPVSYDKLGIRYRLWRDAVERGVDRLPFSNEIESGS >SECCE5Rv1G0324660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:449111387:449111883:-1 gene:SECCE5Rv1G0324660 transcript:SECCE5Rv1G0324660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPNEGDLAAPAALRAPADVISRVFSQLDCVDLLSCSLVCRQWCRDSAELREEWRMEYMDAWNLQGLKVKSDARSPCPTCSIRSLRTWCP >SECCE5Rv1G0308080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:124620023:124620469:1 gene:SECCE5Rv1G0308080 transcript:SECCE5Rv1G0308080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFDGEQKELIKKLVNFRMIDGKRTRVRAIVYKTFHRLARTERDVIKLMVDAVDNIKPICEVVKVGVAGTIYDVPGIVAGDRQQTLAIRWILGAAFKRRISYRISLEKCSFAEILDAYRKRGISRKRRENLHGLASTNRSFAHFRWW >SECCE4Rv1G0259080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:682349834:682350840:1 gene:SECCE4Rv1G0259080 transcript:SECCE4Rv1G0259080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRLLLPRAQAQPLLPLPAGAPAPRVRPRLVPRRRERRHRNGAARMLPASAVASESPWTEQEPASGEKEERFNWLDQWYPFAPVEDLDPGAPHGKTVLGIRVVAWYDRGAGEWRVFDDACPHRLAPLSEGRIDDKGRLQCVYHGWCFDGRGACKFIPQAPALGPPVHTNSKACVASYPCVVQNKLLWFYPRAGPEHADVLQRKRPPFIKEIDDPSFVTSFLIRDMPYGYDVLAENLMDPSHVPYAHKGLLRGLPRLVDPGRYAPALKLK >SECCE2Rv1G0068890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25723475:25726299:-1 gene:SECCE2Rv1G0068890 transcript:SECCE2Rv1G0068890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCFSCLGAKRKRKPPPPPPEKPQIPPAADNKAKPGCSSSSSTSAAAAAARQDSLSEAKKEFVLSNGSEHRHIAAQTFTFRELAAATNGFRADCLLGEGGFGRVYKGYLESINQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPHLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDPSPDKPRLDWNTRMKIAAGAAKGLEHLHDKTNPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSYGVVLLEIITGRRAIDNTRAAGEQNLVAWARPLFKDRRKFPQMADPALEGRYPARGLYQALAVAAMCVQEQPTLRPLIGDVVTALSYLASQPYDPEVHGVHRPSRLTASGTPPRARNHGADHQRGGSE >SECCE6Rv1G0417810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659559281:659562130:-1 gene:SECCE6Rv1G0417810 transcript:SECCE6Rv1G0417810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDRSGRQATASGQAQHQQPWEYSLRKYLLLLATLVITVTYAAGFNPPGGVWQVTHDGQLAGDPIIRVTHYRLYLVFFYCNAAAFAASLVIIVLILALAVRHDKKGKGTLCVVVPLRFVMVVDLLSLMGAYGAGTCRDKITTVYSAVLVTIVFLYILLLKLMDSWDQNSYSGLSAPVASSGGGMPVSNGNTGSGLGNTRPIPNHNSGTGRIPASVAGSGRMPTLNSDSDAGMIPAPILGSDPAGKQNEWLRHRKALEELKAKERLRKVLMLLATFAVSITYVAGLSTPGGFWDSTTASHRPGDAILKDHHGTRLTVFLLCNTTAFVASLLITMLLVVDSKNLRARSHVLYVCIVVALLSLIGAYAAGSCRKTDTTAKVVGLAGAVLALAYILLHTVPSESSSCCSLQRAHKHSAGEAMNKARSLVLLLATLAATITYTAGLDPPGGLWQDNGDGHMAGDPILLTTNARRYKAFFYCNSVAFVTSLVAIVLVQQHNLVKHHVLEAAMILDLFGLIGAYAAGSCRDVSTSIYAMALAGAVLVYVVIHVVFFTLDHQKDKHDEDEDRLLEKRRKRLLLFAILAATITYQAGLTPPGGFLLKDDELGHLAGDPVLLYNYPGRYNAFFYSNSVSFMLSIALIILLVNPNLYRPAIRSNALSVCTAVGLLCLMGAYAAGSTQHLKTSIYIFTLVVVVLFVAVVLLLVFLLRGADKDGTIKQDEEQGKKVKEKKWDGDREERKKHARRKYLMLLGILVASVAYQAGLKPPGGAWQSSGNGYEAGNPVMHDNRRPRYLAFFYSNSISFMASIVVIIILLRQWLPKKDKEDWEKQSLRVMNWMIRLDLVALLMAYAAGSNRGWKTSIYVATLIVAVLGYFAIHMILSCICHHRKRKRAFAARRPRARLQRSYTM >SECCE1Rv1G0044310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:602213205:602213840:1 gene:SECCE1Rv1G0044310 transcript:SECCE1Rv1G0044310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDCLSRVYGQAVANAVCVGGTALLVYVLVKLAREPHRSKGSVVVVTLFLLFWACLLACVYRAFCGVLLPWSTLRRCLASAHGGLLLLCLPCRSSQRRRGGGGGALPQFLDQIQQSHMPVLAREPPVHGGARAATAYDILAYEQPERGGGASECAVCLGEVEKGETVKRMPACLHVFHQQCIDQWLLEHATCPVCRCIVVAPLPLPAQMV >SECCE1Rv1G0021230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:266081726:266082361:1 gene:SECCE1Rv1G0021230 transcript:SECCE1Rv1G0021230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMSSAATAVAPFQGLKSTAGLPVSRRSSSAAGLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSTEALLKQVDYLIRSKWVPCLEFSKVGFIFREHNASPGYYDGRYWTMWKLPMFGCTDATQVINEVEEVKKEYPDAYVRIIGFDNMRQVQCVSFIAFKPPGCEESGKA >SECCE5Rv1G0356340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:738709964:738710338:-1 gene:SECCE5Rv1G0356340 transcript:SECCE5Rv1G0356340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQVGLDLDGFPLDHEFPEDYGLEEEDECDIELEPLFEYALANQAAGPKTKRKSKRTKAYIAAEDKLLCECWRDIGQDPKTGAEQKHSTFWSRVHREFHERKKFPPYQIVSTRGWVSISKRWR >SECCE2Rv1G0087690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:230233884:230234876:1 gene:SECCE2Rv1G0087690 transcript:SECCE2Rv1G0087690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEDAPAPVNFWGEHPATEAEYYAALGAEGESSYFTTPDDAGARRLFTRSWRPAGGGAGARPRALVFMVHGYGNDISWTFQATAVFLARSGFACFAADLPGHGRSHGLQAFVPDLEVAVADLLAFFRSVRRREEHAGLPCFLFGESMGGAICLLIHLRTPPGEWAGAVLVAPMCKISDRIRPRWPVPEILTLVSRFAPTLPIVPTADLIEKSVKVPAKRLIAARNPIRYNGRPRLGTVVELLRATDELGARLGEITVPFLVVHGSADEVTDPAVSRALHEAAASEDKTIKMYDGMLHSMLFGEPEENIERVRGDILAWLSERCTPAAAS >SECCE1Rv1G0048720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637239154:637240242:1 gene:SECCE1Rv1G0048720 transcript:SECCE1Rv1G0048720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAKPHVLVAFALLLLAVGCKASPFWPLEIGYYHDKCPQAESIVKGVMEKAISQNPGNGAAMIRMLFHDCFVEGCDASILLDPTPFNPTPEKLSPPNDPTLRGFELIDAIKDALEVACPGVVSCADIVAFAARDASCILSRGKVNFQMPSGRRDGTFSNASEPLKFLAPPTSNLSDLVASFVIKGLNAEDLVVLSGAHTIGRSHCSSFVSDRLNTPSDINGGLATFLRGQCPTDAMPGGNDPTVMQDVVTPNKLDKQYYKNVLSHTVLFTSDAALMTSQETARMVVENAKIPGWWENGFEKAMVKMARIEVKTGYQGQIRKNCRAINHY >SECCE4Rv1G0271100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:759411520:759417373:1 gene:SECCE4Rv1G0271100 transcript:SECCE4Rv1G0271100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVANAAAAAAVTAADADSPVSILANEKMSEAKDEKNAGDSKLEATEDQLLNSVRGEAADESQDGDAGLVTEVMAKEEEELYQARLKAEEEEEARKREARKAFDPNERFSKLDELLTKTQLFSEFLLERMEQITDKAVEAKDEEEPVEEQKKGRGRKRKAKAKPKYNDKKAKTAVAAMLTRSREDRTADDGTLTEEERWEKEQANLVPLLTGGKLKSYQIKGIKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGNGMDGPYMVIAPLSTLSNWLNELSRFAPSLIGLIYHGDKVARAELRRKFMPKKVGPDFPIIITSFEMAMFDAKLLANYQWKYVVVDEGHRLKNTNCKLLRELRRIPMENKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGDADQQEETDENKRVLVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTEHQKQIQNHLVEKTFDNYLHENTDIVLRRPGIKAKLNNLLIQLRKNCGHPDLLDAAFDTSYFYPPVEKLLEQCGKFQLLDRLLDALLKRNHKVLIFSQWTKVLDILDYYLDTKGLKVCRIDGNVKLEDRRKQIAEFNDLNSGLNVFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATSNSVEGRIIKRAFGKLKLEHVVIGKGQFQQDAAKPNALDEAELLALLRDEQGEEDRMIQTDISDEDLLKVMDRSDLTGPAAAANATPLVPLKGPGWEVVLASKSGGGMLSALTS >SECCEUnv1G0541980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98407788:98408084:1 gene:SECCEUnv1G0541980 transcript:SECCEUnv1G0541980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDFFEKERFDASEFIVLIPLPTRSMLLMIPAHDLIAMYLAIELQSLCFYVIAASKRKSEFSTEAGSKYLILGALPSVILLFGCDRTTTDQFFGTYL >SECCE6Rv1G0450350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:867555789:867556770:1 gene:SECCE6Rv1G0450350 transcript:SECCE6Rv1G0450350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVRKAATLPAVLVLRRLDAPFAAALREHFLLLDFHASGETLPAFLAAAAAAAPEPPSAAVVVGGGAIRVDAPFLDAVPSVRCVVTTGTGVDHIDLAECGRRGVGVANAGKVYSADVADHAVGLLLDVQRRVSAAERYVRRGSWPAQGDYRPLGSKLGGKRDGAARRYTYFPEVRGLAAASDVLVVACALNEETRHVVGREVLEALGKDGVLVNVGRGANVDEAALVAALKEGRIAGAGLDVFEDEPKVPAELLSMDNVVLTPHAAVLTQESRSDLRAQTIGNLQAFFSGQPLLTPVPCHRA >SECCE1Rv1G0061030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:713454003:713454776:-1 gene:SECCE1Rv1G0061030 transcript:SECCE1Rv1G0061030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATPTKPSKKGSSLPAVHYDGAKAKSKSKAVAHADAEDGHAGDAASPAPAHRTRLLRCACCGLAALAALAAVVILVLSLTVLRVRDPDLTMDSVAVERFHVGFAAVPDGRPPLRINATLAAWIVIRNPNYASMRFGASTTEIFLDGVPGRVGLGSAPPGEASARSASRVRGSMDVFVDRVAPTVVGEVLFGRGEVWLTSRTAMDGRVSVFGGLYGRRTVRVAMRCRVLLHVSAVVVVAGSPSCVAEFGRGRSPDA >SECCE3Rv1G0144720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5268773:5269141:-1 gene:SECCE3Rv1G0144720 transcript:SECCE3Rv1G0144720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPRPAILLFAAVWMLLSAAGALADGGNGTVCLCTGPQCVPPCPVPGTPPPTTSPTQFPFCPQRPAAIGPFPWEQQPPPAAPRRGFPQDAGFLAAAAASPTSTAARLAVAAVCSAFLLLLQ >SECCE5Rv1G0352450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:709570768:709575843:-1 gene:SECCE5Rv1G0352450 transcript:SECCE5Rv1G0352450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGPGEMTSISTNQFGSGGSNGGSASRPHGRDMVIWTEEMNEYLIDALVHQQDIGNRSAEGRFLTCAYESVITGVGERFGVVIDRSNIKNRLKHVKDMFHECENLFDKQSGIKWNPATRRFHADPQVWREFIEKKPEAKKWMTKTIDHYDRLLELFGKDRAPPPSENSKGPSKKKARIEPPKDRPQRQQTSSNGFELAIVQGSNQVTDKNELPVDVVAENNIIGELDLSELCKSENGLVAIPVHGNAYGKGLPYAPENWPSPGDQWQWKVGSRIAAGGHWVDRYLAPPSRFRDATGKKTTFTSRIKVGEFIKSNFPDVDPSTFFSMFIWKIPAAGSGIQRGTLQVERVEPEDGLADPDGPCKARNKACNLGKEGFIESSPAGNCDICCVHPDFCRTCCCILCGKAVNNSFGGYSYIKCEAVVAENYICGHLAHLDCALRIFMAGTVGGSIGLDVQYYCRRCDNKTNLMMHVEKLLETCRSLGSRSEVEPILNMGLCILRGSRQVEAKSLEDYMASVMAKVNNGVDLAEVWNMEDGDGMPILNAEESSPPIAGVTVLGAEPQYPYPTDPMVDNELQRAAESAPIFITGDHTEMSLKFEDEIDNSLLELKRSQEAEYRLAEQKLYSQKDYILSLYRQLESDRSQLADPTPVSDISSYSVLLSNITSRVEQVKCEEEKFRTMLKISKGFRKAPATVKEHFGLPPPA >SECCE3Rv1G0207500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:922177301:922179284:1 gene:SECCE3Rv1G0207500 transcript:SECCE3Rv1G0207500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARQTAQVNQVVGAVGMPPPQSQTQDAAGLAAWVDPQFLMMRSNTREKIFEYIARKQTTADWRRRLPELARRLEEILFRKYPSKREYDCMMKEQIEPHLQFAIKQLSAQNQQRQQNQQLSRQIASSPGYGTMILTPGITQGASETSRMSYVTANIGPSSSGADMVPLNANTGEAPDEHVNTLLSLGMNPTHHDHPRASNDNLMIDTVDTPATNRFLNSRAPLKEVRKEPKFSCPVCMNELVDASSTICGHIFCQKCIQASIQAQSKCPTCRRTLTLNSFHRVYLPTMD >SECCE3Rv1G0205280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899685434:899685987:1 gene:SECCE3Rv1G0205280 transcript:SECCE3Rv1G0205280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIADLGCSSGPNALALVSIAVQAIHSHCLQLQQPPPEVYVLLNDLPDNDLNMVVKSLVMLRQSNKPAIVTVGITPGSFYERLFTSGSLHLFCSSNNLNWLSKAPEDLTGNWIPAYEVDEHARRERLPMVLEAYAQQCKKDFTLFLEEISRFSWS >SECCE5Rv1G0334140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:564306058:564309801:-1 gene:SECCE5Rv1G0334140 transcript:SECCE5Rv1G0334140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGGDSEAERRAALLREITGEGGFAFVASAEKAAAGDLRAAEAAREMAWEQLHSGPWSEVGSAWRDAYALACLHVARLRRTAADRRAALRALDMGLIMGGNLLRADLETALASIAAEPSDGEGDGAEAVDEEANRWRDGLDRNRDIADALKILPTKSLSCKEIARRSCISLEEFICDHFLPETPVIISGCIDHWPAMTKWKDIQYLKKIAGDRTVPVEVGKSYVCSEWKQELITFSQFLERMWSTACPSNLTYLAQHPLFEQIKELHEDIMVPDYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNILAQVLGRKYIRLYPGSVSEDLYPHTETMLSNTSQVDLDDIDMNEFPKVENLDFMDCILEEGDMLYIPPKWWHYVRSLSISFSISFWWRTTVISPSA >SECCE7Rv1G0510590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:791035949:791036306:-1 gene:SECCE7Rv1G0510590 transcript:SECCE7Rv1G0510590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPLFLRPAARFLGPFLAGRPEEAAATLLHRGGVLPRNRALERLVRDDGGAEGCIARLVVSVVSCLC >SECCE7Rv1G0507270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:760413727:760414005:1 gene:SECCE7Rv1G0507270 transcript:SECCE7Rv1G0507270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFFAITTPVGIAAGSAMSSFYDPYSPRALVVEGILNAMSAGVLIYMALVDLIAADFLGRRMSSSPAILQVGAYVSLFLGAIAMASLAIWT >SECCE3Rv1G0203100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:880413451:880415055:-1 gene:SECCE3Rv1G0203100 transcript:SECCE3Rv1G0203100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRARALLLLRSSVPRSPPPQQNPLRSLTRAPPPPPRLLSRFLSSSSSPEALPDTPSSAAEALPDDPFAFASGAVSGSADPTEADEEVARVRAVVESTPEDQIPTAIADMVVDFTEPLLAATLLSAENCSGKKLLLLFKSAGKNNPDVKSLANLDILASKVADSADIDEMDAYMLWDLVKEMGSVPGSLSTPLLNKVLAIFWKLEKSKEALEVLDKFSEFGCTPDGDSYYLAIQAAGKKSMVGAAWGVCEKMVSSGCFPDGKKTGEIVTFFCQGKKVTEAHSVYLAAKEKKVQIPTSSLDFLVGALAKNDETISTALELLDEYKGESLKHAGKSFDAVIHSLCRMKNVKDAKKLLMRMVDLGPAPASAVFNFVITGLSKEGEMEDAKGLIRVMESRGLRPDVYTYSEIMSGYAKGGMIDEAHSLLCEAKKIHPKPSRVTYHILVRGYCKMEEFEKALECLKEMKEDGLQPNMDEYNELIQSLRLKSMDWRTAEKLLEEMDGSGLCLKGITRSLIAAVKESKTGEASKDSQEA >SECCE2Rv1G0071690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:46991894:46992604:-1 gene:SECCE2Rv1G0071690 transcript:SECCE2Rv1G0071690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMSCLTPPPSASFLSASSPGPAYYTDAAITQALHFSSMSMPEHEYAYSPAVSSPSSASAPSPSSLLADFPGGSSWFASTASPPTGSLARDSVPVAYYGPVGASANKRRVGLGPNAAGTGRAGKRRARPSKRVPTTYISTDAANFRLMVQHVTGVQAEAGAADGVLLPTSSSALLLDCHSFDGASFADALPMPADDAAALHRHHQQQQQLVQQQQQPCYPTLDSWSVMCESSQLI >SECCE7Rv1G0510210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:787816008:787817072:1 gene:SECCE7Rv1G0510210 transcript:SECCE7Rv1G0510210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRLAALKAFDETKAGVKGLVDAGVKTVPDIFRHPADPLSPSATLSTDVAAIPVVDLSGPRSEVVAAVRAAAQTAGFFQLVNHGVPEAAMSGMLAAVRRFNEEPAEAKAPYYTRDAGRRVRYNCNADLFRTLVGKWRDTIYMDDVDQLAAGEDEEVLPLACRGVAPKYTARIRMLGRTLFELLSEALGVRRGYLEEEAGCLETLSVAGHYYPACPEPHLTLGAVRHNDPGFLTVLLQDGVGGLQVLTDIEDGDGNMSGAWADVPAVPGALVVNIGDFLQLVSNDRFRSVAHRVVANSVGPRMSVACFFRAKGATVCAPVVTDGGGLPRYKTVTAEELLRSSGKQNALRDVRL >SECCE2Rv1G0106340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:634923453:634926096:1 gene:SECCE2Rv1G0106340 transcript:SECCE2Rv1G0106340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRLPSSYSDATVDLPMAKESTPPPNLHGINPISNSSIRFPGGRKLALILSAVVFVLVSSTYYPEQVFYGARQPTHTSQQKAIAGHEDNEIDCNLFNGTWVRDLGGPIYTNMTCPTMPDSRNCAKYGKQMDYVNWRWKPQGCDMARFQPQLFLNVVQGKTLAFAGDSIGRNQMESLLCLLSQVEAPTKVHNDTKDKFITWNFRSYNFTLMALWTKFLVEDSQREINGTLVESHDMHLDKLDARLAANLHEIDILVVSSSRWFFRPNYLYEDGKLIGCIYCSEDNITSFSVISAIQRVFRTAISNLNSRRESRLQLTVVRTATPAHFENGQWNTGGTCNRTEPVGEGTAMTSTIEREIRDVQVEEANRAQKEKKQKGGMNIGILDITKLMSMRPDAHPGIHWNNEWMRGYSDCSHWCLPGPIDMWNELLLAVLNKYKKNMEDQ >SECCE3Rv1G0149070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:22218443:22221866:1 gene:SECCE3Rv1G0149070 transcript:SECCE3Rv1G0149070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSRAQTRRGTPSSAAVMDTFFLSHGGPTLCVDETIPAWSFFKSWLPAAVAGTQRPRAILVVSAHWETAMPAVNVVPGINDTIYDFSGFPEAMYQLKYPAPGAPDLARRTKELLEQAGFGPVEEHHGRGLDHGVWVPLMLMYPEADIPVWQLSVQTDRDGTYHYNIGKALAPLRAEGVLVLGSGSATHNLSKMTRHEAPVPQWATDFDTWLEDSLVGGRYDDVNRYYEKAPYGKVAHPSPEHFHPLHVALGAAGDEPKAELIHRSWTNTNHSYASYRFTPEN >SECCE7Rv1G0517050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:851671668:851673140:1 gene:SECCE7Rv1G0517050 transcript:SECCE7Rv1G0517050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g30950 (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G30950) UniProtKB/TrEMBL;Acc:C0SUY4] MNRQPHHHLSLPPGPGRRPSSPAAVEMDPRVWRRLPQPLLDRVLACLPTPSFLRARAVCRRFYHLLFSSPFLHSHLLHSPHLPFFAFAVPSAGHLLLLDPTSQPQGPSWFLLPLPIPGPAAGFSPAAASAGLLAFLSDASGHKTLLLANPITRLLAALPLGPTQRLSPTVGLAAGSTSIIAVVAGDDLVSPFAVKNISVDTFVADAASVPSSGFWAPSSLLPRLSSLDPRAGMAFASGRFYCMSSSPFAVLVFDVAANVWSKVQPPMRRFLRSPALVELGGGREGSGSARVGLVASVEKSRLSVPRSVRVWTLRGRGSSGGGGGAWSEVARMPQDVHAQFAAAEGGRGFECAAHGDFIVLAPRSGPAAVPVPTTVLVFDSRQDEWRWAPPCPYVGHGMAAVVAGGGAGFRVLAYEPRLATPAIGLLDATTPVALHGMHG >SECCEUnv1G0548860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:194377639:194403001:1 gene:SECCEUnv1G0548860 transcript:SECCEUnv1G0548860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPSPLEQQEEDEEMLVPHQELSAADAAQPMEVAAQTDPTNTAESQAPEDPQTSRFTWTIENFTRVSGKKHYSDVFVVGGFKWRVLIFPKGNNVDHLSMYLDVADSGLLPYGWSRYAQFSLAIVNQIHQKYTARKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVNDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYQAEQHGLQDARKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDKDDGKYLTPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQVNPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQDEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRNFRIQKQLPFNSFKEEVAKEYGIPVQSQRFWLWAKRQNHTYRPNRPLAPHEEAQSVGQLREVSNKAHNAELKLFLEVEIGLDLRPIHPPEKSKEDILLFFKLYDPEKEELRFVGRLFVKALGKPSDILTKLNEMAGFSPNEEIELYEEIKFEPNVMCEHIDKKLSFRSSQLEDGDIISFQKPSIPGGDTQVRYKDVPSFLEYVHNRQVVHFRCLEKPKEEEFCLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVIHSIRLSKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLTAQDRLIHVYHFMKDPNQNQQIQNFGDPFLLVIREGETAAEVMDRVQKKLRVPNEEFAKWKVAFISMNRPEYLQDIDAVSARFQRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTYEKPVKIYN >SECCE4Rv1G0243540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:503632366:503637564:-1 gene:SECCE4Rv1G0243540 transcript:SECCE4Rv1G0243540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRRFLLLAVALLIAAVVAAEEQVAVDPAGPGEIAAGVKEAAEAAALRAELAQLREKISALESDIADRSQDLKSKDDGIAKLEKDIADKSQKIATLQSEIASLQKKGSVAAEEEAGKAIARAVELEKQIEKLNKEIEAQSSQRTTLEARANKAEKKVQDLNSKLESLQKTSGEQKRMIQKTERALKVAEEELMRLQLEATTKAKQLTEVHGAWLPPWLVTHSAQYLELVSGHWNEHGKPAMDSLLQKASEKSAHAKKWAEPHIETAKLKLVPVKEKLAVLKKNAEPYVEKASAKSVEVYEASRDAITPHFVKFKEVSDPYFQEAKKISKPYIDQVAEVTKPHVEKVRSTLKPYTKRAVHVYGTFLESATTYHRQAQATISDYLHQHEITKSLVTKELVWFLASALLALPVYIMYRLLVETFCTKKQKRSPRNGNGNNGNRRHKRRHAEK >SECCE6Rv1G0418420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:662757563:662761871:1 gene:SECCE6Rv1G0418420 transcript:SECCE6Rv1G0418420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALCSAASPAVARAAALGLSARGPASLLPLRLRLRAAPRVAASWRAPRRFAASAASTTEEGADVDMVIPPDNRIPATIITGFLGSGKTTLLNHILTSHHGKRIAVIENEYGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVDKKKGKFDHLVIETTGLANPAPIIQTFYAEDAVFNDVKLDGVVTLVDSKHARLHLDEVKPKGIVNEAVQQIAYADRIIINKTDLVSEPEVSSLVERIRSINRMANLKRAQYGKVDLDYVLGIGGFDLERIESAVTEEPHDEHEHEHEHKHDHDHAHDHDHDHHHHDHDHKHDHHAHDHTHDPGVSSVSIVCEGEMDLEKADMWLGNLLLERSDDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPDRMWEPNEPRINKIVFIGRNLNREELETGFKDCLLK >SECCE4Rv1G0285610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:845592623:845593158:1 gene:SECCE4Rv1G0285610 transcript:SECCE4Rv1G0285610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQHVDEHGNAVAGHAMGARSAAGAFTGAGGQLQHGREEHKTGGILRRSGSSSSSSEDDSMGGRRKKGVKEKIKEKLPGGHKDNQQHTAAGTGAGGGYGQQGHAGMAGAGAGEKKGLMDKIKEKLPGQH >SECCE6Rv1G0403130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:497268016:497271391:1 gene:SECCE6Rv1G0403130 transcript:SECCE6Rv1G0403130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYGDRDEPTDPEYIEVDPTGRYMRYNEVLGRGAVKTVYKAFDEAEGIEVAWNQVNIDEVMQCPDNLERLYSEVHLLKSLKHENVMKFYNYWVDDQKKTINVITELFTSGSLRHYRRKHPRVDLKAIKNWARQILHGLDYLHSHQPPIIHRDLKCDNIFVNGNHGQVKIGDLGLATIMQTPKARSVIGTPEFMAPELYDESYDELVDIYSFGMCMLEMFTLEYPYNECTNAAQIFKKVSKGVKPAALSKIVNAEVKHFIEKCLVPASERLSAKELLQDPFLCPDNANGFAGTTVPSSIPKAVEYSLESLHMDVDTHESTCTSSGKKNVFGSPHKSVLEFTRTNRNTELNLKGEKLDDSSVSLVLRIADLCGQARNIHFLFYLESDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPGRKLGNDAAINPCVESKTCGSEQVTISQQNHPLQMPPDYVLVQSKMHPKDLSVSPNNYPESASSASNLEGPKCSEGSDFSVQLVGGPESPSYGGTDDCGTMYCGGYKEGIHKLDCNHVLGDGQGNISIFHIDEASPPSELVSGCSSISITDSQDVLNGELDLIEVEYKDWFDELARMREEAMEGGEEAMEGGREKWLQYHDV >SECCE5Rv1G0327270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:490982850:490985703:1 gene:SECCE5Rv1G0327270 transcript:SECCE5Rv1G0327270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSPPRRTMSLGSGSRRAAAAGMASPKPGLSRSMTLGSERTVKRLRLSKALTMPESTSVLEACRRMAARRVDAALLTDSNALLCGILTDKDIATRVIARELRMDETPVWKVMTRHPIFVLSDTLAVEALQKMVQGKFRHLPVVENGEVMAMLDIAKCLYDAIARMERASEKGKAVIDGADKYHCGSNPSSLLEAFKEQMFRPSLSTIITADSTVVIASPGDSVLAATKKMVEAHANSAVVAVGNKPRGILTSRDILMRMIARNLPADSTPVEKVMTLDPECATVDTPILDALRTMQERKFLHLPVMDRDGSIVCIVDVIDITHAAISIVESSGTGDEATISMIQRFWDSAMALGSLDDETDTQSLMSEATRSQMFLEKEATRSQMMTEVIHEAAEPPYPALFSFKLQDRRGRMHRFTCEVQSLTPLVTCILQRLGADIDRHRLPQILYEDEDRDKVVLASDDDLTAAVDHARLSGWKGLKLFLDYSGTTGRRSLSSNSRTTSMDLASREAWSAAYGGVAAGAALVTGLGVMVYLRRAG >SECCE5Rv1G0328700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509433396:509433914:1 gene:SECCE5Rv1G0328700 transcript:SECCE5Rv1G0328700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMMVMSSFAGAAVLPGGSAGHFGARCLSALGRRALIVRAQTEGPSAPPPNTPKASTSIWDAMAFSGPAPERINGRLAMVGFVTALAVEAGHGDGLLLQLGSGTGQAWFAYTVAVLSMASLIPLLQGESAESRAGAVMNANAELWNGRFAMLGLVALAATEIITGAPFINV >SECCE6Rv1G0432080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749585311:749587134:1 gene:SECCE6Rv1G0432080 transcript:SECCE6Rv1G0432080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTLLLLPLSTAPLPPSRPCHGGRFTLKPPVCRRGLARVRASGDKAQPPPSRTQMIMDKISSSAGDEVGGAGGAYSYDALKRLDQICSSICSAPQQLPSSSSKAPPQIVTRVQGPAPPAAADLGAETFDVLVCGGTLGIFIATALSYRGLRVGIVERNAVKGREQEWNISRKELMEIVQVGILSEAEAERVVTSDFNPNRCGFEDKGEIWVEDILHLGISPAKLVEIMKGRFISSGGEIFEGKSVSSISVHDDLAVLKLSDGDRLRCRLVVDAMGNFSPIVRQIRSGRRPDGLCLVVGACARGFQKNTTSDVIFSSSSVKKVGDSERSVQLFWEAFPAGSGPTDRTTYMFTYVDPQFGCPKLEELLEMFWDLMPGYQDTVLENLDIRRVIYGIFPTYRDSPLPAAFDRILQVGDASGIQSPVSFGGFGSLTRHLGRLSNGVYQAVSGDFLDAQSLKLLNPYMPNLSASWLFQRAMSTRPGTDISPTFINELLFANFQSMQKLGDSVLRPFLQDVIQFGPLVKTLGLVMISRPQILPEIFKQVGVGVILNWSGHFVMLGYYTFLSSFVGPAVRPWVESLAPRDRYQWDRYLEAWEYGAGLDYRQDE >SECCE7Rv1G0454560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:3105815:3109176:1 gene:SECCE7Rv1G0454560 transcript:SECCE7Rv1G0454560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGKGAAEPEAKAAAVRSLFSADNPFRRKESAPEEPPLAPPPTPASAAVPTPARKPPKRPEAEPAEPPRRKRGEEEGEARRKRKRDEVEAGYERRTLGRAPADDEEQRPRPVVGAKRKAPHDVEAAASGGESEDEAFDDEGKLLRTVFVGNLPLRTKRKALAKEFAAFGEVDSVRIRSVPLGDTKIPRKGAVIKGKINDLVDNVHAYIVFKDEQCARTALSHNMALFNGNHIRVDMACPPRKKLRGEGPLYDRKRTVFVGNLPFDVKDEELYQLFCGPSGPQGDVEAIRVVRDPDSSLGKGIAYVLFKTREAANSVVKKRGLKIRDRFLRLTHAKATDATPKKADSGKKRGTPKQKTPFTPGSSKSREGGDSNKRKEPASLSYQGLKSTKSGVVKKAKVARRPVNQGNQQGRPSETGQSESARKAKRPAVAARKAKQLNKKRKQDGSTPENTHRSKKARK >SECCEUnv1G0557630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:325759652:325761725:1 gene:SECCEUnv1G0557630 transcript:SECCEUnv1G0557630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPALHRIQRRRIPAACGCLLLLLALLISPAAAAKSSGRPITDKEIRENKAACYTDVENGLWGFACRSSTTEKENCVLRCLSPECYNLIYGGDPLEEGELDYVRSHEYKYCMHKVSLGESLDGVKGSFSYS >SECCE7Rv1G0521070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:872952979:872956845:1 gene:SECCE7Rv1G0521070 transcript:SECCE7Rv1G0521070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLTPTHLHHPSPSSPLPRRRAAASASASLAHHLLPRPRLATSAYSPRPGPRTGRRAGMSAIRSSLIDPDGGALVDLVAPPERRAALRAEAEALPRVRLAAVDVEWAHVLAEGWASPLRGFMREHEYLQCLHFNSLRLPSGGFANMSLPIVLALDDAAKDRIGAAPDVALAGPDGELLAVLRSVEIYPHNKEERIARTWGTTAPGLPYVDEAITPAGNWLIGGDLEVLQPIKYNDGLDHYRLSPQQLRDEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKKMAFFEPSRSQDFLFISGTKMRTFAKTGENPPDGFMCPGGWKVLVDYYNSLQTEGATAPAAATV >SECCE2Rv1G0133420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:896547984:896550537:-1 gene:SECCE2Rv1G0133420 transcript:SECCE2Rv1G0133420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAATLASSPRVSISVSAAGMNSSGLSMSLGARRVAGISVQIHSQRRRMVAAAAAAKGGEGAGKTFVEEMRAAAMRQHTKDQASEGEKELEGPSLNELEPNLEAYVRFLVDSKLIFQTLENIVDRAAVPWYAEFRNTGLERSEALKKDLKWFSEQGHTIPEPSAPDTKYASYLEELSEKDQQAFFCHFYNMYFGQSAGGRLTGKKIADKILNKKELEFYKWEGTLSELLQNVRTKLNQVASSWTREEKNRCLEETVTSFAYSVDRLRKIFT >SECCE3Rv1G0160610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:106232897:106233747:1 gene:SECCE3Rv1G0160610 transcript:SECCE3Rv1G0160610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSRPQHPNGSLAPASDGGESFAKFFECWILQQSRDLAALRAAATARLGDADLRRLVDRVLGHYEHYYRAKSAAASADVLPMFAPSWISATESLYLWCGGWRPTAAIQLLYSKSGVQLEAQLPAFLDGGSLGDDDLGGLSAEQLQAADQLQRRTIRREREIEEAAAGAQESLATTKMVELAGKGGMDAAEGMEREMDAKAEAMKRVLEMADGLRLETLRGVVGLLRPAQAVHFLVAAAELHLAVHKFGRRKDGAAAAE >SECCE3Rv1G0177500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:468112229:468113867:-1 gene:SECCE3Rv1G0177500 transcript:SECCE3Rv1G0177500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLKITTVQPVVLLLGHDHGATASPRWLTGALTQGGGASIRAKRLRSVAVAMALKEEEPESSRSRFAGGGPSWDPRMEIGVPYEQRPVNEYSALKESTLYSWAELSPGSFFMRLGSLCLVTFTVLSAPISAASFSPGKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPAEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVALFAFAAPVEEFVHSFNGAPNTASSKPIMRREDLLKLPAEVRQDDDLAADAAEAANGRPVYCRDRYYRALAGGQYCTSDDLLN >SECCE4Rv1G0277900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806137361:806139364:1 gene:SECCE4Rv1G0277900 transcript:SECCE4Rv1G0277900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPKPQFLEDQEAQTKADGKKGGWITLPFIAATMLGLGLAVNGTTSNMLVYLLKEYHVDGVKATQIANVVRGSLNLVPIAGAVLSDSYLGCFPVILAGAAINVLAFVLFTLTAALPSLRPPHCTLTSAECQQGSPGQLFVLYAAICLLAIGAGGTRFNIATMGADQFSSTRDKDSFFNWYLVFLYASFMLGDTTIVYIQDSVSWAVGFAVCVATSAFGTIMLLLGVCYYRMPATKASPYTELARVIVAAVRKGSIKVGGAQGSVQYNAGSGAVVDSAGDGAPSKSLRFLNRAAMITTSDKSSGSGDASAGAWRLCTVQQVEDLKAVVSVFPLWSSGILLFMSIGVMIGLIVLQALAMDRSVGAHFSIPAGSVGVSCRVSFILATLVLDRGVFPLWRKITGGTPPTPLQRVGIGHVLNVAAMVAAALVERRRLAQPGVPMSVMWLLFPLGISGVGEALHFPGNMAFYYQEFPKTLRSLATAMAPMLVALGFFSSTMFMDVVTRVTAWLPENIDHGRLDNVYWTLAAVGTLNFAYFLACDRRYKYHNRAAM >SECCE6Rv1G0414730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:635968708:635975284:-1 gene:SECCE6Rv1G0414730 transcript:SECCE6Rv1G0414730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESEMEKGRPYQEKPRTFSTVRSKSSIPLVFRVLMKINPRALIVLLLLVVCGVFYLGASTSPIIVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGAISKMAGILALVILFIYLFRTMTPQQEASGLGRTTSAYITVIAFLLGAVCSGLAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVFGVALLYATFYVWLGVDSPDSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGATMAQRCKIEDPSGFILFPLVVHSFDLVVSSVGILSIRGTRDSGLISPIEDPMAIMQKGYSITILLAVLTFGGSTRWLLYTEQAPTAWFNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGMESTALPVLVISVAIISAFWLGRTCGLVDELGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAQLPFKEVDIAIPEVFVGGLLGSMLIFLFSGWACSAVGRTAQEVVTEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAILSPMAVGIIFRILGHATGQPLLGAKVVASMLMFATVTGILMALFLNTSGGAWDNAKKYIETGALGGKGSEAHKAAITGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >SECCE6Rv1G0440210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:803163931:803164554:1 gene:SECCE6Rv1G0440210 transcript:SECCE6Rv1G0440210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAEFFAVSRLAGAPAATPAPHSPWQSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGFLDGGNDGQAAGDADGEKASASGASKPALDFQEQVVVIMAGDERPTFLAKPVTSRAAEAELAAAATPEASARDGEGQEKTADEQGCEASSHPGADAASRSRGHHDAASQSGDHDDPDAASGIHHHHHHHDQDLESSGTTALRESRQ >SECCE1Rv1G0027080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:377647665:377650725:1 gene:SECCE1Rv1G0027080 transcript:SECCE1Rv1G0027080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGAVREVGSRAELDAAVGGARAAAVHFWASWCEASKQMDEVFAHLAVDFPHALFLRVEAEEQPEISEAYGVTAVPYFVFCKEGKPVDTLEGANPASLANKVAKLAGPANVAQSAAPASLGVAAGPAVLEKVQEMARQNGSSAAESTLKKRLEQLVNSHPVILFMKGNPEEPRCGFSRRVVDILKQEGVEFGSFDILADNEVREGLKKFSNWPTFPQLYCKGELLGGCDIVIAMHESGELKDVLKEHNIPLRQQGSKIEEPVMSESANEQSPEAVGLTEAQKARLEILTNSNPVMIFIKGSPEEPKCGFSGKVVHILKQEKIPFSSFDILSDDEVRQGLKVLSNWPSYPQVYIKGELVGGSDIVMEMHKSGELKKVLTEKGIIRKESLEDRLKALISSSPVMLFMKGNPDNPRCGFSSKVVNALKGAGISFGSFDILSDEEVRQGLKTYSNWPTFPQLYYKSELMGGCDIVLELEKSGELKSTLSE >SECCE5Rv1G0345750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:658132958:658133536:-1 gene:SECCE5Rv1G0345750 transcript:SECCE5Rv1G0345750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLMNYNRVLKMARDTHPHPDILERLPPVLLSAVIRCLSDDADSLRRRMDDFLVKFSNFTRKMDDISARLQATRSPKGRRRGISPTAQLVGLYGNDLFRALMRVQLPVSTPAEVCLEVALAAQRLIVHDQLDFFLNLLEKNVFGAGTMTIKEYNIMAFKDHRKTLEKLVQEHIDLADAAATSHPPTSPAE >SECCE3Rv1G0191810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:751562405:751563760:-1 gene:SECCE3Rv1G0191810 transcript:SECCE3Rv1G0191810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g66500, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66500) UniProtKB/Swiss-Prot;Acc:Q9FJY9] MARTATPLSALLRRLSSHPSHSPAFHAALLKSSSLSSPIPATALLTAYAKAGLPGAASRLFDEMPARDAVAWNALLACHVRHARCAAVAEVFRGMAAAGFAPTAATLCTMLKACASSRAVRPGRQVHARIVLACHGDVIMTTALVDLYMSCGCVEEAKRLFIHTDCPKDVALCNSVLSGCVENGQFREAFLMLGGIELNGITLTCALTACSATANLAYGLQVHCKVLRCGFDSETVLCNALIDMYAKCGRTTAARVVFDRMTYRNIVSWSSMIDGYSRHGHGKEALDLFERMEKAVPMVLPNAITFLAVLSACGHSGLVDEGRSKLHLMKRKYAIDPGPEHYACFIDMLGRAGLMDEAWDLYCCLRSNISELHGAICVSMLSACMANMDVARGNMVAEHILEVDPQNPRNLVLISNFQAAAGQWSISDESRKVIMDKGLNKEAASSHVSIG >SECCE5Rv1G0333950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:562960633:562963719:-1 gene:SECCE5Rv1G0333950 transcript:SECCE5Rv1G0333950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARENGEERNEHSTNVEVERDAKHGKEAESEYEAARDSFSSQGESNSNEDTKAKRVSRVPKKLAKKETKPNSPRLARTNSTRLVNKKLQYISPNNSPNKSPKPSKAVKGVKTVEVQKPETVEVTSCPSSDVSEETNDKAIDGRATDDKSTEGRADDNKATEGTAADDNKATEGTAADDKAIEGVETDDKANEGRVTDHKVIEVIATDDKGNEGVETGDTAIEEAKEIDVLDEAPKCDQSTGTDDEIADTEQNILDDDNSVAYEKNDAKIEVLESKIEKLEQELREVAALEVSLYSIMPEHGCSSHKLHTPARRLSRLYIHASKFWSPDKKASVAKNSVSGLVLVAKSCGNDVSRLTFWLSNTIVLREIIARTFGISSQSPAVMNAFITNSNSNAKKLYKNSPPMRWKMNSSGKQARPTIMQFPDDWQETGTVLAALEKIESWIFSRIVESVWWQALTPRMQTPFEDSSTPSVEKPLGHSLADQHQSTFSIDLWKTAFCDAFSRICPLRAGGHECGCLPVLAKLVMEQCVARLDVALFNAILRESENEIPSDPISDPILDSRVLPIPAGNLSFGSGAQLKNAVGSWSRLLTDMFGMDGDDSHKDGHGAGGDGDVRRDGAESDSFKLLNELSDLLMLPKDMLLEKSIRKEVCPSIGLPLVTRILCNFTPDEFCPDPVPGLVLEELNSESLLERFTEKNLISTFPCTAAAVAYRAPSFNDVAEKVADTSGSSEVHQRALMVQRRGYTSDDDLDDLGSPLMSLYDRSSPPSPCNGVAHFSTRQEGAAANVRYELLREVWSERRD >SECCE7Rv1G0469330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:107939108:107939512:1 gene:SECCE7Rv1G0469330 transcript:SECCE7Rv1G0469330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANEEQCELTLHSTPSSVREPPGLFLCVYCGRNFYNSQAFGGHQNAHKEERRLAKHRREMATASRVHAESPPAPAPSSLDAATARLTAEDRAAGLFPAAKKARMEIQLEPGRSFPDYDGARTGGDGLDLSLRL >SECCE5Rv1G0312310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:224026762:224030931:-1 gene:SECCE5Rv1G0312310 transcript:SECCE5Rv1G0312310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDKEMEMLLNEIPLLLHGDVVGCDQPAAAETGDVVDFSYLIQELGDMGFVEDDDDDDDSGFLYPRKASSSPTSNLHFMDAENFVASRPFSMDKDPERALFYPFPFSSTCFDAAVGDDWDLLCAPARSRLCKTARPKKGTFSNGCQATSPKKCAAAKPSKYESLVGLRGYMYHVARDQHGCRFLQQRLDDGKREVDFIFTGVARHAVDLMVNPFGNYLMQKLLAVCSEEQRMGIVLTLTKDPFVLVRISLNVHGTRAVQKLIESLRAREEIQLVVAALRPGFLELIKDPNGNHVVQKCLQSFGADDNKPIFDAAAVYCLDIGMQCHGCCVLQRCIARSTGEHKEKLVAAIARNGRELAQDAYGNYVVQYVIELKVPTANASLAQQFEGKYIHLSMQKFSSNVVEKCLKVFKEADKGNIILELLSSPQFERLLLHPYANYVVYAALLNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK >SECCE3Rv1G0152940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:51426401:51427216:-1 gene:SECCE3Rv1G0152940 transcript:SECCE3Rv1G0152940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALQGWAAQVSGLLERLEAISGKVVDLPTVNQSPEEREGGNLGNGGGFSSLEPLGVPPPWCEHEEPAPSVVADDVLPAMDVALHEMVCQGEEEQAKVAEIVTSSNDLVHFEEALPDGLVGEVPPFASSSETFASGGTTNMVSSGSKEPLGDIGVPSTTLLDEFLSGFSCAAPWSLLEEPIHVQIDGASTCCERRSGRLEKKNKSCNIPTAKRAEYRLAEAYGELPKGMASKKGCEEDVQEKMNSYLRMYKKPPTPTAIEAIRALVEANG >SECCE7Rv1G0468800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:103521447:103522901:-1 gene:SECCE7Rv1G0468800 transcript:SECCE7Rv1G0468800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTALAELAIRSSSMSPSSIVTVSLAAAAALVVMVRVGPEELANRLSEARPVHLFLACFLPAAATVVYLMLRPRAVYLVDYAGFRTPHTCRIPFASFLEHAKLLPELNERSIRFMTRLLERSGLGEETCLPPAHHYIGTHKYCTVDAARAEFELVAFSAIDDLLAKTGIAPDAIDILIVNCSLFCPTPSLVDMIVNKYKLRSDIRSMHLSGMGCSAGLIAVGLARNLLQVAPHGAHALVVSTETITPNYYLGTERAMLLPNCLFRIGGAAALLSTSPAKARFRLKHLIRTLTGAQDSAYRCVFQEEDGEGHRGINLSKDLMNIAGDALKANITAMGPLVLPASEQLKFAYSFVARKVINRRVKPYIPDFRTAFEHFCIHAGGRAIIDELQKNLGLSDEQVEASRMTLHKFGNTSSSSLWYELGYIEAKGRMRKGDRVWMIGFGSGFKCNGAAWECIQPARNADGPWATSIHRYPVDIPDVLSH >SECCE4Rv1G0266840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:733812171:733814014:-1 gene:SECCE4Rv1G0266840 transcript:SECCE4Rv1G0266840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQLKKTARSEEAAQQKKASSSEEQQQEKINEVRGLLGSLTEEMPSFLSDATIRRFLRARNWSTEQATKGVKETVKWRREYRPDAISWDDVAEMENEARRTHVADYLDKNGRSVLVSNVPMKSKVSAKEQIKHLVYLLEYFATNSTDEQDDFVVWLTDFRGWSISSTPLSITRESMHIIQNYYPGVIGLAIPFDPPWIFESFWKIAKNFVQPDMKEKVKFVYANKPESLKIIADIFDLDTLEPSFGGRSTTAAFDINKYAERMRRADKMRGASEDSNGHD >SECCE3Rv1G0174990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:413268253:413274968:1 gene:SECCE3Rv1G0174990 transcript:SECCE3Rv1G0174990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRVPPYRHQPAHLVLTEAVAAWHPFHKKPCLSDRSTAPPSAHHADAAAAAAAAAAETTTPPPSAVGSGGSFRLLGLRKRRRRGGISRSVSGRSSDRRRSGTCSDFHVTCGPGGGGATDSSGEMWASDVGELRAKDVPMAPEFASAPVGLAGSAAGGTSAGVELASAESGYGSEPGYRGDVELGYGDEIDEEEEDGRQQVFFWGGEIGDCIADMDKMVIVGDSNFGEQKSHHRCRRKKHDVRMLDVLR >SECCE2Rv1G0104310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:604750546:604751079:-1 gene:SECCE2Rv1G0104310 transcript:SECCE2Rv1G0104310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGKEQSPNSSSSQSQYRGVRKRKWGKWVSEIRQPGTKTRIWLGSFESAEMAAVAHDVAALRLRGRDAQLNFPGSVDRLPRPASSHPDDVRAAAAEAAELVRGEPALVARVAGECPGWELVGGRGSDEEFEVDSPRLWAEMAEAMLLEPPSWATGASEMDQAAHCWSHGSLWDAY >SECCEUnv1G0548100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:179907295:179915198:1 gene:SECCEUnv1G0548100 transcript:SECCEUnv1G0548100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAITSQSELEHILLHEGAEPKALPLSLLEHITSSFSADKEIGDGGFARVYKGVLDNDMVAVKKLFVTVDLDEKKFSEEVRCLMKAKHKNIVRFLGYCSDTQGEMVNCEGKLVLADVRKRLLCFEYLPKGSLDKQITDASSGLEWSKRYQIISGICDGLYYLHKNHIVHLDLKPANVLLDDNMTPKIADFGLSRCFDEKQSRTITSNMLGTIGYLAPEFYAHRQITFKLDIYSLGMVIIEILTGEKGYVDIDNVLERWRSRLDKSQEDKQLAQVRVCTEIAIECTDFNPAKRPDADHIIDRLGATRNADEFATETEASSSSSVPKLVAVSPGPTICSENTMDNGFKKSTKELFSMTDQIQCFSSMPDDSSSVQLDTDIRETTSDVEEALIIGRTEEKQKIVATLSGSITPEVVILPIYGFGGIGKTTLAQLIFNDSQFAGYSRVWVYVSQNFDLNKIGNSIISQLSEENHVAEKQMIHNHLRKLLAGKKILIVLDDVWEKNPDTLKSLKAMLRLGADSMVKMIVTTRDEAIAREICHTVEPYKLETLTDKFCWKILKQKTAFKNRVDRKQLKHIGREIATKCGGVALAAQSLGYTLNGKTSDEWESVRDNYIWTVPTPEDPSSKNHEVQASLLLSYHHMPECLKMCFSYCAIFPKGHNIVKYDLIHQWIALGLIEQSTIFDTMQLGEKYVTQLLGMSFLQYSKTPSSDGRRDKGVTLFTLHDLVHDLAAAMLGDKVNKKGNAVESSCHYALVTDCSKPLQLSTSSPENSYFRKAFYPFQKRSSTENIKALFFLNCGKIELRGGAFSPAKCLLVLDLSECFIRKLPSSIGQLKQLRYLHAPRIQDQEIPNCISELSQLSYLNLGHSHCISALPESIGDMKGLMHLDLSGCFGISELPKSFAKLKQLVYLDLSYCKMSISEALGGFTKLQHLNLSNKFSMHTKHIIGLPEVIGNLIKLRYLNLSWCVDVVAPDQINSLVCCISTLSNLEHLDLSCNTGICSIPESIGNLRKLHTLDLSGCYNLKKLPDSMVNMVSLKDLFVEEELTLDEPLLPRLNCASLPHFVVYASSDKCSSNIILLQPTNPDKLTIDAIENVKSAEEAHSIKLIEKQKMKRLKFQWTVAAGRFLDDKEVLEKLVPPSSVQKLSITGYNSLSLPDWFVGIRQYLPNLCVIKLRNFPKCKNLPPLGQLPNLQELCLRGMPCLEEWNMAYTSGEKFRNELMFPKLQRLDIRKCAKLRIKLCLCRAMTLSIVDCDNVLSSWGEILSHNSASSSSPITNLDVSDSKVSFHQWRLFHHLPAPHSLAIRFCNDLTTLPENLQQFCSLQSLSLRLGCSNEAELPRWLFELTSLQELEISHPKVEKLNEDTRQLTRLQSLSLSHCESMASLPEWLGELTSLKRFQIKYCKGIRSLPDSIQKLTNLEYLAIDGCSTLRKWCESQENKMKLAHIRWKVCACPTIYCIAFILALTINYSFFNDKLVSVHMQMHVSTA >SECCE4Rv1G0267340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735625981:735626499:-1 gene:SECCE4Rv1G0267340 transcript:SECCE4Rv1G0267340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPPAYHDQKLAAAKRCAREAALAGAKAAAIATVAAAVPTLLRVRILPWAKAHINPTGQALIISTVAGMAYFIVADKTIVSMARKHSFENAPEHLKNTSFK >SECCE1Rv1G0016270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:136413465:136416436:1 gene:SECCE1Rv1G0016270 transcript:SECCE1Rv1G0016270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEQKGETSSGMYTYKHRGDKGVDIHEIFVKKSRTRVLLSYCGLILLLAIVCRSLLGKEKLCLESVWSVTFGILVAKCLQYKPVKKESVVIMPSFGVQLEIHLWSGRVDRHFVPIGKILKPLLNECVTPVTCYWSLALLLRDEEELKLVFQKFRPPVKMLVPIWRALCAFTDSECTSRRSAVSKPNRLEA >SECCE6Rv1G0391630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:230044401:230087783:1 gene:SECCE6Rv1G0391630 transcript:SECCE6Rv1G0391630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSGKAAMERHQSIDAQLRLLVPGKVSEDDKLVEYYALLVDRFLDILQDLHGPHLREFVQECYELSAEYETDRDEARIAELGSKLTSLSPADSIVVSSSFSHMLNLANLAEEVQIAFRRRSKLKRGDFGDEASAPTESDIEETLKRLVSELGKSRGEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITGDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCGDELRVRADELHRSSKKSAKHYIEFWKQVPSNEPYRVILGDVRDKLYYTRERSRHILTTGVSDIPEESTFTNVEMFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDTITTHLGIGSYAEWSEEKRQEWLLSELRGKRPLFGSDLPQTEEVADVLGTFHILAELPADCFGAYIISMATAPSDVLAVELLQRECHIKKPLRVVPLFEKLADLEAAPAAVARLFSIDWYMDRISGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELIKVAKHYGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPISPTPEWRALMDEMAVVATIEYRSIVFQEPHFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIIQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVVEDLQSFGEQLRQNFEETKQLLLQVAGHKDVLEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPRFEVTPQQPPLSKEFSDKEPAELVQLNRGSEYAPGLEDTLILTMKGIAAGMQNTG >SECCEUnv1G0569730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:448541917:448542537:1 gene:SECCEUnv1G0569730 transcript:SECCEUnv1G0569730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARATAAFSFFAVILIFVSMGAHAGGHGDKVKVVDLVVETCKNASSSCRNKNLNVTQEFCVQTLRSDKRSSEAKDIRDLSLVAVDILKIRVAAAGGKVKEALQKAKKGTEEALGLRYCQVDYDAAIGTLGLCDAMLREFHVPTGDADGPWFFELPECVEKATGHVSDCGHDLPMESQTLMNENEELIKLGDLNNVLLGPYDFDG >SECCE3Rv1G0189560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:727330893:727344960:1 gene:SECCE3Rv1G0189560 transcript:SECCE3Rv1G0189560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLRGLRSSASRARPAFPWPASAPPPFSSSLLHRLYSSASASAASPSASALGGVTDPARIRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDNISLERERGITISSKVTSVSWNENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLRPILLLNKVDRPSVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLKFTKCPPDSEKNMSPLLDSIVQHVRSPKADLEAPFQMLVSMMERDFYLGRILTGRVTSGVIRVGDKVHGLRITDEGVQKIEDGKVVKLMKKKGTSMAVIEAAGAGDIISMAGLSGPAIGHTVANPEVLTALPAVELDPPTISMTFGVNDSPLAGRDGTHVTGAKIGNRLMAEAETNLAINVLPGPLSESYEVQGRGELQLGILIENMRREGFELSVSPPRVMYKTERGERLEPIEEVTVEVDEEHIGFVLETLNNRKGELLDMGPVPGTTGRTRVFMTCPSRGLVGVKGVFNSFTRGTGFMHRAFQAYAKYRGPLGTVRKGVLVSVGRGFITSHALMSLEARGTLFVSPGMETYEGMIVGEHSRDSDLEINPVRTKELTNIRAPGKDENIRLSPPRLMTLEEAIGYVAVDELIEVTPKVIRLRKKYLDANKRKMMKNKIMQ >SECCE3Rv1G0158930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:92944905:92958979:1 gene:SECCE3Rv1G0158930 transcript:SECCE3Rv1G0158930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSGAAAFSRLVDRTRVPDPTLQRHAVAAFFRHLLTLPPPLPSAAHDGISSLLASPHDAVAAYASASLARLAASRTDLLAPDEALPFLIASLSASPSPRLASCLVRAVAALVSCVLRSGPAGSRFPPHNHPFVQALASGADGARVELSRQAARMVAEGVDGVVGFLRPFVMFAVVRKGDAAFARDLIGALAASATAAAKPDAAVPVLKLLGESLLHFGRGDGEEGRLWLSSVECLVDAYVILLRKLAHAQRPAYDAQASSVELLEILLSQCSLHHQLLGMSCAVLGLSKYLFSVQKDLGLCYLPEISGVLSSLSCILSALEFESEQLAGLKLLAFLVEWRHENALKTNEVVHHFSEELLCVLPVINLVISPSRSVKAVASHVLSRFSLLVLELPASCSSEEQDISMVYHISRPTFILPKLVHHLWSQPSSGFFFTKYAICKGLPESAVNYSEANYWMDQINEYLSVLAREKLTLDGSSSKTMSSVAISSLVSSVVSVLVMHPKLGTSAARSLGNLGASDSKLGMPLLLVILFYCKIMYSNDNFSANSLLSLLDSLPSLATHGFVLPLALQLISPLLKKDAKSTLYAIAVRLLCKIWTITDWAFPNLQGVLDPETFSSFTTDREVFTSIAASVRDVCKQNPDRGVDLILSVSSCIESRDSVVQALGLESLSYLCEADIVDFYTAWKVISKELTDYSVDPTVSNGLCILLRWGSMDAEAYSETSKNLIQTLWSIGTYKKSNAEADRLWIKARGTAFHSLSHYKISLIQDSIPDFWRRNYESFTNEHNMEVLKAMENFQVEIIKFEHINRRRVTADKRTTVHKFEKLLDVLPQTVFKEKSAHHRLPGAALLTIKFSSEDILREGKSKDLSRVHAAYEQALMEMAESMFISRNIVVALLALHSWKSFVSHWMQAVVILLDTKESSKLNKPLKAANDIFKILCKCVPVSNPRVAVNITLAIGALCLVVPPTAHLVISSASDFLLKWLLQYEHEHQQWSAAISLGLIFNCFHPTDKKSKLQVINGLFEVISNADSYLVKGACGLGLGYACQGLLTRADSTSDSDLEATTQLNERASVKEILHTVTASLVKLCPSSCYSLKKLSIHGTFSMEGMEEKYDSLDDDPWAIAGLVLGLGNSVVALYRLGAYEDVIELKNILISWIPDVDSSSVLFDEVNSVSLCMGSCLALPSVIAFCQRVELLNDDLDALFNRYTSLATELLNLKKSGTVFQNLLMAICIGAGSLLAGILNDGVHAMKFADVKKFLDTLKNIYTHPYPPLVHLGGMFGAVNAFGAGAGDLTGIGWQSMNSQIKHEKGSSLVQGPLLTSPVGETLSTSMVQEIFLLAKDAEDNHIRNYAAWAVSFLRSRWLLKNQSLNGNDSSQRTSIDSSQSTSFFAESLVWNLSLWLRDLNFEKPGDVVPVNTIATVLKCLTKAPRVPAIDWGVIVRRCMKVEAQTPHKSTNHRDPTLLREECLYFSLAHADHISPLLQFLDDLTDLPRFRRLEMNVQSVLLQYLSHLMKLFSDSRSKKLYDDLAVYFCSHSSSYLDYSPEQRSMLRMSFWKGICKCLVEVVSEETDSFPYVKKCIECLLPLLNLCNDGQPEFVDEWSAAIKCLVVAQKSWSGDMLQVRSTTSLSEGEHVDAARKIIIRARLCFAGCVSALELGNIKTTILSTTADGVWWNVLVEVAAAVYSADNGIKKQWLLDALDIGCVTAHPSTALRFVGLLCGSCCIYMPLLIVNPTNVLSDLPVTLPSFLSSSIWDDLRNSAADKLWLLTTRIYTWAEQLTRGGGLPCHDHIHGSEAENATFLANMLRSTCIAVEDHFAVDKQLKLANLEAL >SECCE1Rv1G0036790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:512644057:512646261:1 gene:SECCE1Rv1G0036790 transcript:SECCE1Rv1G0036790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVQHLLKLRMACPHAHPATRPLSALPSLLLAATAVASSARPAASLSCSLSRAYCPAAASRSGTAGRRLPGAVVAMSTAAPTPGPVQKSEEEWEAVLTPEQFRILRRKGTEYPGTGEYDKFFSEGIYGCAGCGTPLYKSSTKFNSGCGWPAFYEGFPGAIKRTADPDGRRVEITCAACGGHLGHVFKGEGFNTPTDERHCVNSISLKFIPASEEEAS >SECCE3Rv1G0213700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961908670:961909200:1 gene:SECCE3Rv1G0213700 transcript:SECCE3Rv1G0213700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHLAAAASMVLFLAVFAASTNAATFNIKNNCPYTVWPAATPIGGGRQLNTGETWTLDVPANTPSGRVWGRTGCNFNGNSGSCQTADCGGALSCTLSGQPPLTLAEFTIGNGQDFYDISVIDGFNVPLSFSCSNGPNLVCQADKCPDAYLFPTDDTKNHACNGNNNSYQVTFCP >SECCEUnv1G0557520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:324384918:324387242:-1 gene:SECCEUnv1G0557520 transcript:SECCEUnv1G0557520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASALSPVFLKLGELLAGEYNLERRVRKGVQSLRTELEMMHAVLREVGKVPPDQLQEPVQIWAGKVRDLSCDMEDAVDDFLARVGEVSSSKPTDIKSRVNKFLKKTTTLFGKGKALHQICDAIKEAQDLAKELAELRRKYELDMRSTSNGATVDPRVLALHKDVGELVGLDHTRDELIKKLISEEGSSKEWLKTISIVGVGGLGKTTLTKAVFEKIKAQFDCAAFVPVGQNPDIRKIFKDLLYGLDNEKFSDIHNTTRDENLLIKQISDYLVDKRYLIVIDDIWEEEIWRYINCALNKNKLHSRVITTTRNVSVSEACLSSSDGMIHRMKPLSDEDSQILFHRIIFQSEEKCPEYLQIVSRDILKKCGGVSLAIITIASLLVSSQRVKPKHEWMHVYNSMGRGVTQIVIAKDMKKILSLSYYDLPSHLKTCLLYLSIFPEDFEIERDWLIRRWLAEGFIQCDKEETRLFEIGESYFNELMNRSLIQPAKINKEGTVVTCCIHDMVLDLICSLSSEENFISILDNYEWQAPNLQKKFRRLSLHNIKAKLSIFACAGSWKLWQTYK >SECCE2Rv1G0116040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:760509056:760510489:-1 gene:SECCE2Rv1G0116040 transcript:SECCE2Rv1G0116040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMYRPEPQNPTARRQRLVVILLGAFFAFQLLLFVAFRSAPPSKGAPTAAALSSSVPEPPSRGTEDSGCEGGLVYVYDLPAIFNEDLLAMCDTLMPMYSVCPYLAHDGLGFPAEGTSLSAILPAELLGPWHSSDQFALEHIVHRRLLSHRCRTTDPARAAAFFVPFYAGLAVGRHLWAANATDADRDRDCVALLSWLHAQPYYKRSNGWDHFLALGRITWDFRRSPSGGWGGSFLSMPGVANVTRLVIEREPWDAMDVGIPYPTGFHPRTAADVRAWQRYVTSVPRPRLFAFAGAPRSAIKGDFRGLLLNDCQAAGAACGALDCAEGKCIKDNALVLELFMGSRFCVQPRGDSFTRRSLFDCMVAGAVPVLFWRRTAYLQYHWYLPAEDGQEGEWSVFIDRDELRAGNVTVRGVLAAIPEARVRQMRERVVEMIPRLVYSAADKNGLGDGMKDAMDVMIDGMLRRVGEQRRNWRRA >SECCE7Rv1G0460920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:34001023:34005146:1 gene:SECCE7Rv1G0460920 transcript:SECCE7Rv1G0460920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPPPPPELPDDVVEEIFLRLPPDDPGCLFRASLVCKAWWSVVTHPHFRRRFIGLHRHRAPPVLGFLHDWEDERIPDFVPTTASPFSLPAPNRRFWRPLDCRHGRALFLSHRRQGTQELLLWEPVTGAHQRIPVPSAFRCPRPTAAVFCAADGCDHRDCLGGPFGVVFVFTVDFAGDADVVTSACLYSSETGRWGQLSSRQDEFTMDFEYHSSVLVGRSLLYFLSDGGMILEYNLDSSELAVLYTPPDGYSRDNERFNLMLAEDGGLGVAEAIDFQLILWKREPSDGTDARWVLSRIVDLFDLLPIGVDSESLCPVLGFAEGANAIFVETVYSLFMIELQSEQGKKVCASHGFCNLIPVVGFYTPHSRLQVPGGGQHGPAPWLNLPRRGGQQGVWEEKSLEWAQVLFNKGCKAINEKDFANAAGCFRHALKIRVRHYGGVAPECGSTFYSYGCALLFKAREETNPSGDRDDSDLDLAWKMLNTARAIVAKSPDKTMEKVNILNVLAEISMIREDRDSSISYYFEALAILEHLVRPDHFGIFKQNARISLALELASKVGDAIPYCAKAISVGKSRMHNLINAKEALLSAEGRSGNLTLEDEISYLARMLPQLQKKLEELEQAMSTPSDGTDNIMKRVVSQASHEQNVNNTMARTASLTSSQMAGSDNSLHSATMSIAAAATGRPNFVIVGRDMKRANDDKPISDEPSPKKLAADDSPSVNEM >SECCE4Rv1G0270490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755534244:755535807:-1 gene:SECCE4Rv1G0270490 transcript:SECCE4Rv1G0270490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVVLIVGAGPAGLPTTACLSQFSIPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKTLFVKYLDDYVEHFNIQPKYLTSVESSTYDNEKKCWFIMAHDMAKSTIVKFTSKFLVVASGENSAENIPMIPGLQSFSGDVIHSSSYKSGKSYSGMNVLVVGSGNSGMEIVYDLATHGANTSIVIRSPIHVMTKELIRFGMTLAHRLPLNLVDNLIVMAANLIFGDLSRHGIRRPKMGPMILKSKIGRSAVIDVGTAGLIKKGIIKVQRSISNVMGNIVEFQCSKKISFDAIVFATGYKSTANIWLKNGESMLNCNGLPIKEYRTIGKVKMGSTVLG >SECCE2Rv1G0085070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:185526190:185527016:-1 gene:SECCE2Rv1G0085070 transcript:SECCE2Rv1G0085070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSERAGVSASTSGMSCNGCRVLRKGCNEACVLRPCLLWIEAADAQGHATLFAAKFFGRAGLMSFLTAVPEQQRPAVFQSLLYEAAGRTINPVSGAVGLLWAGSWHLCEAAVQTVLRGGAIRPLPELAGGVPEGGVGGSDLFASSSRRAVVGCSTYSAAKRVTPKKTWAPEAASHHQEPSCDLGLFLTPGSAAAVEGERRARRAGTPSMSSDGSVTTSAGAGAGEKEPELLNLFV >SECCE5Rv1G0333810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:561892106:561894255:1 gene:SECCE5Rv1G0333810 transcript:SECCE5Rv1G0333810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRCSSHWESSSEDVTRSLLPLHDITADDRSSRSPLVASLLANRYLSIAAGPLAAALICALVDLGPGHAAARNMLGVLAWVFIWWITDAVPLAVASMAPLFLFPVFGVSSADAVAKAYMDDVISLVLGSFILALAIEHYNIHRRLALNITSLFCGDPVKPALLLLGICGTTMFISMWIHNTPCTVMMMPVATGILQRFPGDAAAGADAREFRRFSKAVVLGVVYASAIGGMATLTGTGANIILVGMWSTYFPEEEPITFSSWMSFGLPMSLILFAALWATLCLMYCSNDTGRALSAYLDRTHLRRELSLLGPMAFAEKMVLAVFGGLMIVLWMTRSLTDDIPGWSVLFHGNVGDGTVTIMMATLLFIIPSGKGDGEKLMDWGKCRRLQWHIVLLLGAGFAIADGFKASGLTDILAGWLGFLRGAPALAVAPVACAFSGLLTEFTSDDATTTLVLPLLAELGRTIGVHPLLLMVPGAVGAQLSYLLPTGSPGNSVGFSTGYITIKDMVVTGMPIKIVGVAALTVLLPTLGVAVFGMDQKV >SECCE2Rv1G0123210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:822585465:822587694:1 gene:SECCE2Rv1G0123210 transcript:SECCE2Rv1G0123210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPISLVGPTPADHESSSQLEILLREAGLYETSDELAAREDVLSDLQAIVDRWVKRVTAQRGFPDGMVEQATALLIPFGSYRLGVHGRGSDIDALVVGPSYISRDHDFFAVLGGVLAETEAVTELQPVPRAHVPVIKMRFRGVQVDLLYASVCLPVVPRDLDLRDRSVFRGMDLASARSLNGVRVADELLRLVPDAGAFRTTLRCIKHWAKARGVYSNVMGFLGGVGWAILVARVCQLYPNAAPSMLVPRFFKIFAQWKWPNPVLLRDIEHDGGGELALRLPVWDPRRNPRDKSHIMPVITPAYPCMNSCYNVSHATLRTITEQLQIGNGICQEMLKAGGAVGYLQVDVKVAGGENDLREWKGWVESRLRQLVNRVEMATAGMLLCHPNPKAYPHDLHCTSTFFVGLSKPQPQQQPQVPFDLRATTEGFKQEVYTYEFWRPGMELEVSHTRRKDLPSYVLDQILPAGHLKRKRAAESNSASGESRDVKRVAAAGGTGSAPETKRQCCPSNLLPSASVLGVV >SECCE3Rv1G0161020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:111335280:111336852:1 gene:SECCE3Rv1G0161020 transcript:SECCE3Rv1G0161020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMLLPAASSPPLSRVATSSAHGEDSPYFAGWRAYDDDPYDAVTNPGGVIQMGLAENQVSFDLLEGFLREHPEAAGWGGARPGSGVASFRDNALFQDYHGLKTFRKAMASFMEKIRGGKVRFDPDRIVLTAGATAANELLTFILANPGDALLIPTPYYPGFDRDLRWRTGVNIVPVHCHSSNGFQVTVAALEAAYEEAAAAGMSVRAVLLTNPSNPLGTTVERSVLEDVLDFVVRKNIHLISDEIYSGSVFAAPDLVSVAELVESRGGDVAGRVHIVYSLSKDLGLPGFRVGVVYSYNDAVVTAARRMSSFTLVSSQTQKTLAAMLSDAAFAQEYIRTNRERLRARHDHMVAGLARSGVPCLRSNAGLFVWMDMRRLLGDGGEATVADELRLWDLMLHEVRLNISPGSSCHCSEPGWFRVCFANMSLDTLDVALARMSRFMDRWNKATTLQEQH >SECCE3Rv1G0192980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:764631910:764632623:-1 gene:SECCE3Rv1G0192980 transcript:SECCE3Rv1G0192980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHVRRLLNRVAIALAAVATAALLHLFRHPSTSCFAGSLARSSLSLSMAPLPRTSCDAASRRVVDPDLRLAKLRSSPRWRRHNAALSASFIDPLRRLRLLGGSSRVLCLAAGAGQAVDALRVDGVGDVTGVDLVDFPPLVRRADPHNLPFFDDAFDLVLSNDPAAFTGALFPSRFASEIERTVRRGGAIAVAVDRQVDLPVVASLFRKSRLVDVRNATLDGSAASVVILSTDPERH >SECCE7Rv1G0472050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:135983710:136006679:-1 gene:SECCE7Rv1G0472050 transcript:SECCE7Rv1G0472050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] MLAPLPRLTGSLRPHFDADQAYLLRKAALQARALPRPHDEWALARRFVPNWDDAPSDVRQAYKQFIGAVVELLNGEVVSEELQQVAQAVYRLFGTESDTTQKPLQRRNELERLVGYSVQDSVFKKLAQLAQKLRSLQRGSIQEFVQEDMKAVDGDDTSEFGASFDFKAPSRFIIDVTLDDDLPLGSGVFSSFQEEQHDASTASGNSTAFAGPVNLRWLKDQCDLITRSGGSMLSGDELALALCRVLRSNKAGDEIAGELLDMVGDGAFEIVQDLLSHRKELGDAIQHGLTILKSEKVSSNNQPKMPTYGTQVTIQTEYERQLDKIKRKEGRRGKRGAENGMNDFGADDFSSLLLASERKQPFDDMIGTGEGESSFQVTSLPQGTTRKHMKGYEEVKIPPTPTAPLGPNEKLIEIRELDDIAQAAFQGYKSLNRVQSRIFQATYNTNENILVCAPTGAGKTNIAMIAVLHEVKQHFREGILHRNEFKIVYVAPMKALAAEVTATFGRRLSPLNLVVRELTGDMQLTRNEIEETQMIVTTPEKWDVITRKSSDMALSMLVKLIIIDEVHLLNDDRGSVIETLVARTLRQVESMQSMIRIVGLSATLPTYKEVAEFLRVNADTGLFYFDSSYRPVPLAQQYIGITERDYAKKNDLFNSICYDKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAAKAGEVELFSNADHPQYGLIKKDVSKAKSREVIEFFDSGFGIHNAGMMRSDRNLMERLFADGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDAKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFRRMKTNPLVYGITWEEVIGDPSMGAKQRSFIIEAARSLDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVETYNEMLRRHMSESEVINMVAHSSEFENIVVREEEQDELETLARKACPLEVKGGPTDKHGKISILIQVFISRASVDSSSLHSDAQYISQSLGRIMRALFEICLRRGWSEMTSLLLEYCKAVDRKIWPHLHPLRQFDRDISPEILWKLEERNVDLDRLYEMEENDIGALIRFSHQGRLVKQYVGYFPHVNLSASISPITRTVLKVDLLITPEFVWKDRHHGMSQRWLIIVEDSENDTIYHSELFTLTKKMARGTPTKMSFNIPIFEPHPPQYYIRAVSDSWLHAESIFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKSYEDLYRFTHFNPIQTQAFHVLYHTETNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRHRLVTQLGKKMVEMTGDFTPDMVALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVRDDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPDKPVLIFVSSRRQTRLTALDLIQLAASDEKPTQFLSMADNSLDMILSQVTDSNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDYVITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSSTIGNKEEAIIYLTWTYLYRRLVVNPSYYGLEDTETYTLNAYLSRLVETTLEDLEDSGCIKVDDHSVQSLILGKIASQYYLSYLTVSMFGSNIGPNTSLEAFVHILSAAAEFDELPVRHNEDTLNRTLCEKVPYSVDQHHLDDPHVKANLLFQAHFSRAELPISDYITDLKSILDQSIRIIQAMIDVCANSGWLSSALTCMHLLQMIIQGLWFERDSSLLMLPSMNDNLLDHLKGRGVSTVLSLLDRSREELHKLLEPFSAAELYQDLQHFPRLDVKVKLQNEDKGQSKPQMLNIRMQIKNTRRSPRVFSSKFPKAKQEAWWLVLGNITSSELYGLKRISFADRVLNTRMELPPMLNIQEAKLIVVSDCYLGFDQEVSLGYLAKV >SECCE2Rv1G0080780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:132931970:132932266:-1 gene:SECCE2Rv1G0080780 transcript:SECCE2Rv1G0080780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCRQGKSAKRIRNFRKRIGSEDWAQGSRPRTHRLLADCSSCSRGESGSPRAGRGTERESPLRGLSPSMKQSNQNWYRQGESDCLIKRKHCDGPRAC >SECCE4Rv1G0247740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:563568200:563569135:-1 gene:SECCE4Rv1G0247740 transcript:SECCE4Rv1G0247740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGKGSLDLVLVPCGLVIMFGYHLILLYRILRHPAATVIGYENHNKLAWVRRMAQAAPEETALALSVISSSIAASTNLASLSIALGSLIGAWVSSTSKVFMTELVYGDNSQATAAVKYISLLVCFLVSFTCFIHSARYYVQASFLVTTLDSDVPARYMQHAVIRGGNFWSMGLRALYFATTLLMWIFGPIPMFVCSVFMVFILHMLDSNSLPLHQYQFTVRKRPDQGALASILATGQPSPRNAIINNPILSPVTFFS >SECCE5Rv1G0304440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:59010461:59030713:-1 gene:SECCE5Rv1G0304440 transcript:SECCE5Rv1G0304440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDAAAAAAAGAQAVQLIDGEGEFGGDSAERFMTAAGVAGCGLSYAVVSIMGPQSSGKSTLLNLLFGTNFREMDAFRGRSQTTKGIWMARCVGVEPCTVVMDLEGTDGRERGEDDTAFEKQSSLFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLEHLEPVLREDIQKIWNSVPKPEAHKDTPLSEFFNVEVTALPSFEEKEEQFREQVQQLRQRFANSIAPGGLAGDRRGVVPASGFLFSSQQIWKIIRENKDLDLPAHKVMVATVRCDEIANERFGCLTSDAEWLDLENDVQAGSVLGFGKKLGYIVEVHMEEYDKEAVYFDEAVRKAKRQLLESRILNLVQPAFQKNLSHLRTKALEKFKTGLNQSLESGKGFAESVRETTESSLSEFNQGCADSVIKQADWDYSKIVEKVRRDIEDHALSIRESKLTELTNHAKEKLRKALVEPVESLFDAAGQTTWVSIENLYKRETQAILPEIFKALSGFEMGSEFSEGMVSKLSDYGQSIVENKAKEEASKVLMHMKERFTVVFSHDKDSMPRTWTGKEDVRAIAKEARSAALKLLSVLAVIRWDDKPDRIENILTSTLLDGSRSSGDPLASTTWEQVHPKHTLITPAQCKSVWKQFQSETEFTITQAVSTQQAHRRGNGRLPPPWAMIAMAVLGFDEIMMFLRNPIYMFFLFVGYLLVRALAVQLDIGREFQNGMVPGILSVSAKLLPTLQNLLNKVATDQQQPQQQGQQHRPPAAEVPEAQQPPPPLLLSPKSSMSELRRLHTPPSPVRRSVSSPSHSSPPSPLASPRKAAEDQKPRRAAEPHNESSSAYSIV >SECCE2Rv1G0128970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:865875739:865876089:1 gene:SECCE2Rv1G0128970 transcript:SECCE2Rv1G0128970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSEIQRDEEARELAKYEADCKDFKKSMYLIAILIAVSLACLVASFLVPRTSRWDRTYFLQCAVLFFLGAAFGFCLITREFGWFAFPKRPVVQGQLRGEDKADLENQLGGGDGR >SECCE5Rv1G0376930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:874879738:874887210:1 gene:SECCE5Rv1G0376930 transcript:SECCE5Rv1G0376930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGSMRRPSLAAAAAAEPAAPEFTLSADDYRLMEEVGFGANAVVYRAIFLPANRTIAVKCLDLDRINSNLDDVRREAQIMKLIDHPNVIKAYCSFVVDHNLWVIMPFMAEGSCLHLMKVAHPDGLEEPVISSILKETLKALAYLHGQGHIHRDVKAGNILIDSPGVVKLGDFGVSACLFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNTKAPQLTVKSILSDLPPLWDRVKALQQKDAAHLASSEQEALSMSEYQRGVSAWHFDIEDLKAQALLINDDDPPEWKEDDDSVRINEVDKGTSFESHFGQSTLLNGNNHSERTCTTAVNPGGNGPETSDEFASDLGNADSPRMVDGYIKQGTENDSLSSTSKQGSEGGNRRSEVRQRQRTFSGPVMYSGARSSSLIERGYIIDKDAGAQSPNKQKSDTGRIDDLSGPLSLSTRASANSLSAPIRSSGGYVGSLGDKPRVEIKGRFSVTSENVDLAKVQEIPVVKISHKPQEVRTQVSTMKRSASVGAWPVKAKSMSNSHHRKEFRDSSVSASILIPHLENLVQQTTFQQDIITNLMSNLQQNEKPNGPQTRVQTMEGDTGVETGSAERERKLLAKVFELQSRMISLTDELIASKLKHVELQEELNTLYCQEETVDSGEA >SECCE5Rv1G0341650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:626670067:626673714:-1 gene:SECCE5Rv1G0341650 transcript:SECCE5Rv1G0341650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKRGPWTAEEDRKLMAFILGNAGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTDDEERLVVDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPITHEPLEVRKQPQQASLSTSSAQSSTTTIECKSNNGQQCHQQDTHINGVKDASSVGGESSQPKLSGTNTDNTTSGSNGIHDQDPLVKWLLEEEDDLPTIGIVEEPWLDFTVENDVDKFNGIHSAMSWDIGVTDWLLDYQDFGVGDSSLLDDASMVDSSSGSNL >SECCE7Rv1G0481610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:285562777:285565115:1 gene:SECCE7Rv1G0481610 transcript:SECCE7Rv1G0481610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable enoyl-CoA hydratase 1, peroxisomal [Source:Projected from Arabidopsis thaliana (AT4G16210) UniProtKB/Swiss-Prot;Acc:Q6NL24] MGATSPDSGDLILVEPARPGSKVAVVTINRPDALNALTRSMMISLASAFRRLDADDGVAAVVLAGRGRSFCAGVDLTAAEEVFKGDVNDPAANTVAQMELCRKPIVGAVAGFAVTAGFEIALACDLLVAGRSAKFLDTHAKFGIFPSWGLSQKLSRLIGPNRAREVSLACMPITAEMAEKWGLANYIVEDSQVLSKAIEVAEAIARNNRNLVVLYKSVINDGLQLDMKHARALEKERAVNYYNGMTKEQFANMQKFIQGRSSKAPSKL >SECCE7Rv1G0519320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864413916:864414313:1 gene:SECCE7Rv1G0519320 transcript:SECCE7Rv1G0519320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCTKNIGKGLLAATKVLICLCLVTMLVLSSEEMKSDACDKDWSLTWDNSSCILRGTCNKPCRRENFERGICKKLFHCMCYRHCTTESI >SECCE6Rv1G0450620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:868883452:868885068:1 gene:SECCE6Rv1G0450620 transcript:SECCE6Rv1G0450620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPAVVCLLLVVLFFYAARVEARGTPSAKESSSKNQWTSMFVFGDDFADNGKLPKLPGGQPQSDLSRQWSYPYGSYIHSRGSAAAVPTGRFSNNRIQSDFIARILGMNAAPPAYMHTLDQSCDPTGMTFASGGAGVFQKKVPTLAAQVKSFTRLIKSGVISKEQLRNSIALVAISGNDYMSGADVMNSFLSSFDDIDTYIGNVTTEIVKNVVQLQKLGVKKVLVNNMHPIGCTPMRTSSNNYTACDLLGNYATSVHNKNLKELMGKKNNAYMLDLYAAFTDIINHAPSEGSDQSNKFNNNLAPCCEGFYDTGFCGQQDDTGEPLYELCENPDQRFYWDEVHPTHAGWKAVMKALEQPLKEFLDRDYVP >SECCE4Rv1G0230910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:174146247:174148740:-1 gene:SECCE4Rv1G0230910 transcript:SECCE4Rv1G0230910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGQTGGIFSIEAPLHVSNVQVLDPVTGKPCKTTYKYLPDGTKVRVSRGMYASGAVIPRPEILKERKKPRPTSHGPKDTPIEHVLEKTYDAKAGIGMPDL >SECCE7Rv1G0496870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:618232063:618233229:1 gene:SECCE7Rv1G0496870 transcript:SECCE7Rv1G0496870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSMRALLAVVAFAAVLRLGLVDANFADLCDITWEPQNAAMTDGGEHLTLSLVSNISGSMLRTKKTFIYGSISTLIKLVKGNSAGTVTTYYTSSVGDDHDEIDFEFLGNETGQPYTIHTNVFADGVGAKEVQFYPWFDPTDAFHNYTIFWNPSMIVWLVDSIPIRVFRNYASKGVPFPTKRPMYGFSSIWSADDWATQGGRVKTDWTKAPFVAEYDNMGLDVCECTGTDAECADACNKSTYAAPEPSQLTKEQMRKLRAVQLGYTIYDYCAKARDSGKGPVPPECDMEQY >SECCE1Rv1G0034840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:491636863:491637012:-1 gene:SECCE1Rv1G0034840 transcript:SECCE1Rv1G0034840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVCCSSESEEEAGFSFLGLLVAAVIALVFMLLCTPPKRRCVTIYPCC >SECCE2Rv1G0122140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:813312371:813313796:1 gene:SECCE2Rv1G0122140 transcript:SECCE2Rv1G0122140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRALAALLALVVLMVCSPAPRVLASDPSQLQDFCVADLMNPVIVNGFVCKNMKMVTANDFFKNGLNKPGVLNAQGSAVTAVTVRQLPGLNTLGISLARIDFGPNGGQNPPHTHPRGSEILTVITGQLLVGFVTSNQADGKNLLFTKQLVEGDVFVFPQGLIHFQVNNGKVPAVAIAALSSQDAGVITIANAVFGSTPPISDLILAKAFMTEKDTVDWIQAKFAPAMSGNSSMGGGGYMPPGGNSTGGGGGYYPGMRKQKP >SECCE3Rv1G0163530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:148822370:148824220:-1 gene:SECCE3Rv1G0163530 transcript:SECCE3Rv1G0163530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGVNGGVWVRAAVAVAAGGAIAARAVRRKSVDFTAVFAGVPAMVAHTVAGYRFAGLLLVFFFTASRVTRIGEARKRALDPEFKEGGQRNWKQVLSNSGIASILVVLIALITGGKDKCLDSKESGLVTALIGGVIGHYSCCNGDTWSSELGILSKSEPRIITTFKRVRKGTNGGVTIDGLLAAAAAGCSIGLAFVLIGFLTTQCASDVFWRQLLVIPLATAAGLCGSLIDSLLGATVQYSGYCSVRKKVVGVDGPTVTRISGMNILDNNGVNVVSIFLTSLLTALVCTCIF >SECCE4Rv1G0289020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:863191061:863191477:1 gene:SECCE4Rv1G0289020 transcript:SECCE4Rv1G0289020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVATEKTPAGKKPAAEKRAKTASKEGGGEKKGKKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE2Rv1G0074530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:77397913:77403242:1 gene:SECCE2Rv1G0074530 transcript:SECCE2Rv1G0074530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETEPRHPLLQAVLDGDLRLLKEMAGVVAADVGIWARALTVAVMEGRLDICRCLVEDHGVDVNKRTFTGDTPLAISATYGTPAITKYLLDRGADPTLAGALWPPLHAAASFGQCEVVEMLLSTGIDVDHFDSVYGTALHAAATNGQDGSMNILLQHHADPNKVFRLDSTPLRLAMISDSLECAKLLIKAGADVNKIDYTGVTYLMIAAGNGLPDILKCLLDAGANPDIDDGFDTTPIEIAALQSRWDMVDMLFPLTSPISRFPDWSVDGIISHVQSFGLKPRDLHLCEMKRAELKLQAAEAFKRKDYVIAGELYTCAMSFQPSQKGLANLLAHRSFCMLQAGIGKEALSDAARCTVLRPFWPKGYYRLGAAFMLLQDYGKAALTFTAGLKLDPTDADMANALREARETARNPPRTRGLECLHPFGMRRSWRD >SECCE2Rv1G0109930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:684705529:684709886:1 gene:SECCE2Rv1G0109930 transcript:SECCE2Rv1G0109930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQMAITVRRSTMVRPAVERPRERLWNSNLDLVVPRFHTPSVYFYRRPDAGADGFFDADRMRLALADALVPFYPMAGRLARDEDGRVEIDCSGEGVLFVEADAPGAAVDDYGDFAPTMDLKRLIPPVDYTDDISSFPLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGAKIAVMPFIDRTLVRARDPPTPSYPHIEYQPAPAMLSSVPQALSGKPTPPPTAVDIFKLTRSELGRLRSQLPTGEGAPKFSTYAVLAAHVWRCVSIARGLSPEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTSGVAEGAAVIQGALDRMTGDYCQSALDYLEMQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSATKDGSLSIAISLQAEHMEKFRKLILDV >SECCE1Rv1G0057980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:696424836:696426460:1 gene:SECCE1Rv1G0057980 transcript:SECCE1Rv1G0057980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRSAARLLGSAPSPAPPQSDLPLPPPPPCPPPPVQAVEQPCSSHAGGGGISAGGTSSAERCELSRSPWELMAQLDPSDPKEVEHFMGKYFVSVPCRKSWLFQTSILAACIKGEEDEEGKEEDVAGEMADDVFAKRRHKVAKKKRTVMENRGGEKNAWGLTKTTVVQSDDDDFVADGGGQLWVCKKNDGKRRFCRRPVSQPDSFCVYHSDPKSALPPASSAASKPSSSAKPRKRRRVDAGEGYLYYAGFGPSLSKRQRLSSNVLESLPDEQKEEALPEEHTAGPAQTDDADHQAASAHVDEPRCDEMAGIAGGDEESSDDALGCNDEPRVVGVNGNIKRKSPFKKRWRKPVKARSLKSLMC >SECCE5Rv1G0354490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:723911830:723912579:-1 gene:SECCE5Rv1G0354490 transcript:SECCE5Rv1G0354490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEQAFMHCDKDTLKMAMLKHEETFRQQVHDLHRLYRIQKLLMRDLKREIKSQQSGLSASPNGSPGAEYDHHRRASALDACSYEQQWQYGATRRGRHAAATPRAAQAQLSPEATDDEEAELELTLALGSGGKKRYSDGHCSPGESFSSSTTESDTLTGGQDWQQAQAQQQLVGTGAGAGSPYHKRRPARFGAEQAEDGGVQQPSPLLFHWLSLRMA >SECCE4Rv1G0273820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:779973828:779977527:-1 gene:SECCE4Rv1G0273820 transcript:SECCE4Rv1G0273820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGLLGVRPAPASGRLESQAGASSPAAVSWGWRVRPCSLRTVPPPGGAGSGGVRAARPPRAAMGGGDLYALDFDGVFCDSCGESSLSAVKAAKVRWPWVFEQVDAAMEEWIVEQMHTLRPVIETGYENLLLVRLLVEIQIPSVRKSSVADGLSIQEILENWSKLLPILMDEWQEDRESLVDLFGRVRDDWLENDLSGWIGANRFYPGTADALKLSSSELYIVTTKQSRFTGALLKELAGVDFPSERIYGLGTGPKVKVLQQLQEMPQHQGLTLHFVEDRLATLKNVIKEPALDKWNLYLVKWGYNTQKEREEAGAIPRIQLIDLPDFSKQLK >SECCE1Rv1G0046860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:619914329:619916731:1 gene:SECCE1Rv1G0046860 transcript:SECCE1Rv1G0046860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLRPSLSVLLRRSRTPSPLRRPPTFAPSPLLVLPKRRHLSAAQLADPLSAAGVEEAVLGFVAGKRKATEVAHAVWRSIVQKGDTVVDATCGNGNDTFALLKMVSDDTGRGRVYGMDIQDSAIDSTSSFLKMAVDSREMELVKLFTMCHSRMEDIVPKDSPVRLVAFNLGYLPGGDKTIITVPETTELALQAASRIVSSGGLISVLVYIGHLGGRDELDIVESFASSLPVDTWVSCKFEMINRPVAPVLVLLHKK >SECCE2Rv1G0073690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:70280515:70282084:-1 gene:SECCE2Rv1G0073690 transcript:SECCE2Rv1G0073690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKGTLQAMRGWVVDHKLRAVGTLWLTGIAGSIAYNWSRPGMKTSVKLIHARLHAQALTLAALGCSALVEYYDHQSGSGSKVHDYAKQFLPSDRNAKKDSV >SECCE3Rv1G0196770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:812030005:812031884:-1 gene:SECCE3Rv1G0196770 transcript:SECCE3Rv1G0196770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTGGVARAPSRAAAAPSKLPNASEPKKRKISAKKKKADGSGSSKVKKKLAGRRTAPASTEAPARSLVESAADAHHVFDEMPPSLNDDAYMSTMGVGSNNSHWSQTNDIHLDDHEFEVDEEGEGIVEASKGRAGNYTTNDDKLLCNTWLQVSRDPSVGGDQSRDAYWGRMKEHFDAHNVGGIDRSERSLRSRWSTINSDCQKWAAAQKAVDKLNPSGTNEDDRYNIAQNLFKEETRTTKKGKIKKGKIFTLPHCYEVLKDDEKWKKREDLDDLHLSNKRKRTIELNDDDEEEDASSEDGKRSPTPNSVSYSKPKRPDGCKKDKTEKKKRKGDDELTNAMEAIVKARKEANEVRKMARNQDAAAEERRLAAEERRVAAEERKVALEERKVGMEERAKLLEWEKHLFFLDTSLFNDAQMEYVNLAREEVLIQKRAMIRTMVGGGLGGMGGGGLGGMGGMGLGTMGGLGGFGAMGGIGAPPAAMEGMGGFRAPSNAMGGMGGFGAPSNAMGGMGGMSFASLMGGMGAPPAAMGGMGAPPAMSGMSFDVPHTHTHENAVEELAKTVGATRDAVRD >SECCE7Rv1G0470980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:125815151:125816536:-1 gene:SECCE7Rv1G0470980 transcript:SECCE7Rv1G0470980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKHSAEMSRHLDKQNHALMETYRAMSHELHKLQVEEETIMRKLYELMSAEGLLPKHKEKRQPERAGESSQEDEEQEP >SECCE3Rv1G0160740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:107768819:107769340:1 gene:SECCE3Rv1G0160740 transcript:SECCE3Rv1G0160740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAAGMRKRKRGGEVAVLVVPGGVAGLVEGGGGFSWKEAEVEMEEGEEYEGIAEESVEEVMRWLELEISSSPGKGGDDGFVTINGNEESCGPSFSAAASTVMASVDTRAGAPPPPTAIPWPWPEPDDGTKAAAASATDGGDDDDADMADLVDEEWLVELLTGGGPALEVE >SECCE5Rv1G0355950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:735423747:735425543:-1 gene:SECCE5Rv1G0355950 transcript:SECCE5Rv1G0355950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRRPRDLLLLAAALLLSASCAAAADFEYCNKGRHYPVKVSGVEIVPDPVVRGEPATFKISASTDKTITKGKLVVDVWYFFFHVDSETHDLCAGTPCPATGEFVLTSEQTLPSYTPPGSYSLQMKLLGDKNEELTCISFGFSIGFVAPVAII >SECCE6Rv1G0404950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:524858858:524859847:-1 gene:SECCE6Rv1G0404950 transcript:SECCE6Rv1G0404950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVREPMVMYDGGFDAEASVFDALGYGGHDALLGGVDAAALLGGYGYATDEPAGSASAYVPGSASWAGAGASVLAFDRAARGHAAQAVAEEEADCDAWMDVMDVDQGHQHAAPASTIGFDPATGCFSLTQSSGGARRPFGLLFPSTSNGTPDAAAPARGSSKRSYAARVQDAHPRAAKKPCGASRKTSKAKPAAPTTTSPKGDPQSLAAKNRREKISERLRTLQELVPNGTKVDMVTMLEKAVGYVKFLQLQVKVLATDEFWPAQEGVAPEISQVKEALDAILSSQRGQLS >SECCE4Rv1G0220100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:35212486:35214479:1 gene:SECCE4Rv1G0220100 transcript:SECCE4Rv1G0220100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGMLGKAALAVLLLLAAGLAAGTRPPPPVSDDTLEKVAGSLEMYVDRLPQMPKLHGYSVEHGRATPVHLAVGIYRKKWKFHRDLPATTVFVFGESAASATFPGPTIEALQGVPLSVTWENHLPEHHILPWDPTVPVAIPRHGGVPTVVHLHGGVHPPQSDGSAFAWFTAGFRETGAKWTTPTYVYPNVQSPGVLWYHDHALGLTRANLLAGLLGAYVIRNPAVEGPLGLPCGDEFDRVLVLADRSFYADGSLYMNSTGDNPRIHPQWQPEYFGDAITVNGKAWPFLPVARRRYRFRIINASNARYFNLSLSNGRPFHVVGSDASYLPRPVAAAHVLVGVSESFDVVVDFSDDESSNGPGVEVELVNTAPYPFPNGKEPGRFSGKVMKFIVEVGPANTGDDSGRVPARLLEYHVKATEEEAARRRYIVMYEYDDGATGGPTHLYINGKRLEDPATETPRVGATEVWEVVNLTPDDHPLHLHLATFQAVRARGLVRLDEFRRCMAGLNDAARCNVSRHAAGDAVAVPEHERTWKNVGKMAPGHVTTVVVKFLMVDTGEAYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIR >SECCE7Rv1G0507750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:764769861:764773670:-1 gene:SECCE7Rv1G0507750 transcript:SECCE7Rv1G0507750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSVGTGVMKPLLSKIYNLLKEEHSKFKGVRRQIEETKDEMSCMEAALEVLADAEQLDAEMKAWKDDVRELSYDMEDCVDDFIARVDHDRGRSTGLKGFFDKLKKLKPRHEIAGEIERLKARAMEASKRHKRYKLDRQSPGSTTTCDIDPRLHALYVEVGELVGIKGPMEHILEWFNNEASSTRLRVVSIVGPGGLGKTTLANAVFESIRDQFSCAALVSVSRKPNMKNILRDIAERVKVQDYTSNDDERQLIDKLREHLRNKKYLIVIDDIWDTEAWKTISHALLNNNNGSRIITTTRNSTVASFCSCQGDYVYRLEPLNFADSRRLFLERAFRYECLCPPHLNKILERILEKCAGLPLAIITMSGLLADQTAEEEWNRALAAIGSSLAKEPDAGDMTKILSLSYFDLPHPMRTCLLYLSAFPEDYIIEKHSLIYKWIAEGFVCEVRGRSTYEVGECYFNDFINRSLIQPAKLMDNGQVVACRVHDIILDFITCMAKEENFMTSFGDAEQGKKHKVRRLSVTSRKYEMATMSMSSWDLSHVRSLATFGSFGQNSLVDFPALRVLDLGECEDLQSHHLETIEKLLLLKYLRLRIVEIPGGIGKLKYLETLDMRGMRITKLPSTMIRLQRLTRLYADLDPSCLSDGIIGQLQSLEELENVFVPDAELERFLQELGQLSKLRKLSVSVTEAFESKEKEDAVRFIGTLISSYNIHLLRITYGPNVPFDPMPDLLFLSLESWRPANPAAFRKIWFANCYIDKVPSWMVSLVNLRNLDIFMYRTGPQDVAVLGGIPALAFLTLETWYGRNGRIFIRGFTSLKYFKLEVKCCGTAVEFDEGSMPMVEHLQLGLKGHNMECINYATDFGIQHLSTLTKVDINIDGNNEIIRRLVETALGKLRYRLTLSSRGEGCDHFEELFTELYFRKAESSVQQKREGAFCSFLDRVFEEINQDAFSRLMALDVQRTHHVRSRSI >SECCE2Rv1G0107640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:651117514:651121346:1 gene:SECCE2Rv1G0107640 transcript:SECCE2Rv1G0107640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPWGGVGAWALDAEREDEEREHAAAFPTPEPPAAAGGAASFPSLKEAVVAGGGKQKKKKGTTLSLSEFTTYGAGGAPRRTAPAEPKGLTPQEMMMLPTGPRERSEEEQDRSRGFRSYGGDREQRGGGFDDERRSSRDSDLDMPSRADESDNWGKNKSFSPAPTDSGRRDRLSSPSPLGRSDDIDNWSRDKKPLPSRYPSLGTGGGFRESSGGGFRESSGGGFRESSGGGFRESSGGSFRDSPGPSDSDRWVRGALPAPMTNNGDRPRLNLNPPKRDPTATAVPAAEVARSRPSPFGAAKPREEVLAEKGLDWRKMEGDIEKKTSRPTSSHSSRPNSAHSSRPGSPGSQVSAVGSEGAPKARPKVNPFGDAKPREVVLQEKGKDWRKIDLELEHRAVNRPESEEEKNLKEEINLLKVDLKEIETIAGDGSDQAKEVSEKISQMEKQLDLLTVELDDKIRFGQRPSSGAGRAAAFPPASEEPHVAVAHMDRPRSRGGVETYPKPVEERWGFHGSRERGSFGGGGSSDRSSSTRQGW >SECCE1Rv1G0038250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:536687282:536693013:-1 gene:SECCE1Rv1G0038250 transcript:SECCE1Rv1G0038250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAALLLLVPFFLAGFLYLGKKDGGDDARRLPPAPRGLPVIGNLHQVGALPHRALRALAAAHGAPHLMRLRLGQVPALVASSPAAAAELMRAHDHAFATRPYFRTAEILTYGFRDLVFAPHGEHWRHVRRLCSEHVLSAARSHRHGAMREREVAALVQAVADHASSSSSAPAVVDVSGALYRFANDVICRVVSGRLSREGEGRSELFRELIEENTALLGGFCVGDYFPALAWADALLSGGGARAWRNFRRWDELLEKVVVEHEGERSGAGMEEDFVDVLLALRGDGHGQDGFELSREIIKSLLADMFAAGTETTYIALEWAMSELMKNPAAMRKLQQEVRGAAPADGIAKADALGAATPYLKAVVKETLRLHPPVPLLLPRECTQDATVLGYHVADGTRVFVNAWAINRDPGSWHAPEEFLPERFLESEVDFRGGHFQFIPFGAGRRICPGMQFGLVTVELALANLVRLFDWELPDGMAPEELDMSDAPGLTTPRRVGLRLVARPVQVGS >SECCE4Rv1G0262550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:708327049:708327372:1 gene:SECCE4Rv1G0262550 transcript:SECCE4Rv1G0262550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSTSSKMAKRKKPAPKLDTTFCCPFCNHPDSVACTIDLKLLVASAVCCICEEAYHTTAHYLTEPVDIYHDWIDACEKANQEVDVRDYHKRQRRVGSDDDDSDA >SECCE3Rv1G0206030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:904334457:904334819:1 gene:SECCE3Rv1G0206030 transcript:SECCE3Rv1G0206030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAHTLLLAVAFLVLASDAAVKADICSGSLHQTPVPCDLPGCLHICRENVNGNGHACPQCSWNASCTVDGLCACDVCQPPLSAPIQQ >SECCE3Rv1G0160660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:106591307:106592242:-1 gene:SECCE3Rv1G0160660 transcript:SECCE3Rv1G0160660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHEPKWWLGEPLWVTAADQGVQASTFFWPGSEVKKGSWDCPDKYCRQYNGSVPFEDRVDAILGYFDLPADEMPQLLTLYFEEPDHQGHQVGPDDPAITDAVTHIDEMIGRLIAGLEAREVFEDVNIILVGDHGMVGTCDQKLVFLEELAPWIEVKADWVLSRTPLLAIRPPDGVSPAEVVAKMNEGLSSGKVKNGQYLKMYLKEDLPSRLHYSESYRIPPIIGLVAEGYKVEMKVSKKNECGGAHGYDNAFFSMRTIFIAHGPRFEEGKIVPSFLNVEIYNVIAAILSLKPAPNNGSSSFPGTVLLRSE >SECCE3Rv1G0184470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:663629507:663630103:-1 gene:SECCE3Rv1G0184470 transcript:SECCE3Rv1G0184470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDHGGGAPRDDGSDDDCGCGSWTIALLLYTSFWLVMMYLPPMDSLPGIRELDGSPPCNDDKPTACSVELAGVRGLEPALAPGATSPAFDLVVHVDNGHVYELCHGGGDVVVSYAGVPLARGRTPSFRLAAKDTGRWAVNVTGVGLGIPADLSRLMTAERRWGVAQLEVDMGLAWQSFTCDVLVDGQPAASACRLA >SECCE6Rv1G0405510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:532411597:532412718:-1 gene:SECCE6Rv1G0405510 transcript:SECCE6Rv1G0405510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKKGGRNYLTWTDEMDEAMLNVFVEHYNRGDRAQNGWKPPFYTTVLNNVRAKCNVDITKENVISSGFGWDWTHNKLMVDSEDVWSNYVKANKDAACYTHKVIKFWDSISLVFSKDHATGTGARTATESAAEMAAENVNNINTESAATSSTQTGEEQKRKRYRSDDSIASMLGEKLDNFTSAYKADIAQVVPPEKPSSPEEILDALNAFAGLDDDDLLAAYDILIADDRKFKALMALPERMKKKWILKQINQ >SECCE2Rv1G0137600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918587554:918587894:-1 gene:SECCE2Rv1G0137600 transcript:SECCE2Rv1G0137600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMLSCDAVPKEYCSGLEDGCTKSKCRDWCTGMGYTMGSHCQEAGVCCCLTAAKPKADVVRRGD >SECCE3Rv1G0171390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:270361600:270364581:-1 gene:SECCE3Rv1G0171390 transcript:SECCE3Rv1G0171390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLAAANCTGAFSSNHPKKFLKSRRSSVQMPACRSGPDAPLAVSSAAAPEEPRPVRLGIMGCGSIARKVARSMLLVPAADVAAVASRSEGKARLFAADNGLRSGTRIHGSYQALLDDPDIDAVYMPLPTSLHIKWATAAAERGKHLLLEKPTAPCATDLEPILAACEANGVQFMDSTMWMHHPRTARMRQLVADQDTVGDVRFINTMVSFLANEEFLQNDIRVKPDLDGLGVLGDIGWYCIRGILWAVDYELPKNVVALRQPVKNQAGVLLACGASLYWADGKVATFHCSFLTNLTMDLTVVGTNGTIHVTDLVIPYEEKSAPFSVASKTNFAELSTGWDPHPSKHVVTTELPQEALMVQEFCRLVQGIRDAGVKPEGKWPGITRKTQIVVDAVKASIDNGFESVDVAS >SECCE6Rv1G0377600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:2735470:2736354:1 gene:SECCE6Rv1G0377600 transcript:SECCE6Rv1G0377600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQGKQSREAHGNNDISGAKDYLDPPAVRLFDAGELGQWSLYRAIIAEFTASLLFVYVSVATVIGHKRQADAEPCSGAGVLGIAWAFGGTIAVLVYCTAGISGGHVNPAVTFGLLLARKLSLPRAFLYTSAQCLGAICGAAMVRAVHGARYYELYGGGANEVAPGYSKAGGLLAEAAGTFVLVYTVFSATDPKRMARDTHVPVLAPLLIGFAVVVAHLATIPVTGTGINPARSLGAAVVYNNSKAWSEQWIFWVGPFSGAAVAMAYHQYILRGGAASKPHFNFDNGFRRLGC >SECCE2Rv1G0099180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:511773320:511788839:1 gene:SECCE2Rv1G0099180 transcript:SECCE2Rv1G0099180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEADADEQLQRRLRRVFTAEERSFRMDRRSPAASDLRSAVADVLPRFLGNYSDETLAEYIVILVCNGKHQYQARDDLEAFLGDDSAKFVAWLWGYLSKTAVALADDSGVQHRLENESQDRNDKKNLLVTKTQPGEAHIVNSNIFVPQEHNGVHKLDLTKGQNVAHRRISSTVIISPKMLAGDECYLEGQHQKKDWSSTGGRSSSMRQSCVVSKTEHTLMQEEPHDEHLGGNSSTRRLPVAVGTDDGEVPESMRRPRNVWDRLGKPAMEDQGLATEADNMHVENIMHKKAKLMVSEHERRCRVNSSTEGDLFDRANSGNVSSSYPDVNTAHAHEPREKSNRSRLTGRLNFGDVERNRHQIRDVISQKSTSTLPVKSFGSQSLNEFTSEVKSSPASASEPARHANSLKGHVSASDKLSQLNMRLNSGTDVLQSQQISSPAQSKSGSSVREDSGNYSNKPVKDEMLDVKLKLKQVELDMLKLRSKQAQINNGKQGALPSGPHSNLEEDADARTVLVTNVHFAATKEALSTHFMKCGTVLKLNMLTDAITGHPKGAAFVTFADKESIGRALSLSGTSFYSRVLTVMRKAEAPPGFLASIQQIGRPLPWNSPPFPKGVSPKKSSGHHLQWKREQPAMENSPANCPTD >SECCE2Rv1G0112390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:708908338:708911863:-1 gene:SECCE2Rv1G0112390 transcript:SECCE2Rv1G0112390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAAAAAAAAAAAAHDADAVETGNLLRRRNVGSSADGEEAAEEELSVERAFLEKPVPTWREQLTVRAFVVGFLVSIMFSIIVMKLNLTTGIIPSLNVSASLLGFFLVRLWTSAIERMGFLKQPFTRQENTVIQTCVVSAYGIAFSGGFGTYLFALSETIAKQATEANDAMNIKNPHLGWIIGFLFLVSFVGLFALVPMRKTMIVDYKLTYPSGTATAYLINGFHTPQGAELAKKQVRTLGKYFSMSFVWAFFQWFYTAGSDCGFSSFPSLGLEAYKNRFYFDFSATYVGVGMICPYIVNVSLLIGGVLSWGIMWPLISSKKGSWYPDSLPESSLHGLQGYRVFITIAVILGDGLYNFLKVFGKTVMAIYQMYKEKSKSLPVSNNGAPVAAVEAESFDEKRRNELFLKDQIPKRVAIGGYVIIAGITTGCLPLIIPQLKWYHILVAYVFAPILAFCNAYGCGLTDWSLASTYGKLGIFVFGAWAGASHGGVLVGLAACGVMMNIVGTAADLMQDFKTGYLTLASPRSMFVSQVAGTAMGCVIAPCVFWLFYKSFDIGVSDSAYPAPYAIMYRNMAILGVDGLSVLPKNCLLLCYIFFAAAFAVNLLKDCVPAKVAKFIPIPMAIAIPFYLGPYFAMDMCIGSVILFCWEWMNKAEAQAFAPAVASGLMCGDGIWALPQAFLSLGNVNPPICMKFLSRATNAKVDAFLGN >SECCE5Rv1G0357930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:750906108:750906596:-1 gene:SECCE5Rv1G0357930 transcript:SECCE5Rv1G0357930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASATTQKRKCPDEETAGMCANGCGFFGAAATGNMCSKCYLDHVIIGTANTVAASTGPPEKKAKMIVAVPSSDGAAASSTAAAVDSSMTSVKQPPVAANRCATCHKKVGLLGFRCRCEGTFCSVHRYSEKHDCGFDYKTAGQEQIAKHNPVVVADKISRRI >SECCE5Rv1G0359630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:763170959:763175222:1 gene:SECCE5Rv1G0359630 transcript:SECCE5Rv1G0359630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAISMEAIDARARELGIDLDSVDVDSITLPPGEDFDILSDDEDLLQNEDIPELEMGLSNIIVVDNLPVVPPEKYEKLENVVRKIYSQIGVIKEGGLWMPTHPETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNMFDDFEKYMKVPDEWAPAEIKPYTPGENLLKWLTDDKARDQFVIRAGTFTEVYWNDARRAMPELVYQKQYWTDSYIQWSPLGTHLATVHRQGAQVWGGDDKFVRLMRFAHPQVKLIDFSPGEKYLITYSSQEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADDFTTGGNMGVSGVSWPIFRWGGGRDDKYFARLGKNVISVYETETFSLIDKKSLKVENVVDFSWSPTDPIISLFVPELGGGNQPARVSLVQIPGKEEIRQKNLFSVSDCKMYWQNNGEYLAVQVDRYTKTKKSIYTGFELFRIKERDIPIEVFELENKNDKIIAFAWEPKGHRFAVIHGDGPKPDISFYTMKAVNNNVSRVSKLTTLKGKQANALFWSPAGRFIVLAGLKGFNGQLEFFNVDDLETMATGEHFMATDIMWDPTGRFLATAVTSVHEMENGFQIWSFNGKLIYKISKDHFYQFQWRPRPPSLLTPEKEEDISKNLKRYSKKYEQEDLDVSNQVGEQERKRRTQLQEEWQGWVAKWKQLHEEERSYRMELRGGEESDKEEEAEYKEIEAEELVDVTEEIVAFDLDQE >SECCE5Rv1G0361790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:781019657:781023312:-1 gene:SECCE5Rv1G0361790 transcript:SECCE5Rv1G0361790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSVANAEESAPATGAAPAPVANHQNSRPTRSSYVPPHLRGGGRSPENQVPAAAPTPAGAYPPGAVQPAGGYAAAVGGTRWAAPPGGASAGVGVVRQGGGGRGAVGGGGGGWNSRPGGGWGDRRDREPNPFGDSEPVAVDVDFESQQNTGINFDAYEDIPVETSGHDVPPAVNTFAEIDLGDALNENIRRCKYVRPTPVQRHAIPIVIGGRDLMACAQTGSGKTAAFCFPIISGILKSRPPQRPRARTAFPLALILSPTRELSVQIHEEAKKFAYQTGVKACVAYGGAPIHQQLRELERGVDILVATPGRLMDLLERARVSLQMVNYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRLASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLIHAQKANDVPGKNSLTLVFVETKRGADALENWLYTNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPDVAHVINFDLPNDIDDYVHRIGRTGRAGKSGVATAFFNEGNMSLARPLCELMQEANQEVPQWLERYSARSSFGGGGGGRNRRSGGGGGARFGGRDFRRDTRGGGGGGGGGYGGGGGGGYSGGGGYGGGASSSWD >SECCE5Rv1G0311190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:188414095:188417176:-1 gene:SECCE5Rv1G0311190 transcript:SECCE5Rv1G0311190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTSSPAVAVASAAVVALAVANRVLYKLALVPLKSYPFFLAQLTTFGYVAVYFSILYARYRAGVVTRDMLALPKIRFAVIGLLEALGVAAGMSAGAMLPGPAIPILSQSFLVWQLIFSVLLLGKTYSLRQIIGCLLVTSGVILAVASGANDGHLLSGVKLIWPLLMVISSAFQAGASILKESVFVDGAKRLKGKWPDIFVVNSFGSGFQALFIFLLLPLLSNLRGIKLAELSGHLNGGAECFLNVGESPIDCGGAPFLPLLFIFVNMAFNISLLNLVKMSSAVVASLTATSAVPISIYILSLPLPYIPQGAELSASFILGGMVLLTGLILYNLPQSSKESKTD >SECCE3Rv1G0173170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:319204253:319205566:-1 gene:SECCE3Rv1G0173170 transcript:SECCE3Rv1G0173170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTARGSPRLSLLKKAVERDIASPPTGSLTKRRRGSPKEDRAQWNASLEKYLVDLLCEHDTPEHKGQNGWSSEAWNTIVKKFHQKNPYARYEKKKIQEKEKELKRQYKMIKEIRKQSGVLWDDRQCKILADPPLWKNIIISHPKAGKFKTKSFPLFEALGELHDGQTAEGTYNFTSIESSHSSTQSQLKNLGGAGENQGEASAAGENLGGERVQIGEDVEEVYVQENITAEPQQTQPNLATAPSRNGEEKEPKRRRGPNGDVAAMMEKYFEIREKQVEDERNKPRVVDEYSIKNCIDLLKTMAITPEEEVKAFRVFKIPENREIFMSARPETALMWLRAEMK >SECCE5Rv1G0369610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:836551280:836553295:-1 gene:SECCE5Rv1G0369610 transcript:SECCE5Rv1G0369610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGIPCRPLLLLLAAVLALLLSTASCADLYAVVYKGCANQTFAGGAPPPTVAALSSALAAQSASAKFYKTSSASASSAAASVFGLFQCRGDLSGPDCSSCVSRAMSSWRDLCGGAVAARVQLNGCLALYEISGFPQVPGVQMLFKTCGSGGAAGAPDFETRRGTAFSQLEGGAGTSAGGFYATSYQQVYALAQCEGDLSNVDCSNCVTQAVQRVAVECGGAPSGQVYLDKCYITYSYYPHGVPHGGGGGLGGQQTAKTVAIVLGGALALGFLVICLLFARSLVKKKDDY >SECCEUnv1G0528950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6238354:6239718:1 gene:SECCEUnv1G0528950 transcript:SECCEUnv1G0528950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANCEKSGKRKRDSLPSPPQTIIVFSPELLPEELVWEILIRQPVKSLARFKLVSKAWHAIISDPLFVHAHLHRSKQHRQCRGPSSFLIAPHIYRQTPDVSINVRFYHWSLPLQEQQEQQQQQRSSFGRRMRSYASTESADPTATLLYERHFPTKEFKVALRMAHCDGLVLLPTNTGLYVFNPATRDAVALPKSHRNKMGHLNGLPVGFGLDPSTCKYKVARSFYRGCEDNGHVAMGMEVFTINGGGQDEWRVTLVDPPALISCPQTATHCKGYLFYFIDKANHPCPPRALLRFSLQHETFGFTRLLDTLYPQVQDKDIILHELDGELCATFLVRCLQRMVVCMTRDVLDPRWSLYYTINVSSLCHPVTYATGVLLACNRQECRYYLQAHGAFEDIFDIDDLKFLEPNGEVTLGHAWRKRCRFDLVSYTESLVPVTPLKPISLHALGGSRPPC >SECCE6Rv1G0388030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:127393613:127398272:-1 gene:SECCE6Rv1G0388030 transcript:SECCE6Rv1G0388030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAAAVAALGVVAVLLTAAAAKVCTNTFPTSDSVATHAERAAAQLRLPAEPAERGHGHGHGHEQHLTPTDESAWMELMPRRLLGGGSGSTPPREAFDWLMLYRKLRGGATALDGPAGPFLSEASLHDVRLQPGTVYWQAQQTNLEYLLLLDADRLLWSFRTQAGLAATGTPYSGWEGPNVELRGHFVGHYLSATAKMWASTHNNTLRAKMSSVVDVLSDCQKKMGTGYLSAFPSEFFDRAEALTTVWAPYYTVHKIMQGLLDQYTVAGNSKALEMVVGMANYFSYRVKNVIQKYSIERHWASLNEETGGMNDVLYQLYTITDDLKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVVGAQMRYEVTGDILYKQIATSFMDMINSSHSYATGGTSAGEFWADPKRLAATLSTENAESCTTYNMLKVSRNLFRWTKEIAYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGRSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGETPALSIIQYIPSTFNWKTAGVTVTQQVEPLSSSDMNFRVSVSVSGKTNGQSATLNVRIPSWTSASGAKATLNDKDLGSVTPGSLLSVTKQWDSNDHLSLQFPIALRTEAIKDDRPEYASLQAILFGPFVLAGLSSGDWDAKTGSAVSDWITAVPSTHNSQLTTFTQESSGKTFVLSSSNGSLTMQERPAIDGTDTAVHATFRVHPQDAARLHGTHGAALKDISVQIEPFDMPGTVITNDLTLSAQKNTDSFFNIVPGLDGKPNSVSLELGTKPGCFLVSGADYSAGTKIQVSCKSSVQSIGGILEQAASFAQAAPLRQYHPISFVAKGVKRNFLLEPLYSLRDEYYTVYFNLGA >SECCE5Rv1G0304630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:60070268:60070753:1 gene:SECCE5Rv1G0304630 transcript:SECCE5Rv1G0304630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAAISSEQMSEFREAFAFFDKDGDGCITAEELSTVIRSLGQSPTPEELRDMVRDVDADGNGTIEFAEFLALMSRKADADADASDPEEELREAFKVFDKDHDGHISKAELRHVMISLGEKLTDEEVEEMIQEADLDGDGLVNFDEFVRMMMLSDQQQH >SECCE6Rv1G0403350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:501950993:501951783:1 gene:SECCE6Rv1G0403350 transcript:SECCE6Rv1G0403350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVAKDVTTEPELLLERSRAITVHGSDKVGRAVVRIVGKYFPARALGGRAEEAVKVHLRKRVLPEVGEREFVVVYMHSLVDRGDNFPGLGAIRAAYEDLPAVAKERLRAVYFVHPGIQARLFFATFGRFLFSSGLYEKLRYMSRLEYLWAHMDKGELEVPECARRHDDELERRPLMDYGIEAADRCCMFDAASMDTPASLRSLRCS >SECCE7Rv1G0478040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:230775670:230777641:-1 gene:SECCE7Rv1G0478040 transcript:SECCE7Rv1G0478040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLDMSLDDLIKSNKKGNSSSGGGGRRREGRRGSAAGGSGAAAAAAGGVGPNRRAFKRSGNRAAPYQPPKAPESAWQHDMYSDASARGGGGGRVSAIETGTKLLITNLDFGVSTEDLKELFSELGDVKRCLIHYDRSGRSKGTAEVIFARRGDAVAALRKYNNVQLDGKPMKIEILGTNTPTAPAALPTNNGSYARNVAKSAPRGVSASLPQNRPRARGGRGRRGGGGGSGSGGRRGKERSQPRSAEELDAELEKYHAQGTTPMQTAE >SECCE2Rv1G0110610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:692224444:692225397:1 gene:SECCE2Rv1G0110610 transcript:SECCE2Rv1G0110610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAIRKRPAAGQEPRVHGGKKPRYAFGSISDYKKIEVLGEGTYGEVFKARDRRTGKKVAVKWVRGNGAGGHGPPDIRAITREAGCLGACRGHESIIQILDVATDAETGDVFLVMELVADGRTLRESLWRPVSEDVTRVMMEQLLDAAKKIHGAGIIHRDFKPENVMVGFFGGLKVGDFGSAMRAKPAGMPYEECCVGTLIYTSPEQLEGNQHYSQAVDMWALGCIMAEMLDGGTLFVAETEEELLAEIYKLRDQISSTGKLDLEFFEELSEAGREVLTGLLAFNPDKRITAAEALEHRWFSKPKGSEHPGFVSLKS >SECCE4Rv1G0227140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:117529741:117531162:1 gene:SECCE4Rv1G0227140 transcript:SECCE4Rv1G0227140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGSPYSFAVLERLLQDHFSAPRRLLQVHALLLISGALSTSHAAATFPYNCLIHAHLRLPASTVTPLCAPLRLFSAMLAAGARPNGHTFPSLLRSASASGPATTALHAQCLRRGLTADRFVACSLVSSYGRAGRLARDASKVFDEMASPDLASSNAMLDVLCLAGDMAVAREFFERMVVKDVVSWTTLISGLSRNGCHWDALEVFRGLLVDNKGRLGEATLVSVLSACANLDGAEGLAVGTAVHAYVVRHEVDLTAFLGTALIDMYGKYGKLDCCRRAFQIVCEKEVCTWNALLSALANHGKETEALVKFNMMIVGRFMPNQITFLALLTGCARAGLVEIGLYWFETMVTEHKVTPMMAHYGCVVDLLGRAGRFMEAIERIERMPFLPDASVWGALLGACKLHGNMELVAEIGRKLVALGPQQSDRYVTIRNIYLENGNWCAATRMGEVMHEAGIRKTAGQSSVVIHSSAIT >SECCE2Rv1G0129780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:870287037:870291486:-1 gene:SECCE2Rv1G0129780 transcript:SECCE2Rv1G0129780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPENMVTQLIQEEHRLKWIARSNHNVKCFTEHEIRRFTKNYKSVIGKGAFGEVYEGVLEDKSMVAVKRFICNVKENFAKELIVHREINHKNVVRLVGYCVDENALMVITEYIPKGNLSNILHQDITPIALDSRLRIAIECAEALGYMHSQMYTQVIHGDIKPANILLDDELRAKISDFGISRLVNTENTLYTLNVIGSIGYMDPLFAQNGRLTAKSDVYSFGVVLLELITRKKARTEDGEIGLVESFIQSLSKGLRKVREMFDPEIVTSSDMKTIEEIAKLAGKCLRMQHDKRPEMLEVAERLRKLRKAAHQVQEKPTLFPWGRKNKLALAKTPSLESSSSSQNGRTVAPAEKTPSQESSGITPKSRIVAQAKVAQPQKISRTQERGTFIVRSTVAGQSFELEDLLQGSAEVLGKGTVGTTYKTTLEGGYELVVKRLRGVDLPKADFEQHVTLIGINESKHIVPLRWYYYSTDEKMLVYDIIPMGSLAKALHGKRGSGRAPLDWEQRSAISLAAARGVAAIHLAGPTSCHGNIKSSNILLTGTHDACVSEHGLITLGVYSNASGYCAPEVTDNRSVSQKADVYSFGILLLELLTCKAPTNSRRYLEGVDLLQWVCSVAREEWTAEVFDVELIRREQKDGEEECMVRLLQLAINCCSQDANSRPTMPKVVQQIEQIGRESKLAPAKTPSLESSSSSQNVRTVAPTEKTPSQESSGSIPKTGIVAPDKAALSQVSRDSIQNVGTSIIRPTLTGQLFDLKDLLGASTEVLGKGTVGTTYKVTLDSGYELVVKWLKDVNMPKMEFELHATRTGAIQNKHIVPLLWHYHSEPDKILAYSFIPMGSLAKALHGDGVSGPAPLDWEQRSAISLSVARGMAAIHLSGPSSCHGNIRSSNILLTGTHDACVSEHDLITLLDMYSNASGYRAPELTSDRRVSQTADVYSFGILLLELLTRKAPANSIRDMEGVHLAQWVRALVHANWTVLVFDVELRGQGQKDRKQECMVRLMWLALNCCSQDANSRPTMPEVVQQIEEIRRESKLAPAKTPSLESSSSTPKTGIVAPAKPLSHLSTSSQESSGSTPKTRIVAPAKALSHLIIQNVGTSIVWSTMTGQWFDLKELLGASTEVLGKGTVGTTYRATLDSGYELVVKRLKDVDLGEAIFGRLVMLLGTIKNKHVAPLLWYYYSKDEKLLVYNVIPLGSLAKALHGDQGSGPAPLDWEQRLAISLAAARGVQAIHLVGPSSCHGNIKSSNILLTGTHDACVSEHGLITLGIYSNASGYRAPEVTRNRWVSQKSDVYSFGILLLELLTRKSPVAKRLQDEERVDFPRWVCSIIPEEWAAEIFDVELREQEQKDGEEECMVQLLQLGINCCSQDPGLRPTMSDVVQQIEEIQQS >SECCE6Rv1G0413590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:624758052:624758466:1 gene:SECCE6Rv1G0413590 transcript:SECCE6Rv1G0413590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALKLGNLLCFVLVMSLLFVPGYEAKTCTITSTTYPTSTCIRERCAEACHNEGYDKGACNIIGFRPILILCFCTKEC >SECCE1Rv1G0000550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2067023:2068309:1 gene:SECCE1Rv1G0000550 transcript:SECCE1Rv1G0000550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADADSSSSPPMNIVLFPWLAFGHMIPFLELAERVAARGHRVSFISTPRNISRLPPAANVRFVALQLPRVDGLPEGAEATTDLPPGKATELLMKASDGLVRQFSAFLDDTGDGKRPDWLVVDTFHYLAAAAAAERGVPSAMFLVFASASTALWGVPRVSTDVDTELGATVPQRFLLTYQTCKMVAQRCCMELDPEGVRLLPGVFGKTFVPVGLLPPPQRANVGGDELVSWLDGQPAKSVVYVALGSEAPLTAELVHELAIGLELAGTPFLLALRKLDGVPDQDILPPGFEERTKSRGLVAMGLVPQSRVLAHGSVGAFLTHSGPSSVIEGLQFGHPLVMLPFFGDQRTVAQFMEGKKVGMPVPRSGEDGSSFDRQGIASTIRAVVVEEPGRSLFAANAKKLQEIVVDTACHERCIDDFLHQLRFYED >SECCE3Rv1G0183230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:646603634:646604473:1 gene:SECCE3Rv1G0183230 transcript:SECCE3Rv1G0183230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEAAPCTCGLLYGSCGGGCSMLFAAAPGDHHYYSKQCGDDGSYASYGGSVDCTLSLGTPSTRRAEAGVRAPPAGLPWEAVPSCNGRQEIGPARADQSNAAAASARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPTALASDSGIEYAYGYARQQQQQQQQWGCYGPAVAKAASYGMFGDAAAEDGPCLPWGLGVMPSSPAFGSVREMTSLFQYY >SECCE7Rv1G0525070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:888380562:888382238:-1 gene:SECCE7Rv1G0525070 transcript:SECCE7Rv1G0525070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATGEETVRASHILIKHEGSRRKASWKDPEGRVISATTRADAAARLGELRAQILAGRGSFADLAAQHSDCSSARRGGDLGTFGRRQMQKPFEDATYALKVGEISDIIDTESGVHIILRTA >SECCE1Rv1G0052200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:659774491:659775126:1 gene:SECCE1Rv1G0052200 transcript:SECCE1Rv1G0052200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTTTIIFSTITIMIFSSATTAHTNYDIGGKPKRSHFIVEACKNASINSSEYRPITEEFCVSTLRRDKRSDEAKDLRDLALVGVDILKGHIIAASGKVKEMLHSAKNGTSTAHRLSLCEMDYDAAVTILNICNTMLKDYHGPGAEKYAPWSIYLPDCVDNASGFVSYCERAIVDMPGQEALYKENIELGKLGDLNAALMQPYWDLTQN >SECCE6Rv1G0421420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683825634:683826240:1 gene:SECCE6Rv1G0421420 transcript:SECCE6Rv1G0421420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTGVACPVYPWPSDAAQRGAKVFMQSDCAACHSALPYAGLRAAAALGQDAGAMTAEILVAEEARPAGVTTTPPLHGGAAAQTPDLATVVTRIQGGLRRNLYSTGTATGMLADGAAACQELKKSPIWLQFAQAFQAA >SECCE1Rv1G0045390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609577688:609578839:1 gene:SECCE1Rv1G0045390 transcript:SECCE1Rv1G0045390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRAATMVALLLAAVAATCARAQLHENFYSESCPTVEEVVRKEMVRALSLAPSLAGPLLRMHFHDCFVRGCDGSVLLDSANKTAEKDAQPNQTLRGFGFVDRVKAAVEKACPDTVSCADILTLIARDAVWLSKGPFWTVPLGRRDGSVSISNETDALPPPTANFTVLTQLFAVVNLDAKDLVVLSAGHTIGTSHCFSFSDRLYNFTGMENPSDIDPTLEPQYMMRLKSKCASLNDNTTLVEMDPGSFKTFDTDYFKLVSKRRGLFHSDGALLTDPFTRAYVQRHATGAFKEEFFADFAASMIKMGNANPLTGSQGEIRKKCSVVNH >SECCE4Rv1G0234970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:309405127:309408675:-1 gene:SECCE4Rv1G0234970 transcript:SECCE4Rv1G0234970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGGTGAITAITTVLGGAPGANILLPGPGFAPYEAACELVGAKPRTGPGFYDLLPRQGWEADLAGVRAMADGATAAIVVINPNNPCGAVYSTQHLLQIAETAKELGILVIADEVYAHMVFGAGKFVPMASYAHIATVITIGAISKRFMLPGWRLGWLAFCDPNGTIKHVRTATEMLLNVTSGPASIIQAAVPKILLDEHDEFHQNVVNLLESAADALYRRVNQIEALQCYSKPHGSMFMTVEINTSLLFGIADDMDFARELIKEESVLILPGSVLGLKNWVRIFFGAPVHLILEACDRTESFCQKRAGQAKPLKKKF >SECCE3Rv1G0202140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:870561728:870565860:1 gene:SECCE3Rv1G0202140 transcript:SECCE3Rv1G0202140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MAAAALLLLLLLWPAAVYAAGEGRRLHTLFSVECGDYFDWQAVGLLHSLRKAGQPGGVTRLLSCAPDQLPSYRGLRIGHTLQVPSYSRHPRTGDWYPAINKPAGVVHWLEHSPEADNVDWVVILDADQIVRGPIIPWELGAEKGKPVAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILIMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGTEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPFPREVEVMESDPNAKRALYLSIECINTLNEGLLLHHTSVGCPKPQWSKYLSFLKSKRFSELTKPKYWNSLKVENKLTVQHVALSKSRHPKIHTLFSTECSSYFDWQTVGLMHSFRISGQPGNITRLLSCTDEELKNYKGRDLAPTHYVPSMNRHPLTGDWYPAINKPAAVLHWINHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYDYLIGCDNILAKIHTRNPSACEKVGGVIIMHIDDLRRFAMLWLHKSEEVRADKDHYATNITGDIYASGWISEMYGYSFAAAELNLRHIIRSDILIYPGYVPLPGANYKVFHYGLRFGVGDWSFDKADWRNADIVNTCWAKFPEPPDPSAITKGDQNARERDLLSIECGKALNKALYLHHKRRNCPRLSTTIGSISKKIEEVLTSNKSERVTQRSSTTTIGRNVEHMDVTRQQAVERATDTGSRVHGSKRLARSSKVWIFAVWAISVVVFLLVISMFFSDRRRSVSRSRASRSQKAHNLTMKQTV >SECCE3Rv1G0189690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:729334172:729334807:1 gene:SECCE3Rv1G0189690 transcript:SECCE3Rv1G0189690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHVEMAPAGAGGGFKLFGKVITQCVEGTQASPSPSPAFAAQDEERRLHGEADRTTTAIKREAADMDSSHQHQQQPQQQQPGAEASRRTQLQESAEARAAAAPLPCPRCRSRETKFCYFNNYNVNQPRHFCKACHRYWTAGGALRNVPIGAGRRKNRPLGPIATVAGHHHQHHHRAAAGFVLGFPSPSSSPTSPPPLYADRWQLGPDRRF >SECCE4Rv1G0279410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:814727582:814729633:-1 gene:SECCE4Rv1G0279410 transcript:SECCE4Rv1G0279410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVGDPSLRPEEGHVVITATQAMEDNAVTLSTLGAFVWLGGSRPRISAEEVRNAIASKFVINSSFIKVVPHYPEDFFILFSHQHHRDQVTAAPGRFSHGGLDFHTARWRPEANADLVEAYYHVHLCIENLPLNAWCDEVATQVLGPETFLHYFDIATVKREDSSSLDLWAWSANPSAIPKVLRLTVTDHQPAGYSSGPSAAVGRRGLKRRVLVHLNLVEDYTPDANGIVPRRPRSSHPFSFRYGVIDGESRMRDRSEVAGRRRDRDHDRDRDHDRDRRDDDRDRGRRGREERPSSWHERFFRSRSRAPARREDDGRRDGRDDRRDDRGRDGREDRRDDRGRDGRRRSEASDARSVDLKLVQRLPDGAVIPASGRRGRRRPDDGQARHGRPRGTASPVTEDNCGRSPPASPRTTSSDAIQLSSGSAEGSWLRWLAPCFGAEHHQAVVCPTLPSMTPAAARSTALDIIEITPSSPAMQDVEAAAAHSSPGAVAELVIPTLEPDASPCGDCATPIFEPDAEVEPVTPIFGPEARTCRATEPPRSPLFLACRSPLLPAPCSSSPRPRPPTRRRKTLAGVVGFNLPRSSPRLQAKGRKLPIAKMAEKLLCERLGVMDEGQQVTEEAIGKFVAMFQGQLPDTTVAALRAMFSLDCALAQAVEDALVAHGGEAGVETQATMENVPGSVE >SECCEUnv1G0569300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:439276662:439277147:1 gene:SECCEUnv1G0569300 transcript:SECCEUnv1G0569300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMILLNSSDGESFQVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKTLAKVIEYCKKHVQASPNPADSAAPAEDLKSFDAEFVKVDQAILYDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNITNDFTPEEDAEIRKENQWAFE >SECCE6Rv1G0388390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:134309462:134311739:1 gene:SECCE6Rv1G0388390 transcript:SECCE6Rv1G0388390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRFVHDTAAAEQRTPVWLGPLLGSEFFKPCATHPYLVKNECNHYCLDCAGEDDAICCTQCVSGHRNHHVVQIRKSSYREVIRVAELKAVADISHVQTYVINYDKVVFLNRRPQAPQHVVKCVGPAGACLECGRGLVDANFLFCSLSCKLDGMVSDANLTFIVDPRHNPEDSGLEREKEDEDGDGLPEPSNRRPGWISYRRRPRKGVPERAPFY >SECCE3Rv1G0208010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:926232041:926233388:-1 gene:SECCE3Rv1G0208010 transcript:SECCE3Rv1G0208010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGSFYTCGGTGQPAAPVAGQKRKRSPAAAAAAAEGCDGRRKRQAAGPDYLDAIPDDVVLSIFARLAASANSPSDLLSVHLTCKRLNVLGQQDMLFAKASPASLGVKAAAWSEPVQRFLKRCADTGNLEACYILGMIRFYCLGSRSGGAALLAKAAVGGHPAALYSLAVIQFNGSGGAKSDRDLRAGAALCARSAALGHVNALRELGHCLQDGYGVRRDPAEGRRLLVAANARELSLALAAAACPFASLPIGASVGVGSSPLLSDFGWSLPEAEPHTVNQFMSDWWASSGVPACAKKPETATTGESEGELRLCSHMRCGRKETRRHEFRRCSVCGAANYCSRACQALDWKRAHKAQCVPGACQGLNRIATLA >SECCE1Rv1G0031400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:442030978:442033358:1 gene:SECCE1Rv1G0031400 transcript:SECCE1Rv1G0031400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQDEARCPCSPRQCRICHEDEGDDGCATATATAMESPCGCSGSLKYAHRGCVQRWCDEKGSTVCEICLQNYEPGYTVAPKKTQVAHVAVTIRGSLEVPRLDYEGPEDAVLIRPDAAAADPAYAECASAAGRSAAWCRSVTVTFTVVLLLRNLIALVTVGAANQYAFSLLTIYLLRASGILLPFYVLMRLISAVQHGQMQYRLQMLQEQRRNTSRMHRVPDQGRQQHVILVA >SECCE5Rv1G0307800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:121784420:121789822:-1 gene:SECCE5Rv1G0307800 transcript:SECCE5Rv1G0307800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLPPSAEPDWAGLPDDALLTVFERLGAPEVLMGAGVVCRNWLRVATGEPDLWRRVDLSDCFDPTIDMVAMACAAVDRADGRLEHFAADCFVTDPLLFYMAKRTNGLKSLRLVNCMKVSHKGLVALGKRSPHLEELELTTCSIYISMKAVGQAFPQLKRLRLNNRWVNVECEEQFDNHRALDIASSMPELRHLQLFANRLRNSALAAILDNCHHLESLDLRQCFNVHIDAELRAKCARLRDVRLPKDSTNDYDHEAYIETLELNSLPLLFAHDGIFAQQYPLHGSDHSDEDDQEDLDVALGHLAL >SECCE5Rv1G0352760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711419918:711420277:1 gene:SECCE5Rv1G0352760 transcript:SECCE5Rv1G0352760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVDAMAAAYKALADAAAAAALSESDRAEALQEVQRCLHAFKESCDRAEDLVRAAAATLDFAPAAATTLDALCNTVHGIEKDAQAAPDDGDEEDVQDGKGPAPSPPPAPVAVAADDN >SECCE7Rv1G0524680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886895980:886896636:1 gene:SECCE7Rv1G0524680 transcript:SECCE7Rv1G0524680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCFVSVQDMFIAASDTSTVTVQWAMAQLLRHPEKMEKVRNELAACLGVGSSDFVKESDLDNLPYLHVVVKETLRLHPAVPLIPREVAVDGVSLGGFPIPIGTGVVVNLWAIGRDPTVWHQPDKFVPERFLEAGVGEAVHFQGKDDYAYRPFGAGRRVCPGMDYALRSVPLLLASLVHNTKWRLPDGMAPEDIDLNDRYGTVLNLATPLGAVPVSTV >SECCE6Rv1G0387830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:123756346:123777484:1 gene:SECCE6Rv1G0387830 transcript:SECCE6Rv1G0387830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dethiobiotin synthetase/7,8-diamino-pelargonic acid aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57590) UniProtKB/Swiss-Prot;Acc:B0F481] MLRRLLLRQARRRHCSSSFSSALPLSSPTFAVFGANTGVGKTLVSAGLAASLLRSHAASPSAVVYLKPLQTGFPADSDAGFLYRRVPALLRPSHAAHLVASIDTLSPAPSVETPSPSRKEAAFSYDGEGKAVRKVLACRTLYAWREAVSPHLAAEREGMAVEDAHVRSLLAACLAEGENLGKGEGDVWKLVETAGGVASPGPSGTLQCDLYRHFRLPTILVGDGRLGGISGTLSAYETLLLRGYDVSAVILEDRGLSNDKFLLSYLRNRVPVLVLPPIPEDPSDDLTDWFSESSSVFGLLEDALLSFHLKRIQRLNSMQRKSKDLLWWPFTQHNLVPVDCVTVIDSRCGESFSAYKVKDNKMMMIPQFDACASWWTQGPDSKLQIELARDMGYAAARYGHVMFPENAHEPALRCAELLLGGIGKDWASRVYYSDNGSTAIEIALKMAFRKFSLDRGILLDSDKSMTNERNIQLKVLALKGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVFIRNGTCTLSLPQSIQNCHLSPGDKCFPSLAEVFCKTRDSSSAADLYSTYISQQLSEYSLSSNTEHIAALIIEPVIQGAGGMHMIDPLFQRVLVHECRDRKIPVIFDEVFTGFWRLGVESASELLGCLPDVACYAKLMTGGIVPLAATVTTEAVFEAFKSDSKLTALLHGHSYTAHAMGCSAAVKAIQWFRDPSTNSNLDFDRMKLKELWDGTLVNQLSSLPNVRRVVSLGTLCAIELQAEGSDAGYASLYARSLIQQLREEDDIYVRPLGNVIYLMCGPCTTRDVCTEQLSKVYRRISHFNPVH >SECCE3Rv1G0188950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:720073752:720073991:-1 gene:SECCE3Rv1G0188950 transcript:SECCE3Rv1G0188950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAISGGAARAAVVAWWLQRHQRLPPPMDLLPRSLLDRAVELARKTAATAAPDLDPDLPCFVIPSSLPDLCDGLVGN >SECCE2Rv1G0080370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:129599698:129602594:1 gene:SECCE2Rv1G0080370 transcript:SECCE2Rv1G0080370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSRSTVVFGLRLWVLLAIAVGAASVLLLVLLSVLCFLGSRRRRPTTPAHHLPTSAPPSKDARKVNAPEGIQEAPSHAAAAKMPLAQVLQSPITPPPSVKEHHIRMTYPEEPPHHSHRSSGMPSSQGSTCESGDGAAPAHHAPRPVPEVSHHGWGHWYTLEELEAATEMFADENVIGEGGYGIVYHGVLHDGTQVGVKSLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGDVGPVSPLTWEHRMKIILGTAKGLLYLHEGLEPKVVHRDVKASNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILVMEIISGRVPVDYNRPPGEVNLVDWLKTMVSTRNSEGVVDPKMPQKPASRAVKKALLVALRCVDPDASKRPKIGQIIHMLEVEDFPYNRDERRGGRAPTKARLPGKPSNGIDNGEIDNSGDNHDEPFRWKNTLA >SECCE5Rv1G0356460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:739535053:739539548:-1 gene:SECCE5Rv1G0356460 transcript:SECCE5Rv1G0356460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPSLKNFNAFPHAEDHLLKKTYSGAIVTILGLIVMVTLFAHELSFYLTTYTMHQMSVDLKRGETLPIHINVSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDKYGHIIGTEYLSDLVEKEHGTHDHDHGHEHDVEKKPEHTFNEDADKMIKSVKLAMENGEGCRVYGALDVQRVAGNFHISVHGLNIFVANQIFDGSSHVNVSHVIHRLSFGPEYPGIHNPLDDTSRILHDTSGTFKYYIKVVPTEYRYLSKGVLPTNQFSVTEYFVPIRPTDRSWPAVYFLYDLSPITVTIREERRNFLHFITRLCAVLGGTFAMTGMLDRWMYRIIESISSSKPRSGMR >SECCE3Rv1G0194110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:777022791:777023793:-1 gene:SECCE3Rv1G0194110 transcript:SECCE3Rv1G0194110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALSAPVLRVSARSAGARAAVAAPAGGLRFGQTACLHSGHSEGQAVLRSKALLLRPVGQRRRLALRSSVDDSKATDDKVEFGYSRKDVLLIGVGVTLLGYGLKSGLEFVGVDPLQAGNVVQLFIVLGMTVGWISSYMIRVANKDMTYATQLRNYEKQVMEKRLESLSEAELQVLLEQVEEEKERLPPTRRDQGITINRKTGDQTTAN >SECCE1Rv1G0047400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:624958862:624960818:-1 gene:SECCE1Rv1G0047400 transcript:SECCE1Rv1G0047400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLARPPPPPPPPSARGSAGARLLARPRPAPAVRCHGEPASSSSAASGWAPPTPFTGRDPDARKPAWLRQRAAQGDKYARLRESLGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMLLGDTCTRGCRFCAVKTSNKPPPPDALEPLKTAIAIASWGVEYVVLTSVDRDDIPDGGSGHFAETVRALKELKPTILVECLTSDFRGDLEAVTSLANSGLDVYAHNIETVRSMQRIVRDPRAGYDQSLGVLKQAKACKKGMVTKSSIMLGLGETDEEIKQTMADLRAIDVDILTLGQYLQPTERHLRVREYVTPEKFDFWKEYGESLGFVYVASGPLVRSSYRAGEIFVQNFVRQKKAQLVSAAS >SECCE7Rv1G0459870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:27590121:27593297:-1 gene:SECCE7Rv1G0459870 transcript:SECCE7Rv1G0459870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 54 [Source:Projected from Arabidopsis thaliana (AT1G71870) UniProtKB/Swiss-Prot;Acc:Q9LE20] MAIPLQAKRQHENGGKCGQGSDTDGADDNPSAAEELRELWRMAAPITALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPLCAQAYGSRNYDLLTLSLHRAVLLLAIAAVPIALLWLNVGPILVALGQDPAISASAAAYAAWALPDLAALAVLQPLRVYLRSQGITKPMAACSAAAVALHIPLNFLLVFRMGFGVRGVAAAQALTNTNMVLFLLAYVRWAGACDDTWRGFARPAAVASGLGGLVRLAVPSCIGVCLEWWWYEVVTVLAGYLPNPTAAVGAAGVLIQTTSLMYTVPMALAACVSTRVGNELGAGKPRRARMAATVALWCAAGVGLAHVAWTAAFSAQWVSLFTREPSVLLLASAAMPVLGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVLLAFGPWPWTGFGGLWYGLLSAQAACVALVLVAVVWRTDWRVEAMRARRLTGTGADATATATEGEEQEEMRRLVAGNGREADGDV >SECCE7Rv1G0457160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14502156:14503270:-1 gene:SECCE7Rv1G0457160 transcript:SECCE7Rv1G0457160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCQFLNLIVDSRVPGGSPSLHCIDLMRHKLFNTAPAKGNATKNKHAAAAFKKMEKILLPSTPCFHMGASANQRMHCLPAADRRVFCLYQSGHGMLLEADTSRLVMMPCLHRPKLEPISLYIPCAQPDPDDLDGGGGGNQFIMDRIAKPDESGCHNFEALVYRNHRSNYLSKSWQHGCLEISSYAVVKGGGQICISVDGVGTYCLDTASYEWSEVGKWTLPFQGKVEYVPELRLWFGFSAKDRRFAAADLSTMDMDSQPEPLDSWEELETPQGWQQTRDPQLVNLGSGRFCIARFLHAMAHNGSFGDESSCQDVTVLTGLEVANANGFDEKVNLGMVKHKSRCHKSSCGEDTIAAVL >SECCE7Rv1G0467170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:84039858:84040315:-1 gene:SECCE7Rv1G0467170 transcript:SECCE7Rv1G0467170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix dimerisation region bHLH domain containing protein [Source: Projected from Oryza sativa (Os03g0171700)] MSSRRGRITDEEINELISKLQALLPESSRRRSASRSSASKLLKETCGYIKSLHQEVDDLSDKLSELMSTLDEASPQAEIIRSLLR >SECCE5Rv1G0376830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873998635:874004203:1 gene:SECCE5Rv1G0376830 transcript:SECCE5Rv1G0376830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMEESAQPMPADPSHPEIPNGAGAPDEPASPVAEAPSAAPLEPEEEEVAAAEEVPARSEPSKGAGAGTNADGWRPYTMGELLGEAAAGRSDFAADGNGPGSATPERSSQDSLQLSSHHDVAMDLINSVTGVDEEGRSRQRILTFAAKRYISAIERNPEDPDAYYNWALVLQESADNVDPNSDSSKDSLLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWQQAIRNYDKAVQLSWNSPQALNNWGLGLQELSAIVPAKDKQTIIKTAISKFRSAIQLQFDFHRAIYNLGTVLYGLAEDTSRSGGPDISPNDLYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPADDPIAPHKHWERSQFILNHAELQQVNDSENAPVKANALVEKAKRFIKVDVADIVSVSTCSDLTLPPGAGLCINTTHGPVFLVADTWESLDGWLDAIRLVYTIFARGKSDVLAGIITG >SECCE6Rv1G0448730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857622946:857623782:-1 gene:SECCE6Rv1G0448730 transcript:SECCE6Rv1G0448730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNFGRSISFPLTPARSFSKPSRHVRSVSLPGTTSSHPLLANLHAHIAAVHSWIQDTESLQAGLANIHALHAALADILLLPASVAALRCTTSNTCDRLLDAFLLLANAHQGFQECLLSLRHAAAESGAALRRGDTGRLASASRTQRRAEKDLAGLAALVSTVSSKCRRLNLVPISGEEAEIAYALVEAAAASAAASAAVFSAAASMSSTASSCKKTATFIPAFTTRKVTIPETAEVAMKRLQALEQCFHDCDGACDLVFRSMVQTRVSLLNIMTPTI >SECCE2Rv1G0141210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934566014:934573840:-1 gene:SECCE2Rv1G0141210 transcript:SECCE2Rv1G0141210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMVDAEAPFLTEDTEDEPPLTGVSDFRGRFVYRSTSGGWRSALFIVVVEVASAFSYYGVSANLITYLTGPLGHSNAAAAAAVNVWSGTATLMPLLGAFVADSWLGRYRSIILACILYVMGYAMITLSSVLSTHHPSLPGDTSSYPLSLQVAFFYASLYLIAIAQGADNPCALAFAADQFDPDHPRECAARSSLFNWWYFSLAIGITISVGVVSYIQENLGWGIGFGMLCALMLCGFVVFLLGTPTYRLYEATPGTDSPFVLLARSLAALAQHDSATSSSMHGTKIECQLEAKDVATKKEDARAVLRLLSIWAACLAYGVAFAQVMTLFNKQGRTLDRRIFGGLELPPAALQTLGPASVLLFVPIYDRVLVPAMRRTTGTLSGLTLLQRVGTGMALTLASMSVAALVETRRLETAREHGLVDDPEATVPMCWAWLVPQYVLIGVAGVFAEVGMQEFFYDQMPSELRSLGMALYCSVIGMGNFISGALISLIDRITSSGGGDSWFTDNLNRAHLDYFYWLLAGFSAAELALYLRCASTYIYSHKRLR >SECCE3Rv1G0201620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:866014875:866020532:1 gene:SECCE3Rv1G0201620 transcript:SECCE3Rv1G0201620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVMGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRSCIDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFGQQQAAGIQGALGAAFPSQATFPHYAIPQGLPYHVYGYSPYSPDYGYPANYYNIYGGNQYPFYGGAGTGMVTGTNPFYPYFQFGQSGSTTPNYASGQGYNMQFPQMFPFSTVASTAAAVTGFAQQYGGPLSLAASPQAQAGMTMALTAPTLPSPTQAAHPYRLVPSHFAVSAAPEQSLA >SECCE3Rv1G0203260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:882558001:882558825:1 gene:SECCE3Rv1G0203260 transcript:SECCE3Rv1G0203260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) UniProtKB/Swiss-Prot;Acc:Q9M1B5] MGRPLIYEILEKPASSGVIALCSLIWFLIQKRGIGYADVGLSYEAAMEGGQYWRLITAAFSHVSVVHLVFNMSALWSLGAVEQLGQANGLGVQYYLHYTLVLVVLSGLLVLGIYHMMIQRFKVDYFRRVTAVGYSCVVFGWMTILAAKQPSSKLNLFGVLSLPISFAPFESLIFTSIMVPQASFIGHLSGIIVGYSIAWGLIHGMNNYWAITMLGWIVLVFVLSLKRTGSLEMRFIEIEPVTDPSLPSVGLVASRNGRALQMDMLPGRGVADFV >SECCE1Rv1G0038690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:542150092:542152440:1 gene:SECCE1Rv1G0038690 transcript:SECCE1Rv1G0038690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRDLTGSLPDEVLQHVLSFLPSREAVQTSVLSRRWRHLWKCTPAVRVSGRGDGFRLFVNSLLRHRNDASPLRTFEIDDLVKGPQPSTNLFFFDEDDEDVPEIDPHPDVDLWIRHALSTCRATSLTARFDEEGFLPWRPRPPFSFTSNHLTAMHLEFVELADGLLDFSPCPALLRLGLSGCRLNGDAIVSPSLERLSIIRCDIPIGRNTAGRTATMRISTPNLRHLQMSDSCDGEQTPPSLDSMPLLATASIRFTGSTQIYPTNRDASLLLHGLSEATSLELTASTPHGKAILQGDLRWCPTFTKLKTLILNEWCLHDDVTALACLLRHTPALESLQLDFKSSDTLQEKTKGSFTILKELFPTLENLKTVTIGGHCSYSDTKSHEILKLFSDWGIPRCKVKYALNFEAQWMPLWKGENARGGQEDGRMHPGSDSQTEAGIDALRGSKRCRIERCSLL >SECCE4Rv1G0261570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:702506721:702512686:-1 gene:SECCE4Rv1G0261570 transcript:SECCE4Rv1G0261570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIDNKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGRLFEFSTSSCMYKTLERYRSCNFNSEATATPETEQSNYQEYLKLKTRVEFLQTTQRNLLGEDLGPLNMKELEQLENQIEISLKNIRTTKSQQSLDQLFELKRKEQQLQDVNKDLRKKIQETSAESVLQMFQDVGPSGSSGHANQQEHLHPDCDPSLRMGYDHAYLDDLNKE >SECCE4Rv1G0220320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:37764954:37770378:1 gene:SECCE4Rv1G0220320 transcript:SECCE4Rv1G0220320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHAASVGSSDDSVHSTFASRYVRASLPRFRMPDNSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECNKLMMDSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGETETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKMLNDLLVKKNEETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRTKDDLPDELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYRNIMDNCQENAMVLKAGLERTGRFNIVSKDQGVPLVAFSLKDSSRHDEFEISEYLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTFAERLVIDIEKVLHELDALPSRSSGPALQHPNGDTVSERDLARQREVVSVWKRAVAARKKTQGVC >SECCE6Rv1G0418680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:664174807:664184426:1 gene:SECCE6Rv1G0418680 transcript:SECCE6Rv1G0418680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MEASSCSSPAGPASGPAPPIPPSGDQGVWADVSPLIAAACADLQDGELVHGENFSLFAAMSALEIMDPKMDSGIERSGYYSIDEAIEDGIAPVPLSLDRTLDIQRTLDVIDHLFSCEATWHKGHTLAQTVFTCIYLMKMERISSHAVLNSFCRILRATCNTVISVVSNARTHEEEDLFTMSFGLPLNGEGDDKCLSVLNSVEETISRQLRACKSQALSKKKTLEEFESLQDNPELEEGYCRALLCRLRFRKHFHHVVTCMRKPQGRGLDLARKHVASCLAELSLMLKSQEFLKSRSDITLQKGDESCTTASGCRPIGFDVSLNSRLLSPAPPRAVQILAWGDAIRYFEKLLHDLDIICALSLDPVLENVLHFIAQFQKSVPDLVPRAFLQTLLVQDGKLYGRDLFCDVISRALSLPDIIGDKEFQSNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISIQLELALKREFGETRNVLADENMCMRVSKQLLVWSQEHTYWIASRFLILGFELELYSPSEYCMVYWYMHVVFIKLIEKMQLRILASNENSRRKGKKKKDHSKDSVRDAPFPPACLLLQCYVLLSEGLSMLLAALRKESKSFELPSTFNTEQERFMQHFDLLQKAQIPECITYYSFKEAAAQAHMADVMKYNFFKEIQKIIPSLKGSFASEPEKLAELRQIEQVAEHNRIALNIINHVGASDPKLRVSFEFTHHPHFAVAVVKRS >SECCE5Rv1G0374320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862354079:862356879:-1 gene:SECCE5Rv1G0374320 transcript:SECCE5Rv1G0374320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVLPAFSFSTAAAAPPPKDPPAPTTAAATYAVYLNIYDISPINNYLYWFGLGIFHSAVEVHGMEFGYGAHEYATSGVFQVEPKSCPGFIFRRSVCMGTTNMSRAEVRAFLEDLAEDYHGDTYHLIVKNCNHFTADVCKRLTGKPTPGWVNRLARLGSVCNCVLPENIKVSAVRDDTAHLEFSDDDLESDTSIIDSDIGDLDHLLTTPNSDVVPPKDKTLTPGRDSL >SECCE7Rv1G0509190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:778644135:778644719:-1 gene:SECCE7Rv1G0509190 transcript:SECCE7Rv1G0509190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARPPQPQPQPSPEIRYRGVRKRPSGRYAAEIRDPAKKTPIWLGTFDCAEDAARAYDSAARSLRGPTARTNFPPSSATQPPPRPPLPAAAVTSSQSSTVESWSGGGPRAPVRARSAARPGTAGEGEGEEDCRSYCGSSSSVVLCEEGGDDAAASRSPLPFDLNMPPPQDGALDAAAAEADQMSCRYDTLLRL >SECCE5Rv1G0316850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:331434557:331437067:1 gene:SECCE5Rv1G0316850 transcript:SECCE5Rv1G0316850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable purple acid phosphatase 20 [Source:Projected from Arabidopsis thaliana (AT3G52780) UniProtKB/Swiss-Prot;Acc:Q9LXI7] MGKKKSTVALALLVLAATALSSLPPACLAVTSPYVRPRPRATLSLRRDADAGGQTPQQVHVSAVGPDKMRVTWITDDDAPATVDYGTASGQYPFSATGTTTDYSYLLYHSGKIHDAVVGPLKPSTTYYYRCSSNPSREFSFRTPPSGLPFTFAIAGDLGQTEWTNSTLQHIAAADYDMLLLPGDLSYADLDQPRWDSYGRLVEPQASARPWMVTQGNHEVEKIPLVERHPFKAYNARWRMPYDAGASPSGSNLYYSFDVAGGAVHVIMLGSYTDYDAGTAQYQWLQRDLAGIDRGKTPFVVALVHAPWYNSNKAHQGEGDGMRDAMEALLYGARVDAVFAGHVHAYERFARVYGDKEDQCGPVYVTIGDGGNREGLAEKYIDPQPKTSVFREASFGHGRLQLVNVTHALWTWHRNDDDQPVVADKTWITSLASNPACKK >SECCE1Rv1G0040080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:556733628:556736910:-1 gene:SECCE1Rv1G0040080 transcript:SECCE1Rv1G0040080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G09320) UniProtKB/TrEMBL;Acc:A0A178VGE0] MGRPGYLTLPIFAVLAAIGYVYYTTVFVAVAGWLGLATAAGVANAAAFTALAAACLATYAAAVSRDPGRVPLAFLPDVEGAETPVHEVKRKGGDLRYCQKCGHYKPPRAHHCRVCKRCVLKMDHHCIWINNCVGHENYKIFLVFVLYAVIASFYSMILIVGSVIHSAPKVEQLSSDSSRTLIIICGIILCPLTLALSVLLGWHIHLILQNKTTIEYHEGVRAMWLAEKGGDLYHHPYDLGVYENLISVLGRSIFCWLLPVSVNTGNGLRFRASYDISSSTPPCDLQKIALHSH >SECCE2Rv1G0119270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:790039865:790040315:1 gene:SECCE2Rv1G0119270 transcript:SECCE2Rv1G0119270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRPSLVLLALAVVLAAGIGGVEGAGECGAQASVIVPQLARCIPAARNPQTEPTIICCVAVRNIDMRSPGCVCAVFRSDTFKPFKKAGIKPEVALTIPKRCGLGLAGCGGNKFP >SECCE3Rv1G0164430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:163096916:163099179:-1 gene:SECCE3Rv1G0164430 transcript:SECCE3Rv1G0164430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKWQRAVLLLLLLVVVSAAARSAAAAGPWKGGGTRYSVMDFHAAGDGRTDDTKAFEQTWDSACRDSGGPTVYVPAGRTFLLGLGKIRLQGPCKSPITMQVDGNIVAPNSLWSLQSLSTILTFYRVDNLTVDGSGQIDGRGAPWWDCYNQKKCHYRPQLVAFSFCNGLRVTNIRLKDSADKHMSLFKCSQVQVHNISVVAPGDSPNTDGITMGSSNHVRISSCNIHSGDDCVSILTGTTDVNVTDVTCGPGHGISVGSLGGAGEKPALVERITVSNCNFFNTTTGVRIKSWQGGRGKANGFIFRDLNMTEVQYPINIDQFYCPQGNCPEREGGVAITDVRFINIKGTSSKQEAIKILCSKSVPCQGIFLDNIDLSWAKHKAPTKAKILNAQGSVAGTVKPQVRFRGL >SECCE7Rv1G0475120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:182480891:182481772:-1 gene:SECCE7Rv1G0475120 transcript:SECCE7Rv1G0475120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSYIAMCLSFAFLLPSHVTATAPTGMIERETKQQILASIPPHWEENPVLFLTSPSGKYAAYFMRSQTAPGAGGLGADFCYVEVLDTTAPGAEGRSVWESECLAVSTVNTCALVFSWEGLEVFDGSNSVWHTHDTESVDNNFLKTLQLVDEGDMRILDKGGELAWKASDEPRAAQHCGMPGSPGLAPAMPPFADPIGKGSSNLPFGQDEGGINGNSYGSVGGVAQPELPLAPGGVGGQGQAVESVGETMGLGSQPLVDNSPYDSGAPERPWAGVANLGLSVAIAIAMGLGL >SECCE7Rv1G0486040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:393099788:393104209:-1 gene:SECCE7Rv1G0486040 transcript:SECCE7Rv1G0486040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 1 [Source:Projected from Arabidopsis thaliana (AT3G54670) UniProtKB/Swiss-Prot;Acc:Q6Q1P4] MAAAAASVGHSSRGGGGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYNLPGTGGELQFTRTITGAGGSEYRIDGRLVTWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQIAGSDELRREYDELEEQKTSAEEKSALVYQEKRTIVMERKQKKAQKEEAEKHLRLQQDLKLLKTEHLLWQLYSIEKDMEKIEAELEDDRRSLQQVHEDNQSSDNGLAAKRKEQSAFLKKITLCEKSMSKKKLDIDKKQPELLRLKEQISRLKSKIKSCNKEIDKKKDDNKKHLEEMKRLQSALADVTSAIEELNEQGQDEGVKLQLADDQVQEYHRIKEDAGMRTAKLRDEKEVLDKELNADIEAKKNLEENMQQLRSRVDEISSQESELQTKLNKILHSIPKHEDELTRLREDHNKIAKERQSSGAKYLTLKQKVDEIDTQLRELKAVKHESERDARFSETVKSLKRLFPGVHGRMTELCRPSQKKYNLAVTVAMGKFMDAVVVEDESTGKECIKYLKEQRLPPQTFIPLQSIRVKPITERLRTLGGSAQLIFDVIQYPFLFAHFFGESSSVCCWEYTCL >SECCE2Rv1G0126680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:848311990:848315572:1 gene:SECCE2Rv1G0126680 transcript:SECCE2Rv1G0126680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAKLIMPALMALQLIVATVAAVPVALPGCPEACGRVTVPYPFGFRQGCFHAGFNLTCDETHHPPKLLLGDGVEVDGISLVDGTVRVQSKTVSAAWSDPIRNGTRTPSLVNSNGSWVGGLTGSGGQQLAVSSEHNVFVVIGCNFIGYLVAPDHFGSAPRHVSACAALCDSSPGTEPAEEYTSCSGVGCCRTMFKQGDLMDTEAVYQVMFKHLAHDKEGVYPAPAWYTPSESVAAFIVDREWFNGNAWVMLNNTFGSYDHWGSLSPVAVPTVLIWWLEQERDRDIVFYDPHISHWRCISLNSVVTYVNGLAIRCSCLDGYEGNPYMHLGCQDIDECLLPGVYPCHGSCINMPGTYRCSAKKRIINLPGLITIIAIAAGFGLLFSVLGVAQVTKKLKKQRAKKFRQKFFKKNHGLLLQQLISSNKDIAEKMKVFSLEELEQATNKFDHNRILGGGGHGTVYKGILSDQRVVAIKKAKIVVQREIEQFINEVVILSQTNHRNVVKLFGCCLETEVPLLVYEFISNGTLSYHLHGQSENPLSWKDRLKIALETARAIAYLHSAASISVYHRDIKCANILLTDTLTAKVSDFGASRSIAIDETGILTAVQGTYCYLDPEYYYTSRLTEKSDVYSFGVILAELLTRATPVFSSHSSESTSLASHFVSLIRDNRILDILDTQIVEEGGAKDAEVVARLTEACLSLKGEERPTMRQVETSLEDVQNLKVNLSSQITRVDQNAVNAQSFKGSKGSEGTRLYSLEKEFIHSSEIPR >SECCE2Rv1G0098770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:494445688:494450517:-1 gene:SECCE2Rv1G0098770 transcript:SECCE2Rv1G0098770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSMASQPEPVPSTHRTSAPAPAPASVSASTRGTAAPAPAPASTHGTVAPAPPPASVSASSHGTAAPAPPPASVSAPTHCATVQRCVSLLDWWLVRGQGGKIRVAGYIDNFEKNRAGRVFSSGFITMRHADGTLETADHKIVLTRGPLNIEQMHWNGFSREFSEQFRLGFPIQWEKYANSNLKQANEHTLSPAKSTEYCVEEFLRGSFANSMEHTLTEFDFRTSKESTGNKDGPGLPNYVKPRIQVPSGNSGGYDNSMSNMASSEGLCNDKMGTPDESFEDPGPGETCNGQASRADNSHEDIQTDASGQRIVTHPVDSALVNNDIDKIEEERGPSKLGNSSVSPRTEHVLEVLNKGVSPEHGSVQCASRVRSGKVYGMSKGASLKRGYSKRKTMQHETLSKVIPTEETTPPAGPTCHKKGGPVAQITALDKLQSHDSGHKGRGRPRKKAKR >SECCE3Rv1G0189810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:731551428:731553033:1 gene:SECCE3Rv1G0189810 transcript:SECCE3Rv1G0189810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GA 2-oxidase3, GA metabolis [Source: Projected from Oryza sativa (Os01g0757200)] MVVLASTPAVDHIPLLRSPDPGDYFSGMPVVDLSSPGAPRAIADACERFGFFKLVNHGVAADTMERLESEAVRFFSLPQADKDRSGPAYPFGYGSKRIGLNGDMGWLEYLLLAVESASLSGACPVPSCALFRAALNEYIAAVRKVAVRVMEAMAEGLGIAAVDALSAMVTAEGSDQVFRVNHYPPCHALQGLGCSATGFGEHTDPQLISVLRSNGTSGLQIALQNGHWVSVPSDRDAFFVNVGDSLQVLTNGRFKSVKHRVVANSLKSRVSMIYFAGPAMTQRIAPLPQLLGAGEQSLYKDFTWAEYKKAAYNSRLGDNRLAHFHR >SECCE4Rv1G0241170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448316710:448317063:1 gene:SECCE4Rv1G0241170 transcript:SECCE4Rv1G0241170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRVHLSPARELSGYYDSDSTEFCQFGSSIYDFIFMDVDKILPFSSTLGWHSLNINGEVQKRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSQIGQPFKLPAESMSRQETT >SECCE4Rv1G0229950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:156005424:156006308:-1 gene:SECCE4Rv1G0229950 transcript:SECCE4Rv1G0229950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRWRLPGGHGGGRVVRVHFLDADATDDSDEETSQLQRRGARRCVRQIGLPRAFSPLPSAAAGAVSSSSHWTREKRLRAGKRRPLVACGGDDWSAGTWSARRFRGVRRRPWGKYAAEIRDPNQGRRVWLGTFDTAEEAKSVYDSAVLRLRGPSAVIKYTSPSPSPPLSTVTAAALSPSTSAASPPSPSTTAACPPSPSTAAASPPSPSTTPASPPSPTTTPASQSATTSWSLVNADEEVTAAFGLGFVDEETSLNNLMQFCLPATCSSRWDPSADFVELADLDDLFAPEPLAA >SECCE6Rv1G0405860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:536909093:536911069:-1 gene:SECCE6Rv1G0405860 transcript:SECCE6Rv1G0405860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSGKNSAAAMQRPPLQEAGSRPYMPPLSTTSRNPSAKCYGDRFIPDRSAMDMDMAHYLLTETKKDKENAAAIAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVFAADTVSSHQAKPAKQRRYIPQSAERTLDAPDLVDDYYLNLMDWGSSNVLSIALGDTMYLWDASSGSTSELVTVEEDNGPITSVSWAPDGRHLAIGLNSSDIQLWDTSSNRLLRTLKGVHESRVGSLAWNNNILTTGGMDGRIVNNDVRIRDHAVQTYQGHNQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLEDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGTPEVAKPAPKASQSGMFSSSFAHIR >SECCE1Rv1G0053310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:668437955:668440277:1 gene:SECCE1Rv1G0053310 transcript:SECCE1Rv1G0053310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQSRKDAASKREEGGQGGVNLEEIGKFRAEAQQNSADAIRAAHERYNQNLQHGAGAAAAARGAVTVTQAPGATVVSYQEHTTLPEGALQGRAPAAAHGQGGTTASSRGTEMQHAAKQQEGRGHGTAHKEQKGSAAVSRAAEAGHDKQRKESAARGTHAPAGATVASSRGAEKQHPTRQEEGRGHGMGHKEQKGSAAVTHATEDRGKEGGSARSAKDAAMHSLGITGDQTVAKGAGNKDAGAHGAQVRGHDTGHKEQKGSDSAARTVGSTGDYAAAKGAQAKDAGAHGAQVTAEGTKEATATAAEYAKQAAAKAKEVTLTTGEMTAEYAKQAAVKAKDVTVSTGGTAAEYAKAAAEKAREAALAAGKTTAEYTQQAAVKGKDVTVSTGGTAAEYAKTAAEKAKDAALAAGKTTAEYTQQAAVKTKDVTLSTGAQAAQKAKEVTAVTAQKVAEYTKEMAEQGRATAAQVEEKAKEAAARAADKAEEPSFDTGTQATDKAKSSAAGTADKTRDTAAQTMDKAKDATGETGNRTGSMAAQVKDTTGAMAQKASDTAGYIKDSVTGAAGGTADKTRETTEQTMGRAKDATGDTGNRTGSMAAQVKDTTGAMAQKAGDTAAYIKDSVMGAAGGVVDKTRDATSQVAQKTGEVKNRVVETGKSATGGGGTTGTAKGEGTEDVKIVEDVLEVAGATLEAVGATVYGLAQHTKGIVAGEEELIPVEGEAGKAAGAACEGREKSK >SECCE7Rv1G0511630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804544131:804547362:-1 gene:SECCE7Rv1G0511630 transcript:SECCE7Rv1G0511630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTLVHILVSFAACAEAIRRADFPPGFTFGTASSAYQYEGAVNEGQRGPTIWDTLASRPGRVIDFSNADVAVDHYHRYKEDVDLMKDIGVDAYRFSISWARIFPNGTGKPNEEGLSYYNSLIDVLLEKGIQPYVTLFHWDLPQALEDKYGGWLNSQIVEDFVHYASTCFKEFGDRVKHWITINEPHNFAIDGYDFGIQAPGRCSIISHLFCKDGTSSTEPYIVAHNILLAHGGVFHAYKQHFKKEQGGLIGIALDSKWYEPLSDVDEDREAAARAMDFELGWFLDPLMFGRYPASMQKLVGDRLPRFSSQESQLVSGSLDFVGINHYTTLYARNDRMRVRKLVMNDASTDAAVIATAYRHGKKIGETAASNWLHIVPWGMFSLMKHVKEKYGNPPVFITENGMDDANNRFSRLENVLQDDKRIQYHNDYMTNLLDAIRKEGCNIHGYFVWSLLDNWEWNSGYTVRFGLYYIDYNNNLTRIPKASVEWFRQVLTPKTAAVM >SECCE1Rv1G0055630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:681940546:681941772:1 gene:SECCE1Rv1G0055630 transcript:SECCE1Rv1G0055630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMYNPRRPSPVRHPSVDPFYSSLTTAAPRAAMAISSGPAGTATAAPRRRTRSTVLLMANYAALLIGSVVSSLLSRFYFEHGGQNKWVVTLVQSAGFPTLVVASFLAGRPVSAPRPFLWFSRRFLAVCLFIGALMGVNNLLFAYSSSLLPVSTSSLLLSTQLAFTLVLAVAIVRHPLTFVNLNAVVLMTLCSVLLALRSGDAGESPDRKGYIIGFVVTLGAAGLFSAYLPVMELLYREAVSGGFILAVEVQAVMQAMASVVAAIGLAATGGFGNDVAHWKGSHAVYWVVVATLVVTWQACFMGTAGVIYLTSSLHSGVCMAAVLTANVIGGVVVFGDPFGAEKAVATALCVWGLSSYLYGEYTKSKKKGADGQDDDDTILPGGRDDGEQKSLTGGSREPGGDAAETV >SECCE4Rv1G0252650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:617009428:617011638:1 gene:SECCE4Rv1G0252650 transcript:SECCE4Rv1G0252650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSHSAIVSAQSHRWNGKNSRLDKRAANVRLVSIGSCCSGNRKLGLVCASGSQFPVIDPVHLPSNGKGAHSPKKSSESSLILIRHGESMWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICNIPIDVIFTSAMIRSQMTAMLAMMQHRRKKVPIITHKESEQAQSWSKIYSEDTKEQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDTPPPNGESLEMCADRAVSYFKDQVVPHLTAGKHVMVAAHANSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGEFIRRGSPVGPSEASVYAYTRNLATYRDTLDSMIQ >SECCE6Rv1G0431500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746739899:746743364:1 gene:SECCE6Rv1G0431500 transcript:SECCE6Rv1G0431500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRISLLSQINDKELFWNAKVLVSRIWYYRGGTDEGAIMYTDIVALDKEGTHMHGRIPSELSERLQGILREGDVYLMKRFMGKQSKPTYRAVDSPYMMQLTRFSTVDPVVGDEEDFPYCTYNLMSFSDIPMPGPHTPRFIDVIGRIIAVTDIVVVHSQYQAEPSDTRTVVLQDQVGNEINLVLWGARAHEFEAEEVRTASESGAVIAIFVGTLPKQYRGIKGLSGSSACRRYIDEDLPEMNAFRASLAEGLPAITACIPGEQALVPAPVREAPVELSVRELIALDPFDNLKKQFIVKVVITHLGFDNRWWFLSCRKCHKTAYVSGRQYRCSDYGCSSIQADPSYCVCTFGSDGADEAEFMFFDRAAKSIVGKPLMTLIYRKYPGFTSALDLAEIGGADVGLPVEISRLVTQKYRLVVSISTKSFQPTSARLSFQVGRIDEAFKPELVPFAPIGASSVSGASSSAEGSSMSVAIPTSFATGSSTLVVLPLDEMNTPISAFKAKGQATVPKTPSKTPCPKSARRKLCFGLSKTKDAELSASTTKVANQTEETATAGNVVQPAPMPDVEQGTEGAVAEESKTTLPDPSKLKRSTNAGKVAGTPKKVKQ >SECCE5Rv1G0355620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:733097859:733102506:-1 gene:SECCE5Rv1G0355620 transcript:SECCE5Rv1G0355620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVYTVKVGEATPAAGGRPAAGPVYRSIYAKDGLMQLPQEIQSPWDFFSGAVKKYPKNRMLGRRQVSEGKAGDYVWQTYEQVYQKVIQIGAAIRGFGVKPGSHCAIYGSNCPEWVMAMQACNSQGICYVPLYDTLGQNAVEFILDHAEISIAFMQESKIKSIVAVLPKCTAHIKAIVSFGDVTIELKREVEQLGVSCFSWEEFSTMGQDIQELPKKQKDDICTIMYTSGTTGEPKGVIIKNRAIVAGVTTTEHLLQLTDKVVDEYDSYFSYLPLAHIFDQVIGNYCISKGASIGFWQGDIRYLMEDVQVMKPTIFCGVPRVYDRIYTGINQKIQSGGLIAKHLFQYAYNYKLGNLMKGFKQHEASPFFDKIVFSKIKEGLGGRIRLMLSGAAPLPRHIEEFMRVTSCSVLAQGYGLTESCSGCFTSIANVISMIGTVGPPVTAVEARLESVPEMGYDALSSAPRGEICLRGHTLFSGYYKRPDLTEEVFSDGWFHTGDIGEWQPDGTMKIIDRKKNIFKLSQGEYVAVEVLESAYVQSQLVASVWVYGNSFESFLVAVVVPEKQAIEEWAALNGKSGNYAELCNDPKARRYIQDELNQTGKKLGLRGFEMLRAVHLEPVPFSIDKDLITPTFKLKRPQLLKHYKDRVDQLYKDAKMGTTQ >SECCE5Rv1G0336160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:582779205:582782113:-1 gene:SECCE5Rv1G0336160 transcript:SECCE5Rv1G0336160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMPRKRHRQRRRRRTQHGTHLTPLLISFDDCRIFSSKCPLRQQDDYSQSGAGLRPGLDLPEDIYRHIHALMPLRDAARAACVSHTFLQSWRCFCNLILSKETLVLNGNGISKDKMTRDLISKVDGILKNHSGVGMKKLELNLHTCRKVDHCYLNSWLRIAVTAGIEELTMLLPHISEVEYNFPCSLLFSGNEKSIGYLKLSSCVFRPTAELDCWRRLKELSLINVLITRDELECLLSNSSMLELLWLSRCNEIVRVKIPCQLQRLSFLRVGQCIELQEIESNAPNISTFDISGSNLVKISFGSALQVNNMRIMCSYQPNIIWYTRTKHMPSVPNVETLHISSCNEMISTPTLPSEFLHLKYLHITLIGCEAISPAYDYLSLVSFLVASPCLETFIFEVQQTNMKHDSIVGDTSHQRQLPEHCHDNLKSVTIVGFCSAKSLVELTLHIIKNASSLQCLTLDTSFGSYGCSVDKPGRCNPMGRYIIKEAHRALLAIRTHVEGIIPSRVKLNVSGPCSRCHAAERD >SECCE1Rv1G0015720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:128633627:128636435:1 gene:SECCE1Rv1G0015720 transcript:SECCE1Rv1G0015720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi apparatus membrane protein-like protein ECHIDNA [Source:Projected from Arabidopsis thaliana (AT1G09330) UniProtKB/Swiss-Prot;Acc:Q8LEK2] MDRPQVVSENYANPITCLFHVLFKASALAFYILFSLFVKSFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDDGNSVWKFECLDGESLARMNKKDSWLFWWTLYLNAAAWIILGIFSLIRLEADYLLVVGVCLTLSLANIVGFTKCNKDAKKNIRAFAENAAQNAITSRITSSLQSAFGI >SECCE6Rv1G0419120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:666677818:666678084:1 gene:SECCE6Rv1G0419120 transcript:SECCE6Rv1G0419120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERANTDLYLENLYIMQENERLRRKAQLLAQEKQQLLADLKLKQQHMAASSKTAAQQAKGGGPSGHNVASLKSSAGAAPFHSGKQQPQ >SECCE1Rv1G0000840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2576923:2578475:-1 gene:SECCE1Rv1G0000840 transcript:SECCE1Rv1G0000840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLPHRTLWELAWRHGPVMMLRLGMVPTVVVSSADAARDVLKTHDADCCSRPDTPGPKRLSYQHKDVAFSPYSEQWRQRRKLLVVELLSKRHVQATWYARETEMAKLVSGLVVASVNGQKPVFMEDHVFGYMDGIIGTVAFGNIYGMEHLPYKEHFHHVIDDAMVVRSSFSAEDYFPNAFGRLVDRLTGVASLRERVVKEFDAFFEIMLEQAQHHDHENGCGLIHALVGLMNDDQRSEFRFSRDVIKGILTNTYVGAVNTGAVTIIWAMAELVRKPHVLNKVQDEIRTVVGNKERVQQDDMAKLKYLKMVVMETLRLHPALPLLVPRETMRHITVCGYDVPAKTRILVNAWAIGRDPTNWGSNPEEFIPDRFEGMDDMYFNRAQFDFLPFSAGRRMCPGIDMGVATTEFTLANLLHCFDWELPEGLRSEDMNMDEAGGLTIHKKTPLLLVPSRYKW >SECCE1Rv1G0043360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:591811896:591812876:-1 gene:SECCE1Rv1G0043360 transcript:SECCE1Rv1G0043360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLKLSVALACVLLLSSSAACHGLEVGYYKNTCPRVEAIVRDEVKNFVYKNAGIGAGLIRLFFHDCFVQGCDGSVLLDPTPANPQPEKLSPPNFPSLRGFEVIDAAKDAVENACPGVVSCADIVAFAGRDAAYFLSQMKVKINMPAGRLDGRISNSTEALDNLPPSVFNLDQLVASFAAKGLSAEDMVVLSGAHTIGLSHCSSFVSDRIAVTSDIDSGFADFLRRRCPANPSTANDPTVNQDVVTPNALDNQYYKNVLAHKVLFTSDAALLTTPATTQMVRDSANIPGQWEAKFNQAMVKMGAIDVKTGKQGEIRRNCRVVNH >SECCE3Rv1G0194910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:788045615:788046850:1 gene:SECCE3Rv1G0194910 transcript:SECCE3Rv1G0194910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRSDSQVFLFANSKCKRYFHNRLKPAKLCWTAMYRKQHKKDIHAEAAKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKTQGGKGAVQKGSKGPKIGGGGGKR >SECCE7Rv1G0501370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:682405185:682406426:1 gene:SECCE7Rv1G0501370 transcript:SECCE7Rv1G0501370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPEMMRVAEEQMRRIPADDLARMQRQLMSNPGLLKLATESMKNMTADDFKVAAERLNHAKPEEMLDMTEKIANAKPEELAAMKAQADAQASSAISAAKMLKRQGNQLHGRGQYADAAAKYKLARDNVKNGVPSAAGRALQLQCSVNLMACYLKLGEFEECVNEGSEVLSYDDSGDAKVYYRRGQAYKELGNLQAAVADLSKALEISPDDETVAQALTQAQEKFETQGGAASLPKGVVIEEIVEEDITSSSSTTTTTEYTVSQPHDEARNSTQSDSSESLVNTTMSQVASSSIPVAPDFGSNMAPAMVGMADPAMWEMFTSMVENMSPDEMANMSGMLGIKMSKEDAVNAQQAMSSFSQQDLQRMMKWIDRAQRGVQAAKKTKDWLLGRKGFIFAIVMLILAFILHRLGFIG >SECCE2Rv1G0114640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:737189755:737193771:-1 gene:SECCE2Rv1G0114640 transcript:SECCE2Rv1G0114640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin, Al-inducible expansin, Root cell elongatio [Source: Projected from Oryza sativa (Os04g0583500)] MAPPLLLVLLLLLPALAAGHQHPSSYGSSALSEWRNAKSSYFAADPGDAIGGACGFGDLGKHGYGMATVGLSTALFERGAACGGCYEVKCVEDLKYCLPGTSIVVTATNFCPPNYGFPADAGGVCNPPNHHFLLPIQAFEKIALWKAGVMPIQYRRVKCLREGGVRFSVSGKSFFFTVLISNVGGAGDVRSVKIKGTESGWLDMGRNWGQIWHINLDLTGQPVSFELTSSDGTTMTNFNVVPKDWEFGKTYTGKQFLL >SECCE1Rv1G0000860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2635177:2635846:-1 gene:SECCE1Rv1G0000860 transcript:SECCE1Rv1G0000860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDELKLLGTWASPWAARVKIALHLKGLSYEYVEQDLDNKTDLLLTSNPVHKKVPVLIHNGKPICESVVMLEYIDDAYGTVGPSLLLADPYERAIARFWVDYIDQKLVIPWKVAFTASGEEEKTEGIKQMLAGVLTLEGALKECSNGKPFFGGDSIGYVDIALGGLLAFLLELKSYVVPSSLTPPTPHF >SECCE4Rv1G0261270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700873864:700875818:-1 gene:SECCE4Rv1G0261270 transcript:SECCE4Rv1G0261270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGKSDSDITSLAASSPPRSPKRGGGAGGYYVQSPSRDSAHDGGGAGGYKSSSMQATPVCNSPNESPSHPSYGRHSRASSVSRFSGILRGGSGRKGGGGGGGGGDLKAVNAKGWPECSVIEEEGSYEGLSGGDSGLSGRCKLALAFVSLLLLFTVVCLIVWGAARPYEPDVLVKSITMDNFYAGEGTDHSGVPTKMVTLNCSLNMVVYNPASMFGIHVTSGPVRLLYSEIAIGVGQVHKYYQPSKSHRLVTAVIHGEKVPLYGAGGGLSLSGNDVTVPLTVDLELVSRGYVIGKLVRVTHKVHVTCRVAVDAKRARTRIPKKACAVYKA >SECCE6Rv1G0427840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:721193076:721193333:1 gene:SECCE6Rv1G0427840 transcript:SECCE6Rv1G0427840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLKVTAAVCIVLVLLIQLQEPAAAQDIKCDDCGPGCGNACRELRQYRCNGFCNILPSLCDHCYTINANTCFIDCFDLCKLKCK >SECCEUnv1G0550720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:222303080:222304177:-1 gene:SECCEUnv1G0550720 transcript:SECCEUnv1G0550720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPAALPEDALAEVLRRLPPHVLAEARRVCKAWRDAVDDRLRSSLLSRSVRGIFINFSGQYFSEFFSRPSTGPAIDGGFNFLPCMSVEVKDHCDMGVKVKDHCNGLLLCRESSYRALPCPREYVVNPATRRWARLPKRPPPLMPGFHHTAHLAFEPAVSPHYQVFLVPRVHRESRDHDDNPLLELEWPPASYLVDVFSSVTQRWDTTIFLREGEAAGIIADMQLDWWYVPSLYHAVYWQSALYIHCQHGYLTRMSLSDHSYRVIKLPGADEWIVYSNHRLGRSSQGVYCAILDGSILDGSKRLQVWYLSESCGRIEWVLKHDTHLKTVYAQ >SECCE7Rv1G0519200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863925879:863927294:-1 gene:SECCE7Rv1G0519200 transcript:SECCE7Rv1G0519200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAEDGQQPLHMLFFPYLIPGHLIPMADIAALFADRGVRCTILTTPVQASIIRSIVDLRFPPINIAVVPFPDVGLSPGAQSGSRGDHGKLQQAARLLRAPFRQFLADHHVEIDAVVSDSFFPWSLDVAAEYGVPRLAFLGTSMFARCCAESVLRNHNPDDDEGDPDAVFTVPWLPHRVELRRSQMMRHPDQLAFVKGIYAADQRSYGELVNSFHELEPDYVEHYRTTLRRRAWLVGPVALASGSKDEASRGTDALSADADGCIPWLDAKPAGSVVFVVFGTLSSFSPEQLRELARGLDLSGKNLVWVIPDADAASSDIWMPEGFPTASRGYIIRGWAPQVVILNHPAVGGFVTHCGWNSTLEAVSAGVPMVTWPRHADQFFNEKLVVDVLEVGVRVGAKDYGSSTETHRVIGAEMIADAVRLLMEEVQGDAMRRRARDLGLKARSALEKGGSSYGEAGQLLDELMARRSR >SECCEUnv1G0551350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:233267359:233267808:-1 gene:SECCEUnv1G0551350 transcript:SECCEUnv1G0551350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCLFLLALLALVASTTLAQYSEVGGWYNEVGGGGGAQQCPLERPKLSSCMDYVMERCFTMKDFPVTWPTRWWKGGCEHEVREKCCNQLSQIAPQCRCDSIRGMIQSKFGGFFGIWRGDVFKQTQRAQSLPSKCNMGADCKFPRGYYW >SECCE6Rv1G0435450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:771068555:771068987:1 gene:SECCE6Rv1G0435450 transcript:SECCE6Rv1G0435450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKCSKRIHALVLLLLVCFTIHAQCRCIEADKEVQLAYIKNSQPIPRCCLDKHNDYCCSLNLACYDTIDQCTANCSISLSSATVSSSSSSSVLP >SECCE3Rv1G0170440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:252735247:252740442:-1 gene:SECCE3Rv1G0170440 transcript:SECCE3Rv1G0170440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPMAANAQELPPAQQQAAAEQAPSLALALVPQAQAQALIKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRTHGIVRWPYRKIVSGKGDDAKNAEREKAMQLLELSKIAKQKAISSSGSLATSSGAFQGVPKAQQGSAKAGSAIGRQNAPSVSQFSQAKDIPTYMDDFKYGFPSSGLSTETMKWWGTDSHTESAAVKDDNREGSESTNDASKGMTDDELDWGADEPDADADGVAAATDPSAQLCSLRRKAAGDGRRLLNGDTGRVQQLCRLNKRQKIVLAQVFGASLPEQWRSKLA >SECCE7Rv1G0479700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:257519231:257520463:-1 gene:SECCE7Rv1G0479700 transcript:SECCE7Rv1G0479700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLHHALLLAALLQLLSATSAATAGDVATGSEKVQVAVYYESLCPYTTRFVVNHLFKAYMDGLLDAADLTLVPYGNAVVGSDGTISCQHGPDECLLNTVEACAIHAWPDVKVHLGFIYCVSDLVMKNKRREWESCFQKQGLDPKPVTECYKGDRGRNLSLEYGRQTAELVPPHKFVPWVVVDGKPLYSDYGNFKAYICKAYKGYPLLEACRSLGLELEADKDVYGRL >SECCE1Rv1G0028520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:402782402:402785984:-1 gene:SECCE1Rv1G0028520 transcript:SECCE1Rv1G0028520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLPETASRLLTPETLRTAAKQSQGIHLVPISLRRAIKRYLRDQDKTHMHRKVLLLSSSFERAKGTGAELAAASTRSALLDDPHAPASAEQRTARWKVQSSYGDIGLRYREDETVAYVASRMPAIYAACHRVLREVRRRSPGFAPKNVLDFGAGPSSALWAMRAVWPKSIERVNLIEPSKEMQRAGQTLLDNLKGLPLIHSYDSIQELNRSIEKHERRHDLVISSYALGEIPSLNDRITIVRQLWDLTKDVLVLLEPGTPQGSKIISQMRSYILWMEKRKCRKSEKSTGAAPSKMKSMVVQEDLLKDGAFVVAPCPHDGRCPLENSDKYCHFVQRLERTSSQRIYKRSKGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFDTLKERHAKRNPEDLIIDYDDQFPSEEDEEAPDSDEDSLVPYASDTQELSLFHESGEEEEEEPIRADLGGGWGRIIYSPIRRGRQVQMDVCRATKRDASEGAFERVVVTQSKNPALHLQARKSLWGDLWPF >SECCEUnv1G0559620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:339846443:339851975:-1 gene:SECCEUnv1G0559620 transcript:SECCEUnv1G0559620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEEAGGGDQFPVGMKVLVVDDDQTCLAVLKRMLVQCRYDATTCSQATRALAMLRENRGAFDVIISDVHMPDMNGFRLLELVGLEMDLPVIMMSADSRTDLVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKFGGNKEHEHSGSLDDTDRNRLTNNDNEYASSANDGAEDSWKSQKKKRDKEEDDSELESGDPSNNSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGMANPYGVPASSAQVASLGGLDFQALAASGQIPPQALAALQDELLGRPTNSLLLPARDQSSLRLAAVKGNKPHGEQIAFGQPIYKVQNNSYASLPQNSPAVGRMPSFSAWPNNKLGMADSMSTLGNVSNSQNSNIVLHELQQQPDTMLSGTLHTLDVKPSGIVMPSQSLNTFPASEGLSPNQNALMSQSSGFLTGIPPSMKPELVLPTSQSSNNLLCGIDLINQASTSQPFISSHGGNLPGLMNRNSNVMPSQGISSFQTGNTPYLVNQNSMGVGSKPPGVLKTESTDSLNQSYAYANHMDSGLLSSQSKNAQFGFLQSPNDVTGGWSSLQNMDGYRNTVGPSQPVSSSSSFQSSNAALGKLPDQGRGKNLGFVGKGTCIPNRFAVDEIESPTNSLSHSIGSSGDIPDMFGFSGQM >SECCE1Rv1G0052810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:664690939:664694312:-1 gene:SECCE1Rv1G0052810 transcript:SECCE1Rv1G0052810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMEVKLAFPQDRPPLSIISAAKIAGVSVIIDPTLASGSVPTLHFSSGDFIHGVNTILRYIARAASVSTFYGQDDIQAAQVDQWLEYAPLILSGSDFEAACSFIDGYLATRTFLVGYGLSIADIVVWSNLTGTGQRWESLRRSKKYQTLIRWFNSVAADYGDALDEVTSAYVGKRGIGKSPAPSLKEKMPGLKENTSGHEIDLPGAKVGKVCVRFAPEPSGYLHIGHAKAALLNKYFAERYKGRLIVRFDDTNPSKESNEFVENVLKDIETLGVKYDVVTYTSDYFPKLMEMAESLIKQGKAYIDDTPKEQMRSERMDGVESKRRNSTVEENLSLWKEMVNGTRRGTQCCVRGKLDMQDPNKSLRDPVYYRCNPDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNNMVEDWTDARFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIVDPICGRHTAVLKDKCVLLTLVNGPEEPFVRILPRHKKYEGAGKKATTFANRIWLEYADASVISVGEEVTLMDWGNAIIREIKTDNGTITQLVGELHLEGSVKTTKLKLTWLSDIEDLVSLSLVDFDYLINKKKLEEDEDFLDNLNPCTRREALALGDPNMRNVKKGEVIQLERKGYYRCDVPFVRSSKPIVLFAIPDGRQKSTSIGTGA >SECCE6Rv1G0421620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:684800953:684803988:1 gene:SECCE6Rv1G0421620 transcript:SECCE6Rv1G0421620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAEKLVVEVVAAHNLMPKDGQGSSSAYVEVEFEHQKRRTRPRPRELNPVWNERLVFPVADPDDLPYRAIDVGVYNDRAASASGSSPHGRNFLGKVRVPAAGVPAPGEPVVPQLFTLEKRSLFSHIRGEITLKIYRAGAGAGEMVAKGKQEKPAKAVVTGPEVVAAPPANGGKKQHHQHQQQHQNQQPVVAARPHQPPPQQQPQQPMDVMPQPQPAAMKPVMLADHYPVPGMFPGGPADFSLKETRPHLGGGLTADKASATYDLVEQMQYLYVRVVRARGVATPGEAVAEVKLGNYRGVTPPAAAHQWDQVFAFSKETIQSSFVEVFVRARGSDDHVGRIWFDLSEVPRRAPPDSTLAPQWYAMEDRKGERGSVELMVSVWYGTQADEAFAEAWHSKAAGVQGHGPLGSIKSKVYVAPKLWYLRVSVIEAQDLVPMDKGPMAMGRYPELFVRAQIGSQMLRTRASPIMANRGPTSPFWNEDLMFVVAEPFEEFLVVSLEDHVSPGRDDIVGRLVVPVTAIERRWDEKLVVSRWFGLERGTGGGNVAVNNPNRFGSRVHLRLSLDGGYHVLDEATAYSSDLRPTAKQLWYPHVGVLELGVLGATGLIPMKGRADGRGATSDSYCVAKYGQKWIRTRTVVDSVCPRWNEQYTWEVFDPCTVITVGVFDNCHVDKPAASGNNTTVAVRDNCIGKVRIRLSTLETDRVYTHAYPLLMLHPSGVKKMGELHLAVRFCSSNAGNMYHAYARPMLPKMHYVQPLLVRQVESLRLQATSVVAARLSRTEPPLGKEVVEYMLDHRSHLWSMRRSKANFFRLVSVLSGVMAVGRWFELVRSWHYPVHSCVAVFTFLVFVLMPELILPTAFLVMAFTGLWRYRVRPRHPPHMDMRLSHADAATADELDEEFDTFPSSRGDVVRFRYDRLRSVAGRVQTVVGDIATQGERMQAVLSWRDPRATILFSIACVAAAVIAYAVPMKLLIGLWGLYAMRPPRFRSRMPSPLMNFFRRLPSKADILL >SECCE3Rv1G0212540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:955911390:955911749:1 gene:SECCE3Rv1G0212540 transcript:SECCE3Rv1G0212540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIFTILASIAAACSDRKNLPKALISGGIFKAAAALCLVFFQGPGGVFLHHGKGAYYLYYGILVAIAVFGLMEASVGCWVSGRLDDRHAAGKAVLRVSILPLVCVAAFGGFVVPRKVN >SECCE1Rv1G0060860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712524715:712524984:1 gene:SECCE1Rv1G0060860 transcript:SECCE1Rv1G0060860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTRASAKQPKPGRALGRRGMSRAEAAAAADAAARRSAAAREWRVWGEWAMGAAKVVAHYGFIPLVIAVGVIKSDPKPSAFQLLTPW >SECCE3Rv1G0154240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59387633:59388535:-1 gene:SECCE3Rv1G0154240 transcript:SECCE3Rv1G0154240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQAYLQRQRSGRGWTQYLRCRPMAILGKAGADDGSRVVMPPSALDRINSALVEYPLMFRVQNAATLEASHCGVLEFVAEEGFVHVPALTMARLGLEEDDLVLVTSTSLPKATSVKLRPHTMDFLGAKDIKRLLEFNVRLNTPCLTVGDTIAVAEGDRRYLLDVVEAKPADAVSTLDTDCEVDFATPLDYVQPPAPVAVKVAAASCQDCANGRERRFAGVGIRMDGKPVDQTSPPAPAPTAGSSGKRKTASEHVLRFFGGRGAKSAKKVDGEDDKDAKRFTGQKYTL >SECCE3Rv1G0213080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:958199119:958202645:1 gene:SECCE3Rv1G0213080 transcript:SECCE3Rv1G0213080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRPSPPPPTLTAQEWENLLDDFAAPPPRRDRWLHLPLLDLALSSLPRRDLPSHLKPLLLAFVDDHLLPPPAPARQLPLLLAALLAFPADHPLRDHLVATAASAFASALSAPLADPEGEAPPLAGLVGALLAAANRPNHATDRAARALACDALRALDEALPGLLADVLGHAYALASAERSPAAQSYILLLASAARGAVRLGRLGSSASILAVSGPPVPFSVPAHLLSSSPSPPASAAPPSEQNVREIRKVLALVMERPQVLTPAAAMEVTAIVAEVATAVLEWAPAIAAHVKVQFSGMAYSSSPMLLHSVLTLFAKFPDAFGAEDERKMARRLASAACEAHRPLPVRLLALHWLLGSGRFRDSVPGLAKWFYPGMFDPLALKAKKLDCLGFVAATVDSDKVEGGSYGQQTTELIDDGLVCVSAFRWLPAWSTETGVAFRALHRVLVGAAPHSTNDKGCSGAGELLNSTTFHHFQAMLVDMASEHRSLVPVIADFINRLLACTTHRWVGEQLLRTVDECLLPRLEPGYQLASYYPLFEKIAQNEAVPQLRLIELLTKQMVSLAKKHDPDTELKSWSQGSKVVGICRIMLKHHHSSHIFLPLTHLLVRTIESFPDLEIRDHARICLRMLSCIPGKKLRNLMGIGEQPTPSHPGSMFDDPSERPAQDPSSMPALASYIHLERVVPLVVKQSWALTLPNFSVQSRASGQILSIQDVSVPPPEQEKPPQPTIERIGYTQEALRVMDSKGAETLEILRRHFSCIPDYLHSSGGLKVKIHCTFRFDSEPFNSAWGSDSPVSCSEGDDELPALYAVTITFSSSARFGKIPPCHVPFLLGEPPGSGADIVPIENCHQEESSYRASVMIELEPREPSPGLIDVEIAANTENCQVICGSLQPITVGIEDMFLKASVPPDTPKDDAAEYYQDLFHALWEACNSSSNTGRETFPLSGGKGLAAISGTRSVKLLEVTPKVLIGALERYLAPFVVSVAGRSLITILRGNGVIENVVWEESDSDAAVGADALVPYSTENNLQLQHIDDDEIGIGAQRFAHLSKRDMGVVSVLIFLPPRYHLLFSMEVGYASTLVRIRTDHWPCLAYVDEYLEALLQ >SECCE6Rv1G0439790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:799487169:799489758:-1 gene:SECCE6Rv1G0439790 transcript:SECCE6Rv1G0439790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIVYGCAGKPERENSSSMRSNCAAMLRLHRSDDGGWYISENRASHNHELLRTCAEKLHWPSHRHIDSYTKDLVKQLRQNNVNLGKVYNIIGSLFGRMENVPFTKRCLRTFCGKLSREQADDDVRKTMDAFSELGSTDPEFSYVVEVDKESKIKTLLWTNGRSKMQYHNFGDVITFDTTYKTNLYDMPFGLFVGVNNQFQSIIMGGVMMREETIESFKWVFTEFIRLMGGKPPKTILTDQARAMEVAIEETMPDTTHRWCKWHVLRKAKEHLGPHYTKSSDFRAALHKVVNEMLTVDEFELAWAELLDKYKLHNNTFLIQIFEVRHKWAKPYFSGKFCAKQTSTQRSESANHLLKGYILPACPMNLFVKQYSKLQFDREAEEGFQEKRTRLGGIVLRHNYPLEEHASQVYTRTMYEMFGQALYRSGRYDVEEIERGISYNVRHVEAEKRDKWCREMHAVNVHDGGARYTCECGLFEHMGMLCCHAIKVLIHLGVRKIPSFHVLKRWTIDARDNLPLHLLHYQKDQGPPRLSSYRHTALHLTALEFVQLGDSNVDAFDRAMDILIAGKAELTILAAIKDGKSLVDQTQIGESANPANGMVAGSSNPDDMCSQMFISTECGLNSVSGEAGSSLSLSKLQAPDRKKQKGRPTTARDKPGYEVKDTRSRFCTVCREKGHKSTTCPRRGDLPKKPRKIPTCGNCGVAGHKKTSCFNPVVPFVKRTRDGPVE >SECCE4Rv1G0273720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:779389773:779390530:-1 gene:SECCE4Rv1G0273720 transcript:SECCE4Rv1G0273720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAGPLLVLLVAMGCTFLAAARSGSGNPTAGFEKVELAAGAFQVQSPFNVPESQRFQYRNGVRTFWVHRNDKPFNTPTHTNPRSEVRLRGHDYSSGVWQFEGYGYVPSGTSGASVMQIHDQEGAAHSTVLMLHVYDGVMRFYSGTAVEPNIYNRWFRLNVVHDVGASTVAVYVDGEHKFGTNVTPSASYYFKFGVYMQHHDQSSCMESRWTNVTLYTKH >SECCE4Rv1G0230690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:172516416:172529013:1 gene:SECCE4Rv1G0230690 transcript:SECCE4Rv1G0230690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAAAPLLEKKEAVYREGCPGCAVERRKTLNPGVPYKEFFHIWTIILASCLPVSSLFPFLYFMIRDLHIAKTVEDIGFYAGFVGASYMLGRALTTTAWGMVADRIGRKPVIVLGIFSVLLFSALFGLSVHYWMAISTRFLLGSLNGIIGPIRAYAIEVCRPEHHAIALSLVSTAWAIGLIVGPTIGGYLAQPTEKYPKLFPVDSLFGRFPYLLPCLCISVFCFPVLISCIWLPETLHNHNTDEKEDQASESLTAFFSNSEEIVEHNITSTTKNNVLKNWPLMSSIILFCIVSFDDMAYSEIFSLWAESDRKYGGLSFSSEDVGQVLAITGVSIIVYQTFIFPHIIRVLGPINTSRVAISLSVVLLFTYAPITHLSRPWLSIAVNIASILKNNFLISIVTSSFILQNNSVTQDQRATANGLATTLMSFCKTFAPAGAGIVFSWAQKRQHVFFFPGDQMLFFLLAIVELVGLIWTFKPFLVVPDQFSSN >SECCE4Rv1G0252290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:613347582:613348640:1 gene:SECCE4Rv1G0252290 transcript:SECCE4Rv1G0252290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSEVVGDDVTTATPAVRRRVDNRWDQTATATGGDSSNSAMGRRFKQLDMIGSGGFGVVYRAKDRHTGEIVAIKYLRTNKYGHDDSGDRYLSAFAGEVNALEKCSGHPSIVQLRASGQHDGEAFIAMEFVGPTLRHVMKHIRCGRRHTELEVRLMMRQLLTGVGRMNRLGLMHRDLKPGNVLVDGRGNLKICDLGLSSRMDDWPPYSNHIGTRGYRAPELILGSTNYNERIDSWALGVMMAELLAGHHPFYGKTDMDHLSEILDLLGTADIKEWPGYDGRPLPGGWAFHSSLRSMFPCPAEARRKCRPQLSEAGFEVLSGLLRCNPEKRLTARGALRHKWFKETNFRAAKS >SECCE2Rv1G0096590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:441190463:441191429:1 gene:SECCE2Rv1G0096590 transcript:SECCE2Rv1G0096590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCFLHQSTARLAASARPAPAVGRTQLFVCKAQKNDEVASDAAVVTSRRAALSLLAGAAAVAVKVSPAAAAYGEAANVFGKAKKNTDFVAYSGDGFKLMIPAKWNPSKEREFPGQVLRYEDNFDATSNLSVIINPTTKKTITDYGSPEEFLSQVGFLLGQQSYGGKTDSEGGFESDAVATANVLESSAPVVDGKQYYSITVLTRTADGDEGGKHQLITATVADGKLYVCKAQAGDKRWFKGAKKFVENAAGSFSVA >SECCE4Rv1G0230280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:161280928:161282861:1 gene:SECCE4Rv1G0230280 transcript:SECCE4Rv1G0230280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAASSSVLLSFSSPGRSTAATFLRPSTASPRITCSTTRQLPLAAPWLLAAEKSSTRRSSSVLSLRCSSAATGSPSAVVSSERWILEPAGDGDWKHIGYRVARPGAIEIASEAMTVGRVPESADVVIPVATVSGVHARLEKKDGNLVVTDMDSTNGTYVNERKLVPGFPVAVQPGSLLIFGDTHLAMFRVRKTIVDVPAEATKDDQQEAETVLASAVQETS >SECCE2Rv1G0116950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:768977147:768982034:1 gene:SECCE2Rv1G0116950 transcript:SECCE2Rv1G0116950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRLLLLLCPLALAATASSSSSKVVTSLPGFQGRLPFHLETGYVEVDEDSGTELFYYFVESEAGGKNDPFLLWLTGGDHCSVLSGLAFEIGPFKFVIEPYNGSVPSLEINPNSWTKLAHILFVDSPAGAGFSFSEQPRGYHVGEVSTSLQLHEFLIKWIRDHPKFVSSPLYIGGDSYAGKIVPFIAQKISQGNEVGRRPLLNLKGYLVGNPATGERIDESSKVPFAHGFGIISDQLYETILEHCQGQDYKNPTNVLCAKALDTFHSLLSEVMLPQVLFDKCVYSSAGPHAETDDSAGAGAGRRILSEEAAGIKPGKRLKHPPVRPPLDCINYAHYLSYFWANDERTRDALGVKDGTVDEWVRCQDGGVPYTRDIASSVEYHRNVTADGYRALVYSGDHDSVVPHLGTQAWVRSLGFPIVDQWRAWHLHGQSAGFTITYSNNMTFATVKGAGHTAPEYEPERCSVGY >SECCE3Rv1G0208710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:934524360:934534408:-1 gene:SECCE3Rv1G0208710 transcript:SECCE3Rv1G0208710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGFSAEIFAQKLAKLNIAQQSIETLSHWCIFHHRCCQEVVDIWNRDFHSAPQERKISLLYLANDIMQNSKKDGMRYIHEFLKVIAAALDDLFTNGDDFGRNVVKRLVDIWEDRKLFGTQGQLLKEEYTRKFKELKSKKPGGELVEKVISSYKHMLRAPVDEAKLMRECNSALSFVDNLNKEYGNSYLGSSNGYSFVEELKEQHSILRNTIERFKLSESLRAALVSDLKEALHEQEFKTELVRHQLRAAQVRYRKADDLCQKLGIDVPRHEPSNGVENSSLSEVPATFPPVSANANSVEKGRSTAVMYSREGDGGEPETLNGGFSSRATRDNFEQKIEGHPPGTKRQKIENGISVPQPEAPPPPPPLPYPDTFEQPPPPPQYPPSPESSPPPLPPSMPPPIPPPPPPSTDAFMPVPALPMGGMPYFPPFPPPVNYPMMNMPPPFPGAPNPPHPGFLGFGGPFYGPPFPSAPHQ >SECCE5Rv1G0326250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:475458396:475461640:1 gene:SECCE5Rv1G0326250 transcript:SECCE5Rv1G0326250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDIDSYTIRGTTKVVRVGDCVLMRPSDTDNAPYVARVESLESDGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDTQSAHTIEGKCIVHSFKNYTKLDNVGPEDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPTCMGMTIEQAKKLDTFLCADCAKENGVKRPTNSYPSSPSSDSKVEPKRRKR >SECCE2Rv1G0112050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:706324949:706326106:-1 gene:SECCE2Rv1G0112050 transcript:SECCE2Rv1G0112050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCYPSGASALLLPAPRTRAAFPQRFAGPASGRSSPRAAVRRQRTGRGAVGVVRACFNPFGDERILREAFKEPVAFMGGVFAGLLRLDLNEDPLKEWVTRTVEASGIAEENSAEESNEGAQNDGPQQIEIE >SECCE7Rv1G0513630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:824112887:824113219:-1 gene:SECCE7Rv1G0513630 transcript:SECCE7Rv1G0513630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVKAIGVFCFLVFVALSSCPHRAQADHCAADKSKVMRDCWRNIGKNVGEYPLLHGSVCCQVIRAATDIHCVCDKFTANELARISLAKFAMATHVCGNGLRAHTLCAGW >SECCE2Rv1G0073870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:72407392:72408241:-1 gene:SECCE2Rv1G0073870 transcript:SECCE2Rv1G0073870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETKAVAAAVEASPAPAEEGRSKTVVLVAVDDSDHSYRALEWAVRHVAATSGVPGARPVELVVVHAKPSPSPVVTMGGPGVSGDVVRLVEADLRKKAQGVVDRARRLCLANSVQGVVEVVDGEPRHVLCNAVDKHHADLLVVGSHGYGAIKRALLGSVSDYCAHHAHCSVMIVKQPKSRN >SECCE5Rv1G0349720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:686201703:686202158:1 gene:SECCE5Rv1G0349720 transcript:SECCE5Rv1G0349720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEAPHQLLLNGVSLDLCLDTMKHHRGARRPAYPAPADDQQQHHGKEAFSCNYCQRKFFSSQALGGHQNAHKLERTLVKRSRDADTGIHGAAAATPVAHSWLHAGGDLWAYSASPVASMRSMGGWAGTRSATTAGGEAVAEMDLSLKLCL >SECCE1Rv1G0009970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:59270295:59271836:1 gene:SECCE1Rv1G0009970 transcript:SECCE1Rv1G0009970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGASFFLVGAILNCAAVNIYMLVIGRIFLSFAVGFTNQSAPVYLAEIAPTRWRGAFTSIFHFFLNVGMFVADLVNYRANTIPGWGWRLSLGVGIIPAIVILVGAVFIPDSPNSLVLRGRVDEARHSLRRIRGPTADVDVELKDIMRAAEEGGRHKSGAFRRILLREYRPHLVMAIAIPLFFELTGMIVVTLFAPLLFYTIGFTSQKAILGSIITDVVSLVSISVAALSVDRFGRRFLFKLGGGVLLVCLVGMTWIFGAELGTNGGKAMPRPYAVAVVALVCLFVAGFGISWGPLKWIIPSEIFPLEVRSAGQSMSESISLTLTFVQTQSFLAMLCSFKYGSFAYNAAWVVVMTAFIIAFLPETKGVPIEAMGAVWARHWYWKRFVKPAPEPTPTPDKQPDGSLEM >SECCE2Rv1G0137800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:919776920:919777319:-1 gene:SECCE2Rv1G0137800 transcript:SECCE2Rv1G0137800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKKNANFVCLGVLMLVMATIMLSCDADPKERCYAIEDGCDEIKCMENCVSTGHTKGFHCRDSSECCCLIAGKPKVDAIHRHD >SECCE7Rv1G0473210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:154943362:154953747:-1 gene:SECCE7Rv1G0473210 transcript:SECCE7Rv1G0473210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADALSIIPGAVLRNLADKLYEKRKNAALEIEGIVKQLSTAGEHDKIAAVIGLLTNDFTYSPQANHRKGGLIGLAAVTVGLTSEAAQHLELIVPPVLNSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPNVDYGRMAEILVRRAGSPDEFTRLTSITWINEFVKLGGEQLVPYYADILGAILPCISDEEEKIRVVARETNEELRAIKADQAEGFDIGAILVIAKRELNSEHEATRIEALHWFSTLLVRGRAEFSAYLDGIFEPLLNALSDPSDAVVLLVLEVHARIAEEYHHFQHLMSYLIHTFHNNHVLLEKRGALIVRRLCVLLGAEKVYREFSTILQTEGDLDFASTMVQALNLILLTSTELAELRSLLKKSLVDTCGKDLFLSLYASWCHSPMATISLCLLAQAYNHASSVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLLMLLPQQSAAFKILRTRLKTVPFSENLKRTSSANPYSQILQVTEDGNRNQDAPNYSAIDFSSRLQQFGSMQQQHRNHLKNQLQSRKSASAVVLSQEIQRYEESQSSSIPEISRPPSRGPKAIS >SECCE5Rv1G0347270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666294417:666295695:-1 gene:SECCE5Rv1G0347270 transcript:SECCE5Rv1G0347270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVAADGDNAREDDQVAADGSGLGTAVDPALVANGDGGAACEEGSVVGAADGVDEIASAGGGGSSAAGLGQGAEVAGGYVAVVARDSGQGTAKPASTGASDQGMARLASATRVCPDGMVPNSSYLLRIKLFGNPKKARKDILCFCFEKEVDCDLTNYKGLVESIVKKYPPRYMEVAHMQYYDGDLKTCPEVKSDQDLMLMFEKHSETKVLDMIIAYRDPSEPYEPITEWQSDVHSEPDNNIEHEDDTTNKRYAKGGKRATRTMELTKKDQNGPKVPFDSPAMGTRSKKSYLASPAMSTRSKRRLSS >SECCE2Rv1G0067340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18638363:18638743:1 gene:SECCE2Rv1G0067340 transcript:SECCE2Rv1G0067340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSERSNAGYATPAAVLAAFSRGSGPSVSGGAAASASASGSVSSQAGGGARRKPPFRLAADDTKPVLRDPISRSDPVEAEQAVLRLPPFP >SECCE6Rv1G0379860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:17606555:17609140:-1 gene:SECCE6Rv1G0379860 transcript:SECCE6Rv1G0379860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEVEADMERVLGGFSLRLSDRDDSDGSGGGRGGGGHDEADGGGAMKERIARALRLYKESSSGGGEGGALVQVWAPARDGERRRVLATRGQPFVLPSRCRRLLQYRTVSLTHVFAVGGGGQGEGATWEERGLPGRVFDARAPEWTPNVQFYGTGEYARMSYALIYDIQASLALPILDPADSRRCLAVLELVFTTATAARFAAEAHNLCKALQAVSLRGSEICHPVPPTEICNSEATQAAMSEVSELLAAVREAHELPLAQAWVRCKQCTRTDVDDDGQHFSLTTAGAPFDLGAHYGGFRDACAEHHLRRGQGLVGEAAAVNGPRFCADVARRSKDAYPLAHYARMHGLAGCLAVPLRLPRSAMDDDQEEEEFVVLEFFLPPDCRSAAEQKAMVDAVAATVRGECSGDLLAMSGLQDLYLESILSDSDHAMVAGAVHGLNDRGDYDPSDSEEEDGDQARGIHGADQDGAEDCVPPPETKKKTGRKAGRPVSLKELQGYFSGSLKDAARSLGVCPTTMKRICRQHGISRWPYRKISKVNRALGKIRRATIESVDTTTASSSSRGASAPHLPCLPSAPGEDTSSQGSSQDPPPLTKATLPKSLLRRSNGAAGELVTIKASYKGDIIRFRVACSAGVAAVKEEVAKRLGLDAGAFDVKYLDDDHEWVLLSCDADFQECLDVAPGLQASPVVRLMVQVAG >SECCE5Rv1G0332050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:544262465:544265026:-1 gene:SECCE5Rv1G0332050 transcript:SECCE5Rv1G0332050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPSTSSPAAPPPPPRRPPTKVRLRRQRLEALLQELRQTLDGLGDADLGASLSDVDARSDAPEYGDSEGDDDDSAASMASDSDRATDQVFDLLKSRFESPEFIQEFHEIQKSVCQNGAVELDTSWDVIKAGDVWEDDDDNGYVLVKPEDAAEGIAFFVATYLSTLTKTKELSPDRLQKALKKTFSAEKRKGKLRKAWDGSKVIYNVASWGATAVGIYNNQAILKAAGTAIQMSWRVVSKFL >SECCE5Rv1G0335850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:579868423:579868692:-1 gene:SECCE5Rv1G0335850 transcript:SECCE5Rv1G0335850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRSASRGGANQEWSKRENKLFEDALAYYGEGTPDRWLKVSRAMGGTKTADEVRRHYEILDNDIKLIESGRVPFPKYNTQGQGAWN >SECCE6Rv1G0382700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:46741097:46747087:-1 gene:SECCE6Rv1G0382700 transcript:SECCE6Rv1G0382700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVVVFPIRGRAWCFARPRPVLPATSASASAAGNGALPPPPTLKDLWRGVSAGGRTAPENAEAVADFVADKMNRAWIGFGSAPEGSVKRRIHSFGLKLLSRVRPSETLLKSLTKDVSMLEIVHPASINPRLVRRRLRHIAVRGAAIHKKYLYGSICMLPVTSVFMVLPLPNIPFFWTLFRAYSHWRALQGSERLHLLVSDCSGEGKTLLEKKNGMSTRKDGDSQYAPWKFQPSQKLDGFLKRRGLDEGLDCDTISRICQAYDLDKVDVLKYRDLEWPSSASRTA >SECCE2Rv1G0106080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:629574087:629576267:1 gene:SECCE2Rv1G0106080 transcript:SECCE2Rv1G0106080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 14-3-3-like protein GF14-6 [Source: Projected from Oryza sativa (Os04g0462500)] MSAPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKEYRGKIETELSKICDGILKLLETHLVPSSTAPESKVFYLKMKGDYYRYLAEFKSGPERKDAAENTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDITEDTAEEEIREAPKNDSSEGQ >SECCE7Rv1G0493950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:577146634:577147269:-1 gene:SECCE7Rv1G0493950 transcript:SECCE7Rv1G0493950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAQEADDDFTFPTPPPLLAAAACSYGHHRHDNRVSPSPSPSSSPLPAWLLSSPIRRSFSAADCAASPWRVTRGRCCSPTLSDYAAGFCDGGDEEEEMMDSLWEDLNDDEAPGGERNDLLIASLDVSRRRSVGGPGHPVDRKRPTATTKEREAAAVLAPSRSSRRRSPGLVVMMRALRKMFVAHKSRSKVHKVDEQSTASASSGNSFKK >SECCE5Rv1G0303420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:48591489:48592589:1 gene:SECCE5Rv1G0303420 transcript:SECCE5Rv1G0303420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASRPPAPPPPPPPPPPSAAMQWLGPRVSFSLEDAGGCGGGRDAAGGGGKASADFEFLLAGCSAVSTMLPADELFSGGKLVPLRLPSSSGCSSEAVATRPPLAPAPTTQHQQQPETPRAEEETKDAVEAAEEPKVPARRWRDLLRMRKQQASSSSSSSSTETKQPLRRLLRRGPKPSEQEPSLSLPLLRDPNEPDKPAPAPAPASTPSPTPPPPVSTSQHQLPPKIRLTAAQAAAPPPPPPPPPPPPSAVAADSPRLNAAGKVVFNGLGRSSSSPSSLAGGRRHRSGSGGSGMERSYSAHVRVTPVLNVPVCRKSVSVFGIDRLFSPSSASTAAAAHAAAKKQGKVAKKEAAAPPPPPSSSH >SECCE6Rv1G0410740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:602208527:602209766:1 gene:SECCE6Rv1G0410740 transcript:SECCE6Rv1G0410740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTPEEDQKLTDYIEKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTPEEEQTILQLHSVLGNKWSAIAKHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDFFAALPQLIALANLRQLVEQRPWDDQSASQLQADAVQAAKLEYLQCLLQSAAAIATSPSSSSINTIPTDLEKIGLLSPSQMSSLSSLSSPRILEGINGQDLVSGQVPDIQIPSSSFFEHEQTIINGTNQNSDYSANSGEGENGTQKPLLLSEDSLPPLADFPISNLGDACSTSSCDAEGNSTQLPIWSDSFYDEFMSEFA >SECCE4Rv1G0280810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:823474767:823477185:1 gene:SECCE4Rv1G0280810 transcript:SECCE4Rv1G0280810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase domain-containing protein Sgpp [Source:Projected from Arabidopsis thaliana (AT2G38740) UniProtKB/Swiss-Prot;Acc:Q9ZVJ5] MASTNGGGVSPLAATVPVEAVLFDIDGTLCDSDPLHHVAFQELLLVIGYNNGVPIDDEFFINNIAGRSEVEAAQNLFPDWPLEKALKFLDEKEAKYRSLAMERLEPVKGLSKVVQWVKDHGYKRAAVTNAPRINAELMIKLLGLSDFFQAVIIGGECEKPKPAPFPYLKALKELGVSAAHTFIFEDSASGTRAGVAAGMPVVAVLTRNPEKSLQEAGAALIINDYEDQKLWNALEEIDREEAKLKNGGA >SECCEUnv1G0556240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310667479:310668003:-1 gene:SECCEUnv1G0556240 transcript:SECCEUnv1G0556240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFGGWILKSSPIPITKKPDLNDPVLRAKLAKGMGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTVFLIGTVVALWLGIGATLPIDKSLTLGLF >SECCE1Rv1G0062190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718620250:718625592:1 gene:SECCE1Rv1G0062190 transcript:SECCE1Rv1G0062190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAEKPQEPRRDVHGELSGAPAVGLDPGPGPCDTALTPEPTAMPAAAAEESSSITKLGRLFRLTEVHLWDDFYVKTHDWRATETAGSTGSRTAKTRNKAAKQTDEDHSFVEDMELASLMGSLGLPVSFTTRKEKKKTPAKGKHQGRQAPYEAASTPIDDSVRTCTNSEELEHVQESMDCMEQTNSCVSSRAAAGYSEVYHGDVDKTLGEDSVNQCEPNGNMSSPVKSGSPVQENQAADSFMQLNKVMLEQNSVDNESIMSCAELCHEEKSSEREDKISGETPPTSHDNNDPCPAEPSPVYNHVENSGSDFYYECGDWQVLWDQFYSRYYYYNIQTQESTWDPPQGLEDFVSYCSTYSSQGIDEQVSQLTSTRVEEHNKSNAKLDKSCGVLSCVKHFISQPDEDAVQYGANASPRDNGETTFDQAGDNSHLDEQRHDLYNEAQSLSDIPDKELIYPSVIDTINEVQDGENMQNDSSVPEVLEVNQEATITKKKKRVRRSQSSHSCQDLAENISNDIAKYWNQRYSLFSLFDSGIKMDEEGWFSVTPEPIAKHHASRVGAGILIDCFTGVGGNTIQFAAKCKHVVAVDIDPQKIDCAQHNATVYGVNDHIDFIIGDFICIAPHLKGETVFMSPPWGGPDYAKVDVYDIKTMLKPCDGYHLFKVATTIASRVVMFLPRNSDLDQLADMCLSVDPPWAVEVEKNYLNGKLKAITAYFEKQGSVDENCIFREQRR >SECCE6Rv1G0404520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:520556417:520557849:-1 gene:SECCE6Rv1G0404520 transcript:SECCE6Rv1G0404520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLSIVFFLSIGFAACSCSSFSTTHHDPSVVGYSQEDVALPERVLDLFSSWSVKHSKVYVTPKEKARRYAVFRQNLKHIVETNRRNGSYWLGLNQFADVAHEEFKAGYLGLSTGLAGAGGQPRRAPATAFRYESAVDLPWEVDWRKKGAVTPVKNQGKCGSCWAFSTVAAVEGINQIVTGRLESLSEQELMDCDSTFDHGCGGGLMDFAYAYIVGNQGIHTDGDYPYLMEEGDCKEKQPHSKVVTISGYEDVPENSEVSLLKALAHQPVSVGIAAGSRDFQFYKGGVFEGTCGAQLDHALTAVGYGSSDGQDYIIMKNSWGGGWGEQGYFRIKRGTGRPEGVCDIYKIASYPTKSNTTGWGWGA >SECCE5Rv1G0333250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:555507440:555510669:1 gene:SECCE5Rv1G0333250 transcript:SECCE5Rv1G0333250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGVGGRKARNFATFRLFPRDGAADPNDRVFVRVDNNAYTIPGFGGDEDPSLSPTAAADQFPSSTSGPLPDHVRQQILELGLPDDGYNYLLHLRELRPSAVASSFVPTARPEQLPLDVKAYDASKVRVASGKVEEELDEGRTMCKVAAKTAPVRRVEKAVDPDVARLLDESEDEGLEEDFVIMANQAEGDDLEDESEEEGGGVFSDVENDEEFEDEEGEPKPRVPRLLDEQFDLLALEEYGASDDDGAVRDGEHELPSEVIDELKLFHNQNVCVDEEYRTPADFVRGKLDSSTAEEVDESANVIQKCAEYAERYLNETAEDEDVLLVSESSDESEVWDCETIVSTYSNLDNHPGKIQTPGNPRNRLPKVFPGETATTKDIIKLHGKEKLPVDYLPQRKRKVEKEKKAKPTEASDPEYYFEKVVVQKETKDEKKARKSAVKEEKREARKAKKELKELYKFETQKAQKVAAITGPSSIRLI >SECCE3Rv1G0183650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:652961646:652964660:-1 gene:SECCE3Rv1G0183650 transcript:SECCE3Rv1G0183650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WOX9A [Source:Projected from Arabidopsis thaliana (AT2G33880) UniProtKB/TrEMBL;Acc:A0A178VQA8] MASPNSRQQQQHWPSMFRSKHGSQVWQSQPDMTGSPPSLVSGSAAATGLKSPFSSGPDQERNTDTKPRWNPRPEQIRILETLFNSGLINPTRDEIPRIRMRLQEYGPVGDSNVFYWFQNRKSRSKNKLRNAAARAAPVRACAPARQQAAAPYTPPPKQFHPPKPPLFSPVAPTSSSSSSSDRSSGSSKPVKPAATQAMPATAAMDLLSPLAAACHQQMHYQFGLSQPAVSAPALAPAPAPNLDEFAANDVEPIFLQYPQGHCLSAGELAAILGAQYMPVPAVQQPPVASPTSMLLGLCNDVALGPISTGHRSSSASAAGLGQYWPSGVDQLGLRKNSDPFLNTSVAKEEAYEDFTKTKLGLLQHGLGVTAAPATSVAAVLPPPASPDSAVVTVASASATAGLTSLFATTATTDTVSYNGNLQGPADDVGFAGAAAAAGPGATGALGRGAAVVCFAGTSAACSVPATHLDVKLYFGDGAVLFRCNGDRAEPLLVDDAGLTVEPLQHSGVYYCVLI >SECCE5Rv1G0351990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:706197745:706201166:1 gene:SECCE5Rv1G0351990 transcript:SECCE5Rv1G0351990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAELEAVEGLRWPWHTWPPTPSAAEALVVPTAVLCTPLHPTAPDLLPILPYPPLRCASPACAAALNPFSRVHHASARWSCSFCGSMANPYPRHISPDSIPAELFPTHSSVEYTLPPDPAEVGGGALPAIVFVVDAATAGDELAALKAELLRVVQGLPERVRVALVTFSASVWVHDLGFEGCARVVVLNGERELESDKIQQLLGVRHSRYNKLATLKPVEAQRFLLPVSECEFSITSAIEDLSSMSACPRGHRPLRATGAAISTAVALLEGCCSPNTGGRIMVFTSGPTTVGPGLVVETDLGKAIRSHRDIFNGNVPLVEKAQDFYKKVAKRLTDSALVLDLLACSLDQIGAAELRYPVEVSGGLMVLTESFESEQFKSCLRQTFKREGTDYLNMNFNATIEVVTSREVKICGALGPCISLHRQNSSVSDKQIGEGGTNYWRMSTLDSKTCIAFFFRADCSRDTDPPTVFFIQFMTRYRHGDGSNRLRVTTVARRWAGPRSPEIAAGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVGNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLAERFPVPKLIKCDQHGSQARFLLARLNPSVTQKSQLSEGSEVIFTDDVCLQVFIEHLQELAVQG >SECCE4Rv1G0219170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:28589924:28592119:-1 gene:SECCE4Rv1G0219170 transcript:SECCE4Rv1G0219170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSFDAIEAKPVFLSKEERQRLALERRQAAVADQRRSALDVLQSLPRPPPPPPPSGAPRDSSSSHRDPSDRDRVDRGDRDRDRDRDRDRRRDDDSRRDRDRDRDRDRDRDEPSRRDRDRDRDRDREHRDRERGERDKDREKDRLEKMAEREREKELEAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNMLYQTPHEARLLYGRGFLAGIDRREQKKAAAVFEKETRAEQRRKFGVEDRPEDDVADKKKAAAAEMYDAFDMRVDRHWSEKGIEEMTERDWRIFREDFNISYKGSRIPRPMRNWPESKLGTELLRAIEKVGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEDNEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEEEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMVKESEKMSRLQKILTDLGDKTAIVFCNTKKSADNRAKDLDKAGFRVTALHGGKSQDQREISLDGFRNRRFNVLVATDVAGRGIDIPDVAHVINYEMPSSVDTYTHRIGRTGRAGKKGLATSFLTLDNTDIFFDLKQMLTQSNSPVPPELARHEASKFKPGSVPDRPPRRNDTVYASH >SECCEUnv1G0562100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:362186209:362187600:1 gene:SECCEUnv1G0562100 transcript:SECCEUnv1G0562100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLLTGLLLAHAVAYLVWAAAVRRRQSRCYLLDYVCHKPSDDRKVTTEMAGALIERNPRLGLPEYRFLLRVIVGSGIGEETYSPRNILDRREDAPTHQDAVDEMDAFIDDTIAELFAKSSGLIKPQDVDVLVVNVSMFSPAPSIASRIVRRYGMREDVAAYNLSGMGCSAGLVSLDLARNALRTRRKATALVVSTESIAPNWYPGTDKSMMLGNMLFRCGGSAVLLSNDPALRGRAKMELRCLVRSNIAANDEAHACALQREDADGRVGISLSKALPKAAVRAFTANLRTLAPRILPVSELARFAAGLMLRKLFSSRKQGRKTAEGPKINFKSGVDHFCLHPGGTAVIEAVKRSLGLDDGDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLRRGDRVLMVTFGSGFKCNSCMWEVTGDMADKGAWADCVDNYPPANTANPYMDKFGWLNDVEGDTVML >SECCE2Rv1G0116010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:759779106:759781430:-1 gene:SECCE2Rv1G0116010 transcript:SECCE2Rv1G0116010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.3 [Source:Projected from Arabidopsis thaliana (AT5G51750) UniProtKB/Swiss-Prot;Acc:Q9FLI4] MDLRSARWTCLVLVLLRASISACAGGPKTYIVQMAASEKPSSFDFHHEWYASTVKAVSSARVEAEEEEEDAYARIVYNYETAFHGFAARLDEDEAERMAEAAGVLAVLPETVLQLHTTRSPDFLGIGPEVSNSIWAAGLADHDVVVGVLDTGIWPESPSFSDKGLGPVPAKWKGLCQTGRGFTTAHCNRKIIGARIFYDGYEASSGPINETTELKSPRDQDGHGTHTAATAAGSPVQNAGLFGYARGVARGMAPRARVAAYKVCWTGGCFSSDILAAVDRAVSDGVDVLSISLGGGASPYYRDSLSIASFGAMQMGVFIACSAGNAGPDPISLTNMSPWITTVGASTMDRDFPATVTLGNGANITGVSLYKGRKNLSPRQQYPVVYMGGNSSIPNPRSMCLEGTLEPNSVTGKIVICDRGISPRVQKGQVVKEAGGVGMILANTAANGEELVADSHLLPAVAVGESEGIAAKKYTRTAPKPTATLSFAGTKLGIRPSPVVAAFSSRGPNYLTLEILKPDLIAPGVNILAAWSGDASPSSLASDRRRVGFNILSGTSMSCPHVAGVAALLKASHPDWSPAQIKSALMTTAYVHDNTYHVLKDAATGDASTPFEHGAGHIHPVRALSPGLVYDIGQNEYLEFLCTQNLTPTQLKVFTKNSNMTCKGTFSSPGDLNYPAISAVFTDQPSTPLTVRRTVTNVGPPSSTYNVKVAKFKGADVVVEPNTLHFSSTNQKLAYKVTVRTKAAQKTPEYGALSWSDGVHVVRSPLVLTWLPPM >SECCE3Rv1G0157110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:79415479:79416657:1 gene:SECCE3Rv1G0157110 transcript:SECCE3Rv1G0157110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAPRSHGGRRRPWQDLPSELLGLVLQRVPSHADRVRLRAVCRPWRAGARLHSPLPALLPWIALRDGSFLSLPDGEIHCRVLLPDDDVAHRLSTGSTLFLVHSDDGCSLMDPLSRETTAPRPIDLNCLCTRPGVLLDTDNILKVVVMSDHAVAVRTGNQMYFQSVTVSIRRPRSTTVEWRWIPRVDPKACYALDMALFQDKLYVLAAAHVGDRPSCLYVMDIVGGDKKHVNVQCVINMSNENMDQIYAVGTIHYYLVASGDRLLMVKQKREMLIRPKSVVFPDRFEVLEAVDLSSGHGRWREMNTLMGRALFLSEGCSESLPVSADQEFGPREDCIYFLSERSRHDAKTSVLYCGMYDMIKGTVSPLPMETVVESHNGPFRATWFFPADT >SECCE2Rv1G0130160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:873886770:873888279:-1 gene:SECCE2Rv1G0130160 transcript:SECCE2Rv1G0130160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPAISVLCILLFFHAAAASVESSQPFWFNMFVFGDSFADVGNVPENLGPLSRAWRYPYGLSFAEYNGRTHSYSTGRFSNYMVQPDFIAKILGIHEAPHAYNRSFLQLPRYGMTFATGGAGVLAAPKEVATLRKQVDNFEKLLRRKLISPIRLLDSVFLIAISGNDYMPTVSLLDNSDGKVTPSLSKIAAKVTDGIVANVKRLRKLGARKILVNNMHPLGCTPRLARSSNYTSCDDHGNMIASIHNSNLEQKLTDSDTVRILDINAAFTNIINGTSESSPLSEQFKNKLKPCCEANDPKGFCGQIGAPSELLYKLDGHNVKGYFYWDDMHPTHAGWKAVMAQLEGTIKQFLVMD >SECCE2Rv1G0104570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:608582300:608583553:-1 gene:SECCE2Rv1G0104570 transcript:SECCE2Rv1G0104570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDNSPSPSLSPASSSPLPVASALPVADPVTVASAPPGGPLTLALPIQKHGSYPSHGGSGGGGGGGGGGGREDAWSEGATSALIDAWGERFVALGRGSLRHPQWQEVAEAVSARDNYSKAAKSDVQCKNRIDTLKKKYKIERAKPVSSWQFYDRLDVLLAPTYNQKPAAHPNGRNAVPTALRAGFPQRSRTPLMPAAAPLKRRAPSPELSASSESSDGFPPEPALPAANGKRRRTDEGRVDGLSGGDRSQGMRELAQALRRFGEAYERVETARLEQAVEIERQRLDFARELESQRVQFFLNTQKELSQGKNHISPAAAASHSQVGATTVGGSSRRMASAPDSTSRRMAPFPDARTSSNHHGRYHASDGSRHHHRTALRPHYQYHENNMADSAAASDGEQSDDEEEEDDDNEEESQ >SECCE4Rv1G0216590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:11982852:11988797:1 gene:SECCE4Rv1G0216590 transcript:SECCE4Rv1G0216590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGALLTSAGINIGLCILFLSFYSVLRKQPQNVKVYFGRRIAEQHKRLRGAFILERFVPSPSWIVRSLQCTEDEILSTAGLDAVVFNRVLVFSIRIFSLAAILCLFGVLPLNYFGQDMRHEQLSSASLETFTIGNMQEKSRWLWVHCVVLYIISGVACFLLYMEYKHIARLRLLHLVRTKTKPSHFTVLVRGIPKSTDESFNSAVETFFTTYHAPSYLSHQVVYKVGKLQKIVMGAKKVYRKFKHFKGTTVDQTCRSVTYRCCLCGVSSNSFQLLSTEEQEREKPCVKTSNLNLPAEECAAAFVFFKTRYAALIVSKILQTSNPMKWITSLAPERNDMYWSNLWLPYKQLWIRRIATLLGSIVFMFIFLVPVTFIQGLTQLEQLQQRLPFLKGLLKGKIMTQLVTGYLPSVILQIFLYTVPPTMMLFATLEGPISHSERKKSACCKVLYFTIWNVFFVNVLSGSAINQVNALSRPKDIPMELARAIPLQATFFTTYVLTSGWASLSSEVMQLFGLIWNFVRKYILRMKEDSDCILSFPYHTELPKVLLFGLLGFTCSVLAPLILPFLLLYFFLAYIVYRNQFINVYCTRYDTGGLYWPIAYNATIFSLVLTQIICLGVFGLKESPVAAGFTVPLIIITLLFNQYCRMRLLPLFGTFPAQVLIDMDREDEQSGRMDEIHEGLHAAYCQSTDTESADDISLERVQAVSTTDDDGSGSSGEPSGKESVGQPVTDLSHPTLKGLPVDRLRHAVRSLGFMIRLQKRGVSA >SECCE1Rv1G0052880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:665084490:665088772:-1 gene:SECCE1Rv1G0052880 transcript:SECCE1Rv1G0052880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTPPAMSATPWLLLLLCVSAAAGVLQARAQPDSKGFITIDCGLSEETGYEHNTTKLWNAPDAAFTDAGTNHIISPEYATASMAKTWYSLRSFAAGMRNCYTLRSLMPGLKYMIRARFVYGNYDGLDRPPAFDLHIGVNYWYTVNISGPKEPLFVEAIVVVPDDFVQVCLINTGDGTPIISGLDLRPLKRTLYPHATAAQGLVLLDRRNFGPTDSNDVVRYPDDPHDRLWWPRFDTETWANMSTTEEVWNGEEDPFEVPMAVMQTAIIPRNASQNIEFSLYPESTPKDPSPGYIAILHFSELQRRPSNALREFYVNVNGKPLSSFSFMPYYLFAVASYNNIPSQSGRSSCSISINATANSTLPPIINAVEAFSVFPTTNVGTDSQDVLAITKIKAKYQVRKNWVGDPCVPNTMAWDGLTCNYGIASRPPKIISVNLSSSGIGGDISASFADLKAVKYLYLSNNKLTGSIPDALSQLTSLTVLDLSANQLSGSIPSGLLKRVQDGSLILRYGNNPNLCTNGHSCQPATTTRSNMLAIYIAVPVAVILVILLVVLLFCFVRRKKPGSVSNSVKPQNEMTTSYAMVNDVCGDGSLRLESRRFTYKELQMITNNFQRVLGRGGFGYVYDGFLEDGTQVAVKLRSHSSNQGVKEFLAEAQILSRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIEGSNHNGACLTWPQRLRIALESAQGLEYLHKGCYPPLIHRDVKGTNILLNAKMEARIADFGLSKAFSNDNETHVSTNTLVGTPGYVDPEYQATMQPTAKSDVYSFGVVLLELVTGKPAILQEAVPVNIIQWVRQRLAQGNIEGVVDQRMRGDYDVNSVWKVADIALKCTAQASVQRPTMADVVAQLQECVELENGRVGGNTNNGFHGGGNDDDPNLSYDAYTTDRSTSVSQNSTTFEMEHNVIRVPTMSTGPAAR >SECCE2Rv1G0089630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:258920669:258922000:-1 gene:SECCE2Rv1G0089630 transcript:SECCE2Rv1G0089630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTSCIAYKNEVGRADIAVDLHPFIRKYNDGHIVRFLSSSFVAASEDLGGGSASRGVSTRDVVIDPGTGVSARLFLPSRAAATGRRLPLVIYIHGGAFCTESAFCRTYHRYVASLAANAGALVVSVEYRLAPEYPIPTAYEDSWTALRWVASLSDPWLTDYADPGRVFLAGDSAGGNIAYHMAVRASRDASIMDVEGLVMVQPFFWGTERLPSETNRPEDAVVLPARRVDWLWPLLTAGKASNDDPRLNPPDEEIASLACRRVLVAVAERDTLRDRGCQLAGRMHHSPLIGDNVTLIESEGEDHGFHLYSPLRATSRSLMKGIVQFINQRVQSPPTTTIVFPELNRLPMLLAHEAGAVSSTVGADLAQPLTSVLPRPFNDIFAYPKAGRAPMSTTRSSLNPGRVKASKISIGFFYRRQRPDKANIGPFSTAFRARSLVNNF >SECCE7Rv1G0500390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:666389489:666391635:1 gene:SECCE7Rv1G0500390 transcript:SECCE7Rv1G0500390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEEGRKGIPSLLSSGEENIASNITQLIGWTPLIEMKNIAKKDGVEARLVGKMEAYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLIEPTSGNQGIGMVFIATQKGYRFIAVMPAKYSLDKQMLLRFLGAELILTDPANGFKGMIGKVEELLKTIPNSHCLNQVTNSANPDAHFKWTGPEIWKDTAGKVDMFVAAVGSGGTLTGVGKYLKTKNPSIKIVCVEPSESAVISGGSPGSHKIQGTGPGFIPEVLDTSVIDEVITVSTEEAMAMARRLAREEGLLVGMSSGANVAACVKIATREENQGKMIVTIFPSAGERYMNSDLFAVVREECENMTF >SECCE6Rv1G0440930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:807957808:807958041:-1 gene:SECCE6Rv1G0440930 transcript:SECCE6Rv1G0440930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYNNVGTRVQPERNPSRIHAFLQAHREIEDATTHGRLRDDLVEYHWQLDGRRIGP >SECCE2Rv1G0121050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:804162161:804163717:-1 gene:SECCE2Rv1G0121050 transcript:SECCE2Rv1G0121050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWPPLLVVILTLCVVSLCCGLEAVAENVTVAQLLPMASYHGGLAIYNAKNFGAKGNGTNDDTKALMAAWKAACGASGTVTLLIPPGTYYIGPTQFHGPCKASTITFLLQGTLKAATDLKRFGKDWIEFGWVKDLTVAGQNNAVINGQGAASWPFNMCPIRKDCKVLPTSVLFVNNQNTVVRDITSVNSKFFHIALLQNKNTKLINIQIDAPENSPNTDGIHIERSTGVVISDTRISTGDDCISIGQGSDNIDIARVHCGPGHGMSVGSLGRYVDEGDVTRVHVRNMTFVGTMNGVRIKTWENSPTKSLAAHMLFENMIMKDVQNPIIIDQKYCPYYDCEHKHVSGVTLKDIAFKNIKGTSSMPVAVLLRCGVPCQGVVLQDVDLKYMGEGGSSSKCENAMATYVGYQHPEPCA >SECCE2Rv1G0071260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:42153918:42159363:-1 gene:SECCE2Rv1G0071260 transcript:SECCE2Rv1G0071260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYCPLPAMAPDLPVWTHAAPAAPPEPQTLLPFFMYPPPPPPLPAGRRLLPWPVAHRPPPDFFFEMDYRRTHSLVQFLTDEGAIPTPEEEETREQVIRELKKIVTDWAKAVACEERVPPRRVTATVLTYGSYTLGAHGPESDIDALCVGPCIANLPHHFFGVLRQILKCRPEVSGLQTVENAKVPLMRFRFSGISVDLTYAQLPILDAVQAINTSSPQYLRKLDSRSWKSLSGVRVNEQIVQLVPNQEKFQVLLRCIKLWARRRGLHCHLLGFFAGIHLAILAAYVCQRFPNATANGLFTMFFEIFAHWPWHIPVDLHGQQTNCTRSDGCLMPIVMPCSPPELCASNMTEGTFRKIREELMRGYDLTKDLGSHDFEWAWVFEPFPYSTTYDQFFCIALCAPTSEELHDWTGWVKSRFPYLILKLEDNGIECDPNSSQEVDHTVIKPNIVYHWGLIPQSDTLLDTDSLKEDFMKYVINDVYGKVKCRDSELTLSIIGASELPKSMYNDSFYPQHLQQYGVSYQAGADCWSAIG >SECCE1Rv1G0000640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2167610:2170191:1 gene:SECCE1Rv1G0000640 transcript:SECCE1Rv1G0000640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPGAFHCSIVLQALTVLSLVAVFAIHHVQGGDDGCQPFSCGHLQDIRPPFRRQGDPLECGVEAYELGCTSSKATIHINTGTYYVTAINYTGSYFWVIDANFNTSSSCPLPLWNHLPYFGYWGGIDSVSPSGSRYLVPQSDETACFANCSQAVTNNSAYKPVACLSANSSHVYVWVSGSICMVGDLEPYCGYLAKIPFGLEYSRDWLQLQKASYADITQFVSKGFTVKFPVDTRGASASVKLRKNINICLNNSISYFKEQISGASIINWTHALFWSEVHFLECVTQSSDDHYYTTKLVLIVATIVSAIAIPKFFFVLCRFLLGPVAVWIFLAYKYWITRITVDAVEKFLRIQQMIGPTRYAYTDIVAITSHFRDKLGQGGYGSVYKGVLLPGGVHVAVKMLEGNSNCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPHGSLDKYIFSAEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRGDSFVPLSAMRGTVGYIAPEMISRSFGVISNKSDVYSFGMLLLEMAGGRRNADPNMGSSSQAYYPSWVYDQLTREDAGEISPVNADMHELEKKLCVVGLWCIQMRSRDRPTMSEVIEILEAGTDSLQMPSRPFFCDEGHIHVEDSYHFTSELTVVSEEESTAVSEEEDV >SECCE5Rv1G0323560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:437508969:437513326:1 gene:SECCE5Rv1G0323560 transcript:SECCE5Rv1G0323560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSRAVVTRPLRTRRPTTEATTGTPRSGGELQPPAERTDSKSKATGAEARATSRKATTAWLVAYQEAAYAWDDAVDDLEAHVEKEKEQQPSPEGARRLILRWLLRSSAVHRTEKKLNANIEKLGKKVLAVLQRGREQDLTQMDWQRHDWISKFSGSLSTNSGSLGNLEQEKIKLIDILTDSLSSSTVVAIVGCCGIGKTTLAQKVYDDCCTRNVFNTTIWVDGSKESTGAGLLSAVVRAAGGKPGAEEQSREKIMDMLAVILEGKRFLLVLDDISSHHNQESFLEDRSFVKHGSRILITTRDQSVAAGMNRSYIHNMQEWPFQDCWTLLCASAGLDKEHDKDDLRESAITIIQRCNKVPLAIKIIGGVLATKDPAQVEWQQVKESELWSLDLEDIPGGMKKLSGPVYMAYSNLPYRLKLCFLYCLQLPEGFVLSQHVVTQMWIAEGFIIDEENDCDPQDIADRFYRELVLTNLLEPEIGSADMTRCTVHGCVRSVLQLLIKHRWFGKNKWASSIEEAGNLTRFRTVICKNPLADRGLDKVFMGRRYLRVLNLTGTGIRHIPGSIALLHHLRLLNLSLTQITELPESIGSLRNLQFLVLRCCNGLHSLPEGIGKLHNLQCLDLEGSAPQLFLPSLVGLKQLTTLRGFTVNHKEVTEKDVSGWPLEDLEHMNSLRSLQILKIDRVVQFTRAQEAALEMKSHLTQLDLCGSTSDMHLHVPAAEARRLHGVLNSLCPPGCLESLKIASYHGQLFPDWLLQLPSLKRLVLADCKFCESLPCLGQLPQLKFLTITGCSKLCTIQRGRYTGSAFLKLEHLHVDDMENLESWKGFHAGDFPSLIKFHLDSCPNIESLPACLEYSKQLTSMKVVSAGSLIAIGNLPMLKELIVQDSKTLTTVSNLPALEVLMVIGCSGLQDVKGVRCVRHLRIVDRELTRLPDWLTAHASVLQTFTVFGTEELLGMLVPSGKDWPAIRDIEKVYGNLTDGSPFFTYTKKTGDFQAFGEQRDLVVRSVVDHQLEGCSSHIMARSFISQISMHNTIKWYLVPLLATALVLLLSMIEEIKVMGVFLVFFAIIACFWCLYFSICKASN >SECCE3Rv1G0189360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:725053777:725056805:-1 gene:SECCE3Rv1G0189360 transcript:SECCE3Rv1G0189360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPDAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKVSSAHVYLRLKKGDSIDTISEGLLEDCAQLVKAHSIQGNKMNNVEVVYTPWSNLKKATSMDVGQVGFHNHRLVHILTVEKRVNEILNRLNKTRVERRPDLKAEKDASNAAEKAERKMQLKDKKRREEMERVVKERQAEIRSYKGLMVAEKMTSNRQIASAGKSIQEMEDEFV >SECCE7Rv1G0471360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:129564797:129567161:1 gene:SECCE7Rv1G0471360 transcript:SECCE7Rv1G0471360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNSTPNAMEPRGRRRKQQVAAEAKENTAGTAPPPPKRPRCTERKALAELPTTSTANNASAAPTGAPSKPMTRAAAREAAAAAVEEEARRREGSAIAARPAASRQTDAGAAQASVGPYVADIDGYLRSLEVEQLRRPRDDYMVAIQKDISATMRGILVDWLVDVVDEFNLLADTLYLAVSYIDRFLTASVITRDRLQLLGVASLFVAAKYEEIHLPKLDKFSDITDGTYTNQQVVKMEADILKYLNFQMGSPTIRTFLLRFLISCRGGNCASAKRLELMCSYLAELSLLDYDCIRFLPSVIAAACLFLARFTISPKTSPWNLTLQEKTGYKVSDLWSCILRIHDLQLGRQYSNLKAIRSKYSERKFGCVSMMASPQEIPASLLEDLDK >SECCE4Rv1G0295960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:900629662:900630579:1 gene:SECCE4Rv1G0295960 transcript:SECCE4Rv1G0295960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDRITASCFSMPNSSTPYNDHREYMGCRHGLAVLVNKQERKTFVWDPLTGRQHIVAFPPGLDDAFIGNFCMWRGAVLCADAEDGHVHRDCFSSPFKLVLLCCGGYNTQAFCSVYDSVSGVWGGVFSTAISRIVLLRPSILVGNALCWLISGGDILVFDFKCKSLDVIEKPAFYYVTDGCFQILRMEGGGLGLAVLLDLTIQLWERKSNSDGVVGWVLLKKTIPLEGMIPRRMNSVRFVGYDEDTNVIVLTSMTGNFTLQLDSMQLKHIVKRNNICYHPFYPYTNFYTAGNTSLSTLYKKKSN >SECCE6Rv1G0438430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:789566369:789566713:1 gene:SECCE6Rv1G0438430 transcript:SECCE6Rv1G0438430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDKWKLSKKGRSRSGRNYYYGDASGASTSGGLSRSYSASVTATRDGAGASGSGGGSGGGGGSSSSEQQQKKEEAESRRRLSKKCVEAVKEHRARFYIVRRCVSMLVCWRDY >SECCE4Rv1G0274430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:784313311:784314408:-1 gene:SECCE4Rv1G0274430 transcript:SECCE4Rv1G0274430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNINRISISNTTMPMLCVMFGPKGWADLPDELLCSIMVLLGSTRDLLAFIATCPSWRAAFMSIKPRLHMIFPPVIFRNCADQTSPADCNTGNTWELIDLAYPSTPLHRLSPQNILDRMEIAKCSSGHAIFSSGRSHVIMDVLTGTTVAAPHCPSIQLFYRIFITPEASPDAYLFASAPNSLYAWRVGSPSWLHCDFLKAHLIHEMVAFKGRVIARICEKLYVLHFSPLFHVEVLRVSCSDNMDPSKLSRKLVVCEDMLLLLGGNEKAFSIDFSTEPAKYVRVANGGLSKWAFFFGEKPTGQPRLLVNPERIGLRGGHVYQLDQNGQVFSYPADGEQDGEWVPEPCFATINAHLALNPTSYASWV >SECCE5Rv1G0370670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:843245600:843245842:-1 gene:SECCE5Rv1G0370670 transcript:SECCE5Rv1G0370670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRKVGVQRKSDYLSGAVDGGDDPGVAHLKQRRLVGPGEDADFALELPHLQGKAANRKNQRQSSQHEEDEAALLWTRF >SECCE4Rv1G0262760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:709826443:709826887:-1 gene:SECCE4Rv1G0262760 transcript:SECCE4Rv1G0262760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTRHSPAVVAAALLLAVLAADAGGDNSDCSVAQTAFSECTGYVAGLDGEVPPQCCRGLGDVKDLAPGADQRRDLCACLLSEMLAAGKVDYGRAAGLPSACGLTVGFLPTSADFDCSQIP >SECCE2Rv1G0096530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:440600792:440603359:-1 gene:SECCE2Rv1G0096530 transcript:SECCE2Rv1G0096530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVAGAAGCCGCLPLLGQRRTAAFRPPRAVASEGAAVEDGSKVMLGGSGVAVTKLGIGAWSWGDTTYWNDFEWDDRKLKDAKGAFDASVDSGITFFDTAEVYGAGVSGAINSESLLGRFIKERKEKEGVEVAIATKFAALPWRIGRGSVISALKGSLSRLGVSSVESYQLHWPGIWGNEGYLDGLADAYEQGLVKSVGVSNYSEKRLRDAHERLKKRGVPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGALTGKYTPANPPTGPRGRIYTSDFLSKLQPLINKIREIGGSYDKTPTQVVLNWLICQGNVVPIPGAKNAEQAREFAGALGWLLTEQEVEELRSMAREVKPVMGFPVEKL >SECCE4Rv1G0219710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33431882:33432907:-1 gene:SECCE4Rv1G0219710 transcript:SECCE4Rv1G0219710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERRLPQLHLTLDAPTWAFRCPAPAPVTAATPSTSAARPDGEFRLSDFDFDRLSVLGRGNGGTVHKVSHRRTSALYALKIIHRGHPGADEEVAVVRRVDSPHIVRCHSVLPTASGDSALLLELMDGGSLESLVRAGQGGFPEAALAEVAAQALSGLAYLRARRVVHRDIKPANLLVNSDGQVKIADFGIAEVVSRAGKYRAAYEGTAAYMSPERFDTERTLQGDGEEELGPVDPYAADVWGLGVTVLELLMGRYPLLPAGQEPSWAALMCAVCFGELPALPDGAASPELRGFVAACLQKDHRKRASVGELLMHPFVAGRDAAAARRALRGVIEQRCR >SECCEUnv1G0530650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13795310:13798772:1 gene:SECCEUnv1G0530650 transcript:SECCEUnv1G0530650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTRSPEQSKKSGGSEEEEEEETQEREAKRMKQADPASSLEAAARDDEELEISSPLCEPYIPDELDDPYRYRGIAAAYKLATAEFSEKQGRLDNLPTRKYFHSPALHVPGPFRNAALATARSLLRLSSTLDGEPLGHSAGLWIDWDEESGTGIILTTAHLIRAKKPSTKGRWGRKDRHEYHPSAQVTVHLLDDTTAEGILMYHQEHYNLAFFKVAVDQPVQPVSFADTVGDGQEALRLGREVNLNLKITRGKVEYRNNPIIDEGHHYLHFSRDGKYEKADTQRRENDWRSKAKGFYVLPRCEDGGDPIIDFGGKVVGMTNSCESWCFVPSSVLNGCIDLWRNFGCIPRPILGLQFEAIKFLDPTHAEYIWRKLNIDDGLVVEEVSTASHAEEVGIRVGDVIQCINGERISTTTELENKLLSICRGNFDRGSDINAKVDVSVRVFHTANRLWRTQYLSVNLSDRQEVVERAYYPITKRKEFSAQVSPEQVDSDSDSEWDPDSNLSRFM >SECCE4Rv1G0282420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829139990:829141445:1 gene:SECCE4Rv1G0282420 transcript:SECCE4Rv1G0282420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAADERETRMVRLLEQEMASLPIPDELAVEIFLRVPSPADLARASAACVSFRGLIADRAFLRRYRRLHPPPLLGFLEYDHKVFHPAVPPHPSAPAASAAAVAADFSFSFLPAPATDWRVQDVRDGRVLLDRAPQHDINRYRVVFPELVVCDPLHRRYLLLPPIPAHLASTVKRQLWIKRHRYCETFLAPHGVGDSAEAAEETSFRVVWMAQCMTKLVAFVFSSSTGQWRAIPSQSWSDLFAGLLSLTRLALFSWRQYAYGCFYWVTDWRERLLVLDTREMEFSVAESPLEARGLPGVAIAIVEAGEGMPGILIRAKDTEYVNYTIRRNNCGSSSQWQLEKTISLDSRYLFIDSTGRHLFLYQCPSRSLDARCFSLDLKTFQLETVFVSNSFAQIAYAYSNFPPSLLSTPTVSSDLEYSSDLFVCMFQLPFQGS >SECCE1Rv1G0050910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:650284192:650285078:1 gene:SECCE1Rv1G0050910 transcript:SECCE1Rv1G0050910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWQPFMSTFVLNKMCELISSGVRTDKGFKEVHLNTVAKQVFEFCGQEVSATQVYNHLRKWRGRWIQVSKLRDLSGASWDENTCSIVLEAEHYAGHVADHPRDAEFLNTPIQNYSRMQHIFSFGLATGKHAMGSGEPLSFPMPDFPGTPDVEVLDGPDKPFEKRFDKPFDPVHDRKRGGLMEEINVFCSMTEAVREVATAIRERKPLDVHPDLYGAVMTQGGLSDEALMAALSHLLDNKVQGVEFVAMADAHRVLWLRTWLGKHYY >SECCE7Rv1G0474720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:175805390:175809397:-1 gene:SECCE7Rv1G0474720 transcript:SECCE7Rv1G0474720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLLLVVLLASSSWVASSAGAADEVMVPRPAAAALTFGEGYTQLFGDSNLRLHGDGKRVHISLDERTGAGFASQGAYLHGLFSASIKLPSDYAAGVVVAFYMSNGDVYEKTHDELDFEFLGNVKGKEWRVQTNVYGDGSTAVGREERYGLWFDPTQDFHRYAILWTNRTIVFYVDGTPIREVVRSEAMGAQFPSKPMSLYATIWDGSSWATSGGRYKVDYKYAPYVAEFTDLELHGCDQPASCEPEGRSVMPSRQRAAMERVRARYMTYGYCYDRARYPAPLPECRVGAEAAMYLPSGEARSSDRRGRHGKRHRRAGASNSAL >SECCE3Rv1G0184150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:660203688:660205472:1 gene:SECCE3Rv1G0184150 transcript:SECCE3Rv1G0184150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLLKTRPLHAIFSDPATSRAARNLFDAVPRPTQALCSTFLSALSRISSHHELLQAFSSIHRKGADVPSGCVPLVFKSCALTASSCQGRQVHSHALVRGLLGDVFVLTALVDFYAKNGDMESAVSVFEEMPVKDPIPINCLITGYSKSGNADKARKLFDGMERRTSASWNSMIACYAHGGEFREALTLFDRMLSEGARPNDITITSVFSICAKSGDLDTGKRVRALIGEEDLQNVIVHTALMEMYVKCRAIDDARREFDRMSQRDVVAWSTMIAGYAQNGRPHESIELFERMKATDCRPNEVTLVGVLSACAQLGSDELVEQIGNYAESQRLPLTSYLGSALIDMYTRCGHVGRARSVFSRMEQKGVITWNSMIRGLAMNGFAEDAISLYENMAENGVQPNEITFVALLAACTHAGLVDQGMAFFEEMKRKHHVSPQVEHCACIVDLLCKSGRLWEAYKFICDMEVEPNAVIWTTLLSSCRVHADVELAKLAASKLLAVEPDNSSIYVLLSNIYADAGLWGDVREIRDLMRSKNVQKLSAYSWIKLDGEVHKFLVQDTYHPKSAEIYDVVHGLGLLLDRADSDPDLLVSELC >SECCE3Rv1G0204710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:896375331:896376564:-1 gene:SECCE3Rv1G0204710 transcript:SECCE3Rv1G0204710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stearoyl-[acyl-carrier-protein] 9-desaturase 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G43800) UniProtKB/Swiss-Prot;Acc:Q84VY3] MQAQGILRAPGHPAAALPLPRRQCRVSAVAAAPSVQRGVTHSMPPEKAEVFQSLRGWAAGSLLPLLRPVEDIWQPADFLPDSSSEMFEHEVAELRARSAALPDDYFVVLVGDMVTEEALPTYQTMINTLDGVRDETGASACPWAVWTRAWTAEENRHGDVLNKYMYLSGRVDMRMVEKTVQYLIGSGMDPRTENNPYLGFVYTSFQERATAVSHGNTARLAKAHGDDVLARTCGTIAADEKRHETAYSRIVEQLLRLDPEGAMLAIADMMRKRITMPAHLMHDGSDMDLFEHFASVAQRLGVYTAQDYADIVEFLVRRWKLEALEGGLSGEGRRARDFVCGLAPRMRRAAERAADRAKKDEPRKVKFSWIFDREVVV >SECCE6Rv1G0439850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:799877259:799878869:-1 gene:SECCE6Rv1G0439850 transcript:SECCE6Rv1G0439850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKDQWDAVLHSIGRGLKENRNVKEMKKILLFSYYDLPSYLKPCLLYLSIFPEDHLIMRSQLILKWISEGIVYSEEEETSLYELGDSYFNELVNRSMIQPIGIDREEKVEACRVHDMVLDLICSLASEENFVTILNGTKRKIPDSQSKVRRLSIQNSNVEVATITMAHVRSISVFTNDDVDQLYKVSSCQVLRVLDLQYCHAPDTWNFLHLRLLRLNGYEGEELPMEVGKLLFLQVLDISGTSIKEIPSSVVGLRRLMYFHLGRDVKLPSGVGNLTSLEVLLGLTVGKTWGSSDSCNHHLVQELGNLTKLRVLRLFWGVLDESIAKTLVESLSNLHNLQVLSIHGDIGGHINLMHEGWVPSQQLRRVFFTDCLLWTLPAWINPSSLPLLSCLEISVTKVHPEDIPLLGMLRALRYLRLRLDDIAIAEMGKSVVTADAFPCVEVFNLHGIAIAPSVFPQGAAPRLKDLCFSFPARWIGRGDIDLSMGHLPSLEKVWVGLMCNEASNEVIEEANAALRAAGEDHPNRPVININKYYK >SECCE1Rv1G0030320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:426929530:426931637:-1 gene:SECCE1Rv1G0030320 transcript:SECCE1Rv1G0030320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSSSKKRMLHTNSNSSNKKDDATAATANAAGSDGRPVRVYADGIFDLFHFGHARALEQAKLLFPNTYLLVGCCNDELTRRYKGKTVMNQEERYESLRHCKWVDEVIPDAPWVLTPEFIEKHQIDYVAHDALRYADTSGAANDVYEFVKKIGKFKETKRTDGVSTSDLIMRIVKDYNQYVMRNLARGYSRKDMGVSYVKEKQLQVNMKINKLRETVKAQQEKLQTVAKTAGINHEEWLANADRWVAGFLEKFEEHCHVMETAIKDRIQERLGRQAGKGIASGLMRQPVVAA >SECCE3Rv1G0181450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:613365213:613369311:1 gene:SECCE3Rv1G0181450 transcript:SECCE3Rv1G0181450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMLSTDCLGTQEFHTFYKTTEILEDSQSQEIAFHEAALGSTLVGHQNVHSTAAVSDIGMAEVSLLHGESDTSATGLLPVSFLSCSPRSMVPISVPSSSGLETILTPNPMYSDIQLKDVNYNATAMEESTEFLQLILSGNDESYNTSSELQVWDVLDFYFSESFSDVQFDSIMGFTSDVSTSSHDYMNIADLVERPVALLSLNETEEPNNAASKALVDHSRMDPDDTSLYLQMKPPDSETESTSASQDVIGIEYVDEKLHSRGLPDLMDVDSSNRLRKSPVRTKHVTLVLDLDETLVHSTLDHCDVSDFSIQVFFNMKDHTVYVRQRPHLEMFLEKVAQMFELVIFTASQRIYAEQIIDRLDPDGKLISQRIYRESCIFSDGSYTKDLTILGVHLAKVAIIDNTPQVFQLQVDNGIPIKSWFDDPADQELVELLPFLETLVDAEDVRPLISKTFHGTIQQD >SECCE5Rv1G0334500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566084501:566086915:-1 gene:SECCE5Rv1G0334500 transcript:SECCE5Rv1G0334500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELQEALDADIQDRIMKEREMQSYIQEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >SECCE7Rv1G0506060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:745648255:745649235:1 gene:SECCE7Rv1G0506060 transcript:SECCE7Rv1G0506060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLAGVQDGLNIEQEYVNAMRTQSNMRFLSNKEEMEALLQPQQDLILPMLHNMRRRKSAEIELAMSGYFDASAEASEICKQLLRNIKNTESNYQSMDSFLASIGFSTTATSSTSLALETIPVRSNPFSTTTRSNFRQIHDRYSSVLQTIKSSHKKVAKKLKIVKILKKLSRTCLIIAGGAVAIGIAAHLLLFSLLVGSALMGLCPIALKRRVTRLKRSKTKSLQQLQDQLDTAAKGTYVLGMDFDTVSQLAVRLSDGIERENAMATYCMEMVDGKYPVQEMVMELRRSCSSSRKLAMELEEHVGLCLATIHRARVLVIEEISKQA >SECCEUnv1G0543030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:106757913:106759502:1 gene:SECCEUnv1G0543030 transcript:SECCEUnv1G0543030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSSSAAAAAAANGNGNHAAAAANGNGNGNHAAAAANGNGNHAAPVLAPGMEEKRDSSPVRDRKAEQLKTLNSMLLKEAVERRGQVAALTARLEEISADGDALDAAERAVAQAALAAPLRAAADEASALRARLAAVQDSLRLAESKAALEAGAKDDASARLEAVAGEKARFLKLLQAKEAEVASISNKVASLSAMMTELEGNNSELLSQNGELMKQLEDTKEAVRVVSCQKAEVERSFEEFKKESEAFRVETEEKLKAKVEELKVLGCKKAEMDARVASLEAELALSVTKTGGLEAEVMAKKRELDLLKGKNDKLQSEVAEAEKKHTLSAEEVERLKMELGVLVKAKELASKAFDAEKTEIVMELESLKRKVEEIQADKEAAEGAAREKDAQTVKLRAELEELHVSMSQLQTSCDELDTKQSRLQSEKNSVQKALDAEKAEAGKLMSKIKALENCNGKMDSEIGELRIALKEKNGKIEVLTGEAELLQLAVAEAQKKNKGGIWAWVYAATTTMVAAISLIYAARGH >SECCE2Rv1G0112030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:706238921:706243592:-1 gene:SECCE2Rv1G0112030 transcript:SECCE2Rv1G0112030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGGGGGEDTRRTAAGQAMVELQANGATATSASGAMVVGLSPLSETLWRDSKAMPGAGAAALIGEVSARLTWKDLSVTVALGPGKTQTVLDELTGFAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGSVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSAMLRLPDKMPRDDKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSGGKNVYFGQAAQACEFFAEVGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARIERSDDPLDKITTSEAIRKLVSAYNRSQYYYAAREKVNDIARIKGTVMDSKGSQASFLMQACTLTRRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYLDVGTKYTSILARAACAAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVIANTISALPFLVLICFMSGTVCYFMVRLHPGFSHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKVFWRYPMQYISFHYWALQGQCQNDMDGLLFDNQYPDQPKIPGEFILKYIFQINVHRSKWIDLSVIFSMIFIYRLLFFIMIKVNEDVMPWIRGYIARKRLQKKVPVIGKTPSLRGYVVDPELGPNEG >SECCE6Rv1G0386250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:93486303:93493160:-1 gene:SECCE6Rv1G0386250 transcript:SECCE6Rv1G0386250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSTATAGEAVARRGGRKKGAMAPSVGGVEAAMAGGRRSRAPPRLVGYEELPEYLKDNEYIRGHYRVEWPIRDALLSAFSWHNETLNVWTHLGGFLLFLALAVAGGGPEAAHEVAPGIMRFVVGSANSSWKSDQTGLPSHDAAVTVLGGHGVPRWPRMVFLVGAMACLAISATAHLLACHSRRATVVFWQLDYAGISIMIVASFVPPVYYAFLCHPPARVAYLSAITVLGALVVAVLLSPSCSSPRYRRLRATLFLAMGMSGVIPAVHALWINWGHTACYLALGLEVAMGLAYAVGAWFYVSRVPEKWRPGVFDVVGHSHQIFHVFVLVGAITHYVAVAVLLDWREKAAVSCGGTGS >SECCE6Rv1G0401990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:476474722:476476625:1 gene:SECCE6Rv1G0401990 transcript:SECCE6Rv1G0401990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTIRSLDYYKVLEVDYDASDDNIKLSYRRLALKWHPDKHKGEDDVTAKFQEINEAYKILSDPVTRLEYDFSGCYEVNQYTACEYLSRFKGMILTCNGLGIEHSSKWTRHLREWEPH >SECCE1Rv1G0009950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:59119323:59121774:1 gene:SECCE1Rv1G0009950 transcript:SECCE1Rv1G0009950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCTVTTTTDRAQILLAAQSMDSNLRSVVEDGLKLFQEQNLPNFLLSLSVEISSDERPPESRTVAGSPWIHLSKSKIKESLLRTLGFSMPHARLASSQVISKHGASAAVQQGTLEVLVYVCEEFPPEHLEQDQVPAVVQRMNQAELILYNALDFAESNFANVMERSYIVNVICDTVFKLQEEYEVSDDANSSVIQCFIEKAIPLLVPMLLETLLNLEGYHEHADNLRNISMSGAKCLGLIARTIGDAIVPLVMPFVKVNVTNLDWHCREAATFAFSSILEGRPLYPNNQVKVSTAWTLRWMFELLHSPASGTPVITNAKLPPIMTVAICCFVQGYEDAESSSSLLTPYLPNVIDVLPCASDRAGTTHLRLHTSAFEVSNEIMRVSNIAEHCRPAMEKQSDLQALLCGVLQVIIQKLSNSDLMFLFPCVFACPSSTVHETAMLAIHEVAYGIREAGLQNYEEYQICSISVWVMGDICRPVEDKIQPLCDCIMTVLFKDLNAAPVCIPLAIGENVEKYLPYAMPVLQGAAELVTWIFEAYSGVLQDMKGPKAQLMLPYARMRVSTKAAVAALGDLIDILGLISKDVFKIHPFHVELLSG >SECCE3Rv1G0201060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:859673669:859676319:1 gene:SECCE3Rv1G0201060 transcript:SECCE3Rv1G0201060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAEPLLPQPATAVDHLGRPASRETSGRWPAALFIIGVEISERFAYGGIAGNLITYLTGPLGQSTASAAAAINAWSGAALLLPLLGAAVADSWLGRYRTVVCASLLYILGLGMLTLSSILAPQQPAQFGDHMDSALSSTSTVHLAFFYVSLYIVAFAQGGHKPCVQAFGADQFDENDPEEFASRSSFFNWWYFGTYAGSVVTVSILNYIQDNISWQFGFGIPCIVMSLSLAVFWLGTRTYRFYPVRSDGSLIRQVAKHILSLVRTWHASWSSRSSDDSHCMPTSSSSRLKDNAEMTCFPDEAKSLLKLFPIGATCLIYAVVFAQWMTLFTKQASTLDRWIGSLQVPAAALQSLISVSIVISIPIYDRILVPLARKYSKNPCGISTLQRIGTGLAMSVILMVVAALVEMRRLRIAREYGLVDKPEATIPMSFWWVVPQFIITGLADMFTMVGLQEFFYDQVPDSLRSLGLALYLSIFGIGSFISGFLVYAIDRVTSDGGDSWFSNNLNRGHLDYFYWLLAVLSVLGLAAYLHFSQVYVPKKKGMSAE >SECCE2Rv1G0074100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73619236:73619541:1 gene:SECCE2Rv1G0074100 transcript:SECCE2Rv1G0074100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVWVFKNGVMELEQEATSRKALVYVPANETMRSLEALERRLGSLGWERYYEDRAIVQLHKRGGVDLISLPRDFSRLRSTHMYDVVVKNRDQFKVVDL >SECCE2Rv1G0102320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:576143667:576144820:1 gene:SECCE2Rv1G0102320 transcript:SECCE2Rv1G0102320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRRPCAVLLLACAAAFLVTVGAQPMDNPILSDPNVVPVYMSPGAQPTVVSCYNQSSSSQDPECMVPVRRCPAGCRDLCYVHCPSCKLVCMCELAGTECYDPRFVGGDGNKFLFHGRRDADFCLLSDANLHINAHFIGKRNAQAARDFTWVQALGIRFGGHRLYLGVKRTVTWDNAVDRLVITFDGVPVELDAAPAASWSPASAPALSVLRIGAANGVVVRLDGRFRIVANAVPVTEEDSRVHDYGLTADDSLAHLNVAFKFHSISADVHGVLGQTYRSDYVSAGVDMGAKIPVMGGAGKYQVSDIFGTDCEVARFAGEDVVRVGAVDMIDEPADAMCGSGKGSAGLVCKK >SECCE5Rv1G0329790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:521958496:521960586:1 gene:SECCE5Rv1G0329790 transcript:SECCE5Rv1G0329790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPGSGHQMDSYFSRGPKIRSRSIRMAAAGVISQSERLKNIGRVFQEDLKSISLKIYDPQDPFLMRMNRLFVFSCIISVATDPLFFYLPSVNVTQSNTCIGFKRDLAVAATAVRTAIDFFYLARIVLQFHTAFIAPSSRVFGRGELVVDHSAIARRYLRRFFVVDLLSVLPLPQIQMVKFFMRPKNADLLPIKTALFFIVLTQYLPRLLRFYPITSELRRTTGVFAETAFAGAAFYLLLYMLCSHMVGSFWYLLAVERLDDCWREKCAGLKFHQCKIYMYCGGKQEGDEDDFMKWRTMIRQVLSQECAPVDNNGTGFSYGIYTSAITSGVTHTNDLVPKILYCLWWGLQNLSSGAQGLETTHYKGEALFAIILAVFGLILMALLIGNMQTYLQSMTLRMEEMRLKRRDSEQWMRHRHLPDDLRERVWRHNQYKWLETRGVDEDGLVSCLPKDIRRDVKRHLCLRLVRRVPLFANMDERLLDAICERLKPSLCTETTYVVREGEPVDEMLFIIRGRLESSTTDGGRTGFFNKGLLKEGDFCGEELLTWALDPKAAANLPLSTRSVKALSEVEGFALHADELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWASCFIQAAWRRYQKRKAAEHRRREEEEMYGAEMASASSSSQIKTALLVSRFARKAMRGVQRQRSLQEESLILLPKPPEPDFGKTKY >SECCE2Rv1G0113960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:727714391:727717956:-1 gene:SECCE2Rv1G0113960 transcript:SECCE2Rv1G0113960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLSPFAAGDCSADKFDPDYLYFLRHLRTEGSSYVLELPPGGASPAPVIRYESPIAISDGECVSDPSPGGASANRQAEERDSSVEAPPSWIDSIVDIDEDYRLFLHHTCVVNNRLKLQMGGVVVDYEPDPDAAQSGGSSGVEEQSEKDAAVASSGGEEQAVDSDVPVVITPEPTAYDWRADPAPRQRMQGQEDIGHKDAQPRVASSHRSDVIWPPHINRRPDSDFKRRLMDALQKPFSRKEYIKLFDMASIRTPLVKLRQVRNDAKFYPTEEMGSSYFDHYPDLVEQVTNTSFSKGLALMRGFFFWLQNSAHEDQFRPWTDDLKDQDVIPLMDLDYPLP >SECCE3Rv1G0143790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2159913:2170085:-1 gene:SECCE3Rv1G0143790 transcript:SECCE3Rv1G0143790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSPASPAPADAAGGDKPTAAPYGSWRSPITADVVSGADKRLGGMALAGDGRLLWIEGRPEEKGRMVIVKEDDKPVDVIPPEFAARTLVQEYGGGAFAVQDNTLVFSNYKDQRLYKQPTEIGSLPVPLTPDYGAPDVSYAGGVFDPHFSRYVTVMEDRRTSNLNPTIIIACINLSGGDIHEPKVLISGNDFYASPRIDQNKKRMAWIEWGHPNMPWDKSELWVGYFSESGELTKRVCVAGGNPMLVESLAEPKWSPKGELFFISDRGSGFWNIYKWVEHSNEVVPAYTLDAEFTRPLWLFGNSSYAFLGKSNHIILTYRQLGWSYLGVLDCDSGSVSLLDTPFSDLSNVVAGNDYFYIEGASASVPMSIAKVTLDKNKTKAISFSIVWSSSADVVQYRPFFSTPEFIEFPTSNPGKKAYAYFYAPFNPMFQGLPDEKPPLLVKTHGGPTSETRGILDLSVQYWTSRGWAFLDVNYGGSTGYGREYRERLLEKWGIVDVDDCCSCARFLVESGSVDEHRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLMRADAQKFDSFYIDNLVGDERAYYERSPINFVNKFTCPVILFQGLDDKVVPPNQARKIYQALKERGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGKFEVADDITPIKIENFD >SECCEUnv1G0556050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:307912524:307913270:-1 gene:SECCEUnv1G0556050 transcript:SECCEUnv1G0556050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMISEDGDISVLLSEPNLDQEQAVTSGSDDFLPAILESIKSNEKEVELSPEEAAWADSCFVQTSELSDVDWGAMTQALLDTLQEPMEGSCDTTEVMLDQGTHVLSEAESHTLHVDKDTQDDNVDMEQQGNSDDDKDATEVGDVTNVIRGADEHGRQMDGYTADELVSSEVLEQAESRDSIFKVWDLDVSFSEDESELELIKDLKKLLKGKPQDATYPPPGDAANALGEITVDELVAGLSNLSLQRNQ >SECCE1Rv1G0048450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:635151733:635156322:-1 gene:SECCE1Rv1G0048450 transcript:SECCE1Rv1G0048450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGASLGLRTSGSYGSLQQPNGQSPSPSPPVAVRKPAKMSLGGGPGAGGRGGDRLLFARICMFAGRRRRMLLLLLVAVALVVCFLFSSLVSKDEVAAPGTETMLGISDHVRSFVNPVLTSSLSGNDLNTTSPTVKQSESSHEKVRGLSRSFPPAIHLEHHPCENFSFSPPPIDRKRTGPRPCPVCYVPVDQALALMPAAPTASPILQNLNYLSEDNLVLKESSSGSLFGGYPSLEQRDMSFDIKDSMAVHCGFVRGKIPGLNTGFDVDESDLSEMRQCQGTVVASAIFGNYDIMQQPENISEFSKDTVCFFMFLDEETEAAIKNTTAVDNTKKIGLWRVVVVHNLPYSDARRNGKIPKLLLHRLFPNARYSLWIDGKLKLVKDPYQLLERFLWRKNVSFAISRHYRRFDVFEEAEANKAGGKYDNASIDDQIEFYKREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIRSRVNWTADMFLDCERRDFVVQAYHRELMEQRLAALRSQPPPPPPPPPPPPVVRVQQPRKMLPDNSASKEPGRASKKPAGKRSRKSGSKRAHRTKATGGKEPIRL >SECCE7Rv1G0471770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:133918281:133919744:1 gene:SECCE7Rv1G0471770 transcript:SECCE7Rv1G0471770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSAASPAGDAAPRMYFIPFPTPGHALPMSDLARLFASRGADATLVLTHANAARLGGPVARAAAAGLRIRVHALPLPAEAAGLMGGHESADDLPTREDAGPFAVAVDLLAPLFAELLRSHPADAVVFDGVLPWAATAAPELGIPRYAFTGTGCFALSVQRSLLLHTPQERVASPTEPFLVPGLPDAVRLTRWRLAEATLPGADSREFLNRMFDIERATAGWVVNSFADLEERYMEHYEKDTGKPVFAVGPVCLVNSDGDDVLERGRGGETGAAAEAARIMNWLDTKPARSVVYVCFGSLTRFPREQVTELGMGLGDSGANFVWVVGDKNAPPLPDVDAAAGGRGLVVRGWAPQVAVLRHAAVGAFVTHCGWGAVTEAAAAGVPVVAWPVFAEQFYNEALVVGIAGTGVGAGAERGYVWGGEELGGVVVGREKVAERVRAAMTDEGLRRKAGEVGESARRAVEVGGSSHVAVGALLDDVRRRRRHGG >SECCE5Rv1G0306510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:95343539:95343856:1 gene:SECCE5Rv1G0306510 transcript:SECCE5Rv1G0306510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSHALAATLLLVLVVSLTAIEGVHGICGMSNDEFKLCQPAAAVENPTDSPSTECCAVLGKANLSCICRYKGIAGIWLRMYHIDADRAMALPNKCGLTMPNNCS >SECCE4Rv1G0266750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:733247173:733247721:1 gene:SECCE4Rv1G0266750 transcript:SECCE4Rv1G0266750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSEHAGGSASAAAAAAAQSHGSGFDSMDPFFHAVRVVPFAFLQPPRTRLKLPSNLALPSPMVVFSLILLTYFAVVSGLVYDVIVEPPGIGSSQDPATGAVRPVVFLPGRVNGQYIIEGLSSGFMFLLGGVGIILLDLAVDRTRPRSLRVSFGGAGVAAIVIAYAMAMLFLRIKIPGYLW >SECCE6Rv1G0445180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:836265883:836266506:-1 gene:SECCE6Rv1G0445180 transcript:SECCE6Rv1G0445180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPASLLHRAALSNTGTAEPSSSPASMKAPEQQQTAHVIAVNSDMVLILASLLCALVCVLGLALVSRCTCRRRRSASYSDHAPPPPKGLKKKAIHALPIVSFAANGSSLTPATCSSSECAICLAEFTEGEALRVLPRCGHSFHVACVDAWLRTSATCPSCRAPILATPTQPPATTTVVIVVATNNRCGRCGELAAPTGGGDDTFLP >SECCE2Rv1G0068680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:24716882:24718765:-1 gene:SECCE2Rv1G0068680 transcript:SECCE2Rv1G0068680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFQDGQHVRLRSRVRRTYLHAADDGVGVTIHERRASMNAAWAVQIYHGKDGPYLLLHSAAYGRYLAATATPARLGHRGLRAELRDYDQPEVEAIMWLAVRSGFADNVVLLRNIGGRYLRANGRYLRWNTGVSVDDSVSSMMYWVVEPIPAREDMPALPAPPPNPPYGYLLGVYLEPRRLIRFVRALDDGHYPDDPEDEGWRQFWFRGRSAFRLRDDLGFLVGAGVYYRNIAMCVRAGRYGRPTPLVVDLPDGGYGGTLEIVVLLADTPAYNGLRHPDVNTE >SECCEUnv1G0566560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:406177741:406178241:-1 gene:SECCEUnv1G0566560 transcript:SECCEUnv1G0566560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKCLLKFFPDPNDYKTVKKEFADFALMMNAFQNADSIEDRDDFEPKQWWGTHGGSTKFLKSLALKLLGQPSSSSCCERNWSTYSFIHSSGRNRLTPVRAEDLVFSHNNLRLLSRQSDAYHAGPSRMWDVGGDGVESFTRVGMLEGADLTLDEPELEAEIMDALT >SECCE6Rv1G0411280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606329557:606331254:-1 gene:SECCE6Rv1G0411280 transcript:SECCE6Rv1G0411280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRRRHAAAAALSVTALLAFVCVQAGLVASPLRDTRSRALLVPPRWLPLGLRAVRAGADTPAGGGTEAVLLPGWEVIVLVRRPDVASAPADVNATCVFRGGASSPARALGPLQASGRHAYSCIMPKPARTHRHDTPLVLFSTSTVGNAGDSASGRSPEMLKWSDRIVYESVVVDGGDVLVFAKGVNRRKKVNRAAADVRCVYYRGDAGNVVASLPATTSAQQVFQCPPPPATTPVDRELRVTLAVVGEEPIPSLAAYDPPRLPDSSPATGSTPSVKRLICACTMVRDVAKFLREWVVYHSAVGVDRFYVYDNGSEDDLADQVRHLTSDGYEIFTLTWPWPKTLEAALSHGAAVHRDSCEWMVFIDVDEFLFSPHWVHSENPTKSMLHSITTVEEDIGQVSMWCADFGPSGQTAHPKEGVTQGYTCRRQIMERRKSLVRLDAMDRSLTNSVHHFKLRPEFRGGWNTRVRVNHYKYQAWDEFKVKFLRRASTYTVDWTEKAKLHSNDRTPGLGFEAVEPADWPHRFCEVNDTLLRDVTRRWFGLGFGNKLGRRRIFGTTTGSSYNV >SECCE2Rv1G0071770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:47547506:47551394:1 gene:SECCE2Rv1G0071770 transcript:SECCE2Rv1G0071770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFFFGSPTPKDGNCDGTPGDDGKSKNRSKKALEEGEGSCNSSSRSHDHGARTSRSRSRRGRLSNEEPPNPKQLRRCMSFSSAAANNGLKERSFSFSGDVPGSFYDESDVPHHAEDVNHYAWSPERHPVLRESSIKVPKPCSVLESDSPRSRCYSCSTGHSPPTSPVALRCRSTRLGSLLNKNEVLDRYIDGEQEAAIQNEKLRQNSPTRSVVSNSRRPPRPHYAMPSLQKSMKENVETYPNVDAKDAYGSKNHASVLDDFGRFPHLEDYRSESIPSVEDIYEDFQDMQPSKVIHDAPQYFHDHDLDFVPEGQETDDKLLQRAKEVEERFTKPSGDNHQLNMSRYKRLSSNEMFQLIQCLTEDRKQLADELSSQIKARLAERFNAKEKYKQSVKELEVRARRLEKEKTEVQSTLEREIDRRSNDWSARLLRFQSEEERLRERVRELAEKNVSFQRELTSLEAYKVDASDKVASLEMQNIKLTDELEKVKNEHNNLHNSSIELHAQFSKAAEEKEHIRGFLKDKEGDNKALHQVVARLQTICNEQEKTIAGLRQGFSAELDRESVRSSSERKNRMQMELIRLSGVEQKLRGEVQSCRLEVESLRQENIALLNRLQSTENGSSFSSIRLGQELQAKVDNLQTQGLSLLDKSSQLCTKLLDLVKCRRHESEHDRDIDALDYTLEFQSIKGGIENLKRSLRATSAVLAEKQNLKEKSGEAAVGGSPLMEQADEVNFEFKLKEEALLNRVLKEALLSKELDLEQLRSDVASLLRIQDVMRNEVQRVQDELSCITHKAKHLELQGSKKDESIDQIQQDFQESAKELSALRGQLKIVTDERDLSWQEAKQLRKTTSMMQSEVASLKKKIESLEEDILVKEGQISILQDNVYKPPLDFICSPRTMKQFGME >SECCE5Rv1G0360910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:775792797:775796309:1 gene:SECCE5Rv1G0360910 transcript:SECCE5Rv1G0360910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIASQCLVKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGQQMPIAFLVRVKDDFSKRYAGGKAATAAPSSLNREFGSKLKEHMQYCVDHPEEINKLAKVQAQVSEVKNVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTQVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFNCGKK >SECCE7Rv1G0517110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852162396:852164893:-1 gene:SECCE7Rv1G0517110 transcript:SECCE7Rv1G0517110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRVLLPSCTALAILLVVLASSVDAAGTADGSEEWGYVEVRPKAHMFWWLYRSPHRVDNGTAPWPTVLWLQGGPGASGTGYGNFMEIGPLDADLKPRATTWLTKADLLFVDNPVGTGFSFVEGGNRSLMARTDAEAGNDLTALLVELYRHKTRLQGSPLYIVAESYGGKLAVTTALAALKAIRQGRLNAKLGGVALGDSWISPEDSVLSWGPLLYQLSRIDEKGLQQCDSLARQIKAQLKAKQYAAAEMSWAKLESAVHQHSNNVNFYNILKDTSSADAAAVRKTGYASYLSSIATREGGLDGLMNAEVKAKLGVVPRNFTWGEQSGDVFEALKADFMNPRIHEVDELLKLGVEVTIYSGQLDLICATKGTLDWVQKLKWEGLKKFTDAPRKPVYCKGGEAVGTQAFVKSYKNLRFYWILGAGHMVPIDNPCPALKMLVDITQSPAR >SECCE3Rv1G0171810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:278293950:278295416:1 gene:SECCE3Rv1G0171810 transcript:SECCE3Rv1G0171810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMSCLLVLCLVCPLLAGVVRANPWYGLFPQFYDHSCPKAKEIVQSIVAQAVAQETRMAASLVRLHFHDCFVKGCDASVLLDNSSSIVSEKGSNPNLNSLRGFEVVDQIKVALETACPGTVSCADILALAARDSTVLVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRLGLNVVDVVALSGGHTIGLSRCTSFRQRLYNQSGNGLADSTLDVSYAAQLRQGCPRSGGDNNLFPLDIVSSTKFDNFYFKNILAGRGLLSSDEVLLTKSAETAALVKAYANDVHLFFQHFAQSMVNMGNIMPLTGSQGEIRKDCRRLNNYH >SECCEUnv1G0543340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:112827227:112829927:1 gene:SECCEUnv1G0543340 transcript:SECCEUnv1G0543340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRRHPLRPRAPALPLLLFLAVAVAPLPAAAVGVNWGFAASHPLPAAQVVRDLLLPNSVPRVRLSAASPDALTALAGTRIAVTVGVPNELLRPLASSRKAAAAWVHDNVTRYASGVLFEFIAVGDDPFLLNHGQQFQPFVVRAVANIQRALDDAKLSSKMKVVVPCSSEAYQNTSTLPSKAYFRPDVNKTMAELLPFLANHSSPFMVELNPILSFQQKKNISLDYYLFQLMSRPISDGHNKYDNYFDASIDALVTALTKAGFSDMDIIVGRAGWPTDGAVNATSAIAQSFMTGLVNHLARKSGTPLRPKLIPTETYLYSLLDEDQHSIASGSYDRHYGIFTFDGQAKYHVNLGQGPTALKNALDVDYLPSKWCVVDNNKDLSNVSSSFSAACSDADCTALSTGGSCAGLGWPGNVSFAFNSYYQQHDQSEESCSFNGLGLITTVDPSVDNCLFALAIRASAATSFHATFAVLWILVSVCIYSLV >SECCE6Rv1G0385590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:82115360:82116999:-1 gene:SECCE6Rv1G0385590 transcript:SECCE6Rv1G0385590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bbx23 [Source:Projected from Arabidopsis thaliana (AT4G10240) UniProtKB/TrEMBL;Acc:A0A178UTH9] MLMKIGCDACGQAEAAVLCCADEAALCRRCDAAVHSANKLAGRHHRVALLSSMPAGSSSPGAGDDGGSHPACDICQEKTGYFFCVEDRALLCRSCDVAVHTTTPHASTHRRFLITGVRVGVDQDHIGDVDGATVVSPSSSSANGSNSMPTSKNFAIANGQQSEEGAWLIGRGEDDIGQQQQWPWSDIFADDDVGMDQHQCYPGFSEPGSSSLTG >SECCE7Rv1G0504670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:725971778:725973346:1 gene:SECCE7Rv1G0504670 transcript:SECCE7Rv1G0504670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRGRGLALAFLAVLLSVHLAMASSDDFLQCVRDKIPGELVYTQCSTSFDGVLVNYIKNAKFVNTTAKPLCIVTPTDASHVQAAIRCGRGHGVRLRVRSGGHDYEGLSYRSARQELFALLDLAALRAVAVDEAASTAWVDSGATIGELYYAVAKNNPRLAFPSGECPTIGVGGHFSGGGIGMMMRKYGLSIDRVVDAKLVNANGDLLDRSGMGEDLFWAIRGGGGGNFGVVLSWKVQLVPVPPTVTVFNIAKTVEQGAIDILTKWQDVAPALPSELTITVMVTGQQAVFRALYLGDCGSLASTMRDRFPELNMTSADCQSMTWLQSAALSFFSFTNTKPVEDVLLPRPASPTTFSKGKSDYVRHAIPKAVWKEIYASWFTMKGAGVIVLEPHGGFMCGVPEDATPYPHRRGVLYVIQYIAFWMSADGGPAATSWLDGFYGFMAHHVTKHPREAYVNFRDLDIGQNALEDGFGAAENARFWGQRYFLNNYERLAKVKAAADPTNFFRNEQSIPPMHNQPRQ >SECCE7Rv1G0493170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:564833462:564836166:-1 gene:SECCE7Rv1G0493170 transcript:SECCE7Rv1G0493170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRPTTTMGGSVPDHQQQQQQHDGEVDAGQLQHGEHVETVMPGFRFHPTEEELIEFYLRRKVDGKRFNIDLIASVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTPSGYWKATGADRMVKVVEGNRSIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGETERYQKEISLCRVYKRPGIDDNFHLTGTTTRSSGSRAAATRHSTAAHRTSVVTHRQQPPVFVDGSGHHSSSALKVYNTHMTQGTNAASTMTSLSAAEVTPAAMFRSTASVASLSSTTSTEEDGTSLYHHHLKGHNNQAVMQLHSSTHATLLNTNSSAMATIPIDELSRAIGSYSQASNPNQPTAPLQGPLLNFPSLEKIWDWNPLLESPKVCTSFK >SECCE5Rv1G0332130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:544753563:544754561:-1 gene:SECCE5Rv1G0332130 transcript:SECCE5Rv1G0332130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLLRLAATLLSPGPASPASGADAQSAVLRATAHHPSTAPLYAHHLDALLAFGRGSRLSAAALASAFTDRLRAAASGSGDAAVALKCLVALRVLLARGAFILRDQLLVALLRHPASGRNPLALAAFPLGRSFAAASWVRFTARLLEVLLLLPDASCDPEYLTALPNPHLVSELAAFASVAAAVRQAPPPSCAPQAHALIWEAIRLAEEDRVTAERNIAARVREMSERIDTLGLADAMELVCVLKRVEESAASPPEWKWAGLDEAVVADARRLRERAEEVLLRRTEQDRRLLRRDPAWSASARVVMPARAGDGAAVRFGSSRWAGTVSSWR >SECCE2Rv1G0097180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:453935944:453936495:1 gene:SECCE2Rv1G0097180 transcript:SECCE2Rv1G0097180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPALLPTPPSAPIATFVKTSRTDGKPGCAWASRSWIDNKFMILAAASDLTGGERAGRVLSEMSGGERLKRVASDMGGSGSAGRGPSETSGGARAGCVALGMGGGDRAGREESRTSWSAVKRPASRAPSTDRCDKKPKAPVKKEASPEADQLFAGPTFMSVVPPDPAELPIPYYFMKKAG >SECCE1Rv1G0005100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:23600730:23603479:-1 gene:SECCE1Rv1G0005100 transcript:SECCE1Rv1G0005100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKHYDESYVDCFMNFDTMEEPSMEDLLGTRQPRAVAAQPKQDAATLVHEAHPNPAPDAAQVNLAAPPSRDVDVQYFHAPAPPPIQHQQLPYELYFPGFQDEILMPEPIPQVHPSIQVQDAPSVHMQHHQAPAPAPMHEDDQYRHVRAAPADHEDHQMLDESLLHDLMQMLTPTANVHLEAAPSDHVQHLQTPATAVQHQHALGQSSAHHFHGQMPGPGEALVHMQHRQAPTPAQMPDQPQAPAAPSALVHQINDKSSDYNFGDIVLDDALLQDLMQMPSPMVHDIHASPADHAQHVQAPVPAFQHHQQMPAESSTRGFHNQMPGESAVRCFHAQVAGDASTCGSSRTPMPECQEDILSPRSSGCSSMVREYLMENDQFDIAEAPLMSDGVSNGVPSVVLMEDEEGFVPLVPGRLQCSQCHVVRQIRFQCEIPLVHIFLHSVTHTSFEDAIRNHNVSARGTFEHAILDRHHFAVGGQVPRAEQMYIDFRNRTGQFVLNFLANILGALRMETGGTLEDSYETVQRAPARSNVNPPGPELRNDALQQVETTMLNMIINSTVVNAEAAQPAPPSPSAEPEPAIAATTNTVHEILTPPNIFDSSDVVPEEHPISEAAKLQQEGIASLSAEEEGEVEMRQYLHEMMLKARRELDMPYGPVQKFCRGNTYTWMWRRISTLNRRIINFQEKSLNVTLNGLLRIKTEVDEAVAEKERLLAEIVRGMKKQREGRGKNDREAGTSGTKKAGGASN >SECCE1Rv1G0000950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2998868:3001739:1 gene:SECCE1Rv1G0000950 transcript:SECCE1Rv1G0000950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMWTAPTLLLLLAAAAAAAATESLTAPTPECRRSCAGVDIPYPFGIGQGCFRKGFEIECTKDGPVLAGTPLRVVRLSVDPDESEVMLPIGYHCYNAPSPGSDVDFSYAETEMNKDGVYRISNTHNMLVVVGCNTMAYTGSSKTEGGTDSYAYYTGCMSFCNNSASAQDGLCAGVGCCHVDIPPGLTHNYYKFRPYDHSTMMDYSPCEYAFLVDRTNYTFLRSHLKMDTKRTSPVWLDWAIRGNSSNPGDTPSCKQAAKTAQYACVSADSRCVDSTNGPGYNCKCSDGYEGNAYFSGGCTDIDECADQAKYGCYGVCTDTVGDYKCECPPGYRSRDPRTEPCTQKFPRAAQISIGAIGGILVLAFLAFFFILRKEKRKAKDFYRKNGGLTLEKARTIKIYTRGNLKPVLKSSNVIGKGGFGEVYKGVVDGVIVAVKKPNGRSVLEKEQFPNEVTILSQVSHKNIVRLIGCCLEVDNPMLVYEFISKGSLEDNLHRADNKELLDLDVRLSILGDSAHGLAYMHSQTHNTILHGDVKPANILLDENFSPKIADFGISRLIAQGKDHTRNIIGDMSYMDPVYLQTGRLTDKSDVYSFGVVILELISRKRATHSDNNSLVRSFLECHQNGESMTELFDKEIATSRDLELLNKLVDIAVECLNLEADQRPSMTDVAGRLVALHRSRDP >SECCE2Rv1G0108470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:664317720:664318233:-1 gene:SECCE2Rv1G0108470 transcript:SECCE2Rv1G0108470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKLEGQIVKKCHHFEWMDDYIQRLQGLGLLDSRGNAIREFNLPHDSAAPAAAARPEYPTVVDVELKMELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE5Rv1G0373690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:860947775:860949035:1 gene:SECCE5Rv1G0373690 transcript:SECCE5Rv1G0373690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEWEAALGMELGMGSHYHHAPPSAAASPMNQHHHHHHHSAYSHSQPPHQYHFYGGAAGGDGADPMRVDEMLDLSSHLGAHDFFSGANNGGAGQGGDNAPPPPAAAAASSSDHQHHHPSSFNLSFADEFYLPVPTEEAAELEWLSNFVDDSYPDIPNYPPAVQAAMAAAARNGGVVVKQENSASAAAPGRGARSKRSRAVSAAAAAWHALAPRQPSPSSSSSSSDSKPARSGGGGGGARKSGLVVGAAELGGGDQSGEVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQNELVHLRGGVGGGVVSASSGSGGAAEHMFRDYGVC >SECCE1Rv1G0034830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:491627869:491630184:1 gene:SECCE1Rv1G0034830 transcript:SECCE1Rv1G0034830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPSPEGRWDDLPDDIAIAVASRLQEADVCALGGCSRSWRRACDANFVWEGLFRRRWPATAAAMATGGVGASRAQGWKALYISNHGRTAVAISSVVEFVESSTHNGSLEAECYLKAMSDLALMKDIGFVDVHFFLLSRNRSAIINLIGLHYSIAYLHILPNEVDKALRACHVAERKVCVSLLKLGRWFYGFRLPDDYESYKNSLSWLTSDDGAKVLVILNRGAVHEVFRLQVSLVGTNN >SECCE4Rv1G0244920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:522799838:522803805:1 gene:SECCE4Rv1G0244920 transcript:SECCE4Rv1G0244920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATPSRVAGPNDPSSRAPNLPSSSLPAPGRTFANPLFRLYNPAPRARPLHPRVPEPENPQLESPNPSHLLSPQPSSSARVPGASVAGGAMASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIHIIPDKATNTLTLIDSGIGMTKSDLVNNLGTIARSGTKDFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTSKHNDDEQYVWESQAGGSFTVTRDTTGEPLGRGTKITLYLKDDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEDEKKDTEEGKVEEIDEEKEEKEKKKKKIKEVSHEWNLINKQKPIWMRKPEEITKDEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGVHEDSTNRTKLAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYSIGQLKEFEGKKLVSATKEGLKLDDSEEEKKRKEELKEKFEGLCKVIKEVLGDRVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDTSMGGYMSSKKTMEINPENSIMEELRKRADADKNDKSVKDLVMLLFETSLLTSGFSLDDPNTFGTRIHRMLKLGLSIDEDEEAAEADTDMPPLEEDAGESKMEEVD >SECCE1Rv1G0003570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14675159:14675410:-1 gene:SECCE1Rv1G0003570 transcript:SECCE1Rv1G0003570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMEGSAMKYTEPAGGSTEASSAKTSWPEVVGMSAEKAKEIILRDKPDAQIEVIPVDAQVTQDLNLNRVRIVVAVARAPMVG >SECCEUnv1G0571190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:498497648:498498025:1 gene:SECCEUnv1G0571190 transcript:SECCEUnv1G0571190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGRADDGHRGHLGPPGFGRRRGRQQSTPRAERRTSKSRSAYGRGASPAPIRFPPSNFKHSLTLFSKSFSSLPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTH >SECCE2Rv1G0074160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:74388970:74392489:-1 gene:SECCE2Rv1G0074160 transcript:SECCE2Rv1G0074160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative indole-3-acetic acid-amido synthetase GH3.9 [Source:Projected from Arabidopsis thaliana (AT2G47750) UniProtKB/Swiss-Prot;Acc:O82243] MDNNDLGFKQNDALQELEMLTVNAKEAQQLILTKILERNQASEYLSKFMNRSTNTSTFKRNVPVVTYDVVQPYITRISTGEDSSIISGDRIVELLRSSGTSRGEPRLMPAISEDLDRRTYLYSLLMPIMNKYVSGLGEGKAMYLLFVKAETLTNSGIPVRSVLTSYYKSPHFLHRKHDLYNNYTSPDEVILCPDSQQSMYCQLLCGLVERQHVLRLGAVFASAFLRSISFLEQHWCDLVNDIRIGKLNPNVTNTECRLAMEGFLALPNPELADELEEICSCGPWKGILGKLWPNVKYIEAVLTGTMAQYIPMLEFYSGGRIPLVCTMYASSESYFGVNLRPLCKPTDVSYTILPNMAYFEFIPLEDGLRVTDDEEVVGNDKLVSLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSIDTDKTNEEDLHNSVTRAKKILEDRNHILLEYTSYPDTSTVPGHYVLFWEIKSTCEGAKPLDPQLLESCCISVEESLDYIYRRCRAHDKSVGPLEIRLVEAGAFDALMDLLVSQGSSINQYKTPRCIESGLALKLLNSKVTASFFSPRDPEWTM >SECCE7Rv1G0508410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:772142259:772144661:-1 gene:SECCE7Rv1G0508410 transcript:SECCE7Rv1G0508410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIYFTLLLFLCTQTNSAATDTIFAGQALSANDKLVSNNGRYALGFFNTSSSKPSQDTTNWYLGIWFNTVPKFTSAWVANRDRPIKNTTSLELTISQDGNLVILNQSTKSIIWSTQANITRNSTTAMLLSSGNLILTDSSNSSEILWESFDHPTDTLFPGAKLGWDKLSGLNRRIVSWKNLNDPATGGYCDRLDPSGANQFLLTPMNSSIPYWSTGVWNGKYFASIPEMSGNNPPFTSNFVHNDQEKYMTLTVVDESMVTRNVLDVSGRSKQFIWLEGSQDWTMVYAQPKLQCDVYAICGPFTICYDNAVPYCSCMEGFTITSPKDWQLEDTTGGCSRNTPLDCISNKSTTHTTDKFYSVPCVKLPQNATKVEAVASASECAQVCLSNCSCTAYSFNDERCSIWHNELLNIRALQCSGTTSSNGDTLYLRVAAKDFHILKNNRKGIVIGVSTGAGVSALGLFALLLVKIRRNISKSSSRILDGVQGCHRIIAFRYTDLQHATRKFTDKLGAGSFGSVFKGFLSDSTAIAVKRLDGAYQGEKQFRAEVSSIGAVQHINLVKLVGFCCEGSKRLLIYEHMPNRSLDVHLFRSNSTMLNWNVRYQIALGVARGLAYLHESCRNCIIHCDIKPENILLDASFVPKVADFGMAKLLGREFSRVLTTMRGTAGYLAPEWISGVAITPKVDVYSYGMVLLEIISGKRNSCAPCTSGGNLDVYFPVYAAHKLLEGEVRSLVDEMLHDVFNLEEAELACKVACWCIQDDEFDRPTMGEVVRILDGQIEIGMPPIPRLLQAMAGNSVAT >SECCE4Rv1G0256730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:659184645:659187871:1 gene:SECCE4Rv1G0256730 transcript:SECCE4Rv1G0256730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQPPHHDLPEDRGEANVLIEEARGSPPAKDAGAGGAVGWVLSPVAWFRMLVRELHWSFVLGVVATYGISQGLGGGISRVASDYYWKDVQKVQPSAAQVYHGVTSIPWLVKPIWGLLTDVLPVAGYRRRPYFVLAGIMGVIAMLIVSLHSKLHVMFALLALMSGSASVAIADVTIDACVAENSLIHPHLAADMMSLNGFCSSVGGLIGFSISGFLVHAIGSQGTLGILTIPSALVILAGILLKDVHIPNFPYGQAHKKFVEASGKMLRTLKCPEVWRPCFYMYMSLALSVDIQEGMFYWYTDPSAGPSFSEGFIGFIFAIGSVGSLVGVILYQNILRDHSFRSVLCSSQLLLSLSGMLDLILVLRLNLKLGIPDYYFAVVDEGVAKMINRIKWMPLLVLSSKLCPPGIEGTFFALLMSIDNIGVLSASWIGGLLLHLLRITRTEFKNLWAAILIRNVMRLLPLALLFLVPRSDPNSNILPADLLAECDGAEARRTENVELNSLTVDKNPSTDASLQECKTQEHHDVGQDDDEASLLANRG >SECCEUnv1G0554170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:283104081:283110313:1 gene:SECCEUnv1G0554170 transcript:SECCEUnv1G0554170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVTGAMGSLIPKLGELLMEEYKLHKRIKKDVEFLRKELESMHAALIKVGEVPRDQLDMQVKLWADEVRDLSYNMEDVVDKFLVRVDGDGIHQPHDNSGRFKELKNKMVGLFKKGKNHHRIADAIKEIKEQLEEVAARRDRNKVVVPNPTEPITIDPCLRALYAEATELVGIYGKRDQELLRLLSMEGDDASNKRLKKVSIVGFGGLGKTTLVRAVYEKIKDDFDCRAFVPVGQNPDMKKVLRDILIDLGNPHSDLAMLDANQLIKKLHEFLENKRYLVIIDDIWDEKLWEGINFAFSNRNNLGSRLITTTRIASVSNSCCSSDDDSVYQMEPLSNDDSRKLFYKRIFPFQSGCPNEFEQVSTDILKKCGGVPLAIITIASALAGGQKVKPKFEWDVLLQSLGSGLTEDNSLEEMRRILSFSYYNLPSHLKTCLLYLCIYPEDREINRDRLIWKWVAEGFVHHRNQGTSLFLLGLNYFNQLINRSMIQPIYDSIGQVYACRVHDMVLDLICNLSHEAKFVNVLDGTGDSMSLQGNARRLSLHNRNGDHLAKPLTTIMSMSRMRSITIFPPAISIMPSLSMFEVLRVLDLSNCDLGKSSSLQLNLKGVGHLIHLRYLGLAHTEISELPTEIGNLQFLEVLYLGSNHNLDVLPSTLFKLRRLIYLNVFNYKLVPTPGVLQNLTSIEVLKGILVSLNIIAQELGNLARLRELHICFKDGNLDLYECFVKSLCNLHHIESLRIDCNSEGRSSELMDLLAERWVPPVHLCKFESSMPSQLSALRGWIKRDPSHILNLSELVVLVKEVQQEDVEIIGGLLSLRRLSIRSTHQTQRLLVICADGFRCMLCFHLECGSAAQIMFEPGALPRAEVLVFSLGVRVAKEDGNCCFDLGLQGNLLSLRWYVRVWMYCGGARVGEAKEAEAAVRYALDAHPNHPRIHIKMIPDIEEGADDDDLCEDGEEN >SECCE7Rv1G0526950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:899481584:899484300:-1 gene:SECCE7Rv1G0526950 transcript:SECCE7Rv1G0526950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILDRQDEEDDFIGIESSSRAEIQDVATEIYQALMQHKYLVVFHNGSDNTIDFNDFGIPQPERWSARKVLWTFRGRLCLNSQISEKVDKSHLFVYDYVTYNNYNSLLKEEAREIDGYADNLGEAVEECCLYLLSLNSQGGNIMDYNWDTHASSYWVCDGIIQGGQSDQAWKVAAALHHNINMEDYSSNAPPLFGDLLKTPPKRWILAKDSSVVQPESTSFFLATAASQSDPPLRALPNDMFHQSGKLRVLKLCSCTFSFSSPPFGCCRSLRFLGLDGCKDERLEENDKQDRPIMEFFQSLWVLDICHTNWELDLSGNITEQMAANIREVHIKKGRIWCHSFSWRQLQNLHKLRVIEPTSPWQTCEMDEFIDTVKLQFLDLSGNSTILVLPSLSRSTSLKTLVLDGCVGLKVIEGHPPSLESFSLNAGPRKDGYKEVKISRISMAGCARLFDFTLRGSLPNLEELDLSGTIVKTLDLTTQVVQVPCLHQIILLGCMQLHVILWPKEGLPTLTVLHIDSSVCLVHRKLHEVYVTILDMRFFQSLVLQSNARFCWNSNRFHLNLCVPCTTNVKGESCMKEEMGPDNSGKIMTPPQPMSLTPNTCNIYIDVSVGNIIVDHGYNNRVQFQPSVCHVEIDKGISNASVQSTQAIKAIIFAMNEAGSLHAHDNSSISTIIPEHMMSIESTILTWQNLKSYHVLRCPKMHTVFDTTYAYIFDELVDVWAADLLMAHCIWSKGRTGDFVDTRSFGKLQSIHLFSCPRLTFVLVLSILYTLGSLETLHITFCGELRQVFPMEPEILKRIARYHKGVLELPNLKHICLHQFFKLQHICEAKMFAPKLETIRVRGCWGLRRLPTKDWWDNLEWDGLEAGHDPSLFEPRHSAYYKKPLPRVSVLW >SECCE5Rv1G0301290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:30024493:30027136:1 gene:SECCE5Rv1G0301290 transcript:SECCE5Rv1G0301290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVSLKSSLFLSSPLSDFGGAAISISAQNRRRSWQPRGARMQVAAAADSKNILIMGGTRFIGLFLSRKLVQEGHQVTLFTRGKAPITQQLPGESDAEYAEFSSKVLHLKGDRKDFDFVKTSLSAKGFNVVYDINGREATEVSPILEALPNLEQFIYCSSAGVYLKSDLLPHFETDAVDPKSRHKGKLETESLLETSGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPNAGNQITQLGHVKDLATAFIKVLDNPKASKQVYNISGSKYVTFDGLARACAKAGGFPEPEIIHYNPKDFDFGKKKAFPFRDQHFFASVEKASKDLGVTPEYDLLDGLTDSYNLDFGRGTFRKEADFTTDDMILGKKLVSV >SECCE2Rv1G0121680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:809975547:809977175:1 gene:SECCE2Rv1G0121680 transcript:SECCE2Rv1G0121680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCFMFGRRSQHAVEGDDGEHSVRVFSYNELRKATQGFSGANKIGEGGFGSVFRGMLKDGTLIAVKVLSATSRQGVREFLTELTAISDIKHENLVTLVGCCAEGSHRILVYNYLEKNSLSQTLLGSGYSSIQFNWSARVKIAVGVARGLAFLHEEIRPHIIHRDIKASNILLDKDLTPKISDFGLARLLPANATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSYGVLLLEIVSGRCNTNTRLPYEDQFLLEKTWAFYEQERLDEIIDADIDEDLDIEEACRFLKIGLLCTQDAMARRPHMPTVVRMLTGSKSISMEKITRPAMITDFAELKVSTKPQGANQARSNTSRSFSTTEISEPFSSSENHTQTSV >SECCEUnv1G0560270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:346127653:346131256:-1 gene:SECCEUnv1G0560270 transcript:SECCEUnv1G0560270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSVATGVLKPVLGKLTLLLGNEYKRFKTVRKEIKSLTNELTAMEAFLLKMSEDEDPDVQDKVWMNEVRELSYDMEDAIDDFMQSIGDKDEKPDGFIEKIKSSLGKLGKMKARRRIGREIQDLKKQIIEVGDRNARYKSRKTFSKTVNGTVDPRALAIFKHASKPVGIDKPKAEIIKLLTEEDVCASEQQQQKIVSIVGFGGMGKTTLANQVYQELKGKYECWAFVSVSRNPDMTNILRTILSEVAKKDYATTEAGNIQQLIANIIDFLADKRYFVVVDDIWNVDTWDIIKRAFPATSSTSRIITTTRTNNVAHSCCSSFNGRIYNIRALDMVHSRQLFHGRLFKSEDDCPSYLKQVSEKILEKCHGLPLAIIAISGLLANKEKTEDIWNQVKNSIGRALERNPNVKGMMKILSLSYFDLPPYLKTCLLYLSMYLEDSVIEKERLIRRWISEGFIYKEGRYTAYELGERCFNELLNRGLIQPGKTDDYGKVNNCRVHDTILDFIISKSIEENFVTLLGVPILTIGKKSKIVRRLCVQGVDEGNSALLIADLMFSHVRSLTMVRGLLEIPSLEEFRHVRVLDLKDCSELKDRHLENIVRLFQLRYLNLKDTKISKLPERIGRLGCLEVLDLRWTSVEELPASIVSLRKLMHLLVGHDVKFPDGIAKM >SECCE3Rv1G0173410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:336614659:336615967:-1 gene:SECCE3Rv1G0173410 transcript:SECCE3Rv1G0173410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREMNGFFSHPPPPPPPPYQEEAAADVDDGEDGSGGQGKLCSRGHWRPAEDARLKELVAQYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRINRRAFTEEEEDRLMAAHRAYGNKWALIARLFPGRTDNAVKNHWHVLMARRQREQSGAFRRRTKPTSSSVAPAPHYPGLVLQHQLHGSSPMPLQPFAMGVAIATGVYSKAATATASHAYSGGESDESASTCTTDLSLNSGSAAVPCFYHHQGYDMAPQAHAVTQAFSPSARSAFSAPLSWSARQQQQQRRGHQHEEDASGAAEAEQDGDKLSLPFFDFLGVGST >SECCE2Rv1G0127440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:852830295:852837152:1 gene:SECCE2Rv1G0127440 transcript:SECCE2Rv1G0127440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFGARRRRSPEDEGDDGDGSGSGRGKRRRLSPEEGALVAAEGGRSPGWLSTIVTGAKRVISSVLFSSSEEQASGDEDEEEEEEEDDSEQDSDGNEDTRDPHGKIVPYSESKLAIEEMVMKETFSRDECDKMVKLLQSRVTNSAFPEAYEHGTPKETPSRNGHDFTGAWRSLNRNSNGPGSGPVFSTGPGNFSPGSPLQASPELCSAAVMEAKKWLEEKRQGLGSKPEDHGPCTLNTDVLNADLKSDKGSPVDLAKSYMQSLPPWQSPLLGSRRFKSPPSGGIHINDEERSKYFSSSKVDTKEDFLSSSNFWENFDLRRDRIRFSETAEASKSRHHGSTSRLFDNGVSILSPGTRDEVGQPVQGYKGSDKVAAAEPANEFSLPISPTKDGKHGAVDSVDPAPKDSGNLVQECHAASDVHPDEVPQGNADEVPQGNPVPFTSAMEEAADHSGDKSVPAEPEIHEELHVNSTSESRAKDAAPQIRMSLRSLKKKVHTSLNGPTKKTSANGLLDRSDGNSGIESSGNDNPSCTNSSSAVPPNNNELINSAADPSADNSVDNGARTVSEKPADGKSVENGAEKDSVEPVKEDPKPAYVRRGRKRAARRAL >SECCE3Rv1G0198370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:831219692:831225370:1 gene:SECCE3Rv1G0198370 transcript:SECCE3Rv1G0198370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Delta 1-pyrroline-5-carboxylate synthetase (P5CS) [Includes: Glutamate 5-kinase (EC 2.7.2.11) (Gamma-glutamyl kinase) (GK); Gamma-glutamyl phosphate reductase (GPR) (EC 1.2.1.41) (Glutamate-5-semialdehyde dehydrogenase) (Glutamyl-gamma-semialdehyde dehydrogenase)] [Source: Projected from Oryza sativa (Os01g0848200)] MGRGGIGGAVAAADLENSDSTRGFVRDVKRIVVKVGTAVVTGQNGRLAMGRLGALCEQVKELNFQGYEVILVTSGAVGVGRQRLKYRKLINSSFADLQNPQLDLDGKACAAVGQSGLMAIYDTLFSQLDVTSSQLLVTDRDFRDPSFGHQLRETVVSLLDLKVIPVFNENDAISTRRAPYEDSSGIFWDNDSLATLLAKELDADLLIMLSDVEGLYSGPPSDPQSKIIHTYINEKHGKLINFGEKSRVGRGGMQAKVAAAVTAASKGVPAVIASGFVTDSIIKIMRGEKIGTLFHNEANVWDCSKEVTTREMAVAAKDCSRHLQNLSSEERKKILLDIAGALDANVDLIISENEADLAAAQDSGYEKSLVARMTLKAGKITSLAESIRAIADMEDPISHILKKTEVAKDLVFEKMYCPLGVLLIIFESRPDALVQIAALAIRSGNGLLLKGGKEAMRSNTILHKVITSVIPDAVGKKLIGLVKSKDEIADLLKLDDVIDLVIPRGSNRLVSQIKAQTKIPVLGHADGICHVYIDKSADMEMAKRIVLDAKVDYPAACNAMETLLVHKDLNRTEGLDDLLMELAKEGVVIYGGPVAHDTLKVPKVDSFHHEYSSMACTLEFVDDVQSAIDHINRYGSAHTDCIITTDKKSADTFLQQVDSAAVFHNASTRFCDGTRFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQVVNGDKGVVYTHKDLPLQ >SECCE1Rv1G0028770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:406813688:406815343:1 gene:SECCE1Rv1G0028770 transcript:SECCE1Rv1G0028770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGLIDRPLLPCRCNANNGGGLAVCPIDDHAVVVVSMSAPVLADRPSSLTKDAAKEAVSILGLSLPMIMTGLILYVRPMISMLFLGRLGELALAGGSLAMGFANITGYSVLSGLASGMEPVCGQAVGAKNLPLVGATMQRMVLFLLVVSVPVAFLWVQMEPLLLLCGQHAAVSAAAQRYILFCLPDLLFQSFLHPLRIYLRTQSINLPLTTCATLAVALHLPINYLLVSVLGLGVEGVALASAWTNLNLVLFLLGYVYVSGVHHATGGFSLSYQLFKDDVAAWVRLARLAVESCASVCLEWWWYEIMILLCGLLANPEATVASMGVLIQTTSLLYIFPSSLSFGVSTRVSNELGANRPDAARAVATAGLALSALQGVISFLFAVAVRNVWARMFTTDAAILALTASVLPILGMCELGNCPQTTGCGVLRGSARPKDGAHVNLAAFYGVGMPVALGLAFWAGMDFKGLWLGLLAAQAACVTVMLVVIGRTDWDRQAELAQVLAGVADDIEHGGYVNGDGGKDASECVKVAAPHGDEDSSLLITVVGLTGAP >SECCE1Rv1G0012520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:93430290:93431462:-1 gene:SECCE1Rv1G0012520 transcript:SECCE1Rv1G0012520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHLLVAVTGRRRRWWEAPLFAAEQRATLVNVKLKLVKDPALDGALSRQRHLRAAHHLLDLVSSRPGRRISCPELLADKSVHKMFGSADAALAFLRRYHTLFVLSRRGGGGVSLTDAALGLRCRELDCLGASEPDLLARLRRLLMLTLPRSLPLHTVDLLRWDLGLPRNYRASILGRHPDHFALKQPEGDERIWLHLLSWDDRLAVSELEKAAAGGDITCLPFPVSFTRGFGLRSKSMDWLKEWQTLPYTNPYTDASGLDRRTDVSEKRNVGVFHELLHLTLAKRTERHNVSNMRKLLGMPQKFTKVFERHPGIFYLSRIHGTQTVVLREAYGGTSQLLEKHAHPLVAIREEYATMMRAALPPRRGRESFDCCGELDEESEGEEGTELS >SECCE4Rv1G0235050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:313555231:313560897:1 gene:SECCE4Rv1G0235050 transcript:SECCE4Rv1G0235050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTASSSAQLGLGLGLGLGAMGGLGLLLPADREHDPELEQCSPPKGVLRLRGAVQHYEWGSRGDASLVARLAGETEEGRPCAELWMGTHPAAPSSLASDDGAAVSLREWLARNPAALLGRAVTARWDGDLPFLFKVLSVAKPLSIQAHPDRELARALHALRPTTYRDANHKPEMVVAVTEFRALCGFVSVQELKDVLRTVPEVRMLVGKEDVAKLMTAKEHDGGIGIRSYLQSAFTKLMATSKEAVAEAISKLKSRLNGEIKIRTFTEKEQLVLSLEQQYPRDVGVLSAFFFNYVKLSPGEALYIGANEPHAYLSGECVECMATSDNVIRAGLTPKYKDVQTLCSMLTYKQMFPEILQGVLVQPYVIRYTPPFDEFEVDRYLLPQGESVTMLPVPGPSIFLVMTGKGGIQADGMPDEGVAKEGDVFFVPARMEVKLHASGPGCLQLYRAGVNSRFFC >SECCE5Rv1G0373080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856089327:856089899:-1 gene:SECCE5Rv1G0373080 transcript:SECCE5Rv1G0373080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAVVIATLLALNLLFFTFADACGCHCGSCPSPGGGGGGGGGGGGGSGGGGGGSGGGGSGGGGTGGGGSGGGGTGGGSGGGGSGGGGSGGGGSGGGGSGGRARCPIDTLKLGVCANVLSGLINLQLGTPPKKPCCSLIQGLADLEAAVCLCTALKANILGINLNVPIDLSLLVNYCGKRVPSGFQCPS >SECCE2Rv1G0066400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14286808:14288323:-1 gene:SECCE2Rv1G0066400 transcript:SECCE2Rv1G0066400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRDNVKSDEILLPGFRFHPTDEELVSFYLKKKIQQKPISIELIRQQDIYKFDPWDLPKLASTSSETEWYFYCPRDRKYRNSARPNRVTAAGFWKATGTDRPIYSSEGTRCVGLKKSLVFYKGRAAKGIKTNWMMHEFRLPSLTDPSLPKRPIDKNIPLNDSWTICRIFKKTGSMAAQQALSHAWGAPLPGATEQHLLSAMQPVQASHFASESSTGSLQVAAVAPSNQFISFNCGPSPQVLKGPTILPFQTLTPSHMPVLRTAPPPLFFDAHFGQPEQIAGFVTGSSADVNASMSCRDQESSTTKHGGNFRSMNNEWEALGRLDFPFDLVADSSHDWECNIPWESFLSPTVPAEISQH >SECCE4Rv1G0277500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:804605351:804606835:1 gene:SECCE4Rv1G0277500 transcript:SECCE4Rv1G0277500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARAMTVQELANVLSKPEVPTQYVVRGHDDKQLARAVAAPIPVIDLCRLFTEDGAATDEASKLQAALQSWGLFLLGNHGVETTMMDGMMIASREFFKRPLEDKKRYTNLIGGEQFQFEGYGNDRVRSPDQILDWSDRLYLKVEPEDERRIALWPTHPENFRDILHEFTKKCEGVKDDLLRAMAKLLQLDDDDYFVDQLGEKAETNVRCSYYPECPRPDLVFGLKPHCDGTVLTLLMVDDSVGGLQVLRDGVWWDVPIVPHTLLVIIGDQTEIMSNGFFKSPVHRVMTNAKKERLSVALDYSVDHEREIEPSAQLIDEKRPALYMKVKVKDYIAGLYEHFSQGTMVTDTLQI >SECCE2Rv1G0097980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:473217578:473218552:1 gene:SECCE2Rv1G0097980 transcript:SECCE2Rv1G0097980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGALLLLLSTASAVAQLDVGFYSKTCPHVEEIVRQEMLGILKEAPTLAGPFLRLHFHDCFVRGCDASVLIDSADTASNPAEKDAPPNKSLRGFGAVQRVKDRLQSACPNTVSCADVLALMARDAVVLAGGPTWPVALGRRDGRVSIAIETNQLPPPTSNFTRLSKKFAAKGLDAKDIVVLSGGHTLGTARCVSFTDRLYNFTGANNPADVDPALDAAYMTRLRSQCRSLADNTTLAEMDPGSFLTFDAGYYRLVAKRRGILHSDSALLEHPTTRAYVERQATGLFAAEFFRDFAESMVKMGNIGVLTGEQGEIRSKCYAVNK >SECCE3Rv1G0184210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:660673390:660677218:1 gene:SECCE3Rv1G0184210 transcript:SECCE3Rv1G0184210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPIDAPSKPASVDAPSKAAPVDAPSKQVPVDAPSKPAQVDAPSMEAQGGAPPKAATGPGLYSEIGKKARDLLYKDFTTDQKLTLTTYATNGTAITATSTTKKEAILNEIQTQFKYNNVKVDVKANSDSQVLLTTTSETWYIPGLKRIVTVPLTNHTPAKAELQYLHDYAGISVGFGLQSRPLINVSALVGKKALAVGADVAYDSATGDFTKCNFGASFANDDLYGAVMLNNKGDSLSASYYQMVSNSQAAVGGEVSRSLSSNETTTTFGFQYSLDPLTTTKVRYDNHGMVSALIQHELRPKSLLTISSEFDTKAIEKSSKIGLSLLLKP >SECCE3Rv1G0204040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:888855298:888859219:-1 gene:SECCE3Rv1G0204040 transcript:SECCE3Rv1G0204040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIPPPSHLQQYSQSPVHSSPHHHHLNSMRYSASSERERYVAELLAERQKLGPFVQVLPYCTRLLNQEILRASSLPPNHNFVDAERIEHGSPLRLPGHPVNGQPMDLEGWSGRQAEHMGVLQASPMSWNGAPALGGTPVVKKLVRLDVPVDKYPNFNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDSVKEEKLRDKPGYEHLNEALHVLVEAEFPADIIDVRLNQAVTILEDLLKPMDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSAGMKRPKTGR >SECCE2Rv1G0119870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:794835535:794840691:-1 gene:SECCE2Rv1G0119870 transcript:SECCE2Rv1G0119870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSPPPTPKSPKLQPPLLERAKGPSGLDKIVLRDPRGFTAEVRLYGGQVTSWKNEQGDELLFVSSKAIFKSPGAIRGGIPICFPQFGTHGNLEKHGFARNRLWLVDDNPPPLPVNSGIKTYADLILKPSEEDLKIWPHRFEFRLRVALGPKGDLFLTSRIRNTNTDGKPFSFTFAYHTYFSVSDISEVRIEGLETMDYFDNLKGKERFTEQGDALVFESEIDKIYLDTPPKIAIIDHEKKRTFVLRKDGLPDAVVWNPWERRSKTILDFGDEEYKHMLCVEPAAVEKPITLKPGEEWKGRLELSAVPSSYYSGQLDPDKVLHG >SECCE3Rv1G0183500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:650991382:650996493:-1 gene:SECCE3Rv1G0183500 transcript:SECCE3Rv1G0183500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPACTNARACPFPLTMMQSQGARIFRLHAYDWLALLLLVAMEGVLNAIEPFHRFVGQGMITDLRYPMKSNTVPVWAVPIVAVIVPMLIFIAIYIWRRNAYDLHHAILGILFSVLITGVLTDAIKDAVGRPRPNFFWRCFPDGIAVYDNVTTAVICHGDASVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVILPLLVAAIIAISRVDDYWHHWQDVFAGGILGMVVASVCYLQFFPSPSDDKGFWPHAHFRDITEREEESRRRRATEMSNGDSTAIVPWQGEAGANTSRTLDAMESGT >SECCEUnv1G0555650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:301827775:301828263:1 gene:SECCEUnv1G0555650 transcript:SECCEUnv1G0555650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMQQGWLSNWLVKHEVVHRSLGFDHRGIETLQIKAGDWDSIAVILYVYGYNYLRSQCAYDVATGGSLASVYHLTRIQYGIDNPEEVCIKVFAQKDNPRIPSVFWIWRSADFQERESYDMVGISYDNHPRLKRILMPESWIGWPLRKDYITPNFYEIQDAH >SECCEUnv1G0553250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:266474892:266487340:1 gene:SECCEUnv1G0553250 transcript:SECCEUnv1G0553250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDLPVNIREYQELAKKILPKMHYDYMNGGANDEHTSRDNIAAYGRIVLRPRVLVDVSNIDMSTSLLGYDMPSPIIVAPTGAHKLADPEGEVATAKAAASCNSLMVLSFSSNCRIEEVAASCDAIRFYQLYVFKKRDVSATLVRRAESNGFKAIVLTVDNPMLGRRERDIRNKMVAPAKPNLEGLITLENLDATDGSQLVKYVRDTMDPSLSWKDVEWLKSITSLPILLKGILTAEDARKAVEAGVAGVIVSNHGGRQLDYAPATISVLEEVVKAVAGAVPVLVDGGIRRGTDVLKALALGARAVMVGRPVMYGLAARGEAGAKHVIEMLNSELELAMALCGCRSLAEVTRDRVQTEGDRIRSLL >SECCE7Rv1G0465110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:66678189:66679355:-1 gene:SECCE7Rv1G0465110 transcript:SECCE7Rv1G0465110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITTAGASPAMQEAAKTPSASPPRATAAPPARYRPSPLVIFSACLVLIGSSGPLLLRVYFVHGGQRLWLSALVQISGWPLLLPPLCVSIFRGRRHGIVNLLLPPRLVGAAVVLGSLYAVSCFVYAMGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVLLLTIGPAVLGVGPGSGKPAGEPSKTYWTGFCEAIAAAALAGLVLPLVEVAMERFGRRRGPATAAPPPYSTVMQMQAMMGAAGTMVCLLGMAIKSDFKALPSEAAAFGLGETKYYLVLVWGAVAWQLLNLGVIGLVTCASSLLAGIMVAVLLPLSEVLAVIFLHEKFDGPKGIALVLCLWGFASYMYGEKVQQKKAEAQKNQLLQEQMARKTGDLELANP >SECCE5Rv1G0321140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:397426338:397429606:-1 gene:SECCE5Rv1G0321140 transcript:SECCE5Rv1G0321140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASTLLLSADAPLAAGRRLLLVPSRPARARRGAAPSRCRRAYAAAAAPAPAPASGNGLVGNNNGVYTVGDFMTEREDLHVVKASTPVDEALEMLVQNRISGFPVIDDDWKLVGVVSDYDLLALDSMAGCGLADTNSSMFPEVDSTWKTFREIQKLLSKTSGKVIGDVMTPSPLVVRKTTNLDAAARLLLETKYHRLPVVDSTGKLVGMITRGNVVRAALKIKKQAEGA >SECCE2Rv1G0129440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:868262905:868264682:-1 gene:SECCE2Rv1G0129440 transcript:SECCE2Rv1G0129440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSPQKHTRMAPSFPSLPLLAVLVVMCATMPALPSPCGAMPEDVVVHDLLMLGRFHRWMSAHNRSYTGAGEKLRRFEVYRSNVEYIDAANADGELGYELGENEFTDLTHEEFMARYTGGEFIKNDHDFVDETIITTLAGDVHEGCETMEDEDSLGLPESWDWNEKGFVTPAKNQQQCGACWAFAAVGAIESQLKMKTGELLDLSEQELIDCDSTQKPGGCKGGNPYKAFVWTKSKGGIMKEAEYPYEAQQGQCRTNGDAIRRGKIYQIGMMKPEERYLQEAVYKRGPTVVGIDSRDRNLQHFKSGIYKGPCGTALNHAVLAVGYGARNGEKYWVVKNSWGQTFGENGFFLMSRGVNGKAGLCGIAMQAFYALV >SECCE4Rv1G0274210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783235326:783236474:-1 gene:SECCE4Rv1G0274210 transcript:SECCE4Rv1G0274210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLRAWPDLPEDLLYSIIARLGLVSFSDLVAFSATCRSWRAAFSTLVPLLPPLLLRPDVPMCFPRRPPMTNNLVPTRPCHATNIANQDTYQCCRIPSHSIFGENNTPPSPLEGFRFSGASFGHLIFSRDKSCVVVDVFTGVSVSSPQLPFIKDIKLFYAALTAPLASPNSHIIVDIGSRNLFWRVGGQYWVGRSPDDGPIKQIVVFKGRVFGIDSDLKLFKVQLTPQICIQEIPVMESNMIRKWHHSDRWLVICGDMLLLVALRGPIIVTGVTFEVFRLDLSTEPAIWLKVEKLENWAIFISTDKRSQALSCMNPEIWGGRSNCIYCYNHDTKHWVALELGKPLQGNGPTSKFNPNIFIFMGRDSRVQPMWVVPSMLSFRR >SECCE1Rv1G0008300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:46280927:46283527:-1 gene:SECCE1Rv1G0008300 transcript:SECCE1Rv1G0008300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRICIRLPLPLRCSSPSSSCTFPDSGLRHPSSFTAPLSGVRLRRYFTTSMSNLRLLRRSSSSTSTTMSPPSRSWSPHAAFDAATERVRAGTLTRDDAHHLFDELFQKATPVPERSLNGFLAALARATSSAACIRDGPALIVALFNRVCREQSGPRVVPLTVCTYNILMDCCCRASRLDLGLAFFARLLRTGLKTNQITANTFLKCLCYAKRTDEAVNVLLHRMSELGCVPDAFSYSIVLKSLCDNSMSQRGLDLLQMMAKEGGGCSPDVVAYSTVIHGFFKEGETGKACSLLHEMMQQGVEPSVWTYNSIIDALCKARAMDKAEVVLQQMIDKGPQPDAVTYTCMIHGYSTSGRFKEAAKLFREMTSRGLIPDTVTCNSFMTSLCKHGKSKEAAEIFDSMTAKGHRANVVSYSILLHGYANEGCFADMINLFNSMERNGIAADCHVFNILINGYAKHGMMDEAMLIFTEMWEKGVSPNVVTYSTVIAALSRMGRLTDSMDKFNHMIAMGIQPDTAVYHSLIQGCFMHGGLVKAKELMFEMMNKGIPRPNIVFFSSVINSLCKEGRVMDAHDVFDLVIAIGERPDVITFNSLIDGYCLVGKMDKAFKILDVMESVGVEPDVVTYSALLDGYFKNRRIDDALTLFREMPRRRIKPDTVTYHIMLDGLFRAGRTVAAMEMFNEMIESGATVRISTYNIILGGLCGNSCVDEAIALFQKLRAMNVKFNIEIFNTMINAMYKVQRKEEAKELFATISANGLVPNESTYAVMIRNLLKGGAVEDADNMYSSMDNSGIVPSSRLINDIIRMLLEKGEIAKAGNYLSKVDGKSISLEASTTSLMLSLFSRKGKHLEDIKLLPAKYQFFDGFG >SECCE1Rv1G0029680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:417323491:417324132:1 gene:SECCE1Rv1G0029680 transcript:SECCE1Rv1G0029680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLAMAARRLLSAHDVRASPHSPPPPAQQEASFPMLLPVFLLFLLLLCFLSIFLLRDLLRFFSLWLRRRRRHRLQSSDADATTGEEGEPAPAPRKPAGLDPAVIASFPTVRFEADAAGSVAPAECAVCLSEFAPGDAVRLLTVCRHAFHAACIDSWLGAHTTCPVCRTDLDAPPNAEIAVAAQRGDRGLAAAVVHEATGHRTTSSPPASDHQ >SECCE4Rv1G0242400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:476513745:476516486:1 gene:SECCE4Rv1G0242400 transcript:SECCE4Rv1G0242400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKKSRNSAPDPSVAARLPWQPSAPPLATALLICFAALLLRALVSVGPYSGQGAAPKFGDYEAQRHWMELTLHLPSSDWYRNTSDNDLAYWGLDYPPLSAYQSRLHARLINASLPDAVALRSSRGFESQESKLLMRWTVLSSDLMVFFPAALWFVWAYMKDGVGGSGERREGWTWLLAMVLLNPCLVLIDHGHFQYNCISLGLTLGAIAGILSRNELVAAALFSLAINHKQMSMYFAPAFFGHLLGKCLKREYPIVEIMKLGFVVLGTFALVWWPYLHSYEAAMQVISRLAPFERGIYEDYVANFWCTTSVLIKWKRLYAIKPLKLMSLSATILAFLPSFIQQVKSPSNLGFLYSLLNSSFSFYLFSYQVHEKSILLPLLPASLLALHEPHLHGWFTYYALFSMYPLICRDQLFLQYIAVLGLFVLIYYSPGGNYGKGMKITSGTMAVLSLPLLCSIFLHTVYLQIEPPKRYPFLFDALIMFICFSQFIILTLYTNYKQWMLDFHPRPVGRKKDL >SECCE5Rv1G0339640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:609458637:609460011:1 gene:SECCE5Rv1G0339640 transcript:SECCE5Rv1G0339640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFTGSDALTALAHRLADKLSVTRGTPSNVAFSPLSIYSALSLVAAGARGGTLDELLAVLGASSRDELAENGRFVAEHALADRSPSGGPRVAFASGVWHDAGRPLEPAYREAVVASYLAELRAVDFRNKPDESRKEINRWVAAATGNLIDSILPPESVSEDTAVVLANAIYFKGKWKKPFEKKSTEVERFHLLDGSAVDAPMMRTGRSQYIAVHHGFKVLVLPYSSKEPGASKKRRRGTSSSGSGDDPAPAPLPRYSMCVFLPDARNGLWDLVRKIASSPSFLHDHLPDETVEVDEFRLPKFKVSFCGKLSGALQDMGLVAAFDADMADLTDMAPDVVGRSGVLKRLVLEDVFHRAVVEVNEEGTEAAAVTVCEMEDEEADWESEPEPVDFIADHPFAFFVIEEVSGAVVFAGHVLDPTKHQETLHDV >SECCE2Rv1G0073890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:72593046:72598005:1 gene:SECCE2Rv1G0073890 transcript:SECCE2Rv1G0073890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGDTKGRKRKFLPHGKPVRKGAYPLRPGVQGFFLTCDGGREHQATREAISLLDTFYEDLVDGKVFDEKPKSIPDKPLNKKIKFDDSDDEDEDHSVEEANNGNDTGKGEAKSSEQQQEVPAALEIASKEDEEQVETAGGSVPKKQRIEDPLVSEQTEPKAPTDEPTETTDDKPKESTDKPAETTDDKTKESTDKPAETTDDKPKESTDKPTETSYKPKAANDKPKEFTGKPKVSNDIPIDDLIDEDLKQLGDRKKRLFASVDSGCNGCIFIQMHKRDGDPGPVEIVQNMMSSAASTRKHMSRFILRVLPAEVVCYASEEEITRAIAPLVEKYFPKESPSGHKFAVLYEARSNTGIDRMKIINAVAKSIPEPHKVDLSNPDKTIIVQIAKTICMIGVVERYKELSKFNLRQLTSPPEK >SECCE2Rv1G0122480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:816205935:816209837:1 gene:SECCE2Rv1G0122480 transcript:SECCE2Rv1G0122480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPNQPPPAAANERIYKPTSYDPRLEDQRRERSELLKKIADSYDHVRERLAMATSFKEETFVGAGLSVGLLDPATNIIYNTLTASDLCADETRGVPQHRDMAERSLAGLVAFLTSFFRYLANWEAVRYLLRADADLVHAMRLIAHDRCLSSFQINSDTSRSVVRTALMCAALASKHMDPHHLVRAWLSPVRPLEEAVHAIQNDMNNFTCVFNPLHHARKRPRMPMQPLDFAWKRALELPELPPTVVPHRPYESMKFALLQRIRAFYLKALALLPTSELRSCYHHSMLEAGHCYGPFDPVANIIINTIWYNTVSPPSKKLELDMISTNSLLRIEARSFYGLVSFLCASGKKLYEAMRFLLISDCTLGDMNFSNPLVDFGDQNKICNSFRVAGVAAWHPDPVAQAEFISSCRYPFSSPRINAPLDSADVDHITRKMFQGLPTPGNSLRHQSVSMPKLCRRVQYKMDQWMHEYTRISKKVKAALASYREPLYLHVICGVNRNVAGPEYRMDGHKPVYIYQHTHVNFLASRRDGHPELFFAELSNKKAEDGGGKVLCCPVSFPQPRQEEIRCLYCDYEGTKIVHPAMEEFPFKGRDSEFQKMVCGIDPYDDEPCPGVSQFYTNCTIIRTSSMALDWVGHVGVDDWIYTDFDDDSDEEDDSDSEEEDDSDDYNCESE >SECCE7Rv1G0459660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26682092:26685052:-1 gene:SECCE7Rv1G0459660 transcript:SECCE7Rv1G0459660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLAQSKAARLVAQGRLLAAAAPSYFRAPAPNAATGAGRLVRGLHSGTANNSDLERVVRNFERQPWLSSKPSALAEYVKAVVSLEMQARGGVEGVSRGSQLLSKHGALGTATAPFHMVTMEKGRMWKLLWSGFRTIAATSLAAGLVLYGGKALTDAVAEKGIIVFAGKVPADELDVLEDDESDDDVPKKNKSGDSEEDDKSVGRNPRIGKFDGEKEVHSTTKFCDVKGVDEAKADLEDIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAVAGEAGVPFFACSGSDFEELYVGLGAKRVRELFRAARKRSPCIIFIDEVDAVAGRRNAFDSSWTRQTMNQLLSEMDGFKQNDGIIVIAATNFPQALDKAITRPGRLDRHVHIAIPDVEGRRQILDAYMSKVKTKGVDVMTIAKGTPGFSGADLANLVNDAALKAAKDGAKAVTTHHLEYAKDRIFMGSERKSAVIPYERRKMTAYHEGGHALVAIHTEGAGPIHKATIVPRGDSLGMVVSLPQEADEYEVSRKKMLAQLDVLMAGRVAQELIFGEAGVGTGALSDLSKATQLATEMVTRYGMSKRVGPVCYDNDGGGKTANMSGRTAELVDEEVKELLEKACNNAKTILMDHTKELHVLANALLKDETLDGDKIQKLLSGGGGKWF >SECCEUnv1G0541820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98292803:98296379:1 gene:SECCEUnv1G0541820 transcript:SECCEUnv1G0541820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNPISVRLDLNRSSDPSRFNVNLRSYFSSIRPPTKLAFGFRLGRCIILHFPKSTFIHFFLPGRSPRLKRKHDKKSRPAVLQEKGWWPTFGKVGPIGCLHSSDGTEEERNEVRGRGAGKRVESIDREKQNEIRIWPKKMQRYGYHDRSPSRKKNFDKSLRVSGAFKWPQYAGVVNDIPFLTGNAAYLLKGNRIKFFLPKKSRSDGPTSHLLKRTLPAVRTYLVKGNRIKFFSPKKSRSDGPTSHLLKRTLPAVRPSLNYSVMQYFFNTKNKMHFDPVLVLNHFVAPGNTLSHRFFLESSTSEKKCLARAKKRLIHFIRQANDLRFAGTTKTTISLFPFFGATFFFPRDEVEVFGATFFFPRDGVWVYKDPFCEYAREQLLAQFRIKCRNLMGKDKVMELIEKFIDLGRIGKLIKGIEMMIEIILRKRRIPYGYNSYLNEVQKMRSFLSNRTNTNTLIESVKIKSVYQSASLIAQDISFQLRNNPISFRSIFSKIVKDIPLIMPKGVEGIRICCSGRLGGAEIARTECGKYGKTSCNVFNQKIDYAPAEVSTRNGISGVKVRISYSQNKKGRAISETYEI >SECCEUnv1G0533570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:31245517:31254644:-1 gene:SECCEUnv1G0533570 transcript:SECCEUnv1G0533570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAADERGLAAVEESSEEEENSPIEEVRLTVTTVDDSTLPVWTFRMWSIGFFSCSLLSFLNQFFSYRTEPLVITSLTVQVASLPMGHFLARVLPRRRFRAPAVLGGGEWSLNPGPFNVKEHVLISIFANAGYAFGNGNAYAVMIVDIIRAFYGRSISYIAAWLLIITTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKEEFPKGSRQISRFKFFLVVLICSFSYYAMPGYIFQSLTSIAWVCWAFPKSVTAQQLGSGMKGLGLGAFTLDWATVASYLASPLVTPFFAIANIFVGYVFFVWVLVPTAYWGTNLYHAKTFPLFSTQLFMSNGTDYDIASIVNKQFQLDIGAYTELGRVNISTFFALAYGLSFATIAATISHVGLFYGKEIYQRFKVSRREDPDVHTRLMRTYEDIPAWWFYLLTVLSMAVALILCTVLIDQVQLPWWGLLFACGISFVFTLPISIITATTNQSPGLNVITEYAMGLIRPGYPIANVCFKVYGYMSMSQAVAFLSDFKLGHYMKIPPKSMFVVQFVGTIVAGTINLSVAWWLLGSVENICHVELLSANSPWTCPNDRVFFDASVIWGLVGPWRIFGPLGNYAAINYFFLIGAASPFVVYIFHRIFPDQKWILLVNLPVLINATASMPPATAVNYNSWLLIGTIFNFFVFRYRKRWWQRYNYILSAALDAGVAFMAVLLYFSLTMQNRSIDWWGTAGEHCPLAICPTAKGVDLGPDSVCPVF >SECCE2Rv1G0070900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:38317547:38319900:-1 gene:SECCE2Rv1G0070900 transcript:SECCE2Rv1G0070900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYLKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKHARREERLAQGPRDHAPAPAAAAPAPAPAAAAPKKAKAKK >SECCE4Rv1G0292750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883796964:883798696:-1 gene:SECCE4Rv1G0292750 transcript:SECCE4Rv1G0292750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLPQPPSKVLDDDQLLADTLLRVGFPTILVRAAKMEGETPPAAVSKVLDDDNLLAEILIRVGLPTTLVRAAAVCRRWLHNASDRKFLRRFRELHPPRLLGLHVIEQGRFARPTAERFVPMLRLPPELDALLFPEKDMTSVPARPRPNIQSSGHVYQGEILTKEGEGGLSYLYMLVPYTREREDEVQVYMLKDGVWCMHRNLTVDGKLYLSWPDEGPVLVDNKIYIAVARSEITVLDLTSLSFSEIQLPQGVDRGDIGEGSTSLACAADAAGVYLIHVKELQIRLWLHKADDWLLVDTICLREMLASLSMLGCNASLRIKSFGDNAEFVFLEMGRCALHLDVKYRTLRKVYEVTQEGGYLGDIYPVMMIWPPAFPALKDDPARGEPSEFCMGWSAS >SECCEUnv1G0560370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:346647711:346647911:-1 gene:SECCEUnv1G0560370 transcript:SECCEUnv1G0560370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKDVRIRVILECISCVRKGANEESTGISRYSTQKNRHNTPGQLEFKKFCRYCRKHTTHDEIKK >SECCE1Rv1G0038270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:537005808:537009678:-1 gene:SECCE1Rv1G0038270 transcript:SECCE1Rv1G0038270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSRLDAADVSPVAALCRERRDLMLAAGDRRAALAAAHAAYFRALPRVADALARFAHEHHAASPPGSPVLTLPPSDHDEPKKPSASSSTPHTDSGHSHLHFHSDASDSDPDSADDCACDAPGHGHDARGGGSGRGEIPPPTGVPQQRHIPEPAAARPAMPWQGRPAMPWDGRPEMPWGGMPQMPWDGRPEMPWEHASYDAYPSSFPIPDAPIPNAYYMKASSATANTVYQEPYGYSNFATNVPSYMGYEYGYNNPMYGVPEGGRPAEHRGREAAAPAPAPPPPMPMPEASPWDFFNPFDSYNQDLPHYNQKGFAPDDSFSSSPNSSEVREREGIPELEEETEHESSMRESLKARKAVESTASNRIDNADVSAKVKVSMEHTECEIDSVLSASVLESGEGSVCSCDCDNANAGGGGAAPVGDDPAKVKRVSSEEHSSMVVGENAPLPSFGTRDVAEVVEEIKEQFNSAVACGDDVARILEVGSIRYRPRSRILKLIVSRMMGTFALLFSEPPVKNAEQSAIGSSSRTQNSSKRIGSTSGVEINTLSSIMDRLYVWEKRLHKEIMEEEKLRITYDKEWKRLKELDESGAEPYKIDSTRASIRTLLTKINIAIRSAKVISRRIHILRDDELHPHLVKLIQGHVRMWKFILECHRKQFHSILETKSHILIPKNGPERNSSKVTLELEMELLNWCSCFRNWIISQKAYIETLNGWLLKWLPQEKEETSDGVAPFSPGRLGAPGVFITANDWCQTMKRIPEGAVVDAMEAFAVNVHILWERQDEAHQQKMKTEYLSRDFSKRLKSQQKDQILPGHPKAGKAVLPDGDNGRAVDSRMVALDALHTRLDEQRARHEETVREIQESSATDLKAGLAPIFEALESFTQETLKGYENVRVPDSGMA >SECCEUnv1G0528560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:4822682:4823449:-1 gene:SECCEUnv1G0528560 transcript:SECCEUnv1G0528560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEARMKGTVKWFNDTKGFGFISPDDGSEDLFVHQSSIKADGFRSLAEGEAVEFAVSEGDDGRTKAVDVTGPDGSFVQGGAGGGGGGFGSRGGGGSRGGGGFGARGGDGSGGYGGGYGGGGGGGGWGGQRRSGGGGAGGACFKCGEPGHMARDCSVNGPAGGGGGGGGGCYKCGEQGHIARDCFNGGAGGGGYGGGGGGNCYNCGEPGHIARDCPTSSGFGGGGGGRFGGGGGGGDRSCYNCGEPGHISRDCTK >SECCE4Rv1G0274150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783035640:783040506:1 gene:SECCE4Rv1G0274150 transcript:SECCE4Rv1G0274150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEEEQCCISHAFDRAARRDPARLAVVHAGDSGRRFACGDLLSAVSSLSRRIAAALPRPSTDHRGVDGSPGCSDRHRGGAGATPRVVGVYASPSVEYVAAVLAVLRCGEAFLPLDPSWTEDRVWSAVSASNAALVISSGILHEDDVLKGSSCPVLRFNGDIRQGLDDGEVRIGGDELAWPCEHERLREFCYVMFTSGSTGRPKGVCGTEKGLLNRFLWMQRRNPLCWDDVLLFKTSVSFVDHLQEFLSAVLTSTTLVIPPNNWRANPASLANLIKAYCISRVTIVPSLMEIILPTLEKNISCGYNSLKILIFSGEILSLVLWKRVCEILPETTIINLYGTTEVSGDCTFFDCKDLPAILEREEITSVPIGFPIANCEVFLVTQAGMADEGEICVSGACLFNGYLAEFLRSNHTEGSESSTYYKTGDYARRLKAGELIFLGRKDRTVKNYGQRFSLEEVESTLKEHPAVSDAAVTFQSKGSPDYKAYLVFKNKDGIVKDSLHYREANSSQDIMASIRSWLIKKVPPAMIPSFFLHVKSLPLTSSGKVDYVKLSSLECALEPCGIEQIKSGSGPVNPYLQVIKKAFCDALLVDEVSEFDDFFTLGGNSISAAHAAHKLEIDMRLLYIYPTPSKLLHALVVERSNLVSPTDEPRPKKGLNVSTSIHGLFDPIAANADDSYHGGKAWINGKRAHYQISGSYGDETDGQLNKYPFSPDDRYQVNDLYLDTCLKDRNSVMGSKWILHFCLHKKWSIGRCNRFMHDVEGKLQLEDVCSYVSYNKRGYLQELWNIPLDSCVDASPLLVLNNGMINIFIGSHSHLFLCIDGCNGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFHDMLTGKLSWTVQTDGEVQLLHFYLKYLLNLTLKYGSCIFNSSLRNTKNDKGLIILHARRK >SECCE5Rv1G0349550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:684634994:684637677:1 gene:SECCE5Rv1G0349550 transcript:SECCE5Rv1G0349550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALQFTVRRNPAVLVPPAARTPRELKRLSDIDDQEGLRFQLPLIHFFRRHDGRDDDPALVIRGAIAAALVHYYPFAGRLRELEGRKLAVDCTGEGVLFVEADADVHLDQFEAALGPPFPCLDELLFDVPGSSGILDSPLLLFQVTRLACGGFVMAVRIQHTMADGAGIVQLLGAIAELARGAPEPTVWPVWARELLRAPPLDDDVLLPPRFAHREYDEVMDMNGAIVPFDFMVHRSFFIGRREISAIRSHLPPGLRRRATNFEVLTGCLWRCRTVALGPRADEEMRMICIVNIRGRNNTIIPAGYYGNAFAFPVAISTAGDLLANPVSYAVELVIKAKREVDVEYIRSVAALMARRGRPHFAVARAYLVSDVTKVGIRDLNFGWGKPVYAGPAKGGVGAIPGVASFFIAVRDDMGEEGIAVPVCMPGPIMDKFVEEMGKLTHPTLADTFQMVRSAI >SECCE4Rv1G0256580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:657734531:657736903:-1 gene:SECCE4Rv1G0256580 transcript:SECCE4Rv1G0256580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGSLDPAVTARELEDEFRVFGVLRSVWVARKPPGFAFVDFDDRRDAQDAIKDLDGKNGWRVELSRNASSGRGGRDRSGGSEMKCYECGESGHFARECRLRIGSGGLGSGRRRSRSRSRSRSRSPRYRRSPSYSRRSYSRSPRRRSVSPVRGRSVSRSPVRGRDESPAYGNGYRRSRS >SECCE5Rv1G0323340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:433924853:433927360:1 gene:SECCE5Rv1G0323340 transcript:SECCE5Rv1G0323340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREMEKARKATSPKSSSTSSGPKSPARSGGSPPHKKNITEARPKNEQQNFRKGGQDSATHDESKRRSPTSQTSPKRSTKHEQPLSYCRLHTEERAIRRAGYNYQIASKINTEEIIRRFEDKLSQVMEEREIKMMRKEMVPKAQLMPAFDKPFHPQRSRRPLTVPKEPSFLRLKCCIGGEFHRHFCYNAGGGAKAVN >SECCE7Rv1G0483900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:331236667:331237853:-1 gene:SECCE7Rv1G0483900 transcript:SECCE7Rv1G0483900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQAAAVSSGETPAPVEVGTGLGQRSLPTPFLNKTYQLVDDPAVDDVISWSEDGSAFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVTPTVAAPIPVALPVTKRQGSPVLSGDEQVLSSSSSPEPPFLNQYAPSYSGSGGVASGDLGEENERLRRENSRLTRELGQMKKLCNNIFVLMSKYTDGQQPDAADADAGHCSGESAEITTLPPPPVLELLPSCPSAPAAADLGAEDDEEKMSARLFGVCIGRKRMRHDGEDPTSRGAAAEVKPEPMDAQQPFGMDGHTTEVKAWPIYRPRPVYHPLRVSDGSNCYSGSDDHNGSNSR >SECCE3Rv1G0166720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:191861344:191862195:1 gene:SECCE3Rv1G0166720 transcript:SECCE3Rv1G0166720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMAVARLAVALLLLATGCAGRDFAVGGRGGWTANPAEPLNHWAERNRFQVNDRLVFRYKGQEDSVLVVSQSHYDACNTSDPFMRLGGGESGFVLSHSGPYFFISGDAGRCQAGERLIVVVLAVRTPSPAPSTPPPPPKSPPSSPPPAPAPPAAGNSSTSPPPALAPPAVGNSSTSPPPALAPPAVGNSSTSPPPELAPPAAGNSSTSPPPAIAPPPVTNGTVAPPPNSTSSASALRGGFLACLVIAGAIVLA >SECCE5Rv1G0303920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:52125253:52126878:1 gene:SECCE5Rv1G0303920 transcript:SECCE5Rv1G0303920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAAPLSAPAPPKDSAAAAAAAVVSILSDAGPDGRLFASGISPDPALFPHLRQSLTALPESAFPALARWAGSAVAVSLLASRGLFAASWRLLLQSPSSPPPPLEAFAPLLRRYARLGRASAALRAFHFLRRNPDRYTVDGDGSPAATSLLNMAVGALCKEGHPRPAAKLVERCRREGELAPDERTYNMLLDGWSSARRLDKVGKLWAEMRVAGVRPTVVSYGTLIKAVCRMQQPDQAVSLLDEMRKEGIEANLVTCNPIVHALAHAGRFRDAYNLLEKFPLYRVAPNISTFNSLVLAYCKYGDLAGASGVLKAMMGRGILPTAKTYNYFFVFFAKTGNVELGMNLYNKMVNNGYAPDQTTYNLLVKMLCEANRLELVVQMIKEMKVNGFESDLATSTMLVHLLCRSHRFEEACAEFEDMFRRGHVPQYITYRMLMKELKRLGLVQLEEKLTDLMRSVPHSTKLPGSYREKEGDNAKEKRKLILEKAQAVSNVLKECKDPKELHKLKDDEETDVQAADRIVANIRKRVYGGVSRLASPLP >SECCE5Rv1G0321240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:399446904:399449852:-1 gene:SECCE5Rv1G0321240 transcript:SECCE5Rv1G0321240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAASLNAVSLSAPSPQAPGASAARGRLLVPAAARRFPSLRAARRVVAARAAPVDADDEWGKEPTAVAEAPSEAAAAASEVAALKQKLKAALYGTERGLRASSETRAEVVELITQLEARNPTPAPTEALTLLNGKWILAYTSFSQLFPLLGSGKLQALVKVDEISQTIDSENFAVQNCIKFSGPLASTSVSTNAKFEIRSPKRVQIKFEEGIIGTPQLTDSIVLPEKFEFFGQNIDLSPLSGIFTSIENAASSVAKTISGQPPLKIPFRSERAGSWLLTTYLDDELRISRGDGSSIFVLFKEGSSLSI >SECCE7Rv1G0484620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344148011:344148742:1 gene:SECCE7Rv1G0484620 transcript:SECCE7Rv1G0484620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLRSTSVPSSPRSGEADVDQQLHSLNTATVSPLSTIGAVCVGLRRLGDIYDCINELTCLPSSQILLCKTQQRIAVEQELERSLVLLDLCNVVQVSFSEFKASVKDMQLVIKRADDAALQVKIQSWFHQIKKAQKTLKKNSKKSSSADLESCRVVKLLAEAREAAVTMIGSSMELLSKQIATPNSNKWALVSKAFQKKRVTCEEEQLQVLELDIVDLESGIETLFRRLIQSRVSLLNTLSL >SECCE2Rv1G0140080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:930187031:930190771:1 gene:SECCE2Rv1G0140080 transcript:SECCE2Rv1G0140080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVFGAMGTLLPKLAGLLTEEYNLQKGVRGEIMFLEAEMKHMQAALLKISEAPIDQPPDKQIKLWANDVRDLSYDLEDSIDKFIVHIETHGPDKSHSFRNFIDKSLSLLTKGRLRHKIGIDIKDIRIRINEVSERRDRYKVDSVAAAKPTGTAIDALRLKALYTKATKLVGIEEKSREVVEMLTQGDEVSKKQLKVVSIVGSGGLGKTTLANAVYEKLKVEFNCVAFVSVSLNPNMKMIYISLLRQFDKHTYKDINEASWSEEELRREIKTFLGHKRYLIVIDDIWDKSVWEDNIKCAFTDNEYGSRVITTTRVLNVAREAGGLYRLQPLSVVDSRKLFYQRIYGTENETTPAQLVEVSENILKRCGGVPLAILTIASLLSSKMGRTHTHEYWSKVHKSMGSGLDDSHDDVKKMRRILSVSYSDLPPHLKTCLLHLSVYPEDYKIETEQLIWKWVGEGFVEENSEKTLYEVGEDYLEELINKSLVQPVQFDNANKVRSCHVHDMVRDLIISVSNEENFITTVGGQQPLHLPSKIRRLSIQTSIEEVANKLLTKSLSHVRSLTVSSRALNFLPALLSFPQVVNNHWKDIYNLFHLRYLSLNSTSITRIPKQIGKLQFLQVLDIGSTRIEEELPSTFIQLTRLLFLRISMKTLGEQDLKVLGSIPSLSELHIQVIEPTRGRDKRLVIDNGYSFASLTRFKVGSHTMELRFAQGAMQSLQTLDLFIFVLPTLNQFGDFILGLENLPSLEQIYVKVPDDHKHMQTLKNAIEEEIKLNQNMPKLQLNIWELHGESLVTTTLPFHATCLQHLSYIQS >SECCE7Rv1G0504630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:725666333:725667777:-1 gene:SECCE7Rv1G0504630 transcript:SECCE7Rv1G0504630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQALEKAIEKLAELLTAKVGAAASPNNAIVPQVEPIQKIDLMPNEIKLEGVKNYLSWSRRALLILRTKGFEGFVNGEAEEPGDKKTAEWRTWSSTNSLIVAWLLNSLSPTIAATVETISTATEVWKTLSKLYSGEGNVMLIAETEERVGELRQGENSVMEYVAELQRLWTDLDHYDPLELPHADCIAAARKWIERRRVMQFLKGLNSDFEARRATLFHQPTLPTLEDAIAAMAQEEVRLKLMKNNTTTPSHPVFIATDYENRECFNCGEKGHLFRSCTAPRRNMRGRGRGYNRGGLRGGRGRGYSGGQRANVAVPEEGSSRATQEESKKRTESTGDKGHEDCSYGNFAHFAYTDEGEANGEEAWDWNQA >SECCE2Rv1G0104890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:612636656:612638005:1 gene:SECCE2Rv1G0104890 transcript:SECCE2Rv1G0104890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVQLRLLLLVATAVVASCAMGSLAATSSQLGRLEGLRVALTHVDAHGNYTKLQLLRRAARRSRHRMSRLVARTTGVPMMSSKAVAPAMQVPVHAGNGEFLMDMSIGTPAVAYAAIIDTGSDLVWTQCKPCVECFNQSTPVFDPSSSSTYAALPCSSSFCSDLPSSKCTSAKCGYTYTYGDSSSTQGVLAAETFTLAKTKLPDVAFGCGDTNEGDGFTQGAGLVGLGRGPLSLVSQLGLKKFSYCLTSLDDTSKSPLLLGSLASISESAAAASSVQTTPLIKNPSQPSFYYVNLKGLTVGSTHIALPSSAFAVQDDGTGGVIVDSGTSITYLELQGYRALKKAFAAQMKLPAADGSGIGLDMCFEAPASGVDQVEVPKLVFHFDGADLDLPAENYMVLDSGSGALCVTVMGSRGLSIIGNFQQQNIQFVYDVGENTLSFAPVQCAKL >SECCE4Rv1G0225340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:91263547:91267133:1 gene:SECCE4Rv1G0225340 transcript:SECCE4Rv1G0225340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPTLARKAKLKNHLVSAKAKLRQHVTLRLIVLISAASAAAFILLLTVRTLSASHARSPDAASSTTTSPPEAVRRNTQQQQQQQGGCAKLPGPVAEALVHYATSNATLPQTAAEVGVTARLLARRAPCNLLVFGGLGSDSALWAALNHGGRTAFLEEDAALIAEVGARHPGLGLESHQVAYQTTLADADELLGLRGSPDCTASPPKDHPLSPDHFEGSPCKLAMRGLPAAFYETEWDVIMVDAPTGWVPEAPGRVGGAIYMAGMAARARRPGSGETDVLVHDVDRTVEDSFSRAFLCAGYLEEEVGRLRRFAIPSHREKEGMPFCP >SECCE3Rv1G0213240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:960246541:960247980:-1 gene:SECCE3Rv1G0213240 transcript:SECCE3Rv1G0213240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAEATVPAPAPAPVEKEYLRKAAEEFKVDFYKRQEKMHRFPASLRVDSQYILPRVVAIGPYHHGAPHLRQMEKVKHVAAYHFISNSGHSLEEIYGSVILVTHEARRLYAHDAVAGISDAHFSAMMFYDACFLLEFIILIVQRNQLPCPELVCVFHSNIHHIYNDVMLLENQLPWLIVETLLNFRPASVFMSVKETVSRIGDALTNRMYHTGSEEIISNLDEEHCKPPHLLGLLQFYKTNSNTTIKVSKKSKIASTITHINQAFSMLGRFSEIGIKKEPLFGELFLTPLVLSSTSACWLVNMAAFEVCMTSGNQRDVEEMAVCSYTALLSMLMDREEDVHELRKKHIVQGELTNKEMLEFFKSLTKHLCVGNSYVRILTYIENYKVKRWMWIKVHKFVYNNFKAIVTVLTLVGVLVGVFKALLSLKQHQ >SECCE7Rv1G0503770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:715866703:715868848:-1 gene:SECCE7Rv1G0503770 transcript:SECCE7Rv1G0503770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGNGSADVNQQTARNEIRDETTPLLPVKVEEEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIRMLGLIPGLLMIIFVALLTEASIDMLIRCSHQGKITSYGWLMGEAYGQWGRIALQASVVINNIGVMIVYMIIIGDVLSGTSSGSIHHRGILEGWFGAHLWNSRAIVLLVTTLFVFAPLVSFKRLDSLSYTSALSVALAVVFVVITAGIAIIKVIDGTVAMPKLFPEIDDLSSVWKLFTAVPVLVTAYICHYNVHSIDNELEDKTQTKPIVRTSLALCSSVYIATSFFAYLLFGDGTLDDVLANFDSDLGIPFSSVFNDVVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISYDNKRFTIITISLLVVIYTAAIFIPSIWDAFQFTGATAAVLIGFIFPAMVILRDPYGIATKRDKILAVTMIVLAVLSNSVALYSDAMNIFRRKEVA >SECCE7Rv1G0517570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:854827717:854829429:-1 gene:SECCE7Rv1G0517570 transcript:SECCE7Rv1G0517570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSSFTADDGITKACGCPLLPLKTHIKGPAPASDSDKADIVDEAITFFRANVFFKNFHVKSPADKLLIYLTSYINIALKRLESCRTLAVGTKAIINLGLENVPVPGEPGFPFPGLFTLPESQEEAELLRNYLKQIREETSGRLLNCAYRANGTPNKWWLAFAKRKFMNLVIL >SECCE3Rv1G0172080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:284004634:284009304:-1 gene:SECCE3Rv1G0172080 transcript:SECCE3Rv1G0172080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAIRYAVVDAFATEPFKGNPAAVCLLEDDNAADERWMQSVATEFNLSETAFLVRDLSRAPSAAPLFHLRWFTPVTEVDLCGHATLASAHFLFTAVLPEHGMIEFMTKSGILTAEKVPAPGGPGEAHGKLFIELNFPMIDFLGCNEMPAIPETLNGAPVISVHKSAADGDLIVELSTAKEVADILPNIDEIKKLSCGGIMLTGPAPAGSGYDFFTRLFCPKFGMDEDPVTGSIHCVLGPYWGRKLGKQKLTAFQASPRGGTLYLELDDANRRVKIQGETVTVMAGFVI >SECCE6Rv1G0443410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:822825091:822825939:-1 gene:SECCE6Rv1G0443410 transcript:SECCE6Rv1G0443410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLKKKTRNYLTWTNEMDEALLAVLVEHHNIGDRAQNGWKPHVYTACIKHVKDTCDVDITKDNIVGRIKTFDKHYEIISKMLAQSGFGWDWETNKVTVDSDEVWTRYVEANKDARAYRTKVVHNWSAIETIYSKDHANGGGARTGAECAQEQNTLVVEESPEVPQKRQRTGDAILCMMGQMRTSFDEALKATEPLPMPKATPATEILEALKKVEGLEDADMLRAYGKLTANERMFESFMALPQNLRKPWLLTLP >SECCE3Rv1G0182920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:639732392:639733392:-1 gene:SECCE3Rv1G0182920 transcript:SECCE3Rv1G0182920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERCALATELAQVLDTVRQLEAHMGVKGGADGGETCRALVSSMRSSVDRSIHIAMSSCCVVLGAPESPPSADGSPRSGGSDHAADSGAHAAGQSKKRKTLPKWSTQVRVNSVEDVGPLDDGFSWRKYGQKDILGAKYPRSYFRCTHRHTQGCYASKQVQRAHGDPLLFDVVYHGNHTCAQGKQCNSQRPQPAASGEHRQPAGGQERISVGLGQKTEGGVASPFPFPSKQAGADTGIIASPFMSPATSECKASTTESPMGDMDFMLQLADADFLDNSRYL >SECCE1Rv1G0032570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:456997667:456998968:-1 gene:SECCE1Rv1G0032570 transcript:SECCE1Rv1G0032570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMAAEDQAAVPRVVSILSALLERVAERNDVVAAVERRIRDVEEEEEDQEEDAKETTEKKKKKAVSAFQGLTKPAISVGGYLERIFRFAGCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLMEMNYLEVDFLFGIAFDLNVTPAVFASYCAVLQTEMAYLEHPPPSIDAVSPTSLLQHCLPDEEVDAATAAAAKTVCHSHKRQQQLTV >SECCE2Rv1G0124110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:829819477:829822257:-1 gene:SECCE2Rv1G0124110 transcript:SECCE2Rv1G0124110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEHAGDEDDVSPVEQVRLTVPVTDDPSLPVWTFRMWTMGFLSCALLSFLNQFFAYRAEPIVISQISIQVVALPIGHFLARVLPEKKFRVFGRECSVNPGPFNVKEHVLISIFANAGASFGGGSAYAIGIVTIIKAFYKRNISFVTSLLLVITTQVLGYGWAGLMRKYVVEPAHMWWPTSLVQVSLMRAMHEKEKRRMTRGKFFLIALICSFAWYTVPGYLFPTLTAVSWVCWVFPKSITMQQVGSGLNGLGIGAFTLDWSTVVSWLLSPLVTPFFAIANVLVGYVLLVYIMLPVAYWVLNLYSASSYPLFSNELFDAHGQLYDIHAIVNDKFEIDMDAYGKQGRIHLSLFFAVSYGLGFATIAATFTHVACFYGKEMYQRFRESYKGKMDVHARLMKRYDDIPNWWFYILLAVSMALSMVLCTVFKEEVQLPWWGLLIACAMAFVFTLPISVITATTNTTPGLNILTEYCWGLIMPGKPIANVCFKVYGYMSMNQAVSFLTDFKLGHYMKIPPRSMFLVQFVGTVVASTVNTVVAWWLLTTVPHICEKGQLPEGSPWTCPGDHVFFDASVIWGLVGPRRIFGPLGYYSALNWFFLLGLAGPVVVWLFAKALPRHAGWISLINLPVILGGTAMMPPASALNYTAWGFVGFVFNFLIFRYRKGWWKRYNYVLSAAMDGGVAIMGVLLYFALTSWGHQLDWWGSRGEHCDLAACPTAKGVLVDGCPVL >SECCE3Rv1G0204270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:891308630:891311260:-1 gene:SECCE3Rv1G0204270 transcript:SECCE3Rv1G0204270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to GA C20oxidase2 [Source: Projected from Oryza sativa (Os01g0883800)] MVLQPAQQELSLTRPPHCSAASALSPAAMDTSPTTPLLLQPPAPSIDPSAAKAAVNKGAGAATAVYDLRREPKIPAPFVWPHAEVRPTTAEELAVPVVDVGVLRNGDAAGIRRAVAQVAAACATHGFFQVSGHGVDDALARAALDGASGFFRLPLAEKQRARRIPGTVSGYTSAHADRFASKLPWKETLSFGFHDRAGAAPVVVDYFTGTLGPDYEPLGRVYQEYCGKMKELSLTIMELLELSLGVEKRGYYREFFADSSSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGDWRPVRPVPGAMVINIGDTFMALSNGRYKSCLHRAVVNRRQERRSLAFFLCPREDRVVRPPPSLRSPRQYPDFTWADLMRFTQRHYRADTRTLDAFTQWFASSPPPAAA >SECCE3Rv1G0208750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:934797539:934798243:-1 gene:SECCE3Rv1G0208750 transcript:SECCE3Rv1G0208750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEHVKLLGSVVSPFAVRVRMALHAKGVSYEYLEQDLFHKGELLLASNPVLNKVPVLIHAGRSICESLAIVEYVDEVWANGASLLPAEPYGRAVARFWAAYVDDKLFPTWMGILRAATEEDRAEKLDAALAVVEPMEDALAQCSGGKDFFAVDSVGYLDLALGCNLFWFKALREMFGVTVIDAGRTPRLAAWAERFEQTEVGKEAAPPMKRMVEHVGKLRAMWAAAADAAK >SECCE4Rv1G0243880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:507417921:507420273:-1 gene:SECCE4Rv1G0243880 transcript:SECCE4Rv1G0243880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPLPARLLLIAVCLLLLPPATRAARQLADSSPSPDAAAAADALLKLKDGIKDDAGVLRNWSPGTRPCAGAGADWAGVICHKGEVTGLQLENMALSGTIDLRPLKGLRGLRSVSFMDNQFAGPMPDVRELPGLRAIFLSGNKFSGEIPADAFDGMSSLKKVALSKNNFSGPIPASLAGVPKLLDLLLNDNKFQGKIPDLPQKELQVVNVANNELEGEIPASLKSMGAAMFAGNKKLCGGLLDQKCAAPPTPLPPTPAKTETPPSSPPPAPATTETPPSSDKGGAQPSPPAVPAADKTTGPPADKATQDATPKEPAEGSMSYGVLVAILGVLALMGFALLALQRRRREYDTENFGPSLSKKTSMRKINAEPTKGDSGRMVASAGAPAAATASAAGGGSATTRKAGEQGRLTFVREDRGRLFELQDLLKATAEVLGGSGGSNLGLCYRATLTGGQSIVVKRFKEMNRVGREDFEEHMRRLGRLSHPNLLPLVAYYYRKEEKLLMHDYVQNKSLAHLLHGEGRGVKKAVVHWAARLKIIKGVARALSYMYDELPMLTVPHGHLKSSNVLLDEHFEPLMTDYALVPVMNQSHAAQLMVAFKSPERKQFGKSSKKSDVWCLGLLILEIVTGRPPSYDLKAAEQEQQNPNDLAGLAASTPEGDWLRTVVDPDLRFEDEADKEEAVKLIKIGLACCEGNAESRSELKDAAEGIEGLKAKGRGGDGEDNSFYSSISDGAERDEDFTNVAIH >SECCE6Rv1G0443280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:821819798:821824340:-1 gene:SECCE6Rv1G0443280 transcript:SECCE6Rv1G0443280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEAEGCVVAVAAGIICSLRAADLAGWTPPWKASGPPSSEATAKEEEVAWPMVARGKRTRSSRSGSAANKNKGKWAHGSPASPLDYSGVSGSGASTSGGEDGAFCSPPATVAIAAPASSSLAKVGSAGGARRPLIMPVPPPRTAGQRSRKKMRLPEVQQLVQTLSAENVGLRQEMESLQRACRALSKENGRLETRLEHSSSSSKRKRVGHEEERRQGKPQQAGGGFVLPDLNFPAQDVADAPAAAP >SECCE2Rv1G0072930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:62429376:62436329:1 gene:SECCE2Rv1G0072930 transcript:SECCE2Rv1G0072930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGMAAAAAAARCMNAACGAPAPTAAGVGEWRKGWPLRSGAFALLCDKCGLAFEQLVFCDIFHQKESGWRDCSFCGKRLHCGCVASKNSFDLLDSGGVQCMNCMKNPAAQPVSCQVAPKLFLSPQNNQRLFGKSDELLPGRPLESPSLMLDSRNDDIAIITKSNHPFMVKNLEIGQSSNILRQKEIENGARQIKWEQPTLSIGDMGRMPFLIRPQSALESPQSQCTRRDDNRDPTADSTTSESVSEACLSMSLGIANNGNKMEATSTMERPMVSPTTPFSEGRELATALSPFQHAQRARHFLTRPPRVAEGAAFDPMRDGFPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDAKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIATGSPLGDSFFSNANENLSIVSGYTGFLQSMKGAADLQPSSLFDHHANSADVEVSWLKADRFGGRPDEGSLQFLHKRSRNIGSKSRRLLMDNEEALELKLSWEEAQELLRPAPSAKPTVVMIEDYEFEEYDEPPVFAKRSIFTSRSTGEQDQWIQCDDCSKWRRLPLNVIIASKWRCADNTWDPKSCSCSAPEELAHKELQNILQQYEEIRRRKSNYFLKQSIPEMDASSLDALATAAVFGEVGNQGAASVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKRIAWVGRDEPEGSNLSRSPQTMDTMRDGDVIMFDKADASKGHIDLNFHPASREEEQQHGGQPRVSMVSLLEVANRPLESYMKQNGLVSLAAEQGSSSGTAPAPAPSQPAPMESEERPSDEGRAVPMEQEREPTDVMAVDEAAGEIQGNAASASDIAAA >SECCE7Rv1G0517900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856622497:856624371:1 gene:SECCE7Rv1G0517900 transcript:SECCE7Rv1G0517900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYGGVYNIHGWGDPYFAVNKNGHLCIRPHGRDTAPGREIDVLSVIHQAAATTTTDHDDKKERKLQFPMILRFPDVIRHRLDSLHAAFATAIQHTGYRSVYQGVFPVKVNQNKAVVQDMVRFGHQYGYGLEAGSKPELLIAMSCLTGAKPGAYLVCNGYKDKDYVALALAARAMSLNAIIVLEMEEDLDIVVEQSRRLGVEPAIGVRAKLLTKLPGHFGSTAGKHGKFGLLAERIYAVARKLRGMGKLHWLKLLHFHVGSMIPSTDIVFKAASEASEIYCALVNDCGAEAMTTLDCGGGLGVDYDGTRSGSSDMSVAYGLEEYASSIVQAVRLKCDDNGVPHPVLCTESGRAMASHHSMIILEALSAIPEPRDDGETTEQLHAKIHELASKQLQPRAVLNLKGDAAAGMSTMSSAHAVDIKKHGIEMYKLGKKLSKSVLADATTIYNYHMNLSVFSLIPDFWGIQQLFPMMPVSRLHERPTRMATLVDLTCDSDGKVEKFIGGAETLPLHPLDPVLGGYYVAVLLSGAYQEALSSKHNLLGGPSLVRVLGGDNGKFILDTVDLGPTTEELIGTMRYDVKEDISGVIEERAREKQVWEMVGTLVEKVLNTMPYLVDYQHPPTA >SECCE6Rv1G0402830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:490027042:490030170:-1 gene:SECCE6Rv1G0402830 transcript:SECCE6Rv1G0402830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTNGSSAPALKFLIYGRTGWIGGLLGKLCTAQGIPYAYGAGRLENRAQLEADIDEVAPTHVFNAAGVTGRPNVDWCETHRVETIRANVCGTLTLADVCRARGLVLINYATGCIFEYDAGHQLGSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKITRYDKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPNFSWKNFNLEEQAKVIVAPRSNNELDTVKLKTEFPELLSIKESLIKNVFKPNQKTSKA >SECCE1Rv1G0008630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:48092256:48111715:1 gene:SECCE1Rv1G0008630 transcript:SECCE1Rv1G0008630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHGFEKAELDALERVAGDATAEPMSLTLPLLRHITNDFSDEREIGRGGFAVVYMGVLPSGLRIAVKRLVIHMDDTEFTNQVSITMKTAHKNIVRPIGYCADIQGQTMTHRGKIVMAELNERLICMEYVPNGTLDGHIGQYNELDWNHRYQIVQGICQGLCYLHEVHILHGDIKPNNILMGDNLVPKIDDFGFSRLFEKLQTSHFTEDIRGTIGYLAPELLSHRVVSLKADIFSLGVVITEFLTGEKPQGQSVEQALKKLRKMLVKEGAISSWENKYHQVRTCLEIGYKCMEEDRHKRPTALEIIQRLDETESAIYSALPLWQSGDEESDSSDTEAYETETEFFQSDDEPASADTTGESSTLETDKPDLVIELPASVDLSDLTVLEEITDDFSHERIVGKDGTFKKGSHKAFVYKGDVPRRKMVAVKRLIGVAIPVEKFKREAEQFMSLDHKNIVKVAGYCHDQSRGHKLVRFKGEAPPQTIIGPEQLLSYEYMHNGSLRDYLIGQGSCEIDWEMRYKLIKGTCAGLHYLHKGRADCPIVHLNLNPSNVLLDENYIPHITGFDFSKLIGEKNTKSVVLKLNGPIAYLPPDFFHSKGTDLKYLATVDIYSLGLMILEIATQQEIKGNHGDLIKSIEENWREESQITRLYTSLEADELQQVKMCIDIGLHCVQSKPEKRPTAGAIMLWLDKECKPVPVPRAGAGGVSRPPVPIPRAGAGGIPRPPVSTSITHADDRIQGNEKPPGFIKRLLGRK >SECCE4Rv1G0280510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:822062183:822063412:-1 gene:SECCE4Rv1G0280510 transcript:SECCE4Rv1G0280510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPSSHQSPPPAPSIADIPDVLLEDIFLRLSAAADVARASTACASFRRVIAGHAFLRLYRVLHPPPLIGVLQDPFIPAQQPHPSATAARAFTGFDFSCSSFLPSTAGRSWSQVDFFHGRALLASEKSGAMGAEVCQFFVRDLAVCDPVHRRYILLPAIPVPGDVKALVRKPDVLSQETFLAPGEDGDPLSFKVMCLVKCRMNLLLLVFSSSSLDRKWHARTFDQWSVPAVFASFDNSEPGLSYFNRQCANGCFYWCLHFRSKLLVLDVRAMKFSVVDLPPDQDWTNEFAILETTQGTLGMLTKVYDKDSDDDPYWLAYSIMRNNQWHLEKIILLPVRRAAFIGVAGGYLLMEALYTTSMLEELKLGCLSVDVKTLQVELFAKLSKPILHGLLYAGFPPSLCAPTI >SECCE5Rv1G0370690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:843640571:843642939:1 gene:SECCE5Rv1G0370690 transcript:SECCE5Rv1G0370690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLEMEGTSNPKTNNKPKYSKFTQQELPACKPLLTPEIVIAAFALIGALFVPIGLASLHASRQVVELVHRYDASCVPVDDKVGFIQNSRTDKTCTVTMNVPKYMKSPIHVYYLIDGFYQNHRRYVKSRSDKQLRYKNAAHLTSDCVPEGITADRAPIVPCGLVAWSLFNDTYTVRVNGVVTQVNKKDIAWKSDKNNKFGKDIYPSNFQKGSLIGGAKLNESIPLSEQEDLIVWMRTAALPTFRKLYGRIEKDINANDNVTVVIQNNYNTYSFGGSKALVLSTASWIGGKNNFIGIAYLTIGGLCLSLAMGFLVLYMVKRRNFGDPSNLSWNR >SECCE5Rv1G0363740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:796153885:796156004:1 gene:SECCE5Rv1G0363740 transcript:SECCE5Rv1G0363740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRNREPPQRFRRRDDGPRPGQGGPPRAGGPGGPGGAPAGAGAVPGAPAPRALV >SECCE5Rv1G0327180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:490530394:490537465:1 gene:SECCE5Rv1G0327180 transcript:SECCE5Rv1G0327180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVSDLVDFLNASPTAFHAVDEAKRLLKAAGFEQLSEREEWTGLQPGRKYFFTRNHSALVAFAIGAKYVAGNGFHIVGAHTDSPCLKLKPVTKITKGGYLEVGVQTYGGGLWYTWFDRDLTIAGRVLVREKKHGVEAYAHKLVRVQEPIMRIPTLAIHLDRTISSEGLKINNQNHLVPVLGTLIKHEMQKLVEGNVPKESSGGENTKHHPLLLQLIAKEANCEVDEICDFELQLCDTQPSIVAGAMKEFIFSGRLDNLCMSFCSLKALVESTSTDHSLDHESGVRMVALFDHEEVGSDSAQGAGSPAMLDALTRITGCFNHSNSKLLEKAIQRSFLVSADMAHALHPNYMEKHEENHQPKLHGGLVIKHNANQRYATNAVTSFIFREIAERHQLPVQDFVVRNDMACGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDVNYSYEHLKAYFEEFTELDNKVRVDC >SECCE3Rv1G0205100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:898519598:898522084:1 gene:SECCE3Rv1G0205100 transcript:SECCE3Rv1G0205100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSRRRPGGRGGRRGRHPPTAPVSAEETRNWAELPLDAILAILHKLDHVDILMGPGQVCRSWRGAARDEPELWRRINMIGHADLEHELNFPGMAQAAVRRSAGRCEAFWGEAPSLKSLRLISCYNFEDEGLQQAIVKFPLLEELELSLCANVGESGVFGVVGRACPQLKRFRLSKDVFYDFEASGYDKDDEALGIATMHELRSLQLFGNCLTNKGLTAILDNCCHLESLDIRHCFNVDMDDALRAKCARISTVRLPNDSTDDYDFEVQEPVWADSGSFSGDYMGSGSDYELDSEDYDDYCDPSRYLDGVYEDELNEEDRMILRGMRMLMK >SECCE4Rv1G0265730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727298912:727300333:-1 gene:SECCE4Rv1G0265730 transcript:SECCE4Rv1G0265730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEHSEKLRILIVPFFATSHIGPHTDLAVRLAAARPGAVEPTMAVTPANVPVVRSALERHGASAAVSIATYPFPAVGGLPVGVENLSSAGADGWRIDAAAIDEALTRPAQEALIRELSPDVVFTDVHFFWNSIIAGELGVPCVTFSVIGPFSSLAMDHLGGTVDGDSGCQEVIVPCFPGPEIRIPRTELPEFLRCQEKQDGFDPVLAAVRRCFGLAFNTFFDLERQYCELYPWPGKSAYFLGPLSLPLPPAGATAGESPPCIRWLGLMPRCSVVYVCFGTYAPISADQLRELALGLEASEKPFLWALRGDGWAPPEGWEERVGKRGMLLRGWAPQAAILAHPAVGAFLTHCGSSSLLEAAAAGVPMLTWPLVFDQFIEERLVTDVLKIGERVWSGSRSTRDHEKETVPAEAVARAVARFLEPGGTGEAARGRAQELAAKANAAVAAGGSSYRDLRRLIDDLVEAKQGRPVA >SECCE2Rv1G0135290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:906031372:906031869:-1 gene:SECCE2Rv1G0135290 transcript:SECCE2Rv1G0135290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLAMTAFLALLVLVMSIQPLTDDMARPSHLMAIRSTGPFSAEHVRLLNEIKQDVMKFVLINIALPLVTLTLGFAKQALSFFLRSIGCFGGRYALADIIRKVIKASSPKGPSIAIDVADVLWFTCMALLGSMLFGMTCRRSGLFSVEGLIFLLTFVVYIYLNS >SECCE7Rv1G0497860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:631705960:631707938:-1 gene:SECCE7Rv1G0497860 transcript:SECCE7Rv1G0497860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTRSWSSLLVHIMVIALCLTAFGFAIAAERRRSTGSIVTDINNSTYCTYDSDISTGYGVGAFLFLLSGQSLLMGVTKCMCFGQPLAPGGSRAWSIIYFVSSWITFIIAESCLIAGATKNAYHTKYRHMIYAGSWTCDSLRKGVFISGAVFVVLTMTLNVYFYMYYTKSVSQAAKKTSKATANVGMAGYA >SECCE5Rv1G0297640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:4364794:4369433:-1 gene:SECCE5Rv1G0297640 transcript:SECCE5Rv1G0297640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAATRILLAAARRRGRGRGLSTSAEPSLSPSQLPRGKRWDAVVIGGGHNGLAAAAYLARAGRSVAVLERRGVLGGAAVSEADLVPGFRFSRCSYLLSLLRPALIRELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNRSEIGKFSKKDAEAYPRYEEQLEKFCKLMDFVIDSPPPELRQLYYASMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVNFFDLLLSPASKILNNWFEGDVLKATLATDAVIGTMAGVNTPGSGYVLLHHIMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVQIVTNAEVSQVMVDETTGKVQGVALADGTELHSSVVLSNATPYKTFVDLVPANTLPEEFLCAIKTADYSSATTKINVAVDALPQFRCCKNINPEGGPEHMGTIHIGSESMEEIDIAYKEAAGGFSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDPAVRKSFAERCFSLIDEYAPHFSSSVIGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAAAVVLDDLKGR >SECCE6Rv1G0396340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:364006836:364009962:-1 gene:SECCE6Rv1G0396340 transcript:SECCE6Rv1G0396340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLAEMPHAPLDRRPRKRQRLGWDVGPEIPQLKKLCGEKVANVISAVTMGLSSGGIVSSQEAQGLFRFATPPLREDDKDGHYVFAVGDNLTSRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLCKYEKSRSSCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKNSYRSFPVAIVREVAKQLLECLAFMHDLRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYYKRVPKSSSIKVIDFGSTTYDQQDQTYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGLLPYHMLKKADRHGEKYVRKGRLNWPEGCASRDSMKAVMKLPRLQNLVMQNVEHSAGDFINLLQGLLSYDPASRLTAQEALEHPFLTERSERRR >SECCE7Rv1G0521930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:875312077:875319128:-1 gene:SECCE7Rv1G0521930 transcript:SECCE7Rv1G0521930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQTLQGIQQQYAPSGFTVQKPCTPTAAQILQLDNMDSDTSPVRVVIKHRIANYLQRRGDFYNLDTRYLVAVSKSIDEQLYKDAESKIQYMDFETLEVRVNALLSCGSFGNSIYSWASSAALPTSYPGQLGIEVPDSSIQHVFYPQEFALTNHHEVAADFAHSSADNIKRSPESLANTTATPCMPSLPKYSSCLAGDFSGGARTGHTEDHFPGNAHQVDSPQPSTSGSSSSVSGMCDRTTSFTNNNRYSTGQVSSSLQYRECKEMSYTWSHPIEQSDQSNITAGGHDLYSGNCVTVREVVGRAEQTSNSTVSKPNSPASDESSGKHHPAKRLKVNYPFPVHADETQFPKEQQPAVNGPHASSETVKSETTTLSMKSPSGCSLQDSNASNTMENFRLQETAVQAEEGLCCENGDIEMKDSKLSSVDQTSLVASVTTRKKRGGSILYPLTAEELRDHMRSLGQHIFPNKAITEEDQLGLPDQNTCNLCGMERLLFEPPPRFCALCFKIINSTGSYYVPVENGSDKTSICAKCHHHVSNAKAKYQRRSNYAETDAESEWWVACDKCKAWQHQICALFNPKVMEEGVEYTCAKCLLKEKDSGDINLLESSAVLGALELPRTKLSDHIEQRLSVRLEHERLQRARASGKSVEEVPEVEGLTVRVVSSAARVLQVLPRFRDFFKQGNYPGEFPYKSKAILLFQKNEGVDVCLFAMYVQEYGSASPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKQGFVSCSIWACPSTKRDDYVLYCHPTAQKMPRSDKLRSWYQNLIKKAVKEGVVVERNTLYDFFLQPTSECKAIISAACLPYCENDFWPGEAEKLLEKKDDNTSQKNEIQTGRALRVAKRDDRKGNPEDILLVHKLGEKMRTMKEDFIMLCLQQFCKHCHKPILSGKSWMCTCCKNFHLCDQCHAEELSAPQKDRHPAATKQKHPFQRIEEEPLPETDDGDPTMESKYFDSRTDFLKHCQDNQYQFDTLRRAKHSTMMILYNLHDSACSACHRAMDQRFAWRCLVCTGCKFCDSCYKQDGENLHIHKLKQTDNQQLLPNYTLQDYLESLVHASKCFHDPHNCSFKLCVTMKKLFHHGVRCGIRNQGGCKYCVFMWRLLLTHAKQCDHGDCSVPRCRDIKVFRGKAKMLTAGPCAVEC >SECCE5Rv1G0346730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:663434842:663437939:1 gene:SECCE5Rv1G0346730 transcript:SECCE5Rv1G0346730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLPLISLLLVAGGGGRSFGSFVDADTTAVPNLEEVPSAAYWEAVLPGIPMPPAIINLLLAQQNVHTSPDDIIVNPNNLKSGKGPRKIGSNYEKLKLEPAHEDKHVHVSLKVEEEAGKIFATHRTNMEENLKEISVSYGLEGKIYSNKVLPNLKKSFAAYKPRKQENLKEISISYGSKGGKDETEVSRAYGLEGENELKEISMSYGVEGEDVLKEISVSYGVKGRKSLKEAPVSNGKEQEGKLKEISVSYGLDERKGEHNKFFNQDAEDPHKATMSYGSEHEEDPHKATMSYGSENEEDPHKVTMSYGSENEEDPHKATMSYGSKYEEGPHKVTMSYGLEHEEDPHKATMSYGSEHEDDPHKATMSYGSGYEKGLKTFLTGHATHLKVKGEGSHHAHSHKHGNKKQADVFFFQDMLRPGSVITPTIPLTTTLPALLPHDVAKSIPFSTERLSDIIATFAPASLAMAREIQWTLDTCDHPRTLLGQKAGCATSLESLTELAASLHRTHNVRAFSAANLPIDVAGTPALRGMYNVTGVRKLSDSPEIVTCHDLTYPYIVYYCHTANPTAAYTVTLESVDGATVPAVMEALAVCHLDTSRWSPKNPFFEVHNLKPGDVAVCHFLTKLSIIWVRGGE >SECCE4Rv1G0292380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882336780:882338246:1 gene:SECCE4Rv1G0292380 transcript:SECCE4Rv1G0292380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRLSDMAISPSHLKHSFEIIVNNFLVVVVTPVMALALLRKAAQLGPSEILSGLNGLRQVHVFLAVFLPFALATLYLMSRPRSVYLVDYSCCRPKPNCRVSIGSATENARFSLDDGSHQFMARMLKRSGLGDQTYVHPSLHYIPPRCSLSEGRDEAEQVIFAAVDDLFAKTGICPEAIDILVTNCTTFNPTPSLADIVVNKYKLRADIRSVHISGMGCSAGVISLEVARNLLQAAPRGAHALMVSTESTSLINYTGKNRAMLLPAALFRMGAAAVLLSTSRSMSRFRLTHITRTITAAQDRAYRCAYQEEDDLGEMGINLSKELVAVAGDTLQANIVAIGSQVLPPSEKLLFALSFIARKVVNKKIKLYVPDFRVAFQHFCIHCGGRAVIDAVQTSLRLSDENIEPSRMTLHRFGNTSSSSLWYELAYIEAKRRMRKGDWVWMVGFGSGFKCNSAVWQCIRPASNTDIGTPWADSIHMYPLNISEVS >SECCE6Rv1G0417590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659132220:659134253:-1 gene:SECCE6Rv1G0417590 transcript:SECCE6Rv1G0417590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKTCLGCIHNGNWLLMLDESTDECFLLRLTTSSDEDDPATKKIPLPPLHEPMESIRTCATLGTSPAHPDCVVVVAVARDVEDGVERVLLHCRPGVSEVWLRLESPVSLTSFMIGHRGDIYSFGESDTLVVINHEGDGTVQARLMGSLRGGKEEHDRTVMYYVLESCGDLLEVALQHFGDFSYDGTLTSVTVSRLDLESMTSSRVDSIGGDRAFLVSGYYGFSVPVRPGEGGLVQQGNCVYIVWSSCDCERLYKFCLDDNTMSFRQILPQPTKPWCRAFWAVPPLATVVEERELLLTNGLVLKDMVSNLVEEANVWPWLLHYSGRDGDCKLFDPLRGQQYKMTVEIFDDVENEHDEHIFRFSKDGWVAMSTNADICDMYIINPFTEDVVEMAMLERKYYYMGLSWSLADPASPDCVFFAVVGRDDGKAVNVLRWQKGEKKWLERHLEKGNLATFDPMSDTWTILEKPKPIHVEMDLDGDHHVGREFCYLVELGGELVSVFIRNADEPPRVFKLKEEMAWMEVQEIGGAALFLDFRVSYSMVSPEAGHGNRIYFPSFSEDGKQAVFYDMENKAYQPSIYGLKQPLQCVWVVPNIRPKSK >SECCE7Rv1G0499230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:651588387:651643051:-1 gene:SECCE7Rv1G0499230 transcript:SECCE7Rv1G0499230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MSRWKENIYAAPPHYGSSSNPSRLLPCKRPLQSPCPPPRRPLADVTGNALQQPGSAGGVEGIDYGYITPLPKVPKSCGFLLEEDDMDEAFLLEVDAICEEHSRSMAEKDKGREKDLTVERGPVVLAAATHAGPECGTLDDAFWTEANAVFEECDAQPAAKSQDEELKEMVEEESSVLSCGDDSLLPAISIADDGVKLEDTFWEVNAIRKEHHVTSSEMNQEHYATSSAINKDEIKEVYIKDGSVALCVDFPPVISIAEEGGEVVDAFLGEVDVIHEGQVAMSAAKGKEEPGEMGLEMEENEGCAPRKYYEYLHSLNDKQREAACSDVAVPLMIIAGPGSGKTSTMVGRVLTLLKEGIPPSNILAMTFTTAAASEMRDRIGAVVGKAVAKEIIISTFHSFCLQLCRTHAEKLGRTSEFIIYGHGQQRRAIIEAERLLEHAKSDGLGDAVKQYDGDIKHSFKDKAKKWLKFVTQAKSSGQTPEEYEKKGDLTGASILRHYNEILVSCNALDYHDFINSSITLLTKFPEVYKECQNTWQAIVVDEFQDTSAMQYFLLKLLASHNHITIVGDDDQSIFSFNGADVSGFDSFRRDFPNHKEIRLNKNYRSTRAIVEAATALIHNNTKRCHHKLAETDNPSGSKITVKECHSEDSQCAFVIDKIIETTSSSAEGRDFGKIAVLYRRQITGKAFQVSFRNRKIPFNVHGVAFYRKKVIKAIMAILRTTLPGCDDGPWRQALKTLLPGDKEEKKKIIDHVEKISLARKCSFISAANDIFSAKVSGTFKRTQITQGRKVLSTLYSLSKFVEREQSVSAVITSAGNMLPQQYLLEKRAVIDNDGGKLLNEDNDIRSVLQFLMDDVSDFLSTHFSSSGDASQTEEKSCTTTLKAFIDYISLRETENFRSRKEENNNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHEYNGTVKEAGCTLEEERRLFYVAMTRARKKLYILHVTVDSHRQLLPPSRFLREIPGHLLDIQGAATKYHGQPSGDISFDHAEGETSTEKPIVNQNETSPYPELMQSCLANDFLKRFEIDDRAVVSHIFHHWAKRQAFQIPKRLLDKIKFVIDERLRGKGYKRKDVLCKLKSLLSDDEALGYAEYVIKWEQIPIDERSHLMRERQEHFQKQRIENSMGSSEPTVKQISYLRSLGCTITPTSRLHASNLIEKYKSL >SECCE6Rv1G0388810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:142337810:142342315:1 gene:SECCE6Rv1G0388810 transcript:SECCE6Rv1G0388810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTVAARFAFFPPSPPSYGIEPLPPPDAAGAGAGEAKGKEGSVVVELTGVPRRGNVEARRLRTKRGTDVVAMYARQTGAKLTLLYSHGNAADLGQMYELFVELSAHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAVYRCLIETYAASEENIILYGQSVGSGPTLDLASRLPRLRAVVVHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVSCPVLVIHGTADEVVDCSHGRALWELAKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVGAIEKLPPPNDESPESSGASDRTQTEPEGTEEPRKSVDHREKTRPSIDHRKSTDRRDKPRGSTDRRDKSRKSVDNPDRPRASVDQPDRPRKSVDRFGGMMKAVRLRNIDCFKVPTTSSGS >SECCE7Rv1G0524150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885009611:885011098:-1 gene:SECCE7Rv1G0524150 transcript:SECCE7Rv1G0524150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMVLLDRQVNFRLDEVASGVGGGDLRGFWEDGGRQAMSDQVMEYLRSFKARPVVENPPKPSFFDILVPFQPPFMDLDSARISSTDKNLVALYSGGYRPGSSWLGGYLVYDASKDSLSAIPRLPDDDCQKALGHQSAVVMCDSQGDGGYLLAELVVPGLSRAEVWLWKSSASEWALLSESYPLPSCRTFCVDLCFSYRGSTLCWVDLFQGILLCDLNQDCHNKFSFIALPQDCPPYDVNPEYPDIVRPEESRSIACVCVRIKLIALDECGSELIVWTLSPQLSGWTKTCKYNVETIWANVNYLSAGLSKLALSLPVLSIHQDGVVYFVVNDDQVVDHQLVREDQYLLRVDMKNNDVQVSANPTKSVYSQLLASEFSVYRRQDHPVPPPPNHPASSSSPQHQSLLPQHHAEALDQCCCKKPNSTSPSAVLHKPPTRKSKGRVTLSPHRRSVPSTADAMSHPGLVHAKHGSRLLPTSNPRKGVGGEGSDRKGRAG >SECCE7Rv1G0475550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:189300352:189307198:1 gene:SECCE7Rv1G0475550 transcript:SECCE7Rv1G0475550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGGCCPSMDLMRSEAMQLLQVIIPTESAHLAVSHLGDLGLIQFKDLNADKSPFQRTYAAQIKRCAEMARKLRFFREQMSKAGILVSPMQSTETPLDFDDMEVKLGELEAELTEVNANDEKLQRAHNELLEYSTVLQKAGEFFYSAQRSAAAQHRQIEANQSGETSLESPLLEQDMLTDASKQVKLGSLSGLVPKEKAMAFERILFRATRGNILLRQESVDEPVTDPQSGEKVYKNTFVVFYSGERAKAKILKICDAFRANRYPFPEDLAKQTHTVQEVSGKISELKATIDMGLAHRDSILKTIASEFEHWNHLAKKEKSIYHTLNMLSVDVTKKCLVGEGWSPVFAANQIQDALQRATLESKSQVGSIFQVLNTKESPPTYFQTNKFTSAFQEIVDAYGVAKYQEANPGVYTIITFPFLFAVMFGDWGHGICILLATLYLIIREKKLASQKLGDIMEMMFGGRYIIMMMSIFSIYTGLIYNEFFSVPFELFAKSAYACRDSSCSDSTTEGLIKVRDTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVSQMNLGIFMSYFNAKFFRNSVNVWYQFVPQLIFLNSLFGYLSMLIIIKWCTGSKADLYHVMIYMFLSPTDDMGENQLFPGQKTVQQVLLLLALVSVPWMLIPKPFFLKWEHERRHQGHQYAMLEGADESVVAELGEHNEESNHHEEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYDKVLLLTLGYNNIFILAIGVVVFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALISEDEE >SECCE3Rv1G0212870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956936154:956936699:1 gene:SECCE3Rv1G0212870 transcript:SECCE3Rv1G0212870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNAYKKMTMSCLVVLVLAVALATVKAQECRRSGTLRPSKGHSCEDCCEAGKAYPTYRCSPPVVAGATRAIMTLNDFDEGGDGGDPSVLAKVVDECDSLNGCGREHAFQPPCRNSVVDASQAVWDALGITGEEVGEYGITWSDA >SECCE3Rv1G0149020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:21183602:21186767:1 gene:SECCE3Rv1G0149020 transcript:SECCE3Rv1G0149020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRQAAGDFKDDGSSFMCARLYVAAFEGRTQEVTGLLAGRSGDPPPAAHSKGIAVDHHHGRPCTTKEVTGEGDTLLHIAAGQGHGGLIAELCYHDSSLLSSVNRALDTPLHSAARAGHADAAEAVIRLARANVEEDALRGILGGRNDAGDTALHLAARHGHSEAVERLMKLAPELAAELDGAGVSALYLAVMSGSVDAVRAIAPHGDASAAGPSSQNALHAAVLQSSEMVDLLLQWRPALTSNLDTNKSSPLHFAASDGDCSIIEALLTHSPPSTAYLQDSDGLSALHAAARMGHVAAIRPLLELYPSCADIRDNRGRSFVHVAAMKGWSSVVSYVIKSKMLEHLLNMQDKEGNTPLHLAVAAGEHKVISKLLSCKKVHTHIMNNAGRTPSDLIEDSTGFYPMIKLVVKLYISGARFRPERQDHIEKWKGQDFMKWRETTSKNLAIVSTLVATIAFSAAFNVPGSYGSDGKANLDGDRFYNAFLVLDTIAVTTSVVATILLIYGRASRTNRSWIGFIVSMHFLWVALNSMMLAFFMAIAAVVSDKNPMKIALSQLMYGGLYILMTLLASFATPGSFLGVVRFLVGGCSEPLRRSKRRISRQFPFVVYYAFNVIVFIIVNTIVLTLISVAGRLPS >SECCE6Rv1G0427460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:719657857:719658179:-1 gene:SECCE6Rv1G0427460 transcript:SECCE6Rv1G0427460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGGRKFGGGRLATGTPSLAWSSVVVVVSLLAGASIVHNVYKPDMTIPPVESDDGGGGGNGGKQS >SECCE2Rv1G0072160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:50829575:50830816:1 gene:SECCE2Rv1G0072160 transcript:SECCE2Rv1G0072160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVTAVMDLALPPGFRFHPTDEEVVTHYLTRKVLRESFSCQVITDVDLNKNEPWELPGLAKMGEKEWFFFVHKSRKYPTGTRTNRATEKGYWKATGKDKEIFRGKSRDAVLVGMKKTLVFYTGRAPSGGKTPWVMQEYRLEGQLPHRLPRTAKDDWAVCRVFNKDLAAKNVPPQMAPAAVGVMEDPLAFLDDLLIDTDLLDDTDLPMLMDSPSGADDFAGPSSSTSSAGLPQQQILSPNYFMPATANGNLGGAAYSGEPYQAMGDQQAAIRRYSKPKPEVASASALLRPSLGFDTGALAGADDSFLMPSSRSYLDLEELFRSEPLMDYSNMFKI >SECCE6Rv1G0388110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:129712479:129715982:1 gene:SECCE6Rv1G0388110 transcript:SECCE6Rv1G0388110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Receptor-like kinase (Fragment) [Source: Projected from Oryza sativa (Os02g0194400)] MLKELVLDKNCLSGQLSPAIGQLQHLTKLSMSMNSISGSLPPELGTLQNLEFLNLNRNTFSGSLLAAFSNLTRLSHLDASNNSLTGSIFPGIGTLVNLTRLVLSSNGLTGPIPEEIGHLENLELLNLMNNGFTGSIPEEIGHLKRLKVLKLSNCKFNGAIPRSIGGLESLITLDISWNNFTAELPTSVGELSNLTKLLAVHAGLTGAIPKELGNCRKITAIDLSFNHFIGSIPEELAELEAIISFKVETNRLSGHIPDWLQNWGNIKSILLANNMFSGPLPLLPLQHLIEFSAGKNLLSGPIPAGVCQAISLRSLNLYNNNLTGSIKETFKGCRNLTILNLQVNQLCGEIPEYLAELPLVSLDLTQNNFTGSLPDKFWESSTVQELYLSDNNFTGMIPESISELSHLKILRIDNNYLEGPIPRSVGTLGDLITLSLCCNMLSGNIPVELFNCTNLVTLDLSYNSLTGHIPREISRLTLLNSLALSNNQLSGTIPSEICVGFSHVSHLDLRFYQHQRLLDLSYNQLTGQIPTTIKDCAIVAELYLQGNLLDGTIPAELGELTGLATIDLSFNSLVGHMLPWSAPSVHLQGLSLSNNHLNGSIPAEIGHILPAIYKLNLSGNALTGNLPQSLLCNYHLSLLDVSNNNLSGEILFSCPDGDKGSLSALNMFNASNNHFSGSLDVSISNFTGLTSLDIHSNSLNGSLPSTVCDVTTLNYLDLSSNDFSGTIPCGICDMFNLVFANFSGNHIVGTYNLADCAANNINHKAVHPSRGVSIAATVCGTAIIVVLLVLLVVYLKRRLLKRRSSWALVPASKTMATSEETLRSKLLGKKSREPLSINLATFEHSLMRVAADDILKATENFSNLHIIGDGGFGTVYRAALFGGRQVAVKRLHCGHQLQDNREFQAEIETIGKVKHPNLVPLLGYCASGDERFLIYEYMEHGCLEAWLRKNRTDAAYVLRWPDRLKICLGSAKGLAFLHHGFVPHIIHRDMKSSNILLDWDLEPRVSDFGLARIISACETHVSTNLAGTLGYIPPEYGLSMRCTARGDVYSFGVVMLELLTGQEPMGQEVDEGGGSLVGWVQWMVTRRREKEVFDPCLLPASGACRQQMARVLAIARDCTADDPWARPTMLEVVKGLKATQMMESAPSATTLSRADLRWRRSPSREDM >SECCE1Rv1G0011120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:70762259:70762768:1 gene:SECCE1Rv1G0011120 transcript:SECCE1Rv1G0011120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLRECVVSRLLSSPSTSPIFPLRRLLTAAVSPPISLNPGGFAVEEYLVATCGLTRPQALKASTKLSHLNSPAKPNAVLAFLSGLGLSGADVAAVVGKDPLLLCTKVDQTLAPKVVGLTGLGLSRPEIARLVSLTPDRFRRRSIVSKMHYYLTLFGSFQSFLRLLKR >SECCE1Rv1G0027100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:377730714:377732280:1 gene:SECCE1Rv1G0027100 transcript:SECCE1Rv1G0027100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLPPRGCLLRRLSTAAETAAASPHPLRRTLADSLYRRVTAVWNPGLPLTPVLEQWAVTEGRAVEKNNLMSIVKKLVRLRRFSHALELSMWMTDRRYLYLSPGDVAYRLELISKVHGLESAVNYWKGLSIQVKKSPCYGSLLKCYAEAKSVDEAEKLFAEMQDLGMMSAYPYNVMMKLYWETGQVERVHTMYRTMEESGIKPDVFSINILFTVLAAAGNFDGIEETIKKVNPKIVNWHSHAIVARAFMEAGQQGKALRAIQESEKRIGRKDERVAYGFLLSMFADWGMSSEADRVWNVYMSKVPACNSMYMCRISGLLKMNDIDGAEKAFREWESRYVHHDIHLTNILLNAYCTKGLMEKAEALVDETIAKGRTPHRGTWYRLASGFFQDGQVSKAVDMTRKALACASSPWEPDLTNVLMSLEHFMEQKNVEAAEEMASLLRNLVPLTRDVYHILLETYVHAGQPVSDLLDRMKKDGFEADEETDKIIAGRICH >SECCE7Rv1G0483450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:323276768:323277681:1 gene:SECCE7Rv1G0483450 transcript:SECCE7Rv1G0483450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEVTAASGSSGRAGIIVWTNSMTKTMLGFLAGLVADGKRTSSGFRDVHHRQCAAVLNEQFKLSVTGEQVKNHLKKWRKIWTRIANLKNLSGALWDEDTCTIRLSEEHYAGHCMTHKADAPFLNTPIEHYHAMASIYGTMGAKGQNARSGNDLLSTDLEEEENGEVNTSPNVGESSDPKAPPKKKAKVKHVVDDPLVITLKDGFKLVAEALVKSSGDDDDIPDDLWDVISTLPDFDEEHLAHYYAHLVDNPKTARAFMKLTQTNKSVWVSRYVKKNF >SECCE6Rv1G0387330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:111991067:111993177:1 gene:SECCE6Rv1G0387330 transcript:SECCE6Rv1G0387330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS box transcription factor, Regulator of early seed developmen [Source: Projected from Oryza sativa (Os02g0170300)] MGRGKIEIKRIENATNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGRMFEYSSPACSLRDLIEQYQNATNSQFEEINHDQQIFVEMTRMRNEMEKLDGAIRRYTGDDLSSLSLADVNDIEQQLEFSVAKVRARKHQLLNQQLDNLRRKEHILEDQNSFLCRMISENQHGGDGKMAVMPPVLSMLTPAFPATPYYTGEESSSTALQLTSPQLQLHAAEAAGYRLQPTQPNLQDPACSSLHAGHGLHLW >SECCE4Rv1G0231910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:191895338:191897372:1 gene:SECCE4Rv1G0231910 transcript:SECCE4Rv1G0231910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSSTVGAPASTPTNFLGKKLKKQVTSAVNYHGKSSKANRFTVMAAENIDEKRNTDKWKGLAYDISDDQQDITRGKGIVDSLFQAPTGDGTHEAVLSSYEYVSQGLKKYDFDNTMGGFYIAPAFMDKLVVHLSKNFMTLPNIKIPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADMIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADAPTNVQLPGMYNKEENPRVPIVVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCKGIFQTDNVSDESVVKIVDTFPGQSIDFFGALRARVYDDEVRKWVSSTGIENIGKKLVNSRDGPVTFEQPKMTVEKLLEYGHMLVQEQDNVKRVQLADTYMSQAALGDANQDAMKTGSFYGKGAQQGTLPVPEGCTDQNAKNYDPTARSDDGSCLYTF >SECCE4Rv1G0263010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:714333346:714334415:-1 gene:SECCE4Rv1G0263010 transcript:SECCE4Rv1G0263010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPLHSLNPTTPPLHSLTVFLSSKMFRGMGVLLRTAKSGAAGSPCRLLSASSDSRRLAGKVAVITGAASGIGKVTAAEFIRNGAKVVLADVQDSLGRAVAAELGDLDTAYYTRCDVTDEAQVAAAVDLAVARHGRLDVMFNNAGITGGNYAASAIESLDMADFDRVMAVNLRGVAAGIKHAARAMAPRGAGCILCTSSTAGALGGSGPHAYSVSKTAVVGMVRSAAAELAARGVRVNAISPYAIATPMGARSGRDMLGLPPGGAGDEELIRRLFDEDINEMGGGILLRAEDVARAAVFLASDDARYITGHNLMVDGGFSVGKPLNVPAR >SECCE4Rv1G0245730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:535598343:535602544:-1 gene:SECCE4Rv1G0245730 transcript:SECCE4Rv1G0245730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASFFDRMVSQLRSTSKYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAASTFYPHIKFVRVECPKYPGFCLTRQKTEYPFLEVFYNPEQAANPGKIVDPSITKYSAKVLPFNYDQSVYGFREYFKKYGFKYSETN >SECCE7Rv1G0457870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18902017:18904885:-1 gene:SECCE7Rv1G0457870 transcript:SECCE7Rv1G0457870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLIPGEGELAFGGNQDDDDAEDASPGSKELAAMVEAAAAASVELDAAADRAPPYGDDRTPRDGMVFKSYEEVLNFYKRYALRTGFGVCVKKSSFTKAGLCRRLLLVCNRWGNGKEDACYQARPTAKTNCQATVVARLWGDGMLHLTDVSLDHNHPLNPSAARFLRCYKTLPSGMSKDLVVRAARGESATSGGDIEAPPMFDDWGRLKIRDGDVQAINCFFAEMQAKQPNFFYVMDFYVEGHLRSVLWADSRSREAYQYFSDAIWVDTTCLRSKFNVPLVLFLGANHHGQLVLLGCGLLSDESTESFLWLFKAWLTCMKGRLPGAMITDESVAIKAAVREVFPKTRHRISDCHVVRNIAEKLGELPDYESIKVQMEAVIYGSLKDDQFETRWKNLINRFGLQDNEWIISLYENRHLWVPSFLKDAFWAGLSVNHRESPGAFFDGSVSHETPLVSFLSNYMILVQNKYKMEQQDDFESLTSGRVLVSKFPMEEQISKLYTLNMFVKFQDELNSTLECQVQQVSPSSFVVIDLAEQGTGLLTRKYEVVHCMETNRMECNCGLFQFSGIVCRHALSVLKWQQVYDIPSCYVLNRWRSDFKQLHALDNPLKDPLVSSNHVERYDHISLQCLRLVEIGMVSDDKYQHALKLISDMKRTLLDDNLCRELEQKMSPPERAIVMNGDSHAQPGSSEGGPAKKRRGRPPKKSKEISAESVSNQCGNKESLLVSSDVSQKGALHSSSTASNLGTHVRAHGVDDLMEEVNANEVSFESRYGVQSSHPNHYGEQLHPGHTLQFGQHMSSAEQSRGVEWVYPTIYQDDQVPYGRRTS >SECCE6Rv1G0420050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:674763556:674766029:1 gene:SECCE6Rv1G0420050 transcript:SECCE6Rv1G0420050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLVMQLMLLLLLSVTRVSPQEQQQPPQQVVQPGCRDKCGNITIPYPFGIGAGCFRNDGRGGFELECNDSRSTPRLTVAGYGIRITCLSIIASEARALLRATRRCYDSNGRIIGRSGATFVSLIGSHYLFSQARNRLVALGCSNLGYFVDAAGYYVSGCMAVCGPHKFTVSGSCTGVACCQSTIPPAIDYYEPYVLDFTKDQKDRDIVFYSNSTTCRYVFLVETKWLSTTLAGDSEYLNRTGDFAAPVILDWAVRNVGNCSAARRNTTDYACQSTLSDCVHSKNGIGYRCNCSKGYEGNPYLKDGCRDINECEHKEEQPCYGVCTNTLGSHTCQCPPGTSGDATTKTGCRPKDNFTLALKVVTGVSVGVFLPLFMCFWLYLGLQKRKLIRTKQVFFELNGGLFLQQQLSNYNGTSTGTGGFKIFSKEELEKATSNFAADRILGRGGHGIVYMGDLEDKTVVAIKKSKMTEEVPTKEFAREMFILSQINHKNVVKLLGCCLEVEVPMLVYEFVSNGTLYQYIHGSRGLDSDTGFHTCLRIAVESAEALAYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGASKLAPTDEAKMATLVQGTCGYLDPEYLMTCRLNDKSDVYSFGVVLLELLTRKKALYFDGPEEDRSLVLCFMMAVKAGQHQDLLDSQVRDEMRIEALEEITHLVMRCLNMSGEERPTMKEVAERLEMLRRYHHHPWAQAEANPEEEQSLLAMEQQNVNYKFTQDYVLDFEASSTYSFSS >SECCE3Rv1G0175580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:428110621:428110884:-1 gene:SECCE3Rv1G0175580 transcript:SECCE3Rv1G0175580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYSIATQKMIVVATMTLHNYVRLHDKEDQHFLRCERDPDYVPTIPDRYKKYAIPSNASDASTMAESGPNMDLFRHELATPIALSW >SECCE5Rv1G0341990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:629850573:629854987:1 gene:SECCE5Rv1G0341990 transcript:SECCE5Rv1G0341990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSGCPSGAAVATTRLLPIRTPRSAVAEWATTEEEEPLSPTARLMETIHIMVTVGLGCPVNLPVFSAGIAAQLARYPRFRSIQVTDGSKGPRWVRSSVNVDDHMIVPTLDPVAVEADPDRALEDYVASLYTLPMDRSRPLWEFHFLDFPTSEAASTAVIRLHHSLGDGMSLITLLLASARSAADPTRLPAMPEQPARTGAIYVPRRRHPASAGVLAAFFTWIWSYLVLVWNTMVDFAIFAVTIVFRRDLHTLFKRADGDVTSNPSRRFVHRSLSLDDVKFIKNVMNCTVNDVLVGATSAALSRYYFRKSGSSKTSKLCLRSVLLVNTRPTTSLQTYVDMIESGKSNDVAWGNQLGYILLPFHLAMHNDPLAYVRKARKTIDRKKSSLEVIFTCKMNAFLLKMFGMKVGAFIFQRMFANTTFLFSNMVGPTEQIEIYGHPIVFIAPCSYGGPHALVVHYQSYDNTIKVILSVDDEVIPDYAQLLDDFTESFGHIKDAASRLSSPIIKRE >SECCEUnv1G0545110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:135509119:135515975:-1 gene:SECCEUnv1G0545110 transcript:SECCEUnv1G0545110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESCEEGGGTTTDKMGKDNDVSRAVVEDDTALLLAAVDSIKKAALGRLRNGSDAARILDQDMSNRLLHLACKHDAAECARLLLDGEYGITPSSVDAKDQLTRTPLHAAADAHSKRCIQLLLARNARTDVRLLDGSRQVALEVALMSRRVQVDWSPESSVEDLLAYLQQKDLNAVRLLAEKTREVGEVAYRYAMEGRVAALAMLLLVAEERISTMVSVVIDGVRTKRSIYNSVVDEVLSIGEASAREGNEMRRKALLCEIQLLNQFGATVWRDRKVDRRSLPPLLRAAKAGDMNVTKMLLMGDVDVNETDPEGNTALHWCLSSSSSTQEPRIVWLLQKNGAKVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDIPSKTKETPLFLAVKNGSLSCVRLLLRYGANPKAQNLRKQRPIDVATSQDMRFLLNSANVVPMNHGSTEKNHAMKKERHKELPDDDFDDYDNDDYYESYVVPKASVGHRDFRVKSKGHSTAKEGPKLSRHNDHWEKHDYTRKIFVGGLPLSVDDAYLSRFFSTEFGPVEEAIVITLKIDERIQSRGFGFVKFKREKDMISAKEAHHVYVLGKRVEIKDAVARPSLPELERATSFKHHVRESSRVTHSELEAEQPEQYNFGKRRPMPEKHLPSWFFIFRRWLPGFLMEATERYGEKYPLCSVKTDFRSCCRMELDHSALGYPKLSDFMRSLPGICRMRVVPVGNGPATHMVLLPPLSRPKYVPLLEPYSFDHDELPESVSGYHSPRSPLTANITENAPYSTDSPHGDACSENNVQSQHDDECSRSNGESLPDGDSTSNGSLLDEVTVSTPKSDLIESPARKPDLIVSGSPPEKIEQGPLMKPDLVESAPTKKLDLIDSSPPPPPSKADLVQPAGPARKIQLVESRPSKNSELIEPEPARKLDLNQPRPTTCFVDRPVERPAVTPSNCENEMRFSFFHSQWDKYLTPHAKSDYCIICRSCEAAMELVPCLHKICVACMMRCNVRACMTCGTAVSGVKSAPALDAKSRHVGDMERVSDQKCQLMVVCSGADAVVRCSPCMHTIACRGCLLASVTLLKKCTVCDCMVEHFKFGP >SECCE3Rv1G0168610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:224039245:224047593:-1 gene:SECCE3Rv1G0168610 transcript:SECCE3Rv1G0168610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGEFKGNMVQKMVCGGTSNANNIMSGLRACAEEQEESTKMPLLSSSPSMASHDHQLLHHSSGQVPEVRDSPAASPASLQGGQDEGQMPESWSQMLLGGLVGDHESDLLSKGLEEGPMAAQAGAPAYGFYGHGGEEEIQASGPNSRLSQMLLAFSPRSCITSNLDGGLLDFSNGVAPAPAPELWNQQSDNSSESNSTATGSAPKKARVQAASSSGQSILKVRKEKLGDRITALHQIVSPFGKTDTASVLQETIGYIGFLLGQIEALSYPYLGHGTGASVRHQTLNHGDHINASAEAARRPQQDVQDGEGKKSDLRSRGMCLVPVSCITSRVGADSASDLWQPAPPLGGGIILR >SECCE4Rv1G0248410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:571650983:571651984:-1 gene:SECCE4Rv1G0248410 transcript:SECCE4Rv1G0248410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGRPEQIDSKLRKLAPSSRQAPPSATAAAVAAQPPPRSILRHVRAVHGMQEFQPIPGLAGRLFGGAADRPAGLLRHGGAPEEVRCPRCDSANTKFCYYNNYNLSQPRHFCKGCRRYWTKGGLLRNVPVGGGCRKPKRKAAAASSDVDKDASSANSEAKNARSGCSAGSSSLTSGASSASTNTVNDVGACAAAHASGGTMPFTGLGPSTFMADAPPLQPPSPMFADQAAAFASLFGTPLPAFTFSAKRKAEDDVAPAATLTEQQPSASSTADMVPLSARSTGAATASASDWPPATMIDAGIFDLAGAVGSDTTSYWNTASWTDPDGTVYLP >SECCE5Rv1G0346430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660634903:660638270:-1 gene:SECCE5Rv1G0346430 transcript:SECCE5Rv1G0346430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPTGGESRSPVRALRRLAGSLLAAARLRGSFSASKCKTEARMAAARMKLLRNRREAQVRKMRGDVAALLRDGREDTARIRVEHVIREQNTMAANEIIELFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELTRMRDLFEKKYGKDFVAAAVDLRPNAGVNNLLIEKLSVNKPSGQTKLKVLKDIAKEHQINWDPSEAEQDLLKPAEELIQGPSSFGEASKMPVKTTLSANFVQPGPSNFSSGYADEYDDNNNVGGAMQFKDAASAARAAAESAAQAASAAKAAANLANQNTHSSDEDDDDDDDEDWKTTLHESTHSSRRQSMMSNSSRTSRKENASTFDEMRLRGSTGRRLSGSNHMEDKDSDLQQDLGTGRTRRRNSRAASKVHSEIKFDDSEAEDGSDAETQSSLERRPPRREHYPGNGHHEEKEPEEDDDFPEPPKANPGGRRPPRREHYPGNGHHEEKEPQEDDDFPEPPKVNPGSRVHPNMPLDFETLTARFEALRSSGGKLP >SECCE3Rv1G0144010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2995405:2996856:-1 gene:SECCE3Rv1G0144010 transcript:SECCE3Rv1G0144010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTISAVASEFVSRFISFLVNKYSYSTHVRLAEKVERLQNLLMRVHMVVEEADGRYIMNSRMVMQLQLLSEAMYQGYHVVDTFRYQSLEDKGFSEVCNSSVLPFAIPLKRTRTIAQTRKDKVVNLEVDGALESMQSVVDNMMEFVVLLGGCDRMVRRPSDSYLYYENIMFGRHAERQTVLNFLLEQNQPGDEPAVLPIIGGRTVGKKTLVAHVCRDERVRSRFSSVLHLSGENLLKILEHENTILGEMLVVAEFATDVDDNDWRTFHSFVKRLATGSKVIIISKLQRSARFGSVKPIFLNNLSYEEFSYLFKTLAFGSANPKEHPRLVPIAQEYAKVLHMEESLLIVNTFANVLRNNLNIHFWLCLFNKSRRMIERNLSIYGVDLKIHMEQGYPLHLTDYALNPLRAIPYSATVPRKKELPKVTLRELLEDPSVRPKGEFNLVTWESMIPPYNSSSYFVPDWVQDTPEGTPLSGKKRRGLHV >SECCE6Rv1G0422970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692846419:692846988:1 gene:SECCE6Rv1G0422970 transcript:SECCE6Rv1G0422970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAGRAGGEKAITRELVLKVSMHCRCEGCTPKVSSALSNLALAPGVVAVLDRSATEASEEVRLLATADPERLRKSLHEATGKKQVDLLLIQPKPKKQERSGCGKEDPRLVDYAAVQGLLADPQLHDQPGHPRYGSSYVEGYPPPVPPYYPGPPPPAWAPIPYGHPFPATAPPGPYVPSAPWWTGGPSN >SECCE4Rv1G0252110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:612242353:612243807:-1 gene:SECCE4Rv1G0252110 transcript:SECCE4Rv1G0252110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTENSPSPPMASTTSSVVTLNVGGELFQTTAATLSRAGGSSPLASLGPSPPDAPHFLDRDPRLFAAILSFLRSGRLASPPPSPALLAEARHFSLDGLLLASLSPASAFSPLSLRPTALLPLTGRVAPSAVAISPSPHAASLVAAHGGVVTSFDAALASRTSVLTPLPTIDSLVAVSPTLALAGARDFPGVQLCRFPGDAPATASEALYWPDSPSSSVLSMAATAASETASQWLFASFESARRNSSAVVAFDLNSLSPVVEIGRKEVFGADVEAAIPPTKLCWLAGHSLLLAAGSHSGPAGMVGDIRLWDVRASSTVPVWEVREKDDCFADVAASDSLSALFKVGAASGEVYMADLRRFSGDGTSVDPWVCVGDRQRAGAATASRRKDGNNCRIECYRNWVFVARDAYVEVWAQVEITSEAGEKKVMRRNWVGNGPSMVTADGEEKAKIVSWAFGGSRMALARADKRSIEVWDSASGAISGNI >SECCE2Rv1G0113340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:720929313:720930701:-1 gene:SECCE2Rv1G0113340 transcript:SECCE2Rv1G0113340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEVGSGSGLGKHGQGITSPVKVVKRPESAGLGTVGAEGEGSYYNGLPNKVPETVPAKWGADQCTDVSSQLVYNDWEKGDADGSRQQGREFCEKILAEVRELQDGALDDGEHMVVDAAEVIMKAVTLVHEKSASGELTVGDLIFEFTRLKKKFPEEYQTYLLANTAGVLVAPLVGPVLQRWHPLWDPAMWLDVFVHLKNSLDDGSAMSPYAELVDATVVPAVQVLDWKATDSERMRRFLAQWKDTLPPSSAHRILVEVVMPELTAEVESWDPRDAWQADCCHHLLRPWMPLAGPLLESLCVTVRSKLEKALREWDDAGHALLSKSNLNLVAPWKALFGPASWEEFVDGIGVVRHLEQSLRSLRITPPKQNDNAFLEVIMKWAPLVRVEDMVRLLEAEFFSRWRHALRHWLLAAKPATREAVEWCNGWGRAFTSELREDERVHARLEAGLSMVNSAAQGLEI >SECCE5Rv1G0358290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755196784:755197296:-1 gene:SECCE5Rv1G0358290 transcript:SECCE5Rv1G0358290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAMSGSSILLAAAVAAALLVASTSAQSGCTAALVGLYPCMNYISGNDTAPTKSCCSQLSSVVQSQPQCLCSALGGDSSSLGGMTINKTRALELPKACNVQTPPASKCNGGGSAPGAATPEVQAPAGTGSKATPSAYLQENGGSSLQGTVGLVFALAAAAVYAVSAVW >SECCE1Rv1G0038140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:535704715:535706193:-1 gene:SECCE1Rv1G0038140 transcript:SECCE1Rv1G0038140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGAAATQGQQDLQLPPGFRFHPTDEELVMHYLCRRCAGLPISVPIIAEVDLYKLDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRSAGTGYWKATGADKPVGTPKPLAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGASERPSAGDRTARASPGHAAVGSPAEQKPALLPPYAPQPFSDLAAYYEVRPSDSMPRAHADSSCSEHVLTASCERPEVQSQPKISDWERTFASATPGVNPAGSMLDPAAGLPAGDPLLQDILMYWGKPF >SECCE7Rv1G0510370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:789269003:789275230:1 gene:SECCE7Rv1G0510370 transcript:SECCE7Rv1G0510370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGLVHESSPLVGEMGEGPGWRSVNNLRPPFEQNNPSISLPSTSTSTCSSPINSFSSLLLSNHYPLPTTSTAPWHGSSTSNQVQQLQDPWNHLFMPTNGELANDEERHKNFEGQALFPATTTGEGEEGDGSSAAGHIYSNTARHGSSLAADEFQLAMSSPSPWSRSVEHYQNTLQQQASSITSTISLGNNILDFSNNGSPRECTSTASGTAFKKARTQEPSPAQSTVKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLHGQIEGLSSPYQLGGGGGDGGGGSGSSKQRHQASEQQKERSSIFPEEPGQLLHGNGTRKRGRPDQDGDSSEEAMTKDLRSRGLCLIPVSCAPDFGTDVGPADYWTVAPPFGMGFGR >SECCE5Rv1G0335170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:570913863:570917256:1 gene:SECCE5Rv1G0335170 transcript:SECCE5Rv1G0335170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLNLLLGKLTTLLSDEYKMLTGVRRHASFLKDELSAMKALLDKMELMDKLDPSAKNWRDHIREMSYDMENCIDDFMHDIEGTHVKAGFVRKMVQRLRRLGRRHKIAKRIEELKILAVEANARRERYKIDDCINSSRGVVVVDPRMSAIYNDAACLVGIDGPKEELVSWLTDPQKKLKVVSIVGFGGLGKTTLAKQVYNEIGGQFDCKAFVSVSQRPDMKSLLGGLQFKLGLEESSHAYELQDFIDQLREHLKYKRYFILLDDLWDQSTWTIISCAFPENDNGSRVMVTTRLDDVAARACHNDRACIYTMKPLGEQHSRRLFYNRLFGSENVCSGQFKEISVEILKKCGGLPLAIITIASLLASHEAGSSNGWESIKNSLGAKFATKPTLEEMRGILNLSYTHLPIHLRPCFLYLGMYPEDRLIIRDDLVRQWIAEGFICNSHGADLEDVAKSYFVELINRGLIQPEETCYGDVITCRIHDMMLDLILSKSTEDNFISVAYKHEDMARFNSCEYKVRRLSLRSSVDGAMSKTLATSMSQVRSYTQFGESKYMLPLPQFKYLRVLAFEFPFNWKTTIDLRATGHLFLLRYLKVSALSAEVALPAEIQGLAHLETLELHCRPIQRFPSDITRLANLFHLILPECTLLPEGIQNLKSVRTLHCSDVSESSLEEIKGLSELTNLKDLKLRVPTYFPGMTIECFDALLSSIGMLRNLKHLSLECWHKYDGYDSQPDSLSDPPPYLRTIHLEGLSFNIVPKWIGELRCLRILSLHVMYLPSDMFRVLGKLPSLVFARLYVSDVSQDKVVVRTGLFPVLECFDFRSDEDVTANLSFEPGAMPKLQRLALAFDWQEWRGATPTGMECLPCLQDIGLSITTVSSGNNLKHRYDTMLSAFKGAARVHPRHPSVTIF >SECCEUnv1G0555700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:302833885:302837853:1 gene:SECCEUnv1G0555700 transcript:SECCEUnv1G0555700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEAVSPSSSSSSSGEQQQRQGAAERPSETAALRALVDRVRGGEVEAAREVRRLTRASARHRRKLAAAVEPLVAMLRSGAPGDAGEAALLALLNLAVRDERNKIKILDAGALEPLLGYLQSSDLNLQEYAAAAILTLSASSTNKPIISASGAIPLLVKVLEEGNPQAKNDAVMALYNLSTIADNLQTILSVQPIPPLLELLRSGKRSSKTADKCCALLESLLAFDQGRVALTSEEGGVLTIVEVLEEGSLQGREHAVGALLTMCESDRSKYRDLILNEGAIPGLLELTAHGTPKSRVKAHALLDLLRNSPYSRSKLQPNTLENIVSNIASQIDGEDRGGKAKKMLAEMVKVSMEQSLRHLQRRASFA >SECCEUnv1G0547320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:169570679:169571008:-1 gene:SECCEUnv1G0547320 transcript:SECCEUnv1G0547320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTRNAIVAILLLVVMVAAVSTPVVTAEELCNVKCSKNCKGEKEVCSNKCYEYCKYQVSAVEYVKMATKTLKEAAAASPEEAVKLKHQAETYLASAKSLSDKAGTPP >SECCE7Rv1G0465300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:68660841:68669588:-1 gene:SECCE7Rv1G0465300 transcript:SECCE7Rv1G0465300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKCPNPIRCRAAVCRAAGEPLIVEEVVVDPPKAHELRIKIVCTSLCHSDVTFWRMKDFPGVFPRIFGHEAFGVVESVGDDVEGFAAGDAVVPTFLAQCRECADCRSSRSNVCSKYRFAVRPGMPRDGTTRFRDADGNPVHHLFGVSSFSEYTVVDVTQVVKVDPAVPPATACLLSCGATTGVGAAWKLAKVEPGSSVAIFGLGAVGLAVAEGARICGASKIIGVDLNPDKQELGKRFGVTHFINPKELGEKTVSQVIVEMTDGGADYCFECIGLAALMNDAFQSSRAGWGKTIILGVEMHGAPLTISSLEILHGKCVMGSLFGGVKPKQDIPILADKYLNKELELDKFITHEVGLEDINTAFDLLLQGKSLRCTIWMDK >SECCE6Rv1G0403870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510438504:510439163:1 gene:SECCE6Rv1G0403870 transcript:SECCE6Rv1G0403870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLTRRLLRPTTAAAKLFPGTSFPNPHAYARNPLLLLDPHRRFSTSPDASSNPEAAPAPAPAPEPTPLESMKHQEIEGPTVERDMSPLADEARAELDALRRAAQRLSASLAILGGTHLAAGAWIVSGAAPVGVESAALVQGVAAFAFPFTAALVLRLVIKPVAFFQKMEANGRLQVLTLCLQATKNVNLMMLRTRVVAISCALGVSVGSVATILMR >SECCE5Rv1G0319560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:376849163:376851586:-1 gene:SECCE5Rv1G0319560 transcript:SECCE5Rv1G0319560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDAAVNVTAAAAKAAADATATVKPVAAACYDNNLVNSQGMFLGDQPLRFALPLLLIQVSLILLLSAAAHHVLRRLGQSRFVTHMLVGILLGPSVLGRNHELRGALFSERGTYILESVSLVALILFLFSMGVKTDMSLLRRPSGRAVAVGLAGSVVPLAITLPVFHMLQPTLPADLRGSSLITEIAVRLSLSSFPVIADALAELDLLNSELGRIALTASLITDVTSWFLRACFAAAFLVTEAKSPAFTTKILASFVAFVFFVAFVARPAGRYIAYKRTPAGDLLSEGSFVVVVIAALLSALVTDVIGFKYMIGPMMLGLAIPGGMPIGATMTERLDSFFIALFLPVYMALAGYRTDFSELGGHEEKWCALELFVALCVAGKMVGCIAAGLFFAMPIGEATALALMLNIRGIVEVAAINNWGDTMKATAEHYSTLTLSMVLITAAATPLIKILYDPTGRFARAKRRTMEGARPNAELRVMACLYTEDHAAPLIDLLEASGANHDFPVSLIVLHLTELVGRAASVLKPHKKSSSSTASSPSDRIVNAFRHFEQQASPGAVTVSPYVAQSPYSSMHHDVCSLAHSRKANLILLPFHKSSDGARSTANSAVRSANRAVLQYAPCSVAILVDHGLASGSACATASNRNLLQRVALYFLGGPDDREALAYAARMPESGGTSVTVVRFKLRNWVGMGGHDEVRDEEVLQEFWQRYRDDERVVYVEKTVEDGEGTASVVRSMSDKFDLLIVGRRGEDRDIEGSALTSGLSEWSECPELGVLGDMLATAEFASKVSILVIQQQAGITTGGESDYQ >SECCE1Rv1G0035530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:499322602:499325511:-1 gene:SECCE1Rv1G0035530 transcript:SECCE1Rv1G0035530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MADDGAAAGAGPSPSSPPIHPAAQDNPAIDAASFTHTPYYCEENVYLLCKELVRAGLADPAGNDLYAVFISNEEKKIPLWYQKASRTNDGFVLWDYHVICIQSRRNKGDVLDLVWDLDSSLPFPCPFLQYVADAIQPLAFGDSIYGRLFRVVHGPVFLQSFASDRSHMKDPVGNWIELPPKYEPIVAEDGSTNNLNEYIAMSTNDVGDLESMVNDVYHNKHGVVINETLLPMFFSRLPE >SECCE6Rv1G0439280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795809529:795812648:-1 gene:SECCE6Rv1G0439280 transcript:SECCE6Rv1G0439280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLSSSASASAAPATHRLRLFWRRLGRRGAAGAAALAFALLAAAFFLTPSRDASGRSTASYPSYGHRLPTLVGLTLVAGAKEKGAVCLDGTPPGYHWLPGFGEGSDKWLLHLEGGSWCRNLTWCAQRKETNLGSSAHMERRVEFVGILSDDELQNPDFYNWNKVKVRYCDGASFSGNVEEEFQDGTRFFFRGQRIWEAVMSELLSKGLSRAKEAFLTGCSAGGLSTYIHCDDFSALVPKASTVKCLADGGFFLDVEDISGRRYMRGFYNDVARLQDLRKKFPHCSSDMEPGQCIFPWEVAKGIRTPMFILNPAYDVWQVEHVLSPDGSDPENLWQNCRLDITKCDSKQLETLQGFRKELLDAISEFKKKKEWGMFINSCFIHCQSMNSLTWHSPSAPRINNKTIAESVGDWFFNRRAVKEIDCEYPCNPTCYNAVLDQPYKEE >SECCE2Rv1G0078990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:113668975:113670030:1 gene:SECCE2Rv1G0078990 transcript:SECCE2Rv1G0078990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVARKELRARVGAMPWAVRLQLRALDVAVDATQRRDGTVNRFLFSLLVDRQAPANPARPDAGGVRSVDVTVDASTGVCARVYFAAGAEAEASPRPVIVYFHGGGFTVFSAATRPYDALCRTMCRETGAVVVSVTYRLAPEHRYPAAYDDGEAALRYLAATGLPAEVPVRVDLSRCFLAGDSAGANIAHHVARRWTAEPATTPPTIRLVGLLLLSSYFGGEDRTESEQALEGVAPIVNLRRSDFWWKAFLPEGADRNHPAAHVTGEAGPEPELPEAFPPAMVVVGGLDPLQDWGRRYAAMLRRKGKEVRVVEFTEAVHAFYFFPALPETGKLVAEIRAFVESIPPNPTA >SECCE7Rv1G0512510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:812069778:812073186:-1 gene:SECCE7Rv1G0512510 transcript:SECCE7Rv1G0512510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKAACAAWIRRREDKATRVFAVHGRPSPPALEVLGFDSASCSLSEAPLARIVLGEDSEDAPLAVAVHPTGDELVCVTAKGCRLLKLIFEEFTVRFIESKAPAIESVGLQKCLAFSTDGAKFAMGGEDGHLRIFHWPSMKVLLDEPNAHKSFRDMDISLDSEFLVSTSTDGTARIWKIVEGVPLANLTRSSDEKIECCRFSRDGMKPFLFCTVAKGSKVVTVVWNISDWSRIGYKRLLGKPVSTLSVSLDGKFLALGSHDGDFCVVDVKEMEISHWSKKVHLGSPIYGIEFCPTERVVISTSPQWGAELTRLNVPADWKEWQIWLILLALFLASAILFYIFYQNSSSFWSGTPRRDVIDLPSHAEF >SECCE5Rv1G0329430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:515860870:515862127:-1 gene:SECCE5Rv1G0329430 transcript:SECCE5Rv1G0329430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAAPPLAGHHPSPATASSTSAAAAPKADDPFCPIDISSDEDDDVIVLGSSNSREEVQIQQAILLSLDPSRDQITASSSSGTAVAGTSEGSIPGRKARRKLGSELPLFPVDSSRSLSTPETRKVIDLDDDSRPQVIDLDDDGRGLIFLKDIASGKRKLFEKGECSNSAKDFDCTICMETVPGVERFRISGCRHAFCASCVRQYIAARVEENLLAIGCPDPGCKDGVLHPEECRRVIPTPLFHRWGAALCDMALGELKFYCPFKDCSALLVDDDPGDGDAAAAAKVECPHCKRMFCAKCKVPWHEGVDCAEFQRLGEDERGREDLLLRKVAQQSKWQRCPKCKIYVERVDGCTFIACRCGHCFCYLCGATMARTNHYCAKCKR >SECCE3Rv1G0196590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:810049493:810052671:-1 gene:SECCE3Rv1G0196590 transcript:SECCE3Rv1G0196590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGAHPFSPPSSSPHYTRLRSRPLCSPTSSAPTTSSSSSAPPSLRFLRRGCAADRSRRATTMAAVVSPGDGGLVHDLVSSGVTAGIALGLLRFFEELAKRGVCDQKLNRKLVHITIGMVFLLFWPLFSSGRYAPFFAALAPGINIVRMLLLGLGIMKNEAMVKSMSRSGDHRELLKGPLYYATTITLATSILWRTSPIAIALVCNLCAGDGIADVVGRRLGKEKLPYNPNKSYAGSISMAVAGFLASIGYMHYFHSFGLMEESWYMILGFLVVSVAAALVESHPISTELDDNLTVPLTSFLVGSLVL >SECCE4Rv1G0263540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:717159239:717162330:-1 gene:SECCE4Rv1G0263540 transcript:SECCE4Rv1G0263540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGALSPVLRKLGELLAGEYNLEKRVKKAVQSLRTELEMMHAVLREVGKVPPDQLQEPVRIWAGKVRDLSCDMEDAVDDFLARVGEGSGSKPTDMRSRVNKFLKKTTTLFGKGKALHQISDAIKEAQDLAKELVELRKKYELDMRSTSNGATIDPRVLALQKDVGELVGIERTRDELVKTMICEDGSSEEQLRTISIVGVGGLGKTTLTKAVYEKIRAQFDCAAFVPVGQNPDMRKVFKDVLYGLDEEKFKDIHNTTRCEKLLIEQISKFLVDKRYLIVIDDIWEKDIWKYIDCALYKNELHSRVITTTRNVIVSEACLSSTNGTVHRMKPLSDEDSQILFHRRIFQSKENCPENLQIVSRDILKKCGGVPLAIITIASLLVSNQQVKPNHEWMDVYNSMGRGVTQSDIAKDMKRILSLSYYDLPPHLKPCLLYLSIFPEDFEIEREWLIWRWLAEGFIQHEKKETSLFEIGESYFNELMNRSLLQPAYINEEGSVVTFRIHDMVLDLICSLSSQENFISILDNAEWHAPNLQRKFRRLSLHNINSKVQSHQFDSTSLSKVRTFAVFFPVNCDWLPSLSSFQFLRVLDLGNCGSHGSSSGISLKYVGNLIHLRYLGLKGADVCELPMDIGKLQLLQTLDIRDTSIEELPSSVVQLKNLMCLCVNYRVRLPKGMGSLMSLEVLERVGLSSSPHIVKELSHLTEVRTLCVDCEDMDEDLIGILIKSLGNLHKLQNLRIVDVGRLIDCMRESWVPPPNLRCFDSWKPFPPAWFSRLPKWVNLRSLPHLSNLQIDVEELQGDDIQIIGMLPALRFLWLRASRVMGTLVVRADAFPSARCCKFFRFPTPPCLFPPGAMPRVQRLQFRVSASSIARGEVECGMGHLPSLEHVVVDLQHENSSDEEMETAKAWLRRAAAAHPKRPTIQINDYY >SECCE5Rv1G0329350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:515523602:515523823:-1 gene:SECCE5Rv1G0329350 transcript:SECCE5Rv1G0329350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSASATTVAPTAEEKTGQAPAADTKPKKKICCACPDTKRLRDECIVEHGEDACGKWIEAHRLCLRAEGFNV >SECCE5Rv1G0341060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:620581810:620584151:-1 gene:SECCE5Rv1G0341060 transcript:SECCE5Rv1G0341060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTIPPLVALCLLLAITGPANAATATPEGMSSYIVHVAPAHAPRLPRRGLLATRPYASFLRDRIPVEMSRPAPRVLYSYAHAATGFAARLTPRQASRLASSASVLAVVPDAMQELHTTLTPSFLRLSESSGLLPASNDAIDVVIGIIDTGVYPLGRASFAADPSLPPPPRTFRGGCVSTPEFNASAYCNGKLVGAKFFRKGHEAARGRHAHAVGETVSMSPLDTEDHGTHIASTAAGSAGPDASFYGYGEGRAVGAAPGARIAVYKACWQGCASSDVLAAFDEAIADGVDVISASLGTRKARKFYKDTTAVGAFHAVSKGIVVSASAGNSGPVESTVVNVAPWFLTVGASTINRQFPAVVVLGNGETFTGTSLYTGKPLGATKLPLVYGGNVGSKICEVGKLNPTMVAGKIVLCDPGVNGRTEKGYAVKLAGGAGAVLGSEEAQGEQARTSAHILPASAVTFAAAEKIKKYLSTQVYPVGTIVFHGTIVGLLPPSPRMASFSSRGPSRLVPEILKPDVTAPGVDILAAWTGAVSPSLLDGDMRRVQYNIMSGTSISCPLVSGIAALLRQTRPKWSPAAIKSALMTTAYNVDSAGAVVEDMDVDPNRAADPGLVYDAGTEDYITFLCALGYTTEQMAVFSPATNCSARVGTAAAGDLNYPAFSALFGPDRRPITQHRVVRNVGSNARTTYRPKITSPAGVHVTVKPRKLQFSATQGTQEYAITFAQRISGNVKEKHTFGSIEWSDGKHSVTSPITITWPASQVAEM >SECCE6Rv1G0412510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614777159:614778199:-1 gene:SECCE6Rv1G0412510 transcript:SECCE6Rv1G0412510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRHVLTQSITFLVMVVALLVLVPEGMMLVTGVKSPAMAVLSESMEPAIKKGDMVFVHNMSNEPFREGEVVLFKVDGFEHPIVHRVIKVYEHRDTGEIRILTKGDNNSVDDRFLYVSGQLWLQPHDVIGRVAGYLPYAGWPSVFIGEVYEMLLNARSTTTPEVLWVTM >SECCE3Rv1G0159530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:96831136:96834580:-1 gene:SECCE3Rv1G0159530 transcript:SECCE3Rv1G0159530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSSYIRLAADDEAAAAISADDHDAKKLRLLGYEPQLKRNLSLLSNFSVTFSIVSVLTGITTLYGTGLEFGGPVTMVYGWPIAGAFTVVVGLAMAEICSAYPTSGGLYFWSARLCAERRWGPFASWLTGWFNIVGQWAVTTSVDYSLAQLIQVIILLSTGGNKGGGYLASKYEVIAIHAAILLSHALINSLPIAWLSFFGQFAAAWNMLGVFVLMIAVPAVATERASAKFVFTNFNTDNSAGIHSHVYIFLLGLLMSQYTLLGYDASAHMTEETTNADTNGPIGIISAIGISIVVGWGYILGVTFAVKDIPSLLSPDNEAGGYAIAQVFYQAFKSRYGSGVGGIVCLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHDVPINAVWLSAFVSLCMALPSLGSLVAFQAMVSIATIGLYIAYALPIFFRVTLARKHFVPGPFNLGRYGVLVGWVAVLWVVTITVLFSLPVMYPVTRDTLNYTPVAVGGLFILVLTSWVVSARHWFKGPVTNLSG >SECCE7Rv1G0508220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:770682691:770683158:1 gene:SECCE7Rv1G0508220 transcript:SECCE7Rv1G0508220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLGRDFSRVLTTMRGTAGYLAPEWITGVAITPKVDVYSYGMVLLEIISGKRNSCAPCSSGGNLDLYFPVRAAHKLLEGDVESLVDHILHGDVNLDEAELACKVACWCIQDDEVDRPTMGQVVQILEGLIEIRMPPIPRLLQAIAGSSNSTCS >SECCE6Rv1G0383830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:57829517:57840849:1 gene:SECCE6Rv1G0383830 transcript:SECCE6Rv1G0383830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVSRSIIGLCAGTVGSAPPRSWPRALGRWRSSVGRVVSAPPRWWPIAREGRDASLLLSSSAHSNRHLDRLPSGNKEKQNKPHSYKPEGCATIKCLDTANMREKVTSVKKLTNEVTDSNPTKAAGIFVPFIFPNSSHRDGAIYKNKLFTENWFDIDITDHNETRLEPMIFSKANKTVYFPCNMLQFFSLTLAECSINHDPIELYGYIAVRDDRDGMRNYVLNHSRDDPIIAQKGSSIQMTGPKRNIELVSPVLIEFDMRIKNGGQEEDLQLIDGAISCNDRRSWNPVKHRIKGNCGAVDMSFACVEQAVEATIEVVISEVHSSFSLSLRSFVYVYEDYEEIQLFHGSIDQSCGLRRFVLAVTLGDMMILKFKFGNSNVERRRSFKADLCGCSSQQIKHELANISIKVNWSTNSAF >SECCE1Rv1G0031310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:440934770:440936351:1 gene:SECCE1Rv1G0031310 transcript:SECCE1Rv1G0031310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSASPGPSSSAPEPRQWAGGRPGLGSAALELACKVLCVVATCAFAAVGSLVGAVSGSVIGLATESGVVRGAGIGAISGAVFSIEVAESSRDLWHTSDSAAWSVLFMVDIVLSLLSGRLVREKVGPAVQSAVQSQISAISSPFTEPSDLFETGGARGLPAHALRRLPAIKVGADTAVDEAGEALCCSVCLQDLEVGESARRLPGCRHVFHAPCIDRWLVRHASCPLCRRDI >SECCE4Rv1G0267440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736084382:736084920:-1 gene:SECCE4Rv1G0267440 transcript:SECCE4Rv1G0267440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTGAYLDQRLAAAKRCSREAAMAGAKAAAVATVAAAVPTLASVRMLPWAKAHLNPTGQALIISTVAGMAYFIVADKTILSMARKHSFDDAPDHLKDTSFH >SECCE7Rv1G0522150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876225885:876230033:1 gene:SECCE7Rv1G0522150 transcript:SECCE7Rv1G0522150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPSPPRPPQANQMVTSNLDSISDTKLYELKEQLLLLTTLVATVTYVTGLNLPGGAWQTQQADGHGPLAGDPILRDIHYRRYLAFYYCNGTALASSVVVCLILVMLRKDSPAWSVVLRVVMVLDLLGLMGSYAAGTCHDTFTTIWAVALACPVLAYITYAFVCYLCMPLRREAPTPRYPNGYQEKEKIEVLMLLATFAVTISYAAGLNPPGGFWSSTQQQKEDTRLPHVAGDPIMEDSALRRYRAFFVCNTTSFAASLLIILLLLDKKLSRTIEARFVAVYGFIAVALMGLMGAYAAGSCRETDNTIKVLSLAAAVPACVVLQLALNYVFYWPIRNMCDNFSGWLGNLIPGGASALTDPDLKNTRFFVMVLASFAVSITYQAGLDPPGGLWQDDLDGHKIGHPVLRTTHPARYQVFFYSNSAAFVTSLVVVMMVQSKFLLKRRTLVAAMVLDLIGLVIAYAAGSTRDTSTSIYVVAVACLVLSYVVVHIALGGEKENVAAPATSAATFSTPPASPVSATSPVVVLGGGGRRSKEQLDDKRQVLLLIAILAAALTYQAGLTPPGGFWQADNDELGHRAGYPILLNNYPRRYKVFFYCNAASFMASVALILLLVNRKLYRPGIRCYALHMCMAVGMFALMGAYAAGSSRHLKTSIYVLTLVIVVSASIPLQVAIFWYIRIYRKNNHHDSSRTRRQRARGLEAPGQEKNEELEYLMLLGVLAASVTYQTGLRPPGGMWQEDNAAGNPILHDINKRRYDIFLYSNSTSFMASIIAIVMLLPFTLTDLKWLHRFLPSQSTTDRNWPLWPVHTAILLDMLGLLVAYAAGSTRKWTSSRNVIFILLPVLAYIALYATIAAIYIYVCNKKRRSSQSVSTQDDQQSPS >SECCE2Rv1G0104340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:605106660:605108852:-1 gene:SECCE2Rv1G0104340 transcript:SECCE2Rv1G0104340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMRRIENPVHRQVTFCKRRMGLLKKAKELSVLCDADIGVMVFSPHGKVYELATNGNMQGLIERYKGSNTEAHDESSEQNKPEVIQQEVLLLRQEIDLLQKGLRYMYGENDMNHMNLNELQALESNLEIWVHNIRYTKMQIISREIEMLKTKEGILKAANDILQERIIEQSVILDTGSNMMMPQFPFQRTMENDYYF >SECCE4Rv1G0238950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:390542158:390573166:-1 gene:SECCE4Rv1G0238950 transcript:SECCE4Rv1G0238950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XEG113 [Source:Projected from Arabidopsis thaliana (AT2G35610) UniProtKB/TrEMBL;Acc:A0A178W347] MAPCCPAESTKPAFIGIFGAIVGGFAVSAIFFVLSFSSITAPALPFPVVTTTTTNLSALSTTAQPETMYNRPIWKPPARGSRMPSPRAFRLTHDMVRARARDGVIVVTFGNYAFMDFILTWVRHLTGLGVDNLLVGAMDTKLLRELYLTGVPVFDMGSRMVTEDVGWGSPTFHKMGREKVLLINELLPFGYELLMCDTDMVWLKNPLPYLARYPSADLLTSSDQVIPTVTDDSLENWREVTGAYNIGIFHWRPTEPAKRLAKDWKDLVISDDKIWDQNAFNDLVHKVFGQPVQGEDELVYSYDGKLKLGVLPASIFCSGHTYFVQGMHQQLRLEPYAVHTTFQYAGTEGKRHRLREAMLFFDQPSYYDSPGGFLSFRPNIPKRMLLDGAHTIESHFALVNYQMKQIRTALAMASLLKRTLVMPPLWCRLDRMWFGHPGVMEGTLTRQPFLCPMDHVFEVHVMLKDLPEEEFGPRIDFREYTFLENPSLPKQVKESFLEARLCNEHSTRCSTANGTNKHRALLLPRNSTEQMLLDVFSSYKNIKIIHFSSMVDAFRGFADAAVETQFQNRVKRYTGIWCCVEFREIGHIYYDMYWDDKPGWKPHPPQNREEDHPPWA >SECCE3Rv1G0171530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:272744793:272752988:1 gene:SECCE3Rv1G0171530 transcript:SECCE3Rv1G0171530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFHVYEAIGRGKHSTVYKGRKKKTIEYFAVKSVDKSQRSKVLNEVRMLHSLDHANVLKFYSWYETSAHFWLVLEYCVGGDLKGLLEQDKKLPESAMHDLAYDLVKALLFLHSQGIIYCDLKPSNILLDEFGCMKLCDFGLARRLKDIEKTDPGDVPQPMRGTPCYMAPELFQEGGVHSYASDFWALGCVLYECYVGRPPFVGREFTQLVKSILSEPAPPLPDNSSRSFQNLINCLLMKDPAERLQWSELCAHNFWRNSMSMISLPPQPAFDNMVGLPTTPYLAERNGDKPSRQLTPPKTREHLRKKDENSAKAFMTPVKNVLTGKKNNAKPCKADGLKGVNVLRMSRIAKKNLQREKDKENYRRPPAETDENEAEVKIENNDMELDFGENPEGDAPDDNDGSDNAGSTADEKHTTEGTDGNEENCINQVDMLTDECSVKPDTMLKAEQNCSENPDVVATPPSFCMRKARPKITSGAATGSEPPNIFEAFWHPTDLSVKPVMPSKKGDKATETITVLPFEALPAADYIRLPREQMNAFHSQIIQSLSGSFQVSEKQNIIRYLELLSMNSDAANIITNGPIMSLLIKMLRLSKTSVLRVQVASLMGLLIRYSTILDAELASSGIVNALSDGLRDRHDKLRRFCMATLGELLFYISTQSDQDSKESNAQESPMKDNKAGALWQVPSSVIALVSSILRKGEDDLAQLYALRTIDNICSQGTDWTSRFASQDVIGHLCYIYKATGKQENTRLIAISCLSRLARFSSSCTHLILEKLTFKDIACTLLKGNPREQQISLNLLNSALGNSHIIPNMSRYILSLTEEKQLVPGLISLIEQGTDILRGKALLFVALLCKNSRRWLPQFFCNAKLLSAVDRLGKEKDGFIHQCTEAFVQLVASLVPGILDTVSSDIQQVMGGKRHGPVTALTGRAHPKSTIHLFPVILHLLGSVSFNHRVVTSQVLLQLANLMKILETPFQARDDFQMTLLRVLEAATEEPSVILREHKIFTSRFLPSLSILYKGNKDCDARFLCLKILSDVMIVIFSDSSLTADEQCLADLKTISHKYFLPMYPSFAEDEDPIPMYAQKLLVMLMEHDCVTVSDILNEATVSQCFEFLLGDLSNANVSNVKLCFALASAPDMDSNILSQLQVVRRIGNLLEFVTAKDMDDFLEPTLELCRAFIIRGTGSNRSIVLTKEPALLVDSAFSMSIAVDQQSCIMDICDLGGSMGIFLEVVGNSDPQISDLASDCVVLLLKAAPREATMGLLTNLPKLSALLDSLKHGAPLPMTRLLYSLAFSCRQYLTQGMILSISVPALMRVEALVSSFKGSQDSCLADAATCVGAELQRLPRCG >SECCE5Rv1G0351380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:698939930:698945397:1 gene:SECCE5Rv1G0351380 transcript:SECCE5Rv1G0351380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVASPLKTLNPTLSPGPGRRRRILLSSSLLRVPPLVSRSGRLRCSAGYGDAAAPQQAAPTTQRPDEIPWSRELCNSVRLIGTVGTDIELRQLPSGASVARGRIAVWKSATETTWVTLAFWDDLAIAASEHVKQGDRIFVSGRLVSDTVDEGPEKRQVYYKVVVQQFNFIESFQPVRLYSESSQDGGKHGEYVGNDSTSGSTENKKGDYMSSSSRSTEALWQAFFANPLEWWDNRKDKKNPRYPDFKHKSTGEALWIEGRNNPNWVVSQLAVLDSRMGSLQDKQRKPVSYMYADDFMTSDASD >SECCE2Rv1G0132170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888496601:888497524:-1 gene:SECCE2Rv1G0132170 transcript:SECCE2Rv1G0132170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAVDPESKRARLTSSMSSVDTILCLPPSLPSTSQTRAPAGHALEEPPARRRPARRSRPVRIFQNMCRSLPVLTVPRCGGILTAHPTAASASASPARSDSLLSHIISPATASSGGGGVGASSRRHMTGTLFGCREGRVALALQENPRCRPALVVELALPTHTLLRELGGTAGARIVLETEKKHGEEHSSSGGGEHGDAAARQHDDDGWLLHEPIWTMFCNGKRVGYAVRREPTDGDIAVLETLWAVSMGGGVLPGRAGSAAPDGELAYMRGCFDHVIGSQDSESLYMLGPHGGDCPELAVFFVRL >SECCE5Rv1G0367460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:821460532:821467096:-1 gene:SECCE5Rv1G0367460 transcript:SECCE5Rv1G0367460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEAFEAYFRRADLNQDGRISGQEAVAFFQGASLPQQVLAQVWMHADQSKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALYGPAAARIPPPKIAGGPAPPQAGAGAPRPQGNAAAPAPAPVQAGAPQMNPAAAAPRPQGSGMMPTSAAAPRPQGSGMMPTSAAAPRPQGSSMMPTSAAAPRPQGSGMMPTSTQFAGAPQANAGAVPRPQGVNSMMPVASQGGAMPPNQFTAQRGTQSQSPNMGYAQQLLTSSTGFMRPPSQVGAPATSLQATGMNQSPLGGGSMGGSVGWQGGNVGSFGGISQPSPGAAVPSQTTSGGFGLGMSSSMGMVPGQQTHAMSSSSLPPQSNSAVLPPDSRALVLSGNGPASGSGASTDIFSALTQPKPSTSTPALPTTSSFMSKPTGSQNFANLTQPGSLQGTPTVSYGTSQPQQTQPITKPSVPSPGVSAGVSNSTSQWPKVNQSDIQKYTKVFGDVDRDRDGKITGAEARTLFLSWKLPRDVLKQVWDLSDQDNDGMLSLREFCIALYLMERNRAGTPLPPSLPDSLKFDETLLRATGLPSTAYNAPSWQPNQGLPHRGPGAPGLPTGGVRPPLPQVHSQTDGATRPGQPRPHMPGMDNHAAAQGIKDDRSGVNSAAQEAPKKVEVEKQVLDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERASSDKREVELLSKKYEEKYKQVAELASKLAVEEAAFRDVQERKVELNDALIKMVQGGSVDGLLQVRADRIQHQLEEMEKAFNERCKHFGLQFKPSATVELPFGWEPGQQEGAVEWDEDWDKFEDEGFGLVKDNGTIVENPASAEIANASSLWDDGVSTDGMSPVASSNGHIKDVRHYRAGDQAPESELAYDFGDESVRSPGSAGRSASGSPFKSSRFGVHDSSPTKKGTYSDHGGSESVFGDNYGDETTWNFDDQDTDSVWGSNAMNEPGHHGSNSFFGSDDFNVDPVRVGSPSGASVYGKKSTFFDDSVPSSPAYTSGFSPKFGESRDDSSSYNFGRFDSFRSQDSAVPQESRFSRFDSVTSSKGESAAGFDSSNSSRNFGRFDSFDEADPFGSTGPFKASSGARSPPKF >SECCE3Rv1G0198170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:828323575:828325030:1 gene:SECCE3Rv1G0198170 transcript:SECCE3Rv1G0198170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCQEAKSPPATAATVATVSASAAAAAVASSVMDRRRRRLELKRFRLASDLEQSAAEYAGARKRPRIPRTVSGSCPDAGSASENMERGPRFGFSSVCGRRREMEDAVSIRPGFLPGSAKSHFFGVFDGHGCSHVATTCQELMHEAVAEEHEKAGSGEEPAWKEVMERSFARLDERAANWATTRSSEEPACRCEQKMPSRCDHVGSTAVVAVVSPTQIVVANAGDSRAVLSRAGVPVPLSVDHKPDRPDELERIQAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPFVTAEPEVAVTERSEADECLILASDGLWDVVTNEMACDVARACFRSNGPPEPAAPQAQTSGEAKTSEGVSKAESDRACSDAAMLLAKLALARGSSDNVSVVVVDLRRGS >SECCE6Rv1G0408110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:568452892:568454043:-1 gene:SECCE6Rv1G0408110 transcript:SECCE6Rv1G0408110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPDHISGGDADAGLSLLQHVKARFASNPAVHVEFFGLLARFRKGKGEIADIRAVVDRAYALLQGHPDLVQRFDAFNPFLCRPGQHEAESASKDPPARPKRERRRPVVGDADCAGPMQFLERVKLAGAGLYDRVLALLIHVQAEEALDANEIYEEAREVFGSTDGNLLRGFTEYLPTGRDFLRRRAMKEPSEARRAPAAKRKAPAAAAAVNPVGGGKKKPRIDERKMANRPASRPVADTSKRDKFSEFRKAWEFEATYSKLVVTLRRTEKALEEPSGHHGRPRRFEELYPRRESQGVLQEMYGDMFGAMQEALEDDVRTELALETILGRLRKLEQVAVKVAMERRDPDRVKDRVYKHVVDRLVDLKREQKERGHAVNCGGA >SECCE6Rv1G0383030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:49327466:49329601:-1 gene:SECCE6Rv1G0383030 transcript:SECCE6Rv1G0383030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSRSSWNLFPIPFFGLTIVLLVSFAFHTSSCVEQEKSSLLQFLAGLSRDGGLTASWRHDTDCCTWEGITCNQDRKVTDVLLASRGLEGSISPFLGNLTGLLHLNLSRNLLSGGLPPKLVSSSSILVLDVSFNRLTGGLDELPSSTPARPLQVLNISSNLFTGRFPSTIWEVMKSLVSLNASTNSFTGQIPTTPCVSAPSFAVLELSFNQLSGNIPQGLSNCSALKLLNAGYNNLSGTLPDEIFNVSSLEHLSLHRNWLEGTLSGISKLTNLVTLDLGGNKFIGNIPESIGDLKRLEELHMDHNNMSGELPTALSNCTNLVTIDLKSNHFSGKLTKINFIRLPNLKKLDLLFNDFTGTIPESIYSCSKLTALRLSYNHFNGQLSEKIGNLKSLSFLSLANNSLTNITRTLQILRSSRSLTIIYIGFNFRHETMPEDDNIDGFENLQVLSMNDCSLSGTMPDWLSKLTNLRMLFLQNNQLTGPIPDWISSLNLLFHIDISNNSLTGQIPTALMEMPMLESDKTAPEVFFELPVWNKNTFMQYLALSAFPKVLNLAINNFTGVIPKEIGQLKALVALNLSSTELSGEIPQAICNLRNLQVLDLSANHLTGAIPAALNNLHFISEFDISNNDLEGPIPTGGQLSTFPDSSFHGNPKLCGPMIVSRCGSAGAGPVSITYTKQLGCEVIFAISFGVFFGVGVLYDQMVLVRYFG >SECCEUnv1G0542270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98663955:98664272:-1 gene:SECCEUnv1G0542270 transcript:SECCEUnv1G0542270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQAQVLRIINKKSKKEQRRKNVTRKVFSRLEMLEGAKSIGAGAAVGIGNVLSSLIHSVARNPSLAKQSFGYAILGFALTEAIALFAPMMAFLISFVFRSHKKS >SECCE5Rv1G0346440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660642938:660644592:-1 gene:SECCE5Rv1G0346440 transcript:SECCE5Rv1G0346440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERLCSKKAAVAGGEEDRLSTLPDELIQLVLSFLPSRQAVQTCVLATRWRTLWKSVPAVRLDAYAGTYQFGQDLSHFVHSLLRYRDPTPLRECEILFNGDHRHDCYEEDIQQDFELWLHYAVSCKARVIRLEITVYRPLRIFPGTLISQHLTRLILYRVQFEDFSLDVLGCQLLEVLDIQECIINIETVFPKSLQHLMIRDTYLLPMETRSFISAPGLVILELDECLGWTPLLESLPSLVTAFINTGPYCRDNCRNISNGDCGLESCVQCYVDDCVLLQSLSGATNLELITHNPMIFRNDIKWSPMFSKVKTLLLGDWCMAANFCGLVCFLQHSPILQRLTLELASRSEEFHIETSDTYKPTEQFHLKAVKINHVKDDKRIHQLLKILAYHGVHLEVIKIEEKEDARERKYYYTTSYHGVLFM >SECCE7Rv1G0465960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:75673247:75675524:-1 gene:SECCE7Rv1G0465960 transcript:SECCE7Rv1G0465960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGGRNGLVEDDDVADQAAFVAPSDDEEAPLPPHLQALSDAAQNGDVDALRTALDNYGGNIDDPVEDGDTVLHLACLYGHLPCVQLLLERGASLECKDEEGAIPLHDACAGGFTEIVQYILSFAANAEGCATRMLNTVDAEGDTPLHHAARGEHMDTVKLLLEAGACPKKENAYGQAAAEMADQDTEVRALLTAKQVEASIHMTN >SECCE5Rv1G0300270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:25098637:25102067:-1 gene:SECCE5Rv1G0300270 transcript:SECCE5Rv1G0300270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRAATSTGHLRVYGTSLSDDGALPWEEREQDTSLLLRKIELHRDEAVKLLASHPDLLPFLDAATCVGLLDPVSNIVVSALLAAAAADPVDGVGASPLVGVGAVADMDMKQRSLDGLVAFLTCFFPHLTDWEAVRYLVLAEADPLVAARIVIEDRRTKCFRPGSAATNGALKLALKCAMVAAKHPCPSKLAGIWLSLCSSLDTLEKAVSLLPAMQLNSPGDILHILAKQMPYVPYPAPVGIGSSLIRPWELAARRSEHAAKVTHQYSWSLRRVLLHTIHGFYLQALARMPGGELRSRHHRSMLKAGHCYGPFDPVTNIIVNTIWYEVTFPPLSQLDELDILGTLNLMRIEAQSFYGLVSFLCTRDKNLNADQAIRLLLDTDLNLAATKQCSSVQEEQEAFKAAATAAWHPRPDAQAAFLSSCKTPAVLSLLSDNGGQQLLSSQCVQQLAMLLSSAFHSTGILAEQKQPVAIYERRFHLTMYEGRIQRRAHRRISRKGQACYQLHVVCSVNKYVSGPDKSVHSIMKQKQKDPVEDDYYHHTHANFLVTRNVGSVGSVPVLFFAELSNKNDDQDSQLQCCPVEFPLPGAEPVRCLYCEQEGIRIVHPASGEGFHGHEVEFEKMVRGEDLFENVDYPEEYDNDRILTNSEYVTDTVADGLDEDCMYLGSDDFTIKESDGHEWDEYGEE >SECCE6Rv1G0448940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:859154703:859156764:1 gene:SECCE6Rv1G0448940 transcript:SECCE6Rv1G0448940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLTPRQPAKAYGGQGGAYYEWSPAELPMLGVASIGAAKLSLAAGGMSIPSYSDSAKVAYVLQGKGTCGIVLPEATKEKVVAVKEGDALALPFGVVTWWHNTPDSATELVVLFLGDTSKGHRPGQFTNFQLTGASGIFTGFSTEFVGRAWDLKEDDAAKLVSSQPASGIVKLSAGQKLPEPVAEDRKDMALNCLEAKLDVDIPKGGRVVVLNTANLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGPDGKRVLETRIEGGSLFIVPRFHVVSKIADASGMEWFSIITTPNPIFSHLAGKTSVWKAISPEVLEASFNTTPEMEKLFRSKRLDSEIFFAPN >SECCE3Rv1G0204770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:896746478:896747599:1 gene:SECCE3Rv1G0204770 transcript:SECCE3Rv1G0204770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKKGGRNYLTWTDEMDEAMLNVFVEHYNRGDRAQNGWKPHVYTAVLNNVRAKCNVDITKENVISRCKTIDRHHVNISKMLSTSGFGWDWTHNKLMVDSEDVWSNYVKANKDAACYRHKVIKFWDSISLVFSKDHATGTGARTATESAAEMAAENVNNINTESAPTSSTQTGEEQKRKRYRSDDSIASMLGEKLDNFTSAYKADIAQVVPPEKPSSPEEILDALNAIAGLDDDDLLAAYDILIADDRKFKALMALPERMKKKWILKQINQ >SECCE2Rv1G0109300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:674162051:674163392:1 gene:SECCE2Rv1G0109300 transcript:SECCE2Rv1G0109300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSGREMVQGHRCVALSLLVCMAALVASDTIDGLLPNGNFEEAPDRSQMDGTRVTGRYAIPRWEACGCVEHICSGQKQGDMVLPVPEGAYAVRLGNDASVQQQLSVTPGTHYAITFSAARTCAQTEKLNVTVAAQSGVLPIQTVYSSSGWDSYSWAFEAKDSVVSLTVHNPGCDEDAACGPLVDSFAIKTLLPPQQSNYNLLKNGDFEEGPYICPSTSCGVMVPPMDEDRYSPLSPWMIMSSTKSVRYVDAAHYAVPQGARAVQLVFGAESALVQEVCTVPGLPYKMEFSVGDAADGCTGSLAVEAYAGSGRVTVPYESQGTGGHTRGVLEFTATEDRTRVVFVSASYNMKSDGTVCGPVVDDASLVCAQSHARRLLL >SECCE6Rv1G0402890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:490915947:490916603:-1 gene:SECCE6Rv1G0402890 transcript:SECCE6Rv1G0402890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGALSSDYSSGTPSPVGADGGGSNEGFSTYMTVSSAPPKRRAGRTKFKETRHPVYKGVRRRNPGRWVCEVREPHSKQRIWLGTFETAEMAARAHDVAALALRGRAACLNFADSPRRLRVPPMGASPDEIRRAAVEAAEAFLPAPDQSNVAVEEVAAAPTAQFAGGPYYGMDDGMDFGMQGYLDMAQGMLIAPPPMAGPSATVGDGDDDGEVSLWSY >SECCE1Rv1G0000060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:546438:556710:1 gene:SECCE1Rv1G0000060 transcript:SECCE1Rv1G0000060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTERGRGLLASRRRTLAVVSGALVAGGALAYARSGRGQRRRGRPEAANNGDDALARNGERPGHNGTDGRLAGTTKRRKSALKSLHFLAAILLKKIGPNGTRYLLGLMLTAVLRTAVGHRLAKVQGFLFKAAFLRRVPTFTRLIIENLILCFLQSAVYQTSKYLTGSLNLRFKKILTDLVHADYFQNMVYYKISHVDHRISNPEQRIASDIPKFCSELSELVQDDLAAVAEGLIYTWRLCSYASPKYMLWILGYILVAGGAIRNFSPAFGKMKSTEQHLEGEYRQLHSRLRTHAESVAFYGGEQREEYHIMQRFRALVGHLKHVLHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGDLRPDSSTLGRADMLSNLRYHTSVIIALFQSLGTLSISSRRLNILSGYADRIRELLDVSRELSGVRDRSLNHNSSAGNYISEANHIEFSGVKVVTPAGNVLVDDLTLRVETGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQETEPLSYDGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKKVRAMGTSCITISHRPALVAFHNIVLSLDGEGGWDVQHRRDDSSFYTEESELTSLETERKSDALTVQRAFMNRAKSNASLRSKDSYSTKVIANSPKLETGHTVRTPVVPHLQCSPRPLPLRAAAMLKILVPKLLDKQGGQLLSVALLIFSRTVISDRIASLNGTTVKFVLEQDKAAFVRLVGVSVLQSAANSFVAPSLRTLTSRLALGWRIRLTNHLLQYYLRRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLASLVTGMVKPLVDILWFTWRMKLLSGRRGVGILYAYMLLGLGFLRAISPDFGHLASEEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFMKLLKHSKVLLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEEILRVAQKDTPVPSSAITAASDEIIEFHEVDIVTPSQKLLARKLSCSVVQGKSLLLTGPNGTGKSSVFRVLRDLWPAFSGRVTKPAEGMFHVPQSPYTSLGTLRDQIIYPLSREEAEMKILSLYKASNMSSAPELLDDHLKTVLVNVRLVYLLEREGWDSTPNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYRLATNMGITVITSSQRPALIPFHSVELKLIDGEGNWELCEIQH >SECCE4Rv1G0268250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:740819292:740819603:-1 gene:SECCE4Rv1G0268250 transcript:SECCE4Rv1G0268250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGGEEEQEDSKAAVAPLFVTLKVVDQKQNLVRHAIRMTDRLQAVMDKYYSQVPDVEYGTGTFHYDAVRVVGWRTPAELEMEDGDVIDFFERQEGGGSAA >SECCE2Rv1G0067450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18868365:18869552:-1 gene:SECCE2Rv1G0067450 transcript:SECCE2Rv1G0067450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRALEVTLLSAKDLKNVNLITRMEVYAVASISGDPITRQCTPPDPHGGRNPTWNATLRFDVPASAEEARGGCLHILLRAERMFGGDRDVGEVIVPLAEILTGGGFGATSSPRFASYQVCKVHRTETRGLLYLTYRLGPVVQPSPSPSPHGKPAAGWPVVGYPAPAQQVVPSSPATPAGHGHGDGPFSPAKPYGYYTAPSKPEGHVTVPPYPPATDQVVSMTTSPKPIGRVVSMPPSTKPSDQFVSMQSPPKPVEHVVSMPPSPKPAGRAVSMPPSPRAAGGHEVPMPPSPRSAGGHVSSPKSAGGYVPSSLKPPAHVMPSSPKPDTSMAVPSSPKLAGGYNIAMPSSLKPFGGHASVPPSPTPYGQTVGERIFTMTDIYRCPIVQPGAATSPN >SECCE3Rv1G0197350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:817482582:817487632:-1 gene:SECCE3Rv1G0197350 transcript:SECCE3Rv1G0197350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPPKLLYIAVADGGGRRAFRYTRPVLYSQLDLPWEQTRHAKISKKVFSVMRSEFLNASRSDRAVKEENAHSLGIGEDADTLNIEIPDASSSSMPFELYKTQTTILVSREKFLNIVCDALSSYKYVGPNQKADLLLACRIKEKKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVVSTDSIRHMMRSFADEKQNPLLYASTYHAGEYLDPVAVAKSKAKKLVTVSHTNEGKDGTSGVKSHHGSSDLPPRTELIGNKQMAIEGFKAQSEMVIDSLDRLITSWEDQKQSVIVEGVHLSLNFVMGLMKKHPSIIPFMVYIANEEKHMERFAVRAKYMTLDPAKNRYIKYIRNIRAIQEYLCNRADKHLVPKINNTNVDQSVAAIHATVFSCLRRRGVGEQLYDLNTNTVSVVDEEYRNQRASNSLGSKGMFQFIQRKGSSRNLMALLNPDGSVTKAWHVDSCDGNANGSRSSDKSVEKANPSQIGKVESVNLQFGSFGISAWLSDTGGTSHTGSVDDLRADGIETGGRYFSSCCSSPKTSDCASKEHMEDYSVYGSEEEADDPPDAETDEDLTDEERDVHEIEAGSVDEHSTKSDEEYDDLAMQDVMENGNCSDDDDEQAARFGNRSSPPLDESILGAADGDDAVVEGRYHHNLDLFTISKDMAATRMPCA >SECCE2Rv1G0082000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:147180494:147181813:-1 gene:SECCE2Rv1G0082000 transcript:SECCE2Rv1G0082000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWQCRIAAARALVAAVLALGAAGPRSPAPLRFAPGGRFKVALFADLHYGENAWTDWGPAQDDASDQIMAAVLDAENPDFVVYLGDLVTANNVPVANASLYWDRAISPARRRGVPWSTVFGNHDDMPFEWPPEWFSPAGVPPVHCPPAAPAMPAPGCSFRGTPRVELMTAELDRARGLSRSSVGPRELWPGVSNHVLQVLSREKPQDPALLMYFLDSGGGSYPEVVSCAQVTWFQSQARFLNPDGTIPELVFWHIPSTAYAKVAPKAKSEIRKPCVGSLNEEDVAPQGAEWGMMDALAHRPSVKAIFVGHNHGLDWCCPYEKLWLCFARHTGHGGYGDWPRGARIVEISEKKHFSVNSWIQMENGSTHSHVTLTSARFS >SECCE1Rv1G0052000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:658118381:658119202:1 gene:SECCE1Rv1G0052000 transcript:SECCE1Rv1G0052000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKQLLTTIIIVFSTLSFIKLLLITHSSSTSPARPGRSAWDDAGSSGNGTAATDGLNAKELALLRSVVAARAPCGLLVFGLSPQLLALAAVNSGQGATTAFVTDSAEDADSARRVLAGRGPGSAAVHRARYPDPAGEAWALLRRARASPVCRRPTGTVRKSGCRLALTSLPREVLDARWDVVVVDGPSGAGPGEPGRMGAIYTAAALARAAAGGAVDVAVHDMNRTVERWYAREYLCEDNLVAAKGRLWHFRVAAGGPPDAFCSTAPVQIL >SECCE2Rv1G0133860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898680121:898683274:1 gene:SECCE2Rv1G0133860 transcript:SECCE2Rv1G0133860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQVFVLLSLICLCKSDDRLTPAKPLAAGDKLVSDNGVFALGFFSPKNSAADSYVGIWYNNIPERTYVWVANRDNPVSNASPGKLFLTNNSDLVLSDSQGRALWTTMNNLTSGTTGTSAILLNSGNFVIQLPNGTDVWQSFHHPTDTVLPGMLLPLSIDDVLYTRLIAWRGPDDPAASNYSMGGDPSSDLQAVIWNGTTPYWRRSVWDGELFYGWYQGSTGFIMTLTIVYQGRELYMTFTVSDKSPSMRMVLHYTGVFRFLAWNSNSSSWEVLTEEPSLSCDQYAYCGPFGYCDATEAVPKCNCLSGFEPDGVNFSRGCRRKEDLKCGGGDNFLTLRSMKIPDKFVYVRNRGIDQCRAECSRNCNCTAYAYSNLQNGTKSDDVSRCLIWLGELVDTGKFRNGENLYLRVASSAVAKESNVLKIVLPVIASILILTCVSLVWMCKSRGKRQHSKKSKSNELENETIELPYICFEDVVTATDNFSDCNMLGKGGFGNVYKGRLEGGNEVAVKRLSKNSGQGADEFRNEVVLIAKLQHRNLVRLLGYCTHEDEKLLVYEYLPNKSLDAFLFDATRNFVLDWPTRFKVIKGIARGLLYLHQDSRLKIIHRDLKASNVLLDAEMNPKISDFGMARIFGGNEQQVNTVRVVGTYGYMSPEYAMEGSFSVKSDTYSFGVLLLEIVSGLKISSSHLIMDFASLISYAWSLWKDGNARELLDSSITETCPLREVLRCIQLGLLCVEDDPSARPLMSSTVFMLENETAPLPTPREPVYFRQRKYEVEDQRHDYDLAMSLNGMTMTMEEGR >SECCE4Rv1G0257220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:665128793:665129071:1 gene:SECCE4Rv1G0257220 transcript:SECCE4Rv1G0257220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARTSALKTAAVVLCAVLVLLSMGQTAMGDCASECAAACSCTGTCRPCIQEAACTGICTPTSAQFSNCWGCKDTARTTCENECVLGCSFQ >SECCE6Rv1G0448340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:855434280:855435632:-1 gene:SECCE6Rv1G0448340 transcript:SECCE6Rv1G0448340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAISVCFGGRTRRPSKGRRLVAAAAGQVARWARRLCFSLPKRPASAVIQQLDAHGDNDQDCWSGLPEDVLLTAMALMEVTDVVRAGAVCSSWRSAYAEFRRLRLPAKPNPPPCLMHATADGAAAIYSPSTGATFPCGGGGDGFIIAGSAHGWVLTADVATANPCLLNPLTGARAALPAVATLARVKGTFFDDDGTAVYDVDHAFGNATPDMQLVTARTVRNWMFRHIAISAAGVVLLVHMPHGEASYARPGDERWTSLSSHLEPDAIAVVHNDGDGLFYVLCKGGGILFAVVVDGPAAPTAWPMSYLFTIFEPRHTHYLVLRGGELLLVTRQLLLTRPRESEKVVSTTGICIEKVGLGRSKLVTLDGIGEDYALFLGYGSPICLPVKEYPMLRRNCAYLADDSEQHFPPITRRDVGIWDFESKSMSRFEDLHPWLDNQPPIWIAPSLY >SECCE1Rv1G0023890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:315958718:315961849:1 gene:SECCE1Rv1G0023890 transcript:SECCE1Rv1G0023890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSP1 [Source:Projected from Arabidopsis thaliana (AT5G35620) UniProtKB/TrEMBL;Acc:A0A178UEE3] MAEVEAALPVAATETPEVAAESDAGAAEAKGPHKLQRQWTFWYDIQSKPKPGAAWGTSLKKGYTFDTVEEFWCLYDQIFRPSKLVGSADFHLFKAGVEPKWEDPECANGGKWTVISSRKANLDTMWLETCMALIGEQFDESQEICGVVASVRQRQDKLSLWTKTASNEAVQVDIGKKWKEVIDYNDKMVYSFHDDSRSQKPSRGGRYTV >SECCE6Rv1G0382800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:47388071:47391217:-1 gene:SECCE6Rv1G0382800 transcript:SECCE6Rv1G0382800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQHCPSRRPFLGLALLLLLVSLASPASSCTEQERSSLLRLLAGLSRDGGLAASWRRDTDCCTWDGITCGGPDGAVTDVSLASRGLEGSISPFLGNLTGLLSLNLSHNSLSGGLPPELVSSSTIVVLDVSFNSLTGALSELPPSTPALPLQVLNISSNLFTGRFPSAIWEVMKSLVALNASTNSFTGQIPVTPCVSAPSLDVLELSFNEFSGNIPPGLSNCSVLKLLGAGYNNLNGTLPDELFKVTSLEHLSLPSNGLEGAISGISKLTNLVTLDLGGNGLTGSIPESIGGLKRLEELHLEHNSMSGELPSALSNCTNLVTIDLKSNQFIGELTKVNFTSLPNLKVLDLLYNNLTGTVPESIYSCSRLTAIRLSRNYFHGQLSERIGNLKSLTFLSLVNNSLTNITSTLQILRSSRSLTTLLLGFNFMHETMPEDINIDGFESLQVFSMNDCSLSGTIPQWLSKLPNLEMLFLHNNHLTGSIPDWISSLNLLFYLDITNNSLTGEIPGALMEMPMLKSDKTAPKVFELPVYNKSPFMQYRMPSAFPKVLNLGINNFTGMIPEKIGQLKGLVSLNLSSNQLSGEIPEAICNLTNLQALDLSGNHLIGTIPAALNNLHFLSTFNISNNDLEGSIPDVGQLSTFPNSSFDGNPKLCGHMLVNHCGSAETPLTTQRRNKKKGVFALAFGVFFGGVAILFLLARLLVLLRGTNFMSKRRSNNNNDIEATSSNINSEYSLVMVPRGKGEQNKLTLMDLLKATKNFDKDHIIGCGGYGLVYKAELPDGSKVAIKKLNSEMCLMEREFSAEVDALSTAEHDNLVPFWGYCIQGDSRLLIYSYMENGSLDDWLHNRDNDDSPFLDWPMRLKIAQGASQGLSYIHDGCKPHIVHRDIKSSNILLDKDFKAYVADFGLSRLIFPNRTHVTTELVGTLGYIPPEYGQGWVATLRGDMYSFGVVLLELVTGRRPVQICPRSKELVKWAQEMRSKGKQIEVLDPMLRGTGYEEQMLKVLEVACQCVNHNPGMRPAIQEVVSFLNSIDANLQKLNSVSIQCR >SECCE7Rv1G0489870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:465049883:465051817:1 gene:SECCE7Rv1G0489870 transcript:SECCE7Rv1G0489870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAELEAAEQVVIRWDSSLASTAAAPAPAAVGDEPMLFDGAGDRTEVERFLRAVDNIRRLAPSSPSVVGSPRRLLSGSAAAAGGGGCSAVQVTMAWLEDEFRHLLSSRAFDLEIEALADLTSLSISSDRTNSVSSMDLPAVEEDDSISYCGGRRSSYRSLRSIREIDLLPDDAVADLRAIASRMAAAGYGRECVQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWDALEAKIRRWIRAARAAVRGVFASERRLSFHVFHDLPISNVTVVSVVTAAPATHDTPFVEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDVSDIFAASKAAESIYVQAVEIRSRLADAVRGILSEFENAVLRDPPKTAVPGGTVHPLTRYVMNYSSLISDYMATLTELIVSRPSASARLAAEGNELAPSLSYLELPEHENQSPLAAHIIWIIVVLEHNLESKASLYKDTALSHLFLMNNVHYIVHKVKDSPDLWSMIGDDYLKRLTGKFTVAATNYQRTSWLKILNCLRDEGLHVSGGFSSGISKSALRERFKSFNAAFEDAHRVQSGWFVPDTQLREELRISISEKLLPAYRSFLGRFRHHIENGKHPELYIKHSAEDLENAVNDFFEGAPPSPHNRRRSHG >SECCE3Rv1G0145850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8497423:8499948:-1 gene:SECCE3Rv1G0145850 transcript:SECCE3Rv1G0145850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKAKPARGGKRTRASVGTAGAPTAKVLMEKKESEKERRQRMKGLCEKLASLIPKEDNPHADTMTQLGSLDVAASYIKKLKERVDELQRKKTSAQAMATLRGVSGISTPTTTTTTSSGVGSLEGEKYLEASPTVVEVRQPDDSSMEVRLICSTEKPIKLHEVITIHEEEGADIVNASHSVAGHKIFYTIHSRAFSTRIGIDVSRITERLRAFV >SECCE3Rv1G0144330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3626920:3627273:-1 gene:SECCE3Rv1G0144330 transcript:SECCE3Rv1G0144330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPVASSSSSVRFWAVIAAALILCAANVELVHGGGRPVPRPPAPAGHVAPKEHCPDCSDSPPPSAPLPPTPAAAGADVPPAGSHM >SECCE2Rv1G0104370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:605238675:605239145:-1 gene:SECCE2Rv1G0104370 transcript:SECCE2Rv1G0104370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHLTLHRHPMCAEIIEEFQKCHVDHPIKKFFGECTDLKIKLDRCFRQEKAVKRKANFEESKKFKERLQAYKKEMAEKENES >SECCE2Rv1G0104260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:603861592:603863435:-1 gene:SECCE2Rv1G0104260 transcript:SECCE2Rv1G0104260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSAALAVAPSSRVAVFLAFSLVWFSGHILAAGHPDYADALAKSLLFFQGQRSGPLPPDQSVQWRSNSAVSDGSAANVDLTGGYYDGGDNVKFNFPMAFTTTMLSWSIIEYGGRMEGRVHDARAAVRWATDYLLKAAKTTPGKLYVGVGDADADHRCWERPEDMDTPRNVYEVSSSAPGSDVAGETAAALAAASMVFKAADPDYSRRLVAAARDVMAFAWQHQGKYSDHVGGGVSNYYPSYSGYKDELLWGSAWLLWATKNSSYLNDLMSLGADDGVDMFSWDNKLAGARVLLSRRALLDGDRRMEPFTRQAEEFICRVLPKSSSPTSTTPYTPGGLMHRSGNANLQYVASASFLLTTYAKYMAVSNRAFSCQNLPVTYKALRALAKRQVDYVLGDNPLGMSYMVGYGARSPQRIHHRASSMPSVAVHPAHIGCQEGFQSYLYAGGPNPNVHTGAVVGGPDQNDAFPDDRSDYARSEPTTYTNAPLVGCLAYFAGSYKK >SECCE7Rv1G0456890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:13140702:13143626:-1 gene:SECCE7Rv1G0456890 transcript:SECCE7Rv1G0456890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGAKMKGGDAGGSSSINPMVSFVLGAAVATVCVLFFMSASPGRSLVDVAAWSHNNGTAAQHHHLRSVADHAANATAVAVAAPAPAPVQASPYGDLEEVLARAATADRTVIMTQINAAWTKPGSLLDLFFESFRTGEGGVARLLDHLVIVTMDPAAYAGCHLVHPHCYFLRTTGVDYRGEKFFMSKDYLEMMWGRNKFQQTILELGYNFLFTDVDVMWFRDPFKHISMGADIAISSDVFIGDPYSLGNFPNGGFLFVRSCNKTIEFYRHWQAGRYRFFGKHEQDVFNLIKHEMTDRLGVAIQFLDTTYISGFCQLSRDLNKICTLHANCCVGLGAKLHDLRNVLDVWRNYTAAPVPDKRSGKFQWKVPGICIH >SECCE4Rv1G0259960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:691429283:691432848:1 gene:SECCE4Rv1G0259960 transcript:SECCE4Rv1G0259960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPPPRYRQERRGCGCWAVLARGLRGSCFRPAAATAAAAPAGAAVKGGHVYDAAETRYLNSSNRDLADHFQRKLGDENGVDTSIEKKISPKLLEFSFQELKSATVNFRPDSILGEGGFGYVFKGWIEPNSTAPAKPGTGLTVAVKSLKENALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRTLPLPWPCRMKVVLGAAKGLAFLHVGPKPVIYRDFKTSNILIDAEYNSKLSDFGLAKAGPQGDKTHVSTRVLGTYGYAAPEYVMTGHLTTKSDVYSFGVVLLEVLTGRRSVDKKRPPGEQNLVAWARPYLSDRRRLYQLVDPRLGLNYSVRGVQKVAQICHHCLNRDSKSRPMMDEVVKHLTPLQDLNDMAAASYRPRSSPRGKARR >SECCE6Rv1G0434120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763903649:763904323:1 gene:SECCE6Rv1G0434120 transcript:SECCE6Rv1G0434120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYVKNPTGRTIRLKVNASDTLCTVKAKVQDQYRLVFDGLQLEDNLTLEDYGIEHGSMLDLQEKMQIFVTEMPVDRTMAIEVDSHDTIGSVKAKIEYMEGFPKGQQCLIFANKRLDNDNLTLADQNIEKESTLLLVLQPCRPRGGTNTMRIFVLNAGNKIALDVERSETIQSVKMKIYEKDSTRPIQYSLLFDGKTLKNGNSLADYDIEEGDILDLFICLCGC >SECCE3Rv1G0172100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:284156306:284158286:-1 gene:SECCE3Rv1G0172100 transcript:SECCE3Rv1G0172100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGMSSRRQDFAGRTLPLLAVQMLLQYGPAGASRPPVTAALIAANALVYFRPGAVHAHIPRLRHVMFNPHLIIKFGDLRRFFLSAFYHLSEGHFFMNMASLLRTGAKLETSMGSPEFTCMVVSLLGLSQGFTLLLSKGLLLLGNDMAYYQYSAGFSGVLLGMNVVLNAREGDVVWHGMSVPAKYAALLELLLIHAFNPEAHLICNVGGILAGLAYLALRHGPERLAPMFSGIADVLSQPVRFAKRLVRSTANGPGSSVQRRVAPAPREVGQGMSWRCITCSCDNSRHADVCEMCSTPHPDHAFSRRRHLQDGGNSELSAEEIRRRRLERSAVVCTSAMSK >SECCE2Rv1G0108100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:658532803:658537164:-1 gene:SECCE2Rv1G0108100 transcript:SECCE2Rv1G0108100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDGARSPTRMLAEGHLRIATGGRAPADGGIAVRHIPHHHTAKKEDVGEKIEKDNLGDANQLPSQELGKLVNGTKKVPATLDDYRKLVVPVIEEYFSTGDVELSVSELRSLGSDQFHNYFVKKLISMAMDRHDKEKEMASILLSALYADLLDSSKMSEGFMMLLESTEDLSVDIPDAIDVLAVFVARAIVDEILPPVFLTRARALLPESSKGIEVLQVAEKSYLSAPHHAELVERKWGGSTHFTVEEAKKRIQDILREYIESGDTDEAFRCIRELGLPFFHHEVVKRALILGMENLSSQPLILKLLKESTTGCLISFNQVSKGFSRVADSVDDLSLDVPSAKTLFDKLLSTAISEGWLDASFCKSAAPDEDMWNASSEKVKHFKEESGHIIQEYFLSDDVPELIRSLQELSAPEYNAIFLKKLITLAMDRKNREKEMASVLLSSLSLELFSTGDIMKGFIMLLQSAEDTALDIVDAPSELALFLARAVIDEVLVPLNLDDISSKLRPNSSGSQTVQMASSLLAARHSGERILRCWGGGTGWAVEDAKDKISKLLEEYSTGGDLKEACQCIRDLGMPFFNHEVVKKALVMAMEKQNEASILALLQECAGEGLITMNQMTNGFARVKEGLDDLTLDIPNAQEKFRGYVELATERGWLLPSFASLP >SECCE3Rv1G0190250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:736466243:736470075:-1 gene:SECCE3Rv1G0190250 transcript:SECCE3Rv1G0190250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTANVRAAQPSADRRLSTLVRHLLPSSPRTSAATATSVDSLQPFPTMAASPSVFAGIAQGPEDPILGVTVAYNKDPSPVKVNLGVGAYRTEEGKPLVLNVVKRAEQMLIHNESRVKEYLPITGLADFNKLSAKLIFGADSPAIQEKRVATVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLAGLTARSYRYYDPATRGLDFQGLLEDLSSAPSGAIVLLHACAHNPTGVDPTLEQWEQIRQLMRSKALLPFFDSAYQGFASGNLDKDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADIAVKVESQLKLVIRPMYSNPPLHGASIVATILKDSAMFDEWTVELKAMADRIISMREQLFNALKIRETPGDWSHIIKQIGMFTFTGLNSDQVAFMRQEYHIYMTSDGRISMAGLSSRTVPHLADAIHAAVTKLK >SECCE1Rv1G0011560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:79309953:79311530:1 gene:SECCE1Rv1G0011560 transcript:SECCE1Rv1G0011560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDLSSRRRRLPLRRPRHEDRLNALSDDLILQILGRLDTRSALGAAALCRRLAHLPRELPVLDLKVSDALPPRYRRWVDLLNEAVTSDALRGCSRRLRPIVGRYERRAMRAMVSSVRSLRARRHRRACSRLSLEFFAFSTSATINRLVVDAVDSWGVEDLEVVAKSTEPITHLRPVYTFPRGRISRKPGESRLRSLKLVNCLPPPLEGFTALTTLLLRDLPCSTPAAVYEGVVAACPQLRVLHLVSCSFDKDTARWLVFDAPMSEIRELVADGPLMTVKLRSLPKLESLTAVDARVLLCSDANVPCLAHVSLVFSIGPLDNHSIVNHLIALFMLSLKDAAISMRNLILRFTGPKMWILPNLKNQFSLMPNLKKLLVADVPSSWDVSWPRMLIQAAPLLEILHVHVSQSQCQHEEEPGRQNISSYLQMQQPSSSCQRHGHLKELVVIGFQSTSRIHHQLIYLVRFAVDTSTALRRVAVFKHGHVEDKGGPWDWEMVSKQSTWSNEEKLAVLDCCSTPQIEVLLG >SECCE5Rv1G0331430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538821404:538828930:1 gene:SECCE5Rv1G0331430 transcript:SECCE5Rv1G0331430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWTSDNDDSGKFEWESDGEAEPSSAPAFRNLDAAGPSTLDSNGWANEEAPPTSLVEGYVLMGFPKEMVLKGIKEIGHSDANALLELLLTYKTLGDDAAVGNSSTSGCFPQNVEDDDDLDFENWDGDDDADGREPNPDSSGDEDFLREMSETDNKIKSLVDMGFPEDEANMAITRCGEDADLSVLFDSVYASQAAGDCHYGNLSDYEVTGSCFDSLGGRKRARLMEESKKKRKRYGGAAQGNRRPLDGSHEEPMPLPNPMIGFNLPNDRLRSVTRRLPQQAIGPPFFYYENVALAPKGVWATISRFLYDIEPEFVDSKHLCAAARKRGYIHNLPIENRFPLLPLPPKTIFEAFPHYKKWWPSWDPRRQLNCLQTSMSSAKLTERIQSALASSGNPPPKSVQKYVMDECRKWNLVWVGKNKVAHLEPDEVEYLLGFPRDHTRGVGKTERFKSLGNSFQVDTVAYHLSVLKDMYPNGVNVLSLFTGIGGGEVALHRLGIHMRTVVSVEIGEVNRRILRGWWDQTQTGTLIEIADVKSLTDDRIATFVRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEQSALFYHYFRIVDAVKTAMGQM >SECCE5Rv1G0308280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:128200465:128202096:-1 gene:SECCE5Rv1G0308280 transcript:SECCE5Rv1G0308280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLRGSTAVGAGSYLLLLVFPACCALWILLFFPSPPVEVAVFRQSFQPSIALTGLRAVDTTPPWPSERREIVDTSSPPPPPPTERRVIINAPPPPLAPARQARTEISRQAGRETRSPSPPAVATHRCAGRYIYIHDLPSRFNSDLIRDCRSLSEWTDMCKHLLNAGMGPRLTRTGGVLPSTGWYDTNQFALEVIFHSRMRRYDCLTTNASRAAAVYVPYYAGLDVGRYLWGFSNGVRDALAEDLFEWLRSSPEWAAQGGRDHFFVGGRITWDFRREDGGEWGSRLLLIPEAKNMTMLAIESSPWHGNDIGVPYPTYFHPSLAGEVTSWQRAVRRARRPWLFAFAGGARAHDGSNKNVNAVVRDMIINQCARSRRCGLLLCGGRGRRNDCYAPGNVMRLFKSAAFCLQPQGDSYTRRSAFDAVLAGCVPVFFHPGSAYVQYRWHLPADHRKYSVFVPEDGVRNGTVRVEDVLRRISAREVAAMREQVVRMIPRIVYRDPRVKAGIRFRDAVDVAVDGVIERVRRIKQGLEDDVGHQWDGYFDM >SECCE6Rv1G0418360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:662354214:662354543:1 gene:SECCE6Rv1G0418360 transcript:SECCE6Rv1G0418360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASQPIEDGQASNSATEAVAEVLAKHTKKPRFLQHVGIHHVHARSGDSNCQAELAKRGNVELRALVDTLTKQLKESEEARTRQDEEHMKRDEENRKKQAEMDAKLDF >SECCE7Rv1G0463100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:51052909:51057748:-1 gene:SECCE7Rv1G0463100 transcript:SECCE7Rv1G0463100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPLAQEMPRPAAAAAGEDHATTDEARPAAKCEICGSGENQQVMFSCIQCNARQHRYCLVVVEFEMRYDWCCSECQKKANGDPKPIQGEKTEFQRLDKSQRNVAHQIGVKTPQIYENSKVKFIPREEAALLSRERPAVHYTRRFVARRTRSQVNPASPPNLKCSSPSRQVHPASPPRMKCISPSRQVHPASPPNLKCSSPSRQVHPASPPRMKCISPSRQVHPASPTMKCISPSRQVDPAAPSSMKPSSSMKNILPSRQGSPPSPRSMKQSCSKKCVSPSRSDGQAFSLKRCAVASQNPIKADDTKKRQKVQSGATIPMIPHNTKGEVTQIDQQLQDQPKEEKVANADIGKCNSWVDDQLTGKSALNASVLTDADSGCGSGTKSLHNNIDMPVIISSSPEYARRPPPKACWTGCFLLSEGESSNLGEFKAYFPSVVSSRVCNIVKKKMPNNIQLKIRPRMNYWPKTFDEFCPVYEDIALIFFSAELDCYNKNHPRRLEAYSNFVMKAYIDDIMLLIYSSEVLPPDSQWIDGESYLWGVFVKPKAKSNHAYLGSGST >SECCE4Rv1G0251970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:610178403:610178947:1 gene:SECCE4Rv1G0251970 transcript:SECCE4Rv1G0251970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGVVEWVDAPWSEILQRCLTRIWDMYHEQNLGRVKDKQAHEKKVGKLKKEIDFPLNKYSQLVEDVSKLFDYQNGKMSHDVDYTSQEINDLNEKKKQLEEQAKIELSMEKLKLAKEQRCILQSQLDIIQNMRMAMKEVEGDRDLLKQEKKKLEYLIADMLNAGQASKDKLERIKAIMNK >SECCE2Rv1G0090040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:267819511:267822294:-1 gene:SECCE2Rv1G0090040 transcript:SECCE2Rv1G0090040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGDHAGVRSADGRLEALLSGGGGARRMAAAAALELRLLAPLAAPAVVVYMLIIVMSSATQIFCGHLGNVQLAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAEKYEMLGVYLQRSTVLLMATGVPLAAMYAFSEPILLLLGQSPEIAGAAAEFAYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYILTASMALHVAMSWVAVYRLGLGLLGASLTLSLTWWVLVAGQFAYIVLSPRCRETWTGFTWAAFTDLAGFAKLSAASAVMLALEVWYFQVLILLAGMLPDPQLALDSLTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAEFSAWVVTAMSALIAAVAGVVVFLLRHKLSYIFTGGEAVSRAVADLCPLLVGTIVLCGIQPVLSGVAVGCGWQALVAYINIGCYYFIGVPLGALLGFKFDYGIKGLWGGMIGGTLIQTIILLWITFRTDWNKEVEEARRRLDKWDDAKQPLLANVQ >SECCE5Rv1G0302750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:41764401:41765662:-1 gene:SECCE5Rv1G0302750 transcript:SECCE5Rv1G0302750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSKVLEDDDLLTEILLRIVFTTALLNSALVCTRWLAHVSNRDFLHRFREIHPPRLLGFYINKGQNTPHFIPISPQPRELGDVIRHATSSLGTYQRVPSVPTYILGCRNGHVLIRQHDKIGTTFAMHNVVCPERGMDILPPFPRPQSRCLFDGTTYSRIMSKEEGDHLSYLYVLMHQTRDGKHRVYIYILKHGIWCMNHSLITQQPPRPWSVLKSVLSNNKIYVPAGWTNIIVLDLAASSFSIIELPEGMEYGERNTILSQANNVAGVYLIVVKKFQLRIWLHNGYTWLLMDTIYLREMFADLSMSDGNASLLINQAGDNAEFVFLDMGRCTFLLDIKHRTMHKVYEKEDGDDFFCEIHPLMMIWPPVFPALKDDPTS >SECCE5Rv1G0353720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:719005315:719008094:1 gene:SECCE5Rv1G0353720 transcript:SECCE5Rv1G0353720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMEVDAGGEKPSEKELFLAAESGDASVFSSLAPADLAAALSLRNEDGRSLVHVAASSARPKAVAALVAAGGDAVASAVNAKDEEGWAPIHSVASSGNAEIIDILLDHGANVDLTTDAGRTALHYAASKGRLNIAETLIAHRANVNKKDKFGCTPLHRAASTGNAELCEYLLEEGAEIDAVDKLGQSPLMHAVICEDRGVSLLLIRHGADVDIEDKEGYTVLGRASDSFRPALIDAAKAMLEG >SECCE3Rv1G0188250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:711228360:711231162:-1 gene:SECCE3Rv1G0188250 transcript:SECCE3Rv1G0188250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHWSESEGKRVHDPIFQPRISQNCRQPVEEFCRERSTDAAVTRSERCTWVPGPIIVGAGPSGLAVAACLKEKGVDSLILERSNCIASLWQLKTYDRLSLHLPRQFCELPLMPFPADYPIYPSKEKFVAYLEKYAVRFGICPKYNHAVVRAEYDEKLLLWRVRSQLAGRVEEEVEYMSRWLVVATGENAEVVQPEIDGLKEFKGTVVHTSAYKTGRAFAGKRVLVVGCGNSGMEVCLDLCDHNAQPHIVVRDTVHILPREMLGQSTFGLSMWLLKWFPVHVVDRILLLVARTMLGDTARLGLKRPTVGPLELKSLSGKTPVLDVGTFAKIRSGDIKVYPGIKKISGRQVEFLDTRLEDFDAIVLATGYKSNVPFWLKDRELFSDKDGLPRKAFPNGWKGERGLYSVGLTRRGLMGTSVDARRIAHDIEQQLSAERKL >SECCE2Rv1G0112950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:717380469:717382739:-1 gene:SECCE2Rv1G0112950 transcript:SECCE2Rv1G0112950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53360, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G53360) UniProtKB/Swiss-Prot;Acc:Q9LFI1] MQNPNGTILQLYHSGRLSAALHAFQSLPSSPAPAPLSVATYAALVAACSRLRSLCQGRLVHDHLIASPDAGLARNTVLNNHLITMYGRCAAPDSARLVFDEMPAKNPVSWASVIAAHVQNRRSTDALVLFSSMLRAGTAPDQFALGSAVRACAELGDIVVGRQVHAHAMKSETGSDLIVQNALVTMYSKSGFVGDGFLLFTRMREKDLISWGSIIAGFAQQGCEMEALQIFREMIAEGLHHPNQFHLGSVFSACGVLGCLEYGEQIHSLSVKYRLDSNSYAGCSLSDMYARCKKLESARKVFYGIDAPDLVSWNSIINACSVEGLLSEAMVLLSDMRHSGLRPDGITVRGLLCACAGCDALQPGRLIHSYLVKLGLDGDVSVCNSLLSMYARCMDFSSAMDVFHETRDRDVVTWNSILTACVQHQHLEVVFELFNLLQRSVPSLDRISLNNVLSASAELGYLEMVKQVHAYTFKVGLVNDTMLSNGLIDTYAKCGSLDDAAKHFEMMGTNCDVFSWSSLIVGYAQSGYARKALDLFARMKNLGIKPNHVTFVGVLTACSRVGFVDEGCYYYSIMEPEHGILPTTEHCSCIIDLLSRAGRLTEAAKFVDQMPFEPDIVMWKTLLAASKTHNDVEMGKRAAEGVLNIDPSHSAAYVLLCNIYAASGDWNEFARLKKAMRSSGVKKSPGKSWVKLKGELKVFIVEDRSHPESEEIYTMLDIVGMEMIKAGYVPKLPCQYTSSDHTNSDLSDEEMSMEYG >SECCE2Rv1G0072240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:53703821:53704517:-1 gene:SECCE2Rv1G0072240 transcript:SECCE2Rv1G0072240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYRESYGAYGMATPGYAPPVPYGMSQVNIDGNCGGRPMPPQPTVKIYCRANPNYAMSVRNGKVVLAPANPKDDYQHWIKDMRWSTSIKDEEGYPAFAMVNKATGQAIKHSLGQSHPVRLVPYNPDFLDESVLWTESRDVGNGFRCVRMVNNIYLNFDALNGDKYHGGVRDGTEVVLWKWCEGDNQRWKLQPYY >SECCEUnv1G0532580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:21661673:21662707:1 gene:SECCEUnv1G0532580 transcript:SECCEUnv1G0532580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEESNVSSAIDLAEESNVSAAFPLLVLEPPPDSDRSSYKVFSLPDQKLQDVPTLVSSNKAWLATPQGWVLVLSSSDSSSDDAGTYLVNVKDGSRIELPALKDDEVPWTCRCVLSNVVAAPGCGVLLFDHASPVMWFCRVGQDLRWSRHGYDIGCLDIPGCPPEKRNFFHVAAVNGRFFFRESNCSLGTLDFHTDDASGEPEARLGAIAVPCVDVLIGFTATYLVESCNDLFLVHIAFHGMCVDQPGELRVYRMDFSEPPAWRKTDCIGDRAFLLGRSNFGASCSASGCGLKPNCVYWLNCLSEKNSDLHVLGLQDGSSEIVKQFENVLDVQKPFWVVPVDA >SECCE4Rv1G0289030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:863272130:863273242:-1 gene:SECCE4Rv1G0289030 transcript:SECCE4Rv1G0289030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLEMMLVVAIVLAATTSGALAMDIKDKDLASEDSLWALYERWSEHYRVARDLDDKTRRFDVFKENARMIHKFNQGNAPYKLSLNLFGDMTDEEVHRAYGRCSNIKSDGRKHERQGRFTDDAINAHKGLPSSVDWRKHPLAVTNVKLQGVHCGSCWAFAAAAAVEGINSIRTRNLTSLSTQQLVDCDKENGGCKGGFAKLAFKYIMQSGGIETDAKYPYVDHEDGHCSVPKPNHNRVVTIDGYKQVAPNDVVALEQAVAAQPVVVAVDSNSTAFQRYGRGVFVGPCGTNLDHEMTVVGYGTTDKHEYKNPIRYWVVKNSWGADWGENGYIRIARNVRGQPKEGICGILTDASYPVKFSRKGGNDIMKR >SECCE3Rv1G0196850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:812613407:812617235:1 gene:SECCE3Rv1G0196850 transcript:SECCE3Rv1G0196850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKTRNGSVEHPARGSPLGANKTGRPTRLAGLDSGVDAVATKSPTGRSPKVERRTTMSAEREKRRSPMKLSELESQLSQLQDELKKAKEQLHSSENSRKRALQEAEEARAQAAEASAQASDSQAQLAELSSVEQTRIFELRRLSQERDRSWQSELEAMQKQHEADSAALVAAMGEVHRLRVQLAAAARADRKQEVAEALATVDELRAKLTASEEAEAQARALHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVTELEESRAKLKALEEEVAETTSAKAAAGQSCNCSHSEAAELRSALEDVEARFQEEKILSTVETQCAYELMDQIKVESDLRHGKLAAALASAKSEVMFLKASLFDRESELRRAHDATKKLQDDARTNSTADELKAQLQGALQENGQLKLELRQYESEKVPAKSEADAAAEAAKKGETEAELRRLRVQAEQWRKAAETAMALLTVGKGGNGKILDRGESLDGGKYAGLYDDLDDDAAAARKNGNVLRRISGMWKK >SECCEUnv1G0571030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:492648152:492648529:-1 gene:SECCEUnv1G0571030 transcript:SECCEUnv1G0571030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGRADDGHRGHLGPPGFGRRRGRQQSTPRAERRTSKRRSAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTR >SECCE2Rv1G0073360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:67207283:67208644:-1 gene:SECCE2Rv1G0073360 transcript:SECCE2Rv1G0073360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSVVLLLCLATAVSAQLSSTYYDKSCPSALSKIQAGVAAAVASDRRMAASLLRLHFHDCFVQGCDASVLLNETSVGGNERGAFGNVGSLRGFEVIEQIKKDVEAVCKKPYSNNGPVVSCADILAVAARDSVVAVGGPSWEVKLGRRDSATASVAQANQDLPGPFLDVAGLNASFAGKGFNLTDMVALSGAHTIGMARCQNFRGRLYNEPNINATYATKLKLSCPQSGGDTNLAPLDDDTATPPNPDLFNNEFFVNLQSEKGLLHSDQVLYNATTAPAGATEGIVNAFASSQAAFFSAFASAMVKMANLSPLTGSQGQVRTVCSIPN >SECCE2Rv1G0115620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:753670216:753670527:-1 gene:SECCE2Rv1G0115620 transcript:SECCE2Rv1G0115620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSWSLDFAVAEMNTAVQELQSDLRELPSKLAEESPATVIDAVQLFTVTSLLIEVSTRVEGVVDAVDTLASLAGFRSADAKPEASSETETKVINPDSDEEAH >SECCE5Rv1G0359130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:759815267:759815983:1 gene:SECCE5Rv1G0359130 transcript:SECCE5Rv1G0359130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDGDDKEPKGRLNAVNIAARIAAMALAVASAALMATASECTIVLPNGAEAHTVTYSDFGPFVYLVVANAIAAIMVGVAIFLSVWKKGSAKCSRVLVPLLDVAAPALLYSATGAAFATSEYMSYCSPGRGRISVCDGAVRGTNNFCSQVHMAMYISLAAAGAVSAAELVKNLTLSSLSFSSSGSDSSSDDGCEHGCHHKH >SECCE7Rv1G0455700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8085731:8093733:1 gene:SECCE7Rv1G0455700 transcript:SECCE7Rv1G0455700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRACLLALVVALTFLFMEDRAVTAAPMTAGSLVQRRRESPDGDVIDCVHISKQPAFDHPLLKNHTIQMRPSYNPRGVHHDSNITPHEITQIWHQNGTCPKNTIPIRRTKEEDVLRASSIRRFGKKMPRSIPHLNPTNDTDTPTVLRGHQHAVASAQYDKCYGTKSSFNLWKPWIARGNDFSLTQFWITGGSYNGNSLNTIEAGWQVYPNLYSDSNTRLFIYWTRDAYQTTGCYNLLCSGFIQTSNQITIGGSISPMSTYGGTQYDMDILVWKDRAGGNWWLQVGGYYVGYWPSSIFSYLADSASTIMWGGEVFSPDAGQTSTHMGSGHFPNEGFGKASHIKNIQVVDSSNCLNPPSDVGLITEKNNCYNVQSGTYADWGTYIYYGGPGNNHNCP >SECCE5Rv1G0352480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:709961172:709963869:1 gene:SECCE5Rv1G0352480 transcript:SECCE5Rv1G0352480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRLHGTIHATIVRADDVHDQSRFTGKIPFFGDLAQSLQQATGFGKGLSRMYATVELDKARIARTRSIAAPAAGNPQWNEAVRAYCAHHATDVVFSIMVEEMFTTVDTLFGRAYLPVRELLAARPGDNLERRLDVLDAEKKRLHGGPKIYVLINFRDADREGISFGGGVDGAAFTGVPNTFFSQRQACRVTLYQDAHVSDTFKPTIPLAGGGLYKPGRCWEDLFDAISNARHLVYVTGWSVFTEITLVREGQRPGGEATLGELLKRKAREGVRVLMLVWNDPSSEPLLNAVGVPILMKTSDEETAKYFSDSGVQCVLCSRHISVISDIGQIEKPLVMPNLLLAHHQKTITVDQELPHASGRRHIISFLGGIDVCDGRYDTQSHSLFTTLGAGKAHAKDFHQPNFPGATLRNGGPRGPWHDVHCKIEGPAAWDVLHNFEQRWRKQGSGNGLLIDLVGLESTVVPSSSPVILPDDQEAWSVQVFRSIDDNSTLGLPATKEDAHEAGLVPGKHQMIERSIQDAYIHAIRAAKNFIYIENQYFIGSSFQWKANGGINPADVGALNLIPRELSLKIVRKIEAGERFTVYVVLPMWPEGGPTDDSVLAILDWQRRTMEMMYDDIGVALQKMKMDDADPKDYLTFFCLGNREVKKTDEYQPPGRPQVGTNYARAQKARRFMIYVHAKLMIVDDEYVIVGSANLNERSLDGARDSEIAMSAYQPHRLNTKEEVARGQVHGFRMSLWYEHLGGITHDDFLNPGSLACVQRVNKTADNYWSLYAADQLNGDLPGHLLTYPIAVNKAGTVSALKGFEFFPDTQAPVRGKQSATRGPFLTT >SECCE5Rv1G0317110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:337043662:337046000:1 gene:SECCE5Rv1G0317110 transcript:SECCE5Rv1G0317110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase PXC1 [Source:Projected from Arabidopsis thaliana (AT2G36570) UniProtKB/Swiss-Prot;Acc:Q9SJQ1] MPSTMALRFLAVVGFLSAAAAAAVPQPEPELKPSDTDALAMFRHAADAHGILAGNWSTPDACAGRWTGVGCSSDGRRVTSLSLGSLDLRGSIDPLSHLTELRVLDLRGNRLNGTLDGLLLGVPNLKLLYLSRNDISGAVPDALARLPGLVRLDLADNSLRGPIPAAVLANLTELLTLRLQDNLLTGLLPDLATAMPRLADFNASNNQLSGRVSDAVRAKFGLASFAGNAGLCGTVPPLPSCSFMPHEPAPSSPSAPASSSQSVVPSNPAASSSSSSVASSSPALATPEGASGKGGLSTGAIAGIAVGNGLFLFALLSLLVAYCCCSTGGGSETANKRKRGGRVGLEDGDGGMFGHGKGMQPARPGSAGRCSDGGDSDGARSKLVFFGVDGEGGGHDEADDDGGSDSSAGRRASGGWTAQQQGRRSKFELEELLRASAEMVGRGSLGTVYRAALGDGRMVAVKRLRDANPCARDEFHRYMDLIGRLRHPNLVPLRAFYYAKQEKLLIYDYLPNGNLHDRLHGHQMTGETPLDWTTRVTLLLGAARGLACIHREYRDSTIPHGNIKSTNVLLDKNGAACVTDFGLALLLSPAHAIARLGGYIAPEQSGDHKRLSQEADVYSFGVLILEALTGKVPAQHLQPLPDAAGNSAQRKDKQAAVSLPEWVRSVVREEWTAEVFDAELLRYKNIEEEMVALLHIALACVALLPEQRPSMADVVRMIESVPVDQLPLPEEDVSMSPSIGITTDDGLSY >SECCE5Rv1G0347060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:665164495:665167965:1 gene:SECCE5Rv1G0347060 transcript:SECCE5Rv1G0347060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPLIRYTTVLILVFLQLCASGDRLVLGKPLRPGTTIVSDGGDFALGFFSTSNSTPASLYLGIWYNGISELTMVWVANRETPVINNTLSLPTLSLTNTSNLRSHCRGLISPSTAVLLNTGNLVLRSPNGTMLWQSFDHPSDTFLPGMKIGLRYKTRTGDRLVSWKGPGDPSPGRFSYGGDPATFLQIFIWDGARPVYRNIPWTGFRVKSEDKYQQADPNTSAIIVYMAVVNTDEEIYVTYSLSDGAARTSTLEECEAECRRNCSCVAYAFANLGSGRSGGDMTRCLVWDKELVDAGKIGEVPGGNTLYLRFGAEGSVPSNTCNSTLAALVILGTSVVVLLLIGIFVAWLKFKAGKNTKLRKDKITFDGMSTSYELEDGNPPHDHELAFVRLEEIAQATDNFSEKCMIGQGGFGKVYKGFLGGKETAVKRLSMDSQQGEEEFRNEVILIARLQHRNLVRLLGYCGDGAEKLLIYEYLPNGSLDANLFDDSRRMLLDWETRFSIIKGVARGLLYLHQDSRMTIIHRDLKAANVLLDAEMKPKIADFGMARIFGDNQQNANTQRVVGTYGYMAPEYAMEGIFSAKSDVYSFGVLLLEIVTGIRRSSNSKTMGFPNLIVYSWNMWKEGKTEALSDSSIMDTCSPDEVSLCIHVALLCVQENPDDMPLMSSVVFVLENRSTTLSTPNRPTNFARRNTEMERIRDDIQHSMNSFTLTEIQGR >SECCE2Rv1G0073450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:68322201:68322959:-1 gene:SECCE2Rv1G0073450 transcript:SECCE2Rv1G0073450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARALRLFASSSQATRRGLLGAHRRALSASSQASGAGDPAVHSGEPPSDDYAEGPPKFSGAEEAIEGRGHDKHPSSAKTTRATEASAKEHRVPPFTPSGKLGSQELADPAAGSTFTQKRRWSSKPAGSDPLGDATPGDEEAAARKVREEDREYYRTHKPSPLAEVEFVDTRKPVTRATDGGAEDRLEQDVPGTMVEDTADASLARAEAMFREAALRGNPAWPHSRALAAMLARQGGDGADGAGDAAPWGS >SECCE3Rv1G0199430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:845548402:845549554:1 gene:SECCE3Rv1G0199430 transcript:SECCE3Rv1G0199430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHAFTYHWRLWSIPEIKDCLEEAGFRSIHVWVREMPDTKSSGNAREYTADRDVEYEELQRFNQADAWNAYIVGVANM >SECCEUnv1G0559400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:338118955:338119468:1 gene:SECCEUnv1G0559400 transcript:SECCEUnv1G0559400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSGGAVKHLILARFKEEATPEALDQLIRRYAGLVDAVPSMKAFHWGTDVTVLDTHEGFTHVFESTFESAEGVKEYIAHPSQVEFVDEFLALAEKMLIMDYKPAATN >SECCE7Rv1G0502630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:699023437:699029967:-1 gene:SECCE7Rv1G0502630 transcript:SECCE7Rv1G0502630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASLEHTPTWVVAAVCFVIVSVSLAAERFLHYLGKALKHKQQKTLYSALQRLKEELMLLGFISFILSLSQSLIVHICIPETATHFMLPCKRENPKVVEEGAIVCKKKGDVPFLSLEALHQLHIFIFVLGLVHVVFCATTILLGGAQIRRWKHWETGIHRDIHQKFEVRQTDTVGNATPLHVLLRRNHQGELVSERTGGFWKQLAVVSWIIAFSKQFHDSVGKSDYEALRSAFVLIHYPSHPNFDFHKYMTRALEHDFKRVVGISWYLWLFVILFLLLNINGWHTYFWLAFLPLFLLLVVGAKLQHIITRLAQEAAASLADKTNQAPNIKPSKEHFWFGKPSIVLHLIHFILFQNAFEIGFFFWVLVTYGFDSCIMEKKAYAISRLVIGLIIQVVCSYITLPLYAIVTHMSGDIKLQALGSGLHESVANWATGARKRGRSETSLRNSLTLKKTAVADPVANRGESVEIPVVRAPNERFGSSRNMLAPAAVPDLDEIVSVADVDSRR >SECCE6Rv1G0424290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:699958170:699961521:-1 gene:SECCE6Rv1G0424290 transcript:SECCE6Rv1G0424290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVRELSYDMEDSIDDFMQSVGTQDSKPDGFLEKMKNSLGKMKARHRIGKEILDLKKQITEVAERNERYKAREAFSKKKNATIDPRALAIFQHASELVGIDEPKAEVIKLLTEGASTQNKLKLVSIVGSGGMGKTTLANQVFQDLKGKFECWAFLSVSRNPDMMNILRTILSEVSGQRYADTEAGSIQQLIIKITDFLVSKSYFIVLDDIWDVDTWHVLKLALPVASSDSVIITTTRINEVAKSCRSTPFIGDIYCIRPLDMVHSKQLFYTRLFNCEEKCPSYLKKVSEHILIKCAGLPLAIIAISGLLANTERTEGPWRQVEDSIGRALERNPSVEGMMKILSLSYFELPVHLKSCLLCLSIFPEDSIIKKKVLINRWIAERIIHTEAGYSTPYELGERCFNELINRSLMQPGKTDSFDRVKSCRLHDTILDFIISKSIEENFVTLVGVLPNLTIGTERKVRQLSLQAAKQKELILPRGLGLSHVRSLDVFGISVKIPSMDKFRHLRFLDFEGCEQLENHHLENIGMLFQLRYLSLLRAEKVSKLPEQIGHLWCLEILDIRATSVCELPTSIVNLKRLLHLLVNDNVTFPCGISKLQALEKLKLVSVYSQSFNFLQEFGQLQSLKVLSLVFADYSSAGQVYPEDEPKKAAIVASLKNLGNLLSLTVWYGPELVEESLCPMPLSLRRFKALGSRIPHVPEWVGSLVNLQDLSLELVGAEQKDFYILGGLPLLQYLVLAPDESDESEIPEEWPEIIVSGEVGFPSLRVFASTMMTISLTFAPRAMPMVENLEISCYLTGESLRTSTAFDLGIENLPSLNTIKCEIEGEDKTIIDAAVAAIREGANKHPNHPIVSFLGI >SECCE1Rv1G0037300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:521018185:521021639:1 gene:SECCE1Rv1G0037300 transcript:SECCE1Rv1G0037300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAGERPNSKLLRGGRQESRRFRLLAVVVGFFVVSLTFVLVSKPDAILFGLNGKLPADQAPTSILIQQKASTPAQKTSTDAIIGGDPKVVDDEAYVKPKESRGGEEEDHRVLSEPDPASGMAEPAPSARKSSDETLGGERKDEEEEREEKHAKVTLPTVSNYTIHDAEDAENAKQQGLSLSNGQQEQEQQGSKPLCDFSNFRANVCEMRGDVRVHPKAASVLFMEPEGSRRDEVWKIKPYPRKGDEFCLSHITELTVKSSKVAAECTRYHDVPVVIFSLTGYTGNLFHDFTDVIVPLFTTASRFDGEVQFLITDMALWWTIKYHTLLQKLSKYPLIDFSKDDQVHCFKHAIVGTHAYMEFTIDAAKSPNGVTMVDFNRFMRAAYSLPKESAAALGESPRVKPRLLIIKRHRTRMFLNLEEIIGMAEELGFEVVIDEANVSSDINGFAKLVNSVDVMMGVHGAGLTNCVFLPQNATLIQIVPFGGLDWISRTDFGNPSEMMGLRYKQYAITVDESSLTDHYPRDHKIFKDPISFHKRGFEFIRRTFMDKQNVKLDCKRFRPVLLEALDNLNQ >SECCE5Rv1G0319410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:375206148:375207182:1 gene:SECCE5Rv1G0319410 transcript:SECCE5Rv1G0319410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPGPEPDHHRLLATLASHGRLAAAATLFSTAVRTTRALNTILAALCSSPSLLRVAPSVLLLAAPTASPDAATFRVLTSALCRASRPSAAAGLLRCMPSLHLDPDSPLCRAVLSSLCRCAPARDAAALLDDMRRWSVSPSGLDHRAVLRALLREGMVAEAYEVVKEKMGSDGVAPGVADFELMLRAFSERGQFDAVDEAFDEMLLRGLVPGVAVYNVYVAALCKKGDLAGARRMVECMERAGCPPDVRTFGVVVAGCVSAGDAGAARDVAWEAVRRGLRWDTPSMVELVGLLRAGGHVADAHGLLLDVFLHGGCTGVDASTLGQLICASEDACSVITDHPKD >SECCE4Rv1G0265210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724974616:724974924:1 gene:SECCE4Rv1G0265210 transcript:SECCE4Rv1G0265210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHATLWDGSYWATEQGRVQVDWSAAPFVVSYRGYSADACVPAGDGRPLACPAGTDRWMKRQPSAAEQGTVAWARRNYMHYDYCHDGWRFPQGFPAECSRN >SECCE2Rv1G0127160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:851460767:851464857:1 gene:SECCE2Rv1G0127160 transcript:SECCE2Rv1G0127160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRSRSLKRLLSIGRRSNPDEDCVVEAEPPPPVAVAVAPSKPAWRCFSYEEVDQATNGFHPDNVVGRGGYGEVYCGILADGRAVAVKRLAAAAADEKKEKDFLTELGTVGHVRHPNVSSLLGCCVDRGLHLVFDFSTRGSVSANLHDEKRPVMTWSQRHGVAVGTARGLRYLHKGCARRIIHRDIKASNILLDADYQPQISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHMSLLAWAKPYLKDGVVQGLVDPRLGDGGYDAGQLRRLMFVASLCARAAAAWRPTMTEVVELLESAEISQERWQMPEEAVEDELWDFDDLTDFEDDDDEDDYDGESDSPSIPSSACSIHAND >SECCE2Rv1G0125190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:839536506:839538575:1 gene:SECCE2Rv1G0125190 transcript:SECCE2Rv1G0125190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHDRKAPPGRRMLALPTVCPCEAIAPGTLLASLVALVAEVARHDAAALPVLRRGAGEALRITRVLLAFLEEVREAAAPLPDASVLGLSELHVAMQKLRFLLSDCGRTGARLWVLMNADLVASELRFVLCSVATAIDVLPADVVGASVEAGELARLLSQQAWRAPVWPDQDDGRATRSVRSMLALFRSRATPHAEDAMMVLGRVGITSWRDCDEEVAFLEAELLDRLEDGRENDSDLVLISGLMAFLVYCRVALFDTVDTKKADAAAPGPRPAASCPAWTSQKALQCPISLELMTDPVTVTTGQTYDRTSIKRWVKSGCRTCPVTGERLRSAQFVPNVAVRGIVEQLLLANGAPLHEQQSSKHRCAVDKTASAFGPAAAGGVRLAVAFLIARLSRGTPEEQKKATYEVRKLAKRNVYHRACLVEADAVPWLLHLVSSTDASVQDNAIACLLNLSKHAAGRRALVEAGGLGLVVDAVNVAAKVEARQNAAAILFYLSPNSEYCQEIGRIPEAVPTLVHLMKDGTYRGRKNALVSLHGVLHGASSIGKAVTTGAVGVLANLLSGDREDLANDSVALLARIAEQPAGATAILASSELVTSLVDFLGASASRSGKDHCVALLASLCRHGGDKVVALMGKMAGLMPALYALVADGSPLANKKARWLINEIHRVYEQRQPLPVAPPAGDRVIRV >SECCE4Rv1G0293450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885808057:885810850:-1 gene:SECCE4Rv1G0293450 transcript:SECCE4Rv1G0293450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDRDEPAAVRVYTVCDESKYLVVRNVPALGCGDELGSVFSAYGPLEECKPMDAEDCEEYTDVYFIKFAQVSNARFAKRKLDESVFLGNRLQVSYAPQFESLLDTKEKLEVRRNEVLRRIRSPAGSRPEGLSQYSPGQGSSSGNPHHHMNSNKREYTKTMPAAHIEDNRFSHVPSNKEYFPSESMNATVNLVRQKLDKIQSGGDTSDAAAASKKPRVDNRRRI >SECCE5Rv1G0354230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722585297:722587998:1 gene:SECCE5Rv1G0354230 transcript:SECCE5Rv1G0354230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPAAAAACALGAAILGMLAVTADGQGGNSKFACTDTEKKRPGCTGTCPDRCPQNCISLCPSCQTYCPDQVQPVRPALFVFGDGFTDVGNNNYLENNEVGDPLRANHSYYGIDFPNAVATGRFSNGFNMADFIAKAIGFEMSPPAYLSPNSPTKLEAGFAGVNYASATSGIWRDIDTGLDIPLMDQIKNFADTIGQMGANRSQQDLSKMLSNSLCLITIGTSDLYMIYNINNNGGSDSKTNVPHLISSYGESLTALYNLGARKFGIVNVPTLCAPMVRHGCEGLITSLREEFNDGIKPLMAGLASNLSGLRYSIADFGAFSDAVNTNPSAYGFVNTGGACCPGSCAPGSGLPCANRSQYWYWDEEYPTEQAAKLAASAFFDGAAQFTTPVNFKTLIGQK >SECCE1Rv1G0011600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:79582455:79587042:-1 gene:SECCE1Rv1G0011600 transcript:SECCE1Rv1G0011600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRRRPLLDEGSSSRNEDGGGDDDDFEPMAMGGTPAGDSPHSARTKMGQKMSLAHRRLSLLDDGSSISRHITAAAAAAADDDGGGSEQMGEELATDVAQKNTAVDDSDDPDGSEDDFVPLIMMRTKNVKSEPGKHPGFRYKHKAQRTINKTCKRKKTGRPAGPVYAKRKIEKKPRLVGSNNISKISDDDGHKKYASVLGFYATAFDRALEVERKLPAEGPSFVKLMQISHVARVFWLGVPVSFCREHLPDHDVTIVLEDEDGHRFDTNYLARKHGLSGGWNRFATQHHLKVGDAVVFQLVEPTRFKVYILRESKFSTTDGALSLLSLDTSMENNMPEKGEDTSDEDVKSKEDPQVTNKASDDDDSNDLFSEAAAYGGGIGSPDPDPDFGAVRTFRTFKKAMDSSAIDRKLVPDRLLWTYYKLCGDRKAFLHRRLPKQMNLTLAAGVIAETASIAERIRSYPASSRSSCEELAAWKKTLESFELLGMDVGFIRERLDELLGLLDARPSDDEGYEEVKLERARAAEKVRALEAKMASLKDALRDMDLEMEEIAEKKRRGHADAMLQLAAAPW >SECCE2Rv1G0065910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10527872:10528687:1 gene:SECCE2Rv1G0065910 transcript:SECCE2Rv1G0065910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVMASSATSVAPFQGLKSTAGLPVSRRSSGSLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSTEALLKQVDYLIRSKWVPCLEFSKVGFVFREHNSSPGYYDGRYWTMWKLPMFGCTDATQVLNEVEEVKKEYPDAYVRVIGFDNLRQVQCVSFIAFRPPGCEESGKA >SECCE5Rv1G0374640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:863986367:863988846:-1 gene:SECCE5Rv1G0374640 transcript:SECCE5Rv1G0374640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVAAAPLLLFLLLASSSTAFADTVLGRKGSTVAEGAVQFPGVGKYAVIFDAGSTGTRVHVFKFDSKMDLLEIGDDIEVFAKVSPGLSSFSGRPKEAAKSMIPLLDKANSVVPWWQMNRTPLKLGATAGLRLIGDKPSEQILQAVRDIVHTKSKFQYNPKWINVLEGSQEGSYMWVARNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAVSSNTAANAPATPDGKDPYITKEYLKGKDYNVYVHSYLYYGNLAARVEILKAKFGPFSSCMLRGSTGNYTYNGEVYDAAAAPEGAVYAKCRKEVAKALKLDAPCANKNCTFGGVWGGGGGAGQATLYAASFFYGKATQVGWVKKDAPSAKSSPGAFRAAAKKICPLSLEEAKAAYPGVRETPYICMDLVYQYTLLVYGFGLAPAREITLVEKVKHGEYFMEAAWPLGEAIEAVAPKKRIQHDPPVNVA >SECCE7Rv1G0493930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:577103319:577105398:1 gene:SECCE7Rv1G0493930 transcript:SECCE7Rv1G0493930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATPLCLYIGTGPRGTCTDVNKAKFLSQMVPVIVLVLVASPLLLLANAVWITASCYYLTPARIRRILSRQGVHGPTPRLLVGNLHDVSALVAESTAGDMGSLSHDIVGRLLPHYVLWSKTFGRLFVYWYGSEPRVCVTDAGMVRELLSSKHAHVTGKSWLQRQGAKHFIGRGLLMANGATWSHQRHVVAPAFMADKLKGRVGHMVECTRQTVRALREAVARGGNEVEMGAHMTRLAGDVIARTEFDTSYDTGKRIFHLIEELQRLTARSSRYLWVPGSQYFPSKYRREMKRLNGELEQVLKESIQRNREIADEGRTPSTVACGRGLLGMLLVEMEKNKKKNEFGHGELGYDAQTIIDECKTFFFAGHETSALLLTWAIMLLATQPEWQDKARAEVAQVCGDAPPAADHLPKLTVLQMVINETLRLYPPATLLPRMAFEDITLGGELRVPRGASVWIPLLAIHHDKAVWGADAHEFRPDRFAPGRARPGAGRFLPFAAGPRNCVGQAYAMVEAKVVLAMLLANFRFGISDEYRHAPVNVLTLRPRHGVPVRLLPLRSL >SECCE5Rv1G0301550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:31914959:31919493:-1 gene:SECCE5Rv1G0301550 transcript:SECCE5Rv1G0301550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGAANLARKPTTMRRLRLLVPLLMLAGAAAVVAARPPFACAPGGPAMSMPFCRRSLPLRARARDLVARLTRAEKVRLLVNNAAGVPRLGIAGYEWWSEALHGVSNTGPGVRFGGAFPGATAFPQVIGTAASFNASLWELIGRAVSDEGRAMYNGGQAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVSGRYAAAYVRGLQQPHGDGGRHGGHTRLKTAACCKHFTAYDLDSWSGTDRFHFNAIVTPQDLEDTFNVPFRSCVVDGRAASVMCSYNQVNGVPTCADQSFLRGTIRAKWHLDGYIVSDCDSVDVFYRDQHYTRTHEDAVAATLRAGLDLDCGPFLAVYTEAAVAQGKVSDADIDAAVTNTAIVQMRLGMYDGDLATQPFGHLGPQHVCTPAHQELALEAARQSAVLLKNDGVVLPLSRAAHRTVAVVGPHSQATVAMIGNYAGQPCRYTTPLQGIGGYVKTTLHQPGCTDVACQGGNQPIAAAVDAARRADATIIMVGLDQTVEAEGLDRTSLLLPGRQAELISAVAKASKGPVILVLMSGGPVDIGFAQNDRKIGGILWAGYPGQAGGQAIADVIFGQHNPGGKLPVTWYPQDYLRKAPMTNMAMRADPSRGYPGRTYRFYTGPTIHPFGHGFSYTKFTHTLAHAPAQLTVQLAGHHAVAASSSLNSTKHLDADVRVSHARCEGLSIPVHVDVKNVGDRDGAHTVLVYASPPAAAAAAHGAAARQLVAFEKVHVPPGGVARVEMGVDVCKELSVADRDGVRRIPVGDHTLTIGELTHSVTLRVELSN >SECCE6Rv1G0445140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:835484925:835485386:-1 gene:SECCE6Rv1G0445140 transcript:SECCE6Rv1G0445140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATAARWAAKKGKPKMAPIELTTAPEQAQSITRTIFDVVKEHGPLTISDVWEHVKDVGLRGLTSKRQMKIMLRWMREKQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKRELNREQPKP >SECCE4Rv1G0262660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:709499611:709502109:-1 gene:SECCE4Rv1G0262660 transcript:SECCE4Rv1G0262660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGAGGGGGGDGNSMHLLLSILADGEEQARRLLGELPPADDDEQLLGRGPAAECCRGVAGQLLCTLVKAIAVAKAIEAAGGDSPRSADEGAGAIAAGMAGKDAAQERQGHGVCKRRKGLPRWTEKFRIPEDANLEYTPDDGFSWRKYGQKDILGAKFPRGYYRCTYRNAQGCAATRQVQRSDADLAVFDVTYQGAHTCLQAQRRAAAPPPPPPDAHDVQRSPPALPDPDMQLLENFRNGLKVETDGLQPPFHGHGTTAFSFAYASAPTPAGYSPAESGQQLLQGRGCFAPASFSSSPAATTSAGPGYFSVSAAHCTLGTHDSPELAEVVSAATASDPAGFDYSLYQYHDLQQHDQDAHLLPFPFDPMFGHPSSSHGRHGDA >SECCE7Rv1G0472320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:140726580:140730084:1 gene:SECCE7Rv1G0472320 transcript:SECCE7Rv1G0472320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTANLQRSLAVPPSIRASLSPIAGGAAVVQEGNGSDLESAEQLVLDLCDPALREHALFVISKKKEIFQDGLAPLLWHSFGTIAALLQEIVSIYPALDPPTLSPGASIRACNALALFQCIASHPKTRMLFLNANIPIFMYPFMITKTDTRPLEHLRLASLGVIGALVKTDDPGVVEFLLRTEIIPPCLFCMENGLELSKIVATFIVQRIIRDDLGLRHICTNAERLLAVVQVLAQMINSERLLGVASVQRDPAKLLKHVIHCFLGLSADARACAALQIILPGMLKDGKVDMYLVDDLATRRCLRQLLHNVKVGGVGGAPRPGLDHMMGILTI >SECCE2Rv1G0096350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:437769540:437775209:1 gene:SECCE2Rv1G0096350 transcript:SECCE2Rv1G0096350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSAAPADPPLRSDSPPATPVASSAGASSPPAQTNVATIDWLGSEQLSKVGSSSHVASHAGQPSLSTNAAGAAMDFSQPSCRPWERGDLLHRLATFKPSTWASKPKVASSLACAQRGWVNIGVDKIECDSCAAHLIFTALTSWSPAEVANAGEAFAEQLDASHQNDCPWRGNSCADSLVQLHFTPSALLGGFKDRCEGLLQFISLPVIASSAIESMKLTRSQQIEHVLSQSIAILCGELGYKTDGTTGIDVNHQDETCSYSQAQKLISLCGWEPRWLPNVQDWEENSTHSAKNAASAEPDKCSRFPEHQQNSYSTSVKKDKGKAKLRVKDSGCSMRSPLLDCSLCGATVRIWDFRSVPRPSHLNLGNIDAPDAGMKPMLARGISATSGINGWVAEVTDRNNAEGRDEACTVEGKSLSNAQVDLNLTMAGGLPPTHSGMLSMPENFNNGGMGIDLMIGQPTGSEIGGYAASFESRGPSSRKRNLEEGGSTADKPINRLQPADSIEGTVIDRDGDEVDDAVQNSDIRNKRPCGFNLFDVNRPSSSGAGPSRNLSLELDIDVNRFEPSKAEGPSTLLNSSARDSMRASSVIAMNIIHGAEENSMESVEYHPCDGDDVEKPSSALRSGGMSDTLDLNYSNQAQESNFVQPAADSNARDIGGSSMNGGEEVLNAETAPAFARDQLSIGVSGGSVGMGPSHEAEIHGVEVSEHKTESVVGDVEPVPELTETMGHTGESAPGPGMMDEFVPEDAGREEPQGDSHDMASRLVGRVDSGSTKADVESGEKMSHARGQESNLQHSLSCNARVYSGIDLSKDEVTQTGKMLSKDEYDPGNDLGATNGENDYQTGLPEFDPIKHHNNYCPWVNGYVAIACTINTGSSTNSAAFCGWQLTVDALETVQSLGQNQYQAMRSDSAASLYKDDHAAPSHKLLKRPKHSKC >SECCE5Rv1G0354480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:723782381:723784445:-1 gene:SECCE5Rv1G0354480 transcript:SECCE5Rv1G0354480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEDEGEMEALREALRQQSLAVEMLKAELEEERQAASSGADEALAMILRLQGEKAAVRMEADQFRRVAEERILHDEDSLAFLKAVVFSQEMDITSLKNRLLVVCGSNSPSSPAPGRDGVIDLPWLRRLAQKDLSSGRNASLPAARLEELCSDLDDAENVGDSRPARTVSDIGEVIRREKEWVRSNVSHQALPPRLHRSSSHRLPRAPSYTAQCVMPNVHDKFEAPESVASHAPPRSSRRSSPEIISEEDDVSSSSTRRGDCNGPKPSDDEHANGAIADLGAGIDEIKSSVQTLATELGRMRETSMSRGDAQMQVLGEICAKLDAMRPMTISKQQSVVHGGKKHSIREVGSSSKGVVTTLPQSELLMNHFIEAMMYIP >SECCE6Rv1G0386890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:102967105:102968615:-1 gene:SECCE6Rv1G0386890 transcript:SECCE6Rv1G0386890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSLEPAAEHKASSSFGNLLSIFLRVSSSEPKPPRRSFDAEGVVGLGIVAAMSHACLTAQPIAIGAAARRRAREADELSESYTCVITHVAAGTGEGGSMRKRVYFGFGDGGDGWFVEAAEEAPARAADFLSRCCLCNKRLDGLDIYMYRGEKAFCSPECRCHQMLIDDHAENCGSEALRANSYSASPCSAPMSFSPSVAAA >SECCE7Rv1G0520710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:871835128:871837094:1 gene:SECCE7Rv1G0520710 transcript:SECCE7Rv1G0520710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAKFLEATNEKPHAVLVPFPAQGHVTPMMKLAKVLHSKGFHITFVNTEYNQRRLVRSRGPRQAAGLPGFRFATIPDGIPTSGADTDADAAATQDPPSLCYYTMTTCLPHLKNLLHDLNAAVGEPPVSCVVGDGVMSFCVDAAAELGVPCALFWTASACGFMGYRNFRFLRDEGLTPLKDEEQVKNGYLDMPVAQAHGMSKHMRLRDFSSFVRTMDRSDILFNFLLHEVEQSDRATAIILNTFDELEQMALDAMRAILPLPVYTIGPLHFLTEQLVSDGNGDGALAVIRSSLWREDQSCLEWLKGREPRSVVYVNYGSVTTVTQELVEFAWGLANCGYDFLWIVRNDLVKGDAAVLPPEFLKATKGRCLLASWCEQEAVIRHEAVGAFLTHCGWNSMMEGLGAGVPMLCWPFFAEQQTNSRYACMEWGVGIEVGDDVRREAVEARIREVMGGGQVGREMRKRAAEWKKVASRSTAQPGGRSVANLESLLKDVLKRPPITNN >SECCE5Rv1G0358150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:754372828:754375347:1 gene:SECCE5Rv1G0358150 transcript:SECCE5Rv1G0358150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLYILLGLLILSHTAPRCSSAEDTLMAGQALAAAGGSKLVSRNGKFALGFFQPATTASTMSGSKSHNNTSSDWYLGIWFNKIPVFTTVWIANREEPITHPNLNSTQLKISSDGNLVIVVNHVDAGTESLVWSTHTINRTQTNNQNTILVLLNSGNLAVLTNSQEMLWQSFDYPTDVLLPGAKFGRNKITGLSHSIVSKKSLIDPGLGSYSVELEGTSRIVLKRRNNPSVVYWQYASSKPSSLIPQSLVDLDPLAKQLINPTYVDNNQEQYYMYTCLDDSSSTFESLDISGQIKLNFWSQAKQTWETIHAQPDDPCLTPATCGPFTVCNNNAYRLCDCMVGFSKKSPLDWEFNDRTGGCIRDTPLHCITIDKNTSSTDIFHRIAQVKLPYKPQLIFLASSQRKCEEACLSSCSCTAYSFQNQLCSIWNGELLSVSLNYGTNIGVEDVLYLRLAAKEFLLNLRINKRKPNVGIVIAASVVGFGLLMLLVLLLIWRNKFKWFGLPLYENQGICGGIVAFRYTDLVYATKNFSEKLGGGGFGSVYKGVLSDMTSIAVKRPDGAYQGEKQFRAEVSSVGLVQHINIVKLIGFCSEGDKRLLVYEHMLNGSLDDHLFKKEKAKVVVLSWKTRYQITLGVARALSYLHHNCHECIIHCDIKPENILVDASFVPKVADFGLATLVGRDFSRILTTLRGTAGYIAPEWLSGVAITSKIDVYSFGVVLLEILSGSRNSPETYNTSKSYYVKYFPMQAINKLQGGDVQSLVDPQLHGDFNLEEAERICKVAFWCIQDNDFDRPTMGEVVKILEGMRDIDMPPIPRQLVSMKDNYDANSSMYKVNIHS >SECCE2Rv1G0134910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:903907525:903913860:1 gene:SECCE2Rv1G0134910 transcript:SECCE2Rv1G0134910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWLEGLGVEMERSDMSFSVSTQSKGSGGGCEWGNGNGILGLLAQKSNILKPNFWRMVCEIMKFKNDALTYLQDHEHNPDLDRNETLGQFIQLHGYSLSFQEAYLIPVCTGMWSCSSQDVLSLSAFFVLSFCRNNDLLQLFRHAQLPTVKPCSLSYVNKVKGELESMGCRIKTSCRVKSVSSLDGSGGFRVLEKDGSEETYDSVILGVHAPNALEVLGAEATHHERRILGACQYVHRDIYLHCDQNLMPRSSSAWSAWNFLGTTSRGFSVTYWLNQIQKIESVRPFLVTLNPPCVPDHVLLKWSTSLPVPSVAAAKAYLHLDQIQGKRGIWFCGAYQGHGFHEDGLKSGKAAAQGLLGKKCDLLVNPKKMIPSWTEAAARLLVARFFNQYISIGNLILVEEGGSVFTFGKACEKCPVKSVIRVHDPLFYWKVAIEGSIGLAEAYIDGCFSVLDKRESLLNLMLILIANRDERRNRRIARKGFWWSPFHIIAQLAYAKYFLRHASRKNTATQTRRNISRHYDLSNDFFSLFLDKSMTYSCAVFKMENESLEAAQQRKLSLLIEKAKIKRGHHVLDIGSGWGSLAIQAVKQTGCKYTGVTLSAEQHKYAQRKVREAGLEDHITFLLCDYRKIPPSKYDAIISCGMIEHVGHEYMDEFFACCESYLAEDGILVLQFISIAEERYDQYRKRPDFIKEYIFPGGCIPSLARVMSAMTTSSRFSIEHVENIGPNYYTTLMHWRDNFMANKDQVLKLGFDEKFIRIWEFYLIYSAAGFKSRAVGDYQVVFSRPGNRRLGLP >SECCE1Rv1G0017180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:148547661:148550636:1 gene:SECCE1Rv1G0017180 transcript:SECCE1Rv1G0017180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDMLMEKVLCMNILSSDYFKELYRMKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKILWTWYEPYLKDDEEFSPGSNGRMTTMGVYVRDLILGQYYFDSILPRVPVPVVRQVTTNLEKMKLPTKLSGVTGDSRHGSEDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTVTQDDHRRSSSPFRRSVSREGPYNDRSSHDRDTDHSSRDRDTDHSSRHRDTGRSSHDRERDHSSRHRERDRSSHDRERDYDRHSRDCDYYRSRHSEERRDYRSKRDNSRHRRSSSCHRSISRSRRRSRSRSRSRGRNEHRSSPFGDTSKEKAAAASSNLAKLKDLYGDVTEKKDDADAMRLHHDSCAEEVIRLGGPRWR >SECCE6Rv1G0427690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720443404:720444832:-1 gene:SECCE6Rv1G0427690 transcript:SECCE6Rv1G0427690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAKLSRHTAYTNHVFHRVIISALVLLLSCTAAASDRQPPLISQLAKDPETSLYTISVKADKSPLLLDLAGSLVWSTCPPSSAHTTVPCESDTCAVAKQESSRRCRYVDGGRLWENREPGSSWCACAARPLNPVTGECSTGDLTGLAMSANTTNGTMALRPESFAVVGACAPGRLLSSLPAGAAGVAGLSRRSLSLSSQLAAQRGFGRKFSLCLPVFATFGDTPVYLSTPDPRGLMDYTTSIPYTPLLTNPANAGGYYIPVKGISASWRGPDAAAALPRGALDIDVRTGRGGVALSTATPYTIMRPDVFRAFAKAFDHTIMRGQMERMPGTKGFELCYKGSFPMLKRVGLDMPHIKLELGDGATSNWTLFNDNYMVPVHGAMCVGILPMGPGGMPVDGEPAVVIGGKQLENNLLVFDLEKQVLGFSMLLDFKFSSCRSSYLFEN >SECCE2Rv1G0110080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:686912049:686914099:1 gene:SECCE2Rv1G0110080 transcript:SECCE2Rv1G0110080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGRRAAAAACGRWCLVILAVASALGVSGPALYWRYKKGFSSAAAAAVSAPSCPPCTCDCPPPLSLQSIAPGLMNFSISACGTNDPERTKEMEKQFVDLLNEELKLQQVVAEEHIHHMNATLVEAKRQATLYQREAEKCNAATETCEEAREKSEGAISKERKLTALWERRARELGWQDSRAATTAR >SECCE4Rv1G0249630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:585149814:585153375:1 gene:SECCE4Rv1G0249630 transcript:SECCE4Rv1G0249630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARTMVAAAAMLLLLSTAAVAQHNYGDALHKSILFFEGQRSGRLPPDQRVRWRRDSALNDGAAAGVDLTGGYYDAGDNVKFGFPMAFTTTLMSWGLIDFGKNFGSHGGEARAAVRWATDYLMKATATPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPQHPGSDVAAETAAALAAASIVFREADPAYSKRLLDRAISVFEFADKYRGAYSSSLYSAVCPCYCDYDGYQDELLWGAAWLHKASRQRVYRDYIKKNEVALGASDSINEFGWDNKHAGINILISKEVLMGKDSFFKSFQVNADNFICTLLPGISNHPQIEYSPGGLLFKVGNSNMQHVTSLSFLLLAYANYASHANVRVPCGGSSASPVVLRRVAKRQVDYILGDNPLRMSYMVGYGERFPRRIHHRGSSLPSVSAHPARIGCKAGAAYYGSSAPNPNLLVGAVVGGPSNTSDNFPDARAVFQQSEPTTYINAPLLGLLAYFSAHPNLPQSDGTD >SECCE5Rv1G0352060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:706477801:706480414:1 gene:SECCE5Rv1G0352060 transcript:SECCE5Rv1G0352060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKTAEKDKGKKAPISRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >SECCE4Rv1G0261990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:705495997:705507303:1 gene:SECCE4Rv1G0261990 transcript:SECCE4Rv1G0261990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQQEIVDGSEEALSFSSSSFRKDNLRLLLHQRRRMDRVGVRQPAVEVRWRDVRVDAECRLVSGKPLPTLLNSAVSALSLLTAVLGFNRNRERIHILKDVTGILQPSRMTLLLGPPGCGKTTLLLALAGKLNRKLKVTGEIEYNGTKLQDFVPEKTSAYVGQYDLHVPEMTVRETLDFSARFQGVGSRAEIMKEVIRREKDAGITPDPNIDTYMKAVSVEGLERSMKIDYIMKIMGLDKCADVLVGDAMRRGISGGEKKRLTTGEMLVGPSKVLFMDEISTGLDSSTTFQIVSCIQQLAHILEYTILLSLLQPAPETYELFDDIILMAEGQIVYHGPKSCIMSFFESCGFKCPGRKGSADFLQEVLSKKDQQQYWSRTEERYNFVTVDQFCDKFKASQSGQNLAEELSKPYDESKAHKNALSFSTYSLSKWDLLKACFARELLLMKRNAFIHIAKAIQLGLVAIITGTVFLRTRTTVDTIHANYYMSSLFYALLLLMVNGFPELAMAVSRLPVFYKQRDDYFYPAWAYAIPSFILKIPVSLVESVAWTSISYYLIGYTPEASRFFCQLLVLFLMHTVTLSMFRCVASYCQTMVAGAVGGTLTFIVILLFGGFLIPRPFLPNWLKWGFWLSPLSYGEIGLTGNEFLAPRWLEITISGVSLGRRILMDQGLDFSSYFYWISVGALIGFTLLFNVGFAIGLTIKNRSSRTIISGNKLTSFGGANQDKDAENGMPKLYAETALAPNITGRMVLPFTPLTISFQDVNYYVDAPAEMREHGYMERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKIQQTFARISGYCEQTDIHSPQITVGESVAYSAWLRLPPETDSKARNEFVNEVLETIELDEIRDSLVGIPGVYGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVIRAVKNVADTGRTVVCTIHQPSIDIFEAFDELMLIKRGGELIYAGPVGHHSCEIIQYFQAVPGVPRIKENYNPSTWMLEVTSTSMEVQLGADFAQIYRASSMCKDNDTLVRRLSLPVPGTSDLHFPTRFPQRFWEQFKACLWKQCLSYWRSPSYNLVRLVYKAISCILFGALFWQQGNINHINDQQGIFTIMGCMYGITLFTGSNNCQSVMPFVSIERSVVYRERFAGMYSPWAYSFAQVAMEIPYVFVQVMLFMLIAYPMIGYAWTAAKFFWFMYTMLCTLLYFVYLGMMIVSLTPNIQVASIFTSMFHTIQNLMAGFIVPAPQIPRWWIWLYYISPMSWSLNAFFTTQFGDYNDRMIVVFGETKSVASFVKDYCGFHRDLLPLAAMALAAFPIIFAVLFGYSISKLNFQRR >SECCE3Rv1G0188090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:709230559:709231980:-1 gene:SECCE3Rv1G0188090 transcript:SECCE3Rv1G0188090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTVVLYTWMVRGHLHPMTQFAHYLAGNGVPVTVAVADVPSTGKSSETIAGLAASYPSVSFHLLPPSATRSAQTADPDADPFIALIADLRATNPALLAFLRSLASVKALVTDFFCAYGFDAAAELGVPAYLFFTSAASVLAAYLHIPIMRSTVSFRDMGRSLLHFPGVHPIPASDLPAVLLDRGDRQYKAILGLMEQLPRSKGILSNTFEWLEPRAVKAIKDGTPRPGESVPKLFCVGPLVGEERGSNVKHECLRWLDKQLARSVVFLCFGSASSVPAEQLKEIAVGLENSGHAFLWAVRAPIAPDADSTKRFEGRAEAAVDALLPEGFLDRTRGRGIVVSSWAPQVEVLRHPATGAFVTHCGWNSTLEAVVAGVPMLCWPMYAEQRMNKVLVVEDMKLGVAMDGYDEATVKSKEVEAKVRLVMESEHGKQIRERMTIAKMIAADALEIGGSSAAAFVDFLDDLEISMLGVI >SECCE5Rv1G0358340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755305929:755307401:-1 gene:SECCE5Rv1G0358340 transcript:SECCE5Rv1G0358340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQEEALHILFLPFLASGHLIPIADMAALFAGRGVRCTILTTPVNAAIIRSAVDRANDAFAGTGSPAIDIAVVPFPDVGLPPGVENGTALTSQDDRDKFYLAVRLLREPFDRFLADHRTDAVVSDSFFHWSVDAAAERGVPRIAFLGSSMFARSCSDSMLRHNPLANAPDDPDALVLLPGLPNRVELRRSQMMDPAKKPWHWEFFKSMNAADQRSFGEVFNSFHELEPDYVEHFHKTLARRVWLVGPVALASKDMAVRGTDAPSPDADSCIRWLDGKPAGSVVYVSFGTLTKFAPAELHQLARALDLSGVNFVWVIGAAAGQDSAGWMPEGFAELIASGDRGFMIRGWGPQMLILSHPALGGFVTHCGWNSVLEAVSAGVPMVTWPRYADQFNNEKLVVELLKVGVSIGAKDYASGVEAHEVIAGEVIAESIRKLMEADAVQKKAKDLGVKARRAVEKGGSSYDDVGRLMDELTARRSSVKVGEDVQAS >SECCE2Rv1G0138420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921564009:921565994:-1 gene:SECCE2Rv1G0138420 transcript:SECCE2Rv1G0138420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATTLLLGVVLAVLLLAAAPAPAAAKEKGSGNGGPVIGIDLGTTYSCVAVYRNGRVEIIANDQGNRITPSWVAFTDSGERLIGEAAKNQAAANPHRTVYDAKRLIGRNFGDAEVQRDMKLLPFKVVDKNGKPHVEVEVKAGDVRTLSPEEVSAMVLTRMKETAEAYLGEKVRDAVITIPAYFNDAQRQATKDAGAIAGLNVVRLINEPTAAAIAYGLDKVADGKERNVLVFDLGGGTFDVSVLALDGGVFEVLATNGDTHLGGEDFDQRVMDHFIRLVKRKHGVDISGDARALGKLRRECERAKRALSTQLQVRVEVESLADGVDLSEPLTRARFEELNADLFRKVMAPVKKAMADAGLAKGDIDEVVLVGGSTRIPKVQQLLRDYFGGKEPHKGVNPDEAVAYGAAVQGGIVRGDAKDVLVLDVTPLTLGIETAGGVMASVIPRNTPIPTKRTKTFTTYEDRQTTVTITVFEGERSMTKDNRLLGKFDLTGIAPAPRGTPQIEVTLEVDVNGILHVGAADKGTGRSEKIEISSAGRSISQEEIERMVQEAEEFAEEDRKVRNRVDERNKLEAYIYSARTTADGELGAKMDGGDRERVREAAREAGEWLESNPDADQDDYAEKLKELEDVCSPAFAAAHGNTGGGHDAAAEEDDDHDEL >SECCE6Rv1G0407170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:554929574:554932791:1 gene:SECCE6Rv1G0407170 transcript:SECCE6Rv1G0407170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPLLLLLFLAFPLAPAPANGLLSPKGVNYEVQALMMIKNYLKDPHGVLKNWDQDSVDPCSWTMVTCSQENLVTGLEAPSQNLSGLLSPSIGNLTNLEIVLLQNNNINGRIPAEIGRLTKLRTLDLSSNHFSGEIPGSVSRLRDLQYLRLNNNTLSGTFPSSSANLSHLVFLDLSYNNLSGPVPGSLARTFNIVGNPLICGAATEQDCYGTLPMPMSYSLNNTQGTVLPAKSKSHKAAIAFGSTIGCISILFLVTGLLFWWRHKKNRQIRFDVDDQHIENVNLENLKRFQFRELQAATENFSSKNMIGKGGFGNVYRGKLPDGTVVAVKRLKDGNAAGGELQFQTEVEMISLAVHRNLLRLCGFCMTATERLLIYPYMSNGSVASRLKGKPPLNWITRKGIALGAARGLLYLHEQCDPKIIHRDVKAANVLLDDFCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKKLDVLVDKGLGSSYDHIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRTDSHKFKVPDFTFGRCYSDLTDDSSLLVQAVELSGPR >SECCE1Rv1G0049300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:639961967:639965919:1 gene:SECCE1Rv1G0049300 transcript:SECCE1Rv1G0049300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTRRAMAVPVRSWLLLLLGLAGVLQVHGQHAPDSTGFVSIDCGLPEQAGGYVDAATKLPYVPDGAYTDAGSNHNISPEYIKPTLSKRYPNVRGFPGAGRSCYTLPSTVARGSKYLLRATFMYGNYDGLSRLPVFDLHLGVNFWRTINITTPDKPQLAEIIAVVPDETLQVCLVDTGSGTPFISALDLRPVRDTLYPQANATQALVLVDRTNLGVSGAALVRYPEDPYDRVWIPWSEIDTAEWAEISTPEKVKELADPRFNAPSAVMQTAITPRNGSRSASSRTIELSWDAAPNHAYPDPGVIGIVYFAELEAVAGSAVRQFEMAINGKVWSKAPFTPQHLVCDAFFNSEAHRGFAGHYNITLTATANSTLLPTINAAEFFSVVSTANVATDAKDVAAMAAIKAKHEVKKNWAGDPCAPKTLVWEGLNCSYAVSMPPRITRLNMSFGGLSGGIPSHFANLKAIKYLDLSYNSFTGSIPNALSDLPFLLVLDLTGNQLDGAIPSGLMKRMEDGSLTLRYGKNPNLCSNGVSCKPTKKNSKSMLAVYIAVPILAVVVIGALAVLLLLMVRKKQGSRKGSVKPQNEASGAHSQSWNGDTHNLLQLDNRRFTYKDLQAMTSNFKTVLGRGGFGSVYDGFLPDGTQVAVKLRSESSSQGVREFLTEAQTLTKIHHKNLVSMVGYCKDGEHMALVYEHMSEGNLEDKLRGKDSNTRSLTWRQRLCIAMESAQGLEYLHVACSPAFVHRDVKTSNILLNANLEAKVADFGLLKAFNQDGDTHVSTARLVGTHGYLAPEYAAALQLTEKSDVYSFGVVLLEVITGRPPILQCPEPTNIIQWVRQQLARGNIEDVADVRIRGEYDINSVWKVADVALKCTAQAPTQRPTMTEVVAQLQECLKLEEQHMI >SECCE4Rv1G0294170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:889318378:889319004:1 gene:SECCE4Rv1G0294170 transcript:SECCE4Rv1G0294170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLHAMDKAEEVLQQMFERRILPNTTTYNSLIHGYYSLGQCEEVDRIFKEMSRHGVQPDIVTYSMQMDYLCKSGRSAEARKIFDSMISLGQKPTVTTYNILLHGYALEKSFHDMYCLIDLMVGNGISPDHHVYNILISAYAKEETVGEVMHIFTKMRRQGLNPDVASYGTVIDLLSRIGRMDDAMSQFNQMITEGLAPNIIVFTPPY >SECCE2Rv1G0082520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:151871325:151875906:1 gene:SECCE2Rv1G0082520 transcript:SECCE2Rv1G0082520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALKFCSKCDNMLYPQEDKEMHTLLYACSNCQHQEIATDTCVYKRVLRKSADEPKDTLKDAATDPSLPRTRSVKCYNCGYPEAAYFQAPTKGELGLTLYFICCSPTCGHRWRD >SECCE4Rv1G0261980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:705306048:705313216:1 gene:SECCE4Rv1G0261980 transcript:SECCE4Rv1G0261980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTNGEVADSETPVTPFTEAGFFSEMSFWWLNPLMKMGYKKPLEDEDMPLLGATDRSHNQYSMFMEKLNGKKQSPSHATPSFFWTIVSCHRRAILFTGFFALLKVLTVTAGPIILKAFINVSLGKGTFKHEGYVLAALLFVCKCCESLSQRQWYFRTRRLGLQVRSLLSAAIYKKQQKLSNAAKLKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQICIALPILYDAVGAAMISSLVVIVMTVLSNVPLARRQHKLKRKLMEAQDVRLKAMSESLVHMKVLKLYAWESHFKKAIEELREVEYKWLSAFQLRRAYNIFMFWSSPVLVSAATFLTCYLLKVPLDASNVFTFVATLHLVQDPIRLVPDVIAAVIQAKVAFTRISKFLDAPELNGQARKKCYVGIDYPIAMNSCSFSWDENPSKPTLKNINLAVKVGEKIAICGGVGSGKSTLLAAVLGEVPKTEGMIQVCGKMAYISQNAWIQSGTVQENILFGSSMDGERYHNTIARCSLVKDLEMLPYGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMSALSDKTVLLVTHQVDFLPVFDSILLMSDGEVIRSAPYQDLLADCEEFKDLVNAHKDTTGVSDLNKNIPTQRPKEVSIMEVHDIHRSRYTESVPADQLIKKEERETGDAGVKPYMLYLRQNKGLLYFSLCIISYTMFVAGQILQNSWMAANVENPHVTTLKLISVYIIIGVCTMFFLLSRTFVVVVLGIQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSLVDLDVPLTFAFSLGASLSAFSSLGVLVVITWQVLFVSVPVIFLAIWLQRYYLASAKELMRINGTTKSDLANHLGESISGAITIRAFEEEDRFFAKNLDLVDKNASPYFYNFAATEWLIQRLEIMTAGVLSFSAFVMAVLPQGTFSPGFVGMALSYGLSLNFAFVVSIELQCKLANQIISVERVNQYMGIQSEAAEVVEENRPLPDWPQNGNVDIRDLQIRYRKDAPLVLHGITCGFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAEGTIIIDSVDISTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLEAVQEKEHGLDSHVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAVLQKTIRTEFKYCTVITVAHRIPTVMDCDMVLAMSDGKVAEYDKPTKLMETEGSLFRKLVNEYWSYTSNGNI >SECCE7Rv1G0508600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:773215678:773216517:1 gene:SECCE7Rv1G0508600 transcript:SECCE7Rv1G0508600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTSSPLRRWKRFFGAFDTVDAAIEAADPDMCRDELRRARGDVFEGLCNTADDGKAEKLCGVLDGLMAESLETLRLTPVTPKVLATTDLAKAVRALQKHESERVRVLARGIVSGWRASALDDLAGDNFDVPQPKETVEQQHVRATTTERPSSIEIDQQHASADLDSKKKKTVEMSSKASGLAVGGINMAKPKEVAVHPHVNVSVDPDAKAMEAAKRKLHERYQQASDAKRQRRVQLVEAPEMVKQRRERSLAGCASSMLKKSFSVGHQFTTRQLHRV >SECCE3Rv1G0192830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762725458:762729313:1 gene:SECCE3Rv1G0192830 transcript:SECCE3Rv1G0192830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATRAPMCRIILLLVAAAGCFPSGSVAATDSIGLAASVTGNQTLVSAGGMFELGFFSPPGGRTYLGIWYAGLPNRTIVWVANRNDPLISAPGVLRLSPDGRLLILDRQNSTVWSSPAPTRRTTAGAVARLGDDGNFLLSSDGSGSPQSVAWQSFDYPTDTLLPGMKLGVDLKRRLIRNITSWSSPTDPSPGQYTFKLVPGGLPEFFLFRGTEKIYASGPFNGAGLTGVPNLRSQDFLFAVVDSPDETYYSYAITNPQLRSRFLMDGAAGRVQRYVWTKDQWSSFWYYPTDPCDTYGMCGPFGYCDTTQSPLCTCLPGFQPRSMEQWNLRDGTGGCVRTTNLSCGAGDGFWPVNRMKLPEATNATVHADMTLDQCRQVCLADCSCRAYSAANVSGGINRGCVIWGVDLLDMRQYPAVVQDVFIRLAQSEVDALIAEARRQRPNRKLLIAGVAAASGVLLLGAILGCCCFWRKKRQAKTAPSSHDDVLPLRHRKHPAASPARNQRLAENRMSSEKDLDLPFFDLEAILTATDDFDPDSKIGQGGFGSVYMGKLEDGQEVAVKRLSKKSVQGVGEFKNEVKLIAKLQHRNLVKLLGCCIDDDERMLVYEFMPNNSLDTFIFDEEKRKSLVWKNRFEIIMGIARGLLYLHEDSRVRIIHRDMKASNVLLDRNMIPKISDFGIARMFGGDQTTEYTMKVIGTYGYMSPEYAMDGVFSMKSDIYSFGVLVIEIITGQRNRGFYHDELDLNLLGYAWMLWKEGRGVELLDEAMGGTFDYDVVLRCIQVALLCVQVHPRSRPLMSSVVMLLSSENATMPEPNEPGVNIGKNTSDTESSQTHTGISFTETAVDAR >SECCE3Rv1G0181530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:615235891:615240876:1 gene:SECCE3Rv1G0181530 transcript:SECCE3Rv1G0181530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHNILPKIGAHWLLNLYRSARKLRSLQYYCNTNTKQSTVAASGCTIPAAAERTVLCDFHGGLLRSTALFPYFMLVAFEGGSVLRALLLLGSFPLVWILGEHSDASLRVMAFVTFVGLRPRDADLVARAILPKFYMEKLNAEVYDRFWLPANRKVAVTGTPTVMAEWFLKEYMAADIVVGNELQMIKVGRRCYFTGLLGRLGPLPGSMTEKALRSEFGVDGGMADVAVVGSSNPLNHLFTSCCKELYIVSTESAKTARLPRERYPKPLIFHDGRLAFLPTAYAVLPFFLFLPLGLILSVIRIFVGIALPYKINFAAGALLGVHFRTSGRLASDAKTKRRGVLFVCNHRTLVDPIMLSTALQKAVPAVTYSLSRLSEIFAPINTVRLTRERDRDAQTMSRLLEQGDLAVCPEGTTCREPYLLRFSPLFAELVDYMEPVALDAQVTSLYGTTANGHKWFDPIAFFANPAPTYRVVFLGPVPSHHTRAGGRTGAEVANWVQQRLGEALGFECTELRRRDKYMMLAGNDGVVSK >SECCE7Rv1G0473490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:158245670:158247130:-1 gene:SECCE7Rv1G0473490 transcript:SECCE7Rv1G0473490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSGMAGDDEGSLVPREVPGSYGMPFFSAIRDRLDFYYFQGQDKYFESRVEKYGSTVVRINVPPGPFMARNPRVVAVLDAKSFPVLFDVTKVEKKNLFTGTYMPSTSLTGGFRVCSYLDPSEPTHTKVKQLLFSLLASRKDAFIPAFRSHFSSLLATVESQLLLGGKSNFNTLNDATSFEFIGDAYFGVLPSASDLGTTGPTKAAKWLIFQLHPLVTLGLPMILEEPLLHTVHLPPILVSGDYKALYKYFAAAATKALDTAEGLGLKRDEACHNLLFATVFNSYGGLKVLLPGILARIAGAGEKFHHKLVTEIRNAVADAGGKVTIEALEKMELTKSAVWEALRLDPPVKFQYGRAKADMNIQSHDAVFAVKKGEMLFGYQPCATRDPRIFGSTAREFVGDRFVGKEGRKLLQYVYWSNGRETESPSVDNKQCPGKNLVVLVGRLLVVELFLRYDTFTADVGVDLLGTKVEFTGVTKATSGPDAV >SECCE3Rv1G0165560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:175661706:175663704:-1 gene:SECCE3Rv1G0165560 transcript:SECCE3Rv1G0165560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGPPSPSGKAYSRKSHGPGPKSSKASGARSFDAHNGPLIPTVTFSLPSTPATRRELRRRLSAELAQVRAAYKRISSLPAPAPSSALSATDPSTPLPPHPSVSKHKSKKGPPNPSGSAEARRKLYAPVFRSCAVVLARLMKHKHGWVFNVPVDASALGLHDYHTIITKPMDLGTVKSRLAAGHYKSPREFATEVRLTFQNAMRYNPKGQDVYFMAEQLLNMFEEKWPEIEAEIAQLSPQPPTPSSAPPKKQKQREREREREREMDNARVLERSDSTAHAAALEAPPKPHAGTARPPVLKKPKARDPNKREMTFWEKQRLSNDLQDLPAEKLDNVVQIIKKRNSSLNQHDDEIEVDIDSFDVETLWELDRFVTNYKKSITKNKRKAELSVVRQDESDHEPDLEKIEHTRHDEGEQEQMHTVHNTIPEPEAVDVVDVVDVEPPMVEAEPHKEIAADDNGRYMGSSSPAHLEDQKGDNAGRSSSSGSSSSESGSSSSDTDSDSSSADGSDAAQSPKS >SECCE4Rv1G0286700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850241600:850242081:-1 gene:SECCE4Rv1G0286700 transcript:SECCE4Rv1G0286700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRARSAAMAALCVLLLLVLLPGQVAAKSEFCKCFDDCFPGCTNDNVPRLLCKVFCANKCSPNQAAVGGDAMCRMACSKLDIEICGWSAAPADAADAAICVENCNKNWSQN >SECCE5Rv1G0321700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:408892276:408895061:1 gene:SECCE5Rv1G0321700 transcript:SECCE5Rv1G0321700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKRGLSPPAPAVADDATARLQDRHLVEILLRLPSPASLARTAVVCRRWRRISVSAAFLRQFRRLHPPQLVGFFICNGGFHVERVGGRLVGHILDPTFLPVLPPPQGIGRATQRCLDFSLRRVPDVDHWTLADARDGFLLLSSTFNDRMSIPRNFVVCDPLSHRSVLVEDAPTYQLDGESAYLGAALIVVDGGAGSSTSTLSFEVILVTYFMFGPRLCVFSSRTGQWSVLPEARCGKSLMPMLSGVGDPAHANGCVYWVMDDESEAYLLVLDTRTKEFSTSIRLLASMREPYDGNMRVVRSDDGELRIVGMAWRALALHIWHLDRSRSRKGRWVREEVHELATFQGVIELFVDGNGGSTRIMDACEGVVFLKQFGSDWVYAVNLEDRRVLKLPHKRFSSGPALPYRMALFPPLPNQPQGQDG >SECCE2Rv1G0069100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27060254:27062244:1 gene:SECCE2Rv1G0069100 transcript:SECCE2Rv1G0069100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKIHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYSCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSSNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTAQVIIMNHPGQISNGYAPVLDCHTSHIAVKFAEIQTKIDRRSGKELEAAPKFLKNGDAGFVKMVPTKPMVVETFAQYPPLGRFAVRDMRQTVAVGVIKSVEKKEPTGAKVTKAAIKKK >SECCE6Rv1G0400270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:449167584:449169450:1 gene:SECCE6Rv1G0400270 transcript:SECCE6Rv1G0400270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGELVVSGAQQDDMLRVVAALAGILERVAERNDAVGTPGEAAPASAFRATTKPGISVRAYVARIARFAGCSPACYVVAYIYLDRLLHRARRFAVAVDSYSVHRLLITTVLAAVKFMDDVCYNNAYFAKVGGISLAEMNYLEVDFLFSVGFDLKVMPETFGRYCALLQSEMLCADAPSATPRLQHCCLSESEDDAASCSSQQQLAG >SECCE3Rv1G0150380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31636849:31637130:1 gene:SECCE3Rv1G0150380 transcript:SECCE3Rv1G0150380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRIGPILGHAMHYRRMIITLQPGYSIPLLDREKN >SECCE4Rv1G0220060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:34945199:34946641:1 gene:SECCE4Rv1G0220060 transcript:SECCE4Rv1G0220060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIAVKCPAIEVVVVDISKPRIDAWNSDTLPIYEPGLDEVVKACRGKNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKEVYAHWVPEENIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSEVSYAIGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKVAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQVSIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPSAIKQVSVVWDAYEAAKGAHAVCILTEWNEFKELDYQKIFDNMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >SECCE6Rv1G0453380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:882600946:882601509:-1 gene:SECCE6Rv1G0453380 transcript:SECCE6Rv1G0453380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAADRYQEEAKKQIRAMAEELENTRKAGLMLMNSADTYQEAARNQIKKKAEELEDARKAVLALMKAADAYQEEATKKIKDKVQELKVMGAQKAELDAMVESLESRLKAALAKNLELEDDYGKVKAENDNLRLEVEKDASAKAFDADKEEILMELEDLKMKVEVTQANKDSMEGENDKLQLDAFTGI >SECCE5Rv1G0365900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:809980951:809983032:-1 gene:SECCE5Rv1G0365900 transcript:SECCE5Rv1G0365900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLAAVILLLLFSQDVAGAQEFVYDGFAAGKVATTGAAVVTPSGILQLTNDTKEKLGHGFHPAPLRFRDASTGAPISFSTTFVVAIAPRYPDAHGHGIAFALASSVAVPGAVAGKYLGLFNTSNSLGSGTSQIVAVELDTAMDMEFQDVDDNHVGVDVNSLRSVKSATAGYWHDDGSGGRRFDNISLTGGGNAPVQVWIEYDGHIARLEVTVSPAREPRPALPLLSCYVNLSSAVADDTYVGFSAANGAAMSSHYVLGWSFRLGGGSAPDLDLSKLPSPPSPRSPKRRMPLLLLLALILLTVVVLLLVSAAIGVLVMRRRQQRRQFAEEEEEGWEMIDYSPHRISYKDLHDATNGFRDVIGMGGFGRVYHGVLPRSGIEVAVKKVSHGSQQGLQEFLSEITSMSRLRHRNLVQLLGYCRRRDELVLVYDYMPNGSLDQHLFGRAAPGLSWEQRAKIVRGVAAGLLYLHEGWEQVVVHRDIKAGNVLLDADMNGKLSDFGFARLYDHGGDPHATHVVGTLGYIAPEMSKTGMATTSSDVFAFGAFLLEVACGRRPVVFTVDADGDSPSPAGIVELVLQRWKAGKITEARDPRIGEYDEDDLELVLKLGLLCSHPDPERRPGMRQVVQMLEHAVPPPEMSPEVISESMKQFEHHEAFDEFVGMSFPSTSEVTTATTQQFSNGSRPRSDFHSS >SECCE7Rv1G0475340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:185903894:185905637:-1 gene:SECCE7Rv1G0475340 transcript:SECCE7Rv1G0475340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSSATMSRELVVLALTYVLVHYLTGVILRSKRASLPLPPGPRGYPVVGALPLLGRAPHRALAALARLHGPIMHLTLGRQGLVVASTPESARLLLKDHGGSFLDRPVDDAAPMVLAYGAQDLVFAPYGPKWRRLRRECSAGLLGSKALADWADTRHEEVGRMVRAMSRRAEALEVPEFLFGAMANMIGQAVVGRRVLDEAGGEATREFKEMVVELMTTAGLVNIGDFVPAVAWMDLQGLGRRMRRLTTRLDRAWSRLLSDHEVAMANRKQDGSRRTDLVDRLIACRGHAEDRGDGVTDLNIKAVLNNLFTAGTDTSSSTIEWALAEMLVNSAILQQAQAEMDEVVGRDRLLQESDIRHLPYLRAICKETFRKHPSTPLNLPRISTEPCKVQGYHIPKGTRLVVNIWAIGRDPAVWPEPERFDPGRFMTEEGRKVEPMGSHFQLIPFGAGRRMCAGARMGVALVEHMLGALLHAFHWEMPEGATGMDMEEEFGLALQKKVPLRAIVRPRLAPNAYE >SECCE4Rv1G0240780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448140985:448141416:1 gene:SECCE4Rv1G0240780 transcript:SECCE4Rv1G0240780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S11, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00750) UniProtKB/TrEMBL;Acc:A0A1B1W4X8] MAKAIPKIGSRKKVCIGLRRNARFSLRKSACRITKGVIHVQASFNNTIITVTDPQGRVVFWSSAGTCGFKSSRKASPYAGQRTAVDAIRTVGLQQAEVMVKGAGSGRDAALRAIAKSGVRLSCIRDVTPMPHNGCRPPKKRRL >SECCE2Rv1G0100610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:544091591:544094654:1 gene:SECCE2Rv1G0100610 transcript:SECCE2Rv1G0100610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGGEEGAAVRLARIRKRRALSSSDASSTARRLRSRRPAVLLIRRRREGGAAMSESSSRSRHSASARRLVTAFWQSDKDRLFGEEHPVLLMDKDAAARRSLQVPRSNASTEVSKSSRSRTKIKMFEGAGGRGWLSADVMSNCSAMEIGTDPQDDEEKATQLKDLYNSLIASKELAKVLAHIWGEASPSTVSLISALRSELDLARAHVRRLIKEQKAERGEIDGLKKELMEEMGSWKAKQKEKAATALQYMVTELDKEKNSRRRAERANEKLGAELADAESSLRAASKELERERKWRGRVEKMCGELVRGIGEDRAEAEALRREAEDAQRELEKEREMLHLADEWREQRVQMKLLEARLQFEEKNSALNLLHEELLACLDAKKGQEPEKTDQMHSTRASENGGAASGPVPVPDDAGDGGFSEDCGSEDSDMHSIELNVDGNGNLHTWSYTPSSRRDRRAGASMQMHGSFPEDTRMDDAGSRGGVDRKTSRETDDALEGDWAEGCSHGVLNFDHDEERYQAIKDLREQMLAGSGLLMSQGTETAQSRYCIL >SECCE2Rv1G0120960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:803570027:803572621:1 gene:SECCE2Rv1G0120960 transcript:SECCE2Rv1G0120960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRPRLLAVVNALLWVVSLRVAAAGNVTTYDAKNYGAKGNGVDDDTKALMAAWKAACGTPGTVTLVIPPGTYYIGPTQFHGPCKAFAITFLLQGMLMAATDLKRFGNDWVEFGWVTGLTVIGQNGGIFDGQGAASWPFNKCPIRKDCRVLPTSVMFLNNQNTVVRDITSVNSKFFHIALLHNNNMRMSNLRIHAPENSPNTDGIHIERNTGVIISDSRIGTGDDCISIGQGNHNIYIARVHCGPGHGMSIGSLGRYVGEGNVTSIHVTDMTFEGTMNGVRVKTWENSPTKSLAAHMLFENMVMKNVQTPIIIDQKYCPYYNCEHRYVSGVTLEDIKFKNIKGTSTLPVAVLLRCGVPCHGVVLQNVDLRHNGQAGTLSHCENANATYVGYQHPKPCV >SECCE2Rv1G0115760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:755711926:755715198:-1 gene:SECCE2Rv1G0115760 transcript:SECCE2Rv1G0115760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGDALLDSAPLLSGGAGAGSGGQRRGNALRRPSLRGAARLLRRSGRRAMREPSMLVREAAAEHLEERQADWAYSRPVVALDLLWNISFITVAAVVLVLSRNEKSPMPLRTWVAGYALQCIVHMVCVAVEYRMRRSQRGGPPVPADEERGSDVSSSSSDEDAVENHLRGGDTDYVSIAKHLESANTMFSFIWWIIGFYWISAGGEEVIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPKYKFRRMDEPEKDPADATESSGGIMIECGTNQPIEKALAAEDAECCICISAYDDGAELRELPCGHHFHCTCIDKWLHINATCPLCKFSIRKGGSSSGSEEV >SECCE3Rv1G0170940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:260871591:260872118:1 gene:SECCE3Rv1G0170940 transcript:SECCE3Rv1G0170940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSTHALLLLVVAVVAVTCSSAAAQATPVEVSEVCKGTPYADLCSATAGKQAGHYPTVDALAVLNMQVDAFSKRTSAAREHVTQMSAAATPGAKKALEVCDGLYSDVEDNLGAARRAIGFKDATTIRAMMGMAAQDMQNCDEEFRKAGEKNPMDRFDQSLLRISENCRALSNMI >SECCE5Rv1G0365770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:809158185:809162817:-1 gene:SECCE5Rv1G0365770 transcript:SECCE5Rv1G0365770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPACAEAAAAKKSRHKDKKEKKSKRKDPAEHLGGETPTADEEAVQKKKKKQKEGKADPKRKPTVSIAVAGSVIDNAQSLELATLLAGQIARAAAVFRIDEIVVFDSNPPVENGRGGAEEEEESGARFLVRILEYLETPQYLRRRLFPMHKNFKYVGLLPPLDAPHHVRKHEWSEFREGVTLGGDRSKGTLVDVGLSQNVLVEQILEQGKRVTVAMGTNRDLTPACVRKVVPPSSPSEEMGSYWGYKVRYASNLSGVINDSPYKEGYDHIIGTSEHGETIISSELILPSFRHLLIAFGGLAGLEESIEEDPNLNGKGANDVFASYFNTCPNQGSRTIRTEEALLISLQYFQDPIRRAGMSN >SECCE6Rv1G0431400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746305524:746306108:-1 gene:SECCE6Rv1G0431400 transcript:SECCE6Rv1G0431400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGLRTLANIYDGLEEIICLPSNQVCSSQQRNILDGEMEGSLELLDLCSAMQEIFVEMKAIIQELQVALRKGDDAASQAKIQSYIRLVKKAKNHVKKTAKKAPADSSMVMLLAKAREISMSLLESTLRLLSKQIEMPKQSLVSKAFHKKKAVACKEEQLLELEFSIAALESGAGHLFRKLVQSRVSLLNILSS >SECCE4Rv1G0285830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:846687751:846688860:-1 gene:SECCE4Rv1G0285830 transcript:SECCE4Rv1G0285830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELHPDIVDEVLVRLSRDDPAGFIRASAVCKSWLGTLTNPAFLRRYRDMHGTAPLVLGFLHNPVDRRLARFVPTTAFRPPAATDHGTTVVLDCRHGRALFYDYGSTEFVVWDPITGRERRMPDDVLDTCTNHAVLCAAGTGCDHSACNEGPFIIASAGVECHEYEWVQADAWFVNSETGERGGPDGLYLDSKRYRLEEGRAAVLVAGALYFVCENAALLRYDVLGLGTQDLSVIEPPPGKFRGSKIIVRRAENGGLGLATLRHDVLQLWSAETHPGGDVKWAKMNRIQLRKLTPFKRPARLIGYAEDINVVFVRSDDHCIFTIELKSLLMKKLCEMDEVDDVFPYVCFYNTPTGTTSSTSSSLFG >SECCE2Rv1G0128820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:865217229:865224442:1 gene:SECCE2Rv1G0128820 transcript:SECCE2Rv1G0128820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAAPEKAAGSGGELLYCGATNFDAINRKLAGGMQGNLVSPTRLRPLMGVDIVSVASGCAACHCVALGADGRCYTWGRNEKGQLGHGDTLQRNLPTVVSELSKYKIIKASVGKNHTVVVTDDGKSFAFGHNKFGQLGTGSLKNEIEASPVPCLVTEVTGVACGADFTVWLSSVEGSTILTAGLPQYGQLGHGTDNEYNTKDSSVKLSYDPQPRPRAIAVLSEKTIVKVACGTNHTVAVDSSGFVYTWGYGGYGRLGHREQKDEWQPRLVEIFQRHNVLPPNAILSAGSANSACTAGGGQLYMWGKMKTTGDDTMYPKPLMDLSGWNIRCMASGTMHHVVGADDSCISWGNAQYGELGYGPMGQKSSANPKKVDSLEGMHVTGVGCGFGLSLIIVDRAKAGDKLNQLDIYDGDASTPVEETVEPKVTKKAPASANSKSNKRKKTKDLSESEEEDEDEDESEDDENGDARGAKGKRGRKPSGRGRGRGAKKATPEPKSSGRGRGRPKKTESPAESSGRGGKRGRGRPRKS >SECCE2Rv1G0136620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:912712249:912719770:-1 gene:SECCE2Rv1G0136620 transcript:SECCE2Rv1G0136620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRGGASGNQNEKRQLQRSSGDELDDFLEEQLQRRPEVRIINRYAVVMAYIRLGLKGVGALALLWATVVLLGGFVSSLEEDDFRYLTVIAFIQAAGVFDAMGDARFVFLANWIENLKRRTHSVMDLILIVVFTPVVYSAVLGPSVCILLSLFGITNSEPGLGYGEPNLKQALKLFYYLSIVHGAICLLCIVIETTADEGLVEIFGRRHGLNPEVLDGYLHQTKQMCVNNLESVESWNLIVYGAGLLDSQLPEDYASGGRVLNMLIDQDTPALITRLLIRSPRQRIQKLIETLTWSNPAEREMRWLVARIVEHLAGHLNLAHFPGALECISSLFETSCHNNGDQEALHLPFVVGRSKQKRRISNMLHEMVNGVLQVRNTLLLFLTGQFYVVMICLYLRAIYYCFSAPENAGTPELDNKQRGSDEDLLLPGLRILENLAHNRANCTMIYNTKDLLCKIVAPVCSNELIQDIKSSAAWTKVVDGSLKVVSRLMSNSGSTGIEMRRLITYDTNAVKNLKAILDMDMKSNGGILQLKMRAIDVLTQLALHHPASTSATVIREHLIVQTLHIFVRDWMGNYLKDEKMRIEHQITNQQNNLPERSTGACMDNLVLVVSEVCPLILFVGSAQERVTRAREACELRAAEARLTVMRASQAHLMKEAQECASRLKEKAGEALATMMSSDSEAVKSLTIEVCTENDVCRLTDLLDSSIKTIKCEVGETKPVEIEINICCRISATVILKHLKNRDMKLTLEKVLSELLPRQGEANSTPQWWGRPMSCSCIVAFGNDIESCAISCITPIRYNMIRSTSPIRHIQQCEERRLQVELISLVAVNLENNNFDFAAILILPPRASPVSLLEEEFVVKLKKMVEDNMYATPACLAIQKLTCKMVTGFLQHDRNVEVISNQNIVCTLLEASKVMAGLESSMLFTGVHHDCHGVPLKPFLSVLANQAKYLLKLKKWAQTLARAQVRRTNSAPAGVSPT >SECCE3Rv1G0206640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:910071532:910072719:-1 gene:SECCE3Rv1G0206640 transcript:SECCE3Rv1G0206640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKTPTGLIENERRRGLSFKNRRRGLLDKADQLAVLCGVPVAVVCADPRGGEPTVVESEEGVLARYRALPAVRRVGHAHRGYLEGLLVKERTKLARVRHGGPDALAAPHAELGRMSLDELRELLGAVDAAMAAAAGRRRALGLPPTGDGGAVVETARVDSGPWTAGGSSSSDDGGYPMQQQQQQQGPGGADLLQLAMWHGGGMTMQPDRCSYGAMNAMQAAYNNPRHDAPLLDQAMMMVPRDYYHDAMNAMNTMQLQQPASYNQQRDVIGTGAHGMGGYQPPMPGNGGNYHGHGGFFPRYDGGSSSGYAGAMPDLTMWCPAEPCNANAMPGPSFTGSSNFSNLLPEFHGMGGAGGSGMNYYADGNETQGSSNEFHCSGGSSLEDLLFGDDSDD >SECCE7Rv1G0475160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:182861403:182862214:1 gene:SECCE7Rv1G0475160 transcript:SECCE7Rv1G0475160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNAPFALFSRLSRAAHLPIRAWRAARPAPLSTGRTRPLSVASAAQENRENSVDVQVSQAQNAGNQQGSAVQRRPSRAGFDVSPFGLVDPMSPMRTMRQMLDTMDRLFDDAVGFPTARRSPAAANETPRMPWDIMEDDKEVKMRFDMPGLSREEVKVMVEDDSLVIRGEHKKEAGEGQVEGGDGWWKERSVSSYDMRLALPDECDKSQVRAELKNGVLLVSVPKRETERKVIDVQVQ >SECCE3Rv1G0155280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:64700027:64705369:-1 gene:SECCE3Rv1G0155280 transcript:SECCE3Rv1G0155280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEEHKDKITEITAAILSVGTDLVECREPSQKDEDDSSSSNAYSESLLWLQWMMFSKNPDAMLHDMELSSDDDRAVCGSVWGQNVLAYRCRTCESDPTCAICVPCFQNGNHKDHDYSIFETGGGCCDCGDATAWKPEGFCSMHKGAEQIKPLTAELASCVGPVLDELLEFWKERICVPRAKAEDCKKRVAEELTIAIAGMLLRFCACSESLLSFVCQRIHESPGILDALMGAERILGRIVVERLHELLLKLIAEPAFKYEFAKIFIQYYPVAFSEVIKGGDDYVLEEYPLIPAFSVQIFTVPTLTTRLVREHNLLGTLLECLTALFLSCVGEDGHLQTSKWVNLYDASFRLLEDARYVLRHEEVSMYVAFERPDLTSSWVKLLLLVQGMDPQKRLASIHAEDENENLSAPFVLGHYLGIIQNLLMAGAFSSPRQQESTSVTICPTTIKGIESVEKQRLAKVGRVSQENSVCNLSNRESSLSSELPAPAACLIGQCLKAIGSWLEQIGEVVVKVNEGSQQDNVADYYEVIGPPIQESGNMLLIGQGVMPQVGNITGKGEMQMQETSSVPDVQLQSENAIAVTLTAGNLLYAHPDSGTDEQGILSKTGWHHVVFDVSSQETSFHIPLHRMLSLLLREAMRKCFGEDAKTEECSVVQSNEFFSQVLGGCEPYGFASVVMEHPLRMRVFCAQVRAGMWRKNGDAAILTAEWYRSVQWLDQGLESDLFLLQCCGALSSPEFFVRTIQERFGLSDYTSLDLTEKNEYESVLIQEMLTFIVQLVKERRFCGRSTADNLKRELIYKLAVGDATHSQIVRSLPRDLSSSEQFQDVLDSVAVFSDPSGKKKGKYVLREAFWKELDLYHPRWSSRELQIAKERYYRFCKVSALGAQLPQWTHVLSPLRSISKIATSKALLQIVRAVLFYAVYTDASSVSRAPNNVLVTGLHLLSLALDICESESQISADQYGMDLLQHDDESWVVLSTDVEEAFPILTYSTELVSPESDKLKKDSMLTLLVSLMHKEKNDSTFSGCNIPSLVETLLKRFAKLSKQCMSAVRQMAPQVLPSIPDHASAKQNLGSPDSTGNRQCEAAIMAKMGAEQLKPAESMKSSENEGHDVPTFEPDAPRSTAVETRPVCSPCRDSDSKSPLCCLIRLQAAMETMMAETVEDGQTPRSTADFASKLLSHASSTSSSKSPAVQVLEDKFKAERRGAAALREEIDALKKQAEKADAVIAKTQQEMEEVRMKQAETDQILQLLLRRSQGNPAS >SECCE3Rv1G0187520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:701398676:701406777:-1 gene:SECCE3Rv1G0187520 transcript:SECCE3Rv1G0187520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFGGQFEDFHADDRRAEVIDWLGGLLPEFDLPLDSSDEELREYLIDGTALCYTADKLMPGVLEGVWGGYASDHRSNVKKFLSVIAEMGLPGFSVKDLEEGSMSSIVECLLALRDNVSAGLGENMSNYAAKTPSRPVAPVSTPGRRSPGEDRRRGLWDAKSPQRSPLLSGQKANEVFQFKRGQYTDLPAAKISEMIQSNSLDNAPTQSLLRVVNGILDESIERKRGEIPHRVVYLLRNVVQEIEHRISIQAEHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEMAINRLELVKVEKSKIDERRKIGEQDMVRLMREKENAENTVTNLQQEIQVMSRMFEEYREQMEEKTSQMEEHLTLRAKEAEFLLMQSKKRVEEVETASELQSQLWSKKTNTFRSFMDNQKLSIKDIRISSQSIKQEMFALQMKWRDEISNIGLDLKGLVDAAENYHGVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKSTAVDYIGENGEILISNPSKQGKDGYRMFKFNKVFNTHVSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMMYVFCVPTCTSEKTIFIANCLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESCSETISTLKFAERVSGVELGAARSNKEGKDIKDLLEQVASLKDTISRKDMEIEQLQVNKFKSPSSLTDKSGSSLLKQSSTASQQNQLISGSIGSGETEYDDNASDDGCSVGETEYSVGSASESAADRMQKGPSRLTRFFLTKNGQPAASRPKPKEPVPKTTTIGRRNSIASQAPTGASSVKPPKKRVVQ >SECCE5Rv1G0376310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872116148:872116726:1 gene:SECCE5Rv1G0376310 transcript:SECCE5Rv1G0376310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFKIHSHAQIQDLQARSDELGHSNKTMIVGLLSLESVRIACESYALLHPLLMESWMWACSELEILFVVAGLSVEIQKLEHDVLPQLMVQEAKLEPGALEALLLMKNSAVALLHMRKCFKEALGVLLCEEDLVSAKVLKLSIMLKDTAVHVLKGKCNIVWLQERVPLLVQLVTDVLETPVHFCDSDEYSDE >SECCE3Rv1G0202420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:873816599:873819446:1 gene:SECCE3Rv1G0202420 transcript:SECCE3Rv1G0202420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGVKIYSVFFKLLLRHRLQSLAAAAADGDGDAAAFGVSCRADEATAPANPAFSAADGVASKDLHIDPNSALSVRIFLPTPPPHHPHLLHPRRASDPAAGQAGAPYRGYLPHAVSSPRAAASARRRLPIVVQFHGGGFVTGSNCSASNDAFCRRVAKFCDAIVVAVGYRLAPESRYPAAFDDGVRVLRWIAKQANLAMMSKVGGGVDTFGASTVEPWIAAHGDPARCVLLGVSCGANIADFVTRKAVEDAKQFDPVRVVAQVLMYPFFIGSVPTHSEIRLANSYFYDKSTCLLAWRLLLSEKEFSLDHPAANPLAPGRGGPPLKCMPPTLTIVAEHDCMRDRAIAYSEELRKVNVDAPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >SECCE6Rv1G0425200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:705483458:705485894:1 gene:SECCE6Rv1G0425200 transcript:SECCE6Rv1G0425200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSRRRRAAKLMAKPSVKAESSLHKLKVNPDDRISKLPNDILVNILDRLGFRDVTRTSVLSRRWSQLPANLSRLRISARDFLSPQTSISNEELDEKLLRTNALLRINAANAAVVKATKSTLAHRDPGGCTIRLLSTTFYLRGDSPISVGHTVGNAMATLKVEKAEFTVLTQHKGRKISIDEMLKFGTRFVSFFNECHNAFAGLTRLYLENLRFRESDFVSNIPVTCKQLNYLGFYNCDTEDWITLRVEHAQLSELSIVGCRFHMVELTWVPKLTWLAFLYWLSVPELPLSLGYVPLLGVLRLSNTACNFHKNVKLSTFLHETSVRDLTLGFKCEKIWVQPECLTRRQAYVFQQLRILNLVKIPEGYDLTWTMFFLEAAPSLEELYMMVLDHPCEMEMNQEIRRQSYSENKGVEWESPTSNFKHHCLAKFILVGFQANKDYMVTHVRRVLKAAVNLQDVYLYDKLACAKCLKKVENAQDYDELVRSMCPGVNLPIKFPYANEDQRAVQKRMPRGIGSLAKIHFISFDDMKAEHGPRIGASSMVAMEDEWISKLGLRI >SECCE4Rv1G0215930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8219144:8222043:1 gene:SECCE4Rv1G0215930 transcript:SECCE4Rv1G0215930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSGGGGLSPQAAAALQEGVGLVFARWTALQMAVENEWGGRDSRAKANQLAADVLAFFTTSKGPYYYDDLEEMMFDSISEFFNADFEDGSVGEVAEQVLIMHEECLQNNYSSIEKLRNTRAQGNAVSQSRMMVTDEDDDSSDDDDDDEPSMMDNEPAKSDDMSVDEPKPSRPAPDADGWTVVPPKRGGRGKN >SECCE5Rv1G0352950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:712786504:712789507:1 gene:SECCE5Rv1G0352950 transcript:SECCE5Rv1G0352950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLHKATFFLLMLCLSALGRAEYLTYKDPKQPVGARIKDLLGRMTLAEKIGQMTQIERINATAEVLSKYFIGSVLSSGGSSPFPRASAEDWASMVDEMQKAALSTLLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPMLVKRIGEATALEVRATGIPYIFAPCIAVCRDPRWGRCYESYSEDPDVVRSMTTIVSGLQGDVPSGSEGRPYVGGSNKVAACAKHYVGDGGTFMGINEGNTIIENHGMMTIHMPAYYNSIIRGVSTIMVSFNSWNGTKMHANHYLITDFLKNKLKFRGLVISDWQGIDKITTSPHLNYSYSIEAGIGAGIDMIMVPFAYTEFIDGLTSQVEKNIIPMSRIDDAVYRILRVKFTMGLFENPYADQSLTGELGKKEHRELTREAVRKSLVLLKNGKSASTPLLPLPKKAGTTILSAIKSTVDPKTQVIFSENPDNAAVVSNKYDYAIVVVGEPPYAESFGDNLNLTIPTPGPAVIQTVCKRIKCVVVLISSRPLVVEPYVGAMDALVAAWLPGTEGQGVADVLFGNYGFSGKLARTWFKSVDQLPMNVGDKHYDPLFPFGFGLTTKAKK >SECCE7Rv1G0464880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:64805831:64807964:-1 gene:SECCE7Rv1G0464880 transcript:SECCE7Rv1G0464880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGDEFTPLSQLEYGMDKCKVRVRISRLWESFNPKDDTLFGLDCLLIDDQGKTMQARVEPGDIERFEDLLVEGKVYALSDFHVDSQRDYYMSCSNEWTMYIRRQTVVTEIEGDIDSIPLHSFELVKFKDLRYRCDDNSLLTDVLGHIVYAGELQEVSKKSRVIEICNARIQDLRGRALSVTLYGDTASGFAEDMLEKGQDASLVAVFAGMSVTSTSSVCSTTSSKYYLDLEIPEVQEFRANLLIQQANPVPEKTPAQKLAESWRTVEQLKSLDREEYDENTMFLCRVSLIDIDCSSGWCYLGCDTCQKSMSRAPRKYRCARCGPMMPVQWYKLKAKVQDVTGAMNLMIFCEVAEELVGVSAEELVNKIEDDDEWYTLPDEIEDLIGSTYTFEVFDKHMDGSFSVRSIMDDASIPAPAAADSQCKEEEADPEGSQKPNKRLRGDDDSINLDLGL >SECCEUnv1G0531630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17658950:17659811:-1 gene:SECCEUnv1G0531630 transcript:SECCEUnv1G0531630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPAPINLAPSTPTLKLLIDKKANRILYAEAGKDAVDFLFSLLAMPIGTVAKKLQTGSDGVGVANIYASVEKMDTKYMHDKIVQEALLSSCRPMFLERPTTTLPAAPSMRASIHRTTSYPRQYASLTAPALAPMSMAADVARDSSLYGSIAAGGGHVQGLVTYTIMDDLTITPMSNISAVVLITKLNREEKDLVLDEKSVKIGEKEAFDILKASVNSNTVLTDVFLSDNNTNASLSKNKRSRTSSGEKKKDKIPDFYV >SECCE7Rv1G0489010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:449957442:449963503:1 gene:SECCE7Rv1G0489010 transcript:SECCE7Rv1G0489010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIATYRESLSRLAGEVDDATADEIPAPLPSRRGDHAPTPPSSGRRRRYTRPDSAEPDEISKLKEDIEKIQASEAEIKSLSFNYAAMLKEKEEQLVKLREENGSLRRSMESSNYKAVSGNSNGTLQRSPSRMQRNTDQEKTSSVLKQNGYAGGASQVVQKNGPQPLPVHYKGDVLVEEGAYFASKQASLENEIKQLKQQLRCYSNKEDETRRRLEDENKRNEFLQQQLNELKVDREMVATTMEELHKELSEKKAELRCPQDELSTRDNECASDGSLQSLRSMVMALQKENSDLKIAKGSLDEELVNTESTTQKVDNSTSDIDNFSDVEKVKEEMASLKKALQGASHERDRALQNLSRLKQHLLDKDLEDQEKMDEDSKVIEELRAICEQQRAHIVQLERALKVEMTKQEESKKTINDERLMSNEQIEDLQYKLANCMNALESKDQELLNLQTALGQYYAESEAKERLGDDLAMAREALAKLSESLKVANQGIKISSREKDEVVAKLSQAETMLADVKRSMQKLEDDNSRLRRALEQSMTTVSRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGSAQSNVGKVVVRGVLGLPGRLVGGIVGGNSAGKSAHAPQDNQSFADLWVDFLLKETEEREKQKASETAARLSQEENQTTSTRSASNLQASQHIANPGLSTKPHQFGLPDSDEFRTVPLAPSMYTST >SECCE5Rv1G0328830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509848569:509853752:1 gene:SECCE5Rv1G0328830 transcript:SECCE5Rv1G0328830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISCRVILLLLLPLNFVVAQNASRGAQELHVGVILDLETMVGKIARTSISLAMEDFYAVHQNYSTKLVLHIRDSMRDDVRAASQAINLLEDYNVEAIIGPQKSSQAVFISKLGNKSHVPVISFTATSPSLSSKSLPYFVRATLNDSAQLNCIASIVKNYGWRKVVTIYEDTEYGRGIIPYLVDVLEDIDAHVPYQSVLPPSATSEQITLELYKLMTMQTTIFVVHMSFTMASRVFIKAKEVGMLNKGYAWIMTDGVTNLIDSLNPSVLKSLSGILGVKFYVPKSTKLDNFTTRWNKRFQTDNPTDLPLKLSTFGLWSYDTIWVVAQAAEKVGLTNATFTKPGVTRNSTSLETLKTSSNGPKLLQEILQNQFEGLSGNFDLSDRQLQISTFQIINVIGKGWREIGFWTAQNGISQQLNKTRPATALPGSARDLYPVIWPGESKEVPRGSQIPVSGKKLRVGVCTSGYPEFMKVEKDYITGATKASGFSVDVFEEAVKRLPYALPYEYVIFSTTDDRSSEDYNDFVYQVYLKIYDIVIGDITIRYNRTFYVDFTLPYTESGIAMIVPVRDSINRNTWIFLKPLAPGMWFGSVVFFIYTGVVVLILEFLGNNKNVRGPIPSQLGIMIFFSIFEGKELVQRFLSRIVLIIWLVFLMVLTSSYTASLTSMLTVQQLQPTVTDVHELLKSGECVGYQRGSYVKGLLEELGFDRLKIKPYDPDDFHEALSRGSNNGGIAALVHEVPYIKLFLSNHCKGYTMVGPIYKAAGFGYALSKGNPLLRDISNAILNVTGGDAMIQIEKKWIGDQNNCQNVGPLTGSSTLTFANFRGLFILTGVASTSSLLIALIIYFYKKKHKSTKIMLDNTNLPEENGINEENSELQEGNQDSTGVEQNTQRAGQEENGLEQQTSLELEPGTTIVMSSNGSTVISRGKRTTGLQVELM >SECCE6Rv1G0397420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:392524750:392526784:1 gene:SECCE6Rv1G0397420 transcript:SECCE6Rv1G0397420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLENTSEDKGLSFQCNLSDIEVVHSLTQLLLHALATASVDSTTGDMFKSPSSVAIGMKTELSGYMIQRSETLFRESMDGGEDHSDQLIKASSRPTEFLSDLIEDFVTSKRGMLSHVSGLFSSESRLNKIKDFMQKLETDNLWAQDERKATARTILENIDTKGIFHCPERFDMPDKLAEHTSQCKFRILNCTNDGCVASFCAIHIEKHDTVCPFKLLPCEQLCEQHVMRSEMDKHCATVCPMKLTNCPFFRIGCETAFPQCSLDNHCSRFLQTHLMYVVKVITRQGDSVNDMDQRLQLLEKVQSLNELSGALDVKALTLITKEQESKINKLERDLKAQETRMKKLENDLRSRK >SECCE2Rv1G0134330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900947280:900948595:-1 gene:SECCE2Rv1G0134330 transcript:SECCE2Rv1G0134330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSQMSKDPRNHHYLDLGAARQVPETHSWEGLHEHPVVDGGVGAGEDAVPVVDLRDPHAAEALARASEQWGTFVLEGHGIPSELLARVDAGIAGMFKLPASEKMRAARQDGQSHGYGPPPIASFSSKATWSEGYTLSPANLRAELRKIWPDAGEDYRHFCDVMEEFHRQMRAVADKLTVLFVAALGLAGEQGAAVEAERKIVETMSETVTMHLNWYPMCPDPKRALGMAKHTDSAFFTFVMQSHVPGMQLFRRGPDRWVRVPPGALMVNIGDLFQILTNGRFRSMYHRAVVNRDNPRVSVAYHLGPPADAKVAPLRGKPAYRTITWREYILVRKEAFATGGSALEMVSLSPNDDDDIGDGADQISEILS >SECCE6Rv1G0384050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:59937590:59937961:1 gene:SECCE6Rv1G0384050 transcript:SECCE6Rv1G0384050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLRKSLKSGSCDDLENGRRKMREEYEAGHARLLDLQAREREMRELVPAATSAIALLATPRVGDTRETYCHVCHDDFEEEDKLRAMPCAHSFHQRCIFRVLSVNRLCPVCSYELPGDYLEMP >SECCE3Rv1G0162710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:137151510:137153351:-1 gene:SECCE3Rv1G0162710 transcript:SECCE3Rv1G0162710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARHAGKKRGPEDEAEAEVHHTFRGAANALSQLYAQAVANQKASFIAGERHAMESAHRWISSQHEEASGVSVADVLAYLQNEIESRGGMAGSSQHPTPQLAYGIPSANVQINSFSFGNVAAALDSQLYQTDQTRTAGISNAFSSPSQQNSHSNHLVQCSGHGPVNSPPSGSRARNDHSPQNQDSVHPNSYEPSMDMNHDAP >SECCE2Rv1G0079230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:116560644:116562690:1 gene:SECCE2Rv1G0079230 transcript:SECCE2Rv1G0079230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGAALQRHASVAVLRAAAAAGNLSAGKAVHAQTVRAAHFDVVLHNHLIAFYAKCGRLGLAHQVFDAMPSRNPVSGNLLMSGYASSGQHGDALALLRAADFSLNEHVLSTAVSATAHVRSYDMGRQCHGHVVKSGLAEHHYCAHVEDAVKAFESVSGFNAFAFNSMINGFLDKGMFDCSVSVVRSMVGEVEQWDHVSYVAVLGHCASTKELLLGRQVHAQALKRRLELIVYVGSALVLPEKNVVSWTAVMTAYTQNELLEEALQLFLDLKMEGVQPNEFTYAVALNSCAGLAALKNGNALSASAMKTGHWGALSVCNALINMYAKSGSINNAWRVFLSMPWRDVVSWNSIIIGYAHHGLAREAMSVFHDMLFAEETPSYVTFVGVLSACAHLGLVDEGLYHLNTMMKEMGIKPGREHYTCMVGLLCRDGRLDEAEHFILNNYIGTDVVAWKSLLGSCQVYKNYGLGHRVAEQILQLKPNDVGTYVMLSNMYAKANRWDGVVKVRKLMRERGVRKEPGVSWIQVGSEVQVFTSDDKNHQWINQITRKLRELIDQIKVIGYVPNFAVVLHDIEDEQKEEHLMYHSEKMALAFGLIHSPEGATIRIMKNLRICDDCHAAMKLISLVTRRRIVVRDTVRFHCIQDGVCSCDDHW >SECCE2Rv1G0111080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:697670701:697673223:1 gene:SECCE2Rv1G0111080 transcript:SECCE2Rv1G0111080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLCILSLGLLLLFHIPHWRPSSAAASDTLTAGQSLAFGGKLVSRNGKFTVGFFQPAASITSKSQNTTSSSWYLGIWFNKIPVFTIVWVANRDQPITGPNLNLTQLKISSDGNLVIVNNDSVVWSTHIVNNRTQISSINTTTGAAVLLNSGNLALTVTESPSSSDLPLWQSFDYPTDIFLPGSKFGRNKVTGLIHRSISKKSLIDPGLGSYSIELEETKGIVLKRRNPLVVYWHWASSKTSSLSLIPILNSILDLDPRTKGLINTTYVDNNQEEYYTYTSPDESSPTFVSLDISGLIKLNVWSQANQSWQIIYTQPADPCTPAATCGPFTVCNGIAQPFCDCMMNFSQKSPLDWEFNDRTGGCIRNTPLHCNTSSNNRNITSSTDMFHPIAQVTLPYNPQSIDTATTQSECEEACLSSCSCTAYSYGSSRCSVWHGELLSVNLNDGIDNTSEDVLYLRLAAKDLLPSLRKNKRKPNVGVVTTASIFCFGLLMLMVLLLIWRKKFKWCGSPLHDNQGSAGGIIAFRYTELVHATKNFSEKLGGGGFGSVYKGVLSDSKIAIAVKKLDGAQQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDHRLLVYEHMLNGSLDGHLFKKSNNADAAVLNWNSRYQITLGVARGLSYLHQSCHECIIHCDVKPENILVDTSFVPKVADFGLAAFVGRDFSRILTTFRGTAGYLAPEWLTGVAITPKVDVYGFGMVLLEILSGRRNSPEIYNTSSSGSYHVKYFPVQAISKLHGGDVKSLVDPRLHGDFNLEEAERVCKVAGWCIQDNEFDRPTMGEVVRVLEGLQEIDVPLMPRLLAAITARPGAAT >SECCE4Rv1G0294600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:891669877:891675326:1 gene:SECCE4Rv1G0294600 transcript:SECCE4Rv1G0294600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPAAAVAAEGGEQQIVAAAAKKGGGKRGRREMRRIEDATSRQVTFSKRRSGLLKKAFELGVLCDAEVALIVFSPRGRLYEYASAPDLQKTIDRYLNHTKGTSANEKTVEQPAAGIQMCRSEATALKHKIDAIEAYQRKLSGEGLGSCSAHELQELELQLEKSLSCIRQKKQQKMLDKIFELKEKERKLLTENSVLREEYKALPLLELATAAAAAAERSADGAGAEEAEEDERRRHYMEVETELVIGRPGSSS >SECCE6Rv1G0422290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689314466:689315524:1 gene:SECCE6Rv1G0422290 transcript:SECCE6Rv1G0422290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSSPASAVSGSAPAPPHVVEDCMGIVQLLSDGTVRRSLDYSHLPMLRHVPSDLPVQWKDVVYDAGHGLRLRMYRPTTAGRAEKKHPKLPVLVYFHGGGFCIASFEWPNFHAGALRLAGEIPALVLSADYRLAPEHRLPAAHRDAETVLSWLRDQAAADTDAWLAECADFGRVFVCGDSAGGNMVHHVTVRLGSGALALGNRVRVVGCVILWPYFGGEERTAAEAEADAMAPSSEFDPGRNFDQMWRLALPDGATRDHPAANPFGPESAPLDDVPFPPVLVAKAGRDRMRDRVAEYVARLRAMGKPVELAEFEGQGHGFFVFAPFGDASHELVRVLRQFVCTCTATSHG >SECCE6Rv1G0421270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:682827648:682829760:-1 gene:SECCE6Rv1G0421270 transcript:SECCE6Rv1G0421270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEACEIARLPEELLSAALARTTPRDACRAAAVSPAFRAAADSDDVWARFLPPGGLPPLADGEPPALAPPSSKKELFLRLSAGPALLHDRLVSVWLDRETGAKCYMLSARNLFIVWGNTPEYWTWIPLQDSRFSEGAQLMDVCWLEIRGKIHSKMLSQDTTYAAYMVFKLTDNSYGLDFPVQEASVSTGATNLTRKVCVQANDDEDEGGVPENYRPMSSFPRLRTWRRNRRVVSHEENATFPQKRADGWMELELGEFFNEGGDDGEVSFSLTETKGGQWKRGLIVQGIEIRRKKSG >SECCE6Rv1G0396570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:368830193:368831368:-1 gene:SECCE6Rv1G0396570 transcript:SECCE6Rv1G0396570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRYLVVLLLVLGCLGRPVPPSPVPRTVDGITAIYNFGDSISDTGNFIREGAVGLMEHTGRLPYGSAIGGPTGRCSDGYLMIDFLAQDLGLPLLSPYLDKGADFTHGVNFAVTGATALDTAALARIGINMTHTNSSLAVQLQRFKDFMASAAKAPSEVREMLARSLVLVGEIGGNDYNYAFAMNSPQPNGGLHNIGHMITGAVESLVLVPQVVKSITGAAKELLDMGATRMVIPGNFPLGCVPSYLEAVNETDPAAYDGNGCLVGLNLFAQIHNVLLLQGIRELRQLYPSATISYADYFSAYVQMLRGASKMGFDAAAATKACCGAGGGAYNFDMGRICGAPGTAVCARPEEYLSWDGVHLTQHAYKVMTELLYYRGLASPAPVNFPRM >SECCE4Rv1G0253790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:629626842:629627810:-1 gene:SECCE4Rv1G0253790 transcript:SECCE4Rv1G0253790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAADKVETEVLPFIRVYKSGRVERLLGTDTVPASLDASTGVASKDVTIDPATGVSVRLYLPPAAAASGGKKLPVLVYFHGGGFMVESAASPTYHHYLNALAARAGALTVSVEYRRVPEYPLPAAYDDSWAALAWAVAGTAPGGPEPWLAAHGDASRVFLAGDSAGANIAHNVALRAAAEVLPRPCAAIVGVLLVHPYFWDPTNAMAPALEVRIRHEWRFICARPDAEVDDPRFCPTCAEAAPRLAALPCKRAMVAVAGDDFLAVKGRAYYAALLASGWRGEAELVDTPGQDHVFHLLRPGTEAAAEMLDRVAAFISRA >SECCE4Rv1G0239130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:394744378:394754611:1 gene:SECCE4Rv1G0239130 transcript:SECCE4Rv1G0239130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMELAVIHLARPALTPLPSLRPRGRRMLRLRVRCRIDGDEGGGARGNEEDAPESLFANELRRRGMAAGSVPSGGKSGAGAEAEEGGPGGEAGRKRGVGAAAAEFERAAAGADGQRERSMALNSEGLEGLVPRAKLLLSLGGTFFLAFGPLIIVMVSLFAGLYLYFGQSFVHEGSKKPVAPPPYIDPYELLGDDRISRPSVDVSRISTTQG >SECCE4Rv1G0252900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:619772595:619777062:1 gene:SECCE4Rv1G0252900 transcript:SECCE4Rv1G0252900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHLPRCRAMPLPPPPPPPGTLGIPGGRVFAPLPSAPPLQSRREVHVWYLCPGELNDQSQLEMYKQLLSPAEKEYADSIKETTLRKHAMLSCALKRTTLSRYCKIDPSSFEFKYNNFRKPEILWPSDDIVELPLHFNISHTTSLIACGIAMDAKIGIDIEEKKRKTTKSILSLARRFFTPSEADYLTEISGSYAQGKEFFKLWTLKEAYVKALGLGLSGAPFNEFTINLKTSKGIRVSKASKVCNDSNSGCDHLSENWLFALAELNSSHYMAVCMDADSRCQGENSPAPVGLKVWKTIPFVEDTLVSGTEAVKLIT >SECCE2Rv1G0077290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:98877972:98878394:1 gene:SECCE2Rv1G0077290 transcript:SECCE2Rv1G0077290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRADLLLFLPLALLHLLSSCPHTASGAPNTAPLTVLCNGAVYGAGDPFAESLAYVLADLLAATPQSRARDAYSISPYPSAFAYGHAACRAGLSGVDCASCLGSAVSQMNATCGHAVGARAVLVDCSVRYEQYAFVA >SECCE3Rv1G0200840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:858061982:858066619:-1 gene:SECCE3Rv1G0200840 transcript:SECCE3Rv1G0200840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGEADPGAGRPAGGDDPAPRTQRPHLAKSRTISGSAAAAAAASAEIRRGGRDGGILARRTTTAAAAPLPQVPRRLTVAVDDPSHAAPNAGVLDRDWCYPSFLGPHASRPRPPRQQQTPPPAAARRNPSSNPATTRRVAASQRDEEKSLASVVKQSALLGERRPLSPPPPPPPRARRFDISPYCLLLLLVVTVTSSSLAFWQWMKVLGLQKRVRSCSGGADAGDSEETAEKSWVLGDPGSGFVSSESWKLAPMLALAIPIFLFKYAEQLRRKKENSSRTRSTEEEVPLEKRIAYKVDVFFSGHPYAKLLALLIATVILIASGGIALYSVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISAGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEVNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIGKLGFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHIVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKLASRFGSILMNPDDDYILREGDEILVIAEDDDTYAPAPLPEVHKGFLPNVPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKARETKLTDGGMDILGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVQSDSRSLATLLLIRDVQSKRLPSKESKSPLHHNGFSHSSWIRKMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFLDIMVRARERDEIVIGYRLANTDEAIINPEHKSEIKKWSLDDVFVVIAKADRNDNRAKHMRKYS >SECCEUnv1G0529320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7300499:7303251:-1 gene:SECCEUnv1G0529320 transcript:SECCEUnv1G0529320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATPLRHLLAAAPDPATGAAPRAFPPHRRVHLRSVRHAAAPSVGGGRPTVLVTEKLGAAGLELLRGFANVDCAYELTAEELRAKVSLVDALVVRSATRVTREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAVALLAAMARNVAQADASLKAGKWQRSRYVGVSLVGKTIAIMGFGKVGSEVARRAKGLGMDVIAHDPYAPVDRARAIGVDLVSFDDAISTADFISLHMPLTPSTTKIFNDETFGKMMKGVRIINVARGGVVDEEALLRALDNGTVAQAALDVFFEEPPPKDSKLVHHENVTVTPHLGASTTEAQEGVALEIAEAVIGALKGELAATAVNAPMVSAEVLAELSPYVILAEKLGRLAVQLVAGGSGIKAVKIVYSSARDPDDLDTRILRAMVTKGIVEPISSAFVNMVNADYVAKQRGLRIIEEQILLDGSPEVPINSIQVQLANVESKFAGALSDEGDIRVEGKVKDGTPHLTLVGPFSVDVSLEGNLLLCRQVDQPGIIGKVGSILGTMNLNVNFMSVGRIAPGKQAIMAIGIDEEPDKEALNLIGETPLVHEFVFLKL >SECCE2Rv1G0123690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:826267374:826268735:-1 gene:SECCE2Rv1G0123690 transcript:SECCE2Rv1G0123690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHDEAPLLLRISTARGGHMGGGECDEAENQRWPPWLKPLLATSFFGQCKMHADAHKCECNMYCLDCISGALCSQCLAYHHGHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGEFRGRKRHVGGMKMKLKLKKGGGAAPASDSDDSSTITSGGSDKSSVVQSFSPSTPPATTANSYRSAKRRKGIPHRSPFGSLIVEF >SECCE5Rv1G0336520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:584736255:584737822:-1 gene:SECCE5Rv1G0336520 transcript:SECCE5Rv1G0336520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIEATARSSSPLHVVVFPWLAFGHMIPYLELSEQLARRGHAVTFVSAPRNLARLRPVPEDLRPRVRLLPLPLPSVDGLPCGAESTADVPPEKVDLLKVAFDGLAAPFAGFLAGACAGGEGATGFGKKPDWVFVDFTHHWLPPIAERHKVPCALFSIFPAAFIAFLGPKTANDEHPRMTAEDFADQPPWIPFPTHVVHRLHEAKQFVQLHLRPNAAGPSDCRRFWETEQHCPLVIVRSCREVDGPLCPLLTDLFGRPVAPSGLLAPYDAAQEAAAGGGEDEDKESVSLMRWLDEQPERSVIYVAFGSEAPLTPEQVRELAIGLELSGARFLWALREPSGPLIPDGFEERASGSGRGLVRVGWVPQVRILAHGAVGAFLTHTGWSSLMESFLFGHPLVMLPLFADQCVTARAMAARAVGLEVPRDERDGSFAGADVAATVRRVMMAEDEEGKALARNARAFQEILCNRAKQDKYVDELVEHLLRLQ >SECCE4Rv1G0247000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:552029764:552032242:1 gene:SECCE4Rv1G0247000 transcript:SECCE4Rv1G0247000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKACLSELCTSCCSCILPPSSPRANQEMASDGAITHRSVDANGVRLHVAEVGPAGAPAVLLLHGFPELWYTWRHQMRALAAAGYRAVAPDMRGYGGSDAPSGGPDQYTALHVVGDLVALIDSLGEKQVFVVAHDWGATIAWNLCLFRPDRVKALVALSVPFSPRNPARKPVDGLKGLYGDEYYMCRIQEPGAIEAEFARLGTELVLRKFFTYRTPGPLFIPKSGWGSPDDEVPLPSWITEEDIKYYAAQFDKSGFTGGLNYYRALNKTWELTSPWTGAEIKVPTKYIVGDLDLSYYVAGAHDFINKGGLKKFVPLLDDVVVMKDVGHFINEEKPEEISAHIISFIKKFD >SECCE7Rv1G0484420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343857431:343858144:1 gene:SECCE7Rv1G0484420 transcript:SECCE7Rv1G0484420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLRSASAPSSPRSSKPQVEQQLQSLTATISSPLATIDTTCEGLRKLADIYSCIEEMMCTPSNQVSLCKTLQRVAVEAELGRSLVVLDLCNAMQETLMELKMTVQELLLVLKRGEDATYQVKAYIRLSKKAQKQFKKISKKTASDKNDSRVVMLMAEAREITVSLLESTSCILSKQIEMPKRSLVSKTLQKSKVVCEEEQLRVLECSIEDLESGVELLYRRLIQNRVSLLNALSL >SECCE7Rv1G0467440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:87748863:87750380:-1 gene:SECCE7Rv1G0467440 transcript:SECCE7Rv1G0467440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSTSSGDLVALSARCSTKRELRLIHGALLRRRHLLPAADAVAALAKLLRFAAVSPAGDLRQAAAMLSTHLPFISSASTHPAFFYNTLMRGLAASSSPGDAIGLFAAMRRAGAAPDAFTFTFVLKSCSRCPSGRRLPSDLHAQAIRHGCLGELSAHTHVHNALLHAYACRAAVDDACRVFEEIPVRDVISFSGLLTAHLKANDLDAARLVFDQMPHRDVVSWTAMISAYAKACRPQEALALFDTMPMQPDEVTMVSIVSACTTLGDLAAGERVRRHIESLGFGWMVSLRNALMDMYAKCGCLPEARALFDGMTVRSLASWNTLISAYASHGDLDNTIAVFYQMLAEGNTVRPDGVTLLAVLMAYAYKGCVEEGRAMFNAMQRGDFGKVELTVEHYGCVVDMLGRAGKIEEAYQMIEQMPIPSNAVIWGALLGACRTHGDIDMAERAVQELRNLNPEEGGYYILLSDMYTSAGRIAEATEIRHAMNERGVQKTTGRSTAFLPQL >SECCE5Rv1G0324630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:448908907:448914777:1 gene:SECCE5Rv1G0324630 transcript:SECCE5Rv1G0324630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRRPASFLTQANALLRKNLCLQKRNLKTNIGITIFPILICVLLLVLQNIINNELDKPKYKCGCACVDTDMYGSCKKRECGVQYSTLEQVWSCAIPSPPRWPALMQVPQPQFRAVRTVSQPFDDLPDPSCRDSLSCPATVLVTGKDRGFAESIAGGLLPVFSPTLNVTDYLDALSRIVVGSDTIPGYTQLVEPAFSSSDTLYLLQPQCVPYLSQTISYNAEGIPLQLNIQCVEGVLLWRESTSVINDELLKGYIQRGGKTNEFIAGYDFLSSTEYGLGINVWYNSTYSGKTAFSFIASLRVPRLVNAVSNAYLKYIRGPGVEVLLEYVKDMPKVGTSYRLDLSSLISPLFFTWIVQLLFPVMLTYLVYEKQQKLKIMMKMQGLKDGPYWMISYGYFFVLSVVYMTFFVIFGSLIGLNFFRVNSYGIQIVFFFVYINLQIAFAFFVASLFSSVKIATVIGYIYVFGSGLLGAFLFRFFIEDINFSSGWILVMEIVPGFSLYRGLYELGQYAFSGNAMGATGMMWENLKDPINGMRDILIVMTVEWALMLVLAFYLDQVSSIGGSVGNPLLFFRCLQKKHAPPLQSNFAQQNHKVAVDMEKADVAQERQVVEQLLMDCNANQAIICDNLRKVYPGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMVGLTKPTSGTAYAHGMDIRMDMDDIYTNMGVCPQHDLLWETLTGKEHLFFYARLKNLKGAALVKAVDDSLKSVNLFHGGVGDKQVGTYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNNLWKIVKEAKRNRAIVLTTHSMEEAEVLCDRLGIFVDGEFQCLGNPKELKARYGGAYIFTVTTPPEQESAIEELVHRLSPSANKVYNLCGTQKFELPKQEVRIADVFHAVEMVKSRLSIHAWGLVDTTLEDVFIKVAKGAEVFNDVS >SECCE1Rv1G0034080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:476277665:476283493:-1 gene:SECCE1Rv1G0034080 transcript:SECCE1Rv1G0034080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDALSQISEAFRLAGELMGELQATQNGPAYIAARCHGIVHAYNRAIRMLQHYGVGDMAAAAAPRELDAGPPDMRPCSTDEAGASQFLGEAPAHLAHRKEPFHMPAGVFGARVAPPYTLCVGAGVAGTSGGPMRRLPSSRSPPAVHPRQGRRRRESGKRETVLVPAQQTGNTELPPDDGYTWRKYGQKDILGSRYPRSYYRCTHKNYYGCDAKKKVQRLDDDPFMYEVTYCGNHSCLTSTTPLLTLPTASATTSAANSPTAAAGSDLPTRDLPIEPAEQRHMAALSTPVKLGISWMPASFQGILAGPGTSMGSITNMKMNVSTAPRGTDYPTLDLADVMFNFSSNMDDIFSSYDRHDK >SECCE4Rv1G0260390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:695421157:695422281:1 gene:SECCE4Rv1G0260390 transcript:SECCE4Rv1G0260390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAATAFRFLAEEAKKSQDGGGGGSGGGGGGGGGGGGLGISPVVLVVVLVLAALVFVSGLLHLLVRLLRWRARARTGATEGGGSEVGEGVEGRGAGEESALQRQLQQLFHLHDSGLDQAVIDALPVFLYGEVVVGAGSGAKEPFDCAVCLCEFAGDDRLRLLPLCGHAFHIDCIDTWLLSNSTCPLCRRALAADDAAGLLLLDAAALDEGWGREDGAVFPVRLGKFKSTARAAAGHGHGPVQHDDGIVAAEEGDASSSSSSLDARRCYSMGSYQYVLAEASLQVSVHRRNVDGGNGGAAERMRGPRGVVANQPGFGGGEGKRIGAGSKGDSFSVSKIWQWPRNGKGKLPVLASDGSPAVDGALQWPRRSVGES >SECCE2Rv1G0119790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:794254852:794255244:1 gene:SECCE2Rv1G0119790 transcript:SECCE2Rv1G0119790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGVKVTYIETQFVTSDAAGFKSLVQRLTGKSAEPEAGGAPPIHRPRPSRPATERRNTVAGARSHFMPATAGAVGTAGNDARAANANANANAGAAQPFPNELYELCDYSELFCTGERRHGGGYSDFLY >SECCE7Rv1G0457150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14468079:14470340:1 gene:SECCE7Rv1G0457150 transcript:SECCE7Rv1G0457150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEASNAARCGGITETFGTVEERLLRLEVVVLASALVLASLVLYGSTQRRSSHRVLRGVMWIAYSLSYVVVTYAVGIIQEGPFHGQTFVLWASALLLIQASAYAAPVHSRRDVDQRKKLLLQHALQTALVLWLILKAIGANTSYRAAIWAFWTLSVLKTAAKIVEMVRSSLPDPSVKVVAEYMDVEDFLAADQLPHDPATMKGYKYLFHGEEAIQRQLSHQPRSSQDEILMTTATGSALTRTTSSILTATTSSAPAPAMVKGVVTIDQVYRWIDRQLGYSGAERDMARDFCLAFSLFKLLKRRFYGYIPAEAGSPKSLDLVLTGLIHRAVTGPDAAFRVVEAELAFLYDFFYTRNILPVGVKTYIFIAVVMLSLTMWTAFVGTLGRGYSRPHVGVKDLDRSVTVVLVVITAGLEVCQALAAFSNNWRYVMTVYRCVRDDRLWTKQQRGHLWCWKQSIAPPETTYWEQKIGQYVLLHWYDHRPWNVRSWITLYLVEPRRQGQKKGNRKALPQQVKRAVLASLKASRGELTNGVATVQRHGLSQQLTWACRLPKVTDQILVWHVVTTRFKWISGRGGDTVDARGDGVDDHMLVARKLSNYCAYLVAFVPEMLPDPSYNAEQIFDTAVRQARVHLDGCRTKAEILDRLDKIQSKEEDYLEGGNLYERAGSSSSIEKVALLGGQLRASVPDEGRRWKVLAEFWAEFVLFLGPSDNVDVHAEMLGAGGEFMTQLLALLSHAGILERTAAATPSATGI >SECCE4Rv1G0289430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:865111783:865112223:1 gene:SECCE4Rv1G0289430 transcript:SECCE4Rv1G0289430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMKTMFRVLLLCILVATPMAVEYDVGSDNSGPWMWCDPAMGHRVSPLMGCRAMVKLQCVGNQVPEAIQRDCCQELANITNDWCRCHDLSSMLDSVYEELGVREGTEVFPGCRKEVMKLTAASVPEVCKVSIPNSSGGRAGVCYWA >SECCE7Rv1G0513420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:821489548:821492532:1 gene:SECCE7Rv1G0513420 transcript:SECCE7Rv1G0513420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTMPRSGVEEGGGGGGGLKKGPWTQAEDRVLVDHVRRHGEGNWNAVRRETGLQRCGKSCRLRWANHLRPNLRKGPFSPEEERLILRLHGLIGNKWARISTHLPGRTDNEVKNYWNTRLKRRQRAGQSLYPPDVEREIALMRAHNINPLADADGNTAASPFSDPFALPPRPPSSTKSVSHSHSSPLINQHCPLLNQMQGLQMRHHAVQHAHPQPAFYNHHHHHGGMRSAGLPPLPARPRELPSNQIDSASCSGGGDGLLEALLLGVDEHQLPRPNHAMCKADSMPELMYGGVPSTSDGDVTSHHGGKWDFLFDDVKPPMRRAASSTEDETSGMFGVAHGSISGEWFGTGVGSPGPSSVVTTDDEFSLEMQQLMSSLPLSADELNWNA >SECCE6Rv1G0378490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9198935:9199282:-1 gene:SECCE6Rv1G0378490 transcript:SECCE6Rv1G0378490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKEKRVKAVAVCVLLAVLLSSAQRLPVADAASGFCKCYVTCYSDCRLRQAPLFCKPLCGLTCALGGQMGGGCPGSDAADADAAATCVEDCRQLLKAMHG >SECCE7Rv1G0476840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:212100603:212102999:1 gene:SECCE7Rv1G0476840 transcript:SECCE7Rv1G0476840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGRHLGRTMSFGIPDVALGLVMGCVEDPWDRDAISLVCRHWCKVDALSRKHVTIAMAYSTTPDRLFRRFPCLESLKLKAKPRASMFNLIPEDWGGSASPWIRELSASFHFLKVLHLRRMIVSDDDLAVLVRAKAHMLVSLKLDRCSGFSTPSLALLARCCKKLETLFLEESSVSEKENDEWLRELATSNTVLETLNFFLTDLRASPSYLLLLVRNCRRLKTLKISDCFMSDLVDLFRTAETLQDFAGGSFDDQDQGGNYANYYFPPSVQRLSLLYMGTNEMQILFPYGATLKKLDLQFTFLTTEDHCQLVQRCPNLEVLEVRDVIGDRGLEVVAQTCKKLQRLRVERGDDDQGGLEDEQGRVTQVGLMAIAQGCPDLEYWAVHVSDITNAALEAIGTFSKNLNDFRLVLLDREVHITELPLDNGVRALLRGCTKLRRFAFYVRPGALSDIGLSYVGEFSKTVRYMLLGNAGGSDDGLLAFARGCPSLQKLELRSCCFSERALAVAALQLKSLRYLWVQGYKASPTGTDLMAMVRPFWNIEFIAPNQDEPCPEGQAQILAYYSLAGARTDCPKSVIPLYPSVGS >SECCE3Rv1G0145440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:7140465:7140884:-1 gene:SECCE3Rv1G0145440 transcript:SECCE3Rv1G0145440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAAHGAAASLDLSLSLAPMRPPPPPPSSSSFWAEGDAAAGDGGHGGHDGEARSRRLFSCLFCDKKFLKSQALGGHQNVHKKERAGSWNPHLYLQPDHGDWPAAAAAKAPARWPDARLDDDGQKQLQLQQQLDLNLKL >SECCE4Rv1G0232390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:197336008:197336703:-1 gene:SECCE4Rv1G0232390 transcript:SECCE4Rv1G0232390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPKAFFTLVLAVLTPMAAASQEMPTNLTLHNLCPYPVWPLITPNIGLPAIPDPDDAASRLDGAGEGLVTLAFPSSAWSGRVVARTGCSSSDDYDSSSPSSSSPAAVTRCDTGVPPAPVTVAQVSVHGPGGLAEYSVSLVDGFNLPVMVTPHGFEQGRQCPSLGCAVDLDVDCPRDARAPARGCRAQGQGHFFKERCPDTRTTPTDVEATPQRCIQPGELKIVFCPDSSN >SECCE5Rv1G0325030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:459608365:459613514:1 gene:SECCE5Rv1G0325030 transcript:SECCE5Rv1G0325030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLGAKQLLLCCLFLLWALAAVAEAKTVHEQWDISYQFTHSDCVRKLAVTINGHTPGPTIRAEQGDTVVVTIKNLLMTENVAIHWHGIRQLGTPWADGTEGITQCPILPGDTFEYRFVVDRPGTYMYHAHYGMQRSAGLNGLIIVAATPGGADAEPFAYDGGEHDVLLNDWWHKSTYEQATGLAAVPIEWVGEPKSLLINGRGRYDCSAMAPDADCNATHPECAAQVFAVVPGRTYRFRIASVTSLSALNFEIEGHEMTVVETDGHYVKPFVVKNLNIYSGETYSVLIKADQDPNRNYWLASNVVSRKPGTPTGTAVLSYYGGRSSPNAPPPTAPPAGPAWNDSAYRINQSLATVAHPSHVHAPPRRADRTILLLNSQNKIDGRIKWAINNVSFTLPHTPYLVALKHRMRGTFDESPPPETYNHTGYDVYGVQANPNATISDGLYRLEFGSVVDVVLQNANMLAPNNSETHPWHLHGHDFWTLGYGVGRFDPAVHPATYNLRDPVMKNTVAVHPYGWTALRFRADNPGVWAFHCHIEAHFFMGMGVAFEEGIRRVGKLPEEIMRCVDTKGGRH >SECCE1Rv1G0024380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:324539215:324543564:1 gene:SECCE1Rv1G0024380 transcript:SECCE1Rv1G0024380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAEMTGGNMLRLQSFPSNMGKQRRSFISTRHLPLRRPRVVRHCLDLRHLCRLPNQRAIVPNIRTMPALTAAVSRGVNSPLTEASVDDMEVLSRIIHFYRKPLLQESEAKELLRKVQAKVSSNIIDIKTEQCFNVELEDSLSSAKLATLQWLLAETYEPDNLQTGSFLEEEVSMSPYSFLVEVGPRMTFSTAFSTNAVSICKALSLMEVTRLERSRRYLLCLQPGSDPLDESQLNSFAALVHDRMTECVYPSKLTSFRSDVVPEPVSVIPVMERGREALEEINLKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLEIDGETMPSTLFQLVKSPLKANPNNSIIGFKDNSSAIKGTLVNHLRPSLPGSTSPLSLMMRELGILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTTGYCVGNLQIEDSYAPWEDSSFSYPSNLASPLQILIDASDGASDYGNKFGEPLIQGFTRNFGSRLPNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVIRACAEMGEKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRNLLQSLCERERVSMAVLGQIDGSGKIVLIDGAAVEHAKLSGLPPPPPVVDLELEKVLGDMPQKTFEFKRVSRLSEPLDIAPEVTLMDVLKRVLKLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPIKGLLNPEAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKIDGEGADMYDAAVAMADCMIQLGIAIDGGKDSLSMAAQCDGELVKAPGNLVISAYVTCPDITLTVTPDLKLGKDGVLLHVDLAEGKRRLGCSALAQAFDQIGNDCPDIEDVPYLKKVFEVVQELLSERLISAGHDISDGGLIVTILEMAFAGNCGVNLNIESKDNGLLQTLFAEELGLVIEVHLDDLDLVKQKLNAAGVSANVIGEVTASPEIELLVDGEVCLKERTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKSRTSPLWHLSFTPKFTDKKLLSASSKPKVAIIREEGSNSDREMSAAFHAAGFEPWDITMSDLLNQKADLMEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPDIGGSLGAGGDMSQPRFTHNASGRFECRFISVAIGDSPSIMFKGMEGSTLGIWSAHGEGRAFFPDENVLSDVVKSNLAPLRYCDDANNVTEVYPFNPNGSPLGIAALCSPNGRHLALMPHPERSFMMWQYPWYPKEWQVEKSGPSPWLRMFQNAREWCS >SECCE7Rv1G0522550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878448984:878449980:1 gene:SECCE7Rv1G0522550 transcript:SECCE7Rv1G0522550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKIVLPSVILALRLVAMLLLAGSLALIVTNKVDVCSDLFEGGRFVLKFKDIYTYRYVLDIAATGCAYSLVQTPLGAVAGAKKKRVIGETTNVALFLIYIFIIAFATAAGASYWFSTDADCYLDELYPDSLTKANADFKRLRQDLDMFFVRASAAALLMLLAAKCTAAVLVISVYTLVK >SECCE2Rv1G0123070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:821267843:821268376:1 gene:SECCE2Rv1G0123070 transcript:SECCE2Rv1G0123070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTMGACQALSCLVLLSLLVSSSASTLDHVCKSVGASNEDIGYDYCIRFFKAFSASTTADKRGLVVIAAKIVRAEAANTRNRINALKASETDMKIVRRLSDCHAMYTSGLHLLDAAAKAIKLGHLQDAEANLNAALENPDTCEEGFRELGVKSPVAAEDYEFTKGCSIALSIASTL >SECCE5Rv1G0342180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631020355:631020789:1 gene:SECCE5Rv1G0342180 transcript:SECCE5Rv1G0342180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVHKGGVWWFLLLAAVLLAAAAAVGAEQDDGPVATATPEVAEVVGRRADDQGNDICDIKCQHHQDPARKQQCVDECHSREHHHPSREACESKCSHWVDPTKKEYCVQQCMRRGLSLDVGDSNNVDERLHSWDTVAGATLEVV >SECCE1Rv1G0008920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:50688110:50688820:1 gene:SECCE1Rv1G0008920 transcript:SECCE1Rv1G0008920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLPPLLPTPPRSEMLPILPTPPRSQMLPLLPTPQGLVLTMLVSAMAGRADFVHRWDWNKKGKKPCSSICSSSSSSSSEGGKSTGRADSVDRWDSGKKYNKPRTATSLSSSSSYSAGSPGRADSVERWDSKKKHVTSCGASLQPTARGRHDGNNKRLPSPSRASSAERWDLHKKPRPEQPRTNAAATTTPALATIPQKAMFSGPSFYASPEPSMLPMPSFFLLARSRALCTS >SECCE3Rv1G0199170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:843267782:843268862:-1 gene:SECCE3Rv1G0199170 transcript:SECCE3Rv1G0199170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQSAAAAHPQLPTSSSRRTRRSAQPCPRGAAAESLLPRGLRPESLPGHVAVVMDGNSRWARARGMPPAFGHEAGRRALVETVRLSRAWGIRALTAFAFSHENWSRPKAEVDFLMGLFERVIHDSVAEFLRDGIRLRVIGDCSRLPASLRRTARDAEEATKSNSQLDLTLAISYSGRRDIVQACRSLAQKVRGELLRPEDIDESLFAGELETSRGSEHPCPDLLIRTSGELRLSNFLLWQSAYSELFFTDTLWPDFGEADYLEALCSFQSRERRFGRRNS >SECCE7Rv1G0469900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:114417193:114420181:-1 gene:SECCE7Rv1G0469900 transcript:SECCE7Rv1G0469900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRLLSGNNFIFRRPGHSTICLPDGQYIDVYTDNDGTLVTGGSEGQPPRPVQEIFADIRRRQAAEEDAARTLHYWQRRPQPRPASDAASTSQLPPTPSTPLHSTIRVRCSRRPATPSPPPHRQLQPPQPESTPYGPGVPRPNFTLTDLDATRRRVADASKEFAHSDYPDGDGQLFTGVDELSQRVADVRMEATCSDIQTRPYDRPQPPQCEYPYYDVPETLEKPLLEPKNTNTSSSSTQPVAITHDISSVSRQSSPPIFARNPSGWHIQFFIRIDVGGYFHTYPSVGGPFQSLQEAENAIISHLDELRSPMMCTDGLSDAEICIKHGLYWLDGTRKSSPEGNPDHRNVSVLVQALLDKYNEDHHLLGDLAYELDDVVIFREFYEGENFTMFYHINLTTKTKGEDGLHSGVDNLFFAEVREIEGENDEYVLDCLCMVRPTDNGQCYGCMSYGNADLKHPVGADKNKGGRSTPHKPCCGFDLRCDVNTGLDAYIDNEEARMVAEEAMLRYINMCPADPPVLAKLDGARVPAGLAKREDSGLTKGECGQGSVKYIVPARRLLV >SECCE2Rv1G0103480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:590335507:590336245:1 gene:SECCE2Rv1G0103480 transcript:SECCE2Rv1G0103480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPWWDSDSKDRSAVEYRTYVGNLSWSTDERSLENSFHAYRPLSAEIVTDRETGRSRGFGFVNFGDSNSMNNAIQGMDGQELGGRTITVSQANQRPRRWKA >SECCE6Rv1G0405680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:534189278:534189772:1 gene:SECCE6Rv1G0405680 transcript:SECCE6Rv1G0405680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQRFGSDGGPSRNPAEERRLKRPATTESEETGGGGEEEEDLPPRTRRNMKDTQQKPRPSGSTAAGAGAASASSVRAVLQDFLEQQQRLDAQRQEAAARHAQERLAFEQQWRQEMQRLERERLMLEQAWREREEQRRMREEARAERRDALLTNLLNKLLRDDL >SECCE3Rv1G0149300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:24052432:24056774:1 gene:SECCE3Rv1G0149300 transcript:SECCE3Rv1G0149300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVLLLLLLLLLLIPGLATAIGYVCGSRGNYKADSTYQSNLAVLAATLPSNASSSPELFITANATASCSPELFATASSSPQLFANASMYVLALCRRDTINLTACTECRASALNYALKMCPNDRTATVYFDYDEVDALRPGCILGFSDDGDFLSPASGTTGNGTLFQYFNHEANIHGNAGVVADAVRELLRQTAQDAAATGGNFATGFMDTIGNGTTTTLYSLAQCTPDLSTGDCLACLQWLVVVGSANATNYLRLGGRIFRLRCNVRFEAFMFFDDKSVRRIPSPLSIAQAPATAPALAGKRHGVKPWVIALSVAASVALVALCFIVYCLWRLRTKSKKRGAALQGKRTHEFQERKGLVWEMETELAEFAVIDFNQILEATVNFSEENKLGQGGFGPVYKGHFPDGNEIAVKRLDSHSQQGFIEFKNEVELIAKLQHRNLVRLMGCCSQGEEKILVYEYLPNKSLDFFIFAQLDWDKRLAIIVGIAEGLLYLHKHSRLRVIHRDLKPSNILLDSEMNAKISDFGLAKIFSSNNTEANTTRKVAGTLGYMAPEYASHGLFSIKSDVFSFGVLTLEILSGKRNSHECGNFINLLGHAWQLFEEESWVVLIDAALLPNGNSEEMMRCINIALLCVQENAIDRPTMLDVVAMLSNKTMIIDKPKHPAYFSGDKEAPTTNQSCSVNDMTISTITPR >SECCE5Rv1G0324520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:447620147:447626021:1 gene:SECCE5Rv1G0324520 transcript:SECCE5Rv1G0324520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEAKKPTPASPLFSFSNPSASFGFGFGAAPGPPPPPPPPAVEVLLSEESPVAAGNLEPVVVDDSLSIYKGRVNTSDVFGVKNSDLVPGKYEGGLKLWEGSLDLVKTLNSDMKDDRLLIEGKHVLELGCGHGLPGIYAGLKGAGLVHFQDFNAEVLRCLTIPNVKANLFKESSQGTFTSRSVGFYAGDWSEIDKLLLRGDAVQDKTTSLHTENEGCRGYDIILMAETVYALDSLPSLYRLIKKCLHYPSGVVYMAGKKHYFGVGGGTRQFVRLVTEDGAMQSDLLAEVTDGSSNVREVWKFSFK >SECCE1Rv1G0051790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:656895566:656896143:-1 gene:SECCE1Rv1G0051790 transcript:SECCE1Rv1G0051790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAMLVLVMALLITAPSGETSTAEGHEMDTSKATKMQGHIHGRMRVLTDVQDYDYGGANSKHDPHRKPGGGH >SECCE3Rv1G0187930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:706561760:706565219:-1 gene:SECCE3Rv1G0187930 transcript:SECCE3Rv1G0187930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYADPFMPPQPASSSYAVPQGGHGQPQPAPRPPGCPYSSSASAPPVSTSYHSLPPAASPPPVSSPPPASPPPETLPSPPPALPSSPPPPALSPPPPDAPPPSLPPPSPSPSPPPAEVQAPPPPMAADQPRVQPRVYPSPPPPSLPPPPPVVLSPPAPPPSHPPSPSPSPAPAPAPTPVAVYSPPPPRIASPPPPPRHHTKPHYAPPRSPGRPHSNSTRSNGSGKNIEISRETATTIVAIAGLAMLSFIGATIWLVKKKRRRAEPPSALPTQQQPAPPPPPPNYIPSSAGSSLASDGFYLRSPGYPFMRSSTGSHGFPYSPADSGIGYSRMLFTPENLAGISNDFSDENLLGEGGFGCVYKGILPDGRPVAIKKLKIGNGQGEREFRAEVDTISRVHHRHLVSLVGYCVSEGQRMLVYDFVPNNTLYYHLHVNEVPLDWRTRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDDNFEAQVSDFGLARLAADSNTHVTTRVMGTFGYLAPEYALSGKLTAKSDLYSFGVVLLELITGRKPVDSSQPLGDESLVEWARPFLSQAIEHRDFGGLPDPRMENKFEENEMYHMIGAAAACIRHSAVMRPRMGQVVRALDSLADSNLNNGLQPGRSEVFLEPRTEEIRLFQLREFGSRECSDELSQASWRSRRDL >SECCE4Rv1G0219330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:30023613:30024954:1 gene:SECCE4Rv1G0219330 transcript:SECCE4Rv1G0219330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAAIGARAARACDGCMRRRARWHCAADDAYLCQACDASVHSANPLARRHHRVRLPSSSSPAATSSLQQADSDEPAWLHGLKRRPRTPRSKPGMVSKHGASAAAKAAAASAVPDLEAEDSGSGIVGDNDDGHGVEVDDEDLLYRVPVFDPMLAELYNPMPVDEFREPLEQKPAVCCFSSVANQPSSEYTSGVAEAANGFSGFDVVPDMELANFAADMESLLMGGVEDGFDDLRFLDEEKPQLNLDFDMADFDDQSTAAPAPEQELEDRKRKRSDTGMILKLDYERVIDSWAHDGGSPWFYGERPHIDPSDDSWLDLPAGSRGFGLGAAVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRTALPPRPPMVLAAHGHGGAHGRFCF >SECCE5Rv1G0303280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:47528811:47533758:-1 gene:SECCE5Rv1G0303280 transcript:SECCE5Rv1G0303280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAATLDYGRKTKNEDIRPGPLRPANIIRNKFPTYKNGSNGIVIKLADGPEVPPLKEVVAKETEELLDRRQRFSVRELAMKFEKGLNTATLLSKEVKWRQVALLERDILLKNLKSVLESLRGQVTGKTKDEIEESISMVEILAVQLSKREAELIQQKTEVTELAKSLKLASEDAKRIVEEERINANTEVESARSAVQRVQEALQEHEKMSKTTGKQDMEELKKEVRVARRIKMLHCPSKAMDLENEIKTLRKTFTEKSVDCVNLLKELELHKRPKGNDIPVFDLEGVQCLGSMLHIVCQNGTPMDFSNISVQWFRIHPKESNKEIISGATRPAYAPEPHDVGRYMQAEINFGGEIAIAKTAGLVDPDAGLVDYVETLVRKPETEFNVVVLQLNGIDQPKEAVHVLNVGRLRMRLSKGKTVVAKEFYSSSMQLCGVRGGGEAASQSMFWRPRSDLSFAVAFETARERNTAIMLARRFAIDCNIILAGPGDKTPW >SECCE2Rv1G0083920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172281071:172281445:1 gene:SECCE2Rv1G0083920 transcript:SECCE2Rv1G0083920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNTVVFLLGLFLSSVAMSSAARMLDEEMAPSKGEDHQPELPTLPKVELPPFPEVHLPPKPELPKVELPTFPEVHLPPKPELPTFPEVHLPAKPELPKVELPPKPEMPTIPEFHFPEPKPKP >SECCE5Rv1G0306730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:99193499:99194763:-1 gene:SECCE5Rv1G0306730 transcript:SECCE5Rv1G0306730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGLISEAGWTMFDFPPQGEESDIMAQLLGTFPSSHGYEAQQDLPWYQASHPSYYDTDVHTSGCSDSNASSFAVPSECMGYYLGDSSEAPGISSCTATQDLNLVQEQGATEFLNMIPSISHDLYGNGESSCEGLDSLSATNKRKHSVEEEIDGQARGRKCARKAAPKRAKNAKQTEASCCTSDNDSNASQESADAVVTPKGKARAGRGAATDPQSLYARKRRERINERLKTLQTLVPNGTKVDMSTMLEEAVQYVKFLQLQIKVLSSDEMWMYAPIAYNGMNIGLDLNM >SECCE4Rv1G0239580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:411329552:411330592:1 gene:SECCE4Rv1G0239580 transcript:SECCE4Rv1G0239580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGYVVVIALLVLLGDAATAVAGIRVDPAMMIRQPLDSVPTFREAPAFRNGAECAGGDKVNVAMTLDANYLRGTMAGVLSILQHTACPENVVFHFLAARMDGDLVAMLRATFPYLDLRVYRFDPSRVRGRISRSIRHALDQPLNYARIYLANTLPPDVRRVIYLDSDVIVVDDIRTLFSVDLAGHVVGAPEYCHANFTNYFTDTFWMDPALSGTFYGRRPCYFNTGVMVMDVDQWRTGGYTRRVEGWMAVQKQKRIYHLGSLPPFLLVLAGDIQAVDHRWNQHGLGGDNVKGRCRGLHPGPISLLHWSGKGKPWHRLDARRPCSVDYLWAPYDLYRPSSPVLEE >SECCE4Rv1G0277580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:804821097:804822592:1 gene:SECCE4Rv1G0277580 transcript:SECCE4Rv1G0277580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGEARSMTVQELANVLVKPEVLNQYVVRGHHDQQLAVAVEAPIPVIDLCRLFTEDGAATDEASRLRAALESWGLFLLSNHGVETTMMDSMMIASREFFKRPLEDKTKYTNLISGEQFQFEGYGNDRVRSPDQILDWSDRLYLKVEPEDERRISLWPIYPQNFRDVLHDFTKKCARVKDDLLRAMAKLLELDDDYYFVDQLGEKAETNIRCSYYPECPRPELVFGLKPHCDGTVLTILMVDDSVGGLQVLRDGVWWDVPIVPHTLLVIMGDQTEIMSNGFFKSPVHRVVTNAKKERVSVALDYSVDHEREIEPSAQLINEKRPALYMKVKVKDYIAGLYEHFSKGTMVIDTLQI >SECCE2Rv1G0087440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:226034308:226036240:1 gene:SECCE2Rv1G0087440 transcript:SECCE2Rv1G0087440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSLYLVAVGSAAAVVCLCVAARRRRVREVFRQQGIDGPPPSSFLRGNLSEVQARAPQAVAAEDGGAGPWDLHKDGFDDYCKMIFPFFDKCRKAYGETYLYWLGQRPALYVTDPELIREIGRCDSLDMGKPTFQQKGQEPLFGAGVLKANGACWARQRKVIAPEFYMAKVRGMVGLMVGAAQPLLRSWEAAVDGAKGGIAAVDVDADIRSFSFDVISRACFGNDHSTGKEIFLRLRALSGLMSDPSVIFTTPSLRFLPTEKNRMIWRLTREIRSLILEIARGRRAATGISPGPDFLRSIIDNSGDQPRPDDFVVDNCKNIYFAGQETTAVTATWCFMLLAAHPEWQDRARAEVLDVCGGAAGAAAPDFDMVSRMKTVNMVVQETLRLFPPSSFVVRETLRDVRLGQLLAPKGTCFFVPVSTMHHDPAAWGPTVRRFNPDRFQNGVAMACKHPQAAFMPFGLGARTCLGQNLAIVEAKTLVAVTLARFALALSPDYRHSPAFRLIIEPEFGLRLLIRRVDGFT >SECCE4Rv1G0282760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:830267253:830268788:1 gene:SECCE4Rv1G0282760 transcript:SECCE4Rv1G0282760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPISQCLIISTFVLLIPLYLYFRSSTRSKNPSVLPTNWPILHMFPSFIANRHNVHDYFTMVLARSSHNFRAHGPPGFGMRFFVTSDPANVRHIMTTNYTNFPKGAEVSAMFDIMGGSLLTIDGEPCRRQRSKVQSILSSPPFVACVVACCHKKLENRLLPFFANMARTSTPFDVQEVMSRFMFDLATTSLVGVDPGLLATDMPPMHAAVAMSTVMEAGYFRQGMPTFCWKVMRWLNTGFERNLRAAHMVLQGFFTEMMERRKIKLELVGNDEEQDSVDFISSFINDPNYADDELLRAIFIGYLLAARDTITTTVTWILYNLAQNPGIVPNIRHELSSIASRKVEAATGRMLIFEPEETKYLVYLTAVLYETLRLYPPVPFERKTVAADDIMSSGHEVRAGDTILISLYSMGRMEGVWGEHCLDYNPGRWLSEDHNKLRYVPSHKFLAFNSGPRMCLGKDIGIMQMKTIIATMVWNFDMEMVEGQIIKPRWSSILEMKNGLIVKLKKREM >SECCE2Rv1G0088640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:246923577:246927873:-1 gene:SECCE2Rv1G0088640 transcript:SECCE2Rv1G0088640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAETNLSSPQLEPAKSRETESLLNVDQNWMMALRESVSFRRFLSEPLERDTTGHVSPATMAHVQSPPTAQLDLPVHAAVFGPQSVSSNCCAAHIRSSGPDQSCNPCLPVARSSVSEPHIGGADLYVSLMEPMESIGQSVGSSTPIALEDDANSSFTDAKQLASCAGDSYSTPKKTSSMPMRGSMYVPECDESLEPAVGMVFDKWEAGEMFYTRYAHEVGFSVRRFTQHKGDGGVPVWKRFVCARQGWREEKYTQNDHVKKPRRKVKLTRCGCEAMISLKTRDDGKYEVARFVREHTHQLVSPSKKQFLRSNREVTSELRSTFFTCRKALMGPCQTYRFMTAQKGGPENIGCTKRDLQNYCRDISEIIKDADAQTIIDAMRSKQRINPTFFFDYEQDDENKLTHIFWADGTCRNNYALFGEVMSFDSTYSTNQYNLVFAPFTGVNHHKACVTFGAAFVCHEKVWSYKWLFKTFLKAMGGVAPKLIITDEDQSMKAAIKEVFPQTIHRLCMWHILYKLRQNVGHDLFNDEDFRRQFGACVWGSETPEEFEEKWSRVISHYELESNTWLAGKFGIRNLWIPAYFKGVFLGGLLRTTSRSESENAFFGHFLNRRLSLLEFCIRFETAIEEQRQKELEDGNSTIHTLPLLETTWSMESHARHVYTHTIFLLFQDEVIAARDRRDVLSIEQVGEVKTTRISDLSGKVREVLYNTTTKVAHCSCNFFESIGIPCSRIIIVLKREKFDEIPSHYILDRWTKLATKTVVLDSNGNALEGTVKSLPPAINRLYSDTCTKFNMGMMAAKNCEEKMQYFHKGIADVVDHVLHMGTSSGQTKIQEFESFIGVSIPREINIHPPAIAHTKGSGTKMKQGSQPATSGKKKKRSRNKKK >SECCE5Rv1G0313480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:260557337:260590467:1 gene:SECCE5Rv1G0313480 transcript:SECCE5Rv1G0313480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] MEKQQVKPSHPPSDTPMDNQDSSPPLAVTTADPVPRAHSNDSATQAASPNPVAPSSPTSATTQDAAGREAPSSMFSTSGLSSWAKNLKIPQPSSGQESPTGKNTVSRLASGLGLRLSPKGPQQDESAEGSTSPTTAQAGVFGSLTRGIVDSSKNAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGIFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLVISFCQSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLVYLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGLLFSTKKHPRTKELMPEDFWFSAPKKGIMVFALPGEPGLAEVAGDFRIQFHDRQGDFYCWLNTTMMENRVTLNPTDFDDFDKRKLPSPGFQVEIVLVDYSGSQPPKQKTADGSADKKSDADSSTSSNAAPVESKKETGSDDKDDVFSDNEAEDGSSKGRKEVSSSGQGGANGAKASETSVAQLEPSAAARGIEKMTISGEQGIARAPDATTSLKTEASSKSVSTTAVESSSLSEFKAIAADASVFSFGDEDDYESE >SECCEUnv1G0564980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:388919453:388919966:-1 gene:SECCEUnv1G0564980 transcript:SECCEUnv1G0564980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSGGAVKHLILARFKEEATPEALDQLIRRYAGLVDAVPSMKAFHWGTDVTVLDTHEGFTHVFESTFESAEGVKEYIAHPSQVEFVDEFLALAEKMLIMDYKPAATN >SECCE1Rv1G0019580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:239131374:239133127:1 gene:SECCE1Rv1G0019580 transcript:SECCE1Rv1G0019580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDDGMDFSPQKNSNDFDRNSFEDDEFIEIQSATSKAHAHSQGPPDRKPKPKNKPDQIEKPSPANLHHVSTMSPGLPQSQTSDTSATSANNAETIVGNGSLESSRSTRSNSLESSISSASGSASASSANVKRHTGGDSRWEAIQQATAQETTLNLGHFRLLKRLGYGDIGSVYLVELRSTSAFFAMKVMDKASLISRNKMARALTEREILVLLDHPFLPTLYTHFETDKFHCLVMEYCSGGNLHSLRQKQPAKHFTEQAARFYTAEILLAMEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVKSSSVHNTSGNVGAGAGGIGSAGEGCEGLGPNQGCIQPSSFFPRILPRRSRKASKSEVNLNAAAAVEFNAEPSDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELLHGTTPFKGAGNRATLCNVIEQPLRFPSDFGGAAAGASAVARDLIRGLLVKEPQKRIAFTRGATEIKQHPFFEGVNWALVRSMAPPSVPEPVDYRQYAAAAGKEKNAAESATAKSSTGEAQSDFEYF >SECCE2Rv1G0069240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27437761:27440824:-1 gene:SECCE2Rv1G0069240 transcript:SECCE2Rv1G0069240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKAKPLEFTPTWIVALVCSVMIIISLLFERLLHRLGKRLIRSRKKPLYEALLKVKEELMLLGFISLLLTVFQGPMGKLCVNPSAMLHLQPCKPPPDETDHLGDAVFTGVLGGARRLLAGGDSSSDEYCLKKDKVPLLSSDAIHQLHIFIFVLAVTHFLLSAITVLLGIAQTRNWRHWETKIQENDDGAPQMIKHVREFKFIQDHFKGPRKRSRIFGWMRSFFKQFYGSVTEEDYTTMRLGFIMKHCKGTPKFNFYSYMIRALEVDFKKVVGISWYLWAMLMIFLLLNVEGWYVYIWITLVPFIMLLVVGSKMEHIITELAYEVAQKHTAIRGDLVVSPSDNFFWFHRPKLVLLLIHIVLFQNAFEIAFFFWLLVTYGFKSCIMGKPEYVITRVVISVICQVLCGYSTLPLYAVVSHMGNSFKKTIFDDNVTEGLVNWAEKARRGTRNPNKISTDASSSQIDEANGGAVQMTNTRANSSAEQGTARLI >SECCE1Rv1G0049500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:641599367:641600791:-1 gene:SECCE1Rv1G0049500 transcript:SECCE1Rv1G0049500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKTFVLYPSLGVGHLIPMVELAKHLLGRGHSAVIAVVDPPDGDAASAAAVARLAAANPSIAFRLLPVPSSPDPAAHPVRRALDTLRLANPALRGFLRSLPAPADAILLDMFCVDALDVAAELALPAYFFFASAASDLAVFLNLPYLYPGLPSFRDMGDALVRCPGMPPIHAVDMLVTVQDKESDLTKVRLYQFKRIAEGRGVLVNSFDWLEPTALKALADGVCVPDRPTPRVYCIGPLVNGGDASAGGEKRHECLAWLDAQPEKSVVFLCFGSKGTFSAAQLKEIARGLESAGHRFLWSVRSPPEEQTEFPEPDLERLLPAGFLERTRGRGMVVKNWVPQAEVVRHEAVGAFVTHCGWNSALEAIMSGLPMICWPLYAEQAQNKVFMVEEMKIAVPLEGYEKGTVKAEEIEAKLRLVMETEEGRKLREMLAAARKMALDAIGDGGSSEVAFAQFLSDLENSSIENGGRNN >SECCEUnv1G0528580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:4920958:4921991:1 gene:SECCEUnv1G0528580 transcript:SECCEUnv1G0528580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLRSLAAGRLSSRRIRAGGSPTRLLHQSRRSRADDGARGSGFSARLPMGLGGDKLRASVAAVGALSSALIGAKLYLRKHTRRQPTSESSSSGWELTDKDAATLEEVIAEEQDLAKEGAMKARFEEWMVEYGRRYKSQEEKAMRYREFKRHCKNAERANMLSRGSATFGPNNLADSTEEECLRRSGGECKPTFAHRLGYPYRRALEWWVLGK >SECCE5Rv1G0342830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633842450:633844165:-1 gene:SECCE5Rv1G0342830 transcript:SECCE5Rv1G0342830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTTSMHDFYASSSVTPPPADVEPELAPANVTANPFPLIAVSAIPPPEAEPPNDESNGTTSDESTNRPRQRILEFHPSQVIKNDIGDKNFSILIDEARYCSVEEQMAVTVRFLDDHGELQERFLATKHINDCTSAGIKEALVDVLDYHGLQISRLRGQSYDGASNMRGEFNGLQKLVRDEAPYAFYVHCFSHRLQLVVANVAQCSPAIADFFNCIPLIVTLVCSSCKRKDALLAKHQDELLDLIDNGKISAVTGLHQESNIARPGDTRWGSHLRTLLRIFAMWNAVVDVLGIVVVDAREHKCQGGASGLLIKMECFEFVFIMFFSINLLSTTNYLSQALQRKNQNIVEAMYLILDVKESLQDMRDNGWESLFSQAKNFCEAHDIDVPNMDDLVGAMGQSVRTKNKVTRLHYYKVSILNVAIDATITEMNHRFSEVSTELLDCISCLNPANNFSKFNVDKLIRLAEIYAEDFTKADRLLLRFDLPRFLMNIRRSEEFNGCRDVSTLARLMVQTRKHTSFWLVYRLIELALILPNPMATAPVE >SECCE6Rv1G0421760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:686278259:686280355:1 gene:SECCE6Rv1G0421760 transcript:SECCE6Rv1G0421760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWPRDLWNNWSIQILVLLSLALQLALFLFAGIRRRGAHRVLRFLLWLAYQLADYTATYALGHLSLKGAPREHPIVAFWAPFLLLHLGGPDNITAYSLEDSKLWKRHLLHAMLQVLGAVYVLYEHISARGALLRLASILMFAVGAVKYGEKTWALMRSNLDSIRGSVKKQPPAMHRHFHPRDEVFKDEELDEESLVRRAHSLFHICKRAIVDCPVIEDDSHGHDTTRMVARVKFWRLMETELSLMYDMLYTKAPVIHTWFGYTVRLISPFAIVGSLLLFKLIGKDAHRGVDVVITYVLYGGALFMETTSLLNALGSSWTFAFLSTTRWRWLRYAALCNERWDRLRRLVAYLHHLVRVGGGSRYRSRRWSRTMGQYNMLHFCTRLDTDIGLCTRPLLGKFAKMVGLGLNEWWNREHYSWTIQMPNHVKECISRHMTKMYMEGGVNSLGMLRNRWGEEPLVREELFHGILKDSLGVEFQECIIIWHIGSDVFLAKSQRVKDEDASLDVEAIKVISNYMMFLLVEQPDMLPGLSQNRLYQRTCENLVKTRRLTTSRHQRMNLCAKLKNLFCLHDDPHSSSRVADREELAKILCDEYASKGFSHDAPRLPYVAKLAKHLLTMEEDGTADSVKLVLDVWTDILVYASNKCSRKAHAEKLNNGGELTTILWLMAEHFYQLYLEGLIQKEKNNLPVEGTNQAGT >SECCE3Rv1G0203120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:880824785:880827154:1 gene:SECCE3Rv1G0203120 transcript:SECCE3Rv1G0203120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTRRGRRLLVSLCFLCLAVLLPGALLASPAAGRKRRQAGFDHYVLALQWPGTVCRQTNHCCSSNGCCRSNPLNWFTIHGLWPQYSYGGWPSCCRPTTTFNMNKIAMLRPILEKYWPSLYCGDTSTCFGGRGPFWVHEWATHGTCGYPEIQDEYDYFSTALYLYSKYNVTKALRKAHIYPRNGRKYAVAHIVDAIDHAFGRLPHLVCKNGSVQELRLCFHKDYQPRDCGSEDDEGSSSSSSRRNHCPRYVTLPSYKQSALGNATEGRLRNRAEKVPLAHGQSYV >SECCE2Rv1G0114400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:734373692:734380373:1 gene:SECCE2Rv1G0114400 transcript:SECCE2Rv1G0114400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAISIKVAREEDLSSHIGNDGFYFDLVDFDRVRAVQILDNMSMSRLKEEIAVEFSIPVQFQRLWLFCKRQNGTWRPSRPFSTEEDHLSMTSLHKLLSRTFLLLNPDGVKLFLEVLNDSSPQNLSNNDGLVFLKLYDPEQTQIRYIGMLFVKALSRPSDILPKLRSLAGFCADEEMELYEEIKFEPSAMCEAIDANITFSESQIGQGDIICYQKSSKSLRHHAYPSVEIFFKRIHDLKAVVPGEQRKILALEEEVARLKHQSDLQTEKANRECQRFKRERDNAVRQLNELQDQNPQIFLEFPITNLLQATQNFSDLCKVGDTEYGRVYKGIIHDTTVAIKLSRSDILFQQEVSILRQGRHPSIVNCIGKCSEVSALVYEWLPKGNLQDHIVCANGSPPLSWQIRTQIIGEICSALLFLHSREPHALVHGDLRPCNIFIDANFRSKICNFGMLSLFLQPGNHQPALIARLPYLDPEFLTTGELTPLSDVYSLGVIILCLLTGLPPLTIAKKVSEALENNSLHTLIDKSAGNWPYVQAKQLAVIGLSCVEMTREKRPDLLTKVWSVVEPLIRKPPAAPWPYIKSAVTGSYAPDHLICPIRMDIMKDPQVASDGFTYEAEAIMRWFDGGNNRSPMTNLPLANHDLVPNRALLSSIQEYLDQQRQPGS >SECCE7Rv1G0479510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:254164293:254165316:1 gene:SECCE7Rv1G0479510 transcript:SECCE7Rv1G0479510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFEDHEFEVHEEGEGIVDAPKGRAGNYTNADDILLCNTWLQVSRDPSVGGDQSRDAYWKWMKEHFDACNVSGIDRSNRSLRSRWSTINSDCQRWVACQKAVDKLNPSGTNEDDRYNIAQNLFKEEERKTKKGKIKKGRIFTLPHCYEVLKDDEKWKKREDLDDLHLSDKRKRTIKLDDDDEEDDASSDDGKRSPTPNSVSYSKPKRLDGCKKDKTEKKKRKGDDELKNAMEAIVKARKEANEVRKMARTQDAATEERRLAAEERRVAAEERKASNEERTRLLEWEKHLFFLDTTNLNAAQKEYVNLANKKS >SECCE5Rv1G0366750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815632425:815636171:-1 gene:SECCE5Rv1G0366750 transcript:SECCE5Rv1G0366750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATMPLTLSPPRLLLLHRRHQAKVQRPRSMARLRCTPDEVAATTAETEQQGEVEEELVLLASYRTAFNDLIMVIDSPSNRYLVLDPTRNIHSILPKKSAWTNSYWDECVSLPPVVPRGPVALLGLGAGTAAHMMLEVWPWIQLIGWEIDPTIIELSRDYFDMSTLEQTTELGGSLSVRIGDALSPSVTVEGGFAGIVVDLFADGKVLPQLQEAETWLEIARKLMPDGRIMVNCGAGDTAVSLAADTGASSWVQNPTIKALCSAFPGQLSWKRLSEKESVNYVALTGPLPDLEEWSTSVPSELSPRVKQWVPCELA >SECCE5Rv1G0376880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:874689254:874693060:1 gene:SECCE5Rv1G0376880 transcript:SECCE5Rv1G0376880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRKNLRRACEEGTAVTLAEGESIMQVVTLRGSNLIEVTDGEGVKSLALFPAKFQKSFWIKNGNFVVVDASGRDEALESGSKIACVVSRVLFHDQVRALRKSGQWPAIFKSTPNGWATGPEGTTSKADEEHNSEEEEEEDDDGMPPLEANTNRNRPFDVRSDTESDSDS >SECCE6Rv1G0395720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:339358400:339359500:1 gene:SECCE6Rv1G0395720 transcript:SECCE6Rv1G0395720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNNQFAGAAAGVSSANTAPLPAMLADSLIGAGVGGGDGDPSAALQRLAALGDRMAAVRCLLVASISGESQPLSSSDIQSVSSEISSAAHLVVLNAASLLSSSLPFPVPSAPPAPIQELPAAASTVDVLPQEATKGYDVVELDADELLAEHVHFCNICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGQAKPADGRDVRFSCPFMGCNRNRAHRRFRPLKSAVCARNHFRRSHCPKLYACERCGGKKRFAVLADLRGHLRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGHMPAMSPQNKDAVTATTEAPLDIMDEGGIEDWEDGGEGGFDREFFKEWMEELKDDGVPAGGTIWPGRAAAGQ >SECCE1Rv1G0021600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:270516305:270518201:-1 gene:SECCE1Rv1G0021600 transcript:SECCE1Rv1G0021600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFRGSSYINRVSTAKSETPKIQSPSERDRSDESRLPSNAREVEAMRLDSAARNPLIAFSFEELRKVTNGFLQDSLIGGGGFGRVYKGAVGTDGGGDEPLQVAVKVHDGNNSFQGHREWLAEVIFLGNLSHPNLVKLAGYCCEGEHRVLVYEYMPLGSVESHLFSRVMAPLAWATRMKIALGAARGLAFLHEAEKPVIYRDFKTSNILLDHDFNAKLSDFGLAKDGPVGDMSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELVTGRKSLDKSRPVREQTLADWALPMLTHKKKVLGILDPRLGSDDYPIRSVQKAAMLAYHCLSSNPKARPLMRDIVASLEPLQQPELVPATNA >SECCEUnv1G0539560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75989166:75993030:-1 gene:SECCEUnv1G0539560 transcript:SECCEUnv1G0539560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFCGISTCGLNAAAPTGFSTKKTLSLVSPTFVSLAPEIRPRRRCNFRVNAAKELYFNKDGLAMRKLQNGVNKLANLVGVTLGPKGRNVVLESKYGPPRIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVILAQGMITEGVKIVGAGANPVQIARGIEKTAKALVYELQKMSKEVKDSELVDVAAVSAGNDYAIGNMIADAVNKVGRHGVVTLEEGKSSENSLYVVEGMRFDRGYISPYFVTDGEKMTVEYNNCKLLLVDKKINSAKDLIAILEDAITSGYPVLIIAEDIEQEALAALVLNRLRGSLQIAAIRAPGFGERRSQYLDDIATLTGGTVIRDEFGVPLHKADKTVLGTAAKVVITKDSTTIIGDGTTQEEVIKRVTQIKNQIEATEHEYEIEKLKERIAKLYGGVAVIQVGAQTETELKEKKLRVEDALNATRAAVEEGIVVGGGCTLVRLASKVDAIKQTLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVIEKVLAIDNSRYGYNAATGNYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIRAPKCAPVVNPICGSGCGF >SECCE1Rv1G0013370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:103983429:103983803:1 gene:SECCE1Rv1G0013370 transcript:SECCE1Rv1G0013370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE2Rv1G0141300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934826906:934829874:-1 gene:SECCE2Rv1G0141300 transcript:SECCE2Rv1G0141300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVVGLMARRSGMLLLLLVILAVVAGTASGSALVGDRCTAGSQSPACGAGMRCASCSPLAGAGTAVCSRVTPIDPKTHGTGLPFNKYSWLTTHNSFAMVGTTTPSGVPIVSMPNQEDSVADQLKNGVRGLMLDTYDYNNDLWLCHSFSGKCYEFTAFQRASKVLKEVEGFLNANPDEVVTLFVEEYSAQGALGKALSAAGLTKYVFPPASMPKDGADWPPLKDMIAKNHRLLVFTSKKGREGSDGAAFEWDYVVETQYGSDGLVVGACPKRAESKPMDSKAQSLVLLNFFTTNPSQSWACVNNSAPLVSKLRACYDASAKRWPNYIAVDFYMRSSGGGAPLATDVANGRLQCGCDSIAYCKANASFGTCALPSSPLSSPPSSPPSPSPASASSSPKSLASAWSPSSKRSMAPSSSPSPTPARSRSWSSSAALSLFSFMDSSVDPSLSKSVALSPSLAPSSWPSLGSAMPSTLSPKSSPSSSPPSSSPSRKSSKKKSPKSTINVMSVDELIPESTTKNEGATPKASSNSTANQGTAPKASPNGTPDTGAPQRTLPKSIAEPPTPTTHPEAKDTSSAAIRPKTPRRSSLIGTALLVLISLS >SECCE2Rv1G0110390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:689336113:689341024:-1 gene:SECCE2Rv1G0110390 transcript:SECCE2Rv1G0110390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVVVANGGSAAAAAAPVVPAPVAAAAAGGPAQPLPTTSLYVGDLEANVTDSQLYELFSQAGQVVSVRVCRDVTSRRSLGYAYVNYNNPMDAARAMELLNFAPLNSKPIRVMYSNRDPSSRRSGSANIFIKNLDKTIDNKALHDTFSTFGAILSCKVAMDDIGQSKGFGFVQYEKEESAQSAMKSLNGMLINDKPVYVGPFLRKQERDNSFDKAKFNNVFVKNLSESTTKEDLVKVFSEYGTITSAVVMIGMDGKSRCFGFVNFESPDDAARAVEELNGKKINDKEWYVGRAQKKSEREMDLKRRFEQSMKDAADKYQGQNLYLKNLDDGVTDDQLRELFSSFGKITSCKIMRDQNGVSKGSGFVSFSTREEASQALTEMNGKMISGKPLYVAFAQRKEDRKAMLQAQFSQMRPVPMTPTMTPRLPMYPPMPTLGQQLFYGQAPPAMMPPQPGYGFQQQLVPGMRPGGGAHMPNYFVPVVQPGQQGPRPGIRRNGPGSAQGQQTPQPFQQQMVPRARVYRYPPGPRHMPEVQQMPGVGVGGMVQPYDMGSFPVRDAGVSPAPPMGTLTSALANATPEQQRTILGESLYPLVEKLEHQQAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVAQQQNPNAPTSQLAGLTLSDGIIS >SECCE1Rv1G0014010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:109283167:109284681:1 gene:SECCE1Rv1G0014010 transcript:SECCE1Rv1G0014010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSLSLAPPGASMAEVHAGNRERLVAALRAHLSAAGRPPRGGIVLLQGGEEQTRYCSDHVPLFRQESYFAYLFGVREPGFYGAVDIASGQSILFAPRSSPDGAIWTRVEELRDLKVRYKVDSAFHVDELALVLRRQFSEHGHGEPLLFLLYGRNTDSGNYSRPASFEGIDKFDTDLSTLHPILTECRVIKSDMELALIQHANDVSSEAHVEVMRKIKPGMKEHQLESTFCSHASMHEGCRHCAYTCICATGRNTSILHYGQNDGTVNDGDMALMDMGAEYNFYASDITCSYPTNGKFTRDQAVVYNAVLKAHDDVISHMRPGVKWIDMHTLAERRILKSLKEEDIIHGDIDDMMSRRLGAVFMPHGLGHLLGIDAHDPGGYPEGSERPEEPGLRSLRTTRELKEGMVITVEPGCYFIGALLRQARDDPVCAEFFNWEKIEMYRSFGGVRIESNVYVTAHGCKNLTNCPREICEIEAVMAGAPWHSRDSYSNATTTEKDLPKD >SECCE5Rv1G0327260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:490910978:490912540:-1 gene:SECCE5Rv1G0327260 transcript:SECCE5Rv1G0327260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAMGRRRRPRGDDRISALPDDLLLLVLRRLDIRTAVGTTALSRRWACLRGELPILDFSVNAMLPPRYHRWVQLHGVVGKSGGFQYDLRQVSRELMPNIRRYEHRAMRALTRSVQSFLDAGTAVRRRRISRLRLEFLVTPQSTECMNRLIAEAIDACGVDDLQVVAKPIFWRRGAVHTFASHGLCKEPSASRLQSLKLGGCMIPPLLHEYSALTRLVLQDIPESTPVATYQGVFTSCQQLQVLHLISCRCSGGVILVDAPMSKIKELVLDECRFRQFHLRALPNLESLASLGPMVFLESASFPCLTKFNLTSCLGLRMQGSREYEKRHLKIGHESLLENMPGISSLIVRISGPYRWIVPSRGSPRATTLLPNLRRLLVADVPSSWDVSWPRLLLEAAPSLEVLHLHIAATCTEDEDEPGEDIRWKPTTLLRHRHLEEFVVVGYEGTERQIYLVKFVVGVCTVLRQVSIFKNGHARNKGHWDWELVTQQHSWTDQEKDNTLKHIMDPLSPAAPVKLVFG >SECCE6Rv1G0386320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:94825280:94829762:-1 gene:SECCE6Rv1G0386320 transcript:SECCE6Rv1G0386320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEKGKRGDGAGASSPRARKGEFPIRAEDYELMEPIGDGATAVVRRARCLPLGGEVVAVKIMNLALRSEADVNNASEEVKTMILTDHPNLLGAYCSFTQDENLWIVMPYMAGGSCFHLMKSSFPKGFEEERFIAFVLRETLRGLEYLHGKGHIHRDVKAGNILLDQHKGVKLADFGISASVYDSMINRNGKRHTLVGTPCWMAPEVMEQKEYDFKADIWSFGITALELANGHAPFSSQPPAKVFLMTLQHAPPSLHNTKDKKFSNSFKRMIGACLIKDPSKRPTAQMLLQLPFFKKVKSEDNHVKCMLNKVPSLVARVQTIKENEAKLQAEKKPHDKIKEKTSHDEYWRGISQWHFDIEDLKAQAKLYTEENDSDEEEYLRFLFELDTVDEIVPLQDVYPQNHASDDKKIVGTEIVETPSSTTPILVPQSGKQLENGPPNGVVRHESFERHSKVPTKQLSRAVSNVTCMDEYLEKTAIQKGRFKVTTEETEASTHREKELLERIACLERMLQVTQDEVVRLKEKEAKGAVPCVQQNSKVQAL >SECCE5Rv1G0350500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:690870199:690870486:-1 gene:SECCE5Rv1G0350500 transcript:SECCE5Rv1G0350500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNEMVAAKEAAARARAVSESKKYKRSLVEIGVMLSISAIHILLSFLVPGMSWQHQIMCWQNAVMGFAFTVMFTWMHLRTFRWSVHKTELSLV >SECCE2Rv1G0071880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:47979597:47980829:1 gene:SECCE2Rv1G0071880 transcript:SECCE2Rv1G0071880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAIPDELLVEILLRLPTAADLIRASAACGSFRRLIASRSFTRRFRKLHPPPLLGFLDPGRHRFHPAVPPHPSAPAARAVASATDFSFDFLPSPSPTRHWSVRDVRDGRVLLQRPRLRRRGACEGLESPSDEMVVCDPLHRQYLLLPRIPDDLAASVVGAQGFCHEDSSLVPSGDDEEAAATDEASFRVIWMMLLPAKPVIFVFCPGTGQWRTVPSLTWSEMSPGFELSAHVFCSLMRHYAHGCFYWLSGSTEKLFVLEIRGMEFSVADHPPCERKLGQDVVIAEADQGTALMFVHKPNTSPVTYTAWRNNGGSSTQWQMEKPITLDSGSGLMGAVGRHLLLYYCGSTSVGPSCFTQDVNTFQLERVCSAYPKQTHAYCNFPPSLLSSPTGSSGKIFCCIPVTSFISS >SECCE5Rv1G0319660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:377862577:377863421:-1 gene:SECCE5Rv1G0319660 transcript:SECCE5Rv1G0319660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVALDESGGSQHALDWVLRCLFPAGDQPATEEAQHELVLVHALEPLYHAMCPVGGPGSAVYGAPSIMQSVRAARKESGRNLLDRAKRVCHRRGVSAAAVLVEGESREALCHAAEDVGAGLLVVGSRGLGAVGRAFLGSVSDYCAHHASCPVMVVRPPPVDKDGQRTRSSPIRCQSPCLQEGGLMDE >SECCE6Rv1G0437470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:784139066:784139698:-1 gene:SECCE6Rv1G0437470 transcript:SECCE6Rv1G0437470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAAIDNPSNAVEWALVEMVHNPELLAKAVEEMDQVVGRERLVQESDIVHLNYLKACIREAFRLHPVAPFNLPHVAIADTIVAGYHVPKGSHVILSRLALGQNPTLWDEPLHFKPERHIEGNINVVLTESELRFISFSTGRRGCIAASLGTTMTVMLFGRLLQGFTWTKPVGVSAINLRESKHDLFIEKPLVLHAEPRLAVHLYPLMHH >SECCE2Rv1G0132930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894700597:894702636:1 gene:SECCE2Rv1G0132930 transcript:SECCE2Rv1G0132930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGAGAWVVSPTCCTYSILMDCCCRAGCPDLVVAFFGRLLRLGLRLNAISFSNLLKGLCQAKRSNEALDMLLHRTPELHCAPDVFSYNIVINGCLKEGEVDKACNLFHEMIQLGVKPDVVTYTSIIDALSKSGEMDKAEVLLRQMVDQGIEPNIRTYNSLTHGYSASGQWKAAARVFKEMVSVGVLPDAVTWNSFMDSLCKHRRTKDARDIFDSMAAKGQKPDIFSYSIMLNGYAKEGYFEDMTDLFNLMVLNGIVPNHHIFNILINAYAKRGLMDEAMHMFEVMRQQGVNPDVVDYLVIMDSLSKMGRMDAVMDKLNQMVNQGVSPHIGIYQCLVLGFCSHGDFVKAKELISEAINRGLCCNNVFFYPVINDLCKEGKVKEAQDMFDFIVGIGQRPDVIMYTSLMDGYCLVGKVEEALRVLDAMKSAGLQPTAVTYAILLNGYCKIGRIADGLSLFREMSLSGVKPTTIVYNIILDGLFRSGRTVSAKEKFRTMTESGIPVGIDTYNIVLSGLCKNNCTDEAIEIFKKLRAMKAKINVIILNTMISAMFKTRRIEEAKDLFATISAVGLLPSVATYTLMITNFIKVGLLADADDMFLAMEKAGCAPDSRLLNQVVRVLLENGAVVKAATYLAKLDAKQLSLEASTVLFIVSLFSRKGKLRKHVKLLPVKYQPPEMLD >SECCE5Rv1G0345440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:654069683:654070103:1 gene:SECCE5Rv1G0345440 transcript:SECCE5Rv1G0345440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIYAMTFLLLGWLMVTAQCRPEAGRRSYLDGGDNNATVLNSRSSLDDVKFSLNFCGDYVCNYGKCYCCDNQRGRPCYRTFAECNTNCPRCNPKCPLESTIELQA >SECCE3Rv1G0187320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:697713554:697716867:-1 gene:SECCE3Rv1G0187320 transcript:SECCE3Rv1G0187320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVALASPLRRLLHSPCPRRAVATPLRFFARGRCDVALAVSAAAVGDSSAKGSVHRHVIEDVMDILDMAQRASQRRDVFHTSFLTPPIIAEAMLAIEKLADIKAVAQGGYPQAERCRISVGHPDSMRSDPDVVAALSISGNFRLEPCSHGDFLGAILGAGITREKVGDILLQGERGAQVLVDPELVDYLTSTLEKVGKVGVSCTQIPLLAIEYEPPRTKSFKTVESSLRVDAVASAGFKISRTKLGSMISSGDVRVNWSLVSKSGATLKAGDVVSVSGMGRLKIGEIVTTKKGKYVVQLIQYL >SECCE2Rv1G0125410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:840556650:840563453:-1 gene:SECCE2Rv1G0125410 transcript:SECCE2Rv1G0125410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVLLRSASGLRRSPMAAPLSTAAASWLAEGTSSSPPRVRLLIGGDFVESSATEHVDVTNPATQEVVSRIPLTTADEFRAAVDAARTAFPGWRSTPVTSRQRIMFKYQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGSLQMGEYASNVSHGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDHEAIKAVSFVGSNTAGMHIYSRASASGKRVQCNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSEPWEDELVKRASSLVVNTGTAKDADLGPVISRQAKDRICKLVQSGVDSGARIVLDGREIVVPQFEDGNFVGPTVLADVKSDMECYKEEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKEPAPQRVSLSMPISQK >SECCE7Rv1G0474500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:172636529:172637260:1 gene:SECCE7Rv1G0474500 transcript:SECCE7Rv1G0474500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSFPATSGACDGDGLPHTVKKMWTKREDDLLREQGRRCDGPHKWDSICRGLPGRNSKSCRLRWCQHLDPRVEAVKPFTCEEDMLIVKYQATYDNRWSTIAEFLSGRTDNAVKNRWNSVLQKRQEHAPSQQGQTRPWAPSAARQAASPEVTPGCLPLFPLASRDVRMSPRSTEDVSEAETCAEARECLELFPLAPGDIRGNAGAAAPSDMSCGAGDPLTELRLWPAARVVFDVMPLQAYRM >SECCE5Rv1G0341770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:627482335:627484578:1 gene:SECCE5Rv1G0341770 transcript:SECCE5Rv1G0341770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGLRRFLPPLSLVLVLVLFVAAGAAGKGDGGLRFRRERGTFKVLQVADMHYADGRRTRCRDVLPAQRPGCSDLNTTAFLYRLLRAEDPDLVVFTGDNIFGEDSTDAAKSMDAAIAPAIAMKLPWAAVLGNHDQEGTLSREGVMRHLVGMKNTLARFNPQGVEIDGYGNYNLEVAGVEGTLLANKSVLNLYFLDSGDYSTVPSIPGYGWIKASQEAWFKQISSSLQQNYTGGQPRQKEPAPGLAYFHIPLPEFNNFTASNFTGVKQEKGISSASINSGFFNTMVEAGDVKAAFVGHDHLNDFCGNLTGIQLCYAGGFGYHAYGMAGWSRRARVVSVQLEKAASGEWQGVKSIKTWKRLDDQHLSTIDSEILWKRSTNA >SECCE1Rv1G0025750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:359045456:359055132:-1 gene:SECCE1Rv1G0025750 transcript:SECCE1Rv1G0025750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MATPEVLASDAANPQPEEPSRAEEAAPVPEPEESSDLGAVAAAPAVEEVAAGDAPPAPTPTSTSTSSAVVPPPPASPASAAAPGPPRPQFAGSPAYMAPPASSPAPAFSYNVLPRAPPPQHMGSGLAHQQLASAPAPMARPMPPAALQPPAPRQYFGNRPSFSYNVVSHANASLLTGQQFQLDTRTNHAVQVPMFAPPASLQPPAPGQLPRPGAPFPGPMASNPPGSIRLPFPVPPRTSNILYGANPQQGNLDVGASKSDAPSAPEVSPHTVQSLPLRPEGFGAVGGSAPGQRPSNLSTPPSLLQRPTGPAPSLPQTSPSGVAPGAVPRATQQQFYPSYPSAPGNPPQPLWGYPPQPTGFQQAPFHSYPPGSLGPLGTPTVGTSSVTTSLPNIQPPGITTGDPKEQPSVNLGSVQSIHSSVEQHPTGLEDRSTAGIQDSDTWSAHKTEAGVLYYYNALTGESTYQRPPGYKGELEKVAAQPVPASWDKIAGTDWSIVTTSDGKKYYYDNKQKVSSWQLPPEVAELNKNADSGNLKGSSTSLQDASTVANKGEASGEISTPAIQTGGRDSLPLRQTVAPASPSALDLIKKKLQDAGAFSVSSPLATPLSSASELNGSKPADGAPKEQQGSKNGEKSKDNNGNENMSDSSSDSDDEEHGPSKEDCIREFKKMLKERGVAPFSKWEKELPKIVFDSRFKAIPSHSTRRAIFDHFVRTRADEERKEKRAAQKAAIEAYKQLLEEASESIDSKTGYQDFERKWGADPRFAALDKKEREALFKEKVRALEEKVQSVRNAVITDFKSMLQECKDIISTSRWTKVKENFRSDPRYKAVKHEERENAFNEYIAELKSAEREVEQAAKAKVDEQAKLRERERETRKRKEREEQEMERVKLKIRRKDATSSYQALLVETIKDPKASWTESKPKLEKDPQGRAVNPDLGQGEAERLFREHVKDLYERCVRDFKTLLSEAITPDAVTRTTEGGKTVVISWSEAKDLLRSDPRYSKVSSKDRESIWWRYADDMVRKLKQPDTEKPDTNARKQQQRQQRRSSDPPRRR >SECCE1Rv1G0028620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:404766423:404767771:1 gene:SECCE1Rv1G0028620 transcript:SECCE1Rv1G0028620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTGNGSPPVGLLVLMVLALAAGWFANAVRPQPPTPCGAPGGPVTAPRVRMRDGRFLAYAESGVSRDGARFKVVYSHGFSGSRMDSPRASQALLEELGVYMVAFDRAGYGESDPDPRRSLQSAALDIQDLADALDLGDKFHLICSSLGCHAGWASLKYIPHRLAGVAMMAPVINYRWSGLPRGLARQLYRRQPLGDQWSLRVAYYAPWLLHWWMSQPWLPTSTVVSGSGSFPNALDEKNRIMALSTGMFQKRAQAATQQGVQESFYPDMAVMFGRWPEFEPTDLGEAPPFPVHLFQGDEDGVVPVQLQRHICRRLGWVSYHELAGVGHFLSAVPGLGDRIISTLLPGPAGNSSTAAGSVCAY >SECCE5Rv1G0314700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:275189445:275191445:1 gene:SECCE5Rv1G0314700 transcript:SECCE5Rv1G0314700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNQAPQKSSSFSSASTNKAREVDRNLSLGALRHGDHDRRGSAAATWEQIKEECEDDEEDGCGDPGVSELSGDIDAFIVGLDGQAPLSLPEATLDKFAAAVELQIAQTENSRDKWAHGEPPAVLAAIARIAALASALAKNPEASSKYASGAHRVTAVLQRAMAFLEDEFHALLEPKANTCKLIRRPPSFEQGGHEADRCVLRPPDTAPAAAEPKPPYTPEIVERLRSIADVMVAAGYVTECTQMLLVARRNAFDASLRALGYEKASIDDVVRMTWEALETEIVTWIKAFRHTINVGLSTEHDLCGRVFTGRNAGVGRGVFADLARCVMLHMLNFTEAVAMTRHSAEKLFKVLDMYEAVRDSSPVIDAYLSASADDEPAADKSGNALADLKTEIAAARSRIGESAASIFCELESSIRADAGKQPVPGGAVHPLTRYVMNYLKYTCEYNSTLEQVFREHRRNDAVDGEDNNPFAAQLMEVMELLQGNLEGKSRLYKDPALSSIFLMNNGRYMLQKIRGSPETNAMLGETWARKQSTNLRQYHKNYQRETWNRVLTLLRDDGVLTVKGHVQKTLLKERFKQFNSAMDDIQKTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFAQTFSAGRQAEKYVKLSAEDLEGIIDELFDGNPSSMSRRRT >SECCE2Rv1G0118280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:781743177:781743732:1 gene:SECCE2Rv1G0118280 transcript:SECCE2Rv1G0118280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNRWAHEIETSVAAPRLFRAGVMDWHTLAPKLAPHVVAGAHTVEGDGGVGSVRQFNFTSAMPFSVVKERLDFLDADGCECRSTLLEGGHVGTVIETATSCIKVEPAAGGGSVVKVESTYKLLPDVGEAEYEVGKAKESVTAIFKAAEAFLIANPDAYN >SECCE3Rv1G0152970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:51908582:51911357:-1 gene:SECCE3Rv1G0152970 transcript:SECCE3Rv1G0152970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVSASSTAAAVLCSAPPAPRGGLVGAWVAPARRALAAPLRAAAAQGSANSAPVMMESKVKKRNKKGAGAGGLPAAIDLEIREAEQYLATDGQEPTPDNFPFEMVDEDGMSVVILKRDYKDEKIEVIVSMPNMEGDPEFDEDDEADEEKAAKDEDDEDEGGEDSSLSMKVIVSKGSGPNLEFTCTAFREEITIDDMMIAEKTEPDAEKFPFEGPEFTELPPNVQKGLFKFLEVRGVTLTTTNFMHDYMITKQTKEYVRWMTKLKGLVQ >SECCE6Rv1G0431000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745382812:745384938:1 gene:SECCE6Rv1G0431000 transcript:SECCE6Rv1G0431000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26710) UniProtKB/Swiss-Prot;Acc:Q9LSE4] MEACASTSRVLLPFPLRAARPAAACPRRRRAGAGRRRAVRLAPARASLDRAAVLLDAAAAATAVTGTGYSQASYYTSLGLFVLSVPGLWSLIKRSVKSKIVQKTFVREEGQPTAPSLVAGEILSFFTRNSFAVSDRGEVITFEGSMTPSSAQAALLTFCTVISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGVYYWTKASRKEEIKVKMVLSDDGNSVSEILVRGDDVQVEQMRRELKMSEKGMIYVKGIFET >SECCE7Rv1G0475910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:194490661:194493017:-1 gene:SECCE7Rv1G0475910 transcript:SECCE7Rv1G0475910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHGLASNPLRATRSPLTPRASSAPLGLVSSLGFNRGIKENVKLFINVDRYTKYNAPSITQRCSRISPLASASFGDTADSSTPIFPRIHVKDPYQRLGISKEASEEEIRAARNFLISKYAGHKPSVDAIESAHDKIIMQSFFDRKKPKVDLKKKFRELSQSRPVKAVQGRFYTPSNKFIWKTAITFVLLGVLTLVFPTEEGPTLQVLVSCAANIYFLYQRLKSGWKSFFYGFGSFFASWFLATFLMVSVIPPILPGPRNLEVSTACVAYAFLFVSSTFLK >SECCE3Rv1G0190950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:744745260:744747282:-1 gene:SECCE3Rv1G0190950 transcript:SECCE3Rv1G0190950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVQVQGVAASGRLPSMEAEPKTLTLEQLKYAREAALYVVSTRTTEEAIRIFTEGLKPVRGVRKMGSSTTDSSDDDVELGSSEDSTPRAGGGTGGRTHGRSIKRDIATAPF >SECCE2Rv1G0076190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89201217:89203271:1 gene:SECCE2Rv1G0076190 transcript:SECCE2Rv1G0076190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAGLALGLLLLLLSLDAEVASAAQDGEFAYQGFAMANLTLDGLAAVTPNGLLLLTDTESSNTGHAFHPAPLQFLESSTTTTGSTTMAMARSFSATFVFAISSRYDGLTSYGLAFVVAPTSNLSAANGGRYLGLLNATDGTASSRILAVELDTIMDVAFRDIDSNHVGINVNSLVSRQAKPAGHYSDDNGAFQDLRLNSRRPMQVWVDYDAQGRRINVTLAPVQVPKPRNPLLSEAIDLSTVMADEMYVGFSASSGTPGDNHHSVLGWSFGFDGPAPTLDFFKLPALPRLGPKPRSKILDAVLPPAAVSLAIAVLAAVFFFLWHRRRFAEVREDWEDEFGPHRFAYKDLFHATEGFSGRNLLGVGGFGRVYRGLLSPSNLQVAVKRVSHDSKQGLREFVAEVVSIGRLHHRNLARLLGYCRRKGELLLVYEYMENGSLDKYLYAQNKPALHWPERYRIIKGVASSLLYLHEEWEQIVIHRDIKASNVLLDSQMNGRLGDFGLARLYDHGTVAKTTHVVGTMGYLAPELIRTGKATPLTDVFAFGVFLLEVACGCRPISCTKDNTQTMLIDWVLEHHRNGSIIDVVDPRLMGNFNMEEATLVLNLGLLCSYPSPSARPSMRKVVQYLDHGQSIHGLSPTYVSYNMIAMMHNEGFDSYKMACTLSNTSIGSVSGESSVTVLQEGR >SECCE2Rv1G0072890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:62146815:62148591:1 gene:SECCE2Rv1G0072890 transcript:SECCE2Rv1G0072890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGGAGDDGGCSRPAVIYDDLVEVREQAVTLQTMLQGSPRVSDMGARELVKGMMAKLSSAMSVLGTTSGGVEASSGAARGPGGRKKRSGTASGTHRRSTSRRRSKSPFINMVTATTLNDGKTWRKYGQKYIHASTNPRSYYRCSHKPDQGCQATRQVQESDSNPSEYIISYYGQHTCKDPSTFRSLVIQGAADAAPPEDCANLISFTSINGAAASTSTRSFAHPLVKEAADHHTMLFSRFSNYSSSSPVQEGASSGSPSPAGHGKFMPYAGGQLVDVICQRTSPRTLGSAPAEHWPVVGVPGVDTDAGAGMDSFLSSPSSLGFMSGSFGGSFGNNIYDDDLFGFDS >SECCE5Rv1G0364530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:801969789:801970019:1 gene:SECCE5Rv1G0364530 transcript:SECCE5Rv1G0364530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAATGGVGAEKSSWPEVVGLSSEEAKKKIIEHKPDASVHVVPADSFVTMDYNTGRVRVFVDSNDKVTKAPRIG >SECCE4Rv1G0225370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:91376542:91377657:-1 gene:SECCE4Rv1G0225370 transcript:SECCE4Rv1G0225370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLDERSSCSSSKRRRRNNDHAERLPRKQHLYLAVDDWEGGSGRMEFTALGTNIFVDTNPHNRGAHAPPAVIYNTETAALTVGPLFPRGIDRLATTIAVGETIYVLTTADLPDLPCLQALSWRRVAADNIWDPHMDWSWKRVQSQTPLNGVDIVGYALHPDGRTIFMSTRKGTTHSLDTSNGVWTDLGDDWVLPFKDQAFFDAELNAWVGTDRKGAGYVCCCQVASRSATTKRPLECRVLKEKLFRRNNEEHYMEGGRHKDVTLTYMGDSRFCLVENIVSSKEAIDTVLHVTLFGLKYDHMGELQTKGRRGTRSYAVSKNTRYFSHATFWM >SECCE1Rv1G0025690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:358139490:358140071:1 gene:SECCE1Rv1G0025690 transcript:SECCE1Rv1G0025690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLVLLVLVVAALSLTVASPIAAARPCNTLFISSHSANANPSNDPDHRSPLTTTVVTVFRIRRFGRHSLRTQGHAHPHLNQHHHHLHSIPANIQIRRPELPELPHSAAGAAASIQGRVKDILVVLVGILFGLGCGALTAASMYLVWSVIAGPGASSHYDELYGDEASDSESPKKVGYVIIPGVEAYDGGKN >SECCE2Rv1G0104660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:609333918:609335564:-1 gene:SECCE2Rv1G0104660 transcript:SECCE2Rv1G0104660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHLLLHSLLHLRIPPRHPLLRLLHSYNPIDRPPPQDPPLHDAELWIAKALATAALLLPHCLPAFRRLAPSQVAAAAALRHAPCASSTLHLFSALHSPHSQLAIPPSAHSYRYVISLLCQSGRHTDALQLFDQMTDQSGYFPNARFLSFLSGSCATAGLLDAAAALLSKASQFGCCVEAYAYNKLMGLFIGHGRVQDAVALFEGWIQGRVYSPDVWSFNVVIKGVCKVGDVQKALELVERMDEFGCSPDTVTHNILVNGLCRAKEVSKGREVLRRLQRDGVCMPNVVTYTSVISGYCKAGRMEDAMAVYDDMVACGTSPNVVTYNVLINGYGKAGNMGSAVAVYQQMLLRRCLPDVVTFSSLIDGYCRCGQLDDAMKIWTEMSQYHIQPNAHTFCIIIHTFCKQNRSGEALHFLKKMNMRTDIAPQAFIYNPVIDVLCKGGKVDEANMILMEMEEKGCRPDKYTYTILIIGHCMKGRIAEAITFFHKMVETGCSPDSVVVNSFISCLLKSGMPGEVDHIMRIAAGGASSSWKDPSPVTQSVDISVAV >SECCE7Rv1G0466870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82091260:82091460:1 gene:SECCE7Rv1G0466870 transcript:SECCE7Rv1G0466870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLMMALAFSAAPLTLYVPPVRSLSLFVEAMETVCRECAPYSQGAVSRFRLGLSRIFAGLARALR >SECCE5Rv1G0359810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:765304797:765305749:-1 gene:SECCE5Rv1G0359810 transcript:SECCE5Rv1G0359810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAYVRTAVVLALGCLLLAIAADALEPSGWVRAHATFYGGSDASGTMGGACGYGNLYAQGYGSRTTALSTALFSDGGACGQCYKVVCDRKTDKTWCKPGVSVTVTATNFCPPNWDLPSDMGGWCNPPRPHFDMAQPAWEKIGVYRGGIIPIIYQRVPCVKKGGVRFTISGHDYFELVLPTNVAAAGSIRAMDVRGSKSGNWMAMAHNWGANWHSLAYLNGQGLSFRVTITDGQTLVFSDVVPPSWRFGQTFSSNLQFK >SECCE7Rv1G0507350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:760904401:760912527:1 gene:SECCE7Rv1G0507350 transcript:SECCE7Rv1G0507350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDDLSEEDLELKARLEVCVEQTRSRDPRLRIGAIDTLRKEIRAATSSMTSVPKPFKFLRAHYGTLKTCFERMQDSEQQKKHMADILSVLALTMSAEGERESLKYCMMGSLVDICSWGHEYVRNLAYEIGKEWKFNSSSTPIESEIKVVLEIVKFHMEHNAETEAVDLLMEVGYLEMLSDEKKEEYLTMLLHLVDSTNYKRACLYLTSCSKYLSTPDHEATLGTAYDMYMKFRDLASALRIALLVDDHKYCGQNVKMKMVFEETKDFSLKQQFAFMIARYGLSVEIDDEMVADENEKNALQEVVCNTKLSEGYHILARDIGVMEPKSPEDIYKVHMIGGRGARSSSLDSARPNLAAIFVNAFVNAGYCQDKLMTAIPDSLLFKNNELWKASAVASLGMIHLWNPDSGFAKLDKYLHSNDTHVVAGALLGIGIFSSGVKNEFDPARALISEYSTGAASITRIGVILGLGIAYAGSRKDELKSHFSITLSNSQTPLEDLVFSAISLGLVFVGSCNEEIAESIISVLKNASKAEPISRLLPVALGLLYLGKQEMVDATAAKVSETFGEQLKKYCGVTLTSLAYAGTGNVLKVQELLHSCSDHLKKGGPDQGPAVLGIALIAMAEELGAEMAVRSLEHLLQYGDRGIKRAVPLALGMLCISNPKVAVVDTLSRLSHDVNGAVSMAAIISLGLIGAGTNNARIAGLLRKLSSQKYGGYLYCVRIAQGLVHLGKGLLTLDPCHSDRLLLSPVALAGLVTVLHACLNMQSTILGEYPYMLYILSLAMQPRMLLTLDEDLKPLPVPVHVGQAVDVVGQAGSPRTITGFQTHNTPVLLAAGERAELATEKYIPLTPVLEGFVILRKNPEHHED >SECCE7Rv1G0468340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:96717745:96721386:-1 gene:SECCE7Rv1G0468340 transcript:SECCE7Rv1G0468340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLKLAALCLLLFVSSLPLLARAGCECEAGEEEHDKAGALKLKIIAIFCILVASAAGCAIPSLGRKFPALSPDKDLFFVIKAFAAGVILATAFVHILPEAFERLGSPCLVDGPWQKFPFAGFVAMLAAIATLIVDTIATGYFQRAHAKNSSTAVGYVEASDSEQAQGGHSHGVSAVIASSFSDDGAKLIRHRVISQVLELGIIVHSVIIGMSLGASENASTIKPLVVALTFHQFFEGVGLGGCIVQARFRLKSVLMMALFFSLTLPVGVVIGIGISSAYDENSPRALIVEGLLSAAAAGILNYMALVDLLAEDFMNPRVQNNGRLQVIINISLLVGTGLMSMLAVWA >SECCE3Rv1G0211950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953910495:953914367:1 gene:SECCE3Rv1G0211950 transcript:SECCE3Rv1G0211950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKGIKEIGNSDGNALLELLLTYKALEDDDDLDFVNSDGDDDAGDTETDSNGSSDEEMAEKDEKIKSLVDMGFSEDEVNMAITRCGVDVDLCELVDSISTSRTTEDSHSRNLSDHQVRDRCFDSFEGRKKARLMEESKKKRNRYGGRAQGNQPSLDGSNDEPMPLPNPMVGFNLPGYLQPSVTRILPKQDTGPPFFYYENVAQAPKGVWVTISRFLYDIQPEFVDSKHLCAAARKRGYIHNLPIENRSPLLPLPPKTIFEAFPHYKKWWPSWDMRTQLNCLRTNITSAKLTERIGRALVSSDSPPTRSVQKYVMNECRKGNLVWIGKNKVAPLEPEEMEYLLGFPKDHTRGLGITERYKSLGNTFQVDTVAYHLSVLKVMFPNGVNVLSLFTGIGGGEVALHRLGIHMKAVVSVEIRKANKRIFRGWWDQTQTGTLIEIDDVKSLTDDRIVSFVSRFGGFDLVIGGSPCNNLAGCNRYNRDGLDGEQSALFYHYFRILNAVKSAMARM >SECCE5Rv1G0370050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:839547011:839551607:-1 gene:SECCE5Rv1G0370050 transcript:SECCE5Rv1G0370050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein knotted-1-like 7 [Source:Projected from Arabidopsis thaliana (AT1G62990) UniProtKB/Swiss-Prot;Acc:Q9FPQ8] MQGVGDQGGGMEMSFGGGGGECSSSSAAAVAVAAASAAEAEERQLLKGEMAVHPLCEQLVAAHVGCLRVATPIDHLPLIDAQLAQSSGLLHSYAAHHRPFLSPHDKQELDSFLAQYLMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGASLEEGTGATMSEDEDEGPPMIMEAAPMDMSSNGHDMMGFGPLVPTDTERSLMERVRQELKIELKQGFKSRIGDVREEILRKRRAGKLPGDTTTILKQWWQEHAKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR >SECCE6Rv1G0399290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:435260714:435263210:1 gene:SECCE6Rv1G0399290 transcript:SECCE6Rv1G0399290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPSISTSRSSPCVSHSLMNGTRKPFVASTTRYRLPAGTAPLVRCSPPLLPPIPTHRATSPSPLPENPPPRSGVGARPMITFADLTEPAPGAERCVDRQLWLACAGGMSTVPPVGCSVYYFPQGHAEHALGLDAEADLSAARVPALVPCRVAAVRYVADMDTDEVFTRIRLAPHGAADADGDVQDDAAAVADEEQEKPPSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVIAKDVHGTAWKFRHIYRGTPRRHLLTTGWSAFVNQKKLVAGDSIVFLRGDGGNLHVGIRRAKRGFCGAEEGSLSLTGWDRYSGPMRRNASPCTRGKVRAEDVVEAARLAGSGQPFEVVYFPRASAPEFCVRAAAVRAAMRVQWCPGMRFKMAFETEDSSRISWFMGTVAGVQVAHPVRWPQSPWRLLQVTWDEPDLLQNVKRVSPWLVEIVSSMPAMHLASFSPPRKKSRIPAYPEFPFEGQLLNPSFPPNPMAHGHRHHYHHTDSYHPSLSPFPDCSAPSGIQGARHSQFGPFFSDLHLTHQQSRLMYPGLRCHDHVSPAPIPFPPRISTDLTIGSSSARNGVSSTLPASAKRPNDAKPPGLVLFGQTILTEQQMSRGDSAGVSSAAATGNSSLNWNTDKAGNASQGSGSTVVQNSGSTDNTSPERPRRFGDNSNVSEFGLKPGQCKVFVESDTVGRNLDCSAISSFEELYGSLSETFCIEGAELRSRVLYRGADGEAKHAGDEPFSEFIKLARRLTILTDAGSNNTGS >SECCE2Rv1G0110630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:692367208:692377518:-1 gene:SECCE2Rv1G0110630 transcript:SECCE2Rv1G0110630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKERLAGVERLHEALDAAARRGLSPAEVTSLVDTCVDLTRDANFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLVTLMEVSSPTIILERAGNYAWTHKSWRVREEFVLTVATAVGLFASTELLMQRVLLSPVLQLMNDSNQSVREAAISCIEEMYKNMGSQFHEELQRHNLPTYMLKEINSRLNRIEPKVPASDGTATQHKVAVSRSVSVNPKRGSPRTKSTPRESTLFGGDTDITEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALVYGGAIDYPSFLTLLKQLIPPLSTQLADRRSTIVKQACHLLNVLSKELLGDFEPCAEQFIPMLFKLVVITVLVIAESSDTCIKTILRNCKVARILPRVADTAKNDRSAILRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRSTARTCYRMFTKTWPERSRRLFMQFDPAIQRTINDEDGVHKRYASPSLREKVLQPSRTSSHASGTHMPGYGTSAIVAMDKSAAISSDSSLPSNHLRLSQSKTTSRVSDRSLESVLSSSKEKVSAIESLLKGVSISDRQNFSVARSTSLDLGVDAPSSRDPPVPLAAPASNHLSLQNSTFLDSTIPSIKSSSTRNGGSRALDTMTTQLTTKERSRSPYLSNLSSESMTGLSQPYVRRSSERLQEGGHMDESNDLRSIRRFPQMHTEKNYVDMPYRDAAAHRDSHNNNVPNFQRPLLRKQVMSRPSASSRDRFDDSQVPSNDVSRYTDTLATLHDALSEGLNPSSDWVVRVSAFDFIRNVVQQGQRGTQEIIQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAEIIPACKKPFESYVERILPHVFSRLIDPKELVKKPCSLTLEIVGRLYAIDMLLPALVRSLDEQRSPKAKLAVIEFANRSFSKYTVDSEGYSNSGFLKLWLSKLAPLVNEKNAKLKEASISGIISVYSQFDSTAVLNFILSLSVEEQNLLRRALKQKTPRIEVDLVNYLQSKKERPRPKSYDQADFGTSSEDGYAQTLKKSYPFGRYSTSSLDAEVGKKTTTVQEPTLHNVSMARTTSDMSAGTNQSLEPASGTEVFLNRSRESKNNISSAMEDNRSWTNYHEKTDASLDGETAMSTPRLDFSQLHSPDGHNAVGSTTGKDVQETDMVVNLSSIKTSIHADNGLSIPQLLHQISTDTEISSLEKHEALQHLIKASLGNDSSIWSKYFNQILTTVLDVLGDSDPSTREIALSLIAEMLNNQKDAMEESIEIVLEKLLHVTKDVVAKISNEANRCINVLLAKYDPFRCLAVVVPLLVSDDEKILVVCINCLTKLVAHLSQEELMDQLPTFLPALFDAFSNQSPDVRKSVVFCLVDIYIMLGKAFVPYLEGLSSTQLRLVTIYANRISQARSGTAIDSANQ >SECCE4Rv1G0244590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:518012401:518012913:1 gene:SECCE4Rv1G0244590 transcript:SECCE4Rv1G0244590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-induced A20/AN1 zinc-finger protein, Negative regulation of GA (gibberellin) -mediated cell elongatio [Source: Projected from Oryza sativa (Os08g0504700)] MAQRDKKVEEPTEVHLHAAEITLCANSCGFPGNPATKNLCQNCFLASSSSSPSPSAASPPSPSSSPAAFPLFDKPRPAAVASPVLQAPPVYMAVDRPAAGPAASKSSVNRCHNCRKRVGLTGFRCRCGEMFCGAHRYSDRHDCSYDYKSAARDAIARENPVVRAAKIVRF >SECCE4Rv1G0242420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:477139327:477142536:1 gene:SECCE4Rv1G0242420 transcript:SECCE4Rv1G0242420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEICHDSATDDDRSRNLDLDRAALSRTLDETQQSWLLAGPGDQGRKKKRYVDLGCLLVSRKLFLWTLGLLLAAAALAGIAAGIAKAVPRHQTPPTPPDQFTLALRKALMFFNAQKSGKLPKHNNVPWRGDSCLKDGRSGETVRRDLSGGYYDGGSAMKFNFPSAFSMTLLSWSVIEYNAKYEAAGELGHVRDTIKWGADYLLKTFNSTAHSIDRLVAQVGSAAMSPGSSLPNDHYCWMRPEDIDYPRPVTECHTCPDLGAEMAAALAAASIVFKDNRAYSHKLLHGATTVWDFARKGGSRTVYSLPGSDAAKFYNSTGYWDEYMWGGSWMYLATGNSSYLQFATSAELANHAHVYSRPANYGVFSWDNKLPGAQVLLSRLRLFLSPGYPYEEMLRTYHNQTSIIMCSYLPNFRSFNRTKGGLIQLNHGQPQPLQYVVNAAFLASLFSDYLEAADTPGWYCGPHFYSIEVLRSFARTQMEYILGKNPLKMSYVVGHGKHYPKHVHHRGASIPKKKGVHPGCKGGWTWRDTKKPNPHILVGAMAAGPDRHDGFKDVRKNRNYTEPTLAGNAGLVAALVALSGEGHGVDKNTMFSAVPPMFPSPPPPPAPWKP >SECCE4Rv1G0253290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:625001629:625005002:-1 gene:SECCE4Rv1G0253290 transcript:SECCE4Rv1G0253290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAAEMQPPVGSPTAAPQSEVQHPLSTPDPSSPPAPAPAPAPAPAPEAEADPPSPAPALAPVQLQPQQQPKSVTWSEKLTSESPTHVPAAAAAEASQYVSRGPAASSSKGAVEAMKDTFSRWGKSMGETTKMMESLSRDTWQHFKTGPSFTEAAMGRLAQGTKVLAEGGYEKIFKQTFEILPDEQLKMSYACYLSTSAGPVMGVMYISTAKIAFCSDNPLSYKAGNKTEWSYYKVVIPLHQLRTANPSVSKVNPAEKYIQVVSVEGHEFWFMGFLMYDKAVSSLQEALDGARELQP >SECCE6Rv1G0391160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:207663384:207663942:-1 gene:SECCE6Rv1G0391160 transcript:SECCE6Rv1G0391160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFDKKETTMVFEKLYRHQPGPDPEPDHNCLRLHKNRVFYASESLVRRGTVVSRTRLAGVGTPIGKFTHGGSFHLTVHALDLLAAHARHRIWMKPNTECSSLFGNSMPTSALARITENTMSGDGVVIMSMADMPLGFGIAAKGALDYRKADTNAVVVLHQSDTGKYLRKEEELM >SECCEUnv1G0559860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:342021603:342023082:-1 gene:SECCEUnv1G0559860 transcript:SECCEUnv1G0559860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHLFNAPLGETETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKMLNDLLVKKNEETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRTKDDLPDELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYRNIMDNCQENAMVLKAGLERTGRFNIVSKDQGVPLVAFSLKDSSRHDEFEISEYLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTFAERLVIDIEKVLHELDALPSRSSGPALQHPNGDTVSERDLARQREVVSVWKRAVAAISSL >SECCE5Rv1G0337050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588551135:588554662:-1 gene:SECCE5Rv1G0337050 transcript:SECCE5Rv1G0337050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGLAPWLPLLLLALAACWGGRADGHPLSRIAIHRARVALDASAAVRASPSLLGSRGQDTAWVTVEFEIPRATDGDWIGVFSPSNFNASTCPGSHGSGPGPAICSAPIKYQFANYSSGYNKSGKGALKFQLINQRQDFSFGFFTGGLSNPTLLAVSNKIVFANPKAPVYPRLALGKTWNEMTVTWTSGYGISEAHPFVEWGMKGSHPVHAPADTVTFGRESLCGEPARSVGWRDPGFINTAFLKNLSPEKEYYYKIGHTLHDGKVIWGKPKSFRAPPYPGQKSLQRVVIFGDMGKDERDGSNEYQNYQPASLNTTDALIRDLDNTDIVFHIGDISYANGYQSQWDQFTQQVEPITSRVPYMIASGNHERDFPNSGSLYNGTDSGGECGVPAETMYYAPTEKRDNYWYSMDYGMFRFCVADSEHDWREGTEQYRFLDRCLGTVDRAKQPWLVFIAHRVLGYSSGFFYGFDGAFAEPMARQSLEGLWRRHQVDVAFYGHVHQYERTCPVYEERCVPDGHGHGTVHVVVGGGGSHLSNFTAVAPPWSVYREMDYGFGKLTASDARSLQFEYRRSSDGKVYDSFILHRD >SECCE5Rv1G0329830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:522413545:522415739:-1 gene:SECCE5Rv1G0329830 transcript:SECCE5Rv1G0329830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERSDMDKSEEVLLPGFRFHPTDEELVGFYLKRKIQQKPLSIELIRQLDIYKYDPWDLPKLASSGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGTKCIGLKKSLVFYKGRAAKGIKTDWMMHEFRLPSLTDPSLPKVPIDKNIPANDAWAICRIFKKPSSMAQRALSHSWGPQSTTTIEPDLLSALQSIQASQFAFESSPCSAEVAIPVNRLNSQHYFHEQQQQQKPNSSPNGSSFKVINFNRGPSLTHISDKDIHSGPIIFPFETQTLQRSSDAVLLSIAPGIISSMNEASPEIEFEHSEQCNGYAVDWVIDTNGETGNRDEDPYTRKPDNGYNTGNEYGLPPKIKFPFDLGVHPSDDWISNVPCESLSCPPASPRDVQ >SECCE4Rv1G0231030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:175340145:175348019:-1 gene:SECCE4Rv1G0231030 transcript:SECCE4Rv1G0231030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of mec-8 and unc-52 protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT2G26460) UniProtKB/Swiss-Prot;Acc:O48713] MSSTQKKKSNYKEKMARRKEESKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGADLRLEDAQNISIEKSKYLGGDLEHTHLVKGLDFALLNKVRSEIDKKPDAEDGKDAKTRETKEDRAVSFRTAIAKSVYQWTVKQQQSMMKANDMFLPGRMAFIYNMEDGLNSDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGKNNLAGGVYNEVVRPAQSDGSVQKHQSEKDMPPPPPPPPRKSNFSEKEKHSVPVARTDDDDIFIGDGVDYTVPNKEMSQSPISEDMDESPRIHQNQSNLNEPVYGPIQPSEPAQAWQQPMDGYDAIQAQMAAAGYQGEWSGYQYAEQQLPYPEQYMQQGTLGYDVLVDPNISQDPRLMTQADKDKGLGSVFKRDDDRLKQLREKDAREKDPNFISDSYSECYPGYQGYNQEIAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLSNDLNKINKILARKKGDKDGGEGHYDDDIPSGKKQRA >SECCE2Rv1G0115900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:758425308:758426984:-1 gene:SECCE2Rv1G0115900 transcript:SECCE2Rv1G0115900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGGAATACPDGHVITAPLLAKAAGEVVIPVSGDEAAAPVLTCKPPGRLARAVKEAWSVSLGIALPMMPPVSATAARDEARSILGLAFPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGNYSLIGVTVQRTVLLLIAAAVPIGGLWMNMRPLLLLCGQDAGIAAVAETYILASLPDLLLQAFLHPVRIYLRMQSINLPLTVCATLAIAIHLPINYVLVTVLGLGVKGVAMASVLANLNLLLLLLAYIFYKGVQKRTGGFALSAESFRGWGELISLAVPSCVSVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGAGQPEQASRAATAGVMLGFAFGAFASAFAFLVRDVWASMFTADPAIIALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALVLAFWFHYDFKGLWFGLLAAQATCMVRMLLVIGRTDWAAEAKRSRQLTDSAGADAQGKVGAADGDEKSRLLMDADIEQPNDRC >SECCE6Rv1G0385350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:75929642:75932401:1 gene:SECCE6Rv1G0385350 transcript:SECCE6Rv1G0385350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08280) UniProtKB/Swiss-Prot;Acc:Q43316] MATLRCTNHTLLGSPTCVARPRRAVVRAAVAVQAEAEPKVSLIRIGTRGSPLALAQARQTREELKAAHTELAEDGAIEIVVIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGSIDIAVHSMKDVPTYLPEGMILPCNLPREDVRDAFICLTAKTLAELPAGSVIGSASLRRQSQILYKYPSLKVVNFRGNVQTRLRKLKEGDVHATLLALAGLKRLKMAETATSVLSVEEMLPAVAQGAIGIACRSNDDKMMEYLSSLNHEDTRLAVACEREFLSVLDGNCRTPIAAYAYRDKDGNCSFRGLLASPDGSIVYETSRSGTYSFDDMVAIGQDAGHELKSKAGPGFFDGLQ >SECCE6Rv1G0418070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:660818101:660818376:1 gene:SECCE6Rv1G0418070 transcript:SECCE6Rv1G0418070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGAAAVPEKEAQAPAPAPASSSCFKWTMGEGASFMERAKDQYKQFAEAQASEHWECIKNKVSSMFADFGGGAKDHGSTTNTTPSVQSQ >SECCE2Rv1G0097600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:463787300:463787965:-1 gene:SECCE2Rv1G0097600 transcript:SECCE2Rv1G0097600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVGLLKVRVVRGINLAYRDARGSDPYVVLRLGKQKLKTSVKKRSVNPIWHEELTLSITNPNAPIKLAVFDKDTFSKDDPMGNAEIEVLPLTEVLNLDTESIRNGTVVQSVAPSSRNCLAEESRVCWKNGKFIQDMVLRLRNVESGEIMLQLQWVNMKK >SECCE6Rv1G0434730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:767312064:767312540:1 gene:SECCE6Rv1G0434730 transcript:SECCE6Rv1G0434730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYVTETLQCRTITLDVDSLDTVDDVKAKIQDTEGFLKSQQCLIFANKRLEDGSQTLGDLNIGKESTLLLFFLPFSPKGGIMSIFVKMLDGKTITREVGSLDTVDRIKMEIYEKDGTRPKQQRLIYGGRQLESSHTLAHYNIEQCSTLHLVLCLCGC >SECCE1Rv1G0001860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6467222:6471870:1 gene:SECCE1Rv1G0001860 transcript:SECCE1Rv1G0001860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVAAMAIRPLVSMLVSKASSSLLDEYKVMEGMEEQHKVLMRKLPAILDVMADAEEQATGHRDGAKAWLEELKTVAYEANEVFDEFKYEALRREARKKGHYSKLGFDVIKLFPTHNRIVFRYRMGRKLCRILKAIDVLIAEMHAFRFKYRPQPTIAEMQWRQTDHVINDPREIASRSRDKDKKKIVDALLGQSTNAALVVVPIVGMGGLGKTTLAQLIYNEPEVQKHFQLLLWVCVSDNFDVNSLAKSIVEASPMKNDDADKPPLERLQELVSEQRYLLILDDVWNREVHKWEKLKVCLQHGGSGSAVLTTTRDKLVAEIMGADRVYDLNVLEDSFLKEIIEARAFSSEKEKPAVLVKMVDEIVKRCSGSPLAATALGSVLRTKTSVKEWKAVSSGTSICTDETGILPILKLSYNDLPSHMKQCFAFCAVFPKDYKIDVAKLIQLWIANGFIPEHNEDSLETIGQLIFDELVSRSFFLDIEESRDFMTKYSRTTCKIHDLMHDIAMSVMGKECVVAIKEPSQIKWLSDTARHMFLSCEETEGILNDSLEKRSPAIQTLICHSHVRSSLKYLSKYSSLHALKLCIRGTQSFPLKPKYLHHLRYLDLSWSYIKSLPEDISILYNLQTLDLSNCSDLDRLPRQMKYMTSLRHLYTHGCLNLKSMPPGLENLTKLQTLTAFVAGVPGPNCSDVVELQHLNLGGHLELHRVENVKEAEAKVANLGNRKDLSELTLRWTKVGESKVLDKFEPHGGLQVLKIYSYGGECMGMLQNMVEIHLFDCERLQILFRFSTIFTFPKLKVLMLEHLLGFEGWWEIDERQEEQTIFPVLEKLFISNCKKLIALPEAPLWQGPCGEGGYRLVRSVFPALKVLKMENLEIFQRWDAVEETQGEHILFPCLEELSIENCPKLSALPEAPLLQGPCGEGGYTLARSAFPSLKVLKMENLESFWRWDAHEETQGEHILFPCLEELSIEKCPKLTALPEAPLLQEPCSEGAYGLVWSAFPALKVLKMKDLESFQSWDGVEGTLLPQLEKLSVQKCPKMIDLPQAPKLSVLEVEDGKQEIFHFVGRLFSLTKLILNLEYIETTSEAECTSIVPVNIKERRNQESPLTVTVMKLRCCNSFFGVGALEPWDYFVHLEELEINSCDLLVYWPEKVSESLVSLRRLVIKNCKNLTGYAQAPLEPSAAERSQHLPSLESLQLRNCASLVEMFNVPTSLKSISIWDCLKLESIYGKQQGMSDFVEGSSCSEAIMPTAVSGSSSSPMNHFCPCLETLDLWRCASLPAVLNLPASLKTVIISHSSIQVMACQPTGLQKPQVTTSISRSPIMPEPTAAAATAAREHLLPPHLECLVIADCAGMLGGTLRLPAPLKRLDIIGNSEFTSLEYLSGQHPPSLEILILRRCSSLASLPNEPQVYSSLCDLEIIGCPAIKKLPRCLHQQLGSIKHKKLDAWYEVMASKPKTWKQIPRLVCEQRRDTRQARERQQSTMHE >SECCE2Rv1G0071310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:42715484:42719767:-1 gene:SECCE2Rv1G0071310 transcript:SECCE2Rv1G0071310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNCAVDMSSGTKQLQHQPAAPTSPTASFSESNIVASSADPDAIDALAGLQALRFDGDIDGEIQSPDLAMWESLFADQIGAGAASGADFLMSSPRRDFSPLRDFMASSPKRDYMMSSPKRDYMVSSPKREMVASPRRTFSNLYNNSTSQQSYMHGTLHGMDTGSPSNLVGYGKGKSSQHSPLHKAFLNNAHSNSSKSNLSCSSSYVNSSDNLPLPSLNSSFLDEGYLAYQLPPDKDGGGGSSAGTSAAQLPTLSECLAMPEPVYGARDEAAVGRGIPVGGLQPDHLYYASQFGAADGLPLQHQMAKPDQWADSSSLHSMLGSVIQSDQVEQEQDSGLQLVHLLLACADFVSKGDQPSAVRHLHLLRRVASPLGDSMQRVASYFADALATRLSVSSGTAISPRGAGAPYPFPPSPDTLKIYQILYQACPYIKFAHFTANQAIFEAFHGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPTLRLTGVGHPATAVRETGRHLASLAASLRVPFEFHAAVADKLERLRPAALQRRVGEALAVNAVNRMHRVPGAHLGPLLSMIRDQAPKIMTLVEQEAGHNGPYFLGRFLEALHYYSAIFDSLDATFPADSAPRMKVEQCLLAPEIRNVVACEGAERVARHERLDRWRRIMEDRGFEAVPLSPAAVGQSQVLLGLYGAGDGYRLNEDKGCLLLGWQDRAIIGASAWRC >SECCE1Rv1G0033790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:471163440:471165048:-1 gene:SECCE1Rv1G0033790 transcript:SECCE1Rv1G0033790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAPLHSSSSAAAAAATFSLVAKKARLSPFILLSLLFMLLFSFLYGEELAALIGRRADRHSAQLDVSVNLEHQQPADGSEVEDGWQRKKKRWQGRLAFAVNDEDEDEECDVFSGSWVRDEEAHPLYREEECPYIPPQLTCQAQGRPDRGYQSWRWQPHDCTLPAFNPTQMLETLRNKRMMFVGDSLNRGQFTSMVCLLQSAIPSPEARSFEMSPNQQHTVFTAREYNATVEFYWAPFLLQSNADDAVVHKISDRMVRNGSIAHHGRHWEGADVLVFNTYLWWCTGLRFRVVNGPIAEAGEEDAAWVSTEEAYGMAFRDMLQWVRENMDLNATRVFFTSMSPTHGKSQDWGGAAGGNCYNETAMIEDAGYWGTDGRRSVMRVIGEILDGDGADVPLTFLNVTQLSMYRKDAHTSVYKKQWNPLTPEQVADPRTYADCVHWCLPGLQDTWNELLYSKLFYP >SECCE5Rv1G0336250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583140481:583141929:-1 gene:SECCE5Rv1G0336250 transcript:SECCE5Rv1G0336250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDAAAKGVKLERYASGGALLLRRVASGKFVSASSHLLFRATVLATLALVFLFALHYPSLLSRSFSLASSSSSVPGASRSQSHRSLLASSSAAATYGSERWQKEIRRSAKPRRDGGLSVLVTGAGGFVGAHCSLALKARGDGVVGLDNFNSYYDPALKRGRQSLLADRGVVVLDADINDALLLERLFEAVPFTHVLHLAAQAGVRYAMEAPQTYVASNVAGLVSVFEAAAKHADPQPAIVWASSSSVYGLNTDAPFSEDHRTDRPASLYAATKKAGEAIAHSYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFARSIVAGEPITLYADARRDFTYIDDVVKGCVGALDTAGRSTGSASRPGKKSGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRIIAMPSNGDVPFTHANVSHAAHDFGYRPTTSLDAGLRHFVDWFVQYYKLDIKIAKPAAASKKPAAGTKKKGSTATSASASS >SECCE5Rv1G0346320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660515072:660515407:-1 gene:SECCE5Rv1G0346320 transcript:SECCE5Rv1G0346320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRNAASISAEALLLSKDMAYSITMASQGSLIPPSLPAKPNTSSDIRRSSLKTTVLRYANGTSNRLPSVVYMTQWPFPATVVEHSSTSVVAVVVSRFLPRVATLCHFFAS >SECCE5Rv1G0354890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727598012:727598737:1 gene:SECCE5Rv1G0354890 transcript:SECCE5Rv1G0354890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRSAGPGAVGSAGSLGLRIGQAACSSAALMFMSVGVEFFSYTAFCFLVTIMGLLVPWSCTLAMIDMYSILVGCPLHVPGVMAIVVVGDWVLSILSLAAASSSAAVIDVLLEFHGSHCAPRLCERYQLSAMMAFLSWLLTAASSLFNLWYIASR >SECCE3Rv1G0144750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5380658:5385563:1 gene:SECCE3Rv1G0144750 transcript:SECCE3Rv1G0144750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAANVASPLSVRRVSAEMERAGNSTAEEPMSPTGKILEEMGVCIVVVMGLDTPVNLPVFRSGIETELITRSSRFRSVQIMDGPEDDKPQWVQTGVNIDDHIIVPRLDPAAVASNPEKAVEDYVAMLSTLPMDRGRPLWEFHFLDFKTSGATSTVVLRLHHSIGDAMSIMTLFMASARSTADPTRLPAMPPPPRRTGAIYQQRTRPPLSLSSDYLAWVWSYFVLAWHTLVDVALLAATVLFLRDPRTMFTHMPDGSGSRRCRRFMHRSLSLDDVKLIKTAMNCTINDVLVGVTSAALSQYYFRKSGDTNTKRICLRSILLVNTRPISSRQTYVTKVERGNQLSSLIYPFHIALHNDPLEYVRKAKRSMHRKKSSLEVKFVQVVVEFSVKYFGAKTGAFIFRLFATRTSMLLSNVVGPSEHITLCGHPISFMAITTYGQPQALIMHFLNYGSTIRVTLAVDDAQFPDCHKLLDDFDDSIRLIKNAAGLKTLTTLIQND >SECCE5Rv1G0353830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720406129:720406980:1 gene:SECCE5Rv1G0353830 transcript:SECCE5Rv1G0353830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEILGITEGLGQALQKRSQDIVNVVCLVFATKECLRQLRSDNGWEEFYCTIVEFCVNHGTEIPNMDETYIMRGGRARHQPDHFTKEQYFRVEIFRATLDSQLHELDCRFSEKMMDLLSTSATLIPKNKFKSFKGSDICELVKKNYPADFSQQDRYGLENQLKLFVPDASNDVELKNISTLTDLCQLLVETGRDKIYHLIDRLLRLLVTLPVSTASAEHAFSSLKIIKTRLRNKMEDENLANNLVVHIEREIAEMYTFEDILTEFKSISDRKADLFSAMFGS >SECCE5Rv1G0317000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:334041709:334042299:1 gene:SECCE5Rv1G0317000 transcript:SECCE5Rv1G0317000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKHLCMAKFKEGVVVDDIIQELTKLAAELDTVKYFGWGKDVLNQEALTQGFTHVFVMTFGSAEDLAACMGHEKHCAFAATFMAALDKVVVMDFPFVFVKPAPQPA >SECCE2Rv1G0139160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:925717911:925718251:-1 gene:SECCE2Rv1G0139160 transcript:SECCE2Rv1G0139160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKCIIGALVGSFGIAYVCDTIVSDKKIFGGTVCKTATDKEWFRATDAKFQAWPRTAGPPVIMNPISRQNFIVRTLE >SECCE2Rv1G0093570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:381158899:381159252:1 gene:SECCE2Rv1G0093570 transcript:SECCE2Rv1G0093570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQLHQFELKQRKKKLRCESESKEFAEKEKQRRAADGAKGAKFSKVKKPSLQKKRLSTIAEEGGTPRRIPRVACMNKNLGKRTAEAGGKDDPKRKRLHVTEGANSHDDDSVLADL >SECCE6Rv1G0424510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:701378500:701383248:-1 gene:SECCE6Rv1G0424510 transcript:SECCE6Rv1G0424510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRPPPSPSPPQASPSSTVRVLSRAPPPASAPSPAAAASPPHDGGVVVVGFVGGAGSAAARLADRILDAPVFSPGGSARTLAGAVRYHRDGDKRMVFLHLASPPPPPPREAGGGSSGGLPEMLFMFSVCHVIIFLQEGFRFDTQNLKNFRLLQSSKHAFAPFVRSLVAPGTPSKAAPSGTPGTPSKATPSGTPTRPTRRASSISPPARRGGHSGRQPSAISLMSGTGSHASVLPGQCIPVVLFVFEDDIIDGPSAATSLDDMGETSSSNQASGTSKGSGSVVMLARPASKSEGSFTKKLHLSLEGQIRFLLKRCRTLTGLESSGHNGPRGAGNVSHLPLFSLDTSRVVALLDRSINKKREPLDIIAGLFEDSFSSKSSLDVASLENNCQSANHEDVQLIKDFILRQSDGLRGKGGYSGNASAGSVAGVGMVAAAAAAAAASASSGKPVSVPDLPSFDKWFSISTSILSGLISAKDGIDNSESMRGSSTQTSSSLKNEQSSAIETALSCLESNKGLNMKFSSSWCQKVLPVAKEVYLKDLPDFYPTSLHEVQLQKALRSFHSTVKGPAVKVFSKKLEDECKTIWEAGRQQCDAVSLTGRPCKHQRHSKLSSSDAAEQHSSGYVFLHACACGRSRRLRDDPFDFETANVSFNCFSNCEDLLPTLVLPRGVDASSFPASSWRLVRLGGARYYKPTKGLLQAGFCSKDKYLLKWTISLGKGQGKNGTHAATKSSSMTSNVNPQIPPAVSREVKSITHQVAPEIRSAKLENPRKQPEVQSTNNSAISFGKGHPNFTMKKPFAEVVAGSTTKDSEFPALQLKRPPKPASRKDERQVSLAEQTNGRINAALSQGPAAENESEKMSKNMSSEIADGKPFLQIGSNIVPVTVGNETKEAAQTVQQFVVYVGFEHECSYGHRFLLSEKYLKEIDYERSYQNNESESKHSSQKLPPNASKSTATTVNGNNGRKANRPMESSGRNSRQQLLQPGVDGETLRPAHILSEPHNLRKGQHSLQYVTADDGGEAYSLLNRNLPIYMHCPHCKSSDGKGHQDGKVAGAVSQLQRIFIVTPDFPVLLASCPLVQFERSCLPSNVSDRDQQGSFSLGCRVLLPPESFLTLRLPFVYGAETRDGGTSPLKHLEQQPELTAWLVGGTALQMVSAGYIAEKEANVP >SECCE3Rv1G0166300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:185020268:185022039:-1 gene:SECCE3Rv1G0166300 transcript:SECCE3Rv1G0166300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKKQLNPMRDIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTIRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGMKYDPSTGIYGMDFFVVLERAGYRVSRRRRCKARVGIHQRVTKEDAMKWFQVKYEGVILNKSHAS >SECCE5Rv1G0297510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:3371876:3375420:-1 gene:SECCE5Rv1G0297510 transcript:SECCE5Rv1G0297510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEDTTSHYYFVWALALSTLVLAITRSKKANNSLPLHLPPGPWQLPVVGSLHHLVGKLPHQAMRDLARRHGPVMLLRLGSVPTLVLSSPDAAREVMKTHDVAFATRPLTATMSVLTCGGRDIIFSPYGEYWRQLRKIAVTELLTAVRVRSFRAIREEEVATMLRGIESAGPMVEMRARLSMLVADGTFRAVMGDRCDSKQRNLFLQELNRIIGLATGFNTADLWPSWWLAGRLSNALRCAKESHATVFGIIKGIIHEHMERRTEGQRGEEAKDDLLDVLLKIHKDGGVDMVAVEAVIFDIFAAGSETSATALEWAMAELVKNPRAMMKATSEVRRAFEAGGTVDEGRLGELPYMRLVIRETLRLHPPLPLLLPHECREPCKVLGFDVPKGTHVIINAWALGRDEGFWGADAAEEFQPERFEAGAGMEVDFTGTDFYLLPFGAGRRMCPGMAFGLANVELPLASMLLHFDWEASDISDPSEFDMTEAFGVTVKRKAKLLLRPSLRVPLPPSTPGGV >SECCE5Rv1G0353180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:714458198:714459065:1 gene:SECCE5Rv1G0353180 transcript:SECCE5Rv1G0353180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPTDPMLWHKVAAVSGVAALGLGTYGAHMFRPQNPRYKEIWQTASLYHLVHTAALLGAPMTKRPNIFGGLLTTGIVLFSGTCYTVAYLEDRKFSSPAPIGGFAFIAAWASLLF >SECCE3Rv1G0153130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:52386673:52389201:-1 gene:SECCE3Rv1G0153130 transcript:SECCE3Rv1G0153130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREFLAVLVRAALQWALASLLLANGAAFCLIAAAASSLRLGPPCLLCARVHRLLRSSSSSGEADCSQEEDTFRRLLCDAHVAAIARLGSEETEKRAVSRHVDTATRYDHSDKSGGLESHRVVSIGSEICEQDRGGGDDQPRATNLSILGRTSSIDSSEGPYVSLFELAPLVALPEDTPPDYDAKEAPHGHGHGTTAKPEHRSASSSDAAVGEQALTVSGLVAALGAQRRELEAARAELHGERRARAELEEQGELDREAARVAMQLVHETETEKHELQRQLDAFRIKAQLYDYEAAGLDAGCSAPPANRLGRRLSRGSELMGLEDEAGLDGNKNYQSLVDFLPGSVYSSSPDLANLLRLYTEGNGNGARRPKEGDITEEEEEEVVVVAVTAAAVSGFDGGDGEASGIDTAAGASLPETESGGGHVRADLAQDEAAVIRA >SECCE6Rv1G0452710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879071906:879078067:-1 gene:SECCE6Rv1G0452710 transcript:SECCE6Rv1G0452710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPAMDAAAVIGCFRDRSILITGSTGFLGKMLVEKILRVQPDVRKLYLLVRAADAASAEHRVLTEVVGPKLFDVLRSKHGANFNSFIKTKISPLPGDITKETCALKSYMVEQILEEIHVIVSVAATTSFYERYDVALASNTLGVAHVCNLAKKCANLKMILHVSTAFVAGEQEGLLVEKAFDVGKALRKNYNLDIQSEVKLVESVKSKLRIQLSNDKIEKRTMKELGLKRARHFGWPNVYTLTKAMGEMLLGTLGQELPVVIVRPSIISSTFQEPMPGWTEGTRTIDMLYVAYNDQKLPCFIADRNVIFDVIPGDMVINALMVAMAIHWDTHGTQAIYHVTTGHRNPLLFSTFLESGYEYFRSNPRVTKDGRIVKDRRVKFFKKYIFFRLYMILRYNLALEMLHVMSVFGNSLSQHYKKLKRGYNFLILVAKLYAPYAYFKGCFDDTNMRNLWAITSTDELNDGSTFNCDHVCIDWGSYLINSHIPAVLTYARSNN >SECCE5Rv1G0320090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:381292466:381292792:-1 gene:SECCE5Rv1G0320090 transcript:SECCE5Rv1G0320090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSSQSQSSVAGAAGASRPATVGPRGTAAATAGMRRRPGRTSSSGAGGGGGFSGGGNNMLRFYTDEAPGLRLSPTMVLVMSVCFIGFVTALHVFGKLYRSRTAASA >SECCEUnv1G0532630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:22300773:22302442:1 gene:SECCEUnv1G0532630 transcript:SECCEUnv1G0532630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDEIQPLEHPDAAPASGDVGEEDHLARLPEDVLAGVLRGIPPRWLAASRCVCTAWRDAIDAHGLLRADLLPLSLAGLFVHFDEHKYPEFLARPSSAAGAPAISGNLSFLPSTTPHAGTIWDHCDDWDDYNIEDHCNGLLLLSNNCVVNPATRWWNTLPKCPAKNDQGIVRYREHLVYDPMVSPYYEVFMTPWLGDYPEDEVDPLMEESEWPPSLCKMYVFSSKSGSWEEKYFLREGDAAGIVREMRVGYWPFNSVYFRGALYVHCRGDWIMRISLSNNTYSVIKPPVNPRAHYSHVEVVRSKKGVYFVAFDKRWPQRKYWLGVWILNESCGQMEWMLMHDKNLKHVLARHRRYHGRFHWILEDINYNLFRSSSFPEDIKKAATQEYLGWYSDDDVENEGMVKQCCLENNKKSIVENKFDWKSDNHNAPNDDDVVEERYWDEEHYDGSYDYDIEILGFHPYKEIVFLSASESTALAYNLNGSKIEELGNIYPKEYVYFKELSNEREMIKSFPYTPCWIEEFPGNN >SECCE5Rv1G0369560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:835868920:835875125:-1 gene:SECCE5Rv1G0369560 transcript:SECCE5Rv1G0369560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSALFMEWAMDTLEQEHPDPVVVVNGDCGEAAFPSLQALREQRLVFEELITGANPASSGSSGETTDGSGGYGGNFTSPAAMEHDVWPPSPSTSARRAPRLCRNGGDGGATNLPVTSWNFCAASALPASDSTLDSGSGAGPVVPETVYGSQPTRKAAARSPTGTGPPYAQDHIMAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRHVKELHEKIKALVAATGRSSRSIETVVLVKKKPRHAGAAAVSDQNGSPSSASSGATASRNPLPEMEVRFSENGVMVRILCDDVKGVVVRVLSEVEEGLHLTVTHSNVMPFTACTVIITITAKLDEGFTVTAEEILGRLNSVLGLHNSCTSTEEK >SECCE6Rv1G0446380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:843921912:843925171:1 gene:SECCE6Rv1G0446380 transcript:SECCE6Rv1G0446380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLHGTINATIVGADNIHDRSRHTGKVPDFLGNIVQGVQETTGLGKGLSRMYAAIYLGSACIARTRTVAVHAAGSTRWNEPLRAYCAHHAADVVISIMIEQLGLDDDTVLGRAYLPARELLSANGTTIDRWFDVLGANRTKLPDGPKIHVQISFRDVVDQGLVWGGGVGDGKVPHTFFSQRPGCRVTLYQDAHASAEFDPKIQLHGGGLFKPGHCWEDMYDSISNARHLVYITAWSMFPHITLVRERDGRQETLGELLKRKAGEGVHVLLLVWNDISSIDGLHEYFRGSRVQCVLCPRNWHVRGYILDGKTPVDTVVYSHHQKAVTVDQELPAASSGSDGRRQIVSFLGGLDVCNGRYDTQSHSLFRTLGTGQAHSKDFSQINFNDEDATLDKGGPRTPWHDIHAKVEGAVAWDVLHNFEQRWRKQGGGKEHLVDLVALEGKVAPSSWPVTLPGDQEAWSVQLFRSIDNISTVGFPDSMEGAFEAGLVQDKHRVFERSIQDAYIHAIRAAKSFIYIENQYFAGSSFQWKTHDGIDPADVGACQQIPRELSLKIVSKIEAGQRFAVYVVVPMWSEGTPTGRYRQAMLDNQRRTMALMYDDIAVALQAKKIDANPRDYLTFFCLGNREAKREGEHQPAKRPEHGTDYARAQKARRFMIYVHSKMMIVDDEYIIVGSANLNERSMAGDRDSEIAIGVYQPHRVNTDAELARGHVHGFRMSLWHEHLGRTHGDFLRPGSLECVRRVNKMADEYWNLYVGDQLTEDLPGHLLTYPVAVSKAGTVSTLTGFEFFPDTKARVLGKPTGINDYFMSI >SECCE7Rv1G0497600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:627862017:627862940:-1 gene:SECCE7Rv1G0497600 transcript:SECCE7Rv1G0497600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGQRRRFGLADPPVPCAGSGHPRKRPRQGLRRCTAARLPGHEPRFSTDGARRTGHPEETDWRDWANLTTELADDVAVRLLSLNVLEYLRFRAVCKPWRELTEDPRAHGVLDRRFRPHGWFPLSNRGEPPSHRRLLHATARARVRVHHQIFSTSHLVSVADGLLLMCDEATATVRLLHPFTGALAVFPDITDVRPHEGAEPSARLGMDAFKARFPGLGVDPDAHLATGDYKSGYPADGTVMDFASIDDSTSPPTLQLCVKNGKWLVISAKPGDEHWVSLSQRRGSVRVMLHFALLRLVTVENNLLG >SECCE7Rv1G0466540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:79503083:79503511:1 gene:SECCE7Rv1G0466540 transcript:SECCE7Rv1G0466540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHLLLIVFMASILQSGTSDTAYDILAQNNFPRALLPLGVKSYVNNGGALQVSLPNSCDFNVTVAGGQHKIRFDSLVSGVIQPGSITQLGGVRIQLEWDFPAFHTVERVGDKLRFTGGDHGALFDQSFPVSNFVQSPRCN >SECCEUnv1G0558930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:335163118:335163414:1 gene:SECCEUnv1G0558930 transcript:SECCEUnv1G0558930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTDRESPLRGLSPSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE6Rv1G0441620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811619779:811621322:1 gene:SECCE6Rv1G0441620 transcript:SECCE6Rv1G0441620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAHREVARAVVEEAEARLGNRLLPSAVPADVAEFRNGDGTALGSLDVRRGAPGSSIDFMLQSSLHCKVPNGAIDITSIFINLNASTDAPHFVMEFIQGGPTSMVVLLDLLPRKDLALHPEYIDKYYENTEADKHRKIIEELPQARPYLSPSLFVRSAFSPTAVFFTIDCGQGGESALEEIVHGQLASVVKGVLQIWLDTCAGDTSEMEEGEREIMVKRDRTVRSKSIEVDLTANLPRMFGPDVSGRVIAEIRKAFGVEEA >SECCE2Rv1G0076570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:92122238:92126919:-1 gene:SECCE2Rv1G0076570 transcript:SECCE2Rv1G0076570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPSSSCCGVSPPLLANPRGEFAASCSTRTTQKAHFFGSRHSPQIIYSPTSSHLSRRAVIALAGKQSWDIGRFAKTLFFFNGPPNPLKIVESIMSSITASAPTEAPKKAETSDVVLVTGATGGVGRRVVDVLRKKGVPVRVLVRNAEKARTMLGPDVDLIIGDVTKGDTLDPKYFKGIKKVINAVSVIVGPKEGDTPDRQKYSQGIKFFEPEIKGPSPEMVEYLGMQNLINAVKESVGLSEGKLLFGFKGNLCGKFVWGALDDVVMGGVSESAFQIQPTGSETGEATGLFKGTVSTSNNGGFTSIRTKNFTVPEDLSAYDGVELRVKGDGRRYKLIIRTSYEWDTIGYTASFDTTKGEWQSIRIPFSSLIPVFRARTATDAPPFDASNITALQLMFSKFEYDGKLNPTFAEGQFELPFSSIRAYISEPITPRFIHVSSAGVTRPERPGLDLSKQPPAVRMNKELGSILTYKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFEQGDNITGKISREEVARICVAALASPSAVGKTFEVKSTVPFSEPFVIDPSNPPPEKDYEVYFKELKDGITGKEALEGTPALV >SECCE2Rv1G0112180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:707119719:707120460:1 gene:SECCE2Rv1G0112180 transcript:SECCE2Rv1G0112180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGHEHVIGIPVRSTAIGIEEPEFTSDDAKYSTSARTGGKSGRRTGDKFARGIKEHVTLGPKLYETVRGKLSLGARILQAGGVEKVFRRWFSVEKGEKLLKASQCYLSTTAGPIAGVLFVSSEKVAFRSDQSLALTSAKGDTVRVPYKVAVPLRRVKAARPSENQHRPEQKYVQLVTDDGFEFWFMGFVSYQASLQHLEQTIGAAGTGSGTRLRPTAA >SECCE5Rv1G0362420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:786674611:786676471:1 gene:SECCE5Rv1G0362420 transcript:SECCE5Rv1G0362420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSATLCGLLVVVLCLASSLAQAQILFQGFNWESWKTQGGWYKFMQGKVEDIASTGATHVWLPPPSQSVSPEGYLPGQLYNLNSKYGSGADLKSLIHAFRSKNISCVADIVINHRCADKKDGRGIYCIFEGGTSDNRLDWGPDEICSDDTKYSNGRGHRDTGGGFDAAPDIDHLNPRVQRELSAWLNWLKTDLGFDGWRLDFAKGYSAAMAKIYVDNSKPSFVVGELYDRDRQLLANWVKGVGGPATAFDFPTKGVLQEAVQGNLGRMRGSDGKAPGLIGWMPEKTVTFIDNHDTGSTQRLWPFPSDKVMQGYAYILTHPGIPCLFYDHVFDWKLKQEITALARVRSRNGIHPGSTLDILKAEGDLYVAKIGGKVITKIGPRYNIGNNVIPSGFKIAAKGNNYCVWEKSGL >SECCE3Rv1G0210420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943702109:943705387:-1 gene:SECCE3Rv1G0210420 transcript:SECCE3Rv1G0210420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-hydroxyacyl-CoA lyase [Source:Projected from Arabidopsis thaliana (AT5G17380) UniProtKB/Swiss-Prot;Acc:Q9LF46] MASDSAARVDGSALAGRALAAAGARHMFGVVGIPVTSLASRAAAAGCRFLAFRNEQSAGYAAAAYGFLTGTPGLLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCDQRDAGRGDFQELDQIAATKPFAKLAVKAAGISDIPRLVFQALAATVAGRPGGCYLDIPSDVLHQTLAESDAVSLIAEAAAAAGSADPSPAKHKSLDQGIVEAAELLRRAERPLIVVGKGAAYARAEGAIQKLVDTTGIPFLPTPMGKGVVPDVHPLSSTAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVSEDEIELRKPKVGLVGDAKRVVELLNREIKDQPFCLARSHPWVEAITKKSKDNVLKMEAQLVKDVVPFNFMTPMRIIRDAILAEGSPAPVVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGYCVAAAVAEPERLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRGPDELTGPYKDDPAPTSFVPGAGYHKMMEAFGGKGYLVETPDELRSALSESFRARKPAVINVTIDPYAGAESGRMQHKN >SECCE3Rv1G0155130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:63903486:63908399:1 gene:SECCE3Rv1G0155130 transcript:SECCE3Rv1G0155130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGLRTRSQTKKQKASTSSQGDSLPENPFEEEFGSLSDSGQGVWTELSTEVASNLAGTVVSIASFHDGNDTLFFACTGIITVNNPKTTSFLTSLSLVRSIDDDSKIFHDMRIEVRLPDNKIELGWLQFYDLKHNVAVINIIRYHSLQVACLDHQRQIESQSKAVAVGRCFKSGKLMATAGMLTDNPRGAYREELAISTCEITMTGVGGPLVDFNGDFIGMNFYAEKETPFLPRNTILELLLQFSKIIPWWATSKKRRDSTIRKFPRPHKSDSQDSSKGGEKTKDQKPSICTLCDPECHPGLVDRLSKWAFLCTRWPRYLDSAVATKKELRSSGYPFPVWDDTGMRLLNSFEEEFSEDIWSELERSVNSNMSQSVVTLASFIGRKRCFACTGVFIDCNGSTTRVLTSASLVRISDNENTVADNLKIVVCLPDNRRTTGTLQHYSLHYNIAVVHIMGFCCSRTAQIDDQMRMKPQMKVVAVGRGYKSGKFMATSGILIDKPSKLNCKELKTSTCKITKAGIGGPLIDFDGNFIGMNFYGLEETPYVPVNIISEVLKNFDAQGSVAVNDDHSPNRWPVPKPFWCYPTWHELEEEVDLEKELKYQRELY >SECCE7Rv1G0510360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:789092113:789093378:-1 gene:SECCE7Rv1G0510360 transcript:SECCE7Rv1G0510360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVRAIVRKRRPRITYGPMHERDRIRYDYLNQKIWQSDVLCKNMLRFERAAFFNLCAIMRDRKLLEDSTHVSVEQQLAMFLHTVGHNLRNRVVSANFCRSYGTTSIYFRKTLHAIGELRNDYIRPPSVETPAKIEGNHRFDPYFKDCIGAIDGTHVRAGVTKDVEHLFRGRKAFTTQNVMAAVDFDLRFTYVLAGWEGSAHDATVLADALTRERGLQVPPGKFYLVDAGYGAKPGFLPPFRGVRYHLNEWGNNPVQNDRELFNLRHSSLRVTVERAFGSLKRRFKILDDAKPFFTFPVQVDIVIACCVLHNYALSQGIDEFIIPEVTWTTQPIRTSRQQASDTRAVVDRRLQMAAQMWADRQLMYANV >SECCE3Rv1G0145750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8272069:8276970:-1 gene:SECCE3Rv1G0145750 transcript:SECCE3Rv1G0145750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSSPRRLCVPSVLLVCLCMMLCRVQGGSSRKLYIVYLGDVKHSHPDHVVASHHDMLTSLLGSKEESSASVVYNYKHGFSGFAAMLTREQAKQLAEFPEVISVERSKTHTATTTRSWDFLGVNYQTPASGLLHGTNYGEDCVQKNYGDDVIIGVVDTGIWPESRSFRDEGYGPIPSRWKGKCQLGPDWGINNCSRKIIGARFYSAGLTDEILKTESLSPRDHNGHGTHCASTAAGSAMEAASFHGLAKGVARGGAPRARIAVYKSLWGARMSGNTADVLAAIDDAIYDGVDVLSLSLTGGENSFGALHAVQKGITVVYAGGNDGPRPQTIRNTSPWVITVAASKIDRSFPTVITLGNKQQILGQSIYVKNSSRSNFTDLIYQIDCTAEGLNGTDVQGKILLCLPIQPGDQTAIAPKFIFAQAGQYVLNGGGSGLIFSQYTTDILPNCNGLACIMVDLDTGVKIFEYIYATSSPVAKIEPAHTVTGKEIPGPKVASFSSRGPSRDYADIIKPDIAAPGANILAAVGDSYKIMSGTSMAAPHVSGIVALLKAEHPNWSPAAIKSAIITTARVTDKRGMPILAEGVPRKTADPFDYGGGNINPIGAADPGLVYDIDPRDYNRFFGCTVVRRENVSCDATMLPAYHLNLPSLAVPELRRPVTVSRTVTNVGEADSVYHAEVQSPTGVMMEVEPMVLVFNATNKVNSFKVMLSPMWRLQGDYTFGSITWRKDQKTVRIPVAARMTIQDFYADVA >SECCE4Rv1G0279430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:814776471:814782259:1 gene:SECCE4Rv1G0279430 transcript:SECCE4Rv1G0279430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALGCAGLGERLAAAARDGDAAEVRRLLAADPGLARCTATFGNLSSPLHLAATKGHHEIAALLLEKGADANARNVYGQTPLMQACRSGHWEVVQTLLAFRCNVWKADALTGRTALHAAAAGGHVRCLRLLLADAAGAGEGARARYANRAAGGAVTALHLAALHGHVDCVHLLVDEQASLDAQTQPCAAAPMAAIGAGSTPLHYAASGGEVRCCQVLVSRGADRMAANCNGWLAVDVARMWKCNWLEHVLAPKSQLPVPKFPPSAYLSLPLPSLLAVARDYAAAGVPVSPGFSDDDACSVCLERSCNVAAEVCGHELCLKCAMDLCTVMKAYEVPGLAGTVPCPLCRSGIASFRKAVVAATSPPEDDHQSMSGSSLPCACARCQDRHGIPEGEDEEEKSRCRSSGGRSYGGGRLDGPEAAIAPLYCAPFTGPSAILS >SECCE5Rv1G0373180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856565716:856566144:-1 gene:SECCE5Rv1G0373180 transcript:SECCE5Rv1G0373180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLALLLLATALTVSTVSGCGYNCPSPSPPSPPPPSCPPPPPPPSPPPPAYTLPAPPPSTSSGSCPNLQVCVNVLSLPILSLGLFANECCPLLYRLADVQATACLCDVLGGVLGLRLDVLVLLNQCNIPCQSNYTCPR >SECCE1Rv1G0038780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:542948696:542950165:-1 gene:SECCE1Rv1G0038780 transcript:SECCE1Rv1G0038780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGEPLRGRGAGAAPATAYSGVAKKLPLALLVFFSALLYNQIQPPPPKVPGSPGGPPVTANRTKLRDGRHLAYLESGVPKEQAKYKIIFVHGFFCCRYDVLNVSQGLLQELGIYLLSFDRPGYCESDAHPARTEESIAVDIAELADNLQLGPRFHLMGFSMGGEIMWSCLKHIPHRLAGVAILAPVGNYWWSGLPPDVYQEAWYVQFPQDRAAVWVAHHLPWLANWWNTQRLFPSSSVKARNPAIYSKEDKPLTAKFAQRAHNRQVTQQGEHESLHRDMIVGFGKWGWSPLQPGNPFAGVGDDVKVHLWHGVEDLFVPVALSRYISKRLPWVIYHELPTAGHLFPIADGMPDVIVKSLLLGDG >SECCE4Rv1G0249620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:584818603:584831450:-1 gene:SECCE4Rv1G0249620 transcript:SECCE4Rv1G0249620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLGAASASSGEETKRVGEPKREQNLVKVGMDTWNQSFGASYKIRLVHILKNLHTSEVKIYSDASREFIELLDGDSGGEVLRDYVQQSPRLVELMEAWRLHREKPGMAYILSLFATVLGNPGGKSRQHAFSKKCLDAVARTILEDKDKVGDVYGELNSGEFRRQNAALDLLAAIVRRGAGLASEVAESFDFKMAVVTQLAGIQKKRGGRDGRIKKKGANFGSTRRSLVGFAMSFLEVGNPKLLRWVLQQRELYSGVLRGIGEDDSETVVYVLSTLRDNVLVEESLVPPGLRSVLFGSVTLEQLSSISGNLDAGEAADLAHQVLVMVCTDPKNGLMPSSHLRGNEKRLLDLMKKLKSTESVHHKNLLLAIVSRRLSFCAAYMNEFPYNIEPRPSPSWFAAISLAADIISSAKTDSIFHSFLSHDLVSVDDEQVQVVLKCIVPHVCSRAVINRGLQHSDDLVKHGSLRLVFESLNLLCYVTEAINGVVSSVGSTSEFSSSTKGKIRMNSFPGLSCSTATDAFLVDKLNQGDQMRVKRWISLREYIQDEVRGAIPDPQVLLKLLSSASQKHQNCSQSRLERRAQVSEPPQKKQRCNAINEDDDIIIGGIDVEWAKDVSEEQEQDLASDHATTLCEIWGLDKQDLEMKDAEVVDSVFHSKLLDVLRLYLRVMPSSFDGSFDFFRVIPPNPLDLSKDEQHSLLSLLVEYSGQHEGHWDPERVPESMYKHLQPLIDIMLHSPVKIIREQAYILVKAALASSGAFDRNFAEIDAWLVFLPGYEAKWCVRESLGVGVSNKLSHILIPFLCDAVSLVGNNLYKYQEHMRTVISKSGQLEVYSPAFSPLVICVLQKCLRLLDSESGGMKVHEKSTISLYVCNTIYLILQSQVDAELLLDLIGTVLNQRFDIFSPEELQSRIYIAEWRPFMNLLHISRSISDQQNSSLFTAVEHSFELHSNSLSSVIRKVQEMLSQQHTNLPDDVGTAFLFSIMCAAPQDIICGFPELLDVVKTHFPSHMQFLSSVLYLQHDYLAEIATCWPDIFFCSLKQIEGNLDVDEGKCQNHSISVELTALSTFLNVTPFCALLPSVLSLVFSGPAKTGEAHALLLDALVRLIRAKLYESTISELTFNLRVILFWSHRLLLSYTRKGSNVLEELCHVCSTLVDSIFERIRVLAADTADLNASAECFQDIVESVLHHPTIDLPCSLSNCPDLTDGSAEHVEEAFTSFSKENLHLVDGFVVNLLSKLYDLLLLADNDGQSLESLFASPKVMLEKILLLFKDKFQVCMDNGNFGLLLPNFYMVGALKKFMSPVRLLELVNWMFSELESRGASCSAAFAPAAFVCLSVADIAMELLYDYLQQTDQRSESCQLWGLEIRSSDIATIQRVYHFILHFTAKLNLESADVCLLKMLIRIHNAERSAGQNTEYTAFHMMLSTMATNTPLSILHHCMFPTSKVKAKVLWLLLEVSPIHMNFFGQMLIKVLEEHTSILQGMDYNSDSSRAHEDSSILLLPAALSYMRHHSDGHMQCAEFLEPLANFYCEMLLGDNGFPCWKSFITRSIFEENFGDFQHESVQDMMDYFSDTLLGKSVTMLHYCLSLKEMPRKQRLEIVASLCPQSSGLLDSDVNDINPDSHKGHLKLTNELLAKISLIRLLLSPPRRLSSNETASDRESKRVSNAKLNFISILVRTLDQILGNFPRCDGLSHSDKQQRAIRSLEYTVLKNIIELSSEIQTLLNQLKSIPFLNQFIRSSLLHRFNDHVTLKAIRCVLVVLSEGKFPADDILELILGHSHFLSSITCSEVSEYSSAFNATGSLLQPAPGILKSVDSLFTKKSEFHICIAEKRKIEIIRLLRILYDIKSRQQSNGLLNESRELSFLLLSVYGATLSETDLEIFNLMNEIESHECKTIAEMDHLWGSAAVKYREELKLDSSISEIHKTENTESNSRRRALFRENIPIDSKLCVMTVLQFCYKRSSRTSVFSLEQLRQDKFDDILKTTSRSMDMVRIYDPMFILRFSIHTLLMGYIEPAEFSRVGLLAITLVCISSHDEELRKLGYESLNIFKKSLEASQKSKEKWQLQLLLTYLQNGISKPWQRIPSIIAIFAAEASLTLLDSSHTQFNTISKFLMNSACVDMQSIPLFPTLLKSSSVHFKADRLWMLRLLYAGSNLADDATICKNKSVLELALAFCSSAISDSESKHLILQVLKKCVKLPVLAQHLVKNCGLLSWISSVISTHGKGLDNNSSSRIVGLALEVLNALILSRFVTEWLQETALEQLSEISKYLYLIVEDGKLLEGDITMLSSILNVIASTMRLSMKRKIYQPHFTLSLHGIFKLCQAIDGNSRSIELKLSMELGTDVVLMNGPLPIFSETDKSRAVMVVSWVTSNIFWLCKQKSAVEMSCEEPLNNECLLSKILRWLVASVILGRISRISPEKRGGLATSTNSPGTLQSFLNHSSETVEMVDSHVVNEALAAIILYLQGHVKKKSDTLPSVVTALSLLLLDRCSEKVLVDGRVQIGTLCSKIHCPAESNPAWRWHYYQPWRDPALQHTATERMEVEQSCRSLLIMFSNALSAAGLPAGIPVLSVGDIEKCGLFQWERDSVVEQPHA >SECCE1Rv1G0014450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:114605452:114606357:-1 gene:SECCE1Rv1G0014450 transcript:SECCE1Rv1G0014450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHPLLLSGILLLALPGPAVLMVGGVTFHVTNKCPFPVWPAVAPNAGHPVLAAGGFFLPPGQSKRVGAPATWNGRFWGRTGCTFAGSDANAASCLTGDCEGRLACNGSVGAPPATLVEVNLHADQSKGSSYDVSVVDGYNLPVAVWTRPANRSSNCFIAGCTKNVNAVCPPELQVTSGGAGKKAATVVACRSACLAFGLDAFCCRGAYGTAETCRGSVYSRLFRDACPAYYSYAYDVAAATARCYAQEYVVTFCPSRWGDRVAQV >SECCE4Rv1G0226010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:102868391:102871782:-1 gene:SECCE4Rv1G0226010 transcript:SECCE4Rv1G0226010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGQSMHGGGGGGLVSPTAAPAPSSKMDCFLSSVCTPLNLQFIDVAYRVKVERTAGPAKEPPGRISHSGGGGGLGGVSAAVEEKTILKGITGEARPGEVLAVLGPSGSGKSTLLSILGGRLASRYSGTVLTGGRAPCRAVQRRTGFVAQDDILHPHLTVRETLAFCAMLRLPTSAPTSAKLAAADAVIAELGLGACADTIVGNAFVRGVSGGERKRVSIGHELLVNPSLLVLDEPTSGLDSTAASRLVATLSALARKGRTVVLSVHQPSSRVYRAFDSVLLLSEGSCMYHGPGRDAMDYFASVGFAPGFHVNPADFMLDLANGFAQAEYSDRAAEGGSVKQSLLASYARVLAPKVKAAISVGAHVENGHAAAGAGEQPLESCSGCTSWTNQFTILLRRSLKERRHETFTSLRLFQIIAPALVAGAMWWRSTPLEVQDRMGLLFFISIFWGVFASFNAVFAFPQERPVLARERASGMYSLSSYFMARMAGDLPMELALPAAFTVIVYLMAGLNPAPTAFALTLLVILSYVLVAEGLGLAIGALMMDAKRASTLATVIMLAYLLTGGFYVHNVPIFMLWAKYSSFTYYCYRLLIAVQYSGHLAQLLPPDSTHGEAGTWTCVAALVAMFFAYRLLAYFALRRVRT >SECCE4Rv1G0288860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:862069592:862070215:1 gene:SECCE4Rv1G0288860 transcript:SECCE4Rv1G0288860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMGALSWVADAVVVIYSLTVAITAPLVVAQGILPRRLFPAPLVAFKLWYAAKFDDYLTADPPAFFRGLNWLELVFQWPLNVANVYGILAGHPWAATTSLMAGVSTLTSMAAILGDILGSGRATKKLLLVYVPYVAFAVVAILRGLTSGSGSPAGSLASYAWKKII >SECCE5Rv1G0365720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:808770748:808774123:-1 gene:SECCE5Rv1G0365720 transcript:SECCE5Rv1G0365720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSKGASSTSSSAAGLPSLVPFLQSVSPPSVGTRAAWGGGRRPAQGRKGRRWWNFFLGSVKAMGLSSYCAKTIKIQQCVKLPDSKYLHINDELAGCFGTEDHGDGRTALVLSPFGKNAWPVKVDRDAKGAFLGDGWPQFVAAHGIGVGWYLVIRHEGCGVLTLKAFNANFVIKEFGLTITVLSPAEIENAFARKPQFIVPLHRSFMEKMPIPPEFLQRGYISEEDLNRPRPLATFLTSWHIELKKEGLNVFFTGSEWPKFLAYFEIAETDVLLIKYQGCMNFSFETFQIGNNEHIEEKISSSQQSEQSQCQEEEHVSIRRSRQSNGKTPGAQSQEEEHVSTRTRKQSNGTRTRKQSNGKTPGAQSQEEEPVSTLKRKQSNGKTPGAQSQEEEHVCTRKRKQSEVKSMLDGSTTTQHRKKGICELGSTGSQAWLRKEVNELALKTYLHFPARFGFLERCKITLKSVERNKSWEVEGVNYHPGTSRSYNSLTRGWKAFSKENELKAGDICTFKVVTSALWEVVIERC >SECCE3Rv1G0205860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:903341970:903346445:1 gene:SECCE3Rv1G0205860 transcript:SECCE3Rv1G0205860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTLQDAQETKNGENEFEENGSLDPVVYQLVRVEGDGTLVPPTEDEVLQIEQFLDDKVDLPSIDDVGNVEDFFTNDCMLLKEPDFEEGYSELETNGEIHTQQFDADLEVDRLKPSDDSLDIPSKCTVVHDHKPDKVNTEQSDNNIVHQDNVSTETPKSTVLNDSCSAEKEKADACSRSVNNSSTGPSVSGVSSVPDFSILRGEVCLDNLSIRELQEAFRATFGRETTVKDKLWLKRRITMGLTNSCDVQSSGCLVKDYKIVCKDAKHEQPTIEGIPKVEVEATSLVRYQVLGPGNERDTPSCSYYRSEDQQRSSNRLKGVSTDNDESEGTLQDEQGAAKRLRKPTKRYIEELSDTETLDSTGKLSSPGKRAAHGEVLLRQRVTPLQEVDSLSITYPTRKDTFGGFSVHVPYASRMRRGRPRRNFISFLDDDPPVECPEVQMAVETMLGKDGEHVNHVNSAVEVPLMKNAEKKGGHIETAEKKGGHIETAENKEIHSIEADDICRTDAKTKTKRGLKRKHHRAWTLSEVLKLVDGVAQFGPGKWSEIRRLSFASYSYRTSVDLKDKWRNLIRASQTQLSPENDGVCPRKSNPSIIPIPPAILLRVKELAELQPQAGNLAAAIKFSGQSSKVAQGRASSGFL >SECCE5Rv1G0322660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:422456733:422463601:1 gene:SECCE5Rv1G0322660 transcript:SECCE5Rv1G0322660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit B [Source:Projected from Arabidopsis thaliana (AT3G20780) UniProtKB/Swiss-Prot;Acc:Q9C5V6] MDDSSDGGATAGGTKKKPAASAAKGKATGKGKATSKAAPKAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEITIEEITKSKFNTMIGLVDRERVDEALYDDFESSKAREKRLAKEARFQETQAKNAALGKKVKDTPAARGKGRGEASFFRVTCKDNGRGMPHEDIPNMFGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIDIKSSMKGQDYITFCRLDIDIHKNVPHIHLHEKRENNDHWHGAEIQVIIEGNWTTHRSRILHYMRQMAVITPYAQFLFRFLSDAAEKNLTIKFARRTDVMPPVPLLTKHHPSAVDLLLIKRLITDTTKPNLLQFLQHEFVNISKAHADRLIGEMGPDFSTKTTVNSLTSQQLVRIHQLFRQAKFDDPSGNCLSPAGEYNLRLGIIKELHPDLVATHASSPQVFEGHPFIVEAGVSIGGKDVKQGLNIFRFANRIPLLFEQGADVITRTALKRINWSIYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIANAVKSALKQCCVQLKSKIVKKLQAREQQDRKRNLNKYIPDVARTIMETLGELADESPPKRPRFDKEDEELLEKINSEEVTEMTFRDCLTQHVEQVDYEMALEYAMQSGVSEEPREAIYLNSLEGSYKFIDFQSPALVFRFIP >SECCE4Rv1G0230090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:158358623:158359357:-1 gene:SECCE4Rv1G0230090 transcript:SECCE4Rv1G0230090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPDAVVALPEAPAAGHSWPVGAGAEEEEPPPEATALTVWRKSLLFNCDGFTVFDATGGLAFRVDCYGTSGRRRRHAEDVVLMDATGVPLLTVRRRRLSLAEHWVIYDGDGGEADAPKPLLSVRRCHAGLLRHAHASSSSKQKALAHVTPLTPALRESYVVEGSYARRCLAVRDARGEAVVEVRRKESPVGDEVFRLVVPDPRRLGTPLAMGIVMALDEMFGSSGAGCSAARSLLPRSWSM >SECCE4Rv1G0283210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:834503525:834505220:-1 gene:SECCE4Rv1G0283210 transcript:SECCE4Rv1G0283210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKLELSEEEEKIFLRLLDVVRHYHLGTTLRVAGGWVRDKLLGKQPTDIDIALDNITGQDFSEKVDAYLKSIGEGDKKRGTKVIQCNPDKSKHLETAKTYMFDREIDFVNLRSEKYAESSRIPTMEHDATPEEDAHRRDLTINSLFFNINDHIVEDFTKRGIDDLIKGIIDTPLDAKVTFLDDPLRVLRAIRFAARFNFTLSDRLKEAASDEKVKLELGCKVSKERVGKEIDLMISGEHPADAMSYIRDLGLFHIAFAFPKNCNPPVFDNCDSCCVSHIESAWNFAISMHSAVSYPMLLDEQVKLYLYSALFFPLRKMFCMNEKSKQIAVTSYIIQESLKLPACVAKSVLDVHVARLIFADLVLLFESNVASRAVREELEDVYLDIPMDTWNRVYAGVVLNEIKDLWRVALAISIIFHPEAENAGGTLRQQQDELNRRKEQYMRVERSITDLGLNEVWKLEPLVNGKIIMQIMQKSESPLIGQWKKRVFKWQLVHPEGSRDDCIDWLTGSQSKRRKV >SECCE2Rv1G0078190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:106186805:106192134:-1 gene:SECCE2Rv1G0078190 transcript:SECCE2Rv1G0078190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLARLGQSLTRRLHRPXXXXXXXXXXXXXXPPPPRPPPPFPVRSRSRSPQCESDNSYPLPSRSRCRDHHAAVSRSLALIHANTSVRGFSSLTYNGGGLIAGKFGGPSPVHAVQVLELVVHLNHARQMSSGAAAPAGPTPSGAPVTPPSVSKGVPVGARKVGLKVFMMSPGFVYEPYCVREPIPFWKRLFTRSGWTRTKEDVILEMKNAFAVSRLRKKTGYTKKQFYDQAFNIYKEVNKLMAQGDTSALRKALTDSMHSIVKNEIKRRESKWKSVHLELVEPAVSIRTLRARMIGLDKNDLDKAFIQLTLEFVTKQKFEAYNSKGEVVSGDKSKEVLVKDIWVFERSLFHPEAYWRVCGRITL >SECCE6Rv1G0410470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:598643399:598648009:-1 gene:SECCE6Rv1G0410470 transcript:SECCE6Rv1G0410470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKTLQVSGFPATANVHHVKDLLEQIVGVDKVCAVKLRPPKNNSANSRSFAIVQFETEAHASLVVSAARGNALRSGGNYLKVRPAERDIVLKPRTTMFSLKDATMHFGCLLRERVLSVLWSGTADSVEFGFAMKRIDFCLTYKLKKYKLELSYESIWEIQLHRPPGSQNNFLLIQVMAAPKIYEHNIHHSGSMYDNPLFNYLRDDTDDQWTRTTDFTPFASIGQSYILCLELPPDCDLPNIREYFVYYKVHTNDFHCQRGHSYSSNTCFVPIVKSLYFTDVPYDIIFKINHLVQNGILSGPTLDDNFYRLVSPGYVCIDHIKRALENMSYLKKTCLNPTNWLSEQYKEIQRSRYRLTSPNISLDDDGLVYVYRVQITPAKVYFCGPEINVSNRVVRNYASDLDNFLRISFVDEDWEKLRSTDLSPRSAPGSSARRTALYNRILSVLSNGITIGNKHFEFLAFSSSQLRDNSAWMFASHPGLSASDIREWMGNFRNIRNVAKYAARLGQSFSASTETLKVHKYEVKVIPEVKNGTKYVFSDGIGTISADFADEVSKKCKLARFTPSAFQIRYGGYKGVVAIDPTSHWKLSLRPSMSKFPSDNITLDVLAYSKYQPCFLNRQLITLLSTLGVRDNIFERKQQEAVQQLNRMVTEPQAAIDAIELMPMGEITNVVKELLLCGYQPDREPYLSMILQTFRASKLLELKTKSRIFIPEGRAMMGCLDESRTLKYGEVFIQASNSANGSDKFVVTGKVVVAKNPCLHPGDIRILEAVYTPLLDHMVDCVVFPQQGPRPHPNECSGSDLDGDIYFVSWDPDLIPTRMVAPMDYTPAPTETLDHDVMIEEIHEYFTNYIVNESLGIIANAHVVFADRESLKAESTPCIKLAELFSIAVDYPKTGVPAQIPSELHVREYPDFMEKLDRATYISQGVIGKLYREIKKQSPHIGHFTKDVARRSYDTDLIVDGYQDYIDEAIWFKGEYDFKLGNLMEHYGIKSEAEIISGCILKMAKNFTKSTDADAIRLAVKSLRKEARSWFSEMGSDESGDGQEALDAKASAWYHVTYHPEYCGSYKEGYENRPHLISFPWCVYDKLLHIRQRKSRRRRLLDLQNSMRRNNILG >SECCE4Rv1G0241800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:461917226:461918086:1 gene:SECCE4Rv1G0241800 transcript:SECCE4Rv1G0241800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSWFHKLRGRRSTRKGKRSTACPSPTRGSSADAIAFVAASAEWTDEQTSQHCPRPPARPPAACAGYSPNRASYYFPTADRARPDGALRCIAPRGADDDDGAALDVRVDVIHRRAGRLGGIDAPPATPELNLRRIVTRPAAKNDRAESVAVSSSAGTPTSAATTPSTCRARGFHVKPAGRRRRRGHDDQSARKEKAAGDAGSRRRRWLYESLVVVKTSSDPEREMAESMAEMVAANNIRSSEDFEELLACYLALNAAEHHRAVVAAFRRVWLHIAGQRVRHLRH >SECCE2Rv1G0064560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4382669:4383118:-1 gene:SECCE2Rv1G0064560 transcript:SECCE2Rv1G0064560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTGKVVAILAILVLLQVSCAAGRHVQVKDSSQRVGVHDAPAVMSLTSFEKGDGDTGPAECDGKYHSDGLFLASLTSGWYGGGVRCGKMIRVATPGPRGLAVEAMVVDECDIEQGCGVREITTSAAVWKALGIDVSVGQVTVNWSDLS >SECCE1Rv1G0000190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:851061:854646:-1 gene:SECCE1Rv1G0000190 transcript:SECCE1Rv1G0000190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFIVYKFDTRDWLKVIKLLVFNGYRETPAYMDLDAELSSSSYVRWNVGVGPMASFTRAISGMAVEAMLKFLREFKHVIKVDLKPTPTAEEAQGSGFMSTKYQLGVAAAKELGQLDQEYNMLKEKHDELQYYSYGSYDGGTSTNLGWHVRYTIVPQIIKQMAAERYLLVAESLQWPIEPGSFTRDCGLPLPEWASSRWLISTTSHDAYKESKSENHEVISIDKDEQVLLLTLYALHQSSEQIHNTICQETKEYWHRIALDCFHYAMVIFSNHSQAVAITSDELIYQWAAHGILPRISIKEEEINTISNKCSYMRRVGRVILEAFQKYSLLQLPFSPATEAYEAYNTGAQFFAYHGLIAEDITVAELFGNKKQWISLSGDHGWHVSREWSNPEETRGTTTLILRGCSDQSPILSKLDSFLPNLCFLLILDLSYTPLKSLPSSIGCLQKLRLLSLRGCHNLKTLSSSFTTGATHSSINSRSDSPLSTLYKLEILDMNGVPCSHLTQDMANQKSSLIYLDMSYSEIITFPPAFFEDMSNMEELILVTCSNLVELPPSMAALSSLTTLEVTGTRIKYFPLSIFEEMQNLQSLKLIDNKELISLTGPISRLQGITLEGHPKLISFVLIGAPHIKRLSLRGCTNLESVEIMNLCALEELDLSGTAIKDLPADVLNVPQLRRLLLLGVSSLRRFPWHRLVRLPEVFYLDHCSEENCNRCNQVSQVCVTDPRFFHSFDNTVENLVRDGRFFQSFYVRVAPCITNGMQLQDEEEAILDSKLQELLQKRSTYEDVYNSCYVEKIAITSPITVPVHQTVRHLEITGMHQTHGGLQNLLNVTKSVSITYDSSIRIFHYLSSFIELEECELRWCHKMEGIVYATRVWKRLRNMHVCNLKRLVSFCSEYNPCDFSALEHLHLEDCPRLKHVVPHGTTLPCLKTLDISFCYNLKTIFISKLKPGNTYQLPSLQRIHLSELPLLQHFHDKDATITAPVWKELHIRGCWSLRCLPLVQGHQLKTVKVNGERSWWSKLQWGSPLHRNSYDPKLPPQVASFDERAEMSSYLR >SECCE1Rv1G0056060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:684770250:684772853:1 gene:SECCE1Rv1G0056060 transcript:SECCE1Rv1G0056060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPAPEEQRPRPVRFGIMGCASIARKLARAMLLAAPAAAVAAVGSRSEAKARLFAADNGLPADARLHGSYEALLDDPAVDAVYLPLPTSLHVQWATAAAARGKHVLLEKPTALCAADLDAILAACDAGGVQFMDSTMWMHHPRTAKMRDLLPADVGDVRVINSLFSFRANEDFLQNDIRVKPDLDALGVLGDAGWYCIRAILWAVDYELPKNVIALPDPVKNKAGVLIACGATLYWADGKMATFHCSFLANLTMDLTVIGTDGTLHVTDFIIPYEEKSGPFSVASRSNFAELHTGWVPQPSKHVVTTDLPQEALMVKEFCRLVQRIRDAGAKPEGKWPAITRKTQVVMDAVKASIDNGFKSVDVAS >SECCE4Rv1G0290090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:870626518:870628061:-1 gene:SECCE4Rv1G0290090 transcript:SECCE4Rv1G0290090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLAFLNLTRNTLSGEIPQGLGLMAGIQELYLAHNNLSGHIAESLENMASLYQLDLSFNNLDGKVPLEGVFSNMTGFLFEGNSGLCGGISELHLPPCPPESMEHTMRKRNLIITIATPIAGIIICLCVVLVFFVIRKKSKARSTTMGGFQFMDDNYPRVTYAELVQGTSGFATDNLIGRGRYGSVYKCSLLLNTMMTTVAVKVFDLQQSGSCKSFLTECEALGRIRHRNLISVITCCSSSDSNQNDFKALVLEFMPNGSLDRWLHMDVHASQQLQGLTLMQRLNIAVDIADALDYLHNNCEPPIIHCDLKPSNILLNEDLVAHIGDFGLAKILSEPAAEQLNNSKSSIGIRGTIGYVAPEYGEGGQVSSCGDVYSFGTVILELFTGMAPTHDMLRDGLTLHKHAENAFTGMLMQIVDPVLLSIEEANLTSLQDGSNTMEHGSNAILSVMKVALSCSNHAPTERMCMRDAAAAIRRITDSYVKNMTN >SECCE5Rv1G0365240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:805470377:805473582:-1 gene:SECCE5Rv1G0365240 transcript:SECCE5Rv1G0365240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to TA11 protein (Fragment) [Source: Projected from Oryza sativa (Os03g0821300)] MGNSLACFCCAGGAAGVGGAKSRRRHVAPAALPSDPAYDEGLGHSFCYVRPDKLPPPHCYYPAAADDGDLLVPDAKAAAEEATTFRAISGAALSANVSTPLSTSALLLLPDDSTASSGFESSESFAAVPLQPVPRFPSGPISSAPFSGGFLSGPIERGFLSGPLDAALLSGPLPGAVASGRMAGGGGAVPALRRSLSHGGRRIRDFTRALLARTDRFQGHPDLGSPDAAAAVAACGGDSNGLQWAQGKAGEDRVHVVVSEERGWVFVGIYDGFNGPDATDFLVSNLYAAVHRELRGLLWEQSQEDQPGSAPSTTAPDHQDQCTRRRRARRSRPPRSGSVDDNDQRQWRCEWERDCSSLKPATQPPPRSNGENDHIAVLKALARALRKTEDAYLGIADKMVGEFPELALMGSCVLSMLMKGEDMYVMGVGDSRAVLATMDSVDLEHISEGSFDGLSPCLSAVQLTSDHSTSMPEEVRRIRNEHPDDPSAISKDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYVGSSPYITCNPSLFHHRLSTRDRFLILSSDGLYQYFTNEEAVAEVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPQGDRRRYHDDVSVIVISLEGRIWRSCV >SECCEUnv1G0531960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:18331015:18333652:-1 gene:SECCEUnv1G0531960 transcript:SECCEUnv1G0531960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGSERESQDMYSRDPGGASYPMSSALGNLLYLNNPSSGPYTEFSGILQSQQNFMEMPASHGHHSAMSHDSSARESHDMLASHHGQRSFGHVKDMKNEMLMHMMDGAQSGGGAQLIHDDPHNGAHFEFGVLNNHDSSDVPVGQGQGQGQGLSLSLNTQILAPSLPYWSIKPDMLTPNSSYQESLRIDDIRMKNMQSEASRAIRHSRYLKAAQEVLDEVVNVWKNIKQKAQKEQAEPGKADGKETDGGPKSEGASQESGANAAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQNVVASFDMVAGPGSAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSSKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTVLRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEETGDLEQDSNSSSDNMSRSKNKVASSEENEDLKNARARVCETSQLSESRASIGTMNVGGAPVSFQNEANPDDSFMNLMMKDQRSGEADGGLLLHNAVAQHSDENARFMAYHLAELGRYGNGNVSLTLGLQHSGGGLSVPNAQANFPGVSDDDIYNAGAPLGVSIASSDYESLNQMDQRQRFEQSPLLHDFVA >SECCE2Rv1G0111540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:701678746:701685560:1 gene:SECCE2Rv1G0111540 transcript:SECCE2Rv1G0111540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEQPLTEYEKQRLARIRENEARLEALGIRRLAASPLLNQPSSAAAAAAATKTKKKKRSDDADEEYLPSDGGGGEEEDGEESSSASDQDSKEEFKASSRSNQKGKAKKKMNLGSSSKSTVNEEGAPFTDFMDDDAALQQAIALSLEESSKSSVTTEPSKSPVRTATAAETSSRGAKAQKSTPRKNDNTTPVKDSAKNRKTKKHVRSRIQLSEDDVVTIFFSFDEAGKGYIAPWDLEKMANINDFIWTDFELSKMIHCFDSDKDGKISLEEFRTIVSRCNMLQQPGAR >SECCE2Rv1G0135190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905749509:905752271:1 gene:SECCE2Rv1G0135190 transcript:SECCE2Rv1G0135190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRLGAVTTVVVSSPDMAREFLQRRDSVLATRSVPNATGKHAAGVAWLPPGPRWRALRKIMATELFVPHRLNALHHLRSDKVRELTDHVARLAREGSAVNVGRVAFTTSLNLISRTIFSIDLTSLDDHGRSEDFQEVITAMMEGLGTPNVSDFFPVLAPADLQGMRRRLARIFARLHAVFDAEVDQRLRGRDAGQPRKNDYLDVLLDVAARDEGKDLLDPDTLRSQFTDLFAAGSDTSSSTVEWAMAELLKKPSSMAKVCDELAQVIGCRRNIEEADIVQLPYLQAVIKETFRLHPPAPLLLPRQSEMIVKIAGYTIPKGSRVFINVWAIGRDKDVWTEPEKFMPERFLGSTIDFRGVDFELLPFGVGRRICPGMALAIRMVHVMLASLLNHFKWSLPVELERDGIDMEDQFGLTLVKAVPLCAIATPIRGEQKAPF >SECCE4Rv1G0275140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:791861044:791868641:-1 gene:SECCE4Rv1G0275140 transcript:SECCE4Rv1G0275140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLMLLHGAALLLLLAAVAHAQQTTTTDPADAAALHAVFAKLGQKAGPQWNISGDPCTGAAIDNTNIDNNDIFKAAIKCEVCTGGNTSVCRITRLKIYALDAVGPIPEELRNLTALTDLDLGQNYLTGPLPSFIGELTDMQYMTFGINALSGPVPKELGNLKNLIRLGLGGNNFSGSLPSELGNLAKLEELYIDSSGLSGPLPSSLSQLTKMQKLWASDNDFTGKIPDYIGSWSSLTDLRFQGNSFQGPIPATLSNLDQLASLRIGDILNGSSSSLAFISNLTSLNTLVLRNCRISDKLVSIDFSKFASLNLLDLSFNNITGQVPQTLLNLNSLAFLFLGNNSLSGSLPSSVGSLLKTLDFSYNQLSGSIPSWAKNSQLNLVANNFVADSSSNSVLPAGWGCLQRNTPCFLDSPKSSSFAVDSGKSIVGPDNSVYEPDRANLGAASLYVTGAQTWGVSNVGKFMEANNGSYIIHSPRQFLNTLDTELFQNARMSPSSLRYFGIGLENGNYTVTLLFAEFDFPDTQSWKSRGRRVFDIYVQGERKEQNFDIRKAAGGKSYTAVRKQYTVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPAISALSATPNFTPTVRNAAVKKKVSKTGVIAGAIVGVVVLGLFAFVGIFVWRQKRRKLSLEQEELYSIVGRPNVLSYGELRSATENFSSNNLLGQGGYGSVFKGKLTDGRFVAVKQLSETSHQGKKEFATEIETISRVQHRNLVKLYGCCLEGNKPLLVYEYLENGSLDRALFGKGKSNLDWSTRFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDQETHVSTKVAGTFGYLAPEYAMRGHVTEKVDVFAFGVVVLETLAGRPNYYTTEDQNKVYIFEWVWELYEDGQPLDMLDPRLEDFDSEEVLRAIKVALVCTQGSPHQRPPMSRVVAMLAGDVEAPDWVPKPSYITEWQIKGGGDTSYMSSEINGQSSSAPVPFPGSAIDQGR >SECCE3Rv1G0181540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:615319637:615320440:1 gene:SECCE3Rv1G0181540 transcript:SECCE3Rv1G0181540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHAILPKIAVHWLINLYRAARKLRSNAFQYCRNSTTSTKPSTEAISSSEHTMPSAADRTVVCDFHGGLLRSTGIFPYFMLVAFEGGSLLRALVLLGFFPLVWILGEHSDAGVRIMTFVTFVGLRPRDTDLVARAILPKFYMERLHAQVYDHLWMPAKRKVALTSAPRVMAEWFLKEYMAANVVVGHELQMAEVGRVSYFTGLLCGTGSGPGLRQKALREAFEADGNMADIGVVGGSNPLDHLCTPCCKVHSFALFLFTIVVLPPS >SECCE1Rv1G0041590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:572777166:572777477:1 gene:SECCE1Rv1G0041590 transcript:SECCE1Rv1G0041590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE2Rv1G0117490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:773026116:773028890:1 gene:SECCE2Rv1G0117490 transcript:SECCE2Rv1G0117490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIAISLSAKVAATLSRSAAADLSSFVAVRSGIAAAARDLELLRAFLRFADSRRGSDALASAWVDQIRDVGFELEDAADEYAFLSGGGFVRACANFGAWLALARRLGKARVRLRDLSDAKERYGIRPAEASASSSAPDGGTGAVVGRKLAEAAHFVEDGEIVGVAAHRRLLMKWLTEDLDSRRSLVAVCGMGGVGKTTLVTSVYKEVAASRHFHCAAWVSVSKNFTTDDLLRKIAKELHRDARAGMPDIDEMDYRSLVEALRGHLAKKRYLLLLDDVWDADAWYEIRNALVDDGTGSRIIITTRSQDVASLAASTRVVMLEPLPEQEAWSLFCNTTFREDAIGECPHHLEHWALKILGRCCGLPLAIVSVGNLLALKDRTEFAWKNVHDSLDWNESSVRGIGQVSSILNLSIDDLPYHLKRCFLYCSIYPEDFLIKRKILIRLWIAEGYIEEKGQGTMEEIADDYLNQLVQRSLLQVTLKNEFGRAKRLCIHDLIRDLILQRSIKEGFTVFSKCQPALVSSKKIRHLILDRCVSDHRPVPKMTLLRSFNAFKADMDSSLLSGFRLLTVLNLWFVQIDKLPSSLTKLLNLRYLGIRSTLIEELPQDLGQLHNLQTLDTKWSRVQRLPPSIRKLNNLCHLIVFRRRTADFRSPFPGTAIEFPDGLQNLTCLQTLKYIEADEKMVKSLKSLKHMKSLELCGVHESNLIHLPSSISTMSGLLCLGIVSRDANVILDLEPFYPPPLKLQRLSLTGMLAKGKLPSWFGHLDNLMQLRLCSSELRGDSIGLLSSLPRLLHLTLKNAYTDKILTFPEGSFSVLKKLSLHELPNLSRIEFRKGSLVHLNVLILGRCDELTEIPEGIENLIELDSLELYEMASEIIEKIQDGETLEGEYEDSQRTTAVKNIGWYNGQLLQKTIYTNLFTVQM >SECCE2Rv1G0137260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:917501749:917506333:1 gene:SECCE2Rv1G0137260 transcript:SECCE2Rv1G0137260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHRAESPHTGAAALDPRRAEAANRHVRALNTQFASWVQSQLQNHPAELWEDGMKDYLSHASEIMENFKDVVNWLRQNKAGTTAVSSPSPANDEKTTSPVIANSKFMVQPGSDNGQKSPTTGASSLAFQNSSSPNMFSLSSQKKTATFGGIFDNKDTPGDSSKSTFQFGGNNGFSTPTNTPGDSSKSTFQFGGNNSFSTPTNTPGDSSKSTFQFGGNNGFSTPTTPSIFSTPGSSFNMPTPTLFSMNQQPIFTGSGNNKAAEASADADEDAEAEQPSSPSVKKAEEKGIVVVHEAKCKVYVKHDDATKGWKDIGVGQLSIRCKEGAEKASKESSPTVVIRNDVGRILLNAMIYKGIKMSVQKNTVASIFHTSDAQSESDGGNVVARTYLLRLKNEEAATNLSAVIKENAPLD >SECCE6Rv1G0401120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:463221578:463222450:1 gene:SECCE6Rv1G0401120 transcript:SECCE6Rv1G0401120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATNQDHVIGIPVNSTAYGIEEPDFPAEEETITPSDHGGFVSGSFQSINNDDSSNGSTATDRDQTSQVRRKRGKIAQGIKEHVTLGPKLSETVKGKLTLGARILQAGGVEKVFRQWFSADRNERLVRASQCYLSTTAGPIAGMLFVSTERVAFRSDRSLAVAAPDGGKVRVPYKVTIPLRKVRRAVPTENKHKPEQRYIEVVTNDGFEFWFMGFVSYHRSLHHLEQAIAQARR >SECCE4Rv1G0227020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:116855245:116856821:-1 gene:SECCE4Rv1G0227020 transcript:SECCE4Rv1G0227020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVAMAPLGTFVSSPARHPCSYSYHRRRRGAVSASSSSSSTKAEDVVIVGAGIAGLATALSLRRLGVSATVLEQGPSLRAGGTSLTLFKNGWRVLDSIGVADELRAKYLRVQGMRMRSSAGGRDMREFSFEEEAPGQEVRAVERGALLATLASKLPPGAISFSSKLKSVAGQGPDGTLLELQDGRQLLSKVVLGCDGVNSPIARWMGFSEPRYVGHMAFRGLADYAGGQPFESKVNYIYGRGVRAGFVPVSPTKVYWFICFNSATPGPKTTDGAALKREALELVRGWPDDLVAVMRSTPDDAVVKTPLVDRWLWPGLAPPASRGGVVLVGDAWHPMTPNLGQGACCALEDAVVLARHLAPAVLAGDDVGEALRRYESERWGRVFPLTARAGLVGALVQWGNPVVCAARDGVVIPRLVRLGPFLEHTNFECGLLEPSA >SECCE4Rv1G0224510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:81319587:81333763:1 gene:SECCE4Rv1G0224510 transcript:SECCE4Rv1G0224510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMGACPHAWQHHHPEHRGRMWAASPAFRRQLFLLRSLAPTFADGGRACSISLRPHAMHAASAAAGPVYEADAEAVVRRITPPLDRARHKGQAGKIAIIGGCREYTGAPYFAAISALRVGADLSHVFCTKDAATVIKSYSPELIVHPILEESYSVRDDERESVSSSILTEVIKWMERFDCIVVGPGLGRDSFLMDCVGNIMRHARQANIPTVVDGDGLFLITNNIGLVEDNSLAILTPNVYEYKRLVQKVLNCEVNEENASEQLTALCQKIGGVTIMRKGKADIISDGKKVTQVSTFGSPRRCGGQGDILSGSVAVFSSWARHFLLANEQPKETSVNPMVLGCIAGSLLLRKAAALAFEKNKRSTVTTDIIEFLGQSLEDICPAGR >SECCE4Rv1G0290440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:872745726:872749027:-1 gene:SECCE4Rv1G0290440 transcript:SECCE4Rv1G0290440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPEEIKIQANNIDAATEEIISILEDTSKGNVIFVRGWHGFGASATLKAVAQRLKSSKSMFDRVVHVDCSMWKSMRALHKAVAEELELPPLVMALFNRWDEEDDFSGIKEGSRGVIADIRTAIFRKLVSSRFVVIFHNGSDEYIDLYESCGVPVISVLSNKVLWTWHGRFRPRLGRFKRKQKDMEMHTDVVVNYSHDDCIPDEALLEEAKEVVTYMGNTWPYMDHMIVEKCLQYAYELGSVMANWPNHASNYLVCDGIIQGQCDTSAWGVADVLLRNMHLECLRESIDDDIRYHLQSRLLDHWVLVEPRGGKLPDGMMLSEATSLFVVPGRYEWDLILPDGIFRHSHSSKLCVLHLSSCSFSFESPPFLCCRQLRFLQLYACTNIPSDSDKHPSHNEYMPCFQKLWVLHLSHTNWYRLLSEEMMNFMADLRELHVQGVKDWSISDLRGRFLSLARVHVEEEYRFPTKEQAYNLPDLSNASFLKSIILVNCDFVKQVVPSMLPPSLESFTFLCDDYHICNPMVSRISFQGCSQLKSIQLEGHLWRLEELDLSGTAMKTLDLRKVNAPNIKRLILLACEKLRAILWPPEDKRTHVLEVLHISTVRSTSPVQASWEEKSRNPVAAIGSSSSLVATEQGIHRYASFDFKWYICTRDTRILRSLEPHKGFIEHSYVYIEMDSSPASSATVGDSEVAQGIRSRCQPDNYSYARDVFFQRDLQADANNEGAISWMWDCPTSPTPRAQDLYLHIQDKQEVKRGLQKKQSNIEGINVSAVFPGFIANNARMLHVHDSSSITCITCPQPQSQCWRWLQWCRVERCPKLRTVFYTPQPSEGDGFCYELATFWASELPKACYICDWSAIRMFSFVHIVLLHLDHCPRLIHVLPLSESVDTLPHLDTLEIVCCGDLREVFALDPKKKGQKLMEFPKLRRIHLYELPSLQHICGSRMSTPNLETVKIRGCWRLTSLPAVSRNNEKLPSVDCEKDWWDNLEWDGVEANHHPSLYEHNHSSYYKAQQQRGTVLR >SECCE4Rv1G0236010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:343136821:343169126:1 gene:SECCE4Rv1G0236010 transcript:SECCE4Rv1G0236010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromophore lyase CRL, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51020) UniProtKB/Swiss-Prot;Acc:Q9FI46] MGSGEDTPGGTGGGVGGIVRGAVLKALVVFGGVILIRRLRRSTSRWDHARAVADALSGEKFSREQARQDPGNYFNLRMLTCPATEMVDGSGVLYFEQAFWRAPEKPFRQRFYMVKPCAKEMKCDVELSSYAIRDVEEYKNFCDRPKDQRPQPEEVIADIAEHLTTIHLSRCDRGKRCLYEGSTPPGGFPNSWSGAAYCTSDLSIHKNGEIHIWDKGFDDNGSQVWGTKAGPYEFKPAPKSNYDDMFSPLNFSAPLSLEKMESSYAIDDQ >SECCE4Rv1G0241060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448284772:448286263:1 gene:SECCE4Rv1G0241060 transcript:SECCE4Rv1G0241060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFEGYSEKYKSRQQYFVYPLLFQEYIYAFAHDYGLNGSEHVEIVVSCNNKKFSSLLITKIIFILSFILRFYLKGLRSLWKSHSHYGNYLVRKKKEIPKFQNLRSIHSIFPFLEDKFLHLDYLSHIEIPYPIHLENLTEHFGIMYPGFSRKTLWFFMDPLMHYVRYQGKAILASKCTFFLKKKWKLRNQMLENSFLIDTRMKKFDTIVPATLLIGYLSKAQFCTGSGHPISKPIWTDLSDWDILDRFGRICRNLFHYHSGSSKKRTLYRLKYILRLSCARTLARKHKSTVQNFMQRLGSAFLEEFFMEEEQVFSLMFTKTTLFSLCGSHTERIRYLDIIRINDLVNPLN >SECCE7Rv1G0499450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:653962690:653963859:1 gene:SECCE7Rv1G0499450 transcript:SECCE7Rv1G0499450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTLRVADLPGRGRALLAARDILEGEVLLSESPILLYPSSLASLSSYCSACFRSLPPPPHTPCPSCRAAAFCSPACAAASHPRLLCAALSSGLAAAPEAHQEPLLFLLSAYSLQEPSLSAILSLSSAPQGPSPSQQQEAASLHAAVASVAPPHMLPAGFSLDLTAALLSKDRTNSFSILEPYRPDVPLELRKARCCAVYPRAALLNHDCLPNACHFDYADRPGPGNTDIVVRALHGITEGKEVCISYFAANWRYADRQRRLLEDYGFRCECDRCQIESKWKFDDDNDGGGDGDDTMEEEHGKEDAEDAGDEGMEQEEGSDDDEEDFPHAFFFVRYLCDREDCYGMLAPLPPLPNGELSHVFECNACGQLKKEEDEDEPDAGECSMDQ >SECCE7Rv1G0510530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:790832425:790833678:-1 gene:SECCE7Rv1G0510530 transcript:SECCE7Rv1G0510530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPTPSTVIQAKLVLLGDLGAGKTSIVVRFAKGLYYECQESTIGAAFFSQALPVSGAAGGEDATVRFDIWDTAGQERYHSLAPMYYRGAAAAVVVYDITSTDSYIRAKRWVDELQRQGNPHLVMALVGNKVDLEKRRKVGTQEALEYAERNGLFFLETSAKTAQNVGELFYELAERLVKVRPNHPAGMVLHDGQRGVGRRWFCCSG >SECCE1Rv1G0013920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:109060707:109061910:1 gene:SECCE1Rv1G0013920 transcript:SECCE1Rv1G0013920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRVSQLAALLAAVCLLHAGGAAAAGLGVDYYDCTCPDAYKIVQRVLVQAHKSDPRIFASLIRLHFHDCFVQGCDGSLLLNTFNGMETEQDAVPNKGSARGYNVVDAVKAALEAACPGVVSCADILAIASEISVQLSGGPGWGVLLGRLDGFTSNFFEAGKLPSPFDGLKDIKEKFRNATLDDTTDLVALSGAHTFGRVQCQFVTDRLYNFSGTNRPDPTLSPGYRAFLSQRCPQNGDGRSLNDLDPTTPDKIDKNYFTNLQVNRGFLQSDQELKSDPLAVGTTAPIVDRFAGSQDAFFKAFANSMIRMGNIRVITDPSKGEVRKRCAFVN >SECCE1Rv1G0045430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609700152:609703858:-1 gene:SECCE1Rv1G0045430 transcript:SECCE1Rv1G0045430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPPRLLLHHRAHFLLRAPQPPRAPPLPARRALTPPLRSFASCRPAPLCLLMDPATPFSDARADVYAEANGETGADDPEFADAEAEAGGEDAGDGMPRELPEELARGVVCLECETSAEAVAAGEGETCRIYVVGTAHVSQESCDQVKAVINFLKPQAVFLELCSSRVSILTPQNLQVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLDVLPGAEFRVAFEEAMTYGGKVILGDRPVQITLRRTWGKMSLWHRAKFLYYIVFQSLFLPSPEDLNKMLKDMDDVDMLTLVIQEMSKAFPSLMETLLHERDMYMSSKLLKVAREHSSVVAVVGKGHVSGIKKNWQQPIEVQRLMELPVPRKGASKLKILASIGAVSAVVASGIYIWGKK >SECCE3Rv1G0175280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:421342255:421378619:1 gene:SECCE3Rv1G0175280 transcript:SECCE3Rv1G0175280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLQSAGLQHLAVSSSAPGPGLAMGMAGDLRGGGILPNLLMQGYGPQSIEEKQKLYMLLRSLNFNGESAPASISEPYTPTTQSFSGGPPIDGFYSPELRGEFGAGLLDLHAMDDSELLSENVASEPFEASPFVPKETDDDEDDIISGSQQGLSENYGGAITSEKESNTKESNVAKIKVVVRKRPLNRKEISRKEDDVIDVHNSQFLTVHEPKLKVDLTAYVDKHEFCFDAVLDEAVTNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVHLLHQPVYLSQNFKLWLSYFEIYGGKLYDLLSERRPLCIREDGKKQVCIVGLQEFEVSDVQIVREYIERGNASRSTGSTGANEESSRSHAILQLAVKKHIPVTETRRQRDREANEAKNTKLVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPGSGSCEHTLNTLRYADRVKSLSKSGNLKKEQFTGQFVSSSKESTHTPYQLSGEAEETVDQIEENRHIDASRRVVEICTSSSVDPDRNSFSMIPSYPHRGREETSSTSSLNDRERIDFKSNPTGDSSKAQSLQKSVNSQEEDKVTKVSPPRRKANREDKSERQNNYVKKENGAEVSRIVHKQQLKQQQQRPSFTSASQVSSRQSEKESSCDEEVNAILEEEEALIAAHRKEIENTMEIVREEMNLLADVDQPGSLIDNYVAQLNFLLSRKASGLVSLQARLARFQQRLKEEEILSPKKPSR >SECCE4Rv1G0277080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:801581528:801582280:-1 gene:SECCE4Rv1G0277080 transcript:SECCE4Rv1G0277080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGRFYGGGLPRPRVFPGDRVDPPAPVTGALLCWARDAHWSMGGLAAKRLRLQGRIEGNLVKLRRTARHDARVAAKSAKASKSKVRAAGHGPAQATLDDALGSDDDDSEDEAEVAAQEKALRREVVDDDEDSESGESEGEGVPLVTIAAAAKRKRVRKLSDEFDRIAAAQLEGGAKKKPAAAAPAKAPLRKKAAASSVAAPALAAEAPAKKSLKRKAVAPAARTSPKRKAAEAPAAKRTSPRSKH >SECCE5Rv1G0350780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:692436211:692438914:-1 gene:SECCE5Rv1G0350780 transcript:SECCE5Rv1G0350780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKVHGQSIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGCRTNRATRAGYWKSTGKDRSINFQKRSIGMKKTLVFYQGRAPQGIRSNWVMHEYRIEESECNNTMGVQDSYALCRVFKKNVPAGEFEKQGECSSSQAKGNQEQVTDFEDAGESSTANENDKDNSWMQFIVEDLWCTNKTK >SECCE2Rv1G0116240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:762317901:762318406:1 gene:SECCE2Rv1G0116240 transcript:SECCE2Rv1G0116240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCPDCPRSAPLIWVTSKEVENGNYGREFVKCESKTEGQIVKKCTHFEWLDDYVKRIQFNGAPTRELNLPLAPMNFISESDALTVGDAYMEGELKKMNKNLKQMTQLNKQANVIALGFYFCIVTLGLGYLLVITC >SECCE5Rv1G0345390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:651681776:651684585:-1 gene:SECCE5Rv1G0345390 transcript:SECCE5Rv1G0345390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARASHPVVHPAPMMVEAAQAHGQALAPDAAAQGQGGNAPPPGVLMEDLLWAPGTRCGLGNRLAQTLLAAAAVGFMASTSDFHVVTAYRYLVAAATWQCLWSFVLAIVDVYALLVKRSLRTPRLTIIFFIGDWVTGLLIFTAASGSAAVTFLINDMRMCSENHCPSFRAATVLAFLSWFPVGLSCLFNLLAAIHRVQSA >SECCE4Rv1G0284090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838212997:838217540:-1 gene:SECCE4Rv1G0284090 transcript:SECCE4Rv1G0284090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVATAAATSFVEWMVPKLFDFLDKNHELRKNLERDIKYIKDEFAMISAVIQDEQNSRHGSGEEVHKEWIRMVREVAHAIEDCIDRFMHRVRRAKTGAGWLRRAVHRVKTVKARNEFAMAIQELKKISEDASKLRGAYCSSTTSSPGRSQTETAAATEDDEDDDHSAASCPVPMGMEDPRDELLDLIRQQQKLKVITIVGFHGMGKTLLANHVYNYKAIQTQYEARAWLPPAKLGGTAANLLKEILGQLGHPTDGRLTKLQERIKECIGTKRFFIVIDGLREAGYWHNIKVAFAGLSGRFLVTTTIQRVANTCSGSAVHDHVYTMATLANQHSRLLFFKEIFQDDELPPDVEELGSEALKKCDGLPLALVTTARFLQSAGNPTPMKWAKLCTDLGTYLESDELFSRMRSVLVQSYTSIDSQVARTFLLYLSTYPSGRPIKRSTLIRKWLAQGFSPGNITTNALDAATSCFDKLVDRSIIQPIDASGDSREVKTCHTHGMMLEFVIRKSMSDNFLTLCNQPSAPPLPSKIRRLTLHHATPREVNDLSLVRSLTVSGETHPSILDFSKYELMRVLDLEEYDHQLLDSHLKLVCSNLLLLRYLSLGAAVTALPKNIKKLQFLETLDVRRTKIDILPTQVMELPFLLHLFGKFKLKQDVGAHRMSKLQAWLSANSKLETVAGFVVDSNKSQGFAQLMDHMKHLIKVKIWYDSCADASSTSTLSKAIKGFIERSTDFMTSHALSLNLSGERSQDLLNFSLETGKSYYLSSLKLQGGNIFSLPPFVTMLAGLNKLCLSVTHQLSSDIISALSEMRSLKQLKLTATQLDKHIIGKGAFRILQHLSITVEVMTELEIQDGALSCLKSLRLLCKDLDGFSGTSAINYFKHLKEIALHCEVGGDTKQQWKEAARKHPRRPKLLFVDIQAVQAATC >SECCE2Rv1G0076720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:94024189:94024659:-1 gene:SECCE2Rv1G0076720 transcript:SECCE2Rv1G0076720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQESPTQAASGGAALLCANGCGFYGNAATKSMCSKCYRDHLKATGVDAPVVEGKLKFEDLILAFKKSMSLALEDSTAAPAAEAAAKKSAPTRCMACKKKVGLLGFACRCGGTFCSLHRYVDGHACGFDYKKVGREQIAQQNPLVAPSKLHNKI >SECCE3Rv1G0181010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:602409674:602415963:-1 gene:SECCE3Rv1G0181010 transcript:SECCE3Rv1G0181010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQASLLLRALPSPLPPRRRPPPAPASVSFPRSCHHRRPPLSLRALAADGPQSPPSPSPEPPAAAAAAAAPEAEVDTGPAAQDGKEELEDLVDKARVWAVAFAAAVVEAAKRFFDWVVSGDWMSWWPFWRPDRRLQRLIDDADAHPKDPAKQSALLHELNKFSPEDVIKRIEQRSHAVDSRGVAEYLRALILTNAIADYLPDERSGRSATLPALLQELKQRVSGEDKPFSNPGISDKQPLHVVMVDPKATGRSTRFAQEIFSTILFTVAVGFMWVMGAAALQKYIGSLGGIGASGVGSSSSYSAKELNKDITPEKNVKTFKDVKGCDDAKKELEEVVEYLRNPTKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPSPDVRGRQDILELYLQDKPVGSDVNVNAIARSTPGFNGADLANLVNIAAIKAAVEGADKLTASQLEFAKDRIIMGTERKSMFISDESRKLTAYHESGHAIVALNTKGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEENVTTGARNDLHTATELAQYMVSNCGMSNAIGPVHVKERPSVDMQSRIDSEVGRLLREAYERVTHLLKKHEKQLHALANALLERETLTADEINKVVHPYQEEPQLPFQEEAFALT >SECCE7Rv1G0490590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:497381443:497381817:1 gene:SECCE7Rv1G0490590 transcript:SECCE7Rv1G0490590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPESEEPKSAVEIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTEVAAPVRDLEQKLERSELQAEVVQEELAAMKMKAEESEAARDKELELLRKNSQEQEKQLANLMALFRAKVV >SECCE6Rv1G0406530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:546132724:546133122:1 gene:SECCE6Rv1G0406530 transcript:SECCE6Rv1G0406530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTIPGLDALRRGMISVVRSALKPMMSVVPFCLFLLMDIYWKYEMRPTCDDEHACTPSEHLRHQKSIMKSQRNALLIAAALLLYWILFSVTSLVVKLDHLQQRVDKLKKRDD >SECCE3Rv1G0198110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:827781762:827791194:1 gene:SECCE3Rv1G0198110 transcript:SECCE3Rv1G0198110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSESPLAMRQGMGGVREEEEPEDELDALLRAGGGGARRLGEEAGERERLSIFRSGSAPPTIEGSLNAIGGLLRGGAETPRAAAAVPDAEELNGHGGQLSEEELRADPAYLSYYYSHANLNPRLPPPVLTKEDWRSTQRLKAGVGVVGGIGDRRKAAQEEAGQGMAAGMSLFPQSPGFDREEDGSKGVGGAAEWVDRGGDGLIGLSLGRQRSFADMFQDNLGRITPTSEHSSRAASRNSFLDNQESVDPSENQYSLHTMDAQRSVGNAQSVGGLSSINASASQTFASVLGSSASRNATPDSHYIPRVPSPGLPPVGARISSNEKRLNCSSSSFNTISSKALEPADILTALSSMSLSKGGTLNGNSNISQSKFQREISDYHNFALGPQAAQVSSRQYSAMLEADAEYAAIPSNSSFADIKNSAPNPADLRGSTNTRFDGHGEIKRSTLSARSYQKSPPSSNASPGGSPAQHQNLDGTNSAFLNYGLSGYPLNPGFNSMMMNNMGSGSMPPLFESGAAASAIASLGSDSRNLGSNISSPPALSLSDLHNLGRSNNQTATGLQSPLSDPFYVQYLKTAQYTAQEAAHYSDPYLERGFMGSSYADLTPVQKAYVEALLQQKQYGMPLGKSVASNHGYYSNLGYGMGMAYPGSPLGSPVASPSGPGSPIRLGERNLRFPSNARSLSGWNADPSGYMNGNFPSSLLDEFKSNKARSFDLAEIAGNVVEFSADQYGSRFIQQKLETATIEEKNMVFEEIMPHALSLMTDVFGNYVVQKFFEHGSSTQRRELADKLFGHVLTLSIQMYGCRVIQKAIEVVDLDQKTKMVTELDGHIMRCVRDQNGNHVIQKCIECIPEDSIQFIISTFYGQVVTLSTHPYGCRVIQRVLEHCTDTNTQQIVMDEILQSVCMLAQDQYGNYVIQHVMQHGKPHERSFIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPSEREVIINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIGLQSSQYPS >SECCE5Rv1G0366170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:811562008:811565791:-1 gene:SECCE5Rv1G0366170 transcript:SECCE5Rv1G0366170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEEGERPRWGGCGGGPAESGGSSSPGEPKRQRVPALREVITEVMRKSSIEKLFSSIEPLIRRVVKEEIELALANHAAMMTRSPTYTVPPTSKNLQLQFTTRLSLPIFTGSKVEGEGTFSIALVDALTREVVVMGTESLLKVEIVVLEGDFEDGEGNDWTAQEFNNNIVKERQGKRPLLSGDVFVGLDKGIGTVGDVSFTDNSSWTRSRKFRLGARIEDGCFNGVRVREAKTESFVVKDHRGELYKKHHPPVLEDEVWRLEKIGKEGAFHKRLNKEKIVTVKDFLTLFHLDAPRLRKILGTGMSTKMWEVTVEHAKTTCILNDKVHIYYLESPSKTAVVFNAVGEVRGLISEKFVSVDDLTEKEKAEANAAVKQAFEDWKNVSTYDSETLLANPSQLLNMRSSSLCENEFFQLPAQVATDDFDLSHLDIPSGDMFSVEPLCSLDPCAVGGVESSANRFQPELPPLGGHGQPQESHALDKFSNSLVFEESTSHPSFSEEDYYCRPGPDPPVSFDSQDLGAALKGFIATISKPKPYRGWRTLSYVIGWIFYTKRMAAQKRKKPGK >SECCE6Rv1G0410810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:602810166:602812117:-1 gene:SECCE6Rv1G0410810 transcript:SECCE6Rv1G0410810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDNEDDRLSMLPDGVLLNIVEQLDIADAVRTTILSRRWKQIPAMLSKVVIMAGSFEPKHTTSKLTSDDIIRFNTTVLEATRSILERRARTLYTIHLLRMQFYLGDESIFIGQTVANTIATQNVASVEFTILTKVRKNCTNNDLLTYGRQFMSFFDSCPNTFGCLARLRLENLRLGESDFPKIFSICKQLEFLFLCECDMGIKSLLEVEHPQLSELVIACGCLEKVHLKWAPKLTILKFNVFRTQDDPFCLGYVPLLQTVSIINTAFSWHKMLKLSELLGKTAISNLHLNFKSEKIWVKPEGRRQLLPVFHKLRIVNLLNISEECDLTWTMFLLQGAPNLKELRVLVRDHLCEMITGERRKMCPFSDQKDRGLQWEPSATDLKHRNLAKLSIYGGFQAEDKFVSYARSVMEAAVNLEEIELYKSPVCWKCKHLLQEWTLEEKSYLSYKINKGMPALVRIHFPSLGQVLS >SECCE6Rv1G0442060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814048773:814048994:-1 gene:SECCE6Rv1G0442060 transcript:SECCE6Rv1G0442060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEMKAWASMADDPLKRASSAATSPSSPLRRFSPTTMAVGGLLAVGTVGYFMFMGKDDRQRDRHNERLAHRT >SECCE4Rv1G0262560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:708480556:708480879:1 gene:SECCE4Rv1G0262560 transcript:SECCE4Rv1G0262560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSPSSKMAKRKKPAPKLDTTFCCPFCNHPDSVACTIDLKLLVASAVCCICEEAYHTTAHYLTEPVDIYHDWIDACEKANQEVDVREYHKRQRRIGSYDDDSDA >SECCE7Rv1G0472930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:151828892:151837689:-1 gene:SECCE7Rv1G0472930 transcript:SECCE7Rv1G0472930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSGAAPPVTGDRYLDLLVRFVASHAGELLDGSFTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVSAGPGRDPAPLSLLPFARLRVLELRGCDLSTSAARGLLDLRHTLESLVCHNSTDALRHIFVSRVMDIKDSPVWIRLSYVSCASNDLVLMDESLQLLPAVETLDLSRNRFAKVDNLRKCTKLRNLDLGFNHLRSISSLSEVFSRIGKLVLRNNALTTIHGIENLKSLVGLDLSYNIISNFSELEILGSLSLLQNLWLEGNPICCARWYRARVFSFFHNSENLKLDDKGMNTQEYWEKQVLFGSRQKQPAGYGFYFPAKDDHEDEDTSNSMMKKISRLACILEEERSPCDEGVDQQSSPRDSASSKKDEVAVADNDVKITSLISTAELMKKERSTDWLREFKEWMDENTENTDGDILYVDFTNRNGSKNKQNRREKLNIESSKSVTDLAKTSEGGSSSNLLESKLSFTDDACNGANGSTAGSLNEVNADQTHVRVHLNSAVQLPPLEFVGTSHPDSFSDVEGGAIFSHTNGTPSNATSKLIEPSPSFAYPSPQSPPQYKEDILRRRLFLEEEFLQSSGDFQCVGSLGSGSSCSDDSSGDLCSCNSEDDCVAIQTKRELALNGQIASFPYADIDHEEMDGMEFFSQEEKLSDCSAEDDLTSTDAVEFRIKEPDDSNQRNGHLGQDSGGHLIRQNGKQKVKRKIFPIFKNRNGSKLEFPEANGDKLDEGVSVGANGHLSYDLSGSTICKDQSSAKHYSSILHKNNLSIDADTVTCNTDRDKYKLIEDFFNVEVANVEESEICEQGARCGYIFQDGSDLIQREVALLRSSQNKLYVLLVDMEQDEQEIMPRVLGCYRLEDLEKVLTGLGLQALRVHMADHTAHLFLTRTSKEAQDVLWLLNLFSFPQLTSGVSLQSWEKIQVKLLEKCICASLKTGIFLYSMLMFWKNDAEEEALVIRSLIVTEGSICVCIENLHQFGCFPDDSHPPYFSLDECCSINSIKEVVVDQRDKECLTLILHKHINEGGFHSSTGNSQNKQADENYTVHTWKLKWFSEETLLKFISVLKALYSGAVSSPLPVKCIS >SECCEUnv1G0532740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:23486157:23486774:1 gene:SECCEUnv1G0532740 transcript:SECCEUnv1G0532740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDQNGFLASLRGESDGFFDNWKILALFTFLALLVFGCACMLAHGLQFVGRIKFSMEPLAYTGFGSDLDLAADPSTPPSFNVTLHVKSTCRRTFCWGDDGSGGATVEVAYSGVVIAKADVAPFCVPGKGDTVIAVAVTAGWPALPTDFRERIEGDRRHGGVDVEVDLSFDWMWVRCRTTLDAKHASPTPCQYFSFIPPMSSAVL >SECCE4Rv1G0295140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:895990640:895991767:-1 gene:SECCE4Rv1G0295140 transcript:SECCE4Rv1G0295140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSYHQTATTDLEAPAPVPKVKAPAVVTRNPTSPIGPPSPDMETRAEALTREEVLRRRRRRAARLLAAYRRLYWAMAEEVRAWHRQYVWELGCSPLEAEQPLSEAKPGPAAAPRRKKCGVTGCKVRAMAMAKYCHYNILTDPNQKNISQAYKKVGFNPPSTGQNSPDFSVLVGESVRQIQVKRREFRSAAAGKKYPKDGKVN >SECCE5Rv1G0353040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:713396997:713398606:1 gene:SECCE5Rv1G0353040 transcript:SECCE5Rv1G0353040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAEEEERPGREAGEEEEDDDERPQLSAAAAGALREFLEEQRRQERDEGEKGGGEGVELVAEDWRLSQFWYDEGTARGLAEEVARLACGLADEAGGAAVACVACPTLYAYLRKSSPDVPARLLEYDERFGQYGDDFAFYDYNQPEALPPAMKHAFRIVVADPPYLSQECLEKVAKTVSFLARPEGSFLLLLTGEVQKDRALELLNVRPCGFKPQHSNKLGNVFRLFTNYDPVDRLGGWDRSDGAAI >SECCE5Rv1G0322830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:424923216:424935054:1 gene:SECCE5Rv1G0322830 transcript:SECCE5Rv1G0322830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMSDDDDDSEPQFNVVADYFFVDAENPICLSALPIRFEQDTDEATQCKRSIFLRGVADPGISVYKHVVAWKLELGGEQPVIAVLSEEGSWINLAKPRNSYEEKFRTIFITVQMLHFLRRKPEEPEKNLWSHLRKVFDKFDLRPSKDDFRNHHSLLKLFAEKDLNLAKSKVLRAFIEEGSKKQTSEVESDNIEMKQSFIASDEEIEDMVSDGNSESDGNSESDEEEDDLFDFTCAICDNGGDLLGCDGPCMRSFHAKIGTGDDSYCDTLGFTEAEVEAMKTFLCKNCEYKQHQCFICGVLEPSDGPTAKVFLCNNATCGYFYHPKCVARKLHPNNKIEALEKENKIIGGSSFTCPIHWCFHCKGLEDRSQEQLQFAVCRRCPKSYHRKCLPREIPFEDSDEDIVTRAWDLSKRILIYCLDHEIDSDIETPVRNHIKFPGIPKIVKPADYLKRKNKVLINKRKRDFDESFLDQPSNKAAKVPVKVRVQEDEHARKFAVKSSSEQFVDKSEKKKPKVKDTSASSSRPANEQEKYLATSPSSTMGNLPQSSFPRVDSETEKRVIALVEKEGSYLTLKDISSRCLVPSTHVYAGRQTDKIVATGKLERSVQAVGAALKALGNGGNVNEAKAVCEPQVLKQLTKWHTRLRVYISPFIYGSRYSSFGRHFTKVVKLVEIVDKLHWYVEPGDTIVDFCCGANDFSRLMKEKLDEVDKKCHFKNYDLIQPQNSFCFERRDWMTVQSDELPRGSQLIMGLNPPFGVKAALANKFIDKALSFKPKLVILIVPKETKRLDQKKTPYDLVWEDSNCLAGKSFYLPGSLDVNDKIVQGWNASAPPLYLWSRSDWTEKHKEVAKAHNHTSVGKITRCVEPGNLSDDGPAKKEAESSDVHNSRPGKEKENIGNTSWHPREVNLSDNVPARRKAEPKNKQNARSGKAKETREKATCDFREDSPSGKAEDRRQKAACSVREVSPSDDHLVKKQDRPGEEKAARKANFMVKKQARPREDKEANLSGNRPLKKQAEATTQQISRPGKQNSRDGSKSSDDRSWKRIPDEADSLPPEKQVEVAYEETRAIPSRSMHQGQSNGTNARVKESKGSSDMSMSSSLENSTARHRSRSNAPFIPTEQPSDYTTAHRDSNMKYDVKEPRVSTFNSATTYQGSYLANSDVHKDALGERNDPTFYTGADDRSSAYNSSIEEMTKRYAPGRAGDVYSPQGQGNGGSFSRRQDDHPQTNLFSLGSSGARHDQIISSGARYDQRSLTSPSYGLLSTTPRSSVMDKYSPGFSGSSGSGAYNYAPGYLGANPPTSLVMDRYAPPLDETNYAMRGVHDVPGYGRDMPPQYPYRGPDPSGRGPPHI >SECCEUnv1G0565750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:396313819:396317325:-1 gene:SECCEUnv1G0565750 transcript:SECCEUnv1G0565750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRAGSSSNPAPTKRRLTVPLHERPSATPPPAYPGKWRTRPAFSWTVENIYKDFSGHRSALVRALTADQDKLYDLLCEKGKEPMCLYGYPNGRWELTQPEEMLPPGLPEPTRGINCRPAYVNRSDYLTSVAYHSDSWLMSVTFFLTTHLDANQKIRLFDMVNEMPTVHDELYRSYGVPWLWTFVQYNANRDSKAPTQENMSNQDVDSITPAEENVQPSQDSMQDLSAPNTDSRKPAEDKEKDNEVTDFCGSCGAPYHANAFWIGCDTCYQWFHGKCVNITASEAKHIEEYKCPDCIREVTGE >SECCE6Rv1G0409170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:582635769:582637707:1 gene:SECCE6Rv1G0409170 transcript:SECCE6Rv1G0409170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl diphosphate reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74470) UniProtKB/Swiss-Prot;Acc:Q9CA67] MTSLSSSAAAARATFLPSSCRARPAGGRRSQLLVTRAAASSPKLPAGRKLRVAVVGGGPAGGAAAEALAKGGVETVLIERKMDNCKPCGGAIPLCMVSEFDLPLDLVDRRVTKMKMISPSNVAVDIGRTLAPHEYIGMVRREVLDDFLRTRAQKAGAEVLNGLFLRYEEPKERNGTYTVHYNHYDSSNGKVGGEKRSFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIPDDKMRYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQAATRLRAKDKIEGGKIIRVEAHPIPEHPRPKRVSGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRLVDESDLRKYLAEFDRLYWPTYKVLDILQKVFYRSNAAREAFVEMCADDYVQRMTFDSYLYKRVVPGNPIEDIKLAVNTIGSLVRATALRREMGKLTL >SECCEUnv1G0549670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:206397663:206397854:-1 gene:SECCEUnv1G0549670 transcript:SECCEUnv1G0549670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSGICPRTTCGGTEGTDSASVPHPLRKKLLNHHKIKKYDALCLTLLVIVPCCCGRCSFARV >SECCE1Rv1G0039440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:548302681:548308074:1 gene:SECCE1Rv1G0039440 transcript:SECCE1Rv1G0039440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek5 [Source:Projected from Arabidopsis thaliana (AT3G44200) UniProtKB/Swiss-Prot;Acc:Q0WPH8] MESRMDQYEIMEQIGRGAFGAAILVNHKIEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIITGYCEGGDVDELMKKSNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDHDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSAMGPLPTCYSTSMKSLIKIMLRKNPEHRPTASEIMKNPYLQPYVDQCRALYDASNTTRTPPKSLSTSRSSQRSMSESQSSSMSSSDIDSTLSSDRSTSGGAASTDTKVIDTRSVHDVDRDDSDEKCATPEDLRGNKDLKRQDSSKSIDQHHRGESKQPKIIEKIMTTLREESRLRESSTPARTRGIKPSSAVSNKNEAEQPSDTSRFHNNASCRSRSGEDPSHGLATTNHGCANSVQASPPSKQLLPIVEHRSNVKTSRSSTPEPAKQVIENGSAGSAKSKIKTPTSTTRRASPQRRAGVGTTSLPATVQKGAHTKFMAEGERSPCQPAHSPDNTPADLPPVVRPSKIPSEGLNFMLDNSHAKSAPRELFTVATKEDISPCSSSTVGCVEKKDQSELSEPNPPACLISSHTSSVPDAADTEDNGLTAIPRSEINRDNLQRSVVSNDSSLTSALGPSIPSFEQELVCKDDVLSSKHGQNMATLQSGEDKFTVQELLSSMPPYDSSVSTAEDTMLEKGPNSVQSLKKQTSMKKETGPHLNPLVDDAIRHINLNVSNEQPTSGNVQGETRNVDVSKLLNVVREDLEVRSSSCSLNSPPSTPLPSVAPEQHVLGSNAACKIATSSDTAKLSSAIVDVRSYISEANIVIKEGTSPAKEMLDVTSFRQRAEALEGLLELSADLLEDNRLEELAIVLKPFGKMKVSPRETAIWLARSFKGMMNDEASRTSM >SECCE7Rv1G0478290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:235839177:235839737:-1 gene:SECCE7Rv1G0478290 transcript:SECCE7Rv1G0478290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGKRSRQQAESTSVSLLDLDSGDMARILLLFSGHHQHHAHYGVARPSSPERVFECKTCNRRFPSFQALGGHRASHKKPRLADGAGAEPPKPKVHGCSICGLEFAVGQALGGHMRRHRAVAAAGAGVGLGLSLGLGHGPNEDGNKKAAAAELALDLNEPALEEEPADRAMLGLAVGFPVVVDFRR >SECCE2Rv1G0076270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89760479:89764853:1 gene:SECCE2Rv1G0076270 transcript:SECCE2Rv1G0076270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRRPGAAAADRPTKSRPAAAAAAAPAAAPGDLVLRPPNLRVVLAAMALFLAPFSYLAFVHYPLDADLRRSILICGAISLGGFFVVLRLIPVAARYLLRRGMFGKDINKKGLPMGEITVPESLGIVVGIVYLVIAILFQHFNFAADSIWLVEYNAALASVCFMILLGFIDDVLDIPWRVKLLLPTIAALPLLMAYAGGTSIIIPKPLASYVGVEVLELGWMYKLFMLLLAVFCTNSINIHAGLNGLEVGQTVVISAAVLIHNVMRIGTSKDLETQQAHAFSIYLILPFLTTSLALLGFNWYPSSVFVGDTYTYFAGMTLAVVGILGHFSETLLLFFLPQVLNFLCSVPQLFHFVPCPRHRLPRFDTQTGLLTGTKDGNLVNIFLRLFGKCSEKSLCIRLLIFQAVSCLFCFWLRYMLTGWYK >SECCE3Rv1G0195440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:797490387:797500032:-1 gene:SECCE3Rv1G0195440 transcript:SECCE3Rv1G0195440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGGFRYAAILLLVLCILHVDVVRGQITHPTEVSALNAIRGRLIDPMNKLKKWNRGDPCTSNWTGVICHKIPNDTYLHVTELELFKMNLSGTLAPEVGLLSQLNKLDFMWNNLTGNIPKEIGNITTLTLITLNGNQLSGSLPDEIGYLQKLNRLQIDQNQISGPIPKSFGNLTSMKHFHMNNNSLSGRIPSELSRLPVLLHLLVDTNNLSGPLPPELAETRSLKILQADNNNFSGSSIPAAYNNIRTLLKLSLRNCSLQGVIPDLSDIPELGYLDLSWNQLTGSIPTNKLASNVTTIDLSHNFLNGTIPANFSGLPNLQFLSIEGNSLDGSVPSTIWTAITFKGNRSLILNFQNNSLDTIPAGLEPPEAVMLLLFGNPVCAASNPARAAGLCQPRSVNEAPSGQGPQVSLACSVCPTDKNYEYNPSSPIPCICSVPLGVGFRLKSPGIADFRSYKEAFEIDSTSVMDLSVYQLYIERYIWEAGPRLNMHLKLFPNNTNLFTISEVMRLRQLLAGWEITLSDTFGPYELLNFTLGSYADDFPTVVSSGLNKGALAGILAGTIIAAIAVSVVCTLFIMRRRSKRRTVSRRSLLSRYSVKIDGVKSFTFEEMATATNDFDDSAEIGQGGYGKVYKGKLADGTAVAIKRAHEDSLQGSKEFVTEIELLSRLHHRNLVSLIGYCDEEDEQMLVYEFMPNGTLRDHLSATCKRPLNFAQRLHIALGAAKGILYLHTEADPPIFHRDVKATNILLDSKFVAKVADFGLSRLAPVPDIEGKMPAHISTVVKGTPGYLDPEYFLTHKLTEKSDVYSLGVVLLELLTGMKPIQFGKNIVREVNTAYRSGDISGIIDSRMSWCPPEFATRFLSLGLKCCQDDTDARPYMAEIARELDAIRSALPEGEDLMSVTSMETGSSGTLTGSTSNSLITTTGEHFDISHASSSGVPSRMAMNPR >SECCE4Rv1G0271880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:764454703:764454936:1 gene:SECCE4Rv1G0271880 transcript:SECCE4Rv1G0271880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSSLAEIAGAGYATRQSWRSVKKATMEKKQEEKVELSPQTERKREAKQARFAAEFDGLNCFEYIVSS >SECCE5Rv1G0333140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:554693808:554694005:-1 gene:SECCE5Rv1G0333140 transcript:SECCE5Rv1G0333140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCPSKACCICMLIILVLVAVGLVFGFGVYTRGFHKLSSSIHLQDGASYRAYGHLNLAPPPSYY >SECCE3Rv1G0172730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:302822049:302823342:-1 gene:SECCE3Rv1G0172730 transcript:SECCE3Rv1G0172730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAIQRMEAHLGPTSRLYLSFMRKVGKRIDAMEEDTFMVAAVVLDPYTHYKLNLCNHTDYATSLTDAIAKILDPVSALSAIDEVSKFRECQGRFGTRLAEEAAARMEPTQWWFQFGGDVPALQKCAMRICSQCVSSSGCERNWSAFALVHTKQRNRLLYDKLHKLVSVRYNLKIRAEEDQEKERDIDKEIDPSALLMDTTMFDETNPIMEWLNEDVEDPIVDGADAASAVFEQIRRLNSSRKASYVGSKGNNKKRKRNDDDENEFLETESEDDEEENEYVDNDIEDDDGVSEDDEDGEQDQLETQMQLEEETQVQVEKEAPTSTGHLETRSGRLIRKKTKNVNSLYS >SECCE1Rv1G0027290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:380742475:380743969:1 gene:SECCE1Rv1G0027290 transcript:SECCE1Rv1G0027290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAASLRFTVRRKAAELVTPAGPTPRELKRLSDIDDQDGLRFHIPVIQFYRRDASMGGRDPAAVVRDAVARALVHYYPFAGRLRELEGRKLAVDCTGEGVLFIEADADVRLKHFGDALQPPFPGLEELIFDVPGSSEVLGTPLLLFQMTRLACGGFILAVRLMHTMADAQGLVQFLAAVAELARGAAAPSVRPVWDRELLEARNPPRPGFAHREYDEVPDTKGNIVPLDDMAHRSFFFGAREVAAIRSHLAPGLRKRATTFEVLTGSLWKCRTVALAPDADEVMRMICIVNARGGGKQDGASAIPTGYYGNAFAFPVAVSTSGDLCANPLSYAVKLVKEAKSEVDVEYMRSVADLMVQRGRPHFTVVRAYLASDVTKAGFGDLDFGWGRPVYGGPAKGGVGAIPGVASFLIPFKNAKGEDGIVIPMCLPGPAMDKFVEEMGKLLRPAVDVPDMFPAMIKSAL >SECCE5Rv1G0338670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:602232701:602234920:1 gene:SECCE5Rv1G0338670 transcript:SECCE5Rv1G0338670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGARHGRLLSVAALLVAACFSPLSLPPAAAVDELDGSGREAQQNTERISGSAGDVLEDNPVGKLKVFVYDLPSKYNKRLVTKDPRCLNHMFAAEIFMHRFLLSSAVRTVNPEEADWFYTPVYTTCDLTRAGLPLPFKSPRMMRSAIQFISKRWPFWNRTDGGDHFFVAPHDFAACFHYQEENAIARGILPLLRRATLVQTFGQKNHVCLKEGSITIPPFAPPQKMQAHLIPPDTPRSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNPLFDISTEHPATYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVEEEDVPKLDSILTSIPIDDILRKQRLLANPSMKKAMLFPQPAQPRDAFHQILNGLARKLPHPETIYLQPGEKHLNWTAGPVGDLKPW >SECCEUnv1G0537370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68692009:68693732:1 gene:SECCEUnv1G0537370 transcript:SECCEUnv1G0537370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGSGGGSSYSSSTAREGRARARKKQKQKHEEEEENPLDPRFSDYDPKEGDYVYTRFRHSKLDLDMESPVGAMHNTNRIFPEEGFLFCNSANIVSVNIASSDYGYPLNVYGTIIARDSLDRQRVYLFQRAEDDCQNITSKNDALLLTGPKRGLLICDSIIFEVDLKVKDVNGTKVGDERVSKGIMEIDGVRRLSYPPKYQVQTEELVSMHSTLDLSYTFVRNAVEGTVEARILEGPVDYFHGKIVARTSSIPCDIMLHDSELAGTLTAGDGGILQTARRVVSVSIDETLLLTIAAATSGVRTVEFTPKRGSYDEEKITCGDYKMLVKVTWSIVW >SECCE6Rv1G0442980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:820172558:820176210:1 gene:SECCE6Rv1G0442980 transcript:SECCE6Rv1G0442980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTAWAIAGRSVDDSREQIFRILQGWRDYKVIYFHGWDGLGASVVLRSIAEVLPYRRTTPELCFDKIIQIDCSDWKNRRGLQRAIAEELDLESSIMAVMDKQDEEDDFDGVDESSRNEITSVSREIHNILKYSRFVLIFHNGSDNEIVDLVNFGVPPITSFGDNVMIWTYKRRMLTIKNYKQDELRHELRYTHLLVYDGMEYLAAGQLYTLLCKEADIIVAGNPCIQGVNQTMAVNCCFYKLFLQCSVSKTNTNWVDVASNYWLCDGILQKDITLEISDALHRAITWECDDNVLTNFKEHFKLSFLIVKEYDVYEEGPYSWISVTSRDREMLGMKTLPAETSSFFLEFVMSDQPTMLPNGLFEHSSNLGVLTLCRCAFSFASPPFLKCHNLRFLGLDHCTNDKTGEGEDHTEWVCLHSLWVLDLRYTQWNEILSLAKMDLMDNLMELSIEGFWCWQYTTCLQGRLPNLQRLRVIKPTHGPDISTDADNSFIDKAKLEILDLSGNNEMEILPNNLSKASVLQVLILDGCNDLKNVLVPDGLPQLLKSFSFDGYGPALHRAPIIELPPNQERPSTPATKEGASVSNISLKGCSQLANMFVRGLPNLVELDLSGTAIRTLDFSTMVVEVPMLKRLFLLGCEHLRAIIWGNNTESFHLNLLCIDTRAGTGHPRPCTDQNKSYQLEVHVILVDARLARSLQQLLYYPCAVENVYLNIHVTSAVYSELNQSKVTEKEKKIVMYEDQVGLLQLVQADRYSDVQSMVGDAPMLAFPKPPTNNLNRHIEITEGRHVLDRGLEYVMTSFAESLHVHDVLTCASLPTGCSWQVLRQCRMERCPKLGEVFPSESFAYKEMETFWVSDLLTARWICSRGGYRWFYDGSFRKLQHLQLRSCPRLQFVLPVWFDSFPCLETLHIIHCGYLRHIFVLDEKYPEAIPTQGVSFPKLTTIHLHDLPVLQQICEFKMVAPELKSIKIRGCWGLRRLPVVEPRAGDMKKPTVEIEKDVWDALEWDEGAAPGHFEAPLHSCYYKNKLPRVSVLR >SECCE7Rv1G0502460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:696525290:696526557:1 gene:SECCE7Rv1G0502460 transcript:SECCE7Rv1G0502460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVGKYADELIKTAKYIATPGKGILAADESTGTIGKRLASINVENVESNRQALREMLFTAPGALEYLSGVILFEETLYQTAADGTPFVDILKAGNVIPGIKVDKGTVDIAGTNGETTTQGLDSLGARCAKYYEAGARFAKWRAVLKIGPGAEPSELAVKQNAEGLARYALICQENGLVPIVEPEILTDGPHDIKACAAATERVLAAVYKSLNDHKVLLEGTLLKPNMVTPGSDSPKVGAEVIAEYTVAALRRTVPPAVPGVVFLSGGQSEEQATQNLDAMNKLTVLKPWTLTFSFGRALQQSTIKKWAGKKENVADAQATFLARCKGNSEATLGKYGGAAAGGDAAASESLHVAGYKY >SECCE4Rv1G0233120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:225135687:225135920:-1 gene:SECCE4Rv1G0233120 transcript:SECCE4Rv1G0233120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE3Rv1G0206310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:906963992:906967971:-1 gene:SECCE3Rv1G0206310 transcript:SECCE3Rv1G0206310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 30 [Source:Projected from Arabidopsis thaliana (AT5G63120) UniProtKB/Swiss-Prot;Acc:Q8W4R3] MNPYADNRYADPSSYRDRRSDLAAAPILAPPVPVVAPQNPYAAPYTPMAAPVGGFGQGGGGYGGGMGYGGRGRGGGGGGPGGFRGGGGRGGSNGRDGLDSLNLPKADFRGLIPFEKSFYVECPAVQAMSDTEVAQYRQLRDITVEGREVPKPIRFFHEASFPDYCMQAIAKSGFVEPTPIQAQGWPMALKGRDVIGIAETGSGKTLSYILPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQAEATKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKILAQIRPDRQTLYWSATWPREVETLARQFLQNPYKVMIGTAELKANHSIQQIVEVISDHEKYPRLSKLLSDLMDGSRILIFFQTKKECDKVTRQLRMDGWPALSIHGDKAQSERDYVLAEFKNGKSPIMAATDVAARGLDVKDIKCVINFDFPTTIEDYIHRIGRTGRAGATGMAFTFFTHSNAKYSRNLVKILREAGQVVNPALEAMSKSSGSMGGGGNFRSRGRGGFGGGGGYGGNRSGSNSIPVRRRY >SECCEUnv1G0550690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:222262340:222264004:-1 gene:SECCEUnv1G0550690 transcript:SECCEUnv1G0550690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPAALPDDALAEVLRRLPPHVLAEARRVCKAWRDAVDDRLRSSLLSRSVRGIFINFSGQYFSEFFSRPSTGPAIDGGFNFLPCMSVEVKDHCDMGVKVKDHCNGLLLCRESSYRALPCPREYVVNPATRRWARLPKRPPPLMPGFHHTAHLAFEPAVSPHYQVFLVPRVHRESRDHDDNPLLELEWPPASYLVDVFSSVTQRWDTTIFLREGEAAGIIADMQLDWWYVPSLYHAVYWQSALYIHCQHGYLTRMSLSDHSYRVIKLPGADEWIVYSNHRLGRSSQGVYCAILDGSILDGSKRLQVWYLSESCGRIEWVLKHDTHLKTVYAQQLGRQWILQQVNLRNEDPEHKVPVDEKCDYDWNSDEDNILDTEDVVEEAVDVIEDEEHISYGFLGFHPYKEDLGSSRTCQEYGTPYGETGVSFPYTPCWVSAFPGNELESLLLDEKLCRNKLELEAQLEQESYFTYMGEYELRKQSGRAKRVKDFAAKIRRRHHIAAR >SECCEUnv1G0548270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:182770458:182772034:-1 gene:SECCEUnv1G0548270 transcript:SECCEUnv1G0548270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEDRPLKAARVQETKEHRLTPDLPEDVLADVLRRVPPRWLAASRCVCKAWRDAIDAHRLLRADLLPLSFAGLFVHFNDHKYPEFFARPSSSGDAVSGNLSFLPSTAPHAGTIWDNCDDWHDYRVEDHCNGLLLLGKNRVVNPATRRWNAFPPCPAQDYARWKMRYTRRLVYDPMISTHYEVFMIPCLHDKGRGEEDESDLSMEESEWPSSPCKMYVFSSRTGCWEEKYFVRQGDAARTVSEMRVGWMEFRDAAVYFRRALYVHCGAAFLMRISLSDNTYRVIKPPIVIKSEYFLDLNIVRSEKGVYFVAFEEDNCLLRVWILHESCGEMEWMLKDDIDINHVLACHQFNQCVRGHWILEDINYNLFCSTRSSNEIKEASTEHTYEWNSDNDDDVEDCYQNKGSRDRIDYDIQILGFHPHKEIVFLSESDETKITGLAYHLNSSKIEVLGNICPEGYSHFNELLNDDIRLVMSSPYTPCWIGEFPKNN >SECCE3Rv1G0196390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:808398630:808398974:-1 gene:SECCE3Rv1G0196390 transcript:SECCE3Rv1G0196390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWRRNALRGALLVLLIAVSSGVARADGPEGDNECWSTDMGYGICVKPAGCRAACHGLGKNDGQCNGGYFWPVCECLSPHCH >SECCE3Rv1G0190340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:737659806:737661115:1 gene:SECCE3Rv1G0190340 transcript:SECCE3Rv1G0190340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMDSSSVARGRGKNKRKWTTMEDDELVNALYDMSLDPRWKGDNGFKNGYSSVLESRLAEKVPGCGLSAAPHIESRVRYFRTKYGAIEVMLKRSGFSWDENRKMIQCEKQSYDEHCKLHNEAKGLYGVPFRYFDKLAAIYSKDIATGEGAEGFGEAITNLQNEVVIEDDMNDEEEDDRTSRETPRRSVNSHVTADSTNSKKRKKGNGSRRSESTDPFLTMFGDVNSQLKSVTQNVGQMAATMEREAIAQEKAMEEDPRQKFKEKAINELSRLGFTGIEIVNAASIFAKAPEKMHMMLALPQNLRREYVKKTLGKLNSCTIILF >SECCE7Rv1G0471370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:129567819:129568940:-1 gene:SECCE7Rv1G0471370 transcript:SECCE7Rv1G0471370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITRVDLRGLEPGGPGWAQARDAATASMVAHGFVVVAHDALGPELRQALFGRAMPEIFALPPEAKQRNVSTVGPFRGYISNVPGTNWESVRLPEVADAARVRDFADLLWPQGNPAFCDTIMAAAKNVWELQRTVETMVLEGLGVGEEHIGAHLDTLARVARLSRYGPPPDAETSMSLQAHRDDSIMTAIVQHGVEGLEVQAQDGIWLAVPPEPDLFTFVAGELFTVVTNGRVPGCFHRVRTPSNRERLSVLFGCPGKDGVLLSAMDELVDDEHPLLYRPCTNDGYTKFRHSDEGRKSGDPLKAFCGVEKDDRRS >SECCE7Rv1G0480000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:263425479:263425923:1 gene:SECCE7Rv1G0480000 transcript:SECCE7Rv1G0480000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVVERFMDWTLSWLPMYGEAKLLLVIYLWHLSTRGAGHVYDGFLHSLVAWHEADIDRGLLKLRARTRDVTASQLKAAAAIGQVWRVEAAHCVSSQLQAARSGREGATH >SECCE7Rv1G0519850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865902416:865921375:-1 gene:SECCE7Rv1G0519850 transcript:SECCE7Rv1G0519850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGPERSSAARRLACWAAALLVAASAGLIGGHRVSATALALRPEDGLMRLPYGVGGGYAEDPEPELPCRDRAASTRTCEEMGGAGSFDAVCVIASSATLSGDVCVHGAGSVEIRAGVRIACPVPGCYLVFNVSGSIAVGERVQLVAGSVGLHAANVSLGRGSAVNTTGLAGAPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWAFLDNPWSYGSKGGSLSAGENLGGDGGGRVLLRATELLTVDGVVLAEGGMGSLRGGGGSGGSIMIKAFKLYGNGTISAGGGNGWGGGGGGRISLKFYSIQQDLEIIAHGGQSFGCPQNAGAAGTIYDKSLETLKVSNGNFTTHTETPLLGFSVTKLWSNVLVESNAKVLVPLLWSRVQVTGQIRLLTGGSICFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGGKDVVLASMLEARNLVVLKHGSVISSNAALGVYGQGLLNLSGPGDGIKARQLFLSLFYNIEVGPGSVVQAPPDEDVISSLDALSVCESKTCPSELIAPPDDCHVNSSLSFTLQICRVEDITVGGIVRGSIIHIHRARTVTITDGGAISASELGCKSGIGRGTFLKYGAGGGAGHGGQGGIGIYNGMTSEGGQQYGSAYLPCELGSGSGSVDSGDSNAGGGLIVIGSMKWPLARLLIYGSVSSNGESNRGTTGNSSGSFKGGIGGGSGGTILFFLQGLLVEKNSSLSASGGEGGIHGGGGGGGGRIHFHWSNIATGDEFVQIASINGTVASSGGSGNDDGHFGEDGTITGKECPVGLYGTFCTECPVGTYKNVAGSNSSLCTPCSLNALPNRADFIYVRGGVTQPSCPYKCISAKYKMPNCYTPLEEVIYTFGGPWSFAVFLFFAIILLALILSAVRVKIGESEVTYRATSAIHNDAYASSPFLLSLAEVPGASRAEETQSHVHRMYFMGPNTFREPWHLPYSPPESIIGIVYEDAFNRFIDEINLVAAFEWWEGSVHSILAVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVAATIQKRFPMCLIFGGEGSYMSPYHLHSDTLLSNLLGQYVSTAIWNRLVAGLNTQLRSVKQRSIRSTLGPVVSWIKSHGNPQLERHGVRVELGWFQATASGYYQLGIVVAVNENFYKSHHHHEHAPDFGDRSRKNFAIPLLGSKQAIQDQPCTSYGVSRKRLTGGVTGGVINEETLKSLDYKRDYLFPLSLLLQNCRPIGYAETLQLLICIVLVGDFTITLLMLVQYYWISVGAFLAVLLIPPLALLSPFLAGLNALFSRGPKRSSVTRIFTLWNTTSVINIIVAIVYGAMYSGLSSLTVSSVHASNSKSFKGRDDDGWWIMPVVLFVVKSLQARLVNWHIANLEIQDHSLFSPDPDRFWTM >SECCE7Rv1G0505420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:735496423:735496851:-1 gene:SECCE7Rv1G0505420 transcript:SECCE7Rv1G0505420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKSLLAACVNLEDALKSGEHKDIDGAELFYELIFIQDLVKKSMGPIDILEILMNRPFYPNAIIAYRILLTIPVTVATAERSFSKLKLLKSYLRSTMTQERLNGLATIALENDVLEKINYEDVIGDFISRNTRRMTLFNRE >SECCE2Rv1G0079550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:121041337:121042824:-1 gene:SECCE2Rv1G0079550 transcript:SECCE2Rv1G0079550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMESDFHMAKGEGEASYVNNSRIQQKALLETEVVLEKAVREVCMDLHQPAMTAVDLGCSSGQNTLFFVSKVIKVVGHDSDEKSRCSPVELQFFLNDLPGNDFNHVFRSFKRFKESITAKHKENTLVPPFYIAGLPGSYYSRLFPRQSCHLFHSSFCLHWRSRVPAGLEEGEREYLNEGNIYIANTTPSCVAELYRRQFQNDMLLFLKLRYDELVLGGQMVLTFLGRKYEDIYNNGYLNHPWGLLARSLQSLVEEGLVKKEKLDSFNLPIYTPSINEVKAVIAQSELFNVSHIKLFESNWDPHDDSQGDDAHNTIQSPINIAKSLRAVFGPLLASHFGESLLDKIFKKCAYCVTEHLAEGGEGKYLLICVSLKRI >SECCE3Rv1G0171960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:280194356:280195699:1 gene:SECCE3Rv1G0171960 transcript:SECCE3Rv1G0171960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAAAVCHSPARLSISCSCSSSHSAPARPLRVAVVGGGPAGASAAEALASAGAQAFLLERSPAGAKPCGGAIPLCMLDEFAIPLGLVDRRVTRMRVLSPSNLAADFGRSLPPGAHIPMLRREVLDSFLRTRAADAGATLVPGLVTSLSLPTGPTDPYLVHYISSGDGPSPTRSVLEVDAIVGADGANSRVAREVGAGDYSTAIAFQERIRLPDKAMEYYDDLAEMYVGGDVSPDFYGWVFPKCDHVAVGTGTVAAKPEIKKLQSGIRARAGAKIAGGRVIKVEAHPIPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAAKSGRLCGQAMAKEWRLTGAVTEGGIRRGYLRRWDDEFLLTFRFLDLLQRVFYGDNAGREALVEMCADEHVQRRTFDCYLHKRMAPGEPWADLQLLWRTAGSMVRCSVLGKEVQRLRRLELLQA >SECCE3Rv1G0162300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:129159959:129160859:1 gene:SECCE3Rv1G0162300 transcript:SECCE3Rv1G0162300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNMKQLQEALVDIETDAEQLLLARHELVQNDKMRNANREALTALRKRARTTKSSVPSPFDVIMKEMEGTSSKQLVKEICPTCGNNDPKEHTWLMFPGSDIFARVPFHATHTILEEDQERLDFDTKKLQSFVKEKSFLISEKGALADKISPGIVKSLVSLTDRPK >SECCE6Rv1G0418760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:664782765:664783865:-1 gene:SECCE6Rv1G0418760 transcript:SECCE6Rv1G0418760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSATTMFQELVVLAIIYVLLHYVTRAILPAKRASPPLPLPPGPRGYPVVGALPLLGRAPHRALAALASLHGPIMHLTLGRQGVVVASTPDAARLFLRDHGGSFLDRPADDVAPTVLAYGAQDLVFAPYGPRWRRLRRECSLGLLGPQALADWAGARREEVGIMVRAMSRRGAGTAVEVPEFLFCAMANMIGQAVVGRRVLDEAGGEEAREFKEMVVELMTTAGLVNLGDFLPAVAWMDLQGLGRRMRRLSARLDRVWSRMLSEHEATMAQEGSRRQLDLVDRLIACRGVGEDITDLNIKALLNNLFTAGTDTSSSTIEWALAEMVANPAILRRAQAEME >SECCE5Rv1G0331680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:540676281:540677069:1 gene:SECCE5Rv1G0331680 transcript:SECCE5Rv1G0331680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCHDVDVPGKPTETGTALLETATGAIQGFAPINQIHQHLCAFHFYGDDMTRQVEAHHFCAHLNEDVRQCLIFDGPDAGARLIGLEYIVTEELFLTLPDEEKPLWHTHEFEVKGGVLFMPGVPGVVERRDLEKVAKTYGKTIHFWQVDRGDALPLGPPQIMMALTREGQLRQDLADCVEKKFGVSFQKEKENRAYMSGPAHGIHPLANAAGKGLKTEIREVDLPAANTGARIFT >SECCE3Rv1G0160720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:107736524:107737414:1 gene:SECCE3Rv1G0160720 transcript:SECCE3Rv1G0160720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKPNPQPPATAAAATNGAGAGGPPKMYQRPIYRPQAPAKGRRGGRSSCRFSCCCCFFWAILVVLLLALVAAVAGGAFYLLYRPHRPAFTLSVARVNKLSLSSSATAPALTDSIDFTLTAKNPNKKLVYLYDDFTVTAATAANAVPLGEATVPGFLHEANNITVIKATVTAAALGVDPTAASSDIKKSGTFAITLDLETKAGVKVGGHKTKKIGIQVHCDGIKVAAPAPAPAPAKKKGVKLTVAKAPSKAPAAVEAPEPSAAVDDATTSPPAATTVARACQVRIRVKIWKWTF >SECCE2Rv1G0085310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:189291124:189296703:1 gene:SECCE2Rv1G0085310 transcript:SECCE2Rv1G0085310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPASSEEAVKAAKVLMVGAGGIGCELLKTLALSGFSDIHVIDLDTIEVSNLNRQFLFRKSHVGQSKAHVARDAILKFRPNINIKSHHANVKDAQFNVDFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKELLFAKLFGDKNQDNDLNVHSKDGSSSKLDVFERDVDEDLDQYAQRIYDHVFGYNIEVALENEETWKNRRRPNPVYIRDTLPEAVRQNGSSRDCSNDHEEPSAMSSLGLTNPQEIWTLAENSRVLLEAFKLFFEKRKKEIGNLVFDKDDQLAVEFVTAAANIRAHSFGIPLHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLRDDYKNYRMTYCLEHPTRKLLLMPVEPFEPNKSCYVCSETPLLLDVNTKVTKLKEVIDKIIKSKLGMNLPLVMIGSTLVFEDGDGLEEDEAANYALNLEKVLAELPAPVINGTKITVEDFQQELSCSINIKHREEFDEEKEPDGMVLSGWSAPVEKQINSNGENKSAASSSTAHAADDTVEDPSKPGMKRKLDELLETKENCDASSSAQVVEDDDDCTMLDGNPALNKKKRLQ >SECCE1Rv1G0060590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710668780:710669762:1 gene:SECCE1Rv1G0060590 transcript:SECCE1Rv1G0060590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRVAARRLVGGGQTPAVAVDKAQRRLFPRLSQVDRARSTSTTSSAAAAADTNVAAGKGCDCEDREKILREIHDMREELYDKLSHAERTYDIAGRVGKNIRRLRDELATQVDPRPGDTTWRGLRVLTVFGRYCGYAAGMFTSYVLARMATGWIVELEPDEKQWIKKKREEARKRSEAK >SECCE5Rv1G0356480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:739582754:739584136:1 gene:SECCE5Rv1G0356480 transcript:SECCE5Rv1G0356480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPVGSPPAMGVDKLTYEIFSILESKFLFGYDDPKLLFAGGSPHTPAAAPKETPPRAQARKVCILSIDGGGCAADGLLAGAALVKLEASLRRRTGDPKARLADFFDVAAGSGAGGVLAAMLVARGKDDARPLYSAEDALAFLVRSLRRGWSSSSGSLRALLQRPAGGAAFRKVFGELTLRDTARPVLIPCYDLATGAPFLFSRADAAETPTYDFRLRDVCAATCAGSGRSSSAVEVRSLDGSTRIVAVGGGVALGNPTAAAITHVLNNKREFPLASGVEDLLVISIGSGEGASTSEIVRIAAEGVSDMVDQAVAMAFGHSRTSNYTRIQAMGSPRGSRCAVAAEEMLSQKNLESVLFRGKKLAEQTNAEKLEQFAHELVKERNRRGVK >SECCE6Rv1G0422150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:688382204:688382557:-1 gene:SECCE6Rv1G0422150 transcript:SECCE6Rv1G0422150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVAEGPLGTGKAFICLSLLMLLVLSSEKMESYGCIKRKSGKWLNDTCFIPGTCNAPCRDEGFDSGHCKNTWTCICYKNCTGLSL >SECCE7Rv1G0521060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:872903359:872907065:-1 gene:SECCE7Rv1G0521060 transcript:SECCE7Rv1G0521060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADSFLADLDELSDNEAYPEEDNAEAAGMDEDGDDDMPDLESLNYDDLDSVSKLQKTQRYSDIMQKVEGALDKDTYLSNQGFILEEDPEYQLIVDCNALSVDIENELIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIANEIDITLVDLEGLLPSAVIMVVSVTASTTSGKPLSQENLVKTIEACDRALNLDAAKKKVLDFVEGRMGYIAPNLSAIVGSAVAAKLMGIAGGLGALAKMPACNVQLLGAKKKNLAGFSSATSQFRVGYLEQAEVFQSTPPALRTRACRVIAAKATLAARIDSTRGDPTGKAGRKLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAVTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAAQNKLAAKVAKKFKERSYGSGGATSGLTSSLAFTPVQGIELSNPHAHGNLLGSGTQSTYFSETGTFSRINRP >SECCE5Rv1G0325510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:466575978:466577654:1 gene:SECCE5Rv1G0325510 transcript:SECCE5Rv1G0325510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSPREALPRLAKILTLSLYAILPLALLLLYLLSPPPIAAPPSTSTSPPHGEKQQGVKTATGPAAGTQGSNSKPAPQCDYSEGEWVPNAAGPRYNGTSCGATIKDGQNCIANGRPDTGYQNWRWRPRGCALPPFAPAEFLELVRGRHVAFVGDSLARNQCESLVCLLGSEYPVELVLDDGEERKFRRWAFRSHNATVSVLWSPFLVNGTEKSSAPGGLGYNRLYFDQPDERWAAELPGIDVVVLSFGQWFLQSAMYYERGAVIGCHHCPEPNRTETGFFGVFRLAVKNALREVIARSSTGREKLAVLTTFSPAHFDGEWDSPDACARTEPYAPGEKEMSYMHKEMWRTGAEEATAAAVEARSRGSAVTVEALQVTRLADMRADAHPGPYFHPSPFAGAGGEKRERVPNDCVHWCLPGPIDTWNEILLQMVKRWRD >SECCE3Rv1G0167330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:201134228:201134701:1 gene:SECCE3Rv1G0167330 transcript:SECCE3Rv1G0167330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALPCLVDQGVKALPAAVKPRRRMYSLKLLMRVLRRMKKTTRGNKIGGKSSPESDVGGAGAKSIKAGYGKASPRRAAAAAARGGGGGQRKGVVRVKVLLSKEEAARLLSLTVGGQKTAAQIVAEIKRMEARRAAANAAAAAGWRPALESIPEESS >SECCE1Rv1G0031990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:449226108:449229083:1 gene:SECCE1Rv1G0031990 transcript:SECCE1Rv1G0031990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPAAYRRIAAAVAVAVAILWLVVASSAAGAAEERGRRGVAVRQGEGRGRHHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLFRVGADADRVLIASSDVPRDWVRAMREEDGMRVVVVENLKNPYEGNLGGMNRRFKLTLNKLYAWSLVDYERVVMIDSDNIFLHNTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKGMLHDLEIGRDNSDGADQGFLVGCFPDLLDKPLFHPPENGTKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPILPLGLSWHKQRWDDLGYAAEIPVVLMELLMYIAIIAVTRLARPQMTKLCYNRRPEKHGALVQWLIKLAGIVAMVAAYTIPFFVIPCTVHPIMGWSMYLFGVLSFSSVVINAFLLPPLAVLTAWLGIVGMLFVMAFPWYHDGIARILVVVAYAFCSAPFLWASIVRVMDSLQTMLERDPHFPRIGDPAPETEFSKLY >SECCE1Rv1G0043440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:592540590:592542541:-1 gene:SECCE1Rv1G0043440 transcript:SECCE1Rv1G0043440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQHQGPSELFTTRTTFPMERHLFLHGGSTQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQVNVGTNKNTIGCAIVADGMPGTSVPAMINTNVIPQPEKTMQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQESLGKQNSGPANLEDAKMKISELVSQVSNECFGNAVTETKGSSSMHRLEPRQIQFMESSTNNCLTAAGGLINEHRLHSHGMLKAYDDSSIFCRKHSPDHEYQFSQNRSLSERKMGHLHNVNEYHKAEFGSESDMEIQQEYTTPQKNGRGSTTSSASGSKERDADRLYLEEPNCKRQAVEHSGFEHPSSGKKLDLNTQNTDDGDQGYRHFDLNGFSWS >SECCE6Rv1G0423030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:693267986:693271356:1 gene:SECCE6Rv1G0423030 transcript:SECCE6Rv1G0423030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGVAAAVAAAATSPALMAAVSLMALVYYYTVFVLLDHWLGLGTTAGAAHAAAFSLLLAACFFSFLCAAAADPGSVPSAFSPDAEDPQGQGLKSRYCDKCCIHKPARTHHCKVCKRCILKMDHHCVWINNCVGYTNYKAFIICVLNATIGSLYSSVIFVCDLLRTEHDFSIHYVKIIHILAGVVLFSLCLTIGSLLCWHIYLICHNMTTIEYREAVRAKWLAKKSGQKYRHRFDQGTRKNIQMIMGPNVFCWLCPIATGHLKDGTEFQNTNN >SECCE1Rv1G0025530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:355572280:355572858:-1 gene:SECCE1Rv1G0025530 transcript:SECCE1Rv1G0025530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSTDMKDRNMLFAAIPSICASSPKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNEVIPEESNEQQRLLRISLRICSTVVESLPTARCAPKCEKTVQALLCRNLNVKSATLLNATSSRRIRLQDDIVTGFHFSVSERFVSGSTFKASTIDLIREGLIVLRKVRVGGSI >SECCE4Rv1G0248890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:576885215:576886592:-1 gene:SECCE4Rv1G0248890 transcript:SECCE4Rv1G0248890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRPPSVVQKLHGQSMLFREISSSGMRSHVINNAHYACNFSVTKYHQTNGSIGRLPLDTPSPVRAPAPEEKGFSGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQGEMIKSGRLSHPYKSIGDCFARTIKDEGVVALWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFFGNIASGGAAGASSLLFVYSLDYARTRLANDAKAAKVGGQRQFDGIVDVYRKTLASDGVRGLYRGFNVSCVGIIVYRGLYFGMYDSLKPAVLVGSLEDNLLASFLLGWGVTMGAGLASYPIDTVRRRMMMTSGESVKYKNSMDAFRKIIAEEGAKSLFKGAGANILRAVAGAGVLAVYDKLQVVVFGKKYGSGGG >SECCE7Rv1G0470070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:115846535:115849693:1 gene:SECCE7Rv1G0470070 transcript:SECCE7Rv1G0470070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWRAAALAVAMVCWVASSCVLGFPEEDLVGRLPGQPIVGFRQFAGYVDVDDKAGRSLFYYFAEAQDHAAGKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRLNKKSWNKVSNLLFVESPAGVGWSYSNTSSDYNTGDTRTANDMYKFLLGWYKKFPEYRSRSLFLSGESYAGHHIPQLTDVLLTHNEKSKGFKFNIKGVAIGNPLLNLDRYTRLTYQYFWSHGMISDEIFLSIKKDCDFEGYTSGNPHNESKACNVAIDEAKDMIGDYVDDYDVILDVCYPSIVMQELRLRKYVTKISLGVDVCMSYESTFYFNLPEVQHALHANRTHLPYGWSMCSDVLHFTGMDIYINILPLLQRIVEQKIPVWVFSGDQDSVVPLLGSRTLVRELAHAMGLPITVPYSAWFRKGQVGGWATEYGNMLTFATVRGASHMVPFSQPDRALGLFRSFVLGQRLPNTTHRPID >SECCE6Rv1G0402720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:487585817:487587919:-1 gene:SECCE6Rv1G0402720 transcript:SECCE6Rv1G0402720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSSPSSPPPSPPTRTTTTAPTAVGLLRGAAGRCDAPLTTALHAVLLKSGALHSSQPLVASNSLLHAYLQCGLHSHALHLLDETPRRDAATYSALISLHCRLGAPLDAVRAFVDMLVQDDDGTVRANEFTVAALLQACGLAKDERLGRMVHGYLVTNGFCADPFVVGSLVNMYAKVGDVVSARRLVLRLACRDVVSWTAVISGCVLNGMLGEALDVFVMMLEDGVLPNNVTMLSVIQACSLMGQSGLFSLVHALVARLGLEEDDSVVNSLILMYAKNGFTEEAARLYENLYIRRGTVCSNADVLSALLYGCTVSASLLYGREIHAHLIKLSALPSISIENCLMGMYARFERVDATYLVFKGMKVKDIVSWNTMISCLAKSDYVNEALDLFSTLHSGGSGPVPDFVTVLSVVQACSNAGLLLQGQMLHGYIVKYGFVHDVSISNALIIMYAKLGRIDSAEKIFGRMDVKDLVSWNSMINAYGIHGDGHSALKNFHQLTDIGAHTPNAITFLNVISACSHSGLISEGYKCFESMRRDHGIEPCMDHYACVVDLFGRSGRFTEAEEFIRDMPVPPNSSIWGALLAGCRLHGNVDLAEKAAKELLALEPDSDIWRVSLSNIYASAGRWKDSARIRTEMKRVGLRKETGWSFVDVGGVEGFKFVSADTRHHDAEKIYAVWHSMNKHMADVAGDVHQLSLVSVN >SECCE6Rv1G0409400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:588655766:588660546:-1 gene:SECCE6Rv1G0409400 transcript:SECCE6Rv1G0409400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2 [Source:Projected from Arabidopsis thaliana (AT3G47700) UniProtKB/Swiss-Prot;Acc:Q9STU3] MEAAAPSPLLHSPPHITPELRRFLDVRFRSPADLAAAADVEAEIRGRCAELKSSVAELSARLADAAAAYSSSLEAAGSALRSVRGGLAALKASTDKTGVREDVEAGSEKMLFEQLPPLATDVARVDMVRDYAETALKLDGLIGDVEDAVSSSVTAKLKSRGENLEKTHHAAIGYLKKIEDLLASVTRTRPQWTRLISAVDHRVDRSLALLRPQAIVDHRALLSSLGWPPSLAGTKNSDSNSGKPAETVNPLFSMKGDLTRKYSESFLSLCNLQELQKRRKARQLHGHNVGKQPRQPLWVIEELVNPLSAAAQHHFSKWVENPEFVFALAYKITRDFVDSMDEILQPLVDKANLVGYSCREEWISGIVIALSTYLAKEIFPKQIERLQESSSSDASSMAAQARVSWLNLVDLMISFDKRTQDLISGTGLLLSVKDDDNWQRISVLSVFCDRPDWLEIWAEIERREVFASLKSAMENENNWSKRIEGAMLEYGSDDCKSPAITGAVKHGLSLIIDRARPIPSITLRAEFIRISASPIISEFLGCMFRRCQEAEGLTALADDNALIKVSQSINAARYVESTLAQWCEDVFFLEMENLSVVGEEGGSVFQQEINQLKEFRSEWVDKISTVILRAFDARSRDYLKNKRQWQEHSEEPAISRAMIESSGYIQGRLSKLEEGLNVLDFVTVWRAVAAGVDQLLFTGIFTGSPKFSNGGVERLDADLSVLFAVFQAWCLRPEGFFPRLSEGLKLLKTDEKQLRDGTMVTDKKWLREHGVRHLTAGEAEKILRNRVYDA >SECCE4Rv1G0217140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14421160:14423627:-1 gene:SECCE4Rv1G0217140 transcript:SECCE4Rv1G0217140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPRPATLHPAAYLSAAAALLALVAAAFSRALGPRFPSPPDARRCRPDPEGSWSAGVFLGASPFALKPIEHWGISAGAAWPVANPAVTCADAAEAGPPSSFVASPFLFLQGDAVYMFFETKNPVASQGDIAAAVSKDAGATWRQLGVVLDEEWHLSYPYVFSYNSKVYMMPESSKNGDLRLYRALDFPLKWTLEKVLLEKPLVDSVIMNFRGSYWLIGSDLSPYGVKRNGELSIWYSSSPLAPWSPHRLNTIRHMDNGPSFRNGGRPFVYDGDLYRIGKQSGGLSGHSVKVFKVEILTANEYKEIEVPFVFDKPHKGRNAWNGARSHHLDVQWLPSSQLWIGVMDGDRVPSNDSVHRLTIGYMFYGVTLLLVLVLGGLIGAIRCTIPLRWCVPHTEKRDDFFQSKQQFFLKYKLSLLFSSLNKLGSLLGGRINYRTWKGRVYVVLVILVLIFLTCLGTHCIYGGNGAEEPYSIKGGYSQFTLLTMTYDARLWNLKMFVEHYSKCASVREIVVIWNKGRPPVQNELKSAVPVRVRVEDKNTLNNRFNIDEKIKTRAVMELDDDIMMACDDLERGFKVWREHPDRIVGYYPRLAEGTPLEYRNERYARQQGGYNMILTGAAFMDHGLAFERYWSKKAEVGRKMVDSFFNCEDVLLNFLFANASSTSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEVYGNLTAKRFFSSRGDGWDV >SECCE7Rv1G0460030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:28731117:28732695:1 gene:SECCE7Rv1G0460030 transcript:SECCE7Rv1G0460030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAAAAVPRMKLGSQGMEVSAQGLGCMGMSFAYGSPKPDADMVALIHHAVAAGVTFLDTSDVYGPHTNEILLGKALQGGGVREKVNLATKFGSFFGEAGMGVRGDPAYVREACEGSLKRLGVDCIDLYYQHRVDTTVPIEITIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITSVQMEWSLWSRDAEQDIIPTCRELGIGIVAYSPLGRGFFSLGPKLAASLSDDTDLRKLLPRFQPENLEKNTLIFESVNAMATRKGCTPSQLALVWVHHQGSDVCPIPGTTKIENLNQNVGALSVRLTPEEMAELESYAAAGDVHGDRYPQMMSTWTDSETPPLSSWKVEC >SECCE2Rv1G0081780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:144563959:144565995:-1 gene:SECCE2Rv1G0081780 transcript:SECCE2Rv1G0081780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNIANPTTGCQKKVEIDDDQKLRNLYDKRISQEVVGDLLGEEFEGYIFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTSCFRGHGRRVGERRRKSVRGCIVSQDLSVINLVIVKKGKNDLPGLTDTEKPRMRGPKRASKIKKLFNLGKDDDVRQYVNTYRRSFTNKKGKTVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRDRRSESMAKRRSKLSAATKAPAASA >SECCE5Rv1G0361800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:781027599:781029421:-1 gene:SECCE5Rv1G0361800 transcript:SECCE5Rv1G0361800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRGAVACATLMLLSAAAVGAALRSEPIRLSNNSSTPKLHALADAAQANATFGSKEEAFAWAKKDHRRLLHVVYRVGNMDKTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGREDAHFVVELTYNYGVDKYDIGAGFGHFGVATDDVAKTVEIIRAKGGKVTREYGTVKGGKTVIAFIEDPDGYKFEILERPRTREPLCQVMLRVGDLDRAISFYEKAYGMELLRKRDNPRNKYTVAVMGYGPEDRNAVLELTYKYGVAKYDKGKAYGQIAIGTDNVYNTAEVVKLSGGQVVREPGPLPGIGTKITSVLDPDGWKTVFVDNIDFAKELSKHVHH >SECCE6Rv1G0444010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826356078:826356670:1 gene:SECCE6Rv1G0444010 transcript:SECCE6Rv1G0444010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEQPPPQGAAPARALVRAQPAVNPWSTGLFDCAEDRGNCWLTVCCPCVTFGLVAEIVDRGATSSGASAVLYVLVGMATSWWFPPIYTCFYRTKMRAQYGLQEAPYPDFCVHCFCEYCALCQEYRELHNRGFVMDIGWQANMELQQRGGAATMPPAMHVDGMTR >SECCE2Rv1G0141150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934416375:934417645:-1 gene:SECCE2Rv1G0141150 transcript:SECCE2Rv1G0141150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSRAAMVLTMMGLVAASALSQPSLISMTTDVPLPDGLSYDFHALSCSNLQNMVRDAVQGAIARDAGVVPGLLRLFFHDCFPQGCDASILLDNGERNMPQNGGLRRSVLDLIESIRATVHRACGPTVSCADITNLATKEAVMQSRLPGYDVPLGRRDSLTPATAQQVGILPGPDFNVQQLVRSFADRGFDKMDLVALSGAHTVGKASCGSFRNRAGENADFVRTLQQVCARNPGHLQDLDVTTPNTFDNRYYNNLQVGRGVLNSDMALTHDGETRNWVNNFAGNQGWFFGQFSTSMRKLAHLPGANMGEIRNNCFRRNGNGESVVLAAEVFAAFT >SECCE5Rv1G0321070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:396698503:396701064:-1 gene:SECCE5Rv1G0321070 transcript:SECCE5Rv1G0321070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein kinase S.2 [Source:Projected from Arabidopsis thaliana (AT2G32800) UniProtKB/Swiss-Prot;Acc:O48837] MSLRRLCFVLPMDGDEVLVASADDDHDDDAWRRPREKLGSYVRGKVGRALSCLRCGCRDSRSAAGFEDMDGVYEVGARKIRGAAGPRVFSYSELYIGTSGFSDQEVLGSGGFGRVYRAVLPSDGTTVAVKCVASVGVDRFEKSFLAELAAVARLRHRNLVRLRGWCVRDEEELLLVYDYMPNRSLDRILFAPASAAPGAPALGWDRRRRIVAGLAAALLYLHEQLDTQIIHRDVKTSNVMLDSEYNARLGDFGLARWLEHAVEDAPPTKKLELLPSPPSVRSTSSFSSSVNYQFRLIDTSRIGGTIGYLPPESFQRRGAATAKSDVFSFGIVLLEVTTGRRAVDLAYPDDQIFMLDWVRRLSDEGKLLKAGDRKLPDGARAMFDMGRFIHLGLLCSLHDPRARPTMKWVVENISGSCSGDLPALPSFLALPKYISLTSSSSDSGTSTTIAGTNSTATSAASTKHMYATAAGTTIYLTAEDGRSPTGGSRENKSGSSQRSSPRPAVAVPNVDTPREISYKEIVEITNDFSESQVVAELDFGTGYEGFLDNGNGGRVHVLVKRLGMKTCPALRVRFANELCNLAKLRHRNLVQLRGWCTDHGEMLVVYDHSPGNLLSHHLLARHSNSGILSWRHRYGIVRALASAVLYLHEEWDEQVIHRNITSAAVFLDPDRSPRLGSFALAEFLSRNEHNNPHIVLPTGSGTARGIFGYMSPEYMETGEATTMADVYSYGVVVLEVVTGLMAVDVRSPEVLLVRKVQVGQEQDVRGGVEALADRRLDDRLDRRELVRLAKLGIACTRSDPAARPSMRKVVSILDGNDEVLRKFERRMESREEWERKNAVALSLVRRLQALGIH >SECCE2Rv1G0141600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935968554:935973145:1 gene:SECCE2Rv1G0141600 transcript:SECCE2Rv1G0141600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGDDGAQEQQAGPHFPGSSSSSSSRDTISEERLPCAEAMEPTRLTMVLLEQITNNFSNERLLGQGGYGKVYRGVRDGQEIAVKLLYDLIPTIDDEQFIHEFKNLMVLKHPNIVRLVGYCYETYREHGKYQGNIVFGEKTHKALCFEYMPKGSLQKHLTDECNGLDWQTRYKIIKGACEGLKYLHEGSENTIYHMDLKPDNILLDENMVPKLADFGLSKLIGEEQTRVTKGPIGTIGYMPLEYLSRGVVSNKFDIFSLGVVMTKIIAGPKGPTIQDDMKQEFLDYVQGNWRKRLQTIWSSPEPLEARCKQVKRCTEIALCCMDDDRHKRPSIVDIIHELNKTENFMEKVTNYEQEPSALPASTSDFMEMVTYYEQEPSAVPASTPNVVHPLTSVPPSTPSPPPSPPPSYIRSSTPCPRPSPMISYVMPPPPPPPPPSGGITEFFRTFIHRKPKITGHIRRFTYRELVSATRDFAEIQELREGAFVVVYKGTLMLEDGEGGKEVFVAIKRNIHDKSDEARTAFNNEIDIMSVLNHRNIIRLLGYCDDRKNLFLVYELAEDLNLEARLYGNGTSPASSLILNWRQRYNILIGIASGLDYIHNKCAKTILHRDIKPGNVMLTMDFNAKLCAFGLVTQLTHEVTSRSRDVVIGTQGYMDPAYQRTGKVTRESNVYSFGVLLLEVVCGVPPILIDNDGKNSLVEMVRACEGRNAILDAASRQLRGEFDDEIKIVLLVGLSCVHARRGDRPTMQIVLAQLARTSHNCHTGTVDVDVSAKTPNTGHVPRFSYRELASATHGFADNQKLGQGAFGVLYKGTVMLHGEEVYVAIKRNITAVSDEMRNAFKNEVEIMSLLNHRNIIHLVGWCDERNSLLLVYELVQNRNLEAWLYDHGGIGLLLDWRQRYNILVGIASGLEYLHDRCAKTVLHRDIKPANVMLDMDFNAKLCDFGLVTQLSRATTTRLTNNIIGTQVYMDPLFQQNGKVTRESDVYSFGVLLLEIVCGVAPILIGNPPENSLIKNVRECHSKEAILDAAHRRLRGRYDEEIKGALLIGLHCVEPNRGDRPTIQIVLSKLVSLTASHVLI >SECCE6Rv1G0377300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:980009:985702:1 gene:SECCE6Rv1G0377300 transcript:SECCE6Rv1G0377300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRESRGTERGGELDEIPVDHISRLPDDLLCAIISLLPTKDAGRTPAVSPRWRNLWRSAPLNLVIHSPVNPHSSSSVDPSAVSTIVSKHLGPTRRFFFRGGVLSERWFQSRALANLQELDIKFLSAGPDYRCPLPPSALSSPALLVVKLGYCRIPNEIQTSMDFPLLKQITLLDVSISGDVFHGLLSACRTLESFYMSNVHAGGCLRVCSPTLRSIGFSKEYGGKSELIIEDAPLLVRLILPCTYSRDGGDKCLAIRVVRAPKLEILGPLFLVISRTLVSQGISPGSSANSMRTVKILSLRCSGQELNAVLNVLRWFPCLEKLYIVFHGQREVDKNNEPPYSGLHPVECLQDHLKTVVFKAFVGNDRQVYFARFFVLNARVLKKIEFEGWFMEYNSTSLGYLHNQLHAENRASQDAKFEIRQYINTTGYHLQEQIHDLSVADPFRTAI >SECCE2Rv1G0096700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:442891871:442895527:-1 gene:SECCE2Rv1G0096700 transcript:SECCE2Rv1G0096700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCRLLLAAVALLGAVAAAVAQQPLASPSDLAGLYSLRASLGLRAREWPARADPCTAWAGVVCRAGRVVGVSVAGFRRTRLASLSPAFAVDGLRNLTALELFNASGFPLPGQVPAWFSRGLPPPLAVLDLRYAAVNGTLPPDLGSSGNLTRLLLSGNSLSGQVPETLLSVKGLRVLDLSTNNFTGELPSVSVSAGDVASSLFNISGNSLYGVATDAIAALKTRFRLVDVSSNYLNGNWNGSDATVDISVNCFSGVSGQRRRVDCEDFYRREGVRLVEIPVPAPLPQPSPEKRRGISKNVLIGVLAAAAALMVMFLVALLFCLMRRRQRGGGRGVETNEEGARGMRRRDSSVNPVASSPVGLSPRADATPKDAIAVFGELTYEQLVHATGGFGDDNLLKHGHSGDIYHGVLENGAHVIVKKIGTKSINKVSSELDFYSKYSHERIVPLLGHLANNDEEFLSYKYMPKADLTNALHKKPVDTEDGFHSLDWITRLKIATGVAEAMCFLHDECSPPFVHRDIQASSVLLDDKFEVRLGSMSNICAQQSAGSQNVFSRILRSSKSLDKNTSGPPATCSYDVYCFGKVILELVTGNFGVSGSNDAGSEEWLANTTNRISISDKDSITNIIDPLLVVDEDHLEEVWAVAIVAKTCLNSKPSRRPSARYVLKALENPLRVIRAGSRSNSARLRSSSSRSSWQSAFLQGNRYQSYEVMSPSGRMLDRRGSVRSHISGGEASSSFKRSLREIAPDPQVLDEDVAV >SECCE1Rv1G0012170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:88877609:88879732:1 gene:SECCE1Rv1G0012170 transcript:SECCE1Rv1G0012170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMECNEASESTSSPPSSSMDSCGAGGPRATVSTASSCYRPAIESTDLHLGLSLRSCYSSFHTADSVSASTPRSTLTRTTTTRPTTQAHERGGGGHGQQSLFVKVYMEGVPIGRKLDLLLLDGYDGLLAVLGRMFKASIIHPDTVGREHQAVLGEKQARHVVTYQDQEGDWLMAGDVPWELFLAGVKKLKIAKVDSSISN >SECCE2Rv1G0135820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908862823:908865954:-1 gene:SECCE2Rv1G0135820 transcript:SECCE2Rv1G0135820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCCLLGFLLVVVLLRVRGAHALNQTCDADDLEALRAFSDGLDGKGAGAGLAGWGDGDGGSCCSWAGVSCDLGRVVGLNLSNRSLRGVISPSVASLGRLAKLNLSRNSFRGQAPAGLGLLAGLRVLDLSANALSGAFPPSGGGAGGFPAVEVVNVSFNEFAGPHPAFPGAANLTVLDISGNRFSGDINATALCGTVQNLTVLRFSGNAFSGEVPTGFGRCEALAELSLDGNGLAGSLPGDLYTMPELQRLSLQDNNLSGDLDNLGNLSQLVQIDLSYNKFTGFIPDVFGKLRRLESLNLATNGFNGTLPGSLSSCPMLSVVSIRNNSLSGEITLDFSLLPRLNTFDAGSNRLIGTIPASLAQCAELKTLNLARNKLDGEIPESFKNLSSLSYLSLTGNGFTNLSAALQVLQDLPKLTGLVLTNNFHGGEMPMDGIKGFKSMEVLVLANCALTGTIPPWLQTLENLSVLDISWNKLHGNIPPWLGSLNNLFYIDLSNNSFTGELPESFTRMKGLISSNGSSERAPTEYVPLFIKKNSTGKGLQYNQVSSFPASLILSNNLLAGPVLPGFSNLVKLLVLDLSWNNFSGRIPDELSDMSSLEKLKLAHNDLSGSIPSSLTKLNFLSEFDVSYNNLTGDIPTGGQFSTFANEGFVGNSALCLLRNASCFEKAQVVEAAHRKKSKASLAALGVGTAVGVIFVLWVTYVILARVVRSRMHERNPKAVANAEDSSSGSANSSLVLLFQNNKDLSIEDILKSTNHFDQAYIVGCGGFGLVYKSTLPDGRRVAIKRLSGDYSQIEREFQAEVETLSRAQHENLVLLQGYCKIGNDRLLIYSYMENGSLDYWLHERTDSGALLDWQKRLRIAQGSARGLAYLHLSCEPHILHRDIKSSNILLDENFEAHLADFGLARLVCAYDTHVTTDVVGTLGYIPPEYAQSPIATYKGDIYSFGIVLLELLTGRRPVDMCRPKGSRDVVSWVLQMRKEDRETEVFHPNVHDKANEGELLRVLEIACLCVTAAPKSRPTSQQLVTWLDDIVENRSLLIQ >SECCE2Rv1G0113540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:723508996:723515004:1 gene:SECCE2Rv1G0113540 transcript:SECCE2Rv1G0113540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAVPAIVDGGVQRANAPLLPETNLKGRRPPARQRRPSTVLPAVAWTALLLALAGLVVYRQGHDGGQAAAPGDQVAAAGRAMEVAASRGVVQGVSEKSTAPALLGGGAYDWTNAMLAWQRTAFHFQPQKNWMNDPNGPLYYKGWYHLFYQWNPDGAVWGNITWGHAVSRDLVHWLHLPLAMVPDHWYDINGVWTGSATQLPDGRIVMLYTGSTEDAVQVQLLAEPADPSDPLLRRWAKSEANPVLVPPPGVGLTDFRDPTTAWLNPTDKAWRITIGSKNQEHAGLALVYRTEDFLHYDLLPTLLHVVPGTGMWECVDFYPVSTEEPAAGVGLDTSAAPGPGVKHVLKTSLDDDRNDYYAIGTYDPGADRWTPDDPAIDVGIGLRYDYGKFYASKTFYDPVGRRRVLWGWIGESDSERADILKGWASLQSIPRTVLLDTKTGSNLLQWPVVEVENLRMRGKRFDGLDLQPGSVVPLDVGKATQLDIEAVFQVQAGASAAGSVAAGAEAPYNCSASAGAAGRGLLGPFGLLVLADDGLSEQTAVYFYLAKGADGKLSTHFCQDAFRSSRANDLVKAVYGSFVPVLDGEDLSVRILVDHSIVESFAQGGRTCITSRVYPTKAIYDSARVFLFNNATNVNVTAKSIKIWELNSAYIRPYPDSS >SECCE5Rv1G0368330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:828246171:828247259:1 gene:SECCE5Rv1G0368330 transcript:SECCE5Rv1G0368330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATMAWTAGLVGAGLVYWFVWVMGAAEVKGKRAVDLKMGSITRDKVQDKYTQYWSFFRRPKETASTQASADKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHRDATRVHEERVADLLGAGPGHRVLDVGCGVGGPMRAIAAHSGARVVGITINEYQVNRARAHNRKAGLDAQCEVVCGNFMAMPFDDASFDGAYSIEATCHAPRLQDVYGEVYRVLKPGRLYVSYEWVTTPLYRADDPAHVEAIHGIERGDALPGLRRQDEIAAIAKEVGFEVVQELDLALPPSLPWWTRLKMGRLAYWRNSLVVRVLTLLRIAPKGVVEVHEMLYETAQHLTLGGETGIFSPMHMVVLRKPAAE >SECCE4Rv1G0289720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:867327172:867327738:1 gene:SECCE4Rv1G0289720 transcript:SECCE4Rv1G0289720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDKSGGCKAASLILRIATVALSVASTVMMASATQPADAGSTVPVSKVSYSDYNSFRYSLAANVISAALQAVAVYLTTVHGGRKQEAKAAQSLAELVDTAAQVLLYSSSALAFAVGDFGSCGGRCPAVCETAGAFCWRVRVSGAISIAAAVALSVSLYIKDVPISVSLDGDEHKSRPGCGRGCHCHH >SECCE3Rv1G0197370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:817712439:817716015:1 gene:SECCE3Rv1G0197370 transcript:SECCE3Rv1G0197370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILIGNKADMDESKRAVSTAKGQALADEYGIQFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDRTIKINKTEGSENPESQKSACCGS >SECCE6Rv1G0436100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:776402881:776404680:1 gene:SECCE6Rv1G0436100 transcript:SECCE6Rv1G0436100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCRLRLGVGARYLTTAAGAAEAFASTPRKITADYNRLLAGYAREPGGRLGHARHLFDRIPHPDVVSYNTLLSCHFAAGDVRGARELFSAMPDTDVASWNTMVSGLSRNGAVGEARALFLAMPARNSVSWNAMVSGFASSGDMGMAEECFRDAPDKEDAVLWTAMVSGYMDAGDVDKATEFFQGMPVRNLVSWNAMVAGYVKNSRGADALRVFKTIVRDADVRPNESTLSSVLLGCSNLSALGFGRQVHQWCIKLPLSRRITVGTSLVSMYCKCGDLEGACKLFSEMRTRDVVAWNAMISGYAQHGHGQEAINLFEKMKAQGVRPNWITFVAVLTACIHTGFCGFGIQCFETMQEIYGVEPRADHYSCMVDLLCRAGLLERAVCLIRSMPFEPHPSAYGTLLAACRVYKNLEFAEFAAGKLIQQNPHSAGAYVQLANIYAAANQWADVSRVRRWMKDNAVVKTPGYSWVEIKGVIHEFRSNDRLHPQLRVIHERLDRLEERMKAIGYVPDLDFVLHDVDESLKVQMLMRHSEKLAIAFGIVSTAPGLTLRIFKNLRVCGDCHNAAKLISKIEDREIILRDTTRFHHFKGGHCSCGDYW >SECCE6Rv1G0399260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:434223664:434225802:-1 gene:SECCE6Rv1G0399260 transcript:SECCE6Rv1G0399260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGEVAANGNSVCVAILHADPLNWAKAAEELTGSHLDEVKRMVADYRKPVVTIEGASLSIAKVTAVAAAGEVVVELDESARARVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDAHVLPAATTRAAMLVRINTLLQGYSGIRFEILEAVAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENSVAIGPDGKKVNAAEAFKIAGIHGGFFELQPKEGLAMVNGTAVGSALASTVLFEANILALLAEVISAVFCEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGDLDPPMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDLYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGGLHVARFCEKDLLQEIEREAVFAYADDPCSPNYPLMKKLRGVLVERALSNGMAEFDAETSVFAKVALFEEELRKTLPLAVEAARAAVESGTAEMPNRIIECRSYPLYRFVREKLGTVYLTGEKTLSPGEELNKVLVAMNQGKHIDALLECLKEWNGEPLPIC >SECCE5Rv1G0319170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:371527338:371527790:-1 gene:SECCE5Rv1G0319170 transcript:SECCE5Rv1G0319170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDMIDWLIWVILLTFAVAAVLSAAVVLALVIAEVVRHVRQRCKWLSIERLLESIPDVAYKQMPDRDGGCPSEEEGKELRRSQSSCVICLAQYEGGEQCSVLPGCGHVFHRGCVATWLHTTHNTCPLCRATIAAGAVARKDNAAEDMV >SECCE6Rv1G0437850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786464485:786464982:-1 gene:SECCE6Rv1G0437850 transcript:SECCE6Rv1G0437850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLAAMLLLALASAVIVTAQNGADDMLNAHNEVRAAVGVGPVTWDPIVAAYAQSYAEKRRTDCKLVLSPEVRPYGENLFHAPGTDWKAVDAVIYWASGKQYYDHATNTCSAPTGESCDEYLQLVWRDTKAIGCGAVLCDGNAGVFIICSYSPPPMVGQVPY >SECCE5Rv1G0332320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:546858290:546866427:1 gene:SECCE5Rv1G0332320 transcript:SECCE5Rv1G0332320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRGRSQRRHFKQERENVWKDNPRRPLASAGEGGEGNGWQPFATENLAFEAYYKGQQIVPEEEWDAFMSMLRKPLPAAFRINASSQFCQDICSQLENDFRKSLETEVNDDHEKEAIRPLAWYPGNLAWHLNFSRMQLRRNQALESFHEFLKQENEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSTKPGVLPTAMVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCSLAKFCPETHIEESKAQRLEFDRILCDVPCSGDGTVRKAPDMWRTWNIGMGNGLHRLQVEIAMRGIALLKVGGRMVYSTCSMNPVENEAVVGEILRRCGGSVELLDVSNELPELIRRPGLSTWKVRDRASWLGSHKDVLHYRKNAILPSMFPSGKATTDNCMEGGSVEVNIDAVDADMNESGDMVEGKQETKIATDDSNNGDNGNTEEIKQDESKSVKVSRGSEEKTDSTSIVTEHSNLPLHRCMRIIPHDQNSGAFFIAVLQKVSPLNESQVVEVMKGERSTLKDQALKCSNGQGSDKVPAEEISVQRPGVDDSHALVGQQNRDMDTEISKDKSSEEAKVIAGDVQNDQAPTREKRKTQNQGRWRGVDPVIFFKDEATIRSIVSFYGIKDSFTLEGHLVTRNPDTNHVKRIYYVSKSVKEVLDLNVKVGERLKITSLGLKIFERQSSKEGSPCTFRLSSEGLPLLLPYITKQILYASAIDFQHLLQYRIIKFPDFVDAKFGQQASALLQGCCVVILREGHEDLESIGMDPSAIAVVCWKGKTNLCVMVTPMDGRELLDRISFRFGLKIPKVDDGKPDLKSDDGSDEQPDGGAETVDPDCVPESKASEDMDISDVKDAE >SECCE5Rv1G0332220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:545686490:545687103:1 gene:SECCE5Rv1G0332220 transcript:SECCE5Rv1G0332220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAALLAVIVVAGCAGMAAAVSYNVGDTKGWVTGVDYSGWTSGKSFAVGDTLVFSYASKVHTVTEVSQGGYTSCSGSNALANDDSGATTVTLKTPGTHYYICNIPGHCASGMKLAVTVAGGGSSPGGSTPSGASAGGSLAPAMGVVVAAAAGALLKVALF >SECCE7Rv1G0501930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:691451064:691453600:-1 gene:SECCE7Rv1G0501930 transcript:SECCE7Rv1G0501930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRNRTYASGSNKRKKKNAADKLVQSQRGHIHIYYKPISTTSINPGDELAIVAIEEEQQTNVNSESDQQEENVDTNIDDNNVSDSENVGNSSDAQEQSPSVDEPFYTPDIYDPRNWDNLDNKARDVLVEKGPMREEKDKMEYRVDDAGRHFSYAHYHRKLSNGEKHDRKWLVYSEGVDKVFCFCCKIFNEKLKEHENSVDHINNMNKWNELRIRLRKKETIDKELQHQITKEKERVRQVLLRIIAIQLYKDDNGNFLACVEMIAEFDLVMQDHLRRIQNKEIHYHYLSHKIQNELISLLAAEITCSIIKVVKEAKYFSVILDCTPDVSHQEQMTFLVRCVNLSDGKIKIEEYFLALLDVNPRALYMPCACHSLNLTLCDMRIYILFAGSTKRWNVLLKHVPSLTVKSLSNTRWETMELRSALSELRDASDTEPKDKSDAKNLFDALGRFEFLVVSNMLQSSTMCIDSALKQIKGITEYFEKYRDDGFSSSLTIAKGIASEMGIDPVFPMKRQGFSCQIFFVLVDMAISSLKDRFKELMVFKDIFGFLLSSGTLKSLSDNELEECCTKFAKTFSLDGSSDVEVYDLISELKIMRFTLPNGVMSAMEIFGHVREVDCYPNISIAYRILFTVPVTVASAERSFSKLKLLKNYLRSTMTQKRLNGLATLCIEKKLLDEIDIDPIISDFASRNVRRNF >SECCE2Rv1G0127020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:850406445:850409233:1 gene:SECCE2Rv1G0127020 transcript:SECCE2Rv1G0127020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSAAVLLAAFVALLLVSAVRGGDDDCGSPESAAQDRARANPLKIAAFFSILVCGALGCSLPVLGRRVPALRPEGDVFFLVKAFAAGVILATGFIHILPDAFENLKSPCLLLSGGPWQDFPFAGLGAMVGAIGTLVVDTVATGYFTRAHLNKDEDNGAISSNSAVVDEEKRAAAEEARHHDGEELEVHVHTHATHGHAHGSAALVAAVGGAEDEKDTIRHRVISQVLELGIVVHSVIIGISLGASQDPKTIKPLVVALSFHQMFEGMGLGGCIVQAKFKARSIS >SECCE6Rv1G0410960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:604480817:604481104:-1 gene:SECCE6Rv1G0410960 transcript:SECCE6Rv1G0410960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYDYRALPQETTVEEFRAWLAQFDADGDGRISREELREALRSLDLWFAWWKAREALRDADANRNGLVDGDEMARLYAFARNNLHLKAADLD >SECCE3Rv1G0206740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:910616230:910617990:-1 gene:SECCE3Rv1G0206740 transcript:SECCE3Rv1G0206740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPPPLALSILLSRLRTCGSASHALQCHALLLTSGHLAASPLRLSNLLLLALASASAVAHADAVFARLPSAASAHAFPWNTLIRLHTPASPRRALAYFARMRRGAVDPDAYTFPAVLKACGCAPGCGVGLLVHAEAVRRGLDRDLFTRNALVSFYCRTGDCRSGRRVFDDGARDLVSWNSMVAGYVGCGEVELAQELFDEMPHRDAFSWAAMIDAYGKRSGGVDRARELFDQMPERDLVCWNSMIDGYARQGRMDEARVLFDEMPERNVISWSIVVDGYVRCGEPSEALELFRRMLRCGIRPDRVAAVGAVTACAQLGALEQGRWLHSYLEKKKILFDVVVKTALIDMYMKCGRLDLAMLIFESMPDKTVVTWNVMIVGLGTHSCGLDAVKLFCQMESEGAPMDDLSVLAVLTACTHAGLISEGLQIFHRMKKDFGIDPKVEHYGALVDLLGRAGHLDQARHAIETMPMEPTPELWGSLLAACRSHRCVELAELSVERLASLGADDSGVYVLLSNIYADEGMWDGVSRIRRLMSAEGMKKDIGRSVIEADGQIHEFVNGGSSHPDKDEIYMMLWNLSNMVASA >SECCE7Rv1G0501500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:683735385:683735669:-1 gene:SECCE7Rv1G0501500 transcript:SECCE7Rv1G0501500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPAVSVSMAKANGGTAAVGGQKQQQKPERGTFSCGFQMPLHYPRYRKADYEAMPEWRVDCLLREYGLPVTGDVEEKRRFAMGAFLWPGQY >SECCE5Rv1G0355770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:734127712:734141098:1 gene:SECCE5Rv1G0355770 transcript:SECCE5Rv1G0355770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGKPVVLAVNGARHEAAGVDPSMTLLEFLRTRTPVRGPKLSCGEGGCGACVVLISKYDLTTDKVTEFSASSCLTLVGSLNHCSVITSEGIGNTRDGFHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKTGDPAPPLGFSKLTCSEAEHAVSGNLCRCTGYRPIIDACKSFAADVDLEDLGLNSFWKKGIDRADVGKLPGYSSSAVCTFPEFLKAEIKGQTNDVPAATYDGWYHPKSIQELRNLFDSNWFDENSVKIVASNTGAGVYKDQDLYEKYIDIKGIPELSVINRSNKGVEIGAAVSISKAIEVFSDGTPVFRKIAGHLNKVASPFVRNTATIGGKVIMAQRLPFASDIVTVLLAVGSTVTIQAASKMLCLTLEEFLEQPPCDAKTILLTIFVPDWGSDNVIFETSRAAPRPFGNAVSYVNSAFLARTSGDAASGELLIEDICLAFGAYGVDHATRAQKVEEFLKGKPASAPVILEAVQLLKDVISPSEGTTHPEYRVSLAVSFLFSFLSSLSNNPNEPAKSIAPNGSCAESSPEKHDEVASDGLPIRSRQELVFNDEYEPVGKPITKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKGVNFKSSLASKKVITVITAKDIPAAGRNVGSAFPMLGDEALFGDPVSEFAGQNIGIVIAETQKYAYMAAKQAIIEYSTENLEPPILTIKDAIQHNSYFPVLPFLAPQPVGDFDQGMSEADHKILSGEIKLESQYYFYMETQTALAIPDEDNCITVYSSAQLPEITQNVVADCLGIPYHNVRIITRRVGGGFGGKAMKGSYVACACALAAFKLRRPVRMYLNRKTDMIMAGGRHPMKVKYSVGFKSDGTLTALYVDLGINAGISPDLSPLMPGSTIGALKKYNWGALAFDIKLCKTNVSSKSAMRAPGDVQGSFIAEAIIEHVASVLAANPNAIRRKNLHSVESLTKFYGDAAGDAPTYSLVDIFDKLASSSEYRSRAEAVEWFNGGSRWRKRGISCVPITYEVSLRPTPGKVSILNDGSIAVEVGGVELGQGLYTKVKQMTAFGLRELCPDADGLLGKVRVIQVDSLSIIQSGYTGGSTTSETSCEAVRQSCAVLVERLKPIKEGLEAKSGAAAPWSALIAQATMASVNLSAHAFWTPDPAFVKYLNYGAAVSEVEIDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYTTNADGLVVNDGTWTYKIPTVDTIPKQFNVELISSARDKKRVLSSKASGEPPLLLAASVHCAMREAIRAARREFSISSPLTFQMNVPATMADVKELCGLDVVERHLQRLPSPAAKT >SECCE1Rv1G0060200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709468985:709470791:1 gene:SECCE1Rv1G0060200 transcript:SECCE1Rv1G0060200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFALGLTKTAVEGTLSRVQLAIDEENKLRVTAKQDLRYITAEFQMMQSFLKVVNKERANNEVVKTWVKQLRDLAFDVEDCVEFVVHLDNNNSALIWLWRLVPSCMAPPEVKTSHLEVADLKQLKARVEEVSQRNTRYNLISDSGSQAKTTMPTEQAALVTTNPSAFHMLTEVWEASGKRRGMSDLQKLIMTAGSDLQMISVWGSTEADHGTASIFSMLYGDPEICRAFRRRAWVKLMHPFKPDEFLKSLLTQFYVSSHQENIDDVDHLTKAELIQQVKAHKYLIILEEVFSVVDWDAIRVCLPDNENGSRIIVSTNQLRTALLCTGGTYQVSELRRFSDDQSLCAFSKKRSSTAY >SECCE1Rv1G0059060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703699495:703700238:1 gene:SECCE1Rv1G0059060 transcript:SECCE1Rv1G0059060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIPCSIKTLKGLYDISGVEVGQHFYWQIGGFQIHAQVLITSWVVITILLGSVVIAVRNPQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIELPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLSYFEKYIKPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVIPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >SECCEUnv1G0533810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:33274272:33275726:-1 gene:SECCEUnv1G0533810 transcript:SECCEUnv1G0533810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAQLKRLYQLAVSNIVAIVAVPFAASALLKAAELGPEELLARLRALRPVHMFLAAFVPAAAAAVYLKLRPRAVYMVDYACFRTSPSCRVPFAAFQEHARVWPGFNERSVRFMSRLLERSGLGEETCLPYAQHYIPPSRDLESSRAEVELIVFSAIDDLLAKTMVAPEDIDILVVNCSLFAPTPSFADMIVNRYKLRKDVRNVHLAGMGCSAGLISVGLARNLLQVAPRGSNALVVSTETITPNYYMGEERAMLLPNCLFRMGGAAVLLSTNGANARFRLARVVRTLTGAQDSAYRCVYQEEDERGNVGINLSKDLMSIAGDALKANITAMGPLVLPASEQLLFALSFIARKVVSRGIKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYVEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIRPPAAPAGADGPWAACIHRYPVDIPDVLKH >SECCE3Rv1G0188710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:717894610:717895206:1 gene:SECCE3Rv1G0188710 transcript:SECCE3Rv1G0188710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARCLLVCIALVVLLSLGCLPSSSSAMGLPRPPPNVNFTIGVEGAVWCKGCRYAGYVESKNASPLQNAPALLRCRRGQWALSMWGGTDERGYFQIQTAQQSAPFTSKDCKVYVLGSPVRACGVPVKPRRNKGSPLKFRKFVTLPDGLQALYTAGDFVFGPRKPGKC >SECCE3Rv1G0162610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:134225814:134245267:-1 gene:SECCE3Rv1G0162610 transcript:SECCE3Rv1G0162610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISYEMGGGPTVLQLYKWKSLHPCLELSKFREAFISPTRRLFGLLSEHGDLVLSTVNVQPSEAESPVALSYSSLPVFEHFSSIPRVKSLAWGHCCDASSQLEVPAFSEFLVLSSDDSITVHAFCHSDKSAVTVNCDTEELHGEWKEWFPTKCSVSEDGESAASNCFRSFLTTISASVCNGKYQARFPLKSSLPHSAEVVSFSIYDITMSFLKFWLSSCAMKTRMETDSGSPEDLPSHVPVAEESCSCQWECLKVLPSSSGYLTGLVLTPNESVNCEVHQRNAKDILVAILELNHWGIQWNLVVDLQTAYDDVGPNPQWVDFQLSDIFLASLNAVGFVAIWNAKTGHPISSFSVLDRCRIDLEMPLPTVTNVGESTCVGNVVGRMFKRLVLAPHSPLVAAVDEVGVVYVFYADDILNFKANAHEKFDQPSINHFGDNFAAWETAGHEIGSQTFCSHQPIRQGSLNPDKMVYAFSDRDNASVVRARKRRKYCKCNENQVDSWPSGFSTTSQMKDVVTYPSTIAASAHVRRVVLPPCRSQENVTSLSPFGLTRIFRSCDAEGNKHVKIIHTKLLMASCSLDERDIDAGFLDRSLPYQKEFSFAGESAICSFQGYVYLISQDNLSVVLPSVSVSSFSSRIDATQFWQPGFSGGSACNALNLLSVNRLRTRLEAWQIEVLDKALLYEGPSLADRLCWENGWDMKISRLRWVQLSLHYTNINDLEQSLNMLAEVDLAEEGVLQLLLASVYRLLCSTRSDHEAAVSSKLMVLAVRFATRTIKAYGLLKQKKDMPDNSVKLHEMAFLLGVIRSIQGRITAKNQNSIRTQGDDKNSLKIGKEVLQNDSPLPVVVVDGVSSGLSAGLDAPDRQGSASTAFEFVPGSNRLLALTPVESSLTTHDNDTDQRTTQVGRPVTQGNIKDMMNRWEMNKFDLKTIVGEALQSGRLPLAVLQLQLLRQRESCSGDDFDDVFSEVHEIGRSIVYDLLMKGESGLAVATLERLGDDIESDLRQLMLGTVRRSLRLQIAEEMKQRGYMRSNEWKMLETLALIERFYPSSSFWDTYLGRENVIHDAVNIVTLPGEDKPVLALHIRNHPAIECGDVDGAVLGSWVNVNDYADLKEFSQSNLSDGYWACAAVWSDAWDQRTVDRIILDQPCHISAQSDLPWESQFEYFVAHDDVGEVCKLLDMIPDSVLLEGILSINVDNSRAGYSIVSDVSVPDYKMYICDSEELEPVCMEVPHVKVFRSLSNHESTSWMRMLMQEQLAKKHIFMKEYWQSTTEIIPLLARAGILTNTAKIGPKKEASMPLIASEMPDDERHQACERALHKLVIRFCVQYDSPYLLDLYLDNCNLILGEDSIPLLKEAAGDCKWAQWLLFSRVKGYEYEASFSNARWNLSQKMVNHGNLTAIEIDEILYTVDDMAERIGEMSALATLMYASLPIQKSICTGSVNRNRGLSSQCTLENLGHCLQQFPTLWKTLRSTCFGQDGYGCLNYSPTNVSGRSAMSDYLCWRYSIFSSAGGDTSLLQMLPCWFPKSIRRLIQLFEQGPFGMQLLSSAPSSEELFTHGVTDYIYNTTGYSETNALSLEASIQKSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRASQLKSANARQVISTQSDVQADVQLILAPLSQTERSVLLSVAPLAITNFEDSTLVASCTFLLELCGMCANMLRLDIAALQRISSYYNSAQQNKQSELSSPRSSGLHVLSHGADIAPALARALAEDYVQSDHLQILEQKQTSRGPKREQPSQPLIAILEHLERASLPLLDEGRTCGFWLLSGIGDASLYRSQQNEASQHWNLVTEFCLAHHLPLSTKYLALLANDNDWVGFLTEAQRAGFPIEVVIGVASKEIKDSRLRTHILTVLKNTLSNRRKSSSNIPSGSRDPSFLSVDGDNPMELFCILAVCEKQKNPGEALLNKAKQMQWSLLALIASCFPDVTLLSCLSFWLEITAARELSLIKVDGISSKVAKNVGSAVEVTNKLPSVSRNVEYRYNRKNPKRRRFLEASPDSFKSVFSLDIASGPNGPATSNPSDIDAQQERRKATSEETEIPVIDERLASLSSIVAVLCEQQLFLPLLRSFDLFLPSCSLLPFIRSLQAFCQMRLSEASAHLTSFSARIKDEASQSNSFKEASSITGWVVATAVKAADAVLSTCPSLYEKRCLLQLLAAVDFADGGSSSAYFGRSYWKINLAEPSLCKDGDIYKWNDSMDDASLLAALEKDGRWEDARTWARQLESSDIAWESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFMRYSLPPLQAGLFFLKHAEALGKEIPARELHEILLLSLQWLTGTITKSSPVYPLHLLREIETRVWLLAVESETHSKADGESSVVSQSLAIGNGTSIIEQTADVITKIDSSMSLPSMKAAERNGMRDNNLSHHQHLHLFEYNSEATTTTNNARAKRRGKTNLPLRRGVNDNGESSTNDSDDNSKVFFRSKIGEQARNLLSEEEFAKMEASLSGWEQHVRPADMEKAVLSLLEFGQITAAKQLQQKLSPSYVPEELVLVDVALRVANNGGDGEINLLSFDTEALSILQSLQIASGSNMIDPSQAMEKLAVKCGEGRGRALIRRIIAVVQTAKILGLPFSEAFEKQPIELLQLLSLKAQDSFDEAKFLVETHIMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVELLILSHHFYMSSSCLDGVDVLVTFAANRVDSYVSEGDFSCLARLITGVSNFHSLSFILSILIENGQLELLLQKYSSTDTATVAPASVRGFRLAVITSLKHFNPNDDEALSLVYKHFDMKHEAASLLESRAEQYMESWLDRHDKERRNDELLKAMHNLVQTAEILSTIDAGQRTHRACARASLLSLQIRIPDLVWIGLTETNARRIFVDQSRFQEALIVAEAYSINQPMEWAPVFWNQMLKPDLIELFVAEFVLVLPLHPPMLVELARFYRAEVAARGDQSHFSVWLSPGGLPAEWGKHLGRSFRSLLRRTRDMRLRLQLATLATGFSDVLEGCNAVLDKVPENAGPLILRKGHGGAYLPLM >SECCE6Rv1G0444140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826872257:826875166:-1 gene:SECCE6Rv1G0444140 transcript:SECCE6Rv1G0444140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICYDMVRRSAPVWTCGSCFSIFHLPCIRRWARSPASASDDPASDAWRCPGCQSVQGVPARDIAYTCFCRRRRDPPNDLYLTPHSCGEPCSKPLDRADPAATKGDADHDVATRCPHLCVLQCHPGPCPPCKAFAPERPCPCGKQSIIRRCADRSTPVTCGQRCEKLLPCGRHRCDKVCHTGPCGECEVDYPALCFCGKKTETLLCGEMVLKGKLSDKDGVFSCSDVCGHNLACGNHACQDVCHPGPCGECELVPAKVTTCHCGKTRLQEKRASCLDPIATCDKVCDKRLPCGLHRCKGTCHEGECPPCLVRVEQRCRCGSSGQMVECYKVSKEEFRCNKPCGRKKNCGRHRCSECCCPLSKPFAQHQGDSMDPHFCQIPCGKKLRCGQHGCQHLCHSGHCDPCRETIFNDLSCACGRSSIPPPQPCGTPTPSCPHQCIVPQPCGHPASHQCHFGDCPPCVVPVMRECVGGHVMLRNIPCGSKDIRCNQPCGKNRQCGLHACARPCHPSPCDPPPANGEASSSSGGKVSCGQLCGVPRRECKHTCNAPCHPSSPCPDVRCEHRATITCSCGRITTTVPCSAGGAYNGDSSFDISVMQQLPMPLQPVESNGKRVPLGQRKICCDEECAKVERKRVLADAFDITPPNLDALHFGENSNATDLLSDLFRREPKWVLAIEERCKFLVLGKTRGNSSSNIKFHVFCPMLKDKRDAIRLIADRWKLSVQAVGWEPKRFITVHVTPKSKVPARVLGSKPGVPVSAAHPYFDPMVDMDPRLVVSMLDLPRDADVNSLVLRFGGECELVWLNDKNAVAVFSDPARAATALRRLDYGSAYQGAAMFCPSSITQASLSSNVWVGAPRDGAAVSSASPWKNASPSELKSNPPAMMTVLGRAPASAWKRDEAAAQVMGANRWNALESDAATSSGATDKHKPPPLRTDTGSSTSAPRVGAEPSAAQSVSKLQPDIEVEDWEEIV >SECCE6Rv1G0407190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:554947214:554950037:-1 gene:SECCE6Rv1G0407190 transcript:SECCE6Rv1G0407190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPPIDWEAESHPAYADFAALPFFAAFFLAARFLLDRFAFERLARRLIFGKWDARLGSETDAERTKIRKFKESAWKGVYFLSAEFLALAVTYNEPWFTSTINFWVGPGDQIWPDQTMKFKLKGVYMYAAGFYMYSIIALLFWETRRSDFGLSMTHHIASVFLIVMSYIFRFARVGSVVLAVHDASDVFLEVGKISKYSGRQLLADISFLLFVISWVVLRLIYFPFWILWSTSYEIVHILNKERHKFYGPIYYYVFNCLLFSLLVLHIYWFVLMTRMLVKQIQSKGHVGDDIRSDSESEEEHDD >SECCEUnv1G0533950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35362380:35366559:-1 gene:SECCEUnv1G0533950 transcript:SECCEUnv1G0533950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLPPEQRTLRAALDQRGLAEPLLSSFDWGHGGPNAYSHYEARRGGDGPLRRAAGAVRAAGRELWAFSRKDPRKPVYAAKVATALTLITLLVFLREPSDIVSHSVWAILTVVVVFEFSIGATLSKGLNRGLGTLTAGGLALAVAESARLMGELDIVFLIIITFAVGFGTTLIKVHPKMKPYEYGLRVFLLTFCYVTVAGYNTGEFVGGTAVSRFLLIVIGAAVSLAVNIGIYPIWAGEDLHHLVAKNFAGVAESLEGCVNGYLTCMEYERVPSKILTYQASDDPLYSGYRAAVEAQTQEETLLGFAIWEPPHGPYKMMKYPWESYTKVGGALRHCSFAVMALHGCILSEIQSPPENRKVFSAELHRVGDEAAKVLRELGHRVKTMTRLSSPNILAEVHHAADELQKKIDQRSYLLVNTERWGEDAATCRRHEAGAGENDTPTPEHAVTINIPPLHKSESNTALARAAGAVSMPPLHHKQPEPSTTLARAASVSIPPLNKSESSTTLARAAGASIPPVHKSESNASLGRAAGVSIPPVHKSESNASLARAAGASIPPVHKSESNASLARAVVVGNMHKSESNASLARFDSAASWAAMSLADGLALKPQGSWHHRMPPFHPGLPLEAAESRTYESASALSLGTFASLLIEFVARLRNLVDAFEELSDKARFKDPVEEPCATSSSRKETGVIGRMSKFFRLKR >SECCE5Rv1G0354170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722203842:722204241:-1 gene:SECCE5Rv1G0354170 transcript:SECCE5Rv1G0354170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPTATTVALLLFLLLASSSLRAAMAGSAFCDGKCGVRCSKASRHDDCLKYCGICCAECNCVPSGTAGNKDECPCYRDKTTGQGARKRPKCP >SECCE7Rv1G0497420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:625389771:625394386:1 gene:SECCE7Rv1G0497420 transcript:SECCE7Rv1G0497420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASLAVSHHGLPAAPARSQPRLPARLVRLPRLRLRAAAVSSSSSPPSRCDRDRDRAAAGLERCLAATPAPASAAPEMKGGRQRGSFGAATLQKAKLDLSQKRLKGVQPELATGGGGGDNGKRIGYGGGNSGDDDGDDDDYFEDSDDGDEENGFFRRRIIIQELFKREFVDAVLQEWYKTMSNLPAGLRQAHEMGLVSSAQMVQYLSMFGRPTKARYFSRAFPDFFSRGLVGRMLADPSFLHKMTFELLATASTSVWWEMKNRKERFQEEWNLVFLNVVTATVCNLAVFCSLAPCRSYMIQRLPNNIFEKSYPMRQFGLLGRTQSLFSKAAELCLGGLVIGSIQGGLSNVLSDGRERRLSMTVPSISNNALSYGAYYGLYANLRYQLLCGLDRSMANHFDVLGVTIFFGTAMRLMNIQIGELSRRAWLGEGADTLNSDNLLRIYNGPAAELAIDQQTGWFMSKNAIVSGLELLGIRYGAAEDASPKLRRKRIVRKK >SECCE3Rv1G0143770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2138689:2142530:-1 gene:SECCE3Rv1G0143770 transcript:SECCE3Rv1G0143770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGQWAEVPVRVDWAAMAAQCAWAGEQTRSFLVVPAVRLLVLLSLAMTVMILLEKLFVAAVCYAAKAFGHRPESRYKWGPIVAPGCKTGGHDEEEDGIVVVGSSSSGSGAFPVVLVQIPMYNEREVYKVSIGAACALEWPSERMVIQVLDDSTDPVVKELVKTECERWKGKGVNIRYEVRGNRKGYKAGALKQGLMRDYVRDCEFIAMFDADFQPESDFLLRTVPFLVHNPAIALVQTRWKFVNSDKCLLTRFQEMSLDYHFKFEQEAGSIVYSFFGFNGTAGVWRISAINDAGGWEDRTTVEDMDLAVRTALLGWKFVYVGAVKVRSELPSTFKAYRFQQHRWSCGPANLFKKMLLHILKNKKVSFWSKLHLLYDFFFVGKIAAHTVTFIYYCFVIPVSVFFPEIQIPLWGVVYVPTVITLCKALGSPSSFHLVILWVLFDNVMSLHRIKATITGLLDTRRVNEWVVTKKLGDANKTEPAMEGLDDVQVIDVELSTPLVPKLEKRRTRLWDKYNCSEIFVGTCIIICGCYDVLYAKKGYYIYLFIQGLAFLIIGFEYIGTRPPSAE >SECCE7Rv1G0479000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:244799828:244803126:1 gene:SECCE7Rv1G0479000 transcript:SECCE7Rv1G0479000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGEASGRRAASCFFEVGKREIGSSSPRSSSCRISGSEGLIMRMNQYGKLRGHSGCVNTVNFNPAGDLLVSGSDDTDIILWDWLAKTKKLSYPSGHQQNVFHARVMPFTDDSTIVTVAADGQVRVGQLKQGGEFTTKQIGEHHDRAHKLALEPGSPHILYSCGEDGLVQHFDLRSESPTKLLTCYSFSHRRRRVRLNTIAIDTQNPNYFSIGGSDEYVRLYDFRKINLDSSSNMNIPVDTFCPKHLLMGGKVHITGIAYSYSSELLVSYNDELIYLFQNYMGLGPNPESTQPEHLDKLEQLQSYSGHRNFRTVKGVSFFGPNNEYVLSGSDCGHVFIWRKKGGELMRIMRGDKNIVNCIEPHPHFPFLATSGIDNTIKIWTPSSSKAMQLPQYANQVIASNKRQREFNASRGEVTLSSDVMMRIIRLQSRQSELYANHEPSAADFATGVDETFSIGLGDGDGNQRSNSDPRECIAT >SECCE2Rv1G0105780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:624912003:624916448:-1 gene:SECCE2Rv1G0105780 transcript:SECCE2Rv1G0105780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDRAARSATARLKENDTQSQSQYGKRQRTAAGPAPRPPLSTAPQNAAAPPPAEAPIEFAGREDVDALLNEKIKGKNKMDYKGKSEQMIEYIKKLRACIKWLLEREDANLAEIGKLNGLIDDADKHHAEIVAQLECKIQESVAMKEELQKQYASLGESLKKVEAEQMECLRSYGDEKEARIAAESSRNELSEELNRVKLEQKRLNDQIKMLQDTNKRLQEYNTSLQQYNCNLQADATKNAETIEKLQKEKNTMVETMNGLKDHSNSVKLQLDMAKSSQSEALKQKNNLLNEVEALRGELQQVRDDRDHKSAEINSLLSDLGAYKELTGKSSSELENVMIRCDALEETCSNQTEMIKTLQIQLASANEKLKRSNLTTMETMSEYESQKRMLEDLQLRLTEAEQKIVDGEKLRKKLHNTILELKGNIRVFCRVRPLLANESGAVSYPTNGENIGRGVELMHNTQPYSFAFDKVFDHSASQEDVFTEISQLVQSALDGYKVCIFAYGQTGSGKTHTMMGNPEFNDQKGLIPRSLEQIFQTSQCLMSQGWKYKMQASMLEIYNETIRDLLATSRTSTQDGAASKYNIKHDANGNTQVSDLTIVDVRSINEVSSLLKRAAQSRSVAKTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHIPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEVSSTSESICSLRFAARVNSCEIGVPRRQTQMRSLSQG >SECCE2Rv1G0067970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:21142295:21143797:-1 gene:SECCE2Rv1G0067970 transcript:SECCE2Rv1G0067970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPQRRGSKDRLSELPDGVVGHILSFLPTKEAARAAALARSWRHKFAYVDAISFGDYSAHYRSFSDDYTFRLESVERRSTNAELLDDVNGALLCRRRCSGDRNVAPRAFRLHFGCYHYWDEPMVSQWLSHLLRRSGPELHLDLRFQLTVMGEHHVGGPDQQGGASSSEEDNRCPVRYSSYKAYADPFTLPASLFSCASLRTLCLGSCHLDPPEIIRLPLLETLVLSSISECGRNYGIQRLISCCPRLVDLTLEMCGTSSITVLDKCLRRFALRCCHYLLSVTIDASGLKEFEYRGVVPAESVVTLHGALKTSSCRIGFCGKKVRDGDFPRFTMFLEQFTATRHLHLVSTHLGADIESESFMGFPSFPRLEKLELTGYLHQGSIEAVTRILEQAPHIEFLSLFMKPSKDDVWVHPGKLSDELVVPDVSIPCLWKRVREINLVHYQGHEAQRCMAKLLLCNARVLERVCVVFSRGPRELQRRLRKEIGELVVHRSAEMIFL >SECCE1Rv1G0029750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:419726908:419729007:1 gene:SECCE1Rv1G0029750 transcript:SECCE1Rv1G0029750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWTDDNASMMEAFMASADMPAFPWGAAATPPPPAAVPQQPAFNQDTLQQRLQAIIEGSRETWTYAIFWQSSTDAGASLLGWGDGYYKGCDDADKRRQQPTPASAAEQEHRKRVLRELNSLIAGGGAAAPDEAVEEEVTDTEWFFLVSMTQSFPNGMGLPGQALFAGQPTWIATGLASAPCERARQAYTFGLRTMVCIPLGTGVLELGATEVIFQTTDSLGRIRSLFNLNGGGGGSGSWPPVAPPPQEAETDPSVLWLADAPAGDMKESPPSVEISVSKPPPAQPPQIHHFENGSTSTLTENPGLSVHGQQPPPQQAAAAAQRQNQHQLQHQHQLQLQHQHQHQHQQNQGPFRRELNFSDFASNASVTVTPPFFKPESGEILNFGADSTSRRNPSPAPPAATASLTTAPGSLFSQHTATVTAPSNDAKNNPKRSMEATSRASNTNHHQTATANEGMLSFSSAPTTRPSTGTGAPAKSESDHSDLEASVREVESSRVVPPPEEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRGKMTALESDKETLHSQIEALKKERDARPAAPSSGMHDNGARCHAVEIEAKILGLEAMIRVQCHKRNHPAAKLMTALRELDLDVYHASVSVVKDIMIQQVAVKMATRVYSQDQLNAALYGRLAEPGTAMQIR >SECCE3Rv1G0172140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:285120129:285124830:-1 gene:SECCE3Rv1G0172140 transcript:SECCE3Rv1G0172140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRXIIF [Source:Projected from Arabidopsis thaliana (AT3G06050) UniProtKB/TrEMBL;Acc:A0A178VBA5] MASALARRAGGSPAAALWAAARGFASVGSDIISAAPGVSLQKARSWDEGVATKFSTTPLKDIFHGKKVVIFGLPGAYTGVCSQSHVPSYKNNIDKLKAKGIDSVICVAVNDPYVLNGWAEKLQAKDAIEFYGDLDGSFHKSLDLEIDLSAALLGRRSHRWSAFVDDGKIKALNVEKAPSDFKVSGAEVILDQI >SECCE7Rv1G0504680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:726276394:726277727:1 gene:SECCE7Rv1G0504680 transcript:SECCE7Rv1G0504680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNIDLGGLAGKPTTSQADPFQSALYGAGPGLIRSGLGAYSEKFLGSSSDFMQSNITQYLSNPQYYFQVNNQYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPVQDINAPDLYIPLMAFATYIVVAGYSLGVLGRFTPEALTIQFTKGLIGWFMQVILIKALLYSLGSGESPLLDIVAYAGYGFAGTSLAMLVRIFWSYSYYFVLPWFCICTGVFLVKTMKRVLQGASRTYERHPSRNHYFLLFLAAAQFPMLFWLGNIKG >SECCE3Rv1G0199020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:840966483:840970543:1 gene:SECCE3Rv1G0199020 transcript:SECCE3Rv1G0199020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRSATLSPAPAATPSPTAATLSPAAAATTPSSAAKRRMTARRAADSPDSPHFTSPHRSPLAGAGHFGAPKMLSASPKSSRKRLYGDLVPAERPKWNPRDPAQMQAVKEALHVATTPSCGLVCRDDEQRRVFEFCKSCVQQERAGSLYVCGCPGTGKTLSISKVKQSVSRWADEMGMETPDDLSINCTNLGNTSDIFGKILEKFQVRKKASGKLSPLQQLQRMFSHKESAPRRMLLIVVDEMDYLITRDRAVLHDLFMLTTHQFSRCILIGIANAIDLADRFLPKLESLNCKPLVITFRAYSKDQISNIINHRLKVLEYDVFEPMAIEFCARKVAAATGDMRKALGVCRSAVEIFELGLHDSSDKGAGVVTFDHMDIALSKVFKPAVVNSILCLPQHQQMVLCALANTFQHSRKKATTLGELNKSYIEICRSTQVPAVGMLEFSNMCMILSDQGYLKLGQSKEDKLRRVTLQIDISDITFAFKGSRFFQKCLEQPKF >SECCEUnv1G0531180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16339462:16341368:1 gene:SECCEUnv1G0531180 transcript:SECCEUnv1G0531180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAATVFRGCRALMSPAAAAPGGKKTASAAAAAAKGGKKPAPAAAKPKAAKKPVDPNNLRGIMRPVPVSDALRKFGGATHISRSGVLKIVWDYIKANDLQNPLNKREIICDEKLKSIFPGRDTVHMMEVTKLLSPHFVKTT >SECCE1Rv1G0027430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:382545341:382549898:1 gene:SECCE1Rv1G0027430 transcript:SECCE1Rv1G0027430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRGAGKAKAVFKLQFHATQVPELGWESMMVVVTPQDVGRPTARTERAEVADGACRWPAPIFEATKLPSGKAAAGDKIYQFLVYEAGSAKAALLGEATVNMAEYAEAFKPSAVTLPLKGSPAPGALLHVTVQRVVGGAGGGCGDDGSENGDAAKSSPRRTLQSQLSRCEDDEAEKARSFAADSMSPVHDGLVISKPPGMRFPLRRNMPASVEPASHLHNANGFDAVSLSGSDGSSGRFTPKTSANMHSTFLQDATNVLSPFANNGASRNPLSSGDWSGSSAPDASTDGSTSNSGETGLRGAEDDVEKLRSEIGTLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEMSSLRDERDALRRECEGLRGMKKTIHDANGSGKRLSDGEDPWSQVEELKQELGHEKNLNADLRVQLQKMQESNSELLLAVKDLDEMLEQKNRDMSVLQEEIVEDPQEAEYEHALSNVHSAGHKMDMSETSSYQEKEDELMLDALVKKSDGIASSELQEKILELSDEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVENLENELQEQSQRLEADIAEVLAAKVEQEQRAIKAEESLRKARWNNATTAERLQEEFKSLSSQVSSAFSANERLLVQARKEAAELQLQKSQLEELLQKAHEDSASVQEQHRVKIQQLLTLVDFKSNEIDRLVVELKSKSDEFENQKRSDESKLNDLSEEIEQLKAKIDKLSDERDKLVERNEQKDMELAANGEKDMVLQEKTAEITLLNKELALLKDQMQTYLEEINTLKRSKSERDETIGKLQITIGSLKLQYDNMKNSLSTKEAEKSNLASQVLKLRRALESREGAKENGVTSDTTENQHTNSKRIKHDTVSNGSGDAAPSANGHSNGHDTRGAAEQSSKELESLREMNKAMQEELNELHERYSEISLKFAEVEGERQQLVMTVRTLKNSLR >SECCE4Rv1G0291040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875184248:875184874:1 gene:SECCE4Rv1G0291040 transcript:SECCE4Rv1G0291040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEDSKSARVTDNLNSSLTIHQLTEEKNKLDADYDKLVKDVHQLVDMQQDRVVDFSYLQSNLTYQHQCRVELVAGMKAEMAKKDADREKLNQKYELLCNLTSAQATVIQNLKLKNLKEKELLSEARQNLELKNAEFTNFEEKLTQQKLELKFQVVDLLKGKEKLDEEKYMLHLKIGELMKAEEKLKEKIKGIQAILQN >SECCE3Rv1G0188550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:715359352:715360242:1 gene:SECCE3Rv1G0188550 transcript:SECCE3Rv1G0188550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein f [Source:Projected from Arabidopsis thaliana (AT2G42820) UniProtKB/Swiss-Prot;Acc:Q682H0] MGVLGALARHLDSLVGPGIMLLYPLYASMRAIESPSSLDDQQWLTYWVLYSLITLFELSCWKALQWLPLWPYMKLLFCCWLVLPIFNGAAYIYETHVRRYFKIGQYVSPGYSERQRKVLQMMSLDARKSVERFIETHGPGALEKIIQAAEQEAKRA >SECCE5Rv1G0371450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:847198214:847199647:1 gene:SECCE5Rv1G0371450 transcript:SECCE5Rv1G0371450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMEKKTSPLLAPYKMGDFNLAHRVVLAPLTRCRSYGNLAQPHNVLYYAQRAAPGVLLVPEACAVSEAARGYPHVPGLWSEEQVEAWKPVVDAVHAKGAVFFCQIWHTGRVSPTEFQPNGQAPVSSTDKQVPPEVAHDGSVLEFAPPRRLETEEIPHIVNDFRIAARNAMRAGFDGVEIHAGNGYLIDQFMKDGVNDRTDAYGGGLENRCRFAAEVIAAVCDEAGPGRVGVRLSPFADYVDCVDSDPEALALHVIGVMNGLGVLYCHMIEPRMCVNERMIPRRLLPFRKAFKGTFMVNGAYDREEGDRAVADGYADLVAYGRLFLANPDLPERFRRNAALNKYDRSTFYTSDPVVGYTDYPFLEPED >SECCE1Rv1G0005820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28391236:28401497:1 gene:SECCE1Rv1G0005820 transcript:SECCE1Rv1G0005820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVMAGVDGGGRSLDCRSFWKAGASDGPSAPIREFHDALETGDFDRARVHPKFLHTNATSHKWAFGAISELLDNAVDEICNGATFIKVDKITNAKDNSPMLVFQDDGGGMDPEGVRQCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAIVFTRAIRESKVTLSIGLLSYTFLRRTMKDDIVVPMLDFEVQDGKIAPLVYGSQGDWDSSLKIILDWSPFSSKEELLQQFEDMGSHGTKVVIYNLWMNDDGLLELDFDDDDEDILLRDQGQNSGASTKIQKEIIQQHISYRLRFSLRAYSSILYLKKFENFQIILRGKPVEQINIANELKFKKVVTYKPQVAHDSQVVSVKVDIGFAKEAPVLGIFGINVYHKNRLIMPFWKVLQEASSRGRSVIGVLEANFIEPAHDKQDFERTPLFIRLETKLKQIIVDYWKEKCHLIGYQPIDPKLRSQYKAALQDSGGGGGPRAKIRHEPSTAQKTGGHLSNLLPQTYDDAAALRLTANRAGSALHSSGQAQEDSMDSAGLEEDLVDIDSLGVLDPSCNEKLSEENLVLFTRREGLRQRDTQLKQTIGELEHELEEIKRKCSQLAAELQLRRSQQQHYM >SECCE5Rv1G0327710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:495621746:495622866:-1 gene:SECCE5Rv1G0327710 transcript:SECCE5Rv1G0327710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I chlorophyll a/b-binding protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19150) UniProtKB/Swiss-Prot;Acc:Q8LCQ4] MATPLPTGSFAACSLQPRIRALHAPKPPVQNAGAAAAACAPVRRGGSHRAGATKSSLSTVCEPLGPDRPVWFPGTAPPPWLDGSLPGDFGFDPLGFGSEPESLRWFAQAELMHGRWAMLAAAGILVPEILQKWGFMEEFSWYTAGEREYFADPWTLFVTQMALMGWVEGRRWMDYLNPGSVDIEPRFPNRKNPTPDVGYPGGLWFDWGNWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGQGPLDNLSAHLADPGHCNIFSAFTSH >SECCE7Rv1G0512080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:806783723:806785032:-1 gene:SECCE7Rv1G0512080 transcript:SECCE7Rv1G0512080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSEREEDEGGVGKRRKVEAAAADTIDDLDNLDCLICRHPLRPPIFQCTVGHKICSSCHDKLADKCCFCSDSTVYSRCHELEHVVESTKVACPNGCTARIRYYQKEEHEKECQHAPCFCPEPGCSFSGPTAMLLEHLSAEHEPPTKITYNEKLGVVIPRDAPGSVFLVGEDGHLFLVNMEMEPAGGVVSVCCVQPHNTRSVFKCRLSISCTETGYSQAAEFQTRGTNLCDGMPKDDFMFLVPKVLLRRATTTTTIMRVTLTPQ >SECCE3Rv1G0155700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:68263307:68264759:-1 gene:SECCE3Rv1G0155700 transcript:SECCE3Rv1G0155700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQGRREADQMGEVAQVVESCLVTPSSETPRKALWLSPLDLFKANRGHTPLVQFYHRPSGDVTDFFDVNRLKAALAKALVAFYPFAGRVDVDQDGRLQIDCNGEGALFLVAHNPHLTVDDLSDLKPSPELRRLLVPHVDSAEAARIMCAVQVTFLKCGGVALGTALQHLAMDGNGAFHFFQTWSAFCRDGEGAVVDLPCHDRTLLRARAPPGVHPDALSIFCPTLNMSEPLGPVITELFVLGKDQISAIKRRCGGVSTFCALSAHVWHCVCVARRLPPDATTHLTFPANVRRVLTPPLPDRYFGNAVIILSVASKAREVAAGDLASVAGRIKGVLGRMDDELVRSAVDHLELTKTDSRLARGSMAVTELRMISWLGMPMYDADFSWGKPVAVVRAEANWGGFVHLMDNPKEDGGVRVLICMEAAILHDFERLLYACQV >SECCE5Rv1G0333970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:563124541:563126616:-1 gene:SECCE5Rv1G0333970 transcript:SECCE5Rv1G0333970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLLLLAAAAALLLLLPPLPAAAALLLLPSARVFSVADYGAAGDGSRYDTAAIQAAVDACAAAGGGRVLLPAPGDYLTATVRLRSGVVLEVAPGARLLGGTRQRDYPPESRHWYVVLAENTTGAGVTGGGEINGQGGAFVVTPSAQKNVMVSWNATGDCQGDECRPRLVGFIDSKDVAVHDITLNQPAYWCLHLVRCDNSVVRNVSIYGDFDTPNNDAIDIEDSNNTIITDCHIDTGDDAICPKSSTGPVYNLTVTNCWIRTKSCAIKFGSASYFNFEKLLFDNITIADSHRGLGMQIRDGGNVSDVIFSNIKMRTRYYHPSWWGRAEPIYITTCPRHPDSKEGTISDVRFINISSISENGVFLAGSKHGLLRNLKFKNVDLTYKRWTNYTGGLYDYRPGCQKMVKHKTGGMMLEHISGLEVDNVSMRWWRGSLKGWDVNPLLFRPSTIDGLSFHDWQSLDVQ >SECCE4Rv1G0240980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448187345:448188709:-1 gene:SECCE4Rv1G0240980 transcript:SECCE4Rv1G0240980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVTHSFVFLAHWPSAGSFGFNTDILATNLINLTVVVGVLIFFRKGVYLLDNRKQRILSTIRNLEELRRGTIEQLEKARIRLQKVKLEADEYRMNGYSGIEREKANLINATSISLEQLEKSKKETLYFEKQRAMNQVRQRVFQQAVQGPLGTLNSCLNTELHFRTIRGNIGILGSLEWKR >SECCE3Rv1G0151470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:39134977:39136887:1 gene:SECCE3Rv1G0151470 transcript:SECCE3Rv1G0151470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPDADVILPRVIIVSRRTLRKNKFVDFVGEYHLDLLVGYGAVPVIVPRVTGMHAMLDSFEPIHGVLLCEGEDIDPSLYDASGDHVGEGDALSPEQLEAVRRLHPSDAAVDHEKDSIELLLARRCLERNVPLLGICRGSQVLNVACGGSLYQDVEHELHPATADAAVCHMDYTNYDGHRHPVRVLPGTPLHDWFADSLLDGDHLMVNSYHHQGVRRLAERFVPMAYAPDGLVEGFYDPEAATLFQIARYFIRWYNPGEGKFIMGLQFHPERMRKEGSDEFDFPGCAKAYQEFVRAVMAYQAKLAAVHAHVHVESAVTTPAKLKREMEKQRKVIVRSVSLANNMYVFSNTALSVQQEKRLKQMGATVRNASGYINRLKVSEEREAPARALMAKMSVAQLASLAAFYSAMGNVCSEVLDAAFYRCTSE >SECCE4Rv1G0254840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:642447235:642448549:-1 gene:SECCE4Rv1G0254840 transcript:SECCE4Rv1G0254840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHQCIVVEELVIKFGFDHRVLNHINTWVAFAVSSRTKSLALDLGPVDIQGCIDQYRFPVELLDNGSISRLRHLQLSVASFELPPQFSGFPNLRALDLHFVDVTRKDLQDMLSNCVNLDWFSMVRCHLNDELIVARPLSNLIYLRVAHCNITKIVLHAVELKTFLFYGRLYPIDLGHTPKLKHTFLDIYSLLTVEHALTVLPKLLPSVQDLTLHAHFTLKMPLLMENPCKFSQLKYLNLKLSIGHTEAVNILSFASFLRAAPSVEKLEMHFSVLAFPHPVSEPIKSLPRCPHIYLKNLHITGFSGSTGQLEFLVHVVENAPALKILTIKGADSIGRDLNHEGKRRFSFKFRELERKYLHGIISPNVELRII >SECCEUnv1G0564070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:380110643:380111308:1 gene:SECCEUnv1G0564070 transcript:SECCEUnv1G0564070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGNHDDVPPKCEEVVATICCIILAIAAAALLITGINYLVSCGYYDPLYSVAIDTASGLDVLAPDLTLSPEFNLTLRITSESRQAACAKAGTYVEVSYRCVMLAASPATSEQLCAKPPKAREAHFVARGTGVRLPGYMLDNLMADVRNGVHAFDIVLRRSGDGVDNLRVESCGCRQVGGDTAAALETRCHGVHLCPDQEPRRSLVKSPTKHVSGQSIIM >SECCE3Rv1G0208080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:926974288:926975457:-1 gene:SECCE3Rv1G0208080 transcript:SECCE3Rv1G0208080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVGLPSSPPDSGRSSPSPTASPEFEFWMVGKNPGSFPSPALLTADELFSDGIVLPLHTLQAPPPCPDADQDQDQDAEEGQVAEADAHADDVNDSAEPPEEEGEPAAQAQPLAEACAVPTPDLPAVTFKWKDIFKATGESKERAKKAERRVSSVSGNAELININIWPFSRSRSAGHSTSGAGAGATTKAKAANPSTANASAAVASVPVAAPTATAAGRKVSSAPCSRSNSRGETSGTVAPAVAIAAAAEKAAAQAPATSMLRRLVPGGHGRTGVSANGIRLGRASPVWQLRRNKLQQQQAAAEQKQSSNATGSGKSKAVPEDDAATSQGQADAGEADKAAACAAEAAPAAVSAPCRNNAACAEVAGEECVPPQGLFGLRTFFSKKVY >SECCE4Rv1G0261610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:703001680:703002846:-1 gene:SECCE4Rv1G0261610 transcript:SECCE4Rv1G0261610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRGRGGGWTSLPAELIQEVSDRLQADVDQIHIHQVCSHWRASTAPLAACRPWIVAAHDRRGNPVSAIDPVCDHSFWLPRGGKRMHSRALAPAGLPYCCGTPRGWLALTDDLRSPTRLILREPLSQAEIPLPPLTTVAQIFLSGDPLASPAGWMAIASQKIPGAEIGHVLLFWRPGDAAWTILPEYPYPGGRIEGAAFHQGRLYISNVVGMRFSIFDLQQHPPKRLRCISLYTPLRTRYPIRLPGDPVPHVVACNNQLLLVIVYRGSGSPGPVILVEVHHLDWAADRLDFGGDKVTYLGDYSLFLGRGDSLALSAKDFPAIRRNCVYFVEHDKRKHERWVIVFDLGSNALERIPHPQEHMEGGCKKSGWLGYSWFCPRRPFVEAL >SECCE2Rv1G0070130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:33823336:33824289:1 gene:SECCE2Rv1G0070130 transcript:SECCE2Rv1G0070130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLHAASPDDHRYVPEPPPPPPPFSPLPSPAPAPAPAAVKPKSPHVPQGGGQRAPVDTGTTPLTPGRDRARRGHDGGGGDGAQVLNGIVLVLRAGAALLSFVAMVLVASCRHGDWMDFLRYQEYRYLLGVSVVAFVYSAAQALKNFSRMGRHDAHASFLDFAGDQAVAYLLVTASAAALPITIRMRSAVVNVFTDAIAASIVLGFLAFVALALSAMLSRQA >SECCE2Rv1G0137450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918067378:918069970:-1 gene:SECCE2Rv1G0137450 transcript:SECCE2Rv1G0137450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGCCSAAAVLLLLVVAAAGASVAGASYVRYKDPKQPIQARVEDLLSRMRIEEKIGQMTQIERHNASSFVIEKYFVGSVLSGGGSAPSEKASAATWQEMITKMQKAALSTRLGIPIIYGIDAVHGNNNAYNATIFPHNVGLGATRDPDLVKRIGRATALEARATGIPYTFAPCVAVCRDPRWGGCYESFSEDTKLVQLMTSAVIPGLQGDVSTKHPRGVPFVGGPNHVAACAKHFVGDGGTHHGISANNTVLSFHDLMRIHMPPYYDAVIKGVASVMISYSSWNGVKMHENKYLITQILKEKMHFRGFVITDWQAVDKITTPPHKHYYHSIQETIHAGIDMVMIPFDYPEFVADVQAQVMRGAIKMDRINDAVSRILRVKFAMGLFENPFPDKSLTGHLGSKAHRELAREAVRKSLVLLKNGKGRKDGKPVLPLSKKAKKILVVGTHAHDLGLQCGGWTKSWQGQAGNGITGHGTTILEAIKSAVDKKTVIDYSEHPDKGSVSKNEDEYDYAVVVVGEQPYAATPGDNKNLTIPGPGPEVIRKVCELVKCVVILVSGRPLVVEPYLDTMDALVAAWLPGTEGHGVADVLFGDHGFSGKLPRTWVRSVDQLPMNYGDKLYDPLFPFGFGLTTKPVDRS >SECCE2Rv1G0118250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:781184439:781186040:-1 gene:SECCE2Rv1G0118250 transcript:SECCE2Rv1G0118250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPATGDALAECLRLLAELPAAAASSPAFRRHWPSISASVSSLSASLSHPAFPPSAPLLSPLASALEALLSVCGGPALGHLHTVSLLSSSAASLSQLAADARLLVSPSPAGAEGGGSDSLLPRLRLGSAVSRAAALDSLAESVGSLPASHSAAAVSAVAAMLDSGDLLPASRDKAVSVLAAFASSDAGCLFLAQESGTVVPHLCRALESGGASAEQACVALEPLTASSRDAAAAVSARGGVAALLVACAAGTPASQAAAAGVLRNIAAFPDLLPAFRDEGALPLIVQLVSLGTPRTQELALGCLQNLTASDGDEGQRFKVEAFQEGALGCVKDFLESCRGDQPGLAPAFGLLRNMATFRYIAEIAVSAGFVSHVLAALGSDRANTRTEAAMALAELCSVSSSSKARKEAGGAMPRLIWMLEAKAVTERDAAARALATLVVAASSHRKVFKKDEMGIVNAVQLLDPAVRGADKRFPVSLLLAVAQSRRCRKQMVAAGACGFLQGLLAAEVEGAKKLSECLGRGKMLGVFPRT >SECCE4Rv1G0230470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:164122762:164124552:1 gene:SECCE4Rv1G0230470 transcript:SECCE4Rv1G0230470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMSPDAVPSRHPLAADPLPALRRLRAAAPRVFGQLHALLLTSGLALHSPNFALLLRLASFSVPSLSHRLQLLLCSPLPPTAFLANSLLLAHLPSALPLYSLLFLASPPLLRPNDFTYPALLRASPPRTALALATHSLKFLGAVAASRDRVLGAALLDAFARCGRILSCRRVFDRIVEPDLPAWNALFTAYARRARDSYCTGEAAEILELFARMVSSTVPPNEITLVAVIGACGELGALGHGLWAHAHALRRRLTMNCYVATALVEMYSRCGKMDLAEQVFVGVTDMDTRCYNAMLQGLAFHGHGRAALALFDRMCAEGFPVDSVTVLAVMCACAHAGLVDEGRWLFDRMEIQFGVTPRIEHYGCMVDILGRAGQLDVAEKLIRGMDIPPNAAMYRSLIRACGIHGKLELGERTIEELMRLEPEHSGNYVLLSNFYARMRLWEEAKKGRKQMKAMGIDKSPGSSLLDIDGVLHEFLMGDKTHPASREIYAMVQEIEARLMECGHRPSTTAVMFNVEEEDKADALSYHSERLAIAFALIASSPGAPIRIIKNLRVCSDCHESTKLVSRVYGREIIMRDRTRFHHFRDGHCSCGDFW >SECCE5Rv1G0362960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:789917520:789922207:-1 gene:SECCE5Rv1G0362960 transcript:SECCE5Rv1G0362960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPFDEADLLALPASPVASPPRRLKRLKKSFQAAAAAAASPPKSPPPQPPAEEETLAPHLGSPPPPPNHSPPPPPAEEAVAPRLGSPLPAIPTANPSPPPPPPAADADAVAPAPSSPPVPVSSPLPPADTAEDDDEEEDDGLDPLFSESAGGAGWDPLGLPTGEDDEEEEEEEEMLAGGGLMEELRREREKSSAKKRLNMDEGEDGGAAMDVEPEPEVAVTGKRSKRKKKDTDAEGKGKKKKKGEDGEGKKKKKGEDGEGKKRKKDKVPKESAASKKRAEKERKAQLELIHAESQRLLRETRKASFKPIAEPVYKPISSVLEKIRLRKLEIQKMSNTPVEEEEEEEEDDDDDDEEEEEDASSEPESDPAEQPAIPEVKEAGSDDKKLKNDDADKEVGANASDLNDHASLPEDEDAVISDKDLNKCGNKSPKKLVDNSQDKHEDNAQPSDDSIDAVDEEAHLPPSSSPTKNTDDSSSEDEEEEEEDNDKENIYPGIQKNDVNTHQQPRRTLAGDSCPDAAVLKDFLDVEAEEEDDSDDDMARFKDNEEDDGDDENEVLTALIAAGFEEEEVDHEKRNALHQKWLQDQDAAETNNFIQRLKYGHQEQKVLMEEDEDDIEDCEDESENERSHDLTPNIVRQNSEKAKQMIAKMFTDENDTYEHSDDEEIEEHLARQRISKREVHSTSFISPLEDDSSREMFSLIKKLNIAPQPPKRRGKQATSNLEMLMVGSNSNSSSKSSFLGRTTSGPISTSHRSAYKGYIFGRDDSNSNSKSCFAASESNSDVPDQANPSQPKKAKFSNSQTKPAASGTSSEGGSSSGASLFELLRRSTSAAEKQEHKRPESFGIITESQAVHQFSAFKLSRKFSKIGARN >SECCE5Rv1G0301670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:33275639:33280603:1 gene:SECCE5Rv1G0301670 transcript:SECCE5Rv1G0301670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLSMSEMPQPLPENDGEQRCLNSELWHACAGPLVSLPAVGSRVIYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPEEQKEPFLPIELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRSAEKVFPPLDFSLQPPCQELIAKDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIWNDNNQLLLGIRHANRPQTIMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFIIPLAKYVKSVYHTRVSVGMRFRMLFETEESSVRRYMGTITTISDLDSVRWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPTAFPLRLKRPWASGLPSMHGMFNGVKNDDFARYSSLMWLGDGDRGAQSLNFQGAGASPWLQPRIDSPLLGLKPDTYQQMAAAALEEIRTGDPSKQSSALMQFQQTQNPNGGLNSVYANHVLQQMQYQAQQSSLQTVQHGHSQYSGNPGFLQSQFQQLHLHNPPAPPQQGHQVIQQSHQEMQQQLSSGCHRISDVDSSVPGSESASQSQSSFYQQNLLEGNNDPSLHLHNGFRNFSSQDSSNLVSLPRTDQLMAPEGWPSKRLAVEPLGHIESRSVQPKHENVNQQSNMSHFTGTLAPQSARDSSSVQAYGANVDNQFLSSSFAFQDGMAGARGGSSSGTVSMAIPLLRYSGEDLPPADTLATSSCLGESGTFNSLDNMCGVNPSQDGTFVKVYKSGSPGRSLDITKFSSYYELRSELEHLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFVNSVGCIKILSQQEVQQMVRGGEGLLSSAPGARMAQGNVCDGYSGGHDLQNLTGNMASVPSLDY >SECCE2Rv1G0071240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:41976671:41982690:-1 gene:SECCE2Rv1G0071240 transcript:SECCE2Rv1G0071240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGATAVPPTLLSLCLQAVAAHLTADAAGAGRSGGCGARFDGFVQEHEEEEDGGHLTPEQVAEALPWELLHQLASSLPPFALELLHHGAHARCCSSTSLTDRSGEVDGSRRGTKRSRCEDFNTAWQALFEFRWPLHDSAGHDDLVTVDWQQQYWEKHLQECLDEAAEAALLPLFRGSIGELSMSDKIMSSVYLSENISQQYSRLSYQWTILGAYARCLRFQNVLCTAEVCDMLQHSRLETLVFVRVISEHEVNGVCLLLSCHVKTLLSLEFIHCQLYPAVMDKVCTSLCQPGSQNYKIQSFSIKSSRIGESKSLTTSTGLLNFLSYAKSLQLLSFNDAKMQPSFAKMIIHTLLESSSGLQTLDLSENNLSGWRSTVDRSSTSFSSALKSNTSLNSLTVLNLRGNNLQEGDMEVVCNILAKMSNLRSLDISDNPITDEGIRYLIPFFELALQRGNPIRRLRAEDCDLSSIGVIKLLECFTTINKPLDMLSIADNHLGSSVAATLVKFLGSHVRELNVEDIGLGPLGFQILEKALPRKVNLSHINISKNRGGMGTAHFISRLILQAPNLVSVDAGSNLLPPESLEVICNALKQTTCNLTRLDLMGNLQLSSAIFPAVFEFKKRGKPILLVPSQQGSCAPYDADP >SECCE4Rv1G0278050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806986439:806990084:-1 gene:SECCE4Rv1G0278050 transcript:SECCE4Rv1G0278050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPKPQFLEDQEAQTKADGKKGGWITLPFIVATMLGLGLAVNGTTSNMLVYLLKEYHVDGVKAAQIANVVRGSLNLVPIAGAVLSDSYLGCFPVILAGAVINVLAFVLFTLTAALPSLRPPHCTLSSAECQQGSPGQLFVLYAAICLLAIGAGGTRFNIATMGADQFSSTRDKDSFFNWYLVFLYASFMLGDTAIVYIQDSVSWAVGFAVCLATTAFGTIMLLLGVCYYRMPATKASPYTELARVIVAAVRKGSIKVGGAQGSVQYNAGSGAVVDSAGDGAPSKSLRFLNRAAMITTSDKSSGSEDASDDAWRLCTVQQVEDLKAVVSVFPLWSSGILLFMSIGVMIGMIVLQALAMDRSVGAHFSIPAGSVGVSCRVSFILATLVLDRAVFPLWRKITGGTPPTPLQRVGIGHVLNVAAMVAAALVERRRLAQPGMPMSVMWLLFPLGIAGVGEALHFPGNMAFYYQEFPKTLRSLATAMAPMLVALGFFSSTMFMDVVTRVTAWLPENIDHGRLDNVYWTLAAMGTLNFAYFLACDRRYKYHNRAAM >SECCE6Rv1G0441190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:809665941:809678242:-1 gene:SECCE6Rv1G0441190 transcript:SECCE6Rv1G0441190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNQQFKILEVPPIVQELVAGGVQEPPGRYVIPEQDRPAAAVSEMPEPIPIIDLSRLSSNSAEEFTKLQSALENWNLFLAVGHGMEPGFLAEAMKAAREFFKLPLEEKMKYSNIVDGEKLSIDGYGNDMVVVENQVLDWNDRFNLLVEPESERNCSLFPTHPPSFRDFLCEYTTRCRAVANLVLQYLAKVLNLHEEYFLNMLGEKSISQAVFNYYPRCPKPDHVFGQKPHTDGSMITINFIDANVSGLQLQKYGVWYNVPIIPNALVVNIGDVMEILSNGFFKSPVHRVVTNMEKKRLSLVMFYTLDLETEIEPVSELVDEHRPRRYTKMKNKDYIAKFYGTYATGKLAIDSMKI >SECCE5Rv1G0304320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:57736300:57739369:1 gene:SECCE5Rv1G0304320 transcript:SECCE5Rv1G0304320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSAPAPAAVWTAVSPPAEANGNSDRKKKRKAEDGEGCRTCSCKKSRCLKLYCVCFASGSHCSELCGCDPCYNKPIHGVQQNTPPGLPLQVVRPAEAGQNSAEFARYQMDFFRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCDDCKNPYGKKVGVILDGKSILAAPTPGPTPIERNGTEVISSDDDDDDEDDYYMNRPLSPIPPSPVSRESSFQQETLVGVEVHTVNGHLYPKPLTQVRPESWQLSRRPAEEVRGEQWRYMRRPSEDGTSDAMEGHADPKFHHQRDNNNKQRESPADNRFSIPRCIEVMNGMADLSPVDKSLAPDVFLDPSNREIFLSLSVDIRTMWLKRKMRSLV >SECCE4Rv1G0269620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749645886:749646923:-1 gene:SECCE4Rv1G0269620 transcript:SECCE4Rv1G0269620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLLRATVALVILVLLFMPGAMAAAAASFDASRTQQLPLPPGEVHGPESVAFDAQGRGPYSGVSDGRILRWDGPKLGWTTYAYGPGYDSETCTTSRYGTEADVESRCGRPLGLRFNHKTGDLYVADAYKGLMRVPPGGGEATVLVDQIDGMPLRFTNGVDIDQVTGKVYFTHSSMNYDRSDHELVTKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIEGVDAGKSEPFSDLPGYPDNVRPDRKGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGSKKVRPTEIMERDNGKIYLGSVELPYVGVVKRK >SECCE5Rv1G0311250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:189411809:189412393:1 gene:SECCE5Rv1G0311250 transcript:SECCE5Rv1G0311250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAGPPERKMKVWELVLRCLVGGFGAVTAALVVTDSQTRTFFSLERRAKYTDMKALVLLVAANGAAVGYSLLQAARCAVAMARGGGALVMSSRAVAWSVFSGDQLLAYAMLAATAAALQSSLLGKLGQPELQWMGICGLYGAFCRQVGAGIACAVVAGLAAVLLASFSAFNLFRLYGSNKGSSSTRNGATWY >SECCE5Rv1G0307370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:109904709:109906893:1 gene:SECCE5Rv1G0307370 transcript:SECCE5Rv1G0307370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVIKEGIISTTPALAESKKEDLPINTTEIIDGNSVPPYLRRKEKPVPHYQRASTGSCHDNCKHGIHHSSESNKCSPVRGWRQDRANVRNVTHDLARAVVIPQGDKARNKSQTLKTSHVKDGAAPAKPEFTKQKPPPKEVSGTVVAVNQPDCSKCLIISLDDLSSSEDGQLSEGAVSIELDMPLAIQDRDESDDHTTDHSANDSVSSENMTKQTVMASEKHKQDKDVTKSQSFSQESMKPNRKATSTISRNTMSKQKSGITSHQKAAGTSAGSAEGPRTTAKKADPSVTNKFNTERKLNSIVTSTLPRVKKIKAPSPASAMDSCAKPATNKHAPSPSPPSGKRTERKITQNNVAKNAQLCQNKGEEKVPLSPLKLSRSIMRAVRKETSASPVKSKKVYGIESSSEFKDKISRTASPKIRKPDVSNKERQSRKENEAIARTERAGRPKIASTPSGVIMKSPRMLRFRRGKVLNLGSNSDNSTPGRVQFRPADATDDGNRSKYPARRRITKNNEAKASATSKDSGASRAEVVVLRRQDANDKKKSEPRLFNNVIKETASRLVEARKSKVKALVGAFETVISLQGIKQGRPIIS >SECCE7Rv1G0522800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879249962:879251094:-1 gene:SECCE7Rv1G0522800 transcript:SECCE7Rv1G0522800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMAKIGDAASRCTSIDLSDLLSAYASDIVCHVVSGKLFRKHGHDKLFRELIDANALLIGGFNLEDYFPMLVKLDIIKRMVCAKARKVNKMWDDLLNNLIDEHASKPMSEHDNEENDFIDVLLSIQHEYNLTRDHIKAQMAIMFEAGTDTSFIVLEYAMIRLVQNPHLMQKLQEELRSSIPKGKEMVTEEDINNLAYLEAVIKETLRLHMPALLLVPHLSMVDCNIKGYKIPSGTRTIINSWALARDPSSWENAENFMPERFMDGGSAALMDYKGNDFAYLPFGTGRRMCPGFNFGITTIEIMLANLVYRFNWKLPEESMKGGISMTELFGVTIRRKEKLLLVPVVPEN >SECCE3Rv1G0185590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:676258887:676261455:1 gene:SECCE3Rv1G0185590 transcript:SECCE3Rv1G0185590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAVLAGGGRTLGNILSATEWMLPSPATQVHTISVLPSHSLSPAPQFAFSNLTTALKTTGGKGDEQGTPRFDVVRDDLLHPLANGNKARKLDALLPLLRRRGATDVITCGGCQSAHAAAVAVHCAEWGIRPHLLLRGEQLDVPTGYNLISLMFGNVTYASRSVYAHRDEMLYEHAKKVAGTGGSVLWADDIVRDDLSVDEETVLENDSRRVVIIKEGAGTVQALLGVMRLVEHLSNLPSFHNDEEVHVVVDAGTGTTAIGLALGAVCLGLNWRVTAVMLADTLEKYQEQEKSLISDFKGLCHEDCHDLVGTDGLVHWVDRFSPRRFGKVLGGEITSCRQVAQQTGILLDPVYTLAAWEQAVDLCHGDSRGAKVAMIHTGGTLGLFGLAQRYPQHFAATANGQA >SECCEUnv1G0540500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:83361154:83364411:-1 gene:SECCEUnv1G0540500 transcript:SECCEUnv1G0540500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSYSNLLDLATGAADQGPAPAALGALRRRLPSVVTTPGLMEDSPASPSTPSPAPRPRTIVVANHLPIRAHRPASPEEPWTFSWDEDSLLRHLQKSSSSPSMEFIYIGCLREDVPVPDQDAVAQALLESYNCVPAFLPADTAARYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRLLWQAYVSANKVFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPDVAGVQEETYAMVKRINEAFGAPGYEPVVLIDQPLQFYERVAYYVIAEVCLVTAVRDGMNLIPYEYVASRQGNDRLDRILRLCKPEEKKSMLVVSEFIGCSPSLSGAIRVNPWNIEAVADAMESALVLPEKEKNLRHDKHYRYVEKHDVGYWANSFLQDLERTCKDHSNRRCWGIGFGLRFRVVSLDLSFRKLAMEHIVQAYRRSKTRAILLDYDGTLMPQAINKSPTAKSVQILDSLCQDTRNAVFLCSGFKRCTLDEWFPAENLGMAAEHGYFMRLKRDAEWETCIPPADCSWMQIARPVMELYTETTDGSIIEERDTVLVWNYEDADPDFGSCQAKELVDHLESVLTNEPVSVKSTGHSVEAKPQGVSKGVVARRMLAALQERGMCPDFVLCIGDDRSDEDMFQFITSASCGDSLASTAEVFACTVGRKPSKAKYYLDDTAEVVRLMQGLAYVSEEFALQNPALGEEDPEDLWCVGELQ >SECCE6Rv1G0384030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:59678368:59684170:-1 gene:SECCE6Rv1G0384030 transcript:SECCE6Rv1G0384030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLVLTCGHAPLPPFRKPRRLTLDRISAVPQTNASLSTFLPGRGGAAAPRRGWAQICRDSSRPGADAAEQDREIKNDLATVTVPKIGGGGGGGGGGGQLSDWITSVLLFGIWAGLMYYVLQLAPNQTPYRDTYFLQKLLNLKADDGFRMNGVLVSLWYIMGIWPLVYSMLLLPTGRSSKSKIPVWPFLVLSCIGGAYALIPYFVLWKPPPPAIDEDEIGQWPLKFLESKLTAGVIFAVGLGLIIFAGKAGGDDWREFFQYFRESKFIHVTCLDFTLLSTFSPFWVYNDMTSRRWKNGSWFLPLAVVPLLGPSLYLLLRPSLSSLLGATSSSSDNDEPLK >SECCE6Rv1G0439340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795846263:795849472:1 gene:SECCE6Rv1G0439340 transcript:SECCE6Rv1G0439340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTTEPLLLHPPEQRRRPPGWLAWGLPAAVLVLLLLSASGPLRPPLFRVPPPETVPLTLLAGAQEKGAVCLDGTPPGYHLQRGSGDGSDKWLIHLEGGGWCSTVKDCSNRRMYALGSSNFMKPMRFAGAGILGSDQQQNPDFYNWNKVFVRYCDGASFSGDAEGRAQDGSTLHFRGLRIYQAVIDELMEKGLANATQALLTGCSAGGLATILHCDDFSARFSRDVPVKCLADAGFFLDVKDISGKRSFWSVYDGVVHLQNVREVLPKDCLANKEPTECFFPAELIKSIQTPMFILNSAYDSWQIRNVLVPVSSAPDKSWSSCKDNIRNCNSTQIKVLDGFRNTMLGALNVVEDKDDWGLFIDSCFTHCQSLYGISWNSEISPRLGNKTIAEAAGDWYHGRSHGVKEIDCEYPCNPTCSGQLPP >SECCE2Rv1G0127580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:854008101:854008874:1 gene:SECCE2Rv1G0127580 transcript:SECCE2Rv1G0127580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHRDLKTSNILLDGDMNPEISDFGMARIFGGDEQQANTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLETVSGLRISSTQNIKEFPNLIIYSWSLWREGLSKDLVDLSVSESCSNEEVLCCIHVGILCVQDDPDARPLMSTVVSTLESRSTPLATPDKPLYFSQRNKVTKRAEYGQNSVDMEALTVIEGR >SECCE3Rv1G0186360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:683711712:683712938:-1 gene:SECCE3Rv1G0186360 transcript:SECCE3Rv1G0186360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDELLRSYVRSHGAVGNWIALPQKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDMVICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMAMHQHQQQQYHHHGSAGRGHARGATIATPPPAPQSQCASYMQPSPASASSAVTTASGDAGSFGAMYSPSHQAAPLGHYNVNAAAPLAEFSAMPTPAAANSWAINMAFEDMFLPELVGGGDFSQADLFGGFGASLLQAQDSRASSLQELSACYFPNAQAEMWAAAADHVNVKPPGAGLCPSLT >SECCE1Rv1G0030460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:427888366:427890624:1 gene:SECCE1Rv1G0030460 transcript:SECCE1Rv1G0030460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAKAHSPGAPARPLTDQMASSAGNGKEMATLESGHASSSSSSSPAAAAKMTAVAGKPRPFTWTGPAIVMGFELLESIAFSGVALNLVIYLGTVLHGETAFNAAHVDTWNGTTFIVPVLGAFLADSCWGKYNTIVASLLFYLAGLVLLTLSAAISPFRPSSCQGLSCPPANRTQFSVFFAALYLTSIGTGGVKSALLPFGAEQYDDSSPEESRRKQSFFTWFFGAINLGIFVAGTLVSWLQQNVSWALGFGVSALCLLLAAAGFLAGTPWYRVQLPAGSPLRDILRVVVASVKKRKTRLPAAADRGDLGLHEVAEDDDLQKLAHTKGLRCLDKAAAKGGDGREGPWNLCTVSEVEAVKILARMVPIWVTCVLYAASLGQMTTTFIQQGMTMDNKLLGRVKVPVASMVSIEVVFMLLWVLLHDAVIMPLARRWGRAGSAGLSQLQRMGVGRVLVVLAMATAALVEGRRLRVAGAGRKMGIAWQVPQFVLVAGSDVFCGIAQLEFFYGEAPASMRSICSAFSFLALSLGFYVNSVVVTAVAALRPGWLAPNLNQGHLDYYFWLWAIISAGNLLLYLLLAARYTPKQVLRHSP >SECCE1Rv1G0032460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:455669815:455673426:-1 gene:SECCE1Rv1G0032460 transcript:SECCE1Rv1G0032460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLVNCSGCRTPLQLPHGAPCIRCSICGAVTNVAAPPAPGPVVDPARGAQAPGPAWGPPPPAAHGRKRAVICGISYRFSRHELKGCINDAKCMRHLLTTRFRFPDDAIIMLTEEQTDPYKIPTKHNIRMAMYWLLQGCQPGDSLVFHYSGHGAQQRSYSGDEVDGMDETLCPLDFETQGMIVDDEINAALVRPLPHGAKLHALIDACHSGTALDLPFLCRMSRTGQYVWEDHRPRSGVWKGTSGGEAISFSGCDDNQTSADTSALSKITSTGAMTFCFIQAIERGEATTYGSILNSMRSTIRNTGSGASMAGGGAVTSLISMLLTGGSASTGGLRQEPQLTACDTFDVYAKPFSL >SECCE5Rv1G0340120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:612707661:612708087:-1 gene:SECCE5Rv1G0340120 transcript:SECCE5Rv1G0340120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSASWNSSPPGVLFIRQQGKKKPHVSMTLSRKRLRLIRRRRRELRRAAGGAEMAMLNLRLHLENRRILVENERLRERASALRRENLALRANLCKAAPPPAEAAPGC >SECCE4Rv1G0293820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887176909:887178183:1 gene:SECCE4Rv1G0293820 transcript:SECCE4Rv1G0293820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGHGTAVVPRPHADGPAAMLGIGTANPAGVLMPQDVFADTLFRLTNSDHLTELKHKLTRICGNTGIDKRHFHLTEETLAAHPELPSLDTRVHMAVDAVPKLARCAAAKAIAEWGRPAADITHLVFTTYSACGAPSADLRLATLLGLRPTVSRTMLSLHGCYGGGRALGLAKELAENNRGARVLVACSETTLVCFGGPDGGNLVGHAIFGDGAGAVIVGAGPFLDGEQRPIFEMVHATQTTVPKTEHALGMQVSGGGIDFHLSIQVPALIGQNVEGCLIDAFRSSDGAHLPSPCSGNGKWNDLFWAVHPGGRQILDNVDKVLKLEPEKLAASRHVLREYGNMSGATIVFVLDELRRRRSQLPEWGAMMAFGPGITIETMVLRCPS >SECCE6Rv1G0396430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:365652556:365653278:1 gene:SECCE6Rv1G0396430 transcript:SECCE6Rv1G0396430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSHVLRVTSQRPCFFWVNKLDFRNSNVDNFLWRRRWKYYNRKRSVRSMQSSGGQRTQGTYSKNTWFSTRRTIQLTCYSRAGTRLYTYHMFACLEALLVSAAPLAEKRDGLGFEDRMAGLATGRMDGNGEVTFVGDDGADQCHSGGHSFSVNALQGLAALDKHIGVGRPTNSREKAPYEGLSKRARFCSICRCEGHKRTTRPERGDAPSKPQKPGKCKNYGMEGHRRNTCTRPLGVPEE >SECCE7Rv1G0499300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:653008161:653010893:1 gene:SECCE7Rv1G0499300 transcript:SECCE7Rv1G0499300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAVRAYEAAARSALAALERNLLPDAVTRRLTRLLLAQRLRLGYLPSAPLQLQDLVLFAHSLEGMPIAIETDTAKTQHYELPTTFFKLVLGQNLKYSSCYFPDDSSTLEDAEVAMLELYCERAQLQDGQSILDVGCGWGSLSVYIAKKYRNCNITGICNSTTQKAFIEEQCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKALLKKISRWMKEDSLLFVHYFCHKTFAYHFEDKNDDDWITRYFFTGGTMPSANLLLYFQEDVSVVNHWLVSGTHYARTSEEWLKRMDKNIATIRPIFEKTYGKESATKWISYWRTFFISVAELFGYNNGDEWMVAHHLFRKK >SECCE3Rv1G0203140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:880975535:880978065:1 gene:SECCE3Rv1G0203140 transcript:SECCE3Rv1G0203140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATCLLAVWALVAAGLFDTGLARASVAPAAVGKEQREFDYFALALQWPGTICSSTRHCCAVNGCCRSEALHTFTIHGLWPDYDDGTWPSCCRHTSFDMDKLAPLKPTLDKYWPSLYCSSSSTCFSGRGPFWAHEWEKHGTCSAPVVHEELQYFTTAIDLYLKYNVTEMLATGDILVSNGKEYALSDVIDTIKHAFGGSPQIICKKGSVEELRLCFTKDLKPRDCLTTSAMYKNLSKSKHCPRKISLPTYDPIVLTNSTLEIVPEASGNGLYFFTS >SECCE4Rv1G0253960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:631332322:631334087:1 gene:SECCE4Rv1G0253960 transcript:SECCE4Rv1G0253960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAMEAGARDPPERRRAAVTAVLLAALPLLLLLFLFGDRAASIAADALARAQVKQQSPRNASSSSPEHAARDRLLGGLLSPDFDEPACRSRYEGSSRWKSSPFPVSPYLVRKLRQYEANHRRCGPDTSRYREAMAQLQSGRNGDRGDCRYVVWLPVQGLGNRMLSLVSTFLYALLTGRVLLVHEPPEMEGLFCEPFPGTSWLLPASFPYKDVLYGSDSKESYVSMLESNVVRYDEVDGGNAGALPAYVYLHLENMNLRLQNHTFCEEDHRALDMFNWMVLRSDSYFAVALFLVPTYRDELERMFPSKGSVFHHLGRYLLHPGNPAWGIAQRFFDGYLAGADHRLGVQVRIVWHHPVPFHVMFDQILRCTREHRLLPQLTASEPSLVRPSNSTAKPKVKAVLVVSLKPEYYDKLHSMYYSNATASGELVTVYQPSHDQDQHTEARAHNERALAEIFLLSYCDEMITTSWSTFGYVAHALAGLRPRLLAPLDLSKMRSDVACVRPASVEPCLHSPPSLVCRRAQDLDPVAHVPFLRHCEDVDFGLKLVD >SECCE3Rv1G0186560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:686914222:686917296:1 gene:SECCE3Rv1G0186560 transcript:SECCE3Rv1G0186560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLEVHAIGRDAASTSSLKLKAVPALDMMRYQRLSPDCLPLANGGGSGGVARKPASRSFRDDEGPAAATDGSRLASYLAASQAEAKPPVRARAPQPPSSSAARSPARDHGHHLPSDSSDTASPSSTSAGTGAVGGDVLLQWGHNKRSRCRRDSSAASSSASPSSQRRQTLGNGKIQRRASAEKLMPPPPATITRGSNLRSSSSFPPRAAGGDANHQPPHHSRSVEERSGGVQKRSSPDKAHHKPPAVEHHMDSKNAHHHHHNHSNNHDSQLVSAANGIGAGEKLGGERLELPRIYISLSRKEKEDDFLVMKGTKLPQRPKKRAKNVDKSLQFVFPGMWLSDLTKGRYEVREKKCVKKV >SECCE2Rv1G0135940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:909335638:909340490:-1 gene:SECCE2Rv1G0135940 transcript:SECCE2Rv1G0135940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITAAASVYNHHHHHHHPNNMLSFQSSSSDIGMGGGAGMGLVSMSGGPSSTAGLYHSSPNNHNSSINNGGVFGSVPVVVQSRSSPGGGASRGGGTTASKYKFVTGSPSEWTDRELSLLNEGLTRYAREPNIMRYIKIAAMLPNRTIRDVALRCWWAAGKDRRKKPEGFFTGKKMRDMKPMQDKMFASAPMANFHMAPTNNFTPFSISMQNPNQQCQVPKEAPVVDSATQQLLEENNQLLNQIAANIETFKTGENTGLFLRTNNNIKTILSRMSETPGIMGQMPPLRELANEDKLNSLLQVDRMVQSYSAAHTSHMKEEPRS >SECCE7Rv1G0501100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:679645210:679648002:-1 gene:SECCE7Rv1G0501100 transcript:SECCE7Rv1G0501100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGPAGGSGGGHGLGGTRVPTWRERENNRRRERRRRAIAAKIYTGLRAYGNYNLPKHCDNNEVLKALCNEAGWVVEPDGTTYRRGCKPPPQARTDPMRSTSASPCSSYQPSPRASYNPSPASSSFPSSGSSSHITIGGGNNFVGGVEGSSLIPWLKNLSSNPSFASSSKLPQLHHLYFNGGSISAPVTPPSSSPTHTPRMKTDWESQCVLPPWAGANYTSLPNSTPPSPGHHVAPDPAWLAGFQISSAGPSSPTYNLVSHNPFGIALASSSRACTPGQSGTCSPVMGDHAPAHHDVQMEMVDGAPDDFAFGSNSNGNNGSPGLVKAWEGERIHEECASDELELTLGSSKTRGEPPF >SECCE1Rv1G0047630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:626883912:626884316:-1 gene:SECCE1Rv1G0047630 transcript:SECCE1Rv1G0047630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSWSCALRLLFLASLLLLCSALPPPPPPPPPGRGSGSGGPAELTAADEAVLARICSPRAGPRPAWCEELHLIRRRALRGGARHRHGGHHHRHQQQQQRAPAVPLPPPGRDEVDMRYGVSKRRVPTGPNPLHN >SECCE3Rv1G0196970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:813938407:813938625:-1 gene:SECCE3Rv1G0196970 transcript:SECCE3Rv1G0196970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSRAPAKHCICKTPSGNPLPRRGQVKESIAKHIVAAAVATASALVCDAGGKKGGAAKPPAAHAGAKKKK >SECCE1Rv1G0005180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:24593887:24595398:1 gene:SECCE1Rv1G0005180 transcript:SECCE1Rv1G0005180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRRVRPRRAREEDRLSALPDGLIRRILSRLDTRSALSTAVLARRWARVPRELPAYDFRVSDLLPPQYDRTVALRRCNLRRDAALARVLDGLMASCEVSTMRAFVHGITGFLDADGSAARRCVKTLRLEFFQTHDGGCIVDRLIADAVGAWGVEDLEVVVRPATHTPSPAYSFPHGQLKDGGRSRLRSLTLGNCTVPPKLHSYDALTTLVLQDMPASTPVAVYERVLSECKQLQVLHLTSCRCAEDRLVVCSGIRELVVDACSFMVIELRDLPMLARLACLTNTVELVFGSVPCLTHTNLSFSVEEDTLVLPPRPHHHDELNHFLGTSPTMANLVIRFTGSKSWIESEGLDKPLVHLKRLLIADLPSNWDVSWTHTFLVEAPSLEVLHIHVAHSEEVPESFGIIWSTASPTECHPHMKELVMIGFTLTRRQMQLLKYLVSTCTSLQRLVLLKDGHVRYNGLWDWDMVGLGQQECQWRDRDKRVVRRMIKSGPRPLVQLILG >SECCE1Rv1G0017050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:146676198:146677733:-1 gene:SECCE1Rv1G0017050 transcript:SECCE1Rv1G0017050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTDGEAMAAAALPLKLHFVLVPLLAQGHVIPMMDMARLIAGRGGARVTVVVTPVHAARSMAVLEHAGRAGLAVDFAELEFPGPALGLPEGCESHDMIRDYTHFRLFCDAMSLLAEPLEAYLRALPRRPDCIVADSCSPYPADVARRLGVPRLVFHGPSAFFVLAVHNLAKNGVYDRVADDFEPFEVPDFPVRAVLNRATSPGFFQSPGLERHRRDILDAEATADGLVLNTCAAFEGAFVQRYSEALGLKVWAIGPLCLLDSDAETTAVRGNPAAVDASLVASWLDARPPQSVLYISFGSVVHLFPPQVTELAAGLEASNRPFIWVAKETDGLDPGFDGRVEGRGLVIRGWAPQMTILPHPSVGGFLTHCGWNSTLESLSHGVPLLTWPQFADQFLTEMLVVDVLGAGVRTGSKVPITHVVSNPEMSKVQIGREAVERAVVALMDEGAAMRMRAKELAVKAREAMAEGGSSDSDLASLVRHVAELVQQGKDAVELHDAPDLREQEQETS >SECCE3Rv1G0144900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5533792:5534307:1 gene:SECCE3Rv1G0144900 transcript:SECCE3Rv1G0144900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLFAAVHAAGAPGAAALVLVVLVVLVVAAVVVSLCTSSTHEKLWGQQRGSSSSAPLAKADSSVGASNRNRKHLLSATLSGIGGKAARMVSWNRRSPAPGGSSDEEEAVAALGQDDDEEAVWRKAIIMGDKCRPLQFSGHIAFDSDGNQLPPPPVAIKKDDPDVQAKN >SECCE4Rv1G0219640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33073707:33076354:1 gene:SECCE4Rv1G0219640 transcript:SECCE4Rv1G0219640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLLRLLTTDCGGSCLGLLDPVSNIILNILALLPKDATPAPAAEPSTSPSPPATRRSKRSRSIPGFGGWHEVVSGSYHILLAFLMAYFGCLEKEQAVRYLYRADANLLLAVMLIQHDLYADEALDPESDRTQAALESAATIAGHPSPTTLARLMSIRLQDDNFALLKKLFSADAQGIPLTVEDIWATHRILHMMMSPVCTASIIHTKRGLVVHVRHMLEARCSETISFSTTADARTATTTLGWDGNPISSLQSGVLPDKLQDCLGKAIADGQKHNIKTPCGAGDTCDYLQSLKMYLYGMIHNLYIKALKLLPSPSGSLMRSILKAGHCYGCMDPVSNIIVNSIWYNTCGCNLPVSEARDMVEYNDVLDPLCLLRAQVHSLKGLMELAAFVDPQFSVPACALELLCGTKCDIASMLPSSTERSENNPFHESAKAAGHTRPLGLGELHQQLLLMPDTRSELLSFITKAQTSGTVLRVDDMTQRISLIWNRNRSGAQTVQAPELCAGALRAVSSERSDYEDERSCFHSKIEQLLKEYTTQQFLGSEYKLDTILGVEERNKGYRPCGYIRYHVNFTATCDFRLQRTLFYAEFSLSSREPEPEFCCPLPYENAGRCYYGVLSARKIVYPDDAKYIPDDITVRGTSSADGMLGMDLVYFSPMLDVEIAENLNVLHSEEEEEKRKKMNKKRRGMCRPN >SECCE3Rv1G0197910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:824669170:824670015:1 gene:SECCE3Rv1G0197910 transcript:SECCE3Rv1G0197910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLLEVHLVDAKGLSGSDFLGKIDPYVVVQYRSQERKSSTARDAGRNPSWNEVLRFQINSSAANVQDKLVFRIMDHDTFSRDDFIGQATINVTDLISMGMESGTSRLNPAKYRVVTADNSYHGEIKIGITFTATKVDAHGKVEEDGAQVGGWMHSFREQKL >SECCE3Rv1G0170600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:255899834:255900736:-1 gene:SECCE3Rv1G0170600 transcript:SECCE3Rv1G0170600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMENHLAILALFLGLCMSQLGGSAAQQYWTPANATFYGGSDASGTMGGSCGYGNLYDAGYGTDSTALSTTLYGDGKSCGACYVITCDAYHTQSCKPGTSITVTATNFCPPNWSQPSDNGGWCNPPRQHFDMSQPAWETIAVYQAGFVPIKYARTACPRRNGGIRFSITGNDYFDLVLISNVGGSGAVSAASVKGSNTDWMPMSRNWGANWQSNAYLTGQSLSFQVQTDDGKSVTAYNVAPAYWKFGDTYTSSVNFY >SECCE3Rv1G0166290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:184996596:184997330:-1 gene:SECCE3Rv1G0166290 transcript:SECCE3Rv1G0166290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTANMCTLERRGRVHLITLTGAGEHRLNPALISAIRAAVAAVRISPGAGALVLAAEGKYFSNGFDQAWARTVPPHLHTTMSEGFRGLVDDLLALPMPTVAAVTGHAAAAGCALALAHDSVVMRASRGFLYMSEVDAGIKIVDYFGELLRQKVPDAATRRDMLLRGDKMTAAEAVRRGVVDAAADGGAADVVAAAVAAAEGLAARGWDGEVVAEIRKAMWPAVWGKVKDYGADAAAAAAARPRL >SECCE5Rv1G0341320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:623167687:623169298:1 gene:SECCE5Rv1G0341320 transcript:SECCE5Rv1G0341320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYFVLVAGLAVLLAFSCVFIRTARCRSSSKLPPSPPSRPLVGHLHLMGSLPHRSLRGLHARYGTDDGLLFLRLGRRPTLVVSTAAAAADLYKNHDLAFASRVPSVPVDKLTYGCMNVSFAPYGDAWRRSKKMAVIHLLSPRRADSFAPVRAAEAAALVAGARRAAEAGEAVELRELLYAYSNSVVTRAAAGAAGATAEKMKELMGNSAAFMSGLQAEDVLPGAAAKMVRWATGLEKRIDVQVEAWDKFLSEIMAEHVEKKRDDGAGEEDFLDVLLRLRKEGTAGFELTDNRIKSIIKDMIFAGTETSSITLEWVMAELIANPRVMAKLQDEVTRIANGKPTIEEDDLSKMAYLKAVLKEVLRLHPPAPLLVPHESTTAAVVQGYEIPAKTALFINAWAIARDPAAWGDAAEEFRPERFLDGASATDVDMRGNDYQLIPFGAGRRICPAINFALPVLEIAVASLVRHFDWELPAGTRLDMAETPGLVTPPLVPLRLVPKCRTPA >SECCE4Rv1G0265120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724675118:724677592:1 gene:SECCE4Rv1G0265120 transcript:SECCE4Rv1G0265120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAPRHGVTPSSSSSSGAAAAAAPTSPEFSLPPSRLPLEDILFCVDVDAEARSEMKVAAASASPASSGSTGSPGPGALMDPAAPPRPARPAVRRMDAVKQALMLFLHSKLTMCPDHRFAFASLGDAVSLISREFTSDAASSIETIRSLAATETRYAAADLTHLFKIAYEEGKRAETQGRLLRVVLIYCRSSTKPHHHWPVKAKNFTMDIVYLHDKPTADNCPQNVYDALVDALEHVSQYEGYILESGQGLARVLFRQICIILSHPLLRCMQDDLDIPKQVVKKTLAIEGARSEDGAPVSSSQ >SECCE3Rv1G0162690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:136319984:136321459:1 gene:SECCE3Rv1G0162690 transcript:SECCE3Rv1G0162690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDGGAGLGARGPAGAMLGMDMHLVHQPQPQIHAASSFQQPPEHLHHGNGGFQVQHHQAMPGTQQHPPPSYAAYVAPPSRAAKAHEEEEMGNSVVQQPGAAGSPWSRVKWTDVMVRLLIAVVYHLGDDGEGVSAGVKAAASHSHGKAGASAPAAHGGHGPQAAAQQKKGKWKPVSRAMMEKGFAVSPQQCEDKFNDLNKRYKRVVDLLGRGTACDVVANPALLDAMAELTPKAKEEARKLLSSKRLFFREMCNYHNPGGTAAASHGTDDGADNLHNPKPATVPGAANSSAGREVVAMVNSSTRSEEDSEDDVLSSKEAEDEDYDLDDVEKKAPGTKRRDGRVDDSNGFRNYGGHKNKRRRGESSAAAAREDGEECTMQQLQRELAAAEAGGDQQQLRQWMQRLALQLEKQQVMDEVRQYELEKHRHRWEQFKANRDLEYEQERLRMERQRVDGQRMLLVLKRKEFDLELAGAAFQQQPGSSPSTSGDPN >SECCE6Rv1G0379280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13043155:13044627:1 gene:SECCE6Rv1G0379280 transcript:SECCE6Rv1G0379280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQPRSFCSSFSSRAPELLSEFHHHLGSSTLRPELAHQLFDEMLRQPIQVSARALDGLFAALARAPPSPACTDAPALAIGLFNRMARAGRRQVIAPTIYTYNILIECCHRACRPDLGPAFLGRLLKTGITMSAITYSSLFKCLCDMKRTNEALDILLHRIPDDLPNVISYSVILKSFCDSGRSHLALDLLRVMTKKGGDRSPDVMSYNMVMDGFLKEGEVSKACDLFHEMIQQGVVPNEVTYSSIINALCKARAMDKAEVILRHMVRNGVQPHDMIYNTLIHGFLTSGRPKEAFRLLKLMTSQGVMPDVVTCNSVMTYHCKNGRVKEAADIFYSMAVKGRKRNVISYSIMLHGYAIQGSLVEMIDLCELMAGDGVVPDLHCFNILISAYAKYGRMDVAMLFFEDMLKKGLNPNEFIYLTVISAFCKMGRMDVALEKFNEMIDRGVPLDTQIYMCMIEGYLNHGDSVKAEEFITKMKGRDIRHRPRKGN >SECCEUnv1G0564420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:383261998:383262627:1 gene:SECCEUnv1G0564420 transcript:SECCEUnv1G0564420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLRVAPPDLQQGGNSRISYVHVPAARMSIVIYIATAINSSLFPLTKHPLFLRSSGTGTEIGAFSTLFTLVTGGFRGRPMWGTFRVWDARLTSVFILFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSPVNWWNTSHQPGSISRSGTSIHVPMPIPIMSNFANFPFSTRILFVLETRLPIPSFPESPLTEEIEAREGIPLKT >SECCE2Rv1G0131420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884415516:884416316:-1 gene:SECCE2Rv1G0131420 transcript:SECCE2Rv1G0131420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTARLNVVPTVTMLGVVKARLIGATRGHALLKKKSDALTVQFRAILKKIVATKESMGEAMRASSFSLAEAKYVAGDGVRHVVLQSVRSASLRVRSHQENVAGVKLPKFTHFVDPAAASSAGSPSLTGLARGGQQVSACRAAHVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTITYIKGELDELEREDFFRLKKIQGYKRREVERQMMAAKLFAEEQLAEDLALKRGVSMGSATNILVGGGDKDEDIIF >SECCE4Rv1G0279050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811925261:811927619:1 gene:SECCE4Rv1G0279050 transcript:SECCE4Rv1G0279050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIFGAQGNPCAPAPYIFVVQLLLLCLCSLFCTGFPRSNPTKCPGNSVAIPYPFSITQKHNSSSSILRNPFFDISCASTGPMLSLGGKRYRVLGISLPQGNIRVTGDTVYQCQQNTSPVTTNFIDLEGTPFTFSHTLNKLTVVGCDSMAMIRSRDVTSLYSYRGGCVSFCASEALRSINGGVCSGVGCCQASVPEELKVLDLEFTSIRRQLLQSSGYFGNISKSNSMRCAKAFIVDQGSYVFSREHLDRNLTNLPMVLDWSISGGNCLEARRAPQTYMCKENTQCYTVANNTAYRCNCSEGFTGNPYLGCQDIDECKDQNKYPCMHKCINRIGGFNCTCPMGMTGDGKKQGTGCIRDTTLLIAAGGGLPLLLVLLMLGFWTHWLFTKRKLAKTRQKYFLQNGGMLLKQQMFSHRAPLRIFTSSELEKATNRFSDDNIAGRGGFGTVYKGILSDQMVVAIKKAQRVDQSQVEQFVNEMVILSQVNHKNVVQLVGCCLESEVPLLVYEFITNGALFHHLHNTSALMPWKERLRIAVETATALAYLHMATEMPIIHRDVKSSNILLDESYTAKVSDFGASRPMAHNQTHVTTLVQGTLGYMDPEYFQTSQLTERSDVYSFGVVLIELLTRQKPIFGGKMDEVRSLALHFSILFHENRLSEIVDPQVGEEAGARHVKTVAQLALRCLRLKGEERPRMVEVAVELEALRRLMKQHCMVNSEE >SECCE5Rv1G0374190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862063619:862068378:1 gene:SECCE5Rv1G0374190 transcript:SECCE5Rv1G0374190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEGDGTGAGSEPSVVGLALGGSESSAYVLEWALANFAGGDAAPPAFKLIHVLTPVLAIPTPLGGRLPIDEVKSTIADDYLGKKWIKKQKMLFRCKDTCEENKVEAQVLLVEGNDVADTISSLVSQYQIQVLVVGNSSSMIPFTRMSSVSRKSSKICKSVPSFCTAYVVSKDGSSSVYASESESGSPSGSLVPNANSGSSETEEFVESTESTSSRSDLDDRTGRGLPGFRSLPHSNLASENPESSSSVEGFTLYDYIAGNASVYADKDRRITPFTGAQSSISSQSQGSDNVPTKESSLLGFMPSDNKGSDKVPTKEKSLLGFMLSEKKDDISTELEKLKLELGHMQGAYKLVQDESVDASHQVNELAAKRMEVEAQLSEIQARLDKANDDVQEKMAQRLLAEEAATQVKDLVRAEVMQKNRLLAKASKDSDRKARLEKLFLLQDDSYSTFTWEEIENATASFSESLKIGTGSTGTVYKGHLNHLDVAIKVLHSNDSSSTKHFNQELEVLKRIRHPHLLMLLGACPDKGCLVYEYMENGSLADRLQCRKGTPPIPWVDRFRIAWEIGSALVFLHSTKPSPIIHRDLKPENVLLDSNLVSKIGDVGLSTLMPQKETLSNRTVYKRTGLAGTLFYLDPEYQRSGQVSVKSDTYALGMVILELLTARSPIGLPELVERAVEDGQIADVLDKSAGDWPAKEAHELARLGLKCLEMRSKDRPDLKSVVVVDLERLKHTTAVPGLAGPPSHFMCPILQRMMQNPVLAADGYSYERDAMQMWLCDQDTSPVTKARLRDKKLVPNLALMSAMASWMAQGGRPLME >SECCE2Rv1G0115590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:753552432:753553272:-1 gene:SECCE2Rv1G0115590 transcript:SECCE2Rv1G0115590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGSAAFTNVARVLVCLEEVRADYEVVDMDFLAKEHKNPEHLARNPFGEIPAFQDGDLVLFESRAIAKYILRKYRTDEVDMLRESNQQEAATVDVWAEVEAHQYSQAVAPIVYECIVYPTAYGVPTNQKVVDESVEKLKKVLEVYEGRLSKHEYLAGSFISIADLNHFPFTRRFMETPYASVFDSFPSVKAWWEKLMLRPSVKKISADMQKKV >SECCE4Rv1G0280110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:818487799:818489336:-1 gene:SECCE4Rv1G0280110 transcript:SECCE4Rv1G0280110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATILPTMALAALLLFLFLRATFRRGQKYNLPPGPKPWPIIGNFNLIGALPHHSIHELSKKYGPLMHLRFGSFPLIIGSSVDMARYFLKTQDILYVDRPKTASGKHTTYNYADMTWSPYGAYWRQARRICLTQLFSPRRLASLEHIRADEVKALMCGLFAVSGHAVHLSRDHMSMVSMNVITRMVMGKQLFMNDMAEGPVPSLKVFRWMLDELFLLNGVLNIGDWIPWLDWMDLQGYVRRMKMIGKMFDAFNEHVLDEHSGERGRREGEAARDMVDVLMEKAEDPALEDLIAGGTESSAVTVEWAMSELMRRPTTFDAATEELDRIVGRDRWVTEKDMPNLPYIEAIVKESMRMHPIVPLLAPRVAREDSTIDGYDIPKGARVLINVWAIGRDPELWNAPEDFIPERFLLPFGSGRRMCPGYNLGLKVVHLSLANLLHGFTWRLPDGVRKEDLSMEEVFGLSTSSKYPLQVVAEPKLSDHLYV >SECCE3Rv1G0202550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:875103746:875105356:1 gene:SECCE3Rv1G0202550 transcript:SECCE3Rv1G0202550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHLLLLLLLLAPVTDSAPTRVFSVADYGAAGDGSRYDTAAIQAAVNACGEAGGGRVLLPAPGDYLTATVHLRSRVVLEVAPGARLLGGTRQRDYPAERSRWYVVLAENTTGAGVTGGGEINGQGGAFVVTPSDQKNVMVSWNATGHCQGDECRPRLVGFVDSKDASIHDITLNQPAYWCMHLVRCDRSVIRNVSIYGDFDTPNNDGIDVEGSNNTIITDCSIDTGDDAICPKSLTGPVHNLTVTNCRIRTKSSAIKFGSASAFNFERLLFDNITIVDSHRGLGMQIRDGGNVSNVVFSNIRMRTRYYHPSWWGRAEPIYITTCPRHPGSKEGTISDVFFINISSVSENGVFLAGSRHSLLRNLKFKNVDLTYKRWTNYTGGLYDYRPGCQEMVKHKTGGMMLEHISGLEIDNVRMRWSRGSLQGWDVNPLLFRPSTIDGLSFHDWQSLNVQ >SECCE7Rv1G0494400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:582751773:582753140:-1 gene:SECCE7Rv1G0494400 transcript:SECCE7Rv1G0494400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G25680) UniProtKB/Swiss-Prot;Acc:Q9SL95] MATTALSDPEAVSGNGGTKQPLSLLERARDNLSFRSAWSELNGAMGDLGTYIPIVLSLALSRHLDLGTTLIFTGTYNAVTGLVYGVPMPVQPMKAIAATALSDPSFDIPEIMAAGILTAAFVLLLGVTRLMKLVYWLVPLPVVRGIQLAQGLNFAMAAVKYIRYEQDLGKGKSAVGKPRPWAGLDGLVLALAAVCFIVLVNGAGQDHVQGAQEEDAEGNNSRSSGGWRSWRRRWASAIPSAAIVFVLGVVFAIIRHPAALRELRAGPSRMRVVHISKEAWKRGFIKGAVPQIPLSVLNSVVAVCKLTRDLFPEKEASATSVSVTMGAMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGACVAALGGLKLALGLVLGGSMLRVLASFPVGLLGVLLLFAGVELAIAARDMSSKAEAFVMLVCTAVSLVGSSAALGFLCGMVAHGLLLLRTWTVSSM >SECCE2Rv1G0139510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:927722092:927722541:-1 gene:SECCE2Rv1G0139510 transcript:SECCE2Rv1G0139510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIFSFGLDAMGSGEPLGSPMPDFPGTLNVEVLDGPDKPFGKSFDKPFDPVHDRKRKRGGLMEEEINVFCSMTEAVKEVAIAISKCKPLDVHTDMYGAVMTQSGFSDEACMVALSHLLDKKVRGVGFVAMGDVHRVLWLRTWLGKHYY >SECCE3Rv1G0191030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:745251640:745255456:-1 gene:SECCE3Rv1G0191030 transcript:SECCE3Rv1G0191030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWWGQESRGGVSGGMTGTPVVVKMQTPDWAISEVPPPGSPAAGGKDGRGKNARQITWVLLLKAHRAAGKLTGAATAALSVAAAARRRVAAGRTDSDADNAPPGTGGGPALRTRLYGFLRAFLLLSMLLLAADVAAHAQGWHLAALPDLEAVGGLFAAAYAAWMRARAAYLGPALQFLTNACVVLFMIQSADRLILCLGCFWIKLRGIRPVPNAATAGNGKGSDDVEAGAQEEEDFPMVLVQIPMCNEKEVYQQSIGAVCNLDWPRSNFLVQVLDDSDDAATSALIREEVEKWQREGVQILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQEDFLKLTVPHFKGKEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFAPEAELPAWVVCYIPATMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVENEKQPKQLRVGSAPNLDSLAAKEESYPKADPKPQKKKHNRLYRKELALSFLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQVE >SECCE5Rv1G0331170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:536708288:536711858:1 gene:SECCE5Rv1G0331170 transcript:SECCE5Rv1G0331170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCO2 [Source:Projected from Arabidopsis thaliana (AT3G19220) UniProtKB/TrEMBL;Acc:A0A178VCM9] MNPPNTAPARMNPPNPTPLLSLRPSNPSVPLPRRHRRPHPPRPAAANTTGAASPQEWFRPRRPTDSDPSTSGGRVAARDPGVRVKAKDGGEEKKGERKRRWWERWSRDKESYLVDDVEPLPMPLTIPGTEPMSREELDRRLSCDVKIDECKMVSYEWTGKCRSCQGSGLVTYFRKKGKETICTCVPCAGIGYVRKITYREGAENLDELDNGRPP >SECCE5Rv1G0348060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672329050:672329625:-1 gene:SECCE5Rv1G0348060 transcript:SECCE5Rv1G0348060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIHSSKPRHRSEASAPATSVAVAAARAQDAPKPPLRRSAAFPPRRSHSHPHPHGHQRCDSEPIGRSGRQPRCGEVAGGTAAGCAAVCCCFPCVMVEVVVLATVRAPAALCRRAVRARRRRRSASAGQAVDMYELLVDDGTVAGAGDAAVVWPAGQPAVEEAGEMEKEVWSSFYGAGFWRSPSQLGDENR >SECCE4Rv1G0252210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:613054137:613055936:1 gene:SECCE4Rv1G0252210 transcript:SECCE4Rv1G0252210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-DYW protein, RNA editing in mitochondri [Source: Projected from Oryza sativa (Os12g0270200)] MPPAPATVTAAAAAAAQHLESFLPRLTTLPHYKQFHAQLITSARLYFDPSLRARFLDRLALSAHAAALPHALLLLRSLSSPATNDLNATLRGLAASPHPARSLLLLAGRLLPSPSPPRPRLDALSLSFALKATARCSDGPATLQLHALVLRLGCTADVRLITTLLDSYAKCNDLASARKVFDEMTVRDVASWNALLAGLAQGTEPTLALKLFHRLVGSFRELPPREAPNEVTIVAALSACAQIGSLQDGLHVHEFARKIGVSGNVRVCNALIDMYSKCGSLARALEVFHSIKQEDRTLVSYNTAIQAISMHGHGGDALKLFDEMPTCIEPDEVTYIAVLCGCNHAGLVDDGLRVFHGMRVPPNVKHYGTVVDLLGRAGRLAEAYDTIKSMPFPADIVLWQTLLGASKTHGDVELAELAATKLAELGSNVDGDYVLLSNVYASKARWEDVGRVRDTMRSNDVRKVPGFSYTEINGVMHKFINGDKEHPRLQEIYRALEEIMSRIGELGYEPETSNVLHDIGEEEKQYALSYHSEKLAIAFGLIATPPGETLRVIKNLRICGDCHVVAKLISKAYGRVIIIRDRARFHRFEDGKCSCSDYW >SECCE3Rv1G0172420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:294133275:294133754:-1 gene:SECCE3Rv1G0172420 transcript:SECCE3Rv1G0172420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINIPDGKFYLGDVGYACRSGILPSFRKTRYHLNEFSGRNYPRTARELFNLRHSSLRVTVERAFGALKNRFKILDQKPFHPYSTQVKLVLACCILHNWILQWGFDEHVPKEEDVEPNDVVSSGHGVEAFDNDAWKTKRLEWAETMWLNRGQCRI >SECCE1Rv1G0059800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707813295:707814527:1 gene:SECCE1Rv1G0059800 transcript:SECCE1Rv1G0059800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAPSCWSSIPSELAGVVLRRLPCHADRVRFAAVCKEWRASARQSSPPSHYPWIALPDRTFYSLPGSAFRPLPLHLDRHRQLPHAQSSCGEWLVFERFDGAYTLVSPFSMSTTIHLPGLSDTYAPNVPLRVAQDEPKPYMLKLVVCSDDLVAAIVNDNEAWTYSKLALCRPGASSWWSSTPDELRHLQDVVWCEGKLYALDSWDGLFSVSIGTDGRAGEPTVSRVDHLMGNPRGRRVLKDSPRYLLESSGTLLLVCREDPKNKAKQTAAIGNDSAVVVLWSALELQMGTKFEVLQADLARSRWARLRRVGDHRVLFVGPWCSRAVHVTAAAEAEQDCLYTGDRIFFTEVDVSAGKYNHRYYQKQQPEPFYCSVYDMRTRRSDLFLQTPVRPLKGFPVTWLFPPTPSQG >SECCE4Rv1G0291180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876038104:876039630:1 gene:SECCE4Rv1G0291180 transcript:SECCE4Rv1G0291180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIGSTEATAASTNFSLPVDSEHKAKSIKIFSFGNPHMRAFHLGWMSFFTCVVSTFAAAPLIPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGAICDLLGPRYGGAFLIMLSAPAVFCMSVIDSPAGYITVRFLIGVSLATFVSCQYWISTMFNSKIIGTVGGLTAGWGDMGGGATQLIMPFVFDAIKACGATRFTAWRIAYFVPGMMLVVMGLLVLTLGQDLPDGNLRNLQKNGGMNKDKFSKVLRGAITNYRTWIFVFIYGYCMGVELTSNNVIAGYYYDSFYLDLRKAGIIAACFGLANIFARPMGGYLSDLGARYFGMRARLWNIWILQTAGGVFCLCLGRASTLPTSVACMVLYSFCVEAACGAVYGVIPFVSRRSLGLVSGMTGAGGNVGGGLTQLLFFTSSQYTTGKGLQYMGIMIMVCTLPVVLVHFPQWGSMLMPPSVDATEEEYYAAEWTEEEKGKGLHMAGLKFAENSISERGRRNAILAVPATPPNSTPQHV >SECCE2Rv1G0109940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:684782798:684785592:1 gene:SECCE2Rv1G0109940 transcript:SECCE2Rv1G0109940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTHHRQANSGMSTISRDPVLSKTTERGENDRLEYAVSSMQGYRANMEDAHAAVGDLDVSTATSFFGVYDGHGGPAVSMFCAKHFHLEVQKHPHFNDSLRIAVESAFFRMDQMMMTEEGRRELSEYSPANNANTNSTVKDMLLGCACVNMKRRPGPADVGSTACVALIRGHQIIVGNAGDCRCVISRNGQATVLTTDHKPNVPAERRRIENAGRSVVVTGGAGRIDGGIAVSRSLGDMRYKSNSRLTPALQALTCSPEIRVENITDETEFLVMACDGVWDVVLNQGLVEIIRNNMKSGMDLGKNCEAILDMCVEPPQPSVDNMTILLVRFKHKAPAAPDPSRADDGPHGKRKSKASMELGVMDNLSKAAPPTAGARAKPRRSF >SECCE7Rv1G0474830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:177982605:177987422:-1 gene:SECCE7Rv1G0474830 transcript:SECCE7Rv1G0474830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGAGRCILVGLHMDAVGKELLQWALNQAARSGDRVVAVHIYRKSGDLCKTNALTLIRTLDEYLAEYEAICSKKDIVLVGRVTPGSSIQKVLVKEAKLCAAMVVVIGTNKKYSFGGSTCLAKYCAKKLPPTTSVVAIQGGKAIFVREAPRPPLGAEPKPVLRTLLHPSVGMEPKVIIPNPNRRSMDLDAVGCGQCAAPQPTKPCDEDDAADADADAKAVVVHVAAAPEQKLGWPLLRRAPPTAQATAKDHETTRKQSVVHWVMSLPRRSSPSASPEPAQEGLAADLKQMLGGAPSRCRWFRYEELYDATNHFSPGNLVGKGAHSRVYRGGLASGQRVAIKLCRASAEASKDFLREVDIITKLQHGRIVPLVGVCVQGPNLISVYRYLPRGSLEDNLHGKKRSKPALPWEKRYRAAVGVAEALSYVHSGCSRPVIHRDVKSSNILLADDFEPQLSDFGLAIWAPSSPSSLTHSDVVGTFGYLAPEYFMYGKVTDKVDVYAFGVVLLELLTGRRPITGDGSPKGHHQSLVMWATPILNGGDISDLLDPSLDVKHDEVEVQRMAVAASFCLRRSARLRPPISQILSILRGEEDATSLAASEPDCVVDDETYPAANVRSHLGLALLDVEDAESISSTEHTNLSPLEEYLRQRCSRSSSFD >SECCE6Rv1G0392550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:264409868:264415517:-1 gene:SECCE6Rv1G0392550 transcript:SECCE6Rv1G0392550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIGDSMPRERSANSTSHSRKADGNSANMSGTPHDTANHSAKHCHDEELGNIGGQLCKNDGHSFKESCSITNSEVLTRVKWSEEENKMLTQMINKHGLKNWQTVAHAIPGRSAPQCRQRWRYKIDSAINKEAWSEQEELRLIRAHQIYGTKWREMVKHFPGRTNGAIKEYWRGPMKRKLNSYLSSGLLEQFPDILENLSGTQNNSLDILKGTKVSDILNDTEGSSERNEISSAVPRTSISEVGFTEVGENADVPGVESADFIYARVALAKAPENIIARSEQHAKTRRKLDLLSSPVELKSSSHEVNSQMHLQRREQMSPAVGGVSPSNGCHDVLPKIPSECAKPVLSPTGSYQPSDVHSAGTSDPCSLELDISDLMEMSYFDNLLIFPPGSPHDGNSI >SECCE5Rv1G0372750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:854495983:854498304:1 gene:SECCE5Rv1G0372750 transcript:SECCE5Rv1G0372750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVARICSTRTHSGRAVFALLACPPPCIASHSLDHKCDDATKCSGDKDVRFLNKKSHFLSSGACFSTATETVLVQARDPSLLALEIETAIDQQRFDDAWRAYEKHLHMDGLPRKSVLSKLITGFAATCDTHRLTQSYNVVDRAFQDRHELLEKEALIYLSLVLARCALPDLAVNVVRKLVKIEAYPPVAAWSAIIAHMCQTATGSFLAADMVMEIGYLFQNNRVDPRKKSNRPLLTMKPNSFTFSIVLTASLLFGTTKKAEQLLELMPRIGVKPEASLLIVMARVYEKNGHKDEVQKLKRHVDEACGLSESEFRQYYDCLLSCHLKFGDLDSAVDMVLDMLKKGNNAKRSLEAAKAVLEAVESNKLYLPYEKTRPENSGSPDKPVSTDRQMQNYSSFFKDKSFARLELEARELHKLSSDKLQEQVGLVKSEHGVLHPTETMYAKLVRAFLEADKISALASFLVKASKEDSPVSVESSFVVQVINACISLGLLEQAHDLLDEMRFSGVRVGSSIYSSLLKAYCKEENHEDDITALLKDAQQAGIQLDSSCYEDLIQSRAHHNNTTGALHLFKEMKSSNIQKSVNREFEMLVQSCDSNEAALTAKLVEEVKSGNTVNHAIHDWNNVIHFFCKKRLMHDAHKALSKMRVLGHTPNAQTFHSLVTAYAAVGGKYVEVTDLWGEMKVLANSNSMKFDQELLDSLLYCFVRGGFFLRAMEVIELMEKCEMFIDKYKYKSLWLKYHRTMYKGKAPKVQTEAQLIRRDAALRFKRWIGLT >SECCE5Rv1G0299730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19906585:19907895:-1 gene:SECCE5Rv1G0299730 transcript:SECCE5Rv1G0299730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAALEKARQLLEEAAAESLPTEQVDALPSGFYDAFVLCGIRVHAVEPGRLLCHFTVPARLLNSGNFLHGGATASLVDLVGTAVFYTSGAQTRGSPLEMNISYLDAAFSDEEIDIEAKVLRAGKAVGVATVELKKKSGKIIAQARYSKYLGASSKL >SECCE3Rv1G0174240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:379330973:379365126:1 gene:SECCE3Rv1G0174240 transcript:SECCE3Rv1G0174240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFACNGESQQQAGDGIWAELRENEDHITPCPKDTKDSRSICVGDLKMNDDEAASVVGLAECTSGGQTEHPGLEKQAATEASGHYSATRLDMESWPDLPLLSTTFDRNYNDDNIASTYLDFNSASSLQKVTRSVSVQLDGEPEVFGNEHEEKSNNFLDCDWSNIGDFDDFDCLFSNTEALFGNEMIVNGSDFLVTSSDVVDGTGQSIPFPGDVEKRPMKSQRKPDEGSKSKTSNNTSGFSQNQGHQQPDSLHSLSEPPVQHFQTRQYALLHDSNNMEQFEDATQLAFPGYGYPAYPCPTIPVVSNIQAEGHQTNPKAACYQTSVDLKQSSSTEKPQDMPSRALMMTPQEKIEKLRRRQQQQALRAIQQQQQQFRQEGSGRDTLVPQAYSRRKKNSDSLGSSGIIDENAPEQTSACRKEIHRNSGIPDDPFIEEKIYYELKDALGKLDARTRLCIRDSLLRLAHSSSQRQIAGDRTSSNKTKRDEDEVSENDASNRRKRSLVKEAETDTNPIDRIVAHLLFHRPCSTVATLAKEEITSSTLMSLEADSKMPGATPGGPSGDQQTA >SECCE1Rv1G0002690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9974677:9981381:-1 gene:SECCE1Rv1G0002690 transcript:SECCE1Rv1G0002690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVITTGAEPGRGDLMKGQSLAPAVEELWNTWEIHGMILLSLFLQVYLFLFAGKRMVSNWGPARLVLWLAYLSADSVATFVLGHLAVRTMEPSDQGLMSFWAPFVLVHLGGQETMSAFSMQDNELWKRHLLNLVIQATVAGYVVGKSSWPDRRLKTALVLVFVSGFCKYALRTLYFYFARPKFLKSPTSWWMFGQGRTSYKNKRERAIEDMGEILDRLSKGSTERPRFVEALRLTTNIMAGDAPLNTVESITLAETGKLPGILNEFLSRDDHHNAYEYVGTLLVQCYSRLYTKGYVREIVADTWSMRKGGSSVAFIFQYSTIEGPILLTYVAIAIALVLFTAAEKGDPLLHGRRGRVDIMVSYLLLVGAVVLDVSSFVSFIFSRFSAKCCSKKKLWCQKLNQYNMINSAKVSRRWLTRYIWEKCGFEGDADLSMPVKKFILDTLLASGTRKEWNIASTRGQLALHHRKATTTTLRALKESVRTGVDFPRSVLIWHIATDICFHYTGDKDAAATYSADGLLKKQCYKQMSRELSNYIMYLVFKCGVMLTTYSHVVHIETLVEIAKKLSSSLRQDVNPGDHKDAVIMKLLFSEEIKIEHEESKEQVETSKVEHEGESNKEEDRHEIVQIEHEESANDDNNDAAAEDHIKKLCQSAEAIYCSPVLPRAREVAQELISIKDEAKRWELIAAVWAEKLYYTAPRCGAAFHAEHLTKGGEFVTHVFVLMYLLGPFMPPPGA >SECCE4Rv1G0244050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:508951237:508953774:-1 gene:SECCE4Rv1G0244050 transcript:SECCE4Rv1G0244050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLVAAAKPFRRRHLFFSLSPYHQLSTTTTSDTHSSHLCSFYDPAAQFLPSGSPRHLSLPISLRRDSLLDLARILKSSLQCHLALRTLTSQTPLLHARFAAASRLAILSPSLRPFASLLLAALLPAASPHLLAWCASPGGAPYAALRLALHAFLAAGMPAEALVVLARIRSDGKTPSLSALATLLRLLFCEGNVQAAWRVFVEMTAMGPRPSLAIFNAMILGLCHRGLVPVAAGLLGVMWRFHVIPDACSYNILIKGHCVFGQAGDAFELFEKMHKSGCEPTVVTYNILVNVWCRDGNMVEARRLFDEMVAVGVEANTITFNVLIDGYAKTGQMDEADAAYREMKERGLLPDCCTFNILSAGAYKFGKTMHLAHEQQELYETFGSQISADNIDMTICRLCWDGRLDDARQLVCCAIEQGAPVSVAGFNALIAAYSKEGFEEEALELYKLMKEIGLAPLSSTFNYLILGLCNQGRLDDAQLLLEHMISKGYSVGTSFTVYMDSCFRSGNVEGALKCWDDMVKVGGQPDFIAFSAYISGLCRLDHVNEAYRAFVEMTRRGLVPNNITYNSLISALCRVGHVSEALKLEQKMRQSGLVPDVFTSNILIDGFCREGRLDMVNNRFLDMCSSGLTPDVVTYNTIINAYCRAQDMNGAMIFMNKMLADGCDPDIFTYNIWIHSLCNNHSMNRAARVLDELVAVGFTPNSVTYNTLMDGICNDVLDRAMILTGKLIKMAFQPNTITVNVFFSHFCKQGLGRRALVWAEKLREDSVAFDDATMNILDWASKEMEDDLQGRVADIDKCMFLEFLMLITYNTMSNNRSPKFRHVPVETVIDPAGSNTIKVLDTG >SECCE6Rv1G0434590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:766725334:766726350:-1 gene:SECCE6Rv1G0434590 transcript:SECCE6Rv1G0434590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIMVSTSTGVMNSLLGKLATLMGEEFAKLKNLRKEVKHISDELSSMKDALESLADVDTLDKQTASWRDAVREMSYDIEDIIDDFMCKIGEKSKKIGFIHDTVQRLKTSRARHQIAGQIGDIKKLVRETSERRERYKVDVPKSGNVAVDQRVVALYENASKLVGMEGPTNGLVNWLKDEEKKLKIVSVVGFGGLGKTTLANQVYHKLEGEFQCGAFLPVSQKPNMPKLLHSLLTQLGYGRYFNDCELNVLLDQVRENLKNKSYLVIIDDLWDVSA >SECCE4Rv1G0218140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:20009572:20012847:1 gene:SECCE4Rv1G0218140 transcript:SECCE4Rv1G0218140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKDVDDVEPGSAPSRPVDRFGFIKTEQSSSPEGILKSRSTNERGREERRIRKWRKMIGAGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSTSELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFIAGLLLLYMSEEDAFWLIVALLKGAVHTPMEGLYQAGLPLVQQYLFQFEKLVQEHMPKLGQHFIEEMINPSMYASQWFITVFSYSFPFHMTLRVWDVFLYEGIKVVFQVGLGLLRFCHDDLVKLPFEELLHSLRYFPDEATDPDTLFPLAFSFKVNSSLEELEKEYRKRLDGHGPNASSSSSKRLLPLKSKTMSRAVSQVLSISNVGKK >SECCE2Rv1G0135610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908086515:908088913:-1 gene:SECCE2Rv1G0135610 transcript:SECCE2Rv1G0135610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYLWVRFAPFLSKRYVSSSSSPSCLAIQPWLFVGLGNPGEKYQSTRHNVGFDMIDAFAESQNISLTKNHFKALFGEGMVDGVPVLLAKPQTYINLSGESAGALAAYYKLPLHRVVVAYDDTDLPCGVLRLQPKGGYGRHNGLKSVIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIKEGADILKMVVTKGLTEAARVANVDQRYKHLVSHDQQLL >SECCE1Rv1G0006970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:37167689:37168816:1 gene:SECCE1Rv1G0006970 transcript:SECCE1Rv1G0006970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGATPLLPGLPDEIAIWEILVRLPPKSVLRSRAVSPAWRRATSTRGFLLSHHARQPALPLQYTHSNVAGGAVSLDIVPFDHRAGVAAADRFQCVARLKTAPVPVSPRVGAPRFYLEASCDGLLVLSFGFDDICICNPTTRQYAPLQQVHGFRPVELYPHPSTGEYRLLLYRDEARGAIYVFTVGSGQPPRRIGCPDPYELEDCPGLLFHGSLYWYIGNRIMVFDTTIESFRQIRAPVARDHARLFEMGDMLGASSLNDEETAIDIWVMQDYQSEVWDFKRRVELPAAEIKHQCQCPFFEGGGVIVVPGDGELVMLVGCNGWLFQVDVNGKLIASFQPRGLNSTLYVLKQTLVQHTFFRALEGYVVNASPFI >SECCE6Rv1G0432510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:752436653:752438464:-1 gene:SECCE6Rv1G0432510 transcript:SECCE6Rv1G0432510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLCLAAMGLLLGLVFIVLMFKGKPESKIMWGGASATQLPPAGTAFSWPLVGNLPEMMLNKPAFRWIHKMMEDMGTDIACVRLGGVHVVPITCPKIAREVLKKQDANFASRPLTFASSAFSGGYKNAVLSPFGDQWRKMRRVLTSEIICPSRHRWLHDQRAQEADSLTSYVYTLATAPSSSSIDVRHVARHYCGNVIRRLVFGRRYLGEPQPDGGPGAMEVEHMDAVFTSLGLLYAFCVSDYLPWMRGIGLDLDGHEKIVMEANATVTRLHDTVIDERWRQWKSGQREELQDFLDVLITLKDAQGNPLLTIEEVKAQSQDIIFAAVDNPSNAVEWALAEMTNMPEVMHKAVEEIDRVVGRERLVQESDIPRLPYVKACIREAFRLHPVAPFNVPHVALADTIVAGYRVPMGSHVILSRMGLGRNPAVWDEPLRFNPERHINTTADNDVTLTENELRFISFSTGRRGCVAASLGTAMCVMLFGRLLQGFTWTKPAGVASIDLSESEHDLFLAKPLVLHAEPRLLGHLYPAAPF >SECCE4Rv1G0291620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:877111318:877114280:1 gene:SECCE4Rv1G0291620 transcript:SECCE4Rv1G0291620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISDHLYETIMEHCQGEVYTNPKTALCAQASDRFNRLYDEIYQPHILYKKCGYASSETNDGSIERKILKEETRALKHPPPRLPMDCQNYGTYLSYFWANNNITRDALGIKKGSKDEWVRCHEGDLAYTLDIKSTIKYHRNITLKGYRALVYSGDHDSAVPFLGTQSWVRSLNFPIVDEWRAWHLDGQSAGFTITYKNNLTFATVKGGGHTAPEFQPERCLAMFRRWVRREPL >SECCE4Rv1G0292550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882872065:882873925:-1 gene:SECCE4Rv1G0292550 transcript:SECCE4Rv1G0292550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPWRQVLASATKCWSAEEDHEAADARSYRPPNSEFSRRLASFRRLSAMANGPATPTGGKDDDADAAGEMGVGTMQQLHSFSLSELRGVTHDFSSGYLLGEGGFGAVHKGFVDAGMRPGLEPQPVAVKQLNIAGHQGHREWLAEVIFLGQFRHQHLLKLLGYCCEDEERLLVYEFMPRGSLDNHLFKRISATLPWGTRLKVAIGAAKGVAFLHGGKQPVIYRDLKASNILLDSDFTAKLSDFGLAKMGPEGEETHVSTRVMGTHGYAAPEYVQTGHLTVKSDVYSFGVVLLELLTGRRAMEHVPGRTARAEQTIKLVEWTRPYLASSRRLRCIMDTKLSGHYSVKGARAMAHLAVQCTSPQPRDRPSMAAIVEALEQLEGLKDMAVSMGLFWPTAPAAGRNALSAKFRAEMKSAGTGAVPRRRTASANLS >SECCE7Rv1G0490630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:500274278:500276980:-1 gene:SECCE7Rv1G0490630 transcript:SECCE7Rv1G0490630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein ACR4 [Source:Projected from Arabidopsis thaliana (AT3G59420) UniProtKB/Swiss-Prot;Acc:Q9LX29] MDTVPALVLCCLILLPIWANGLGSMGSISVSYGEDGPVFCGLSTDGSHLVACSGADASVVYGAPLRIPFLGLTAGDGFACGLLLDTSQPYCWGSNSYVKIGVPQPMVEGVEYSLLSAGDNHLCALRMPDKGIPHGVSPDTSVIDCWGYNMTATHVVAGAVSTISAGSVFNCALFARNRTVFCWGDETVSGVIGLAPRNVKFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQVSPTGAIGEGDVSIVPMDAMVSVVGGRFHACGIRSLDHQVACWGFQLQNSTSAPKGLRLYAIVAGDYFTCGVPVETSMKPRCWGNSGPLALPMAVSPGICVSAACSPGYYEYVNHGELGGNKACKPGNSRLCLPCSAGCPADSHESSPCNATADRVCQLDCSRCVSDECLSYCTSRKQTNNHKSMDFQMRIFVAEIAFAIILIFTVTAIACLYVRHKLRDCRCSKSKLRMTKSTTYSFRKDNTKIQPDVEDLKIRRAQEFSYEELEQATDGFSEDSQVGKGSFSCVFRGILRDGTVVAVKRAIKVSDAKKSSKEFHTELDLLSRLNHAHLLDLLGYCEDGSERLLVYEFMAHGSLYQHLHGKDSNLKKQLNWTRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSIMGPVDSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQLEEGNIVEWAAPLIKAGDISGILDPALSPPSDLGALKKIAAVACKCVRMRGKDRPSMDKVTTSLERALALLMGSPCLEQPILPTEVVLGSSRMHKKVSRRSSNQSCSENELVDGDDQRIEYRAPSWITFPSVTSSQRRKSSASEADLDGRTTTDGRNVGSSIGDGLRSLEEEIGPASPQEDLYLQHNF >SECCE5Rv1G0324140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:443732860:443734269:1 gene:SECCE5Rv1G0324140 transcript:SECCE5Rv1G0324140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGLADATAPDADAMPAANKDVADVRMISTKELQAHAAADDLWISISGDVYDVTPWLRHHPGGEVPLITLAGQDATDAFMAYHPPSVRPLLRRFFVGRLSDYTVPPASADFRRLLAQLSSAGLFERVGHTPKFLLVAMSVLFCIALYCVLACSSTGAHMFAGGLIGFIWIQSGWIGHDSGHHQITRHPALNRLLQVVSGNCLTGLGIAWWKFNHNTHHISCNSLDHDPDLQHLPLFAVSTKLFNNLWSVCYERTLAFDAISKFFVSYQHWTFYPVMGFARINLLVQSIVFLITQKKVRQRWLEIAGVAAFWVWYPLLVSCLPNWWERVAFVLASFVITGIQHVQFCLNHFSSAVYVGPPKGNDWFERQTAGTLDIKCSPWMDWFHGGLQFQVEHHLFPRLPRCHYRMVAPIVRDLCKKHGLSYGAATFWEANVMTWKTLRAAALQAREATTGAAPKNLVWEALNTHG >SECCE6Rv1G0418730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:664435784:664438703:1 gene:SECCE6Rv1G0418730 transcript:SECCE6Rv1G0418730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLICCIVQKSSKSYRVCSSVVPSIPAACFCCCIHTKIFLLIVKGQLFLIAKHPWKQSKRTPHKIQTHRQMSVAGIALAALLQLLATMLVLVSADVALPNCSSRCGNITMPYPFGVGAGCHHEGFELTCNDTYHPPKLFMYSSGFEVFEISLQDSTIYIDSGILTLAGEEWPQYDRTIYMNLSLPLNDNIYRVADGNEIIVMGCGIYFIVQWPSPGSWPSPDDESASSSCSSKCMPGHPVIATDGRCSETSDSSIATGCCTTGSIFSNSNKFPIEYTVDKEKLPVNSSLALVERKWWSEKKNAMMLQKAASSDTTLGASRGVLHPIPGVPIRTAISWLFSNLSCAKASNSSEFGCLSDNSECLEYPKSDDSTGGHTCQCRHAYQGNPYVQHGCQDIDECTSQGEYSCFGQCINLIGSYTCTCPDGTTGNPRKQNGCSSTESAKSKNSGFSVAVGIGSGVGILLIILSALTVRRKLIVAKARKSRDFFFRKNRGLLLQRLVDKDIAERMIFSLEELEKATDKFAEARKLGGGGHGTVYKGNLSDKRVVAIKKSKVIIQRETDDFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFISNGTLSDHLHVSTPLSVPWKDRLRIALETSRCLAYLHSAASVSIVHRDIKSANVLLDDNLTAKVSDFGASRGIPIDQTGVTTAVQGTFGYLDPEYYHTRRLTEKSDVYSFGVMLVELLTRKKPCVHLSTPAGSLIAEFILQVNQDKLFEMLDQQIIEEGAEEADGVAAVAVRCLSLKGEDRPTMRQVETKLEVMQSVENNTAMEQNNANADDDNFSRQYSMEEEGMSSMTLPR >SECCE2Rv1G0134850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:903447447:903449241:-1 gene:SECCE2Rv1G0134850 transcript:SECCE2Rv1G0134850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHHRPLHALLGGGAVADLLLWRRRNASAAAVAGATVVWFLFERAGYSLASVLSNAVLLLVVILFFWAKSASLLNRPLPPLPNLEVSDVVVEKAAERALVWINKVLAVAHDIAIKRDRTVFIQVIMALWVVSCIGMLFNFFTLIYIGVLLALLVPPVYERHQDVIDEKVGLAHSILSRHLNTVISKTGQPPKQKKAE >SECCE2Rv1G0087630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:229419950:229424802:1 gene:SECCE2Rv1G0087630 transcript:SECCE2Rv1G0087630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERGRPPRKQRPAPLILPASALVSPPPPRFVFPRSLFAFAARAMPSRRPSPVILLLLALALALLFLLLSPSGPSASRLSHSFASASPSSSASSPPSPVKIYMYDLPSKFTYGVVRSYMSARGPSGSPGAAAVLADEELRYPGHQHSAEWWLFKDLRRRGPRDRPVARVDDPAEADLFYVPFFSSLSLVVNPIRPPATANASEAAATVGPSYSDEAMQDELVEWLERQSYWRRHRGRDHVFICQDPNALYRVVDRISNAVLLVSDFGRLRADQASLVKDVILPYSHRINPFKGDVSVDSRPALLFFMGNRYRKEGGKIRDTLFQVLENEGDVVIKHGAQSRVSRRMATQGMHSSKFCLHPAGDTPSACRLFDALVSLCVPVIVSDHIELPFEDVIDYSNISIFVDTSKAVQPGFLTSMLRRVSSERILEYQREIQRVKHYFEYEDPNGPVNQIWRQVSMKAPLIKLLINRNKRLVERGTNETDCSCICSTPSEISTAS >SECCE3Rv1G0194960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:788295513:788298198:-1 gene:SECCE3Rv1G0194960 transcript:SECCE3Rv1G0194960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLLQRLFSTLLSLIFHGRPWLSGSKGSSSATAAFPSTLLQRQHPPMANDRLAAARTLVVDVDGGLLRSSPSGLFPYFMLVALEAGGLLRGAVLLFLYPLLCCVGIGGDLALRVMAMAAFCGLRESRFRAGRAVLPKWFMEDVAAEAFEAMRGAKRKVCVTNMPRVMVEGFLREYLGADVVVGRRMKVVCGFYTGLMEEEEMTLEKKKIMLESDAVGLSGSLEFLQHPLSHCCKEVYHVTQEDKAGWQALPRAKYPKAMVFHDGRLAFRPTAGSTLAMFVWLPVGAALGAARLAVALTVPYRYSTPILAATGMSWRLKGERPGPPPGHGRGRGQLFVCNHRTLIDPVYVSVALDRQVRAVSYSLSRLSELISPIGRTVRLTRDRESDGRAMARLLDRGDLVVVCPEGTTCREPYLLRFSPLFAELSDDVVPVGIAVETAMFYATTAGGLKCLDPLYYMVNPRMCYTVQFLERVRTTAAMGREVPSTDLANLVQRKMGEALGYGCTMLTRKDKYLMLAGNDGVVKVNDKCAATPGGRRAH >SECCE3Rv1G0199630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:847107121:847110615:1 gene:SECCE3Rv1G0199630 transcript:SECCE3Rv1G0199630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKAAVMLTAAYFVHGLGMKLLSLPLIYTCLIAVLISIASHPSVDLPLLLGKASNGSFPLWSWVMFSPFLFFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGEPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPPQIESAVRWAMRKRSQNKPVYVHCAYGHGRSVCVMCALLVALGLADDWKAAEQMIREKRPSISMNTLHRKSLEEWSKHLLSPSKGSGESDVSSVILSDYTRKKH >SECCE3Rv1G0155560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:67025380:67028269:1 gene:SECCE3Rv1G0155560 transcript:SECCE3Rv1G0155560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFFVGGNWKCNGTVSQVETIVNTLNAGQIASPDVVEVVVSPPYVFLPTVKDKLRPEIQVAAQNCWVKKGGAFTGEVSAEMLVNLGIPWVILGHSERRSLLAESSEFVGEKVAYALAQGLKVIACVGETLEQREAGSTMEVVAEQTKAIADKIKDWTNVVVAYEPVWAIGTGKVASPAQAQEVHANLRDWLKTNVSPEVAESTRIIYGGSVTGASCKELAAQPDVDGFLVGGASLKPEFIDIINAATVKSA >SECCE2Rv1G0134060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:899498335:899499723:-1 gene:SECCE2Rv1G0134060 transcript:SECCE2Rv1G0134060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSLARTTGLWSLPTHPRMFFSLVFRVLPKLLGLPPSLLKKFLKYEHIHPPHMETEVGELPELPHELLVDIFALLDIPDLKRASSVCSSWRSAYTSLCNLGLYKRPQTPCLFYTSVSAGESDAFLYSLAEKRSYKLTLPEPPIRTRHLIGSTNGWLVTADERSEMHLLNPITCQQIALPSVTTIEHVTPIFNKAGALCKYHYSRHTYGPYELYTDPPSILALGELRSFLYGKAFVFYDASAGGHIVVLIHNPCWQLSFARLGDDKWTWLPEHSYFHDCIYKDGLLYAVTAQGKILAFNLRGPVVTTELIMDIAKDFIDENIYIVQAPCGGLLQVWRTQEASEYVENADPATELTNTRNIKIFKVDTMAEKLVGIDSLDDHVLLLGRNQTLCLSVEEYPHLKANHAYFTDDCEPYLFGWKNNRRDIGIYDLVNNSCEELVSPQLWSNWPNPIWITPSLTRL >SECCE1Rv1G0036810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:512657538:512658723:1 gene:SECCE1Rv1G0036810 transcript:SECCE1Rv1G0036810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKRGPWSPEEDAMLKAYIEERGTGNNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSTICKLYISIGSRWSIIAAQLPGRTDNDVKNYWNTKLKKRLLGGRRKDRGAGTQQHRQSELNGANNDGEQQPLSASAMERIQLCMQLQEMQNPLSIGNHNNPLHLWQPSSHRQVAATHSNNSNSNSSRSSSFDVTVAAEQGQSSSLNDQNLGGQLQLETAATVDGLASPSSAGNSNIVTIEAELEELLYSATTTASDSVVTQQGGVDWWSYDQGKSPVNCWDFTPETSSVLQDYTSVVYDM >SECCE4Rv1G0240320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:447962059:447962322:-1 gene:SECCE4Rv1G0240320 transcript:SECCE4Rv1G0240320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYSEIEREKANLINATSISLEQFEKSKNETLYFEKQRAMNQVRQRVFQQAVQGALGTLNSCLNTELHFRMIRANIGILGSLEWKR >SECCE5Rv1G0359620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:763019227:763021440:-1 gene:SECCE5Rv1G0359620 transcript:SECCE5Rv1G0359620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTALLFLLAAHLAAGEATSTTTLTATPATLTKPDRHAVTLQWSNLPDPSPLDYVAVYSPPTSGDLDYLGFLLLNSSASWATGAGSLALPRLPDLRAPYQFRLFRGPPGRNPRVDQDGDPLPDASRRAAVSGDVAHEGSGGRPAQLHLAFTDEADEMRVLFVCGDGGRRSVRYGPAGRREEEWAEVPAAASTYERRHMCGHPANHSVGWRHPGFVFDGVMKALQPGTRYSYKVGNDSGGWSETHSFISRDAEANETIAFLFGDLGTYVPYKTYFRTPQESLSTVKWILRDLQALNNKPAVISHIGDISYARGYAWLWDHFFEQIEPIAASTPYHVCIGNHEYDWPSQPWKPSWAANVYNGKDGGGECGVPYSIKFRMPGNSSLPTGTIAPDTRNLYYSIDAGVVHFVYMSTETDFTRGSDQYNYIKADLERVNRSRTPFVVFQGHRPMYTSSNETKDTVHREQMIQHLEPLFVEHGVTLALWGHIHRYERFCPMKDYQCVNTSSSFVYPGAPVHVVIGMAGQDFQPSWEPRPDHPEVPIFPQPQRSMYRGSEFGYAKLVATREKLTLMYIGNHDGQVHDMVEILSPQAGTAVGAPGKLVGAMPEKMRYLGIAGSVMLAMLLGFMAGFAVRKKKTGSAGWSPVQDEES >SECCE4Rv1G0227150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:117590823:117593226:1 gene:SECCE4Rv1G0227150 transcript:SECCE4Rv1G0227150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIWALVITHLLLLLPLLRCSIVFELHGNVYPTGLFYVTMNIGEPAKPYNLDVDTGSPLTWLECDAPLQSTHKGPHEAYRPTPANVVPCEDQRCVAVHRDIGFAHDCTQNPHQCNYLLQYKDSESSLGVLLTDQFSLPTNNENRPNLAFGCGYDQVGGQEAGARPVEVDGVLGIGRGTGDLVSQLKQQGIISDNIFGHCLGVHGGGFLFFGGDRVPSAGVTWVPMAPNVRSHYSPGAATLNLNVQLEYPVSMEPMTTMFDSGSTYTYVHKDTYGRLIAAVGITLEGSSLTKVDDDALPECWEENEPIQSVDDVKNKFKPLELTFGHGANQATMEIPPENYIVVTKTGKVCLGILNGSQIGLDRLNLIGGNTMQNYIMIYDNERARIGWARASCDEMPGPEPLIGSRL >SECCE6Rv1G0410730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:601875360:601876574:1 gene:SECCE6Rv1G0410730 transcript:SECCE6Rv1G0410730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTAEEDQKLTDYIEKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTPEEEQTILQLHSVLGNKWSAIAKHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDFFAALPQLIALANLRQLVEQRPWDDQSANQLQADAVQAAKLEYLQCLLQSAAAIATSPSSSSINTIPTDLEQFGLLSPSQMSSLSSLSSPRIMAGIDDQDLVTGQVPDIQIPSTSFFEHEQPIINGANQNSDYSANNGEGETGTQKPLLLAEDSLPPLADFPISNLGDACSTSSCDAEGNSTQLSIWTDSFYDEFMSEFA >SECCE1Rv1G0032160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:451685489:451690301:-1 gene:SECCE1Rv1G0032160 transcript:SECCE1Rv1G0032160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRGQKALATLVRSLRSDSISNSNAPRLRHLPSLRRTFSLYDQINLIDTVPEDQLRFQTYDDTGFKINNVKYEGSLLIVENKIMTWTPKTFADITAESLSIFKVVHPIPEILILGCGKYVQPVSPELRKFIRSTGMKLEAIDSRNAASTYNILNEEGRAVAAAVLPFGVDS >SECCE7Rv1G0467730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:90710236:90712564:-1 gene:SECCE7Rv1G0467730 transcript:SECCE7Rv1G0467730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKCGEEELEVAEPLGRWPVLSYGVGHMLNDITSACWFTYLLLFLQEIGLAPRDAAIVMLSGQVADGLMTVLAGEMIDRFGRFKLWHIGGSVLVGVSFSSVFGGCLLCTILGTDSYLVRTIGYSFFAAVFNIGWAATQVSHMSMVNCMTSNPTSRVALASCRNASTMVANLGLYGIALAVFGIVKAKTCADIVVQYKWIAYVSIFVGCCFLVLFHAGTEEPTLKCEPNCKKRARIAWSYWFKKTLYYQVALLYMLARLITNVSQSLIAFYVTRDLKMNEYSKATIPAIIFCCSFLVSVVLQEMRWNSRRLKSLLTIGATLWVISGAAVFLLPSQMHNLMYPLAVVIGAANALVMVTTIGLESALVGEDLNGCAFVYGSLSFLDKMSCGLALFVLESYDVASSCGEARGLNTVSRYGTGLIPACFAVLAIVVASTLRLQDDDVARADRRARASAAAALEAPLLV >SECCE7Rv1G0501360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:682340706:682341968:1 gene:SECCE7Rv1G0501360 transcript:SECCE7Rv1G0501360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPEMMRVAEEQMRRIPADDLARMQRQLMSNPDLLKLATESMKNMTADDFKVAAERLNHTRPEEMLDMTEKIAKAKPEELAAMKAQADAQASSAISAAKTLKRQGNQLHGRGQYTDAAAKYRLARDSVKNGVPSAASRALQLQCSVNLMACYLKLGEFEECVNEGSEVLSYDDSGDAKVYYRRGQAYKELGNLQAAVADLSKAREISPEDETVAQALTEAQEKLATEGGTTNLPKGPHDEARNSTQSDSSESLVNTTMSQVASSSIPVAPDVGSNNMPGMPPGMVGMADPAMWEMFTSMVENMSPDEMANMSGLLGIKLSKEDAANARHAMSSFSQQDLQRMLKWIDRAQRGVQAAKKTKDWLLGSKGFIFAIVMLILAFILHRLGFIG >SECCE5Rv1G0327400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:491838241:491841440:-1 gene:SECCE5Rv1G0327400 transcript:SECCE5Rv1G0327400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAVVVLEAPKPRSPPRYPDMCGRRRLQLEVQILDRELTFLKDELHLLEGAQPVSRSGCLKEVNEFVGTKQDPLIPINKTKHRSCRLYWWIRSKLCICASWLCCSCQCLPTCKRPRCFDCSCCEPNCSCCSPNCCSCFKIPSCCKPSCGCFDCCSCSKPQCCSSGCNPCGECKPECGSCSGGGCCGDCKPSCSCCGEQCCSCGGCSCPRCAGGCFKLPKCSCAQCFNCQSSCCKGQPSCFRCQSSCCDKGGCCSGGSCVSCPKPSCPECSCGCVWSCKNCTDGCRCARCCAGGCLC >SECCE1Rv1G0038900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:543826628:543826921:1 gene:SECCE1Rv1G0038900 transcript:SECCE1Rv1G0038900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHGMNSPSHSLRSYSVSSSEEDSRCGAVVSCLTRRVTPAGSASVGTSKVTPFPSIAAGQGTEGTPRLQRSRAVSRDLVRDWNFDEAVVAN >SECCE2Rv1G0114850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:740560366:740560881:1 gene:SECCE2Rv1G0114850 transcript:SECCE2Rv1G0114850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPLVCYCNAVPRPIAAVFKFFHATALAFVLILCLLGLYEFPYTPEEHAALISGRRRRPNRDGTLPETLKQRLPPVEFAVHLAERSRSSASKSRAAPAGRHDDASTCTVCLERLELTDEVRPLGNCSHAFHRGCIDRWIDVGEVTCPLCRSNLLPRQRGGLLANARARFS >SECCE1Rv1G0023800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:313364486:313366749:-1 gene:SECCE1Rv1G0023800 transcript:SECCE1Rv1G0023800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVDVVDISSDEEDVPVPKKPVDDWLGKLLNDDEPNGDDFFDLVVMGEFSPPQKKANPGGGGGGGDDDDEDDDDCVVLDGDPDKAITIGEDKGSVGDSSSDELQIVGEKGPVACRDFPHSRHLCSNLPFSATSHVKHCSMCHCFVCDAPAPCNYWGKGIQLNDHCHATDKESKWKQLRQAFKSKNLPASRPEQCQNAIYPTMAPSIQKDIQCQVSSFANKSSLLHVMNQNQQRHTSVRVSLNVGRTISTPRACLATKVGRSTNNVHTPQNIHSRGNFKRVGTASPGHTIRNANQFGSTAPIPSLLKNALPHVSQPGQAIPGTNTPHVSQQVKPIQRVNPSSGTVKKNAPQRSLSAPIASQGQQDQPSPSCQVASNGVHGVGPQLSRCTSLMNQRAQFLPEPVVMDVSTQSWQDILASVASDLGVLDDSDYSTSTSQSQKPMRASSQSLDAGAIRAEEGLHTESVASAVNLMTSNGHGLPIHTTGGDTQINAPKQTLHPLDYGSSLSPGEAHLDGFLSPPADELLLEAAHQRESSGLDSTGLIFDFELDEWA >SECCE5Rv1G0361420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:779232313:779233655:-1 gene:SECCE5Rv1G0361420 transcript:SECCE5Rv1G0361420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLSKKKRRKSGVVEVEVLESSMVAPSEQTPRQGLWLSPLDLMLVNRGHTPTVYFYRSESGGDFFDVARVKAAMASALVAFYPLVGRLGVGGREGRAVIDCAGQGALFVVARSDLGVDDFSGFKPSPELRRLFVPRVEDYSPPVMCAVQVTFLKCGGVALGTALHHVAADAISAFHFFQTWSAFSRDGDAAAAALEPPFHDRTLLRARSPPFVHPDALTVFCPKLNLSTEPSAGPVVSEAFDISKDQVAALMSACVSGDGGRVSTFCAVSAHVWRCVCLARRLPPDATTRLTFPASVRRSMRPPLPSGYFGNGIIWLGAAGQVRDGAVRRMDDELVRSAIDYFELTETDSKPAPGRMAETELRVISWLGMPVYDADFGWGKPLAMLRAEAERAGFVYLMDGGQGAGSVRVVICTEAAILDDFLRLLYANF >SECCE7Rv1G0466410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:78653979:78655236:-1 gene:SECCE7Rv1G0466410 transcript:SECCE7Rv1G0466410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGPNRKSCLISRASNVISNLSSRVPSTSLRRARSNSHPMASPHADPQQQHHASAPPLLLAVRRIPFPGAQRPRALHGPDLAPLARRLDELAAAAATHPLLKPLFDLRHHLSTFSQSRRQRMVAMRQAACPLAGGEGCFAAVLGGSVAGMVVSNGVNSFLSLYNTVLVIRLVLTWFPNTPPAIVSPLSTVCDPYLNIFRGIIPPLGGLDLSPILAFLVLNALTGTAAALPAELPAQKAAPGSVHPDELTANQRKWMRRFRPGKPQEAEDGAPR >SECCE1Rv1G0010390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:61948458:61950908:1 gene:SECCE1Rv1G0010390 transcript:SECCE1Rv1G0010390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLHLHLLRRRCSISSFTTTWPPSRSWSPHAAFTAATERVRAGTLSPEEAHHLFDQLLRQSTPVPGQALNGFLATLARARDTDACRDGPSLALTLFNRVWREEAGRRVAPPTVHTYAILMNCCCRVRRPDPGLAYFGRLLRTGLKTNEVVANTVLKCLCCAKRTDEAVNVLLHRMSVLGCVPDEFSYSIVLKSLCEEGRSQQALGLLQVMAKGDGCSPDVVAYSTVIYGFFKEGEVGKACNLFHEMMRQGVVPDVVTYSSIIDALCKAGAMDKAELFLRQMVDNSVQPDTVTYTSMIHGYSTLGRWKEATKMFREMTSRGLIPNMVTWNSFMTSLCKHGKSKEAAEIFFSMAARGHKPDIVSYTTLLHGYANEGSFADMMSLFNSMKGNSIVANRQVFNILIDAYAKRGLIDEAVLILTEMWGQGLSPDVFTYATLVSALCRMGRLADAMDKFSQMIGAGVQPDTVVYHSLIQGFCTHGDLVKAKQLVYEMMKKGIPCPNIAFFCSIVDSLCKEGRVMDAHHIFDLVKDIGERPDIIMFNTLIDGYCLVGEMGKAFMVLDVMTSAGIEPDIFTYNTLANGYFRSGRIDDGLNLFTEMLHKKVKPTTVTYNIILDGLFHAGRTVAAKKMLHEMIGSGTPVSMHTYGIFIRGLCRNDCTDEAIALFQKLGALNVKFNITILNSMINAMYKVQRREEANKLFAAISTSGLVPNASTYGIMIRNLLKEGSVEEAEDMFSSLEKSDCALSSRLINDIIRTLLEKGDIVKAGKYMSKVDEKSISLEASTSSLLLSLFSGKGKYREQIQLLPAKYQFFDGIS >SECCE7Rv1G0520090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:867472446:867473607:1 gene:SECCE7Rv1G0520090 transcript:SECCE7Rv1G0520090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVMTSSTTTVAPFQGLKSTAGLPVSRRSGSAGLSSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSTEALLKQVDYLIRSKWVPCLEFSKVGFVFREHNSSPGYYDGRYWTMWKLPMFGCTDATQVLNEVEEVKKEYPDAYVRVIGFDNMRQVQCVSFIAFRPPGCEESGKA >SECCE5Rv1G0355380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731597341:731599749:-1 gene:SECCE5Rv1G0355380 transcript:SECCE5Rv1G0355380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22070 [Source:Projected from Arabidopsis thaliana (AT2G22070) UniProtKB/Swiss-Prot;Acc:Q9SHZ8] MQDVASVEFHAAGAPRTALAAAADHCARLLRLCGAAANPGAGRAVHARAVKAGLLASAYLCNNLLSYYAGSAGGGGGLWEARRLFDEVPAAQRNVFTWNSLLSAYAKSGRLADARAVFAEMPERDAVSWTVMVVGLNRARRFGEAVEAFLDMVGDGLAPTQFTLTNVLSSCAAAEAGGAGRKVHSFVVKLGLGGCVPVANSVLNMYGKCGDAETARAVFERMPARSVSSWNAMVSLDARLGRMDLALSLFESMPDRTIVSWNAVITGYNQNGLDAEALWFFSRMLRDSSSMVPDEFTITSVLSACANLRMVSIGKQVHAYILRSGMPCVGQVTNALISMYAKSGSVENARGVMDQAVVADLNVISFTALLEGYVKLGDMKRAREIFDIMSNRDVVAWTATIVGYEQNGYNDEAMELFRSMIRSGPDPNSYTLAAVLSVCASLACLDYGKQIHCKAVRSLQEQSSSVSNAIVTMYARSGSLPLARRAFDRVRWHKETVTWTSMIVALAQHGLGGDAVGLFEEMLRVGVKPDRITYVGVLSACTHAGFVDQGRMYYQQMQDKHGIVPEMSHYACMVDLLARGGLLSEAQEFIRQMPVEPDAIAWGALLSACRVHKDADLAELAAEKLLSIDPDNSGAYSALCNVYAACGRWGDAAKAWKRRKDGGVRKETGFSWTHVRGRVHVFGADDTLHPQREAVYRMAAKMWQDIKKAGFVPDLQSVLHDVDDELKEEMLSRHSEKLAIAFGLLATPEGTTLRVMKNLRVCNDCHTAIKFISKVADREIILRDATRFHHFRDGLCSCKDYW >SECCE6Rv1G0445270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:836452039:836452686:-1 gene:SECCE6Rv1G0445270 transcript:SECCE6Rv1G0445270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPANLLHRSTAVAAGPGEPSPSPSSLPPPHPEQQQTAPVMAMDSDMVVILASLLCALVCVLGLALVSRCACGRRRSDSTSSSVPLPPKGLKKKAIDALPTVSFTPAGASPQSSSSVAACSSSLECAICLAEFTDGESVRVLPRCGHNFHVSCVDAWLRTCATCPSCRDPIVVTTAQPPVGPTVVVVVTAVNNRCKRCGELETPAGGADSTFLP >SECCE2Rv1G0133760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898443381:898445468:-1 gene:SECCE2Rv1G0133760 transcript:SECCE2Rv1G0133760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKKHLSGFEERTKRKRIDKFIGTQKGAMRKFAVRIDTTDNVDELDGNGAEEQQPAENETTIEENNAHETPINLSGRENPTSVDEQGSSPFDIYDPRNWDALDNKARDILIEKGPTREYNLVFEADNIGRHFSYAYYSRKLSNGEVSDRKWLVYSKHVNKVYCFCCKLFKSESSKSLLASEGLMDWKHLSEKLKLHENSVEHITNMNTWNEVRLRLSKNVTIDTDLQQEIAKEKERWRQVLIRIVAAVKFLAKHNLAFRGSNEKLYQVSNGNFLGVIEMIAEFDPVMQDHLRRIQNSEIHYHYLGHKIQNELISLLAQSVKDSILKVIKDAKYFSVILDCTPDVSHQGQLTLIVRCVNMSSATTKLNEYFLEFLKVDDTSGLGLYKKLITALGLLGLNVNDVRGQGYDNGSNMKGKHQGVQKRLLQINPRALYMPCACHSLNLTLCDMAKSCSKAITFFGVVQRIYILFSGSTKRWQVLLDNVPNLTVKSLCNTRWESRIKSVQAIRYQAPQLRKALLELQRTFNDDPKTKSDAKSLVTALGNFEFLVGMVIWHDILFTINMVSKKLQSEFMCINVTLKQIEGAISFFKKYRNDGFTSSVNIAKSIASEMDIDPVFPVKRHVIRKKHFDETSDSEENNQMEEAQAVAEESFRVKYFLVMIDVAINSLTTRFDELKSFGSIFGFLFNSKKIEILG >SECCE7Rv1G0498680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:643627131:643628721:1 gene:SECCE7Rv1G0498680 transcript:SECCE7Rv1G0498680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFAVTKVSEGPVRPSAATPSETLPLAWVDRYPTHRGLVESVHVYRDAVPETGDAGAEEKKRKPPAAVVRGALADALVHYYPFAGRIVDGDDTRRPAVLCSAEGVYFVEATANCTLADVNFLERPLLLGKEQLVPYPTPELWAVEPQNSLAMIQVTTFTCGGFVIGLRTNHAVADGTGAAQFLNAVGDLARGLPKPRVKPVWGRDRFPDPDIKPGPLPELPVLALEYIAFDFPVAYIDKIKSQYSEFTGGKHCSGFDIVIAKLWQCRTRAIGPVVVPGADVKLCFFASARHVLKLELGYWGNAIFPVKVSAPAEKVAGSSVVEIVGVVREAKRRMAEECLSWAECRTGGRDPFQMTFDYESVYVSDWSKLGFSDVDYGYGTPMTAGPLVNCDLVASVIVMKAPAPLAGTRLLASCVTKEHADDFAGLMREDLV >SECCE1Rv1G0063470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:725315057:725317015:1 gene:SECCE1Rv1G0063470 transcript:SECCE1Rv1G0063470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWWISTACTRPTNKLTAMDHDLLLLLLASLAAVVAAAVWHLRGHGGGGARKPKLPLPPGPRGWPVLGNLPQLGDKPHHTMAALARHHGPLFRLRFGSAEVVVAASAKVAGTFLRAHDANFSDRPPNSGAEHVAYNYQDLVFAPYGARWRALRKLCALHLFSARALDALRTVRQDEARLMVTRLLSSSVSPAGLAVGQEANVCATNALARAAVGRRVFGDGVGEGAREFKDMVVELMQLAGVFNIGDFVPALRWLDPQGVVAKMKRLHRRYDRMMDGFISEREHVAGDRADGGEGNDLLSVMLATMRQPAANAGEEDGIKFNETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKKLQRELDDVVGNDRLVTESDLPQLTFLAAVIKETFRMHPSTPLSLPRVAAEDCEVDGYRVPKGTTLLVNVWAIARDPASWGPDALEFRPARFLSGGSHETVDVKGGDYELIPFGAGRRICAGLSWGLRMVTLMTATLVHAFDWSLVDGMTPEKLDMEEAYGLTLQRAVPLMVQPVPRLLPSAYTV >SECCE5Rv1G0329300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:514805361:514806658:-1 gene:SECCE5Rv1G0329300 transcript:SECCE5Rv1G0329300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPGEPRLPRKKATKRPHPSAPPPPPPAHGGRGRDRFESLWRDYHDLLKETDAKKRRLERINQRKLGLLAEVKFLRKKYSSFANDDSEQRHRRLKKKKAKLIPSTLGINEGPSTSKNTNVDLNHDSAMNAEGAGFQGYQDHPEPGKHDQAGVDEDITASNINLSVYRDTENSPASDDKRAAAWQDRVALQV >SECCE6Rv1G0435830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772948645:772949065:-1 gene:SECCE6Rv1G0435830 transcript:SECCE6Rv1G0435830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSSSSSSSKTEAGGEQHRKEEKHHNHKHMEQLAQLGAVAAGAYALHQKHKAKKDPENARSHMIKEEIATTVAVGSAGFAFHEHNRKKEAKKHGHHH >SECCE4Rv1G0296880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:905096947:905097375:-1 gene:SECCE4Rv1G0296880 transcript:SECCE4Rv1G0296880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKSLLAACVNLEDALKSGEHKDIDGAELFYELIFIQDLVKKSMGPVDILEILMKRPFYPNAIIAYRILLTIPVTVATAERSFSKLKLLKSYLRSTMTQERLNGLATIALENDVLKKINYEDVIEDFISRNARRMTLFNRE >SECCE1Rv1G0024780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:332265256:332268166:1 gene:SECCE1Rv1G0024780 transcript:SECCE1Rv1G0024780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEPMRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIEFAAEALKGVKMELIDAAFPLLKGVVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMTKNVSIYKAQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPEKNISCLTRLDHNRALGQISERLGVQVSDVKNAIIWGNHSSSQYPDVNHAIVKTPSGEKPVRELVQDDEWLNGEFIATVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYGVPAGLIYSFPVTCSGGEWTIVQGLPIDEFSRKKMDATAQELSEEKALAYSCLA >SECCE6Rv1G0452160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:875561567:875563177:-1 gene:SECCE6Rv1G0452160 transcript:SECCE6Rv1G0452160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEQQQQQGLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDLSKPDPGTLPPSVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKSVYGMTLLLMVICSLGSGLSLAHTPSSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLVISSAFRAGFREPAYQDDRVASTGTEADFVWRIILMLGALPAMLTYYWRMKMPETARYTALVAKNAKLAAADMSKVLQVELEDESEKMQEMVSRGANDFGLFSPQFARRHGLHLVGTATTWFLLDIAFYSQNLFQKDIFTSINWIPKARTMSALDEVFRISRAQTLIALCGTVPGYWFTVFLIDVVGRFAIQLMGFFMMTIFMLGLAVPYHHWTRPGNQIGFVVMYGFTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAMIGAFGFLYAAQDPHKPDAGYRPGIGVRNSLFVLAGVNLLGFLFTFLVPEANGKSLEEMSGEAEDNQDDAVVQPVMA >SECCE6Rv1G0388570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:139419069:139421242:-1 gene:SECCE6Rv1G0388570 transcript:SECCE6Rv1G0388570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRFGHEASAAERRTPAWLGPLLGAEFFKPCATHPYLVKNECNHYCLDCAGEDDAICCTQCISAHRNHHVVQIRKSSYREVIRVSELKAVADISQVQTYVINYDRVVFLNRRPQAPQHVVKCVGPAGACLECGRGLVDANFLFCSLSCKLDGMVSDPNLTFIVDPRCNREDVVLEREREDEEDNGLPEPSNRPPGWISYRRRPRKGIPERAPFY >SECCE2Rv1G0086450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:212100684:212102717:1 gene:SECCE2Rv1G0086450 transcript:SECCE2Rv1G0086450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALILLVLLSMAGELVSCSSADEGQFAFQGFAAANLTLDGLAAVMPNGLLALTNFTPQTKGHAFNPTPLRFLNVNGTPNSTAVARSFSTSFVFAIVSNYDGLSDQGLAFVVAPSTNFSRAIAGQYLGLLNATNGTANDHIFAVELDTIMNPEFRDIDSNHVGINVNSLISQKTMPAGYYGDDRVTFRGLMLNSRKPMQVWVDYDGQARRLNVTLAPAQEPKPRYPLLSEAIDLSTVFTETVYVGFSWSSGVVFTHHYVLGWSFSLDGPAPPLDLSKLPALPRVGSKHRSMVLVLVLPLATVLIIAAVLAATSFFVWRRRRFAEVREDWEDEFGPHRFAYKDLFRATYGFRNENLLGAGGFGRVYKGVLPGSNLEIAVKRVSHDSRQGIREFIAEVVTIGRLRHRNLAQLQGYCRRNGELLLVYDYMENGSLDKYLYNNNGPALDWPQRYWIIKGVASSLLYLHEDWEQVVIHRDIKAINVLLDKQMNGRLGDFGLARLYDHGTDAQTTHVVGTMGYLAPELVRTGKATPSTDVFAFGVFLLEVVCGRRPIESREHINTVVLIDWVLEHHRNGSIIDTVDPRLMGKSNTDEIMLVLKLGLLCTHPSPNVRPSVQKVMQYLNGVQLVPNIPSTYMSYSMLALMENEGFDSYIMSCPPLGMSICNVSDVSPATVLLDGR >SECCE1Rv1G0037620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:527658580:527662201:-1 gene:SECCE1Rv1G0037620 transcript:SECCE1Rv1G0037620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGALPLGVFVVLLCVGVVRAADADIVTAGRPLSGDQKLVSPGGKFALGLFHPDGAADGRWYVGIWYHKISVHTPVWVANRETPVSNTSRLAIAPDGNLALFDGAGSIVWSTNASTSGVANASDTVAVLHDSGNLVLTPASNASTVLWQSFDHITDTWLPGGKLRRDKRTGAIQAMASWRARGDPAPGMYALQLDPSGAKQYLLLSNATRVYWATGNWTGKYFTGAPEVAASRGDSGYSFSFVDNDDESYFTYNFAVNSTVYRFVMDVSGQVKGWFWVEATQGWNLVYAEPKARCAVPRGCGAFGVCTEGSSTPCDCARGFIPQSPASWGLGDYIGGCVRNTQLQCSKNNSGPSDGLKKVEQDKFLRIDGMRLPDDGRMAAAGSSGDCQRACLGDCTCSAYAYNGSCFLWHNDLFSLQDGVLDDQASAGSLYLRLAASELPGARSHVWRNIKVAAVALGVTCFVIAAAILLVYTMKKRRTRRLNGLAVGDGCVSYKYSDLQSLTKNFTDKIGAGAFGSVFKGQFSDNTVVAVKKLEGLRQGEKQFRAEVSTLGTVQHVNLIRMLGFCSGGGDRKLLVYEYMPNGSLDRHLFRKTFYVLSWQVRYQVALGVAKGLAYLHDKCRDCIIHCDVKPENILLDASFGAKVADFGLAKLVGRDFSRVLTTMRGTVGYLAPEWISGEAITAKADVFSYGMMLFEIVSGRRNIEQGERRSVVSAAADADGAEEHPTTTFFPLLVARKLAEGDVMTLLDPELEGDANAEEMRRVCKVACWCIQHDVDTRPAMAEVVQVLEGLTDLEMPPVPHYLEVLVGQPVHGTAYHSTEQLEAPAGRAVHGTVYHSTEQFFRA >SECCE7Rv1G0484610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344142040:344144463:-1 gene:SECCE7Rv1G0484610 transcript:SECCE7Rv1G0484610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKASDEIRKEMHLYMKTNSNKFKAMQLDGDEVEASEGDGGEEEVQASSRASNSTAASTKRKSLFIVTAPVAAKKKSKSIADEVRKTPEEVVAERHSTRSTQLKIKDYGTKTKEQKDVADGHVADFLYENCLPLNIINSRSWEIMLESIGQYGSDYITPSYHDVRIPLLEKAKLKTDTLKAKHQLAWKEYGCTLMSDGWTDMSGRHLINFLANSPEGTFFIGTANVSSESVTAELVAKLLSEQIEAIGPELVIQVVSDNGSNYKAAGRLLMDKYPSLYWTPCAAHCLDLMLEDIGKIREFSDCIVKAKKTTRFIYAHGRILDQMRTLNGKRDLVRPGATRFATSFLTLASMWKQRQHLKALFVSTEWHANKLKLTPPGKLAENTVLSVTFWQSVENCMRASQPILVALRIVDGDDSPAMPEIWAAMDVAKTHITDALSQRPGLQSQVLAIVDKRWDNQMEQRLHGAAMFLNPSKFIKMRETNKRLAARLRSMFNDVLWKMVTDDELQSKISKLADEYERTEGECFTKLMAIKDREKKSPLLWWNAYGGLAYDLQCFANRIISLCCSSSGCERNWSAFSHIHTKGRNRLEYKRLNKLAYVSYNRQMTTRFEKLREQGSKGKRANALILEEFQWDNEWVDVTAELVHQNDAMDDDNPLLWSHVDEAVGATDGLSGRHLPRRARGDFSESAPPAGSNIFYTRRTSVVVHDEDLRAELEAEDIDSDMEANGEDNDGPTRVNDIDPDFQLDIDLD >SECCE4Rv1G0216520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:11304787:11316242:1 gene:SECCE4Rv1G0216520 transcript:SECCE4Rv1G0216520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFPLLPSGSKPNTSAPLYLTLDNTTSGASTSPVPEPPPPPPEPSRLHSNHHDRGTDTVKAKIMSHPLYPALLTAFIECQKVGAPPEIVGRLSALAGDLDSDPGDRRQDPVPDPELDEFMETYCDVLVRYKQELTRPIQEADHFFRAMEAQMESFTLDDQSYEGGGSSEDEQEPGGDVGGLPEITSHCAEDKELKSHLLNKYSGYLSSLWRDLSKKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPTPPAMEYMSQQQQQQHPYGGASSSSSAPFRTTQGHYFAGGSAYPRGP >SECCE4Rv1G0221620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:50269537:50272494:-1 gene:SECCE4Rv1G0221620 transcript:SECCE4Rv1G0221620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-enyl diphosphate reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34350) UniProtKB/Swiss-Prot;Acc:Q94B35] MATITTQLRSAFLSPAASSSSSPSSQRRGGRRAPSSVRCDASPPAASASASLDPDFDKKAFRHNLTRSDNYNRKGFGHKKETLELMSQEYTSDVIKTLKGNGNEYTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPEERIWITNEIIHNPTVNKKLEDMGVKDIPVNAGIKDFDVIEKGDVVVLPAFGAAVDEMYTLNQKNVQIVDTTCPWVSKVWNMVEKHKKGDYTSIIHGKYAHEETVATASFAGKYIIVKNMVEATYVCDYILGGQLDGSSSTREEFLEKFKNAVSPGFDPDVDLEKVGIANQTTMLKGETEEIGKLVEKTMMRKFGVENANEHFVAFNTICDATQERQDAMYHLVKEKVDLILVIGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNKISYKLNHGELIEAENWLPQGPITIGVTSGASTPDKVVEDTLHKVFEIKRQEVLQVA >SECCE6Rv1G0446640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:845321356:845324087:1 gene:SECCE6Rv1G0446640 transcript:SECCE6Rv1G0446640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAVRAVVGNMSSLAIQETKFLCGVTDEVGFLKEELKRLQGYLKDADNKKRLRQEGVATLVNQIRAASYDAENVIGTADYMEKRNKLRKGVMGAISRYAHLPGDLVTLYKVGNEIQRVRRNLSEIFQCAQHLKIDLDNAVVSEDGFPQDIGVMHQNHEDDIIMVGFEDEHKQIVDRLVDKDDMLSVVSIVAMGGAGKTTLARKVYNSSRVKQHFDTIAWVTVSQKFKGIDLLKDIMKQIIGQKDEPTDQMQEHEVGKKIHDLLLQKKYLVVLDDVWETDTWEQINRMINVFPDATNGSRVLLTTRKEDVANHVQMPTYVHPLKKLNEKKSWELFSTKALPAYKRSIIRDVDEFEKLGRKLAKKCDGLPLALAVLGGYLSKNLNAQTWSSILSDWPATKDGQMMRVILARSYKDLPNHHLGSCLLYFAAFPEDYEIYVPHLIELWIAESFVKRTRNHTLEETARSYITELAQRSLVQVVDLDTTHGWIERIRIHDILRDWCIEEARQDGFLDAIGKNAGQAGASSSYDNLISYRFSLQSLGGDILPATRSVRTLVGFKLSSVSLPEPRILRVLCIEDSTLKDISSVIGECIHLRLLRLRRCGRLRLPSSVGKLFYLQTIDLSGTILESLVPNSLWGIPNLRHVYLGNGFSPPPPPKSVRLQHTELQTFELDIDNVGINFRYHDMVVFLGQMNQLTTLSLSMGPIPTEVINIFVNMPHLVDIYVYKFDVLDKLPAEFPQSIRRLVLYADVIKQDPMPILEKLTRLVVLKLSGYKGQTMCCSVQGFPLLQELVLSSFSTKWRITEGTMPKLSHLTLWLCEKMSKLPEGLLHLPYLSHLKLATMSQISEDDSTLKMLQRKGCEVRIYTQLS >SECCE5Rv1G0322040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:415575604:415581103:1 gene:SECCE5Rv1G0322040 transcript:SECCE5Rv1G0322040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDARDVCVVGVARTPMGSFLGGLSSLPATKLGSIAIQAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDSLVDGMLKDGLWDVYGDCAMGVCAELCADNHALTREDQDAYAIQSNERGIAARDSGAFAWEIVPIEVPVGRGKPAVLVDKDESLDKFDAAKLKKLRPAFKDNAGTVTAGNASSISDGAAALVLVSGKKAQELGLQVLARIKGFADAAQAPELFTTTPALAIPKALTNAGLESSRVDFYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKSGKIGVAGVCNGGGGASALVMELA >SECCE5Rv1G0330060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:524148552:524149814:-1 gene:SECCE5Rv1G0330060 transcript:SECCE5Rv1G0330060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVQRGRNPPGILDGLYGVQIARRPPQTHSEGEAVRTSFTDSPTCEHQNGGGAVAQRHQRLLIRRLWQQRPSCLKPIHCGITCDKHAGETFANVVTSLPFIVLGLQTPRKNLNAAIYANSLVGVGIASGLYHSSRGEVRKILRWADYTMIATTTLCLSRAVRNENPGLLMAASTLLLPFQPFMVSAVHTGMMEVSFAKRASVEPELRTVHNLHKMSSLLGGALFIADDYFPETPYIHAAWHLAAAIGIGTCNKLLG >SECCE6Rv1G0443850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:825353129:825354337:-1 gene:SECCE6Rv1G0443850 transcript:SECCE6Rv1G0443850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTEIPDHLLVEIFLRLPDPEDLVRASAACVPFRRLATNGSFLCRFRRLHPPPILGFLWAGGMFYPTLPPHPSAPAAHALHLAADFYFSFIPSPYRWTVQDIRDGRVLLQGDIQKDEQPPVFKDLAVCDPLHRRYVLLPPVPHDLAALLEHPFPMVSEARCKAFLVPLSEEEVAAGETTFSVILMANCKTILAAFVFSSGTGQWEAAASKGWSDLDLSESDMAKMSWVHPFILRRHYAYGCFYWDWVEFGRKKLLLLDTRKMEFSIADLPPGEWSTKGGIAIVEAGEGRLGIFGFHGGISSDLSYKVARNKGKSPSQWQMEKTISLDSGYRYFIRDATQRYLIFTRREASSLENPLAGYFSVDVKTFQLQRLYDNPKYFMYRTHTYINFPPSFLSSSRI >SECCE3Rv1G0203340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883005359:883007278:-1 gene:SECCE3Rv1G0203340 transcript:SECCE3Rv1G0203340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDAPQVDGHAPRDVDEETRALIAPDAGSLPAAPPSAVEANFARYFVADYLNPGHDQFVYRHPNGLCVVGLAPSHVALKEEGGITAIDFNVGKTDRSEIKVTGKRKRNAQHLQENSALCKVCTNDKTFVVRCCVKGQLLEINDRLIRQPDLLTTSADREGYIAIFMQKPGDWLKVKDKFLSFEDYKNLRGIC >SECCE4Rv1G0290630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873500494:873500931:-1 gene:SECCE4Rv1G0290630 transcript:SECCE4Rv1G0290630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPVVATAEKTTAGKKPKAEKRAPASKEAGGEGKTRGRKKGSKAKKGVETYKIYIFKVLKQVHPDVGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE1Rv1G0017850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:165766914:165768122:1 gene:SECCE1Rv1G0017850 transcript:SECCE1Rv1G0017850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAILAMGLLATVLYPALSTRSFISMPADVSSPVTLANGLSYGYHANSCPQLQDIVWPIVESAVLGEVAIAAGLLRIFFHDCFPQGCDASVLLTGPNSERDLLPNQTLQPRAMQLIEDVRVKVHAACGATVSCADIIALATRDAVFVAGESEMFYFYDLPLGRFDSLEPANSSAVFDLPQSTADAETLINAFKSRNLEPIDLVALSGAHTVGKARCSSFNNRFSEDADFARRLAANCSSDPNRLQDLDVETPIVLDNQYFRNLMEGKGVFTSDQVLISDGRTDWAVKGLAENKWWFYSQFRDSLVKLSQYQPGGNVGEIRRNSCFTPNGHSIPATAEGFADSA >SECCEUnv1G0568230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:423848569:423848889:1 gene:SECCEUnv1G0568230 transcript:SECCEUnv1G0568230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKAFCISLVIFVLVLFTAPDPVAAAVYSVGEVVMPMSSSLRLEDSVVPELGLDLDVHRRLFGEVGKGALDPNKSACKPKCAGEGQPYTGRGCQAIYGCVPKSSE >SECCE2Rv1G0083910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172278618:172279058:1 gene:SECCE2Rv1G0083910 transcript:SECCE2Rv1G0083910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNAAVFLLGLLLSCVAMSGAARILEDTVPSKEEHQPEVPSLPKVELPPFPEVHLPPKPELPKVELPPVPEVHLPPKPEMPKVELPPVPEVHMPPKPELPKVELPTLPEVHLPPKPEMPKVELPPMSEMPTVPGIHFSEPEAKP >SECCE7Rv1G0523680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883280903:883282167:-1 gene:SECCE7Rv1G0523680 transcript:SECCE7Rv1G0523680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSASNLSKIRCTQRAEGSAVVLAIGTANPANKVSQEEFPDCYFRVTKSEHLTDQKDTFKTICGAMGTDNRFFQYTEEQLNSYPDFLQPMSPSLDARLDIVASAAPPLAASAAKKAISKWGRPATDITHLVVSTNSDASTPGIDVHLVSLLRLRLNVCRTMLQLNGCFAGSAALRLAKDLAENNRGARVLVVCVELSIAGFRGPDHHLDTLIIHALFGDGAGAAIVGADTVYPIEQPLFEMVSASQTIVPCTEHVLSMKMGSCGVGGKVSTQLYNLVADNIEPCLWEAFGPLDMGAQWNDLFWAVHPGARGILDGIDKKLQLEPIKLAASRSVLRNFGNMFSATIIFVLDELRRRMEEEEERAEWGVMLGFGPGFTVETMVLHAAGALRKN >SECCE7Rv1G0455170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5567561:5567995:-1 gene:SECCE7Rv1G0455170 transcript:SECCE7Rv1G0455170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKAMAPVALGLLAAVLLVGTAGTSLLAGCAGPQRMELQEHEVWVRYLLVRVAPVAAGIFFWAGMADDDLTATAWELRVMFVVGLSLSFASSLLALAALTTELSCDCVGHWLAMIVALVAAHLVAVWAARGRLRALKLRRAI >SECCE5Rv1G0332210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:545130927:545132811:1 gene:SECCE5Rv1G0332210 transcript:SECCE5Rv1G0332210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTLPPAAATLPSQEDPRTSEKPSADDSAADPSDIDSGWVFLGKSDVVPADQAAAAIHAAGHRRLGFSPLPMLPIWVQMVLGGVVYTAVPFYKRARQIEDKAIENVETALDVLEHASEVTEKFAANVANSLPADGSLHKLAEELEYIAEEVDKDAHKAEVMIKKIEALSDKIDAAVEPVIENLEEEFKPNPASHAGSDGQK >SECCE3Rv1G0178990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:523397469:523401044:1 gene:SECCE3Rv1G0178990 transcript:SECCE3Rv1G0178990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPAKIPRRKQPKNQQIKVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDCIGETYLGIQIFRFYFKCTRCSAEITFKTDPQNSDYTVESGASRNFEPWREEDEVVDKEKRKREAEEMGDAMRALENRAMDSKQDMDILAALEEMRSMKSRHAGVSVDQMLEILKRSAHQKEKKTVAELDEEDEDLIKSITFRNSKDYVKRIEDDDDDIDGNCINGSSESMVNPTDVLTKADGPESANKEGNKSLASKMPKFIVKPKPAGANPQKRQKTQTDTIQDNGKAPAAEKKNEASVEKTNVLQSLCQYDSDESDD >SECCE4Rv1G0254820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:642334123:642336282:1 gene:SECCE4Rv1G0254820 transcript:SECCE4Rv1G0254820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRKGLLACFGPGGGGDEEAEQEARATPGARRRRTVMNLRSLSLEDLSRTLATTSLHAFTLDELKSVTKNFSTANFLGEGGFGPVYKGCVAGGLRPGLAAQQVAVKYLDLDSDGVQGHREWLAEVVYLGMLSHPHLVKLLGFCNQDDHRMLVYEYMPRGSLENHLFNNPLAPLPWSTRLKIAVGAAKGLAFLHEADTPVIYRDFKASNILLDSDYAAKLSDFGLAKEGPKGDDTHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRADDRLHRVMDPGMESQYSTRAARGAAAVAHSCLQSVPKARPRMRDVVDALEPLLALDDDVPMGPFVFTVCDPEAAAAAGKGSGAGDDEPAAAQGKWHVKSAVHADSPLRKGDCWVTSAAKRPESPPGVI >SECCE2Rv1G0077130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:97059909:97062389:-1 gene:SECCE2Rv1G0077130 transcript:SECCE2Rv1G0077130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPPPLSPRGAEEEEGDTRSDGTGSGGGGGGTVFSYGEAGYWDARYVEEGGAPYDWYQRYAALRPFVRRFVPPASRLLMVGCGSALMSEDMAADGYMEIINIDISSVLIEMMRKKYFDLPQLQYMQMDVRDMSKFSDESFDCAIDKGTLDSLMCGVEAPLSAAQMVLEVDRLLKPGGVFMLITYGDPSARIPHLNQPVCSWKIVLYILPRPGFKGNIRRSVFDPVPLTESGRLPEGFVLEDPDSHYIYVCKKGQELTGIDSPTLSHLKLQDSE >SECCEUnv1G0527490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1335938:1337378:-1 gene:SECCEUnv1G0527490 transcript:SECCEUnv1G0527490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALALVSFRSPSFNLKQHPQSRHQQISIKWRKRQQCFSAKPIPIRAIPGPNATGAYRGGNNLPSSPLTDVIQEFYSSLNDKDITRLEKLIALDCVIEDTAYYKPLDVKNTHTYFTRLMKVMGKNAKFAIDEVCQGVEPTVAAVMWHLEWRGEMIPFAKGCSFFMCSANGAALLIRKVHIFDESPLKPGKLALELLNFVTNVFDTFPYIAKGFLKNKEALARFFARFYKFCGPFIVPLLAYYTDVPILSYYTRFWSYVAQGFTMVLNMLYNIFKRFL >SECCE3Rv1G0192080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:754894678:754899640:1 gene:SECCE3Rv1G0192080 transcript:SECCE3Rv1G0192080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSGSGEADGAAPAASTYYDVFGSDAKPDVIFKEATSDSTLNLQDVQGLVTWVIGEGMPPSWVFVKNKPLIPKVILLYVPGLDAALYMSQSRLLSSLKGLCGNPKPVLASSCVPDERHTIDALLTCRVKRKRQSQTSNQSYESDGGKLSSLADLKDIPFPVKYYTLSKKDLEDNGYTLNLPGFVPTVAAPSGSSPYEILALDCEMCVTAAGFELTRVTLVDIKGEVILDKLVKPTNPITDYNTRFSGITAEMLSDVTTTLEEIQEEFVGLVYKETILVGHSLENDLLALRISHDLVIDTAVLYKYNRGPRCKIALRVLANKYLSRVIQNTGSGHDSVEDARAALDLAFLKIKYGPDFGSPPSFSRRKLSSILHECGKRSSLIDEVFVLDRYSDASCNSIAVFSDDDALSRSMKEVKNDKISFVWTQFSGLISYLRKRAEDPVKLKSCVAEAIALKTCDRKTARKRAKQICPELKAILSEMDKKIKKLYDTLPENAMFIICTGHGDTPLVQRLKKMLNHGEETVDSRENIVHALEDLQAQAEVALCFCCVKH >SECCE2Rv1G0138880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:924017533:924024335:1 gene:SECCE2Rv1G0138880 transcript:SECCE2Rv1G0138880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCATSSSAPPPLEKKIEAVLLSFDKLGPVNGKLCIEVGPASKVSFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRLLEDNLKAIIKPQYVDHIPKAVQGNVGQVLELKDERDMKAELCADLELNQVIDRNVGDLSGGELQRFAIAVVAVQSAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRSNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIVDTQDNAEEIETYQRYKYPTMSKTLSNFKLTVMEGEFTDSQIVVMLGENGTGKTTFIRMLAGHLKPDTVGGVEIEIPEFNVSYKPQKISPKFLGSVRQLLHKKIRDSYTHPQFISDVIKPLQIEQLMDQEVLNLSGGELQRVALCLCLGQPAEIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMAAYLADKVVVYEGRPSVECTACTPQSLVSGMNRFLSHLDITFRRDPTNYRPRINKMDSTKDREQKAAGSYYYLDD >SECCE5Rv1G0358070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:753373756:753377057:1 gene:SECCE5Rv1G0358070 transcript:SECCE5Rv1G0358070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVQRKIILRSPKALSRTRSEEQPMLPDLGERREVVLNIDRNGNAPGADVIGVATKPTASRTLSTATSSPAQGWADASFDFWWNEGGPARRVDDFSFKNRPASPQPQAFSPSLSPKKKAVEVGEDPPTRLIGNFLRKQKAAGAELPLDLDLEMDNIGRSSHAHLFSSNSREQEAPHVSFKERQRPSSSSSDSHSDTGGGRTRAGDGGSIPAGPRPLLRSKTRSRLTDPPPQSPAAVDEEHKKSSALRPPKSGQFSGRVTGKSGQWRKSGPMEEEEDDDPFTDADIPDDFNRGKLGALTILQWLILVLIIGALVCSLTIRPLSRKKLWELHLWKWELLVFVLICGRLVSGWVIRIVVFGVERNFVLRKRVLYFVYGVRGAVQNALWLGMVLASWHFLFDQNVHTAVLPYVTKVLLCFLAATLVRLVKTLLLKVLASSFHVSTYFDRIQEALFKQYVIDTLSGPQLVDEDYVIAEVRELQRAGADIPKELRPALPTNKNLSGQRGTRVPGLISRGGGINPLSKEKKRREADEGITIDKLHRLNQRNVSAWNMKRLVKIVRFETLATMDEQIQQATSGEGGESVTQIRTEDEAQLAAKQIFHNVAKHGSKHIYLADLMRFMKQEEAIKTMHLFEGAQEHCRIGKKSMKNWVVNAFRERKALSLTLNDTKTAVSKLNQMANVVVGLIVSALWLLILGVATTHFFVFLSSQLLVAVFVFGNTLKTIFEAIIFLFVMHPFDVGDRCEIEDVQVVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIFNFYRSPDMGEGVDFSVHVATPIEKLTLMKERILRYIDNKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNFQDMGMRFVRRELVLKEMIRVLKDLDIEYRMLPLDVNVRNAPPLQSTRMPTTWNYS >SECCE2Rv1G0103370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:589437966:589440269:1 gene:SECCE2Rv1G0103370 transcript:SECCE2Rv1G0103370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQVLPLLGVIGFLAACVSGAAEADRRSYIVQMDVSAMPTPFTTHEGWYTSVLSSLAGREEAAPEHLYTYAHAMHGFSAVLTPRQLAEIQGMDGHVTAFPETYARLHTTRTPEFLGLTGGGAGAGGVWPASKYGEDVIVGIVDTGVWPESESFSDAGMTTKAVPARWKGACEAGKAFKASMCNGKLIGARSFSKALKQRGLSIAPDDYDSARDYYGHGSHTSSTAAGSAVKGASYFGYANGTATGIAPMARLAMYKAVFSGDTLESASSDVLAAMDRAIADGVDVMSLSLGFPETSYDTNVIAIGAFAAMQKGIFVTCSAGNDGSDGYTIMNGAPWITTVGASTVDREFTATVTLGSRRSIQGKSVYPEHTAIAGADLYYGHGNKSKQKCDYSSLSRKEVNGKYVFCTAGESLREQMDEVNGAGGRGLIVASNMKEFLQPTDYVMPLVLVTPSDGAAIQKFVTATKVPKVSIRFVGTELGVKPAPAVAYFSSRGPTKMSPVILKPDIVAPGVDILAAWVPNKEIMEIGKQKLYAKYMLVSGTSMASPHIAGVVALLRSAHPDWSPAAIRSAMMTTAYVKDNAKNVIVSMPNRSPGTPLDYGSGHVSPNQATDPGLVYDATADDYVNFLCGLHYNSRQIAAVTGRRNASCAAGANLDLNYPSVMVILNHTTSATRTFKRVLTNVAGSAAKYSVSVTAPAGMKVTVTPSALSFGRKGSKQGFSVTVQVSQVKRAGDDYSYIGNHGFLTWNEVGGKHSVRSPIVSAFAQ >SECCE3Rv1G0181420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:612752756:612754725:1 gene:SECCE3Rv1G0181420 transcript:SECCE3Rv1G0181420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFERSMVVLGGVTPASLPWGFLVCGLLCLALLRQAGKLLDMLWLRPRRLERELRAQGLRGTPYRFPVGDLKEYGRLSKEAWARPLPLGCHDISAHVAPFLYNAVQEHGRTCFSWVGPIPKVTISDPDLAKDVMSNKFGHFEKTKLPALSKLLAEGLASIDGEKWAKHRRILNPAFQLEKVKRMLPAFSSCCEDLVSRWRKSQGSNGSCELDVWPELKNLTGDVISRTAFGSSYLEGRKIFQLQEEQAERLITNMRRILIPGYLSLPTRNNRRMHQINKEIESILKNLVAKRIQAMKQGESTKDDLLGLLLESNMRQTDEHGQSSLGMTIEDVIEECKLFYFAGMETTSVLLTWTMILLSMHPEWQDRAREEIMGLFGKNKPEYEGLSRLKTVTMIVYEVLRLYPPATLFSRKTYKEMEIGGITYPAGVMVELPVMFIHHDQHIWGSDVHEFKPNRFADGVSKASNDSGAFLPFGWGPRICIGQNFALLEAKMAMCMIIQSFEFELAPSYSHAPYTVITLQPMHGAQINLRAI >SECCE3Rv1G0171200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:265980513:265983277:1 gene:SECCE3Rv1G0171200 transcript:SECCE3Rv1G0171200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEGVRHRMVEVAKGVRLHVAEAGPEDGPAVLLVHGFPDLWYGWRHQMAALAARGFRAVAPDMRGYGDSDAPPSAASYTTFHLVGDLVALIADLAQPQVFVVGHDWGANVAWQLCLLRPDLVRALVNLSVAYHPRRSEGSPLQAIRVLCGEDHYMCRFQEPGVAEAEFARYDMSHKFKKVFGMRKPAPPILSKDKTFFDSLDSDGTCPPWLSEEDISYYADKFEKTGFTGGLNYYRCMDLNWELSAPWTGAPVKVAAKFIVGDLDVTYNVPGVKDYIHKGGLKASVPNLEDVVVMEGVSHFCNQEKPNEVSDHICEFFSKF >SECCE1Rv1G0046340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:616830780:616831892:-1 gene:SECCE1Rv1G0046340 transcript:SECCE1Rv1G0046340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTSGAGVGLGPAQAGGRVMTKSSPVQNTGGGQAGEDAYRSSKRRIPKGPDPIHNRRAGKTTIAPRRRD >SECCE6Rv1G0407640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:560393015:560395748:-1 gene:SECCE6Rv1G0407640 transcript:SECCE6Rv1G0407640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKAAAAMGLKAGGAGKLRLPSVVAAVARSRMMKLWLLRATTTVLLWTCLVQLTAVGETWGPRALRGWPSCRTARLATPEPVVEKAVLPPRRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVEHFITSLRGEVRILRELPPRMKQRVEVGMFHSMPPISWSDISYYHNQILPLIQKHKVLHLNRTDARLANNGLPLDIQKLRCRVNYASLKFTPQIEELGRRVIRILRKNGPFLVLHLRYEMDMLAFSGCTEGCTRDEADELTRMRYAYPWWKEKVIDSYVKRKDGLCPLTPEEIALVLRALEIDRSMQIYIAAGEIYGGKRRMASLTSAYPNVVRKETLLEPSDLRFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVDLVDRYKSSSLPWDEFSKLIKSVHANRMGSASRRTVIPDKPKEEDYFYANPQECLRDPDLRPTL >SECCE4Rv1G0251940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:609617347:609618980:-1 gene:SECCE4Rv1G0251940 transcript:SECCE4Rv1G0251940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTPSKSGTSFLKTCFNGVNALSGVGILSIPYALSQGGWLSVLMFTTIAVICFYTGILLQRCIDSSSLVKTYPDIGELAFGRKGRIIVAIFMYLELYLVAIDFMILEGDNLEKLFPSVDFHVAGLKIGGKQGFVLIFSLLVLPTTWFRSLSALAYVSVGGIVASVILIAAVIWVGAFDGVGFHERGVLVHWAGIPTAMSLYSFCFSGHAVFPMIYTGMSNRKMFPTVLLLCFIICTLSYGLMGVVGYLMYGESLKSQVTLNLPSRNLSSSIAIYTTLINPFTKFALLVTPIAEAIEDTLHVGKNKAVSVSVRTSLVVSTTIVALVVPYFAYAVALTGSFLSGTATMLLPCICYLKIRSRTCRKVGFEQVVCVGIILVGVGLVVVGTSSSLKQIIQSL >SECCEUnv1G0557060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:318547451:318547807:-1 gene:SECCEUnv1G0557060 transcript:SECCEUnv1G0557060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHATPATAAAPLDLSLTLAPMSTKDVLPSGSCRRGGGSARSRLFSCLFCERKFLKSQALGGHQNAHRKKRVVGTWNAHLYLPDHPRAPRAVTTTAYLEDDDEDDIKHRKLDLTPKL >SECCE4Rv1G0256600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:657960534:657961835:-1 gene:SECCE4Rv1G0256600 transcript:SECCE4Rv1G0256600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWSTPAAAPPPPTHSVLDTIQNKLSPGVLLIVAILAMVFFIFGLLNLLVQNILRLRRARRHRLRVAAGDVDGSSPTALQGQLQQLFHLHDAGVDQAFIDALPVFVYRAILGAGAGHRKGGGDPFDCAVCLCEFAMDDALRLLPTCGHAFHVPCIDAWLLSHSTCPLCRGSVLAADLSPASSPVMLVVESDGRADNAAEAPGGRDDNENEASQKAEEVVEVKLGKLRCMDGNGGSGYLAADQAASRGDLGRRRCLSMGSYEYVMDDHAALRVAIKTPAKKPPRSRRRHALSECDFGSDVAKRGAWEAAVKEAAAPAPAGARRGDDARLSNKDSFSVSKIWMARGAKKEDGRTLAGARRSVSFRWPAMAEASRNDGADRERRDVESQSGSFGSSGAPSHAEERQPLARTRTAPLWAAGGWQANSSSAGSHS >SECCE5Rv1G0332940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:553387593:553388414:-1 gene:SECCE5Rv1G0332940 transcript:SECCE5Rv1G0332940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFIIAQKDLKSRGCYNSQCKGFVPASGAELVPGQAIAPPSIYGEQDHYIRLSLNKDPNSGDWVVYRHDLEKPSFLGHFPEELCPGTRRMQALTGFVNYFKNAHGPPMGSGHFPNDDDKKSAYFRRVKNYNSKGQAFDPFNTQMVRLVDRPDCYNVDDFLLEFKEGYSFHYGGPSGCIG >SECCE2Rv1G0079840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:123524089:123531066:1 gene:SECCE2Rv1G0079840 transcript:SECCE2Rv1G0079840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase haspin homolog [Source:Projected from Arabidopsis thaliana (AT1G09450) UniProtKB/Swiss-Prot;Acc:O80528] MAVAAREGARGGGGDAWAEILASGGGGGPRIGAVYERRRAQEASRQRNAEARGGLPAAVENRPSFAPIKRTSWNRSLSIRGRESIFFAPGTNLQPQQKLCRAHKRPPKPCNRVKNPLGGPPDLSKEKAYFEEVDAFELMEESPSPKNFGTWAGGMEQSIIVHDLPAILERWKIFKLARCATSNRLFDIMETPPIPSVISNCSTENYSEKGYRTPEKDRGSGMHPTRAILSGYTNESTKNVAGETSIVTSFSELNIEEPPRTSIPSSSGEALTAFAQLLMVCRQSAPATLADVFSAYCKLGSIVKLGEGTYGEAYRAGSTVCKVVPFDGDSLVNGETQKKSEEVLEEALLCLTLNNLRTDQGDKGKDYSCDGFIETKDFWVCKGPYDPSLISAWEDWDSKHESENDHPKDFSNDQYYIIFVQADGGRDLEKFALLDYNEARSLLLQVTASLAVAESACEFEHRDLHWGNILLARDEMSNKDHTMSITLQGKRIRARTFGLTISIIDFTLSRINTGNAILFLDLSEDPDLFKGRKGDKQAETYRKMRQITKECWDGSFPKTNVVWLIYLVDIVLQKKYEACNSTDERELRSFKKRLSSCSSARDCLADPIFSDLLLEEEDVRPSSMPPL >SECCE6Rv1G0410310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:596451309:596454973:1 gene:SECCE6Rv1G0410310 transcript:SECCE6Rv1G0410310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSSLGSKAAHFVSDLTTVILNPVSEREPSHLPEADEEQEKSEDDKDSDQNSDDPDGPDTSSFKAFMISFLSPSSSFNDSREIIPEQSEEMGYPTLTPVGKASKGKTGLLSRGKHSIGKIINKAARMSGFKQNSEPKIDKEVVNNAESVAPALDLEEQNEVNSMTNMPAISEPSVLLSETMRSTLYSSLPILAQGRSWVLLYSTWRHGISLSTLYRRSLLCPGYSLLVVGDRKGAVFGGLVEAPLQPTSTKKYQGTNNCFVFTNLHSNPAIYRPTGANKYFTVCSADYLALGGGGHFALYLDSDLLNGSSSNSETFNNQCLSHSPEFAVKDVELWGFVYPSKYEEMLKLCRTEKPGVCRF >SECCE3Rv1G0213280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:960425371:960428316:1 gene:SECCE3Rv1G0213280 transcript:SECCE3Rv1G0213280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRQVLRTVKQATRNHAGLTYRRLVNHIPHLLAVTLLVVVAPQLSSTLTPEKARALWNAPALAMACWAAALAAYTYAWSRPQPVYLVDLAGYKPPAELEASRAKTIVHFSRCGRFSEQSMAFQKRMLERSGLGEATHFPMSLIRLPVDMCDRTAMEESHAVIFGVVDEVLRKTGVAAGDVGVLIFNSSLLSPTPSFTSLIVNRYRFRDDVVTHNLSGMGCSAGIIAIDLAKRLLQVHRNTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLTNRAGDRARSKYQLIHTVRTHRGAHDQSYGCVTQEEDEVGEVGVSLSKELMAVAGEALKTNITTLGPLILPMSEQLRFLATVVLKKVFRAQVKAYLPDFKLALEHFCIHAGGRGVLDELENSLKLSPWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKRRINKGDRVWQIAFGSGFKCNSAVWRALRTIDDAGESPWTQDVDVLPVDVPKVVPIDETSYQVPIY >SECCE6Rv1G0420700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:679316041:679330558:1 gene:SECCE6Rv1G0420700 transcript:SECCE6Rv1G0420700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSREYDASIIPEWKPAFVDYRGLKKLIKKIKVARREADDSSAGDSSPETAALTAGVESSGYGAGFSLLDPVRALAARFAPRVQASMDDEESGDSGELVRSMDKHEREFLEKADEELEKVNTFYATQKAELLGCGEALIDQLRMLADVKRILADHAATRRARGSLLGRSRSMPAVAPPSPAFSGSGRYLLSGLATPQSMSDGSVELQQAQMTEGAAVADEVMAALERNGVSFVGLPGKKDAKKENKGGRLQLPSTVRIDIPASNPGRAALKVWEELVNVLRKDGADPAAAFVHRKKVQHAEKNIRDAFMALYRGLELLKKFSSLNVKAFTKILKKLVNVKAFTKKFVKNERLPECPRYSDTVIDLETIVALVFDYDILASADSCVFELLYSSPRDSDTVIDLETIVALVFDYAPVGDALIYAILAHVSGIFASAGNTAYMEVVYHVFSMFALISLHCFLYGCNLFMWKSTRINQNFIFDFAPNTALTHRDAFLMSASIMCTVVAALVINLFLRNAGASYANAVPGGLILLSAGLLFCPFNVFYRSTRYCFMRIMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFAACYFMAGSFRANPYETCTNSQQYKHLAYVISFLPYYWRAMQCLRRYIEEHDINQLANAGKYVSAMVAAAVRFKYNVTPTPFWMWMVLISSSGATVYQLYWDFVKDWGFFTPKSKNLWLRDDLILKNKFTYYVSMMLNLVLRLAWTESVMTIRVSKNETRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFREIETD >SECCE7Rv1G0518450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859149400:859152018:1 gene:SECCE7Rv1G0518450 transcript:SECCE7Rv1G0518450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTQGAIDGLLGVLAKAITDEAQLIGGVPGDMQFIKDEMDSMNGFLLHLTKTEGQHDDQVRAWMKQVREIAYVAEDCVQRYIHDMVPHEAASCGRLGALAAMAHLILLHPKKFYRLHNLGKQISELKVRVHDVGERRLRYGVTVPAGPDVKLAPMTPAGQQEEKREAFVHALDLELQQDVVGSKAWWRAKHEARLRSALRRATAVGGLLPAALPSAVVRYISLSRAIIHRLPPLIQSEAATVHGILKKCSQDNGGDAAAFRCTKKMFLCALYAYPYVTNQELEKLKEKLEGRTEDPKKEVMIFCYSMLTISQKSSLQYLTAFLHESEISRTSMVRRWVAEGLVGKESGGAGGGGGRTPEEEGECYFNELLFRGFISPARFSDAGTVKSCVMDKPVSDFILGITESENFEVSLPAHLERQLNIRKIVQRIQPPQQKQRRAADRWRNITPRCCNNLCGHSAFPEEDHPMDALVDFLEKLPELYRLNVLDLGGCKGLKKRHIKSIGDVVWLKYLSLRNTDVSHLPTCHINKLILLETLDIRGTSIRPHDTEKINLPKLKHLLAGRYLKPGEKVSLITVRMPRKIGSMRCMETLSHVQVSKYGTELRGVAKLRQLRKLGVVVHGNADSAAHLGRVLHALSGCLRSLSVFITTQGWALDELSSSSTQEMMMGATPRPSFILENLDIKGKISGLPSWITKAQKLANVTLRHTELSGEDALRRLASVLSLRCLKLNGAAFIEQQLVFRVVQFKALKILVLEGGPITTVTFLSADAAPALEKIVWAIGSSSRVRDGEDLIVGINYLPNLKAIELRGDFNTTSLLDWLQVTAESTSDPRYHIRYMLSSTTSSGNELITEVPKTARHTTVSIPVAVINQH >SECCE2Rv1G0133810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898525048:898529898:-1 gene:SECCE2Rv1G0133810 transcript:SECCE2Rv1G0133810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Mn] 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10920) UniProtKB/Swiss-Prot;Acc:O81235] MALRTLASKKTLGPALGGARGVATFTLPDLPYDFGALEPAVSGEIMRVHHQKHHATYVANYNKALEQLDAAVSKGDASAVVQLQSAIKFNGGGHVNHSIFWKNLKPISEGGGEAPHGKLGWAIDEDFGSLEKLIKKMNAEGAALQGSGWVWLALDKEAKKLSVETTPNQDPLVTKGSNLHPLLGIDVWEHAYYLQYKNVRPDYLTNIWKVVNWKYAGEEYEKVLA >SECCE2Rv1G0136090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910264268:910265260:-1 gene:SECCE2Rv1G0136090 transcript:SECCE2Rv1G0136090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDKYHALLPLHSASAPRGSRKAVVPAVTSRFDAALAARLASLLPLPASPLAALARLADLLAATLADAVPALAAGPGEAKKDAVAVAAHLDAGVALLDACNAIAARVDRLRRRRLLSRLALHLVSSSPPSPSSLSRARAALADRDGRGVGAASSPALPALPSIPFVDPPRGGRGHQLTAAARVVLAVNAVSSLAATAAATILRGTSSTLNATFPQVSGDLPWAEPFNAVSSQLSVLAKAASSNEIGAVDEAVGKLAAVLEGGAPDEAALRAATQEVEKRTEELAARLERVSDAVNGVFRAALRLRNAELGSFMAAGAGPAGKAPRSKK >SECCE3Rv1G0156700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:74522803:74523615:1 gene:SECCE3Rv1G0156700 transcript:SECCE3Rv1G0156700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLQVQHQQQQLELPPGFRFHPTDEEIITSYLAPKIVNPAFDAMVIGEVDLNKNEPWELPKKAKMGENEWYFYCQKDRKYPTGVRTNRATKAGYWKATGKDKDIVNPHCTSMLIGMKKTLVFYKGRAPCGEKTNWVMHEYRLEIGKQSKSGLRTTIANAASINVSSKEYVVCRIFHKNTRNGLSSMVSHEDVGTGPGNNDQGNGGATTSEKISSMSMGTDGMPKY >SECCE7Rv1G0463860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:57656988:57658004:1 gene:SECCE7Rv1G0463860 transcript:SECCE7Rv1G0463860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor C [Source:Projected from Arabidopsis thaliana (AT4G39920) UniProtKB/Swiss-Prot;Acc:Q9SMR2] MEPELDSTKAAAGNRKHLAMLERLSKRTAASSAGSPEASPVAAFLSRLAAAKAAAESALSACRSSPDDAAASLAAASSAVDDLERLVAEASHSLPPYELRSALAAVSDLRAAHKLAASEIRPKKSFSFRNKSKATKNPPQDPPALPQPPTEQPKPNPDAILPGFGFRGRNGATLVKDLRAANEKDGDFTLADLVSCEVYLKGKCRALYIHKLRDCRVFIGPVFGSVLIEDVERCTFVMAAHQIRIHEARVTDFYLRVRSRPIIEDCSGVRFAPHALKYEGIEEDLRDSGLAEDTGNWANVDDFKWLRAVQSPNWCLVPEEERLPIVDISEVQEQEDCK >SECCE1Rv1G0047450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:625534506:625536651:1 gene:SECCE1Rv1G0047450 transcript:SECCE1Rv1G0047450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERKPAAPSALARILATCASQAKDYGRCIAAKVPEIEHNMCSKEFLALKACMQTAVKNKA >SECCE2Rv1G0141070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934219906:934221423:-1 gene:SECCE2Rv1G0141070 transcript:SECCE2Rv1G0141070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAAVAVVAMVCAVVHAAAAATGELSPDFHSTSCPDLEHIVNYHVAEAFQKDVGVAPALIRILFHDCFPQGCDASVLLTGSNSEQALGPNLTLRPVALKLIETIRAAVHRACGRTVSCADLTVLATRDSLVLAGGPHFDVALGRRDGLAPASQDLVFTLPAPSFTVPELLKSFGDRNLDKADLVSLSGAHSFGVAHCPSFSDRFTPVVDTDPAIDPNFAAKLKAKCAKDIPEGTVTQTLDVRTPDVFDNKYYFDLIAKQGLFKSDQGLIVHPDTTRMATRFALNQGAFFEQFAKSMVKMSNMDLLTGSQGEIRFNCAVPNSRVEGIETASDEGHAAAM >SECCE4Rv1G0261010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:699951817:699954054:1 gene:SECCE4Rv1G0261010 transcript:SECCE4Rv1G0261010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTSTASATAVAGAFAANRPRGSAACPRVPAGGRQRLSCAVRCDAGPGAQAQAQAAAKAASVAALEQFKISADRYMKEKSSIAVIGLSIHTAPVEMREKLAVAEELWPRAVAELTNLNHIEEAAVLSTCNRMEIYVVALSWNRGIREIVDWMSMKSGIPASELREHLFMLRDSDATRHLFEVSSGLDSLVLGEGQILAQVKQVVRNGQNSGGLGKIIDRMFKDAITAGKRVRSETNISCGAVSVSSAAVELALMKLPKSECLSARMLLIGAGKMGRLVAKHLAAKGCKKVVIVNRSVERVDAIREEMKDIEIVYRPLTEMYEAAADADVVFTSTSSESPLFTKEHAEALPPVSCALGGVQLFVDISVPRNVSACVSDVGHARVYNVDDLKEVVEANKEDRIRKAMEAQTIISEELKRFEAWRDSMETVPTIKKLRSYADRVRASELDKCLQKIGEDALTKKMRKSIEQLSTGIVNRLLHGPLQHLRCDGTDSRSLDETLENMHALNRMFGLDTEKAVMEQKIKTKVEKQKPQN >SECCE5Rv1G0330720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:532476004:532483153:-1 gene:SECCE5Rv1G0330720 transcript:SECCE5Rv1G0330720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLDLLPRLLLRRHVHRRAKPGASPPPPPPPHPPRPPQKPEPVTIHGETWHDSYAWMGSLSDAAAMRHMDVHMEAEEKYAEACLAAAAADRLARKLQLEMASRLASDACTPPARWGPWLYYRRADEGKQYPVLCRRSAALHGEFISYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLCDKPRADRVSNISWAMDGKALVYIVTNEDRRPYRLFCSIIGSNKDDILILEEPDENIYLNIRHTKDFRFITLNVFSDTHSKVYLIRASDPLSRMTLVWEGESQVHCIVEHHNGRLYLFTDAPREGVCVNSHYLMQCDVESPGPKSWKNVFLEEPGIILEDVDFCNTHMVLILRQSRKLRLCSVNLPLPENIQVPAHLSDFHPLDLPLPDHVCQILSGPNYDHYSSTMRFTISSPVMPDAVVDYNLLNGKWQIVQQQNMLHERTKVLYGNAFASSAGKLSSDGADLSSEDLGDCDWNELSEYYACEYYDVPSKDGVLVPLTLVYSRKHKQEGNPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAYADVRGGGGYGKEWHRDGARTKKMNSIYDFVSCGEFLLEKGIIQENKLAGWGYSAGGLLVASAINTRPDLFRAAVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLSIKKYSPYDNIQKDVPYPAVFVTSSFNTRFGVWEAAKWVAKVRELTQYDPERPVILNLTTDVVEESKYLETKELATETAFLIKMVNNT >SECCE3Rv1G0194760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:785252029:785253860:-1 gene:SECCE3Rv1G0194760 transcript:SECCE3Rv1G0194760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLVDCSAQGVSSSSCLSHSTCEKAGFEHGSCALPEDEKFEAGIARVKDDLVVKEISLALAEVMRVYDVNDDEETDLSEDSDENGDSLSVESDSADDLVDIDTELVPSSAFSAENVSESSAGKSEDGNSSTNGTQQLVSAMKGSRAKRGIVTKLSVSWAPDVYDPPVTSDSHTVKPHQRSSRKSHYKYKPPKGSSSSSRTSSGSKKDKKHSHHSSSSSSSSSKRDKKLSHRSSNGGSSRIDMSDPQHRKAYGSSISSRTDTSVPEYHKLSPWQPPASAAVEEAIPTVPVLKAMEQLKRSSSCCKEPPLSMKTMEQIKRSSSCCKEPPMSMLSRQFVAAKYKGMFSFLSQNQLAS >SECCE6Rv1G0388450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:135829397:135829966:-1 gene:SECCE6Rv1G0388450 transcript:SECCE6Rv1G0388450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLSCSSTHRGTLLQQPNQPAPVRVIAADGSLKELPDSPRIAVSDVLVGEAASFVCTSDALYFNEPPPALASDELLRPRQIYFLLPSAELGRPLWSADMAALAVCASAAIAAKRPERRGGKKNLRVVPVHEEAEDCEDVLVNKKLNEQTLGEFAVLVSPVIKSKEKLAARSRLRLKRALSIIQEAPN >SECCE2Rv1G0133480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:896840759:896841070:1 gene:SECCE2Rv1G0133480 transcript:SECCE2Rv1G0133480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSAAVVMCVVLVVVVSQGAVAEKLVCTAKLYMLAPCNPSIKKGPWSAPSTLCCSNLRAQEQEGCICPYLEDPVYYGYINQFNLLKTLTSCGIRIPPACPTR >SECCEUnv1G0560280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:346233494:346241809:1 gene:SECCEUnv1G0560280 transcript:SECCEUnv1G0560280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPRILDYKTLEEMTNGFSEERELGRGAYGKVYLGVDKDGGKIAVKVLYDMPALGDKQFDKEFQNLITLQHRNIVRLVGYCHETQRECVPFEGRMILADVTKRALCFDYMQNGGLDKYISDEHTGDGWQKRYAIIKGICNGLKYLHEEQKPSIFHLDLKPANILLDANMIPKIADFGLSGLFGDEQTRRTNSVIGTIGYLPPEYINRNVISNKLDIFSLGVVLIKIMTGHAGYSLSAEIPSDEFVERIHGNWRTRLRGSSVDALESCCEQVKRCIQIALRCVEVDRHSRPTIGEIVNMLNETESMIQSPDALANLIGSSMDQMWLGTSNTSEWLDVHPLKLHFPFEPNKLISCRLHLTNKTYGDHVAFRCLPKNPKTYFYGLSNLHGILPPRSSCTYIVTMKMQQEPPADMDALTVIVENCIGHKDMQQSDVDDFFFMGVREDGAKVHEVTLMAVSDTPTKMMASQETLRPQIEIINTSDYGPVLSVDVHPTESCTHLIHLVVLFSMGTKWHGHINFRIMASHLNAHVSIWNYQSQTKVMAERVTNVRKENYMGNIWINTAKFIGRKQWFVAGDMFGYIYVYSCTTMGKLKEIKAHTGGIESIAIHPSDPFMLSSSSDNLVKLWNWKTGWECIQTFRGHTHHVKKVMFNPQNDNTFASVSSDGTIKIWNILSPVPITSLDFKGEQQICVDYFPTGCDLQYMVTASARGTARIWDLQTQRCIRHLRGLQRECGCSIFVVHDHSILVTVSSDYAVSMCNSTTYRQEKTFDFKLGRVRGFAYIKGIRSLVVGTEDGIVVMEII >SECCE6Rv1G0446990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846819620:846820264:1 gene:SECCE6Rv1G0446990 transcript:SECCE6Rv1G0446990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLRCSSKLILFIFSSSGARAGQWRTVTFGGWGALMAGHVGVDFFLATLSTRRHYAHGCFYWEIPYTRKLLVFDTRTEEFSSLSTPRGHYQMVFVEALEGRLGMFSRSIEDDGKHLLYDILRDNGDGTKQWQREDVISVPYKYHYDIIGVAGGCLLLHGNLDNQESPDFHFNSYSLDLQTMKLEWFRGTESMYFSPHIHLYADFPPSLSPPTI >SECCE1Rv1G0039630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:550816793:550820138:-1 gene:SECCE1Rv1G0039630 transcript:SECCE1Rv1G0039630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSTSLGCAGAHPARHLDPPPAGKAFRGVSATHFLRKRRLAGCPGRFECSANSRQSGPRRTTKDTMYDLHPEISMLYAEDNGAVAAPSKEQGIGKAIETLPLADASIAYRYNEPRIKVIGVGGAGSNAVNRMIESSMKGVEFWIVNTDFQAMRMSPIDPANRLPIGQELTRGLGAGGNPEIGMNAAKESQELVEKAVSGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRALQAQEGIAALRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEESEIRPAQAGGDGNRGRSSRFSSSSQDEGPKLQVPEFLQRKGRPGFSRG >SECCE5Rv1G0348350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673374778:673376661:-1 gene:SECCE5Rv1G0348350 transcript:SECCE5Rv1G0348350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSAFLLLCCAVATWTVSPARARQSQSPSSSSSSSSSQRGADADHQAYATLDQVKAALQRVGLESSNLIIGVDFTRSNQWTGKRCFAGRSLHHLGAGPNPYEEAIGIVGDTLSAYDEDNAIPCFGFGDTSTHDQGVFSFYGNGRPCAGVPEALRRYREIAAAVQLSGPTSLAPIIEAAMGIVQRSGHQYHILLIIADGQVPRGCSAHRASSPGESRSENYMEERTLHALIHASHFPLSIILVGVGDGPWDDDQMWFHDGRRRFDNFQFVDFTKIMSAEMSRTEKGERFALEVLKKIPSQYAAIISQRISDLAARAPARTPLPPPS >SECCE7Rv1G0480490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:270690037:270693013:-1 gene:SECCE7Rv1G0480490 transcript:SECCE7Rv1G0480490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRPAIRLVLLLPAILGALLPPAAAAATGPPKVSLALYYESLCPYCSRFIVTRLAGIFDSGLIDAVDLLLVPYGNAHVRGANNTVSCQHGPDECLLNNVEACAIDAWPDLNVHFGFINCVEDLVMNRKREEWESCFQKQGLDPKPVMECYNSEHGHKLSLKYGKQTDALVPPHKYVPWVVVDGKPLYEDYENFEAYVCKAYKGHPPKVCQGLGRDYSIVQQVVEAGVTYNSGYFELDDGVDNKIKMVQADDN >SECCE7Rv1G0454170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:1552118:1556770:-1 gene:SECCE7Rv1G0454170 transcript:SECCE7Rv1G0454170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKPAAVSAAPSEGEESAVVPGKRGPGRKKDPVPAAAAASPGSTAKRGTGRPKKAPAPAGSGEGSAPDPGKREMGEGEKGATPKKKQGKAATPKKQGKGEMDTAATPKKKEKKGGEAAAPEKKQGKGEKEKAATPKKKEKGDEAAAPEKKKEKGEKAATKKKKEKGEMAASQEKKGNGEKAATPQKKEKGEKEKKGDKPANLKKQKKGDTAATPKKKEKKGGEAAEPAGSGSGKQEPSGEAGKPAPKPAPKKTKQQASDDGAAKETPSKRKRDDAAEPQPQKPAKSAKKATPTKKKQASSGGAEKATTPSKTPQKEAKDAKKSPGKAEKATPAKKQQASGAPEKATPSKRKHGDLESPKAAKSGQNGSPAKKQKGKAAAPVAAEPGSCSFPMSRVRLLMRDKDATIRSNNETVFLVNKASELFLEAFAKDAYQNALKERKKSITYDNLATEVCNEKRYKFLSDFVPLRVTAGDALKAAVERS >SECCE6Rv1G0432590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:752707287:752709272:1 gene:SECCE6Rv1G0432590 transcript:SECCE6Rv1G0432590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGSSAASLPAPLFLNDKAAAVGGGVGGDRAAGGCGVCAICLDRIPLQETALVKGCDHAYCVTCILRWASYKQTPVCPQCKHPFEFLSVHRSLDGCLHDYMFDESVCLLLRATWFKPLVVEAHEEAQEEEDIYRSYQYDDGDEDDLYEEYISRSPSIRIGNRRWGDNGYVRGGRREARPVVIPPVVDAVPSRTPKKKEGSASGSGSGSVSKDVAGRRARRAQKREAADKAAAEKHLKLLQRLGRGKTPEAPPEAPESLEVGPPASLEAGPPVIE >SECCE5Rv1G0346210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660491452:660491913:-1 gene:SECCE5Rv1G0346210 transcript:SECCE5Rv1G0346210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSRKLAQLAKKCQRMLVAGAGARRRQASDRADDECCSTTSSVVADEGHCVVYAADGARFEVPLAYLGTTVFAELLRMSEEEFGFASGSEGGRITLPCDSTVMEYMLCLVGREASEEVEKAFLSSISGHCHSYNASCMAPSMGISHQFALCT >SECCE2Rv1G0067980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:21151007:21152575:-1 gene:SECCE2Rv1G0067980 transcript:SECCE2Rv1G0067980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTNSGCGVGVDRLSDLPEEVLGIILSYLPTKEAARAAVLGRTWRHSFAAVDAMSFVQDEAHDNNDRSFTAQSQEKRSKNGDFIDEVNAALLCRRRCGARTAPRAFRVNFGCYTHWDSAMLNRWLYHVLKRCGKELHLDLRLQHTDLAEHHVKEPYNGEGDRDCDDPRANDPADDRCPASYSYRSNRADEYALPRSLFSCVAIRTLCLGACTLQPSVRIELPFLETLFLSTIMSTGGNIQRLISGCPRLVDLTLERCGYTNKSCKDPLPDKNFTIAVLDKHLRRFSLRCCHNLVRASIDASELRTFEYRGHVPSESLLTLHSAHKISSCTIGFCGRKVYKGELPLLRSFLEQFAGTRHLHLVSTHLGSGIGSEFFPGFPYFPSLVRLELTGYLGGRSIKAVTRILEVAPSIEILSLFMKPGYEDRFHTEYFWRQPQELIGEPALDVSIPCLQNRLRTINLVHYQGDDRQKYLANLLLCNAMVLEQACVVFPRGEHKLLTRLKKEIEGWLVNKLGKAIFL >SECCE4Rv1G0246390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:543752039:543752434:-1 gene:SECCE4Rv1G0246390 transcript:SECCE4Rv1G0246390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHFGRKISGEEGAAVKPTRSFRYEDYSTRRVFLRSYPLQWDSPAPGGGGDEKQGEAEDDEDRYDGGVRDRRWKRQVVVAVVEWGEDKLLLLRRVKKRLALYLIGCHYSRPALPYKSGGGSCTTAMLKSM >SECCE5Rv1G0361470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:779600319:779601544:1 gene:SECCE5Rv1G0361470 transcript:SECCE5Rv1G0361470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARRSGALLMLLAVAAAVMGPAGADFAADKAECADKLMGLATCLTYVQLTATAPAPTPDCCSGFRQVLGSSKRCMCILVKDRDEPALGIKVNITRAMNLPSACNIAATFSDCPKILNMSPDSKEAEIFKQYAMEHEGKNAATASPTAAAATGTGTAGGKSVNAASGAGRHTVVFAIVVVSALLASAFVLA >SECCEUnv1G0571480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:507706431:507706856:1 gene:SECCEUnv1G0571480 transcript:SECCEUnv1G0571480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAMHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKPTTPWGYPALGRRTRKRKKYSDSFILRRRK >SECCE2Rv1G0126290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:845257675:845287854:1 gene:SECCE2Rv1G0126290 transcript:SECCE2Rv1G0126290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEVLLAGPGSVGGGERLSIDDALALHAGEFGRWQLRHFLLVTAAWTLEAMHTMVMIFADREPAMSCPAGDGRCGDRCAGAAAGWQWDQGSGSSTVAEWGLFCGESYKVGLVHALYFASAMIGAGVFGHLSDSFLGRKGSLQVVCFLNALFGLLTALAPSYRVYVVLRLLTGFSTGSIGLCAFVLATEPIGPSRRGTAGMSSFYFFSGGIAALAGVAAMFQSSWRLLYVVTSLPSLVFVLAVMPFISESPRWYLVRRRTDDAMRVLRDIAATNGRRIPDGVTLKLDDEGDDVNGGKQVEESPPPSSSSGSILDVFRSRTTRARLVLSVLINLLCSVVYYGLSLNVVNLKTNLYVSVAVNSIAEMPAYLLTALLLDHFGRKPLGIGTMLLSGVFCIAGSLIAGVGAMRVVRMVCGVVGIFGMAATYNLLFIYTAELFPTVVRNAALGCTSQASQMGAILAPLVVVLGERVPFAVFGVSGIIGGLLVFYLPETMNKPLYDTMAGLEEGEKTPLK >SECCE4Rv1G0272240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:767131633:767132623:1 gene:SECCE4Rv1G0272240 transcript:SECCE4Rv1G0272240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKFHAKHKHVKFSKLQIQDKEKELKREYRMLKEARKQSGVGWDDKRCMIEADTDLWDNLLICYPNIGKFKKKSFPHFDLLGELYDWHTAEGTYNFTSIEQPSQIGEGFEDEREAEEVKETDDLEMMNQVQNDDDDLQIMDQMDATHRKEDVNPIEQGGRTVAGSGKMPQKKPKKEKPKNSGDVIAGALEKYIELKKRQADDEATYLANERAEATKLDDFSITKCMDVLKTMEDVTRAEKIKAFNVFKDASNREIFINAADDDKETAVMWLQSQMFP >SECCEUnv1G0556500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:311931924:311934617:-1 gene:SECCEUnv1G0556500 transcript:SECCEUnv1G0556500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTREAAVDMSPRFSYCKPTINRDSKMLHRRHSLNLPEHLTRRHSRKTTERTQKATSKSIADLAGEIAALEQEVIRKELHLLTLYRRAFDQHLSDSCSFVSEVDQEAIKSIDEGALRLRDIKQSAAFNLPTVSDSMSEVSSRPASKHSSLVNFLNASISDYVPKISCKLSEDILGCIASVYCKLASTPSQDAESVTSPSHSVSSSSTFSPRRRNDSWSPRYTFDATTSPRQYPHQKENGEQNIGMIIVPRLHIDADKFEHASKMLETIRSLIQRLEKIDPTKMTHEEQLCFWINIHNALVMHAFLAYGIHDKRLKSTDMILKAAYNVGGESVNAQTIQNSILGCQSHRPSLWVRTLFTPAKRSLAGSTARHPYALHHSEPIAHFALSTGTFSDPPVRLYTAKKIHHQLERARTEFIQANVAVKKQVLLLPKVLHYYAKDAALELRHLVELVCESTSEIQRKEMAQLQHRLRRRIDKCVEWLPYKSSFRYVVHRDLAE >SECCE1Rv1G0059890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:708098112:708101179:-1 gene:SECCE1Rv1G0059890 transcript:SECCE1Rv1G0059890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERSSSEAARDTPSSSSSSQADRRQRLPSRPKSFVPAVCILAATVLAVVVFSADSPWSFLQDAPPSDLTADQLLDGLLTAEFSKRSCRSRYEFASYHEKKKKKASHKPSPYLLAKLRRHEALQKRCGPGTGPYRAAVWQLKSSKGAAATDCRYLVSISYRGLGNRMLATASAFLYAVLTDRVLLVHQYKHDVGALFCQPFPGTTWLLPSGWNFPLGHLRDYGSGSNESLGNMLKANVISVGADGNASWSDGGDRRPPFVYLHLEGGYDFHDKLFYCDEHQRLLHGAPWLLMKTDSYLVPGLFLVPSFQDELGMMFPEKDLAFHHLGRYLFHPVNDVWHAVTSYYRANLAGAGQRVGIQIRVFRSKETPLQHVLDQVLSCVRREKLLPEITLSSKINNASDQAVLVTSLSSWYYERIREEYGGGRVAGGVHQPSHEGQQKWGDTSHDKRALSEMYLLSTCDVLVTTGFSTFGYVAQGLGGIRPWIMPTTPIWSESETTETKEVPVPEPPCVRAMSVEPCFHSPSYYDCTARRDVDVGKVVPYMRHCEDVSWGIKIVNVSSKPV >SECCE4Rv1G0291710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:878023390:878038264:1 gene:SECCE4Rv1G0291710 transcript:SECCE4Rv1G0291710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRPGGAPPTSSGNVVRGSAEAVPEAPRLRPHGGPGAPGSGSPGRSTPLPGGRERGSTGANVMTPSCDRQQSRAVEEAPLGASSLVKKSSVPSSLPRGSDGSSGSRMGAEEQVMSEHASNRDAGSSSKKRPKRMDARSYIKRFKTGVRPGASVVASPDRMGKENTSSGHAADSNTAILREGSRLIEKSKGHSSYDGCKVSKSPTSGLHETSDTRVDQCSTPLSEVLPYKRTDVQDIASESSLPAKDTDRHTGPARQNIVPPLQSVPISTIHHKKIKSTLGDAEPITVQKENSAPGHLQVARSDGTDCNPNICVACGLGTPGTFRSCDGKGCKSRYHMSCLDQYLSLGNWFCTSCTKKRLQFGLHHIVDGIESVWDVKEAEGMQTSKQYFVKYKNLAHVHNRWVPEGDISVMPGGPDLLSLFNKRNQTEKTIRKEWTKPHRLLRKRLLVPPRLAKDFFSSDDFFCSSGVSYCTLEWLVKWRGLGYDHATWELETLSCLCTPEADELKENYENRREAAKQSSQKTKVKQNSFQKLERLPDGCHPDFDNDHLYSINHLREYWHKSRGAVLVDDKEYIIKTVLFTMSVLPDVSQPFLILTTPGSLSLWEVQFNKLAPFINVVVYDGGKDELKLIRDLEFYESGSRSMLQVLLSHPDAILEDIEPITHIGWEAVIVDYYQKSALQYLELKQIPTDFRMLLVSSPIKDNFPEYVKLLAFLNSGEQENGNYVDTAETLMMLKGNFKSHIAYERQADSSKILEHWVPAYVSQLQLQTYCSILLSNSSVLQSQMKSDKALYDIIMSLSKCCDDPYLVDESLRPPVNNHDHTDPIVTRVQACGKLLLLQKMLEGIRNKRLRVIILFQSGVAGGSSTGDTLETVVRHKFGPESYERVEFRGSFSKKAVALNKFNDKTKGRFVFLIETSACLPSIELSSVDAIIIYNSDCNPLNDQKALERIKIEAQLRHPSIFRLYTPLTMEEKWLVLAKQGMLIDKKDITHSVSHSLIRWGASCLFTRLDELKHDNCASKSSERDNFMNKVVSEFLKKLATNVVGSTNINCTCISEANMSGKFYSRNITLVGEKEEMCALDGDPSKFWLNLLDGKSPSWSYISERPQSSHNILQNVEEPAKVPAEEANETRRKRRKVGEITGPSSKSSPDNNHHDMLPENCSTLSPALQPLDDAQQTLGVEKRMSTPKSLHVQLKKELSKLITALQLPDDVRGMAEQLFEYFLKNHLVVTEPVGILHAIIIALCWHAASVLKYKINCGESIALAGKSLNRECTEELASFIYRRLRFPEERNPNGATETSISGQPVSVENTQSSWEETSTNLRNDHLLQNKEMDHGNLSGGQQEVSSGAERMISEEQEPIQETHRECHFSNGELPNMIVEKRAELVDNDHVNFTNGALQEISSGAEQMISEVQEPVQETHRECHFSNDEFHIKIVEKRTELVDNDDGHFTNGALQEVSSAAEHMISEVQEPVQETHGECHLSNDELPNMIVQKRTELVDNNHGNFRNCAPQVASSDPEHVISEEQEPVQETHRACHLSNDDLPSMIVEKRMELIDNVFSLREKNILCKQQLEISGLDTYTQNNVIRLKEVCNLVLEHTRRSHIDGMTRSDKIKQTVQWFTMLMYAFLEHMRLQHNKLEALQSNSWLVERHLKENLHQVAKSGQLDEDFDQHIALPDSNFVMEEFIHFKERNDEYHIVESSVPDNQQPLDDELLMEIALVRNGVLSGAISTQAMENEPVATSVDSGEGPASEAVDFPGNCILSSDGMGVQRAGCSSSTIPANDDSVGQESSIGDCRNTGHVEAENIANPGMLLEGADSLVRGLNANNDTVDTDQVRLESPISPATLPVSTEFETQTRQSSVHVEQSPEAQQSTSMQDQPAEKRACIVGPEAAMPDQPAEAERAGTLGAISAQVLPLEMQSPSTSMPGVPFVSGLQSASVHQSVVPSLEPHAGVELSGTVTPHAIQPPASMPVEQSTSLPAQQSLATLRHPPAEAEPAGILATEAACDLQPEARLSTSMQDQPAEEGAACILGATAARGSQPEVQPSTSTQCVPFERTHLSGRPVLQSCTIHQSVEPSLDSHAGAESTDTLGLVTAPDMQSEIQPSALMQDRPVAAEGACVLGTTVAQDLQPELQTATTIQHDPPERTSSEERRQAGFEPNTAASPEHDLQSEIQPSASMRDRPAGAEGAGMVGSTAAQDLQPERQLSTTAQHIPIGPEQPTQLPPVTPLVFNNPISSDEPLRNELEKIIHWSTLLDKGHEDKRSQLQAERNQEIEKINKKYDSLLQQEDSAHRLKRTQLNGIYQKVYVSKSLAENFRRQFTPSVASQGRLMSPVLEQLPESSSSSAARMAASPPVIPSPSIGAAAHSSAGPYVRPPPVALPSSSRTAQSQSAMPGNLSGAASPPFVPAPSLHASYGPAGPQLRPVQPQPVSPSILYRTMPAAIPTPRPQGNYGGPTGAAAQQQLRAPSPHLQHLRVRPPYAIPTDHHQQQQLPAILGVPAGGQFVTDGLRPAFPQPGAVLGPAHQPPLPSAAGSSSATPSSYFLPPGRHPDPVWTPNPALTLAQLQQQASYLNMALGGRSSSTSAGPVTAPGSQQHSAGGGGAQLPAVHDHPGLEPSPPPPTSNPYMPARFGVEPDSSDAAAEVVCLSDDEA >SECCE6Rv1G0397570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:396784341:396798508:1 gene:SECCE6Rv1G0397570 transcript:SECCE6Rv1G0397570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSTVCPELSADVAVDLVGGDAAADPATAAAAAATDSSSGGDGAPIIPVECRWSGRVRAFDVQGAVPVTSCPEARRGGERKPSSVPPPSSAAASLAPVSGRVLEDYVNEGVIAVTAGAEARRGGGRKNSSSVPLLPSAAAPSPASGRALEEYVNADVASLAASPEAHHGGGKQSSAPLHSAATAVHAPAPGVKLEDYANGCAVSVTGYPEVRRGGGKKPSSALLPSAVALLLAPAPAPDLMLEDYVNKGVVSVTTHTEVRRGSGKRSSSSRSPLSAAATVLALASGRSLKDYVKEWEARKVASGASLHHCGLPFLTGAPKAVECRVCYKIIHPLEEIKCSVSRCEQTFHLTCVVEYTANFTAESFRCPQHGCMVCKQKMFFWRCGRCTVAAHTKCAPWPLIHLKDDQGSAICWRHPSNWLLQNENADLTNNIEEVFCRLPLPYVNEEFNIDSTIRNFTAIVCKPPSYTHIRRNVYLVKKKRADSSAETGCTNCKSDSVCKDDCECRGLSMSCSKSCHCSDLCSNKPFRKDKKIKIVKSEGCGWGAVALEQLEKGDFIIEYVGEVINDATCEQRLWDMKRRGDKNFYMCEISKDFTIDATFKGNTSRFLNHSCDPNCKLEKWQVEGETRVGVFASRIIEVGEPLTYDYRFVHFGEKVKCHCGAKSCQGYLGSQLKNPTQDALAAAALENKLLAQQGGCSPASRVKIETRLLPWTNCIEVPFNLRSKTKIDRLCWGHKRQRTSLSDPSSSSASVQPSDTEAGASIL >SECCE3Rv1G0199210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:843319840:843320907:-1 gene:SECCE3Rv1G0199210 transcript:SECCE3Rv1G0199210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQFLYMTAMNVKRSSYTLHRIKASQLFYPKGAPDSAAAAVEARSVPPPIMAFHPPRSEHRREIMEFMLFGSNRNKIVCTDERGRAVLYDDGLQAVRMLPSLRKPKWTSVPLAVGDNLLVMEAIPKNDQEKGHQSFEALMYGERPKMFKGVDFFWHSIPPPPYVYAPGYGIDRSGVITACTVVNSSSILISTESLGTYCLDTVSGEWSKAGAWPLPFKGLAEYVPGYGLWFGVSAKGGGVLCASDLGAAASAMQAPPVVFQEWEGFAAPEGTELESHLLHLGAGRFCVAKSIMTTRPQETCCQSCCFHDTTAIVDKLVMFTGVEIQRCGRGLKAIKHKSFRYSMGACSMPEILY >SECCE2Rv1G0074630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:78092446:78095472:1 gene:SECCE2Rv1G0074630 transcript:SECCE2Rv1G0074630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLPLLLLLLCLLLATAAAAAPLPADFTRLLAAKAALSDPASALAAWDPSLSPSLSPCRWPHVLCRSSADPAVASLLLSNLSLAGEFPTQLCSLTSLVRLVLSYNSLVGPLPACLAALPNLRHLDLAGNAFSGEVPSSYGAGFASLATLSLAGNDLSGEFPTFLANVSSLEELLLAYNPFVPSPVPDAFPDGLPRLRVLWLAGCCLVGRIPSSIGSLRSLVNLDLSTNNLTGEIPESIGRLENLVQIELYKNNLSGRLPGGLGGLKKLRFLDAAMNRLSGEIPADMFLAPRLESLHLYENELSGPVPSTLEKAPALSDLRLFSNRLVGELPPEFGKNCPLKFLDLSDNRISGRIPAMLCSAGKLEQLLILNNELAGSIPPELGQCRTLTRVRLPNNRLSGAVPPDMWGLPRLYLLELAGNALSGTVGPAIARARNLSQLLISDNHFAGVLPAHIGSLTRLVELSAANNGFSGPLPASLADVSTLGRLDLRNNSFSGEVPHGVRRWQKLTQLDLAHNRLAGTIPPELGELPVLNSLDLSSNEFTGGVPVQLESLKLSMFNLSNNRLTGNLPPLFSGDIYDDSFLGNPALCRGACSGARRTAARRHSLVGSVESVLTFAVAILILGVAWFWYKYRSQSQHKRRGAEPGDNKWVVTSFHKVEFEEGDLLSCLDDEHNVVGTGAAGKVYRAVLGNEDIVAVKKLWGVGGAAAARKGVKDGMKDSFEAEVATLGRIRHKNIVKLWCCLRSGDRGLLVYEYMPNGSLGDLLHGGKGGLLDWPMRRRIMVDAAEGLSYLHHDCAPPIVHRDVKSNNILLDAEFSAKVADFGVARVIDDNRGGRDAVSAIAGSCGYIAPEYSYTLRITEKSDVYSFGVVMLELVTGKRAVGPELGDKDLVRWVRGGIEREGLDSVLDPRLAGDGSCTCRDEMRRVLGVALLCASSLPINRPSMRSVVKLLLEVSSKAAVVVEEKEPLGV >SECCE6Rv1G0452350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:876615458:876616995:1 gene:SECCE6Rv1G0452350 transcript:SECCE6Rv1G0452350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAAARHVVALPYPGRGHINPMLALCRLLVAADGFLTVTVVVTEEWEALLASVTLPVRVRLATIPNVTPSERGRGADHAGFVEAVRDKMGEPVERLLDRLEQRPEAVLADTFLTWALAAGARRGIPVCSLWTQPATFFLALYHLDVWPPVDGHGRDSQEELSIKSLEEYLPVPGLTSIRLSDLNIIRAWERKRPFEIAVEMFADVRKVQCVLFTSFLELEPSAINTIAESLACPVYPIGPSVPQSALDGDNKIHEEEWLDAQPENSVLYVSFGSYIRMPPSQLQEIAMGLRDSGVRFFWVARDKAADVQVQQMCGDRGLVVPWCEQHKVLCHPSVGGFLSHCGWNSVLEAVSAGVPLLAFPRTWDQLVNARMAADEWKVGIDLRGHKREDGTVGRAAISAAVGKLMDSDSGVGQEMRTRAAGLREDSRCAIQEGGSSHRSLTTFLQDLVDGKLDVTATSH >SECCE6Rv1G0437250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782991111:782992847:-1 gene:SECCE6Rv1G0437250 transcript:SECCE6Rv1G0437250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPELETIKAVLMKSYDGLPYYLKACFLYLAIFPEDQQIARRRLVCRWIAEGYSNEVRGKSVEEVLDCYFMELISRSMILPSQQSIHSRKGIDSCHVHDLIREIATSKSMEENLVFTLEEGCSLNNQGTARHLAVSSNWKGDQCEFENIVDLSRIRSLTVFGNWRPFYISDKMRLLRVLDLEGEWDLVDHHLQHIGKLAHLIYLSLRGHADIYHLPDSLGNLRELQTLDICGTSITRLPRTIAKLVKMQHILASNIGGSDYQNADMPSRLITLPAGSALCCVACCVPNLLEEMFKLDDLAQVNRCDVCTVFCGNILPSLAEGRNLGGVAVPRGIWKMKALHTLRTVDVSVGKYVLKDIKKLTRLRKLGLTGINKRHSQELCSAIAALSSPESLSLRADGETGLSGCLDGLSSPPKNLQSLKLYGNLVKLPEWIQGLKTLVKLKLDWSRILEHDAAIQVLGSLPNLATIRLLRDSLVGEEVRFSLCREAFPSLKVLELNCISNLKSVGFEEGAAPKLELLQYSKYRSPSIGLFSGLAYLPSLKEFMLHRSDWEQTEFVEDLQGQLAENQNGPVLKRWED >SECCE6Rv1G0434280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764634406:764637880:1 gene:SECCE6Rv1G0434280 transcript:SECCE6Rv1G0434280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEVSRRGRRPEMAPCSASAAPPVGARCAVCRGVDISLPHQANCSHWFCGHCIVGVWLQGSVLRPSGCPVCRRPITLLVPSEVASLLRDEPEIAPVMNRIEQYNGRFAGVPHSMIQWLLDQPFYIRRMLTEFRDTRQGPPSSFKIQVAFAATLALLYLASPIDLFPEAFLGYRGLLDDILVLIIAYAFISAAYRDILVARHAA >SECCE7Rv1G0472040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:135732137:135732910:1 gene:SECCE7Rv1G0472040 transcript:SECCE7Rv1G0472040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGFCRPPSYTPGASQCAPFFLGFGVGGECCNPPFADYYDYEEYASSGQSPLASSKDYTPSSPLQSPEPDYTPSSPDYSPASPDYTPSSPDYTPSSPDYTPSSSDYTPTSPDYTPASPSQSLVYTSSSPFRLASMMPSPPCGASPDYTPLTPSEHAASSPYYTPLSPGHASSLDYTPPPSPLVVSDAESCASPYYTPSTPSRCAASRDYTPSTPPPSPQASETESCTSTARCRHHPYQRSGAGRINRGGRQRGY >SECCE1Rv1G0056430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:686944797:686946611:1 gene:SECCE1Rv1G0056430 transcript:SECCE1Rv1G0056430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNYGELYNILGWGEPYFTVNRDGHLCVKTHGHETKTGQEIDVLSVAEAAKEKGVQFPMILRFPDVLKHRLDSLHVAFEKAIKHNGYTAPYQGVYPVKVNQNRAIINDFVSFGHHRSYGLEAGSKPELLIAMSYLTKAKPGAFLVCNGYKDAEYVALALSARAMGLNVIIVLEMEEELDIIIEQSSKLGVEPVVGVRAKLLTKIPGHFGSTAGKHGKFGLLADKIYEVAKKLKDLNKLHWLKLLHFHVGSMIPTTDIVSSAAREASGIYCTLVKQYGAEMTTLDCGGGLGVDYDGTRSGSSDMSVAYGLEEYASSIVQAVRLTCDYNGGVPHPVLCTESGRAMVSHHSMIILEALSAIPEPKDDETPEQLHSKIQRLSSSSPPPPPRTLMATDLHKHATDIQKHGVEMYKLAKKLSKRIAGDSHTIYNYHMNLSIFSLVPDFWGIKHLFPMMPASRLNEKPTQMGTLIDITCDSDGKIDKFIGNAETLPLHPLDPESGGYYVAVLLSGAYQEALSCKHNLFGGPSIVRVEKSSVGFHIATADLGPTTEELISTVGYNVKEDIRGVIEQRAREKGVWEKVGVFVQAGLTSMPYLVEYKVPRTA >SECCE5Rv1G0324530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:447626743:447627117:1 gene:SECCE5Rv1G0324530 transcript:SECCE5Rv1G0324530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLQDTASSRRGSFFQYQKLECRDDGATPRPRQRRWLPSLNGKAACSCFFHLKKLRWSRITSVLLPRKVSEPSSSSSKIRNASVAHAEDACPSIVFLSQWGLPVLSGPSVAGNRGKHPRGKDY >SECCEUnv1G0532250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19681163:19683653:-1 gene:SECCEUnv1G0532250 transcript:SECCEUnv1G0532250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKAAGEEPALGIPYNPAQAQGSYYYPPDPYAAGRVPPNAIYAGAPKGVPLQHTMFRDTPAPFHCQSCGDAAVTSVRSKPSVASVVACMMPFFLGVCFLCPSMDCLWHKQHYCPSCGEMVAEFKKDDPCIVVDPTSWTEPSFAVPA >SECCE6Rv1G0437870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786507721:786508218:-1 gene:SECCE6Rv1G0437870 transcript:SECCE6Rv1G0437870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLAAMLLLALASAVIVTAQNGADDMLNAHNEVRAAVGVGPVTWDPIVAAYAQSYAEKRRTDCKLVLSPEVRPYGENLFHAPGTDWKAVDAVIYWASGKQYYDHATNTCSAPSGESCDEYLQLVWRDTKAIGCGAVLCDGNAGVFIICSYSPPPMVGQVPY >SECCE7Rv1G0511550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803914916:803915782:1 gene:SECCE7Rv1G0511550 transcript:SECCE7Rv1G0511550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVNEYVQSVRHLACLILDLLGEGLGLRDPASLSRLITTTDSDSLVRINHYPPSTTGDGGVKAASSVGFGEHTDPQILSVLRANDVDGLQVLLPDGGGEDAWVQVPADPEAFFINVGDLLQALTNGKLVSIRHRVMASTSKPRLSAIYFAAPALHESISALQETVTADAPRRYRPFTWAEYKKTMYTLRLSHNRLDLFKVVGDGGGDSGEDKSRI >SECCE3Rv1G0209670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939981476:939982837:-1 gene:SECCE3Rv1G0209670 transcript:SECCE3Rv1G0209670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEPSNCIFLDGTCIRHAPSHMFQILSIKLAKLDVDGGPVALYGYIAVRDNLDPLLNYVIKLSRDDPIIVEQGSLINVGGLKRGIDFLGDVLIEYDLRIKSAEQENHDLQLIDGASMIGHMGLWNRHAFTNRIHGDCSAIDITFSGLENAVEATIGVVISEVQSSFNMSLGCFTSGLNEEIRLFDGAIGETQGLRRYVVAVVAGSWLHLKLKVGPESSTSAEHDCSFNAGNHGSNAQKIKTDFGLISVKVTWSPLPKGH >SECCE2Rv1G0076310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89943450:89946292:-1 gene:SECCE2Rv1G0076310 transcript:SECCE2Rv1G0076310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTSQAEKVMREWCVALPKVELHAHLNGSVRNSTLLELAKELGDKGVIVFEDVKDVIMKNDRSLPECFRLFDLFHILTTDHDTVTRIAKEVVGDFAAENVVYLEIRTTPKNNEAKGMTKRSYMNAVVKGLRSVEDVDIILFDSNFRNDEKLSCTPTNDLGGDTKRKKIYVRLLLSIDRRETTSAALDTVNLAMEMKDQGVIGIDLSGNPVVGEWETYLPALEHAKELGIPTTIHCGEVPNRKEIQAMLDFCPQRLGHVCCLDDEEWKKLKSSMIPVEICLTSNVMTGGSPSLELHHFADLYNAKHPLSICTDDSGLFSTSLSNEYYLVASTFGLSKTELFRLAQGAVEFVFADNEVKKSLRAVFERAAAERLTS >SECCE1Rv1G0033430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:467467220:467474867:-1 gene:SECCE1Rv1G0033430 transcript:SECCE1Rv1G0033430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTKSDLLSGSPDGHGYFNGSRGPYSSASLERSGSFREGGDSYATFPVSSSTRSPAVDSATLLQSLAMELRAATLDQKASRLDVKKSISSIFGTSPEESTSTPCTGRNFPNSIEEIRRLRNNINEMSNKASLRARAFGAAVAKIDKYCPNITRKRSRGDSSSNERSTASLCGGAMSRIGPHSHLNADDAELGPQREERTKNAVQNRRLRTSMTEMDSRTASMSRGLAPVDRSSDPGKVTNGGPAIPEEKTRGLATSIDGWEKPKMKKKRSAIKADVSSVSTSRTMDVDREQKQGMQHKFSSDVRARMGNSPSFRSGAVASVSGTGKADLLSAQNGLVGRSLNRNDQDSGFHPTNKRDRQLVLDKEMSNPKAINKFNEDDTSSNITAVSKANGSARGPRSNSGSLLKSSPNRHRLQANPDDWEHPSGTNKLNSASGSGNSKRTKSAHSLSPPTQWGGQRPQKISRSARKSNLVPIITSTDGTLVSGSLESPSISEQSAGLPRRASINGSQQAKRGDHGHSTGPEGGEFGFAEKKLRDKSKRAGELDDGHCGFQKTAMLGHPSKKNKFSADEDIGDASRRQGRIGRGFTPTRPSTPASLDKLENAPITKQRSVRTVSERNESKSGRPLIKKISDRKGNTRPRHTISNLQSDSPVQSEDDHEELLASANSALRSAYGSAFWRQVDPFFGFVTTEDIAYLSEQGSLEYISEPSTPSGSNKDDHSALPNGYALTGMVNDSGAWGTSCIEPILDQLVQGIDVREVGSVGQRLIQAWIDEDKVDDIANDVYRSEGYPFDTHEIHFDEGGWKSHSEGYKLEPLLNFEAAGNCPNGFMLGSDWKYNDELSHKNSNVMEKAKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDVNAEICRLEGQLHKEVLEKEKLLAKLDRMVRTEKESQQREFSRRAMERLVLIAYEKYMAFCGSNNSSSKSVNRASKHAALSFVKRTMARCQIYEEAGTSCFDEAPFKDMFISATSRRRDPDSASQEKENNTLPKSAQRPSASDASRASSHMSDLSFAKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSERDREGKGHRSGRPPSSNAKGERKNKTKLKQRTANISAPVGSAPPRDPDPQPQTIVTPPSDNKSKDSSTFAATRRDEPANPTNDAEIPDLSNLELPGIDGDFGGWLNNIDDDDGFQDLDLMGLEIPMDDINEINMMI >SECCE4Rv1G0219210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:29009651:29011795:-1 gene:SECCE4Rv1G0219210 transcript:SECCE4Rv1G0219210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVELPKEYGYVVLAVVAYAFLNFWMSFQVGAARKKYKVFYPTMYANESENKDAKPFNCVQRGHQNSIEMMPLFFATLLVGGLQHPVVAAALGLLYTVARFFYFKGYATGVRESRHKLGNLNYPAIFGLIICTASFGINLVIREAI >SECCE4Rv1G0248680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:573952899:573953795:-1 gene:SECCE4Rv1G0248680 transcript:SECCE4Rv1G0248680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASSPPPADAAAAGPETEATPVVFYCYECQATVSLPAPAATPSRLLLCPRCRSDFLEENPNPSTSSPPPPPPPPPGFLSDSSEEPDDLDLGIDHTAAQAYLTRLVRRLYDDPATVATAAAAAVSVLQQQGHIGGQGQPPAPAASIAAVPTVEVSEPASVCAICKDDLPLAVAARRLPCGHLYHSVCIVQWLEMHNSCPVCRSCLPPTNLEGVEPLELDPPPTQITIRFTTNRRRTRTNSDAVAPVATSPTQLAEAMTGEGGAGPANSTETVSSEWPPPPESDAVMSETRETEGFFD >SECCE6Rv1G0445310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:836622759:836636955:1 gene:SECCE6Rv1G0445310 transcript:SECCE6Rv1G0445310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGRRPDFSSAASPGAGPSPAGASAGRRLLRTQTVGNMGESIFDSEVVPSSLVEIAPILRVANEVEAGNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKIEEKKKLYVPYNILPLDPESTDQAIMQNPEIQAAVYALRNIRGLPWPKDKEQEKKQEKKPDEKKTDRDLLDWLQSMFGFQKDNVANQREHLILLLANVHIRQIPKPEQQSKLDDRALDTVMKKLFKSYKMWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYRVIEEEALRSKTMKSKHSHWRNYDDLNEYFWKVDCFRLGWPMRADADFFKTPKFAYPNRLNGEERSAGSVHWMGKINFVEIRSFWHIFRSFDRMWIFLILSLQAMIIIAWNGGTPSDIFDAGVFKQVLSIFITAAVLKLGQATLDIVFGWKARRSMSFARKLRYVLKLVSAAAWVVILPVTYAYTWSNPSGLSRIIKSWLGNGQNQPSLYILAVVIYLAPNILAATLFLFPCIRRRLESSNVKVITFMMWWSQPRLFVGRGMHEGPFSLFKYTMFWVLLLAMKLIVSFYIEIKPLVQPTKDIMREPIRNFQWHEFFPNASNNIGVVIALWAPIILVYFMDTQIWYAVFSTLIGGIYGACRRLGEIRTLGMLRSRFESLPWAFNKLLIPSDQHKRKGFRAAFSTKLAKPSGNEQEREKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELDIFQWPPFLLASKIPIALDMAADSGGNYRDLNKRMKSDPYFSYAIRECYASFKNIINTLVFGQREKIVMQEIFEVVDKHIAEETLIKDLNMRSLPALSKKLIELLELLQKNKVEDLGQVVILFQDMLEVVTKDIMEEQELSSVLDSIHGGNAKKHEGMTPLDQQDQLFTKAIKFPVEASNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMDMPNAPKVRNMLPFSVLTPYYKEDVLFSSDNLEEANEDGITILFYLQKIYPDEWKNFLERVNRTEEEARDDDTIEDELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDNAKDDDLMKGYREIADMKESELMTECKALADMKFTYVVSCQQYGIQKRSGDPCAHDILRLMTTYPSFRVAYIDEVEAPSQDRNKKTDKVYYSVLVKAAVTKSDDPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLEEFLEKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGISKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYAFLYGRLYLVLSGLDAALATGKRFVHNTPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLVVYEIFGQTYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPEKSWESWWDKEQGPLRHSGKRGTILEILLALRFFIYQYGLVYHLNITKQYNQSVLVYGFSWVVILVMLLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFISILIILTAIAHMTVLDIFVCILAFMPTGWGLLLIAQAMKPVVEMVGLWGSVKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >SECCE4Rv1G0253030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:621395567:621396523:1 gene:SECCE4Rv1G0253030 transcript:SECCE4Rv1G0253030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRKMASLLPLLCLFSLLVAAAPRCAAYSPRMLFLVKPDPIVLRDHGGALLTGNLTLKVNVLYYGRFAPAQRAVVADFVRSVSAPALRGAAEPSVASWWRTVSLYRGGGARLRLGRELLDERMSLGRSLSLENVTALASGAGHHRGAITAVLTAPDVLVAPFCMSRCGVHDHATAGVHGRARYAYLWVGNPAQQCPGQCAWPFHRPSYGPQVPPLVPPSGDVGMDGMVISLAALLAGTVTNPYGDGYCQGNDADGSGLEAATACAGIFGSGAYPGYPGRLLVDRATGASYNAVGLSGRKYLLPALWDPTTSQCRTLV >SECCE5Rv1G0344810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:647501410:647502288:-1 gene:SECCE5Rv1G0344810 transcript:SECCE5Rv1G0344810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKKDAASSAEKGAAAAAVLQMKLLVDKRSRRVLYAEARKDAVDFLIGLLRVPAGLAARVIAKHGAGDGEAADEALATHAAPGSLGTLYAGAKALGDEFFVAAAPDRDEILCPAIPSAALALLLDGEPAATAAAPAPAAPAPPKRYFRCAGPYGTSCRGNPTCVTDVAGLPCPVCRQPMTVEMRWSPGDAHGKLAQAAAEEAAAAAAAGGYVKEVVSYLVMDDLTVEPMSTISAIMLLKKFKVADCSALEELTVDLGHKEAVLLLKAALESKTALTDVFCGGVSIDRLE >SECCE5Rv1G0325530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:466601503:466601850:-1 gene:SECCE5Rv1G0325530 transcript:SECCE5Rv1G0325530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFAAMVAGRRAAAAPKPASAAEEGGEEYLRIQLEEIVIVKDDAYDALAAATAAAQSRANANGQCGGGATTTGTASTAMENCARAAAAARVGSSTRPAAAQGAWTTAARGVGFD >SECCE5Rv1G0331330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538097420:538098159:1 gene:SECCE5Rv1G0331330 transcript:SECCE5Rv1G0331330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLAHEYHPRLPAANQCKSLSCLVREAYAHCHAPCLGTPAAGWSSGDDDDGALDTKQVILNEMRSREMRKRSSRCCRVDSPTPSGAFMWPLTPLHPTSSVEKVSSTEEEEDAASDGDGDAESEAFFSVKSFFTRSTSRAATVASSMDMDPPATWEGLRGCDGWPFGLCRRPAVPPLPSTPADSWQWRRRSSNSSSNLAVRPDHSPAPAYSLKKITTS >SECCE5Rv1G0345900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:659468990:659469442:-1 gene:SECCE5Rv1G0345900 transcript:SECCE5Rv1G0345900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKRLAQMAKKWQRMAAIRRKRLSQTTSAAKGAANDERCATSPSMAMKGHCVVYTTDSARFEVPLAYLGTAVFSELLAMSHEEFGFAGGDEGRIMLPCDAAVMEYALCLLGIDASTEVVTAFMSSVARSCSFEGSVVALGLNKQAVVC >SECCE7Rv1G0485220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:364871289:364888325:1 gene:SECCE7Rv1G0485220 transcript:SECCE7Rv1G0485220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSRFELRASSNLHQQPPPPVGMSGDAGTFADAGNLEHCAKYLNQTLVTFGFPASLDLFATDPVSIARTCNCMYALLQQRQRDIEFRESTNDLRQRMQSDISRLEAKIERMDAQLAAKDRELATLTRTEAKNTAALKAHIEKLQQERDEFQKMVIGNQQVRTQQIHETKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWTGKKNDNDYYKMIVDAYEVKKQELVQENADLRALLRSMQMDMREFLNAPTGSSQPAAAGNGRQEAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASVKARMTQLQDAQKGAEVTSEVTERELELEAQLVEARSIIQEQASIMSKHFSKSDKPRRLSGMDAEHEAIHSASTDV >SECCE2Rv1G0101210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:557323722:557331598:1 gene:SECCE2Rv1G0101210 transcript:SECCE2Rv1G0101210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRKFRGLALPHQHKERKDQRPPPAKLDDLVDAAQEMEEMRTCYDSLLSAAAATTNSVYEFAEAMEEMGTCLLEKTALDCDDDDSGRVLMMLGKAQFELQKFVDNYRTNIINTITNPSESLLKELQVVEEMKDHCDQKREEYETMRSAYKDKGRSRHPKTETFSPEQLQASYLEYQEDATLFIFRLKSLKQGQFLSILTQAARHHAAQLSFFRKGLKYLEALEPHVKAVAEKQHIDYHFSGLDDDTDNDDYSSYQDNHSEGSELSFDYGINFPASRSSMDLDQSNMASPTKPLKEHEQEHAKQIETDFAAPRVKPEFGTQSAPIFADNVLDPSVRLRKLNSPMFSSPLQSSRTNYSYKLPTPADDKISTSAGTNRSPHSDKPESSHVAANLWHSSPLVKDYKPSSLYSGPVKMPSSTERRSSPLVYSYSTSDSKKMKRESFSGPIPSKAGLSKPLFSAAGHRASVNYPPRVMSTKSHGPGSLPSVAPKVPRITSLPTTSPRISELHELPRPPAPLDTLRPGLVGYSGPLVSRRQTPNVPTRASPPSNTASPLPRPPAAMTRSYSIPSNSQRTPIITVNKLLESRHSRESSEVSSPPLTPISLADVSRKSIAETTIDTRRMKETS >SECCE1Rv1G0047990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631266666:631267867:-1 gene:SECCE1Rv1G0047990 transcript:SECCE1Rv1G0047990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNGQSTDDQAVLDAEHELWTTTFSYIKSMALKSALDLRLADAIHHHGGAATLPQIVARVKVHPSKIPCLRRLMRTLTVSGVFSVVQQQDVVVPAAPVNNGTGTYTGAEPSYALTPVSRLLVGSQKSGSIMAFVLSPVLVAPFLGIGAWFQHALPDPCLFEQAHGEALWEMSEHDAPLDALINSAMVSDSRFIVDIAVRESGDVFRGISSLVDVGGGLGAAAQVISQAFPHLQCSVLELEHVVSKAPAGTSVKYVAGDMFESVPPADAVFLKSVLHDWDDEKCVKILKTCRKAIPPREAGGKVIIIDIVVGADKKHGEVHALLDLYIMFINGIERDEQEWSKIFLEAGFSDYKIIPVLGFRSIIEVYP >SECCE3Rv1G0210060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:942301892:942303124:-1 gene:SECCE3Rv1G0210060 transcript:SECCE3Rv1G0210060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAALPEDVALEILARVPDAAGLFRCAAACRRWRTLVADPSFLRRRWPDGARHPSSLLGFFGQERCRRPGGDVPDSPGFVRAPGSVLGPERPFLGSFVPGAAGIFHRAVPLASHRGLLLVRFVPAGDAGAGADVDHLAVCNLHSGACDVLPPLERGWFFDYVDASAYAVVSQGPTSFKVLIAGYNNEGSQQYDLRTFSSGGEPSWSAPSKLFNPIEHGIFGPLKQRGAVVRHGTVHWLIWDLVDFHAIDVDAMTGRVSLQKLPAPRPRDMEYHLYDTPRLIVPADETALSSLCLFREPLRVETWTRGDGGGEHCGREWCRAGVVELRPPGQKQIDTPVCMCLGERSGTLLIKDLHRCMYIADLESGAMEETTDKQFCRGLDGCKTAFPVEIDWPAFFMSRLGGKSDA >SECCEUnv1G0558620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:333951545:333951931:1 gene:SECCEUnv1G0558620 transcript:SECCEUnv1G0558620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCFFPRDAVAGRHQSKAAAEALEQLHHGGRVLSREEVGGAVRVKIVVSKRELKKMVAALGTGGEAAASADRRSRQRAAGGGGTDAEQRLQSLRRRSMRRAAEETRRMQASGEWEPGLQSIPEEAY >SECCE1Rv1G0054130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673224486:673225043:-1 gene:SECCE1Rv1G0054130 transcript:SECCE1Rv1G0054130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYLSCTLAKTPGGKGARVILPDGAVRRVSLPATAAELMMDAPGHFLAETRQARVGTRLEALHADEDLEMGVVYATFPMKRIGTKLTAADMARLAAAATREARRSAKVSSVGAAAAAAAAAPEPAVTFVPAAEEAPSPRARLDEMVDDAVAAEIGVLKHRLSSARSRRPNLETIHEENHLLCRR >SECCE5Rv1G0352110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:706670089:706672318:-1 gene:SECCE5Rv1G0352110 transcript:SECCE5Rv1G0352110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDQTVAKCPEGLRSPPAAGGAAGGGGAAALMKGFAAANDAAVTVSLGSAGALAYSSANKNPLVPRMFGSVNDIFCLFQGHVENIGNLKQHYGLSKTANEVTILIEAYRTLRDRGPLPASQVVRDLSGRFAFILYDTVSKSTFVAADADGSIPFFWGVDSEDHLVFSDDNELLKAGCGNSFAPFPKGCFYTTSGGLQSFEHPLNELKAVPRVDSQGQMCGSTFKVDSEAKKDSGIPRVGSAADWSNHF >SECCE2Rv1G0073520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:68609856:68611602:-1 gene:SECCE2Rv1G0073520 transcript:SECCE2Rv1G0073520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKRTKRTAPKSDDVYLKLLVKLYRFLVRRTKSQFNAVILKRLFMSKTNRPPLSMRRLVRFMEGKEDKIAVIVGTITDDQRVYEIPAMKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTLLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >SECCE2Rv1G0111660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:702737128:702738384:-1 gene:SECCE2Rv1G0111660 transcript:SECCE2Rv1G0111660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIPSLPNTRVAVVTGGNKGIGFEVCRQLASDGITVVLTARDETRGGEAVERLNALGLSGVIFHRLEITDASSAAALAGFLKTRFGKLDILVNNAAVGGVEYGQELDANEEQFRGLDFHQRVEWMLKNAREPIDSAKNSVQTNYYGTKHVIEALLPLLQASSEGRIVNVSSNYGLLRHIGNEKVRQELNDVDSLTEGRLDELLEKFVKDFEAGALEMHGWPTKFSAYKVAKAAMNAYSRIVARRHPELRVNCAHPGFVKTDMSMGSGVLTPEEGARNLVTVALLPDGGPTGAYFAMGEEAPFV >SECCE4Rv1G0276440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798054892:798057636:1 gene:SECCE4Rv1G0276440 transcript:SECCE4Rv1G0276440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVILLAIRKIGIALAKGVADQASVQFSKYGTQLLELQGSMGRVASEFRVIHDVLCQMDIRNRNNQVYEGWLEEVQKVAHVMEDMVDEYLYLAGQEHDIGCCFFLKKGVKKPRSLLSFNRLAFKVKETEKHLTHLSEIKNRWVTLINNGDTNSSNYIVKRSQDLANISRSLDEEDLVGVDENREKLEQWLEGGDLERSVIALLGMGGLGKTALAANVYKKKREEFQCHAWVSISQTYSREHVLRNIIKEVCKDNVSVLSSTVAMDIVRLEETLKEFLEQRKYLIILDDVWTPEAFDDLSRVLTHNSKGSRLIITTREGNVAAHASRGHTLTMETLPEDKAWDLFCRKAFPRETNHECHAELKPLSKEIVSKCKGLPLAIVSVGSLLGVREKTVEEWRRVNNQLSWEINNNSRLDHIRNVLHLSFIYLPTELKSCLLYCSLFPEDYIFQRKQIVRLWTAEGFVKERGRNTLEEVAEGYLKELIDRNMLQLIEKNSFGRMKKLKMHDILRELALDLCQKNCFGVNYDGECEESLQVVRRLVLQKLNKDNHQSISGIHQLRTVIILGNSMPSTTIPLLCKESRYLTVLELSGLPVEKIPDAIGDLFNLRHLGLRGSKVKMLPKSIEKLSNLLTLDLCQSDIHELPSGIVKLKKLRHLFAERVSDSNVRVWKWGNGICIPNGLGNLTNLQTLQALEVQDKSLGHLGALRQMRSLRLWNVKGIYCGRISESLVQMRYLSNLSMNTSDENEVLLLNVCLPSLQTLCLRGRLAEGALDEPPLFQAAGAQNLYSLSLCWSQLREDPLPSLSRLSNLTELLFTRAYTGERLSFRTGWFPKLKIIILRDMPNLSWLEIQQGALASLEELFLVNLSSMKEVPSGIEFLMSLKNLGFREISRDFLTSLRQCSAIQGKWRHTLRD >SECCE3Rv1G0200490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:853951096:853951500:1 gene:SECCE3Rv1G0200490 transcript:SECCE3Rv1G0200490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGKKPSRHAAEKAVQEAEGGDNGGDVAVVQQKESGGKKAADQRRYSRCFSGLEISIGPGPLKDVDAGKLKGQIKKWARAVVGYARQLSFGSPRSSPRKSGDGATATPKSATVRSKSALGGARSDHPSAELPP >SECCE2Rv1G0079830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:123312443:123324490:1 gene:SECCE2Rv1G0079830 transcript:SECCE2Rv1G0079830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGGGGGRRASASRASRRAAPEPNENDDLAAAPSSSSPSAAAPPFSLPPRSPLAAIADPGRNPRSAPGTPKSLAGTPRACAAASGVRDRSSSIGGAAKRVFDLRDLAATEVPVEVPHFELDEDPAFWMDRNVQVLVRIRPISAAEGAAYGQKRCLIQDSSKTLSWAGHPETMFTFDHVACETISQEKMFRVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELSKDGNELSNDSGLTPRIFEYLFARIKEEEERRREDKLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECYVSSVKDVMLLLLQGIANRKMAATNMNSESSRSHSVFTCVIESHWERDSMTHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDIANGKSRHVPYRDSRLTFLLQDSLGGNSKTTIVANISPSICSSNETLSTLKFAQRAKLIQNNAKVNEDASGDVMALQRQIEELKDQLTCLRKQQNTPGSPSFLLLNSGSDREYNTLAEDHQSSCDPSLLKQKVSHLEDVLVGSLRREKLAEVDIRKLEAEIKHLNRLVDLKESDSQRLRMMLKLRDEKLKRLNMLADDLVPSDGYMIDENAAMSQEIQLLQKQIDENPQLTQFAFENKRLIEQVRTLQNFHKQGEREMLLTEISLLRNHFLHILEQKYAAPPTKLEAQGDEIVKDLDNCRKELDACLENNVLLAREVNKLRCELKQYQMSSTNQVAPTTEKNCGIPEISQMQPDPVGWNFSCLTPNDAGMTTNIAESVQLNLPSEIASEHQESCSDSERCDLNDSTEVPQCSDEVSQCYSLALGSSHNVLGKDTILSGHVEDEETLQLQQDEIDHIHENVPNRDICLHGETSLCHQETEILGSSKQTLQAELAHIKIINQELKDKLVIMAEESTRLAEIIVAKDVEIASLSEEWEVAIVDLTSFLTDGCRSLDDAYQNIDNMISSFPYSNNSVSEHVEKAMKVSIEKEKIIFRLQIELQDAQRMGREVKEKLHILRGATLAITEAQQLYDDESSQEAQGRVNLHQKDCSVERKNCLLSEAVKHSRDEPLFLDSLNDMSAQRTRSEDGSAVNKANPDYQSKLDDVLCLVEDKSNKVLALFSNFEDAQETMKEADLMLSALLKANEELKLDRDNCSQAVKSLLAEKTSLVNELQEVEASRFCTAQSYSKLNQQMNECTNEMTNIATLMNGSFHHLQRVATMELFELCSEIINIGQDLKRWISDSRSYLVNIESLLEEKGSSSVQQLHHLNVNAYTCMCQQVDSCDLGGSNTMFLHETQAIPENSRKHTISITDMVDEGKDTSSMHVVPIGSAAELEVFDADSAYDMAVIKDIIFSIAQKWDIFVNKVSCIKNTETYPGVACDEQNYANPLAALAKLDSEQIHSAVPQQCKESIEDHSGEEDNTALLNDVQCLKHHLAQLMIPLSKFINKEDIMGDGAENEKQFVTVLNKVQDNLIFAIDFFGYLLLSDREGSQNAPLLSRLLNDISSIEKKALTRQKICLRNGDSQAGHSTEYASLRRDFDRKSDIAEGLSFDLKLLQESTSYAKDMKDKVDKVSNALRKVQRELEIKNSETEDMLAKQKTLVEELAENGAALIILRSELEQSQVSSSALSKENNDLRVMLEEESVKTSEIKALLEDKAKVIEGLESEIILLNSSEEGRLRSDIEELSNNIKILCNENGKLKAEILKLNDKLEMSMALAEENEASAIEARQAAEISKIYAEEKDEEVTILEHSVGELESTITVLEEEVLNLKEEVRSYQAHKQSEAEFQAIEEMLTVENASKCDDNVELCPGRCQLKKRLRAEIMAHQDTRRKIEGLVMEAKRKDEEIRQCKEHIAELVLHSEAQSLLFQEKYQEMEHMVSKQNFGSHESNSEAVHTKVEKPSGRTRGSGSPFRCISSIVQQMNSEKDQEISLGRQRIEELEALLSDKQKQICLLTSRLAAVDSMTHDVIRELLGVKLDMTNYANLLDQEEVHKLLVASQEQIEQSKAKDEELDVLKEQFGHLIQERDSLLDDMDQRKADLLETQLLVEQLEQREQMLEAQNEMLQMEKDNLQQRMMEMDETIELLEGSSRVRMGDSHSQRSAGSEFSRRLAQSDMLVSHARHERSRNNRAAAAGSSRPRHGRHH >SECCE2Rv1G0101960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:571986735:571988516:-1 gene:SECCE2Rv1G0101960 transcript:SECCE2Rv1G0101960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKEARALSTIAEADEIDSSNGSTEQHVSTVLDVEPCQKSRTPNVIDVERENSGWVRRHRHGAMPDERAASVDRISALEIAFRGFAERKGDAVVVPSMGLTFDSLGEAYDYYNLYSWECGFGIRYGKSRTNVKGAKSMQELLCNCGGKPKKVNSTSSRTECPAMIRLLRTEDDGWYICKYRVSHNHQMLHTCAQKLHFPSHRHIDKYTRELVSQLRQNNVNLSKVYSIIRTFFGRIENVPFTKRCLRTLCAKLSRDQADEDVKKTMDYFAELKQSDPEFTYTVRVDSESRVRTLIWTTGKSKLQYHYFGDVVTFDTTYRTNLFDMPFGLFVGVNNHFQSVIYAGVLMRDEKVESFNWVFAEFVKLMGGKKPITILTDQARAMELAIEQVYPDATHRWCKWHVLKKAKESLGTLYNKRSDFRVEFHKLIQDMLTEEEFEKQ >SECCE7Rv1G0515850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:841683352:841684416:-1 gene:SECCE7Rv1G0515850 transcript:SECCE7Rv1G0515850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNPEFAALGALGLAGICRETLRVVRSRPPGFAILSGEVTMLTLSLLAHIAISRALFSDALASSDAGAGLRRLATNWAPFFLVEAAFLVDIVVQWLSNAAFCVFSVAPRYGVTDDRDARSIARDLRTVPRFVATFLVSVFRGDSRTAARLVRTGPKVAWRLVATWLRVFLLLLGYTAFFGAAAAWLAHGHLLGAAPVEESGVQLQLPRAALLLGGSVYLAGAVYIGAVWWRVACVMLVLEDVGGFFQAMHMSDELLTGKSWAAAAVFSTIDGCVVAVHLAFVALVVDDRMGLAVWLRVATGVVMAVALWAALMAGLVAQVVVFFVCKSYHHERGLLRHGEEEPRSHRPERRSH >SECCE7Rv1G0483480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:323421062:323425225:1 gene:SECCE7Rv1G0483480 transcript:SECCE7Rv1G0483480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTAAPEGVAQPPSASNGPKGEAERKQSDAQGRGGNGGGGGGAGVGGGGRGKKRKNKGGAFIYGNYRNYYGYRIDRNAGEDPRLEIFKKEWFAGKDCLDIGCNQGLVTIGLAMKFECRSILGVDIDKGLIDLANWNLRRICRTGNVAPESAKVQNLSDSTAQSCPEEVVSEMPNGNISKHERPDLFEIVSFRSENFVQSKHKYAEQYDTIMCLSVTKWIHLNWGDDGIITLFVKIWTLLRPGGIFIMEPQPWTSYRKNRLVSEFAKENFKYICIYPEKFREVLLDKVGFRSAEVITNRLVGSVAGFDRPIEVYYK >SECCE6Rv1G0386550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:97143895:97145217:1 gene:SECCE6Rv1G0386550 transcript:SECCE6Rv1G0386550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVVRLLAGSSLVLPAKSRSPGRSGLCCRASLRGVGGNGTSTTTSGQRQLVSSIDERTLGRTDPVAGAEDGVVIGTGDDGAAEVEKLRAVAEAAADRVQMHDIIGRQRDNWNHLLLHSTNSLALAACVMAALAPASTSLLALKLSAGVLLASVAVTMAAVNKIQPSQLAEEQRNATRLWRQLERDVRSSATLALSASAAANDDLFLDAMDRVLALDAAYPLPLLPGMLEKFPDTIEPARWWPKKNPARRSACKMSSNARHGARRASMAGDNGWTLELEEEMRGVVRVLKAKDEQEYLSFGKVVLKLNRVLAVAGPALAGTAAIAAAFIGSDHAGTSCASGAAVLGGALAAAVNTVEHGGQVGMVFELCRNVTGLYRKIRDDIEDNLDEANLEQRENGELFQTKVALQLGRSPSDLKQFKEMASPSFRDEDIRNFAGELF >SECCE1Rv1G0047300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:624229877:624231586:1 gene:SECCE1Rv1G0047300 transcript:SECCE1Rv1G0047300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDHANDAAMDNGSPLAAAATPTLGLRPLDAGEFRRQGRQVVDFIADYYDHIDAYPVRPAVAPGFLARQLPDTAPSWPEPDALASALRDVHDLILPDVTHWQSPRHFAHFAATASNVGALGEALAAGLNINPFTWAASPAATELEVVVTDWLGKALHLPEQLLFSGGGGGTLLGTSCEAMLCTIVAARDRKLAEVGGEERMGDLVVYCSDQTHFSFKKAAHVAGIRQANCRVIPTCRESGFTLSPAALQAAVRADEAAGRVPLFLCATVGTTPTAAVDPLRELCAAVAGHGVWVHVDAAYAGAACICPEFSHIAAGAEAVDSFSTNPHKWLLANMDCCALWVRHPTALVAALGTDDDVILKDAAALAVVDYKDWQVALSRRFRALKLWLVLRCHGVEGLRGFVRAHVRMAAAFEAMVRADPRFQVPVPARFGLVCFRLRPATDAADDGTAETTMNELNRRLLEAVNATGRAYMSCAVVGGMYVLRCAIGNSLTEERHVREAWSVLQEQADAVLTSAGAGVAPAARSKGRTVEDVRRMETSVHEKWRSPPRTAYHVIRSYALAILLGK >SECCE2Rv1G0070420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:35303022:35305067:-1 gene:SECCE2Rv1G0070420 transcript:SECCE2Rv1G0070420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLESYHMIALLLVAAGHCLAATGGGSDDGQRFTHHGFLGANLTLDGAVEIMPNGLLMLTDGVTRNMKGQAFHPFPLPFRATSNATVQSFSTTFVFAIFGQYEDLSSDGMAFFVSTSKEVLSTAFAGQFLGLLNDTNNGNQSARIVAVELDTFQDAELQDIDNNHVGVDVDSLVSRRSHFSGYYDDDTGMFHNLSLISRKAIQVWVDYDSTATKISVTMAPLGVARPKRPLLQTTVDLSDVVQSTAYIGFSSASGGLVTARHFLLGWSFALDGPAPALDILMLPALPSTNARSMSISMKIVLALASAALVLAGIGIYIFIRRRLKYSEVHEDWEITFSPNRFSYKDLFHATEGFSDNNLLGRGGFGSVYKGVLRKTDMEVAVKRMSHDSRQGVREFIAEVVSIGRLRHRNITQLLGYCRRKGELLLVYDYMKNGSLDKYLHTRNASTLCWSQRYSIIKDVASSLLYLHEEWEQVIIHRDIKASNVLLDSKMNGRLGDFGLARIYDHETAAETTHVAGTMGYLAPELSRAGRPTPFSDVYAFGVFLLEVTCGRRPIFIDETNNRVLLVEWVLEHHHKGSMVDTVDPRLIGEFNTEEVTIVLKLGLLCTYPSPNARPIMRKVMQYLDRGQSPPDLSPAYISYIMMAQMQNEGFDSHNMPCSQPTMSVAAVSGESSATVLQEGR >SECCEUnv1G0563200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:372623603:372627073:1 gene:SECCEUnv1G0563200 transcript:SECCEUnv1G0563200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRAIGVISGLNEFVNLFQWAGSSLSYLRSRWSVTEQKSIEHEVLHLQSGLQRLRDTLPAMYGLIDQAEWRIHEDRVAELLPKLKDAVNDADDLLDEFRWHKLKMEVEGNADHSAFVDFYNTTVQGSFNKVNDIQERLNSISGQLEKMGLYGVTPGFDKSVRPDTTPFPNEKKLFGRDKELKEVMGFLGVPQSKGRACSKRKRASSAVDDASTSTSTWNQISNESRIPAIPVLPIVGMGGIGKTTLAQHICNHQHVKDYFELIIWICADEFDVKRLIKDAIQSASGKWTILDHLASLQDALSDSVSNKRFLIVVDDVWDDALKESEQRWKDFHHSLKNVVQGSMMLVTTRFPDVADRVSTMKAFPLDALKEDVFWDFFKLCAFGSESSENDPELERIGKSIVPKLKGSPLAAKTLGRLLGMNLHTTHWNNILESELWKLKQEKTDILPALRLSYIYLPFHLKRCFSFCALYAKDHKFEKGRLAEIWVAEGFVEPQGGIPLEDIGHQYFEDLVNRSFFQNVRGTYIIHDLLHDMAKLVSDHDCFTIRNMRDFQMVPKNVRHLYILPSRDLSTPNLLSLSKHIKLHTLICDMSFGNDAAVIMDRWCSELQRLRVLFWASSNELPDSIANLKHLRHLEISKACAFKSFSSAFCSLYNLQRLYVKECKLESLPCDFSKLISLQRFKSRGFEYYAVCTLNIDATNQFEMKLIKNLNQFDGHMNIWNLGSMRNCYAAEFKLKDKTYLHKLALTWSLSYSPGGVQKEVLQVLQPPTSLESLSLNEYPDVSLPNWFWPRTNRNEMPAIPSLEELVILQCRNLRSVQQLLRPAYVPAIKKLRIVDCNQLVSLPTETFGDFHSLEILEVCGCPNITSRSLVAHSLRTLKLGGSRNLTDNIQCCSLTEFYLSCKYVKTIQPQMWNLPALQKLHIANCRSLTSVGQGQPPIRAFESLTFLIIDNCENLATLDDLLTELCFPAIRKIDVKNCGKLKFLFGERPGSFPYLEDLLVRDCPSLKWPRELVLPSSLEKLHLVRCGDISSWSSSCLQNLKCLVELALIGCPSITSIPLGACRSNLSSLRDLQISGCPDLVSIGGAKAVAKINYVHIYDCPKMEDLEQPVSRGTVRFALILRPSPSCSFLIHGQCFHFCVTSCLLEFTLHSTE >SECCE4Rv1G0218200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:20257946:20259271:-1 gene:SECCE4Rv1G0218200 transcript:SECCE4Rv1G0218200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQALTELGGTSGRIAIAAFILSRFTGLPATHDKLLKANLRRLVSQGVVRGYGSKSRSCYVFPASDTRGRGRPSKPNNKDLEDDTTATPLPKNLNLHESSENYSIPGSGSQPQQNYSDPGLDGFQSNSSSGEDDVDDDEYTPHKRGTGRPRKILRGRGRPRKDEQQSQSQAKHPPTPTATASSTGGMQQSDAEAAAAAAAAELRKVTLSANGDAASPSTKSGRGRPRKIPGGRGRPRKDEQQSQAKHSPTPAASTGKAAAAAEHPPTPLSTDGIKRGRGRPRKEAGKGGSTKDDPPAPAASAGVKRSRGRPRVYRPMPVKTGDELENPVSVDVALENPRMHRPSEADIVSMVMKRGIPAKARDARPAEAGDAIPAKARYARPAEAGDAMPAEETGGAASTGIKRPRGRPRKEKPAAAMSAQAGDAVSAGIKRGRGRPRKK >SECCE5Rv1G0325830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:470036423:470036662:1 gene:SECCE5Rv1G0325830 transcript:SECCE5Rv1G0325830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLARLVDGIKTRLIRKKQGEDQEAASAACYDRVGKTESMRVEIRSRRAQELIAKNLAAADSIGHGGAKKAKKRFFAF >SECCE6Rv1G0421070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:681557262:681558016:1 gene:SECCE6Rv1G0421070 transcript:SECCE6Rv1G0421070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLQLSVLAACAVLFVLAAPSLAGDPDMLQDVCVADLASPIKINGFPCKANITADDFFFAGLKKAGNTNNPAGSLVTAANVQSFPGVNTLGVSMARIDYAVGGQNPPHTHPRATEIIFVTQGTLEVGFITTANKLFTRFVTVGEVFVFPRGLVHFQQNRGHGPASVIAAFNSQLQGTQAIATTLLTATPPVPTDVLAKAFRVDNEDIDAVKARFM >SECCE3Rv1G0203110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:880693746:880695452:-1 gene:SECCE3Rv1G0203110 transcript:SECCE3Rv1G0203110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRARALLLLRSSVPRSPPPQQNPLRSLTRAPPPPPRLLSRFLSSSSSPEALPDVPFSAAEALPDDPFAFAPGAVSGSADPTEADEDNLAALWEEDAGDADDIFVSTASSDTADPEARDEEVARVRAVVESTPEDQIPTAIADMVVDFTEPLLAAILLSAENCSGKKLLLLFKSAGKNNPDVKSLANLDIVASKVAESAEIDKMDAYMLWDLVKEMGSVPGSLSTPLLNKVLAIFWKLEKSKAALEVLDKFSEFGCTPDGDSYYLAIQAAGKKSMVGAAWGVCEKMISSGCFPDGKKTGEIVTFFCQGKKVTEAHSVYLAAKEKKVQIPTSSLDFLVGALAKNDETISTALELLDEYKGESLKHAGKSFAAVIHSLCRMKNVKDAKKLLMRMVDLGPAPGSAVFNFVITGLSKEGEMEDAKGLIRVMESRGLRPDVYTYSVIMSGYAKGGMIDEAHSLLREAKKIHPKPSRVTYHILIRGYCKMEEFEKALECLKEMKEDGLQPNIDEYNKLIQSLCLKSMDWRTAEKLLEEMEGSGLCLKGITRSLIAAVKELETEEVSKDSQEA >SECCE2Rv1G0127520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:853826122:853828018:-1 gene:SECCE2Rv1G0127520 transcript:SECCE2Rv1G0127520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGISEASSGEYSCLREELYEAATFVLESIRRIHAGEKTIVEIGRGDSADHDNLLDLKSNMLRLSEKITRLADSETQQVEGSEAKGIIRPARVGDEGKLALMQSNIGGGGSFKYGVLAGLVDDLWDDMRQLASTESKVLAISMFSCESSVRCCSALKSVSAVINRLGDWVPELSAAMKSIKEDVPKEAGAVDVDEDGDVDEDEDGDVDEYEEEKKAEEYYFAAHRRSWESIYSKGRSFEDPTIFSSMHFTHYMPGHSGQTPMGARFLRTMQIYSINVTETEGFTLEWPLEVYGVVAARDMVDYRRNLLFVRTRDDCQILTKENPFLQLTGPSRAIMSGDTVTIEADLKLKGTVRSKDRVLISKAFPSHGNNYGDRRDTLLLKGLCTIELQCQHLEQSVQATILSVSVVHGSLPCENGIVCYALLDDKTEGISLLDSQAGKMPIDEEGNLELSRQVVSVKSMGRLTIFVKALSACGEISGSVAFIPKSSNVRQESCSLGGCEVEITVAWSLLVESQHVIELKGSAEPYEHELNPCTPRMKLEEDAF >SECCE1Rv1G0046770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:619593116:619603893:-1 gene:SECCE1Rv1G0046770 transcript:SECCE1Rv1G0046770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASATDDSSASTAGMRDDDRSLSGESLSEWRSCDQGESGSPSTSPPFWDTDCDDDDPGPKPSELYGRHTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNMDPKKIKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYTTNIEQIYRRFIEERRNKLTKLIEDKIRWSSFRAFWSAIDPRTRHRMSREKTDTILKVLVKHFFVEKEVTSTLVMDSLYTGLKALEYQSKGKKGRTKIAELDELPAPMVNVDMDIFVLAGDVITLLERAALEPLPCQPVSPKDDKCSQSRMKDGSSGEVNKISMEREERRLTELGRKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEAAGLENETKGKRGGGANEKDKRAKKKQAKQKKNNRKVKDKEREDKSEARILERLNDEITVDDSDGLPSKQAEEVALKVGLTLEEGASDGPDHLDSSRSMNGKRVSSMEANSSAFSADSTAMNGIHSKINNLPDSRNHLSPNRGKNQRNKGISIISYAEEDDCLPSSSVTGSSDRNSSGCGTAPKLDRDTVLLTLKDRLRELGQRLHEKNIEGRKLFKAHFEAMESQTKTNGSSSSSSTEKPPDVLKSPEHSPEVTNDVKANGTANKEVPLANGVPEEAVSGVPATTSTEAVPVKAPTRTKVDPVSNKDHGSSLTPQPIRAAPNSSKSTPTDTDKDVPLPSRSPRINRAAPVPPKSPPTDKATPVRPKSPPAEKATPVPPKSPPTHKATPVPPKSPPTDKAIPVTPKSPLTDKATPVPPKSQPAAKAAVVPLKSPATDKANPVPLKSPSAGKSSVVVPITPPSAKDAGTPSRSLQFDKSIPAPPRLPQVDKVAPVPSASSQTSSTTYSEAREETTPIKVTSTRVSEVAVTTSRPSSAPVFPTPRATVPATPQVQVSTLLSRSASEAAGRSGNDPSPSAPAYVPQTYRNAILGKPGLGTSSSILSYQSTTLGQATALSQPLSTYASTASLMMPPAGRNDQLLSARHGFKSGLGNSDTLDSWQPWKGDSSINNHLWRDDSPYQRTTNGHAYQQTWKDDAYQQARGTETDNFSRYGGLQPPRQTPVSFVMQQPQAPVAEEYQHLDIINDLLDEGQSNGSKAEPMRHDYHAYGLPFSLRGNIADSEMAAVSSPGRFSRGNLADLDMASVSSSRRFSRGNLLDSEMSSVGSPGRFSRGSLADPEMMASVSSPGRFNSSDRYYDDGFSRAYDMSPLQGAREMQFSSLDTYATNGGLSDMSTSKPWLYGGHSPVNPSSMNLGSLSTNGFPQQHHHQMGDYSSNLASGVNGVGSAAAAYRRQANNGRW >SECCE3Rv1G0181760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:617800330:617804506:-1 gene:SECCE3Rv1G0181760 transcript:SECCE3Rv1G0181760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase 1B, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14660) UniProtKB/Swiss-Prot;Acc:Q9FUZ2] MATACFHLRLCPRFRAFASFSSRPLLATHPRTLPLPRTGPATPLAARTRRGFGSSTAAAPPNEDDDFATAADLRFERPLKVVQYPDPVLRARNKRINTFDDNLRSLADEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKFSKRLSVYEEGCLSFPGIYANVLRPDTVKIDAQDASGAKIKVKLSELSARVFQHEFDHLQGILFFDRMTMDVVESIHEQLKSLEDKYEEITGQASPETIANYRGTKDALSFSR >SECCE3Rv1G0191170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747044773:747045792:-1 gene:SECCE3Rv1G0191170 transcript:SECCE3Rv1G0191170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVKATIAVVLLVMIFTSGAMAATSFDATRMQQLPLSDGLLQGPESVAFDAQGHGPYSGVSDGRILRWDGDKIGWTTYAYGPGYDKDMCTASIFRPATSTENKCGRPLGLQFHHKSGDLYVADAYRGLMRVGPGGGEATVLVNAIDESPLRFTNGIDVDQVTGQVYFTDSSMNYDRAHHELVTRSGDSTGRLMRYDPSTGDVTVLQTRLPYANGVAISTDRTHLIVASTGPCKLLRHWIKGSNAGTSELFADLPGYPDNVRPDRRGGYWVALHGEKNELPSRPNNHLLALRIGADGKILEEMRGPKRVKPAEVMERDDGKIYIGSIDLQYVGVITRK >SECCE7Rv1G0461720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:39379445:39379696:1 gene:SECCE7Rv1G0461720 transcript:SECCE7Rv1G0461720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLEEFRGSSSAGPARNGGGGGSEGSSAVTTPSWWAGDPEAKRRRRVAAYKAYAVEARVKASLRRGFRWIKDRCTGIVHHRR >SECCE7Rv1G0498920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:648108692:648110170:-1 gene:SECCE7Rv1G0498920 transcript:SECCE7Rv1G0498920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTTNGAAAPTHAVNGAGNQAGRDHVVVFPFMAKGHTLPLLHFATALTVHQKNLRITMVVTPANLAFARSRLPASVLLAVLPFPSLPPLPSGIESTDTLPGPDLYPTFLRATALLREPFAEFMASLPAPPLVLVSDFFLGFTHRVAADAGVRRIVFHGMSCFSMATCKSLITSPPPSGAKHGASFHVSRMPEHVRITAGDVPDTIAKIGDAEDPVTRFIIDDIGDSDGSSWGVLVNSFAMLDEDYVSAFMSFYQPDARAWLVGPLFLAAGDVPERVEEQDPEGCLGWLDEMAERSEPVIYVSFGTQAHVSDEQLDELARGLVQSGHPFLWAVRSSTWSPPVDVGPHGRIVRGWIPQRSVLAHPAVGGFVSHCGWNSVMESLAAGKPVLAWPQMAEQHLNAHHVTHIIGAGVRIVAGGGTGAVVDRAEVERKVRRLMDAGDADGQKIRAKAAWAQKAAKSAVSDGGTSRVALLKLVEEVQGTYCDVVKDHS >SECCE6Rv1G0388480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:136831537:136833582:-1 gene:SECCE6Rv1G0388480 transcript:SECCE6Rv1G0388480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTRPRGLAATGRAALALLVLLSVAAPRCRVARAQQQYEANKQLNCYGTNDSSVLGYTCNATAAPRPCASYVVFRSTPPYDSPVTISYLLNATPADLADANAVPTVSSVAASRLVLAPLNCGCAPGGYYQHNASYTIQFSNETYFITANITYQGLTTCQALIAQNPNHDSRKLLVGNNLTVPLRCACPSPAQAASGVRHLLTYLVTWGDGIADIAARFRVDAQAVLHANNLTDSENIYPFTTLLIPLKSAPTPDMLVSPAPPPAPAPPQAQQPPPSGGSGSGKGVAVGVGVGVGVLALAGLLGLMFLCVRRRRRPRPGVVEDGHPGKGVLAVPSSADYDPLASGKHTSSATTNSSSSSAFVSSDARAAVESLTVYKYSELEKATAGFSEDRRVKDASVYRAVINGDAAAVKRVAGDVSGEVGILKRVNHSSLVRLSGLCVHHGDTFLVFEFAENGALSDWLHGGGDTLVWKQRVQAAFDVADGLNYLHHYSNPPCVHKNLKSSNVLLDADLRAKVSSFALARSVPTGADGGDAQLTRHVVGTQGYLAPEYLEHGLITSKLDVFAFGVILLELLSGKEATFDGGAKRGETLLWESAEGLVVEGEDARGKVRAFMDPRLNGDYPLDLAVAVASLALRCVAREPRGRPSMDEVFVTLSAVYNSTLDWDPSDYSNSRSSIVGR >SECCE1Rv1G0033630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:469695850:469696155:1 gene:SECCE1Rv1G0033630 transcript:SECCE1Rv1G0033630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCGCGSNCNCGKMYPDLEEKSGATVQATAIVLGVGPAKVQFEEAAESGEAGHGCSCGASCKCNPCNC >SECCE5Rv1G0368230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:827291884:827293305:-1 gene:SECCE5Rv1G0368230 transcript:SECCE5Rv1G0368230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLDEQSFLDELMALRQEEAPAPAPWRAYPGSVMITTSDLLFYGGDDAAEATSGMDLAGPFLPQPMAPPPAAPPHRPHEEFNFDCPSEVYNPYRSCVGGVPGPGVVHGGGQALAQHPLHNAMGEDGTGSGHLHGGGGASSSPLPFVFGAGGAGEGSAMIRGVFSGAHHARSKLRGGTTSKNLMAERRRRKRLNDRLSMLRSIVPKITKMDRTSILGDTIDYVNELTERIKTLEDDIGATPEELNLLNTTKNFSIGSSEEMPMRNSTKFVIEKQGDGETRIDICCATSPGVLISTVSALDVLGLEIEQCVVSCFGDFAMQASCSQEEGRGRVTSTDEIKQALFTSAGYGGRCL >SECCE6Rv1G0383770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:56884656:56888396:-1 gene:SECCE6Rv1G0383770 transcript:SECCE6Rv1G0383770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDVEMEPAEHPSQPQPQPPPPPPQAAAAGDGWSMLSRARGLLQEGQPSLALQAVLLAIRSQGGEQALIQTLNRARDLYRQRSQPAPNIDELASLLAQCAIAEAQSSNPNPLGPGSDPVMTLNSDEACILAECGRKQIILDAFNDGSSFICLKCGGLFSTSRKDEHLAYWCGAA >SECCE3Rv1G0149940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:29780462:29783166:-1 gene:SECCE3Rv1G0149940 transcript:SECCE3Rv1G0149940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLQSAFASGRSYCFGFLYSSLVGYVQLAALIACIVMSSLRLKRQDYVDARNQEDDDHKNIRQSLNIFYALVLSQCILYFVSAIVASPLKRMFVVCLKYKLGVWGFLAIAHYLQECLLKCISGDLREAVNMDLVSFAKQKLTSNSMDDQLLGIRILDHLLRIKEHKEMVLTKIRSSLDTIEKAVYMLGLKKEAEQDTRGHAARVLLELAPDLQAESFPGIFQSISSLLITHKATISGSFSNSIGVSKSKELTLLGVDILDKLFTNPENRAEAKNAKYVLSKILDITNCQDENTLDSNIDKIIVENSLNVLCKLVSTAGETGEELRCQVSKNIHTMQNIRKFFIDYAESQPAMLAQAADILACLALNDSARKEIERTHLIIWKLISLLAEDSDEDQESSLRVSTGVRMSAAEALVLLSAPIKENAMLNAVSGRSIMAILSETKLQDMQRIVSTLSEESAEHRIMVAKFLQNLRGYQGPHYSDQLETVNRALPKEMEALMINEQGKLLESFIGLCMQICTSGNAKQFTNALRNAEITDDMFVQMLRKILELYKSPSSDAPGIRRVVIQQMNWMMARDSKYINIFLRHEMDKALKEVAQTALKLENYWFFRFDVGTFEHDESIYSLLNISPLLQAGGSSL >SECCE5Rv1G0363580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:794660419:794661627:1 gene:SECCE5Rv1G0363580 transcript:SECCE5Rv1G0363580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQESRYWCHSCAEVIVPVEPEKKCPDCDGGFVEEMGSEGFEPSTNVRSERNLSLWAPLLLGMMGGSSRRSRPQRDMMDSSSDEDSRQARIRSALRDTDDEDDDDDDDDSDRELEDMIRRRRRRGSSLVRLLQTLRDDLRGLDGIGRDRDRDSERDRERERERRDRERRERERARRERERARERDRGGERTESLILINSNNEAIILQGTFGPSDNQENSSNTSTGVSLGDYFLGPGLDMLLQRLADSDLNRSGTPPAKKESVAALPTVNIQEVLGCTVCLEEFEMGTEAKEMPCQHKFHSNCILPWLELHSSCPICRFQLPTEESKNPCESGSGGGTVSADGDHAESSNSDIEGANHDGGSLIDESSINDRDVTSALNAIFGDASSSSSSDENGPRASES >SECCE6Rv1G0411440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:607056164:607057117:-1 gene:SECCE6Rv1G0411440 transcript:SECCE6Rv1G0411440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLARSLALPHMEHFTLPYYCHFGLCLFLALLLHAVLRAKQPRPRLPPGPWQLPIIGSLHHLLRGLPHRTMRDLSRHHGPLMLLRVCERVAIVVSSAEAAREIYRGNEAAFSERLSSPGIHELSRHGQGVVFAPYGDHWRRILMTELLSAFRRIREEEAARLVSTIQAMSSDGRLVVNIDERLDEFMTDSAVRAIFGDRLPDRAAFLKIVKQGVGLSSLFDLRDLFPSSRLVRLLPRSGRAERQRRETFRLMDNILKTHKERRMGRDGDDEQDMVDVLLRIQKEGNMRVSLTDAVIKALLIVSSIHLYKIKISFK >SECCE2Rv1G0134610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:902477742:902480320:-1 gene:SECCE2Rv1G0134610 transcript:SECCE2Rv1G0134610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRFLFSVAAAIAAASLLAASLRRREQPPRLPDQLVPGPMAARNRSFVLWLHGLGDTGPANEPIRTFFAAPEFRHTKWAFPSAPNSPVSCNNGAVMPSWFDIHELPLSPGSPHDESGVLKAVENVHAMIDREVAGGIHPDNIFVCGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFGSSVIERISPEARKTPILWSHGIADQVVLFEAGQAGPPFLQRAGISCEFKAYPDLGHSIAKEELSSLEAWIKGRLKASQDKQS >SECCE5Rv1G0332510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:549107999:549108608:-1 gene:SECCE5Rv1G0332510 transcript:SECCE5Rv1G0332510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSPTDDAPGTAASSSSSCFALAWCVWSICVLHVALVLSSVAAVALIVVLLPFACMAASLCLVCAIAARLLSCSASFAPLDWTAQRDGGVDGDAYSWEDEEEHGGAAELETRGRECTATYIDGARESIDPCDDEQDRRFLLAETGNYYYCKKFYDLLAFWRRKERDWRR >SECCE7Rv1G0506630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:750939929:750940442:1 gene:SECCE7Rv1G0506630 transcript:SECCE7Rv1G0506630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAPAVVALALAAAILSTPPPQSSDNFSNIPPTLSGDDKAQVRIKHPKSAKALRCTSECVSTCIRGGEGPLNVRRPPVVFKEGQFRSRLYCLTECSDICNLIKDGEDGP >SECCE7Rv1G0517700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:855667943:855674098:1 gene:SECCE7Rv1G0517700 transcript:SECCE7Rv1G0517700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARSILIPTYYYLISCYLFLAAALSFDYNFTIPSDREQLKFINASYAGRDRIILTDDSSNLTGRVVHRKPVRLWDDRTGRRASFTTSFRFAIVQDSNRTDNLSRGDGMAFFLGPFPATTPPPGSDGGLLSLFSNPNSTGDADSRRPPHTLAVKFDTRWNHGWDPSNGDGAGGGDHIGVDVNGIRSNRTRSLPPLTLHGILWASVTYDGESKVIKVALRKTELTSESSTTYEFNATMDLRDDADLVQDAAVGFSAATGVLCESHQLLAWSFHSTDPSHAETKMWVIFLAVTAVLLAGLLAVLLALLYTKFMNRPRRCLDGNCLQVARKFSYSELVAATDNFSQDRKIGKGSFGDVYRGLLAVPNLREVAVKQMQQPTLKQNRKNYVHEVETLCQLRHKNLLRLIGWCDDGGRLVLVYELEPKGSISDHLHSGSASGGMLTWPQRYNILLGIAAAIEYLHNGAYDSTKNNVMLGEGFEAKLGDFGLVRQVIRHGGGGTPRTTVIGSMDYMDPKYIELGTLSPASDIYSFGLVLLEVATGVRPFVPGTAAHRNTLIATVKESHSRKAILEMVDERLRGELNQWWWQMERVMVTGLSCVEPVRDERPSIKDVIDLLSNHEQSPASNRYLKDTSMRPKDRFPFILTRNVALSNARATSLA >SECCEUnv1G0560740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:349545395:349546308:1 gene:SECCEUnv1G0560740 transcript:SECCEUnv1G0560740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGALNPLLGKLGQLLGDEYKKLTGVRKQASFLKDELSAMKALLDTMELMDNLHPSAKNWRDQIREMSYDMENCIDDFIHDIEGARAKKGFVRKMAQRLRRLGRRHHIANRIEELKVLAVEANARRQRYKIDDFIHSSPGLVAVDPGMSALYKEASRLVGIDGPREELVSLLMDSQKKLKVVSIVGFGGLGKTTLAKQVYDEVGGQFNCKAFFSVSQRPDVKILLSGLQKELGMGDTSHACQLQGIIDRLREHLKHKRRWYLSNQV >SECCE3Rv1G0186240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:682253680:682257043:-1 gene:SECCE3Rv1G0186240 transcript:SECCE3Rv1G0186240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRFFCCAAKSASATSAAGGTKKNIVFLGSPQVAASVLDTLLAASSSPDSAFQVAAVVTQPPAAKNRGRKLLPSAVAQLALDRGFPGDLIFTPERAGEESFLSDLKGVRPELCITAAYGNILPQRFLDIPPCGTVNIHPSLLPLYRGAAPVQRALQDGVAETGVSLAYTVRALDAGPVIACERLSVDECIKAPELLAILFNIGSKLLLDELPSVLDGSAKQKAKPQDDSKATLAPKMNSDESWLTFDEEAKVLHNKVRAFAGWPGTRAKLQLLNQNGEPDVLDIKVISTNVSMSCDKVGDENEILFSGNSLLVPCSGSTWLEVLELQLPGKKVTTARDFWNGLRGQKLLKSS >SECCE2Rv1G0100800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:548381288:548383959:1 gene:SECCE2Rv1G0100800 transcript:SECCE2Rv1G0100800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIIKRYQNFPEAQKRAHERSIFKQRRSKHFQVPIVTTDRRPNIHDMSIDESSISQLTIEQLSQIERKLEYALRSAKTKKLEAYRIATLQEKGKATVEERDLMEMVSRKEQKQEGGRYVPRSQQSSREVDLTLSLGLGIGIGCNVSCSHRHQTAIDLNMP >SECCE7Rv1G0500000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:660825887:660826495:1 gene:SECCE7Rv1G0500000 transcript:SECCE7Rv1G0500000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFCGTSTGRRGQFVKLVFPGGHVELLDRPVLAAEVMSQHPRFCVARPDVFREPVGAVAAPNTMLHLGHKYYVVPKSTVRRLLKYSSSHSAGGGRSSVSLRRHLARPDGHDRGHKDGGKKWFGWAVGGEARAPQRPPQEVGVSHGSEEEAGRVVRVKDTKEKGRSAKGESPGRRRRRPIASPADSASYSWQPSLHSITEE >SECCE2Rv1G0115610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:753575444:753585842:-1 gene:SECCE2Rv1G0115610 transcript:SECCE2Rv1G0115610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSSLLVSLRVAGPWTPPAAWDSIAPESASGGVPDPVGRPSRDPIYELTSVPDAALVRLALHALHGVKSSLDEIEELSVLFSSSPADRTSHRIANVWSRSSSTASIGHILSSICSTGLAVFFLCKFVHYYLFQSREINCGGREGHGHDVSDDKDTEHSAPYSLVNQAFAAAVEKVLEGYFCSLNTLPPSVKLRRSVGQSDRPSMTSDSASCNSSSEITLLEVYLHTEELRRHIKSLGNICFPKFAGLALCQDGLTTDSNLEFENFPRGTDLLSYLYVRLRDADPVHYALLKYLFIRSCEPYCNFIKLWIYRASVDDPYEEFLITQTENNQIQGGSWGPLDDFTALPFKGSNHVSVPCFLKDICNPLLRTGQQLQVLMKVVKSCNLCSTGGDNYDASKTILLEEILPWFGTPIECSVNSFTFSKSKAEAVICQRDAMYRSMLEKLHHFFLNIEIIPFGPPSNSLHKSKSPLDTSVSDVELLYGGTHALPTCDITSANEKDNDAYSTSQESSDILDPLESSECSSYSSMDEIEVESGITCGNLLSSRFSLYSASTGEANCSSETQNLLPYRTSSRHNGKNPASPNDEHHRNVDLGCSNVSMHSQNVEHNVMPDAMELDYQYSKFWPFGKFPKNTLNSSPGKMCLVDEFLYTDNESAVEQVSHDDVVHPSHTEKSCKINRSWNSSVPYNLSTNPILKNAACHHTESDLRGKWKNQALKGFAFESVTNPCEVYCERSMSLVESEAGATKVVHSTAQISKQSDCSSELLQAKTGSQGYLASSGEMEARNNLPKNVCGGALWEKLLEYTAKSTEKIAGDSSSSSDMPLDIAIDKCIIQEVLLQYKYVSSFTMKLLEEGFDLCGHLLALRRYHFMELADWADSFIVSIYHKKWFSVKSEQKRAEIQGLLDLALQRSSCDTDLYKEKLFIYMKEQQDISVAASEHGFHMLDDFLLGYKVDWPVNIVITEEALRRYAEIFCYLVQVRFAVFSLTEVWRFLKELTQLISRSGRSRPDILKELNSVMKVRHQVYHFLSTLQQYHHCNLSDISWRRFQHSLKHEVKDMQDIEYVHLCYVTDALHICFLSNETKPVATVIKSMLEQALEFRSCFKSLNELSESTVNQLNLHSLINFSQVDAIKTRFESNIKDLYILHSKSSKYEELGLSRFWGYLNYNEYHSLKINKEVGCFYF >SECCE1Rv1G0032010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:449340380:449341405:-1 gene:SECCE1Rv1G0032010 transcript:SECCE1Rv1G0032010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHTCKLCFRRFQNGRALGGHMRSHVMAAAAAAAAAAYSPPPPQQQSPPLSLASTSSTDTDGGCFGGVTAVAGESSVVQDDESDTESPRGGAGFAVSRRRSKRARRRAPPPAPDPEPASTVSDSTQEEDVAMSLVMLSRDSWTRSRSEPEPRWASEAEQNNDGEDEFDEDEDGRDVAGEACYVEAAAVHVHPRARHQCGACKKVFRSYQALGGHRASVKKGKGGCVPPPAGKAGRGDAPIVHECPFCFRVFGSGQALGGHKRAHMPFASPPPGKCGDSFGSFDLNVPAAFDDDFELSAVYDAEFGSTRHRQ >SECCE7Rv1G0453910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:209877:214310:1 gene:SECCE7Rv1G0453910 transcript:SECCE7Rv1G0453910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDAPPTKPPPPDADGAVQAGAEALAAYLGVAFALFLATLPGGAAGARHVASLQSRGRILATRLLAAEDQLRQLRARRREDARANARAAEIFAGHRASWAEAERRLLARAAAAADEAASLRARLADAEAQAAALRARADRLERDAADRDALLGALLAATGNGAAHDDAGARQHQGGSDPAEGYGDTDAEALAAAAALYAQQRQQQDGFGGGGDDFYTPSSSSAAAASGMPPWMDSRSKGWQDMKYESVESVYNTKHAVPRRESPWKVDVESSGVPAKLRLLEQELVNLEKVGNGDLSKIPLVLRKQVKRYQTLAGKIEDLCKRMQANDPCDSTLNSEFRTQRQTEYLLEAFHLQHRAAETRQKLGTVQAETAKSSFGDELPAEAKASTRRALSSVRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIRECILSPYASAVR >SECCE6Rv1G0429090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:733476580:733479660:-1 gene:SECCE6Rv1G0429090 transcript:SECCE6Rv1G0429090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEPEAVGAETRVPRDSLYGDAEKVTDDKHHSSGASWWQTLQLAFQSIGVVYGDVGTSPLYVYSSTFPDGIRHPDDLLGVLSLILYTLVLLPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDASVSNYSIEEPDSQMRRAQWVKQRLESSKAAKIVLFTITILGTAMVMGDGTLTPAISVLSAVSGIREKAPNLTQSEVVWISVAILFLLFSVQRFGTDKVGYSFAPIISVWFIFIAGIGAYNLATHDVTVLRAFNPKYIVDYFGRNGKEAWVSLGGVVLCITGTEAMFADLGHFNIRAIQLSFTFILFPSVALCYMGQASYLRKFPQNVGDTFYKSIPEAMFWPMFIVAIMAAIIASQAMLSGAFAILSKALSLGCFPRVKVVHTSKKYSGQVYIPEVNFLIGAASIIVTLAFQTTTNIGNAYGICVVTVFSITTHLMTVVMLLIWKKNIAFVVAFYVIFGLAEFVYLSSILSKFVEGGYLPFCFSLVLMALMATWHYVYVKRYWYELDRVVPAAELTALLARRNVRRVPGVGLLYSELVQGIPPVFPCLVDKIPSVHAVFVFMSIKNLPIPRVAPPERFIFRRVGPAEHRMFRCVARYGYTDHIEGTKEFSAFLIEGLKMFVHDEAAFSCQHTDDDGDNNNNNNDNDAQRVAQAEAAEEEKRFIDVEVERGVVYLMGEAEVAAAPGSSALKRVVVNYVYTFLRKNLSESHNALSIPKDQLLKVGITYEI >SECCE2Rv1G0133620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:897940204:897948826:1 gene:SECCE2Rv1G0133620 transcript:SECCE2Rv1G0133620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSWQESKLLWHIAFPAILTAVFQFSIGFVTIGFVGHIGEVELGAVTVAINVVEGFAYGVMVGMGSALETLCGQAVGAGQVGMLGIYIQRSWIICGATALALAPTYVFTAPILRALHQPTAISAVAGRYTRLVVPQLFAYAANFPLQKFFQAQSKVWAMTFISGAGLALHVALNYLFVTRLGHGLFGAAMIGNVTWWFIIVAQFAYLVSGCFPDAWKGFSVLAFSNLAAFVKLSLASAVMLCLELWYYSAVLILVGLLKNAQLQIDVISVCINYQLWTLMVGIGFNAAVSVRVSNELGANRPKAAKFSMIIAVSTSAAIGAVFLAVFLIWRTELPRFFSDNDRVVRGAAKLGYLLAASIFLNSIQPVLSGVAIGAGWQTLVAFINIVCYYLFGIPLGVLFGFKLKLGALGIWVGMSIGTLLQTAILVIICFRAKWENQAMLAEERIKKWGGSIETLPAATTTATNDITDQ >SECCE4Rv1G0244350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:514431232:514432444:1 gene:SECCE4Rv1G0244350 transcript:SECCE4Rv1G0244350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPEKAGVPPWSRLEGQVVLVTGASSGIGRDFCLDLARAGCRVVAAARRADRLRSLCDEINASAAAAASHPWAVAVELDVAAGGSAVEAAVQRAWDAFGRIDVLINNAGLRGGVHSSLDWPEDEWDKLIKTNLTGLWLVAKHVCRHMRDAKIKGSVINISSVAGLNRGNLPGSIGYASSKSAVHSVTKLMALELGAYGIRVNAIAPGIFQSEITAPLLQKRWLSTVVSKIVPLKTNGTTDPALTSLVRFLIHEKASYITGNIFIVDSGVTVPGVPIFSSL >SECCE1Rv1G0015260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:122172507:122175462:-1 gene:SECCE1Rv1G0015260 transcript:SECCE1Rv1G0015260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKSNVKKEIDGSLAPRPRKGGLKFAPKKPPKKPAKVVPKAEPLEESKDEIIDKELLMKLKTSQITDPFGRRPKIEKKEINTQVAFGQGNSSYARSFPTRKYSAAPKAPKEYVDPWDYTHSDYPITLPLRRPYSGDPEILDEEEFGENSASRAQDGELTAAEELGLMDWSDTPQLLFFQLPVSLPLPKQTESVEEPNTGSEENVEPATSSKVARGQTLPGSKIKDLPGGLMGKILVYKSGKVKMKIGDALFDVSPGSNCMFVQEAVAINTRENHCCTLGEVSKRAVVTPDVAYLLGSTDKMEE >SECCE2Rv1G0075990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:87410718:87413445:1 gene:SECCE2Rv1G0075990 transcript:SECCE2Rv1G0075990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRDEPAAVRVYTVCDESKYLIVRNVPSLGCGDELGTLFSSYGPLEECKPMDAEDCEEYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVSYAPQFESVLDTKEKLEVRRNEVLGRIRSSLGSRSEWLTQYSPGQGSSSGNLHHHMSPNKREYTKRLHASHIEDVRFSHVSSNKDYFPSESMNAAVNLVRQKLDKIQSGSDGSYAVAASKKPRVDNRRRI >SECCE4Rv1G0219360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:30053256:30058476:-1 gene:SECCE4Rv1G0219360 transcript:SECCE4Rv1G0219360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTDMGCCSCFSFLRKPSVKVGRPRDTDGMLSKDLLKRQTSEDFDGSFYTGDDPDLSFYNGDGLDRSFFNGDDPDRSFYERDGTDYNHESDDEPPRKRSEDIILTRAQTGFACRGSLVKETKKVVRSEDDLGNKMINQYVHLGKIGAGSYGKVVLYRNIEDGKLYAVKVLNKPHMMRVRVVRSETAMTDVIREVSLMKMLSHPNIVNLIEVIDDPNSDKFYMVLEYVEGKIVWDKGIEEATCRKYLRDIISGVMYLHSHNIIHSDIKPDNLLVTSTGNVKIGDFSVSQIFEDDDDMLRRSPGTPVFTAPECCQGSAYHGRASDTWAVGVTLYCMITGRYPFLGETLQETYDKIVNDPADIPSNVSPQLVDLLERLLCKDPGDRITLEAAAAHPWVAGDEGPVPEYMCRCGFGRRKRNVSQEAVQ >SECCE1Rv1G0008880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:50559694:50560416:1 gene:SECCE1Rv1G0008880 transcript:SECCE1Rv1G0008880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLPPLLPTPPRSEMLPILPTPPRSEMLPLLPTPQGLVLTMLVSAMAGRADFVHRWDWNKKGNKPCSSICSSSSSSSSEGGKSTGRADSVDRWDSDKKYNKKPCTATSSSSSSSYSAGSPGRADSVERWDSKKKLAASCGASLQPTGRGRHDGNNKRLSSPSRASSAERWDLHKKPRSEHMEKLPRTNAAAARPALATTPQKAMFAGSSFYASPEPSMLPMPSFFLLARSRALCTS >SECCE5Rv1G0323490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:436670304:436673001:-1 gene:SECCE5Rv1G0323490 transcript:SECCE5Rv1G0323490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVMEVTQVLQSVSDLPVQDPPGEEFSAADLRWVKYASSEHHCDDVALIPYDRMEAFISGECNNPEYPTRFHIERGRKRERGTLKEVRSDEYLLYRMYWCSFGPENYGEGGTILPSRRYRLNTRNRAARPQSMRGCTCHFAIKRLYARPSLALIIYHERRHVNKSGFVCHGPLDRDAIGPGARRVPYVGSEIQQQTMSLIYLGVPEENILQTHIEGIQRYCGSDAKVDSLASQYVHKLGMIIKRSTHELDLDDQASIRMWVDRNKKSVFFHQDSTETDAFVLGIQTEWQLQQMIRFGHQNILASHSSFGVSKLKYPLHTILVFDSRQQALPVAWVITRSVTKHDTSRWMKALTSRVHSVDPNWRIGGYIIDDPTSELDPIRNVFSCPILFSLWHIRRTWLKNIIKKCSNTEVQREIFTQLGKFMYSIWSEENPMDILEQLFQDFVDQTTFIQYFKSFWVPKLEMWIDTIRNLPLASQESCGAIEGYHLKLKLKAYDDSQLDALQRVDWLVHKLTTELHSGYWLNLYADESGSFPEVKAEYIASTSWQRALHIPDEAVLFDDKEPLSAKVASQKDASQMRTVWNAGSEFSLCSCSWSMQGNLCKHAIKVNMMYAPRKDVQPSLSFQSFQRVLLDLWQKPLDDSFSLDLSVAWVMQMQERIQKVAELAASDGIAQVAGKLPIQWANKRGRRTAIRRTSPIRILPHSNGSVQRDLTPKRNRKRRRLSNFSG >SECCE5Rv1G0370150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:840672024:840675511:1 gene:SECCE5Rv1G0370150 transcript:SECCE5Rv1G0370150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLISTAVHHTLPDSYVRSEAERPRLDEVVPDADIPVVDLANPDRAAVVAQIGAACSSHGFFQVLNHGLPLEVMLAAMAVAHEFFRLSPEEKAKLYSDDPAKKMRLSTSFNVRKETVHNWRDYLRLHCHPLEQFVPEWPANPLPFRDVMSTYCKEVRGLGFRLYAMISESLGLEQDYIKKVLGEQEQHMAVNFYPKCPSPELTYGLPAHTDPNALTILMMDEQVAGLQVLKEGRWIAVNPRPNALVINLGDQLQALSNGRYKSVWHRAVVNSDRPRMSIASFLCPCNSVMLGPAEKLIGEKSPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRT >SECCE6Rv1G0393410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:281343514:281352131:-1 gene:SECCE6Rv1G0393410 transcript:SECCE6Rv1G0393410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIAGRRVSSHFAWRPAAAASAGRSPLAGGLPGDDFPRDQNPRVAIESPFYVVARGFSAESLVPRNQDVGLAELPPTTAALKNPCAKIVYDEYNHERYPPGDPSKRAFAYFVLSGGRFVYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDVKLANSVDVASLRDPQEDAVRVKNPEWLVVIGVCTHLGCIPLPNSGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYIFLEDNKMLIG >SECCE4Rv1G0253890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:630598976:630599266:1 gene:SECCE4Rv1G0253890 transcript:SECCE4Rv1G0253890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYRALPQGEVTAEEFWAWLGQFDADHDGRISREELQRALRSLNLWFASWKAREGVQAADANRDGAVGKEEAGRLFAYAQRQLGGKITQLGSY >SECCE4Rv1G0289880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:868936655:868937152:-1 gene:SECCE4Rv1G0289880 transcript:SECCE4Rv1G0289880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMITLKSSDGEEFQVEEVVAMESQTIRHMIEDDCADNGISLANVDAKILSKVIKYWKKHVQVSPKLADSTDASSSTSTAAAAPAEDLKSFDAEFVKVDEATLFDLILAANYLNIKGLLDLTFQTVADMIKGKTPEEICKTFNIKNDFTPEEEEPVGF >SECCE5Rv1G0351510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:700601015:700604160:-1 gene:SECCE5Rv1G0351510 transcript:SECCE5Rv1G0351510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGGFDIIGGITGSKTRLKGSVVLTRKNVLDFNSLGATVMDNVTEFLGRGVTCQLISSTIVDSNNGGRGKVGAEASLEQWITSLPFITVGENKFSVTFDWAVDKLGVPGAIIVKNNHASEFFLKTITLDNVPGHGKVVFVANSWVYPQSKYRYSRVFFANDTYLPSKMPAALKPYRDDELRNLRGDDQQGPYEAHDRVYRYDVYHDLGESRQILGGSKEFPYPRRCRTGRKLSQTNPDRESRLLPLVQSIYVPRDELFGHLKTSDFLGYSLKALVDGIIPAIRTYVDLSPTEFDSFADILKLYEGGIKLPDIPALQEMRKRFPLQLVKDLIPMGGDYLLKPPKPQVIKQDEKAWMTDAEFAREILAGVNPMMITREFPPKSSLDPSQYGDQTSTITEAQIGSSLEGLTVQQAVSSNRLYILDHHDHMMPYLVRLNNLDDTFLYATRTLLFLKGDGTLAPVAIELSTPLLQGGLTTAKSTVYTPASTGVEAWIWQLAKAYVCVNDYGYHQLVSHWLNTHAVMEPFIIATNRQLSVTHPVHKLLHPHYRDTMNINSRARELLVSAGGIIELTVFQRKYAMEMSSVTYKDWNFIEQALPDDLIKRGMAVRDPSSPHKVRLLLEDYPYAVDGLAIWTAIEQWVTEYLAIYYTSDSVLQSDVELQAWWKEVREVGHGDLKDAAWWPKMKTVAELVKACATIIWTGSALHAAPDTEEYALLARDPEKVFIRTITNQLQAIIGISLLEILSKHSSDEIYLGQRDTPEWTSDAKALEAFKRFGTRLEGIESQVVALNGNPQLKNRNGPAQFPYMLLYPNTSDHTGKAEGLTARGIPNSISI >SECCE2Rv1G0096200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:435125838:435133398:-1 gene:SECCE2Rv1G0096200 transcript:SECCE2Rv1G0096200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Glycolate oxidase (EC 1.1.3.15) (Fragment) [Source: Projected from Oryza sativa (Os07g0152900)] MEITNVTEYQAIAKHKLPKMVYDYYASGAEDEWTLQENREAFARILFRPRILIDVSKIDMTTTILGFKLSMPIMISPTAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFVLPPNLTLKNFEGLDLGKMDQANDSGLASYVAGQIDRTLSWKDVKWLQSITTMPILVKGVITAEDSRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQIPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAVAGEAGVSNVLKMLRDEFELTMALSGCSSLADITRNHVVTEADKFGVMPSRL >SECCE4Rv1G0254200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:633625392:633627760:-1 gene:SECCE4Rv1G0254200 transcript:SECCE4Rv1G0254200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGKKVLNDVKPYMMMILLQIGYAGMYIVSVASLKRGMSHYVLVTYRNLVATLVMLPFALIFEKGIRPKMTMRIFIKILGLALLEPVLDQNLYYVGNKLTSASFSSALVNILPAVTFIMAIVLRMEKLRLRSTHSQAKVAGTICTVIGAVLMIMYHGPMVQFPWARGAHHVDQAASAAAAQSSSTWLKGTIAIITSCVAWAGFFVLQSNTLNSYPAALTLTTLICAMGTGINGSMALVAERHDMGAWVIGLDTRLFTVVYSGVVCSGVAFFVQGIVTETRGPVFVTAFQPLCMIITAVLGSVILKEETTLGSVIGAAIIVLGLYSLIWGKSNDIIDKPVHSVPEKLALPLTSVAANGTNGTNGTNGGRHVGGGQVAHDVETPAVKGAICH >SECCE3Rv1G0157350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80945180:80946930:-1 gene:SECCE3Rv1G0157350 transcript:SECCE3Rv1G0157350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSINGLRCKQLKLVILAFFMMFILWKWEEGTYYNTEDIHPDSLVVPHPANSKFVDQHTSSDEDFPSVDSLPESDVKVEKQVTGAPPPVPLVGVPANVGGEQGASPSKSKECNHRNGRWVPDKRRPLYSGFGCKQWLSESWSCRLTQRTDFDYEQFRWQPEACDMPEFEASQFLMRMQGKTIAYVGDSLGRQMFQSMMCMATAGEERADVEDVGAEYGFVLAPGAKRPDGWAYRFPSTNTTILYHWSSTLCDLEPLNPSDPATSYAMHLDRPPAFVRDNLHRIHVLVLNTGHHWNRGKLRANKWEMYVDGSPNHNKNIAVIWKAKNFTIHSVVKWLDAQLPRHPHLKAFYRSISPRHFFNGDWDTGGRCDSTSPLAKGSGISQNRSEDADAEGAVMGTRVGLLDVTALSRLRDEGHISRYSIKATQGIQDCLHWCLPGVPDTWNEILAAQLL >SECCE5Rv1G0351030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:695316108:695317427:-1 gene:SECCE5Rv1G0351030 transcript:SECCE5Rv1G0351030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPAPHLPDMADAAPAPNSSSSVSSASSSSTAGRSSAFSVDSPAATPTSSPPRPHRAGDVAWAPIRAALAPLGPRDFTLVRRVGAGDIGTVYLCRLEAEGDQSCAYAMKVVDRRALAKKGKLGRAAAEKRVLRRLDHPFLPTMFADFDAGTDYSCIVMEFCPGGDLHSLRHRMPGRRFPLASARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRGDGHIMLTDFDLSLESTASPALEEAWSAAGEDDDGARPIPACFPEVHLLRLMKWKRRAAPRPRPRFVAEPVDARSSSFVGTHEYVAPEVASGGGHGASVDWWAYGVFLYELLYGRTPFVGASNEATLRNIVRAPLECPPLGAGTPHADAAAARDLIARLLDKDPRARLGSRRGAADVKAHPFFKGLNFALLRSSSPPVVPPPAALHQQRGKASPDVHQLFEQF >SECCE7Rv1G0484850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:349804660:349806810:1 gene:SECCE7Rv1G0484850 transcript:SECCE7Rv1G0484850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGINQLLRKTLQSQSSGSSLLSSFRGKHEESSAGLRALALLGVGASGLLSFGTIASADEAEHGLAAAEYPWPHAGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYPNEQAARFANGGAYPPDLSLITKARHDGQNYVFALLTGYHDPPAGVQIREGLHYNPYFPGGAIAMPKMLMDGAIEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >SECCE6Rv1G0430450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:742152913:742154016:1 gene:SECCE6Rv1G0430450 transcript:SECCE6Rv1G0430450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCTLVLLGALVVLSLLVSPSSSAAYGGGWLPAGATYYGNPNGAGSDGGACGYQTAVGYRPFSSMIAAGSSPLFMAGKGCGACYDVKCTSNSACSGKPVTVVITDLSPGNLYPGEPCHFDMSGTALGAMAKPGMANKLRAGGVIRMQYKRVPCKYPGVNIAFRVDQGSNPFYFKTLIEFVGDDGGLKAVALKEAGSGAWTAMAQDWGALWRLNNGRRLRAPFSLKLTSDSGRVLVVNNVIPANWKAGATYRSLVNYR >SECCE3Rv1G0195780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:802352074:802352554:-1 gene:SECCE3Rv1G0195780 transcript:SECCE3Rv1G0195780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRGAALVLAMVVAAMVVAPPATVRAAISCSAVYSTLMPCLQYVQQGGSPARGCCTGIQNLLAEANNSPDRRTICGCLKNVANGASGGPYITRAAALPSKCNVALPYKISPSVDCNSIH >SECCE6Rv1G0388400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:134464239:134466251:1 gene:SECCE6Rv1G0388400 transcript:SECCE6Rv1G0388400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRKRGLAFHTAALALLSILAVARGQQQYEANAQTNCYGRNDSSVLGYTCNASAAANPCATYVVFHSSPPYYGTAVSISYLLGSDPAAVADANGVPTVSPLADSRLMLAPVPCGCSPRGYYQHNSSHTIQFRGETYFIIANNTYQGLTTCQALLAQNPRHGSRDLIAGNNLTVPIRCACPSPAQAAAGVRHLLTYLVTWGDSVSAIADRFRVDTQAVLQANNLTASQIIFPFTTLLIPLKSAPTPDMLVSPVPPPAPAPPQAQQPPASGSGKWIAVGIGVGVGVLALAGLLGVILLCVRRRGPRPGIGEGGRGSKVVLDVPSSADYDALASGKHTSSPTMTSSSSSALVSSDARAAVESLTVYKYSELEKATAGFSEDRRVKNASVYRAEFNGDAAAVKRVAGDVSGEVGILKRVNHSSLVRLSGFCVHHGHTYLVFEFAENGALSDWLHGGGATLVWKQRVQAAFDVADGLNYLHHYTNPPCVHKNLKSSNVLLDEDLRAKVSSFALARSIPTGADGGNAQLTRHVVGTQGYLAPEYLEHGLITPKLDVFAFGVILLEVLSGKEATFDGGDKRGETLLSESAEGLVVDGEDARGKLRAFMDPRLNGDYPLDLAVTVASLAVRCVAREPRGRPSMDEVFVTLSAVYNSTLDWDPSDCGNSRSSIVGR >SECCE4Rv1G0272190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:766852913:766853185:1 gene:SECCE4Rv1G0272190 transcript:SECCE4Rv1G0272190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVLAFSILGASPADIAPGAGNGSSWARLSWRPRKLQEDDLAGATKQGKQGGLPAETEQQTGNLKSQSPSPRFAPEFDGIDFFETTVCH >SECCE6Rv1G0421470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683948516:683950446:-1 gene:SECCE6Rv1G0421470 transcript:SECCE6Rv1G0421470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MAMEEVEVPLPTEKLSADPNRAGGNRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKDLLPAAHRVRLCELACRSSSFVMVDPWEAMQKGYQRTLTVLSRVANSLCKDGLADQGGVRVMLLCGSDLLESFSTPGVWIPDQVRAICKDFGVVCIRREGKDVQELISSSETLRECRDNIISVDEIVPNQISSSRVRECIRKHLSIKYLTCDEVIEYIREHRLYMETGESDTRS >SECCE5Rv1G0333290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:555904287:555905693:-1 gene:SECCE5Rv1G0333290 transcript:SECCE5Rv1G0333290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQKPHVVFVPFPAHGHVAPHTQLARVLHARGFHVTLVHTELHHRRLVVAKGAEAAAASWLGAEVIPDGLSLESPPRTLEAHLDALEQNCLEPFKELLRAMACRPGVPPVSCVVVDAPMSFASTAARDVGVPDVVFFTASAAGLMGYMQFEELVKRGLVPLKGAGYKTDGSLDAPVDWVPGMEGMRLRDMPTFCHTTDADSALMRIHLHQMRVVAGSKAVVINTFHDMEKDVVDALAAFLPPVYTVGPLSSIVSSLPAGGGDFSSSTDTPSLFQEDTECMAWLDGKEARSVVYVSYGSHAAAGVDKIKEFASGLARCGSPYLWVLRSDLAADVEVGKNGLVVPWCAQEAVLAHPAVGLFVTHCGWNSILETVIGGVPVLGWPMISEQTTNCRQVNTAWNIGAELPQEARGDEIAALVREMMVGKKGMAAREKTLEWKRLAEDATKEGGSSCANLDRFVEDVLLKGL >SECCE3Rv1G0158460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:88492485:88493486:1 gene:SECCE3Rv1G0158460 transcript:SECCE3Rv1G0158460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWPNSNESSDDDEYMSKFSSMQMEYFQTPDTVIDPSFSGLVTESDCRCILHRQTAGKFVAFEGTDTGRRFIGCATEAGVNCGVLEWVDAPWPVILQRCLTKLWDMYHEENLGRVQDKEAHEIEVEKLKKELDSLGNQYTQLVDDVSKLFDYQDGQKSHDMDYTSQAINELKKKKDQLEEQTKIELQMEKLKLKKEQRCILQSQADIIQNTRKAMKEIQVERDLLKEEKKKLEHIIAELLKAGHGCKEKLDKIKEVVMEE >SECCE7Rv1G0460660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:32807516:32817155:1 gene:SECCE7Rv1G0460660 transcript:SECCE7Rv1G0460660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein [Source:Projected from Arabidopsis thaliana (AT1G17690) UniProtKB/TrEMBL;Acc:Q8H1E7] MAKLKPVPPTATPRGQKRRREKPKRPVLDDDLPDSSAGIIAAKAARREKPSNESPQVLHQNDDDDSDDCNVSSEDEAHDIISNKHDAFDEMESSCSFQRHVTHVLANDEVNALVNQKSKFKWEMPAEDIPKSKWVGTGEKIKEASDDPFVDVKGKLKDHWQKILSDDLNNRSRFFSLCNSYRDIMHCNKKPFYLKGSKVDSSTMDAYLMHALSHIHRTRDVVIKNDAKLRNDANTDVMEDDSYRDQGFTRPKVLFLLPLKSIARRIVKRLIQLSPLTQKDNSMGQFKKEFGESDDELEEPNSSKPTDFNLLFAGDVEDHFLFGIKFTKKSVKLYSNFYASDIIVASPLALKLKIDGGEVTKKKGRPKENDSDFLSSIEIVVVDYADVISMQNWSHLHAVLEQLNHLPSKEHVTNVMRIRPWYLDEQARYYRQTIILSSYLTPEMNALFNGLCLNYEGKVKLATEFTGVLPKIQLEIRQVYERFDASSIGELDDARFEYFCTKVYPKIQESDEGGVLLFASSYFEYIRLSSFLKSQDASFCRIGEATSQQDISRARLWFFEGQKKILLYSERSHFFHRYKIRGGHHLVVYSLPGRKDFYPELVNMLGESGNPRCNVLFSRLDLLKLERIVGTSSARRLISSDKDMFVFC >SECCE3Rv1G0200050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:850495881:850497089:1 gene:SECCE3Rv1G0200050 transcript:SECCE3Rv1G0200050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLPPGYRFYPTEEELICFYLRNKLDGSRGDIERVIPVVDVYSVDPLQLSEIHERLCGGGGGGEGEPWFYFCARQEREARGGRPSRTTPSGYWKAAGTPGVVYSADRRPIGLRKTMVFYRGRAPSGTKTKWKMNEYRAFQHEHDGAGAATATGVPHAAAPPNLPPQLRSEFSLCRLYTKSGTLRQFDRRPVAVAAGGAAGDIPGPSTASPDDGDGSGGSMQPLEEELMEGGDDDPYGDDIATLAALLYWPTD >SECCE5Rv1G0305920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:79054932:79055200:1 gene:SECCE5Rv1G0305920 transcript:SECCE5Rv1G0305920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKVECDKCGKITWKGCGKHVASVYEDIEKGKHCTCKAWPGVHTEGSSSNTKEGEGKA >SECCE5Rv1G0350020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:687892996:687895647:1 gene:SECCE5Rv1G0350020 transcript:SECCE5Rv1G0350020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALAPLLASLAVAAAVLAAVADAACRHTNLTAGFAADLTMLQHQLRGTVRLDPSGACALHLTHFDLLAASPSARFWAADGPSLDDLAKGRPFSPLPLNATFRNETLRLPFSAPLPPLLAIFDPDTSSDLGHVFLSAASNSSNATAALASRIAAPTMFDNCVQLSEAYRLRWTLNSSAGEVDIGLEAAVGSEYYMAFGWADPKANSPAMVRSDVVVAGFTEEGMPFAEDYYITDYSECTMGKDDLPVSGVCPDSAYDDGRNDSRLVYGHRRDGVSFVRYKRKLDSEDDKYDVLVGAAEEMAVVWAIGKLRPPDTLRPHYLPQNHGGPRDETFGLMRVNLSEVVDSCLGPLDADNKQDQDRIIADGKTPLVVTSAPAVRYPNPPNPDKVIYINKKEAPLLKVERGVPVKFSVQAGHDVALYVTSDPIGGNATLRNKTEVIYAGGPDVHGVPATPTELVWLPDRNTPDLVYYQSVYEAKMGWKVQVVDGGLSDMYNNSVLLDDQQVTLFWTLSPDSISIAARGEKKSGYLAVAFGSGMVNSYAYVGWVGNDGVGRVKTYWIDGKSAAGIHSTSENLTYVRCKSEDGVITFEFTRPLKPSCTGKVECKNIIDPTTPLKVVWAMGASWSGDDLTDSNMHSVTSSRPIRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGILAARYLKSLKGDGWYQIHVYLQYSGISIMFLGVLFAAAELRGFYVDSVHVKFGLSALLLAAFQPLNAYFRPKRPANGEVPPRNRVLWEYLHVITGRSAIVVGVVALFTGMKHLGHRYDSENVEELTWALMLWVLSAIVIALSLEYKEVKRRGGDRSFRGHWVLGNTEEDDSVDLLHPDSTARSSESRPSGAMEVQLEPLTR >SECCE7Rv1G0455670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8028903:8031992:1 gene:SECCE7Rv1G0455670 transcript:SECCE7Rv1G0455670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSAAHGVLGPLLGKLAELLAGKFGRVRGVRKDILSLQAELTSMHAALQEYTLLEDPGVQVKAWISLLRELAYDTEDCIDKFIRQLGKRGRRGGFKEFFRSTALLLKTLDSHCGIADQIEELKARIKHVKELKDSYKLSETACSKTEHAAVDPRLCALFAEEAHLVGVEGPRDDLVKWMLKEENSSTKHPRVLSIVGFGGLGKTTLANEIYHKIEGQFDCRAFVSVSQKPVIKKIIKDVIYKVPCPDGFRKDIEIWDETTSIAKLRELLQDKMDDIWSSQAWNNIKCAFPDNNCSSRIITTTRIIDVARSCCPDSDDRVYEMAALSDLHSKRLFLKRIFGSEDHCPVMLKEVSNKILKKCGGLPLAIISISSLLANRPAVKEEWEKIKRSIGSALEKDRSPEGMSSILSLSYNDLPPNLKTCLLYLSVFPEDYVIDRKRLVRRWIAEGFISEECGQSQQEVAERYFYELINKSMVQPKDVNYDGKARACRVHDMMLEIIISKSNEDNFIVVIGGGQTSLANRQGFIRRLSIQHIDHELAHLLAEEDLSHVRSLTVTSSACIKHLPSLAKFEALRVLDFERCEGLEEYDMRSIGKLFQLKYLNFRGTWVSKLPSEIMMLRDLETLDFGFTPVEDQTSIPNKIGNMRNLRVIAGFSITQSPADAVEDLGNLPGLEEIDVQLDGGESDEFRRHEEMLISSLCKLGSRKLQSLRIIRDSGSLEFLESWYPLPISLKVFYMDSDYYFTKVPEWIAPALTSLSFLDINLAELTEEGLHTLGELPALLCLNLCWKTDPEERLTVQGTGFPSLKQLLLSDLAYVTFVKGAMPKLETLKLPFAVSVARSHGFDLGIEHLTCLKKARVRFDTEDLSTSESKAAAAAITKALFG >SECCE1Rv1G0023320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:303055634:303059217:-1 gene:SECCE1Rv1G0023320 transcript:SECCE1Rv1G0023320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPKSTIIIFLLPLLLLGAAAFPLPLLNSSLPDPAAVVADFHSKVATSRRRMQEAAGGCMTGNPIDDCWRCAGTEWWKDRQRLADCGIGFGRNALGGKGGPFYVVTDSSDRDPVNPAPGTLRHAVIQEGPLWIVFAADMTIRLNEELLVNSYKTIDGRGANVHVAGGACITLQYVSNVIIHNLHVHDCVPAGNANVRSSPTHYGWRTRSDGDGISLFSARDVWVDHCALSRCADGLVDAIMGSTGITVSNSYFSHHDEVMLLGHSDGYLPDSGMQVTIAFNHFGVQLVQRMPRCRRGYFHIVNNDYTAWEMYAIGGSASPTINSQGNRYIAPANPNAKEVTKRVDTEEGQWNGWNWRTEGDMMVNGAFFVPSGEGMENIYDKASSGVDPKSSALVDQLTVGAGVLGGPRDNGEASAYVGFNYAGSATGGGGGNGYGSLGMVYANTADRGCRTQLILSLTTLLLALICCVTLD >SECCE1Rv1G0013730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108002256:108003428:-1 gene:SECCE1Rv1G0013730 transcript:SECCE1Rv1G0013730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRAPDSPGGLIFAPTYADVIIYLERKISGSPLPAAAARYIHDADVYAAEPAALVTGLLPALASVDGEGREWYFFTSARAQSSRDSRRCRAVAGGVGTWHSEKARCDVLGAGGAVVGYRQPFTYKPKNGWLMLEFSQEDPRPGEAMPAVCKIYQTRRAGQSASKPISSGSSSMSGSKRKAAAADKRSGEGSSSGVRRCLQFPPPTAAPNPATSEIQEAFLLPVERPQAEQEPQETPVRRAPTATPLIPSFSMAADPSLAFDRTAFLCPAQDSPTPASSDLSDTSTALLGNYELVSPATSELTCYNATTPLRDNTGAWTSSYGTTSLLPGQDWATPESSQVSEASKLESYGCFSPDQATSRPTTQPISNGTGYCWSFPQYRELSAIFGA >SECCE2Rv1G0083740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:170176194:170178635:-1 gene:SECCE2Rv1G0083740 transcript:SECCE2Rv1G0083740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEENAKFPSCDAYFDTIQSKKKLPLALQESLTAAFAQIPVASFPDVPSGRVTEIPGETSVLDAVRILSEHNIRAAPVLNPEPGAPADWQGRYLGIIEYSAIILWVLDNADLAAVALSAGSATAAGVGMGAVGALGVAALGATGPAAVAGLTAAAVGAAVAGGLSAEKGVAKDGVTAADHLGEDFYKVLLQQEPFKSTTVRSIVESYPWSPFVPVTLDSPMLAVLLLLSKYRLRNVPVIEADKPVIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSLDEVITVNSDDLILEAFKCMKDNKIGGVPVVEGPKRKLVGSVSIRDIRFLLLRPDLFSDFRHLTVLEFMKALGSTLPDSGGNGLVKPPLTCAPDASMGSVIDSIGSRITHRIYVVDGDFEVVGVVTLRDVISCFIHEPPGFCDGYLASAMEKLDDKEDVDSSVENS >SECCE3Rv1G0149180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:22982498:22984183:-1 gene:SECCE3Rv1G0149180 transcript:SECCE3Rv1G0149180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVMSNLMLVLTAFMVSATTYLLLRRMRGKKRLPPGPGLALPLVGHLHLLREKPLHATLAGLAARHGPLLSLRLGRRAAVVVTSLPLAKECFSSELDVVFANRPRFPSAREVSFGYTGLSAASYGPHWRAMRRIATVHLLSARRVDLMSDATIAGETRAMVRRLARAAAADVGGVARVELKRNLFELSHGVLMEAIAGAKGKRGALGSDADMSQEAHEFKKVVDEIVPLLGMANLHDHLPAPLRWLDLGGVRRGLTELVNRRNALIHGLIDAERQRRQQEDHAADEQLPEAKSMIGVMLSLQESDPQQYTDTFIAALVTNLFGGGTVTTSATMEWAMSLLLNHPDALRKAREEVSARVGHARLLGRDDLPHLPYLRCIISETLRLYPAAPLLAPHESSADCSLHGYHIPAGTMLLANAYAIHRDPCVWDDPEEFRPERFGVNGYDGQDQQGMMLPFGMGRRRCPGESLALSTMGLVLGTLIQCFDWSRVAGDEVDMTQASAKILYKVVPLVALCKPHDSMWAMLQSGSS >SECCE4Rv1G0262650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:709495288:709496595:1 gene:SECCE4Rv1G0262650 transcript:SECCE4Rv1G0262650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAASVAGAAPAVPPAVLCAAEEALAATESVGDHLAEMLAAAAEDPDAVAELPPLHRARAFLAVAQAATSLLSVRLRCSGINPDEHPIKKEFERLSLWQEKLNRLNEWDKAPLRPSTTVNTQAAARFIGRSLSHLTDDQKRGMQAISKGEGAGWSGRKRKSQPLPERKSVRAAAEEFLAKASQELIGYNGSGVKGPVRTVFDEDED >SECCE3Rv1G0209650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939680826:939682643:-1 gene:SECCE3Rv1G0209650 transcript:SECCE3Rv1G0209650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDILAVALGGAAGGLALVGCVTLLVMLCLRHRRRNSDSSESNSSGRALPDMQGARCMTLEELRSATNNFSSSNLVGHGMFGEVYNGLLQDGTIIAVKRRHSPPSQEFLHEVNYLSSIRCHPNLVNLLGYCQDNGMQMLVYQYVPNGSISTHLHGNGNSPTVRLEFKQRLAIAHGAAKGLSHLHSMTPPAVHMNFKTANVLVDADFVPKVADTGIRGLLDRLGNAGPPSMIFNDPFVDPRGKESMIFSIQSDVYSFGVFLVELISGRTAASDQGIIEWVQNFQETSDVSTIADRRMSSVFTSVGMKELLRLASRCVNPASENRPTMSLVEAEIHRIREQEIRRTTVMPVGDTTVTLGSQLFTSPR >SECCE5Rv1G0338370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597688765:597690837:-1 gene:SECCE5Rv1G0338370 transcript:SECCE5Rv1G0338370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMVGPPNGRRNHGKHYYTMGQTMFEIDTKYVPIKPIGKGAYGMVCSSMNKDTDEKVAIKKINNVFDNREDALRTLRELKLLRHLCHENVIALKDVMMPIQKRSFKDVYFVSELMDTDLQQIINSSQTLSNDHCQYFLFQLLRGLKYLHSAGVLHRDLKPGNLLVNGNCDLKICDFGLARTNNTKGQSMTEYVVTRWYRAPELLLSCDNYGASIDVWSVGCIFAELLGRKSIFPGTDCLNQLKLIVNVLGTMSGADLEFIDSMKARKYIKSLSYTAGTPLTGMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSVLYDPSANPPAQAPIDLDIDEKLGVEMIREMLWQEMLQYR >SECCE2Rv1G0121990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:812520044:812521073:-1 gene:SECCE2Rv1G0121990 transcript:SECCE2Rv1G0121990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPLSQGHPQAWPWGVAMYTNLHYHHQYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLNGGDSPGDKDLLLSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKQLDAGDIVHFERVRGLGTGDRLFIHCRRRGESAPPPPVRVPPPALNAGEQQPWSPMCYSTSGSYPTSPANSCAYRRSMEQDHSDMLHAGDSPREADTKSSTASGPPSRRLRLFGVNLDCGPEPEPEAATPMYGYTHQSPYAAAVATVPAYWGSS >SECCE7Rv1G0462640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:47511763:47512035:1 gene:SECCE7Rv1G0462640 transcript:SECCE7Rv1G0462640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPHFTGEAAAGASWAHEVKQALRDKLRWTPAAGTTGTGGHGAARPPTASSAVTAEPAAQPAHGADCRGLAAAEDPIRRVMFLAPWGHT >SECCE1Rv1G0028890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:408159541:408161559:1 gene:SECCE1Rv1G0028890 transcript:SECCE1Rv1G0028890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDSGSVTPYAALRLVPSELSLVPAHTVHAVFELSIYNHSKGMYYGCKASYNFDFKNFYSKEHCLIPLKKLLKSSAFLVDDSCVFAVEILKIDVCSPEKKAVVVQKKATTVQNLFVQEKGFVKGTYTWNMNNFLELDLDHSVRSPTFEVGGHKWYIRMHPRGDLHSTDCLSLYLFLDASDELHLESKKVAVMTLSILNQKNGKHLIKTSGLFVCDGGWGWPNFLELKKLKKGYVVGSSCIVKVDLTIVGLSNDG >SECCE6Rv1G0403110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:496621226:496622925:-1 gene:SECCE6Rv1G0403110 transcript:SECCE6Rv1G0403110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARDAQSGLEWRVTVPEGASVTVEYEAGPAGRAWAWLVACVLLLGATVSGFAKKVWKIGADDPRKVVHGLKVGVALTLVSVFYYTRPLYDGVGGAAMWAIMTVVVIFEYTVGGSVYKGFNRAVATASAGVLALGVNWVASKSGDKLEPVITSGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDELVALAQQRLVTIAIGIFICLAVCVLIWPVWAGQELHQLTVRNMEKLAAAVEGCVEDYFTEEAAKRAPAKSDGYKCVLNSKAAEDSQANLARWEPAHGKFAFRHPYAQYAKLGAAMRQCAYCVETLSSCVGAEVQAPESVKRLLADVCTRLGAQCGRVLREASSSVATMTTSPALDFAVADMNTAVHELQGDMRELPFTLAGEPGEASLIDAMPLFTVASLLTEISTRIENVVDAVDTMASLASFKQGEDDDDKKGDAELKMKVHPLNETDSDEPPEENRTSKPSEQQA >SECCE6Rv1G0438990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:793635531:793635865:-1 gene:SECCE6Rv1G0438990 transcript:SECCE6Rv1G0438990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQAAIVCILVVLALVGTPISAENCTVVDDGGEFFCTNPLCKATCEVFAHDRKGSLKDYHCENKNAIKAVCYCNIC >SECCE5Rv1G0370330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841595777:841599022:1 gene:SECCE5Rv1G0370330 transcript:SECCE5Rv1G0370330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLPLDIEPGEAARAGETVVSMPSSSAVASRNGIERSEQNMKDDECARLVTPARHAATDNDTEILAEQPKSRHFIWWMKVLLGCFLLISASCIFVKFGVPFAFKKVLLPIMQWEASAFGRPVLALVLVASLALLPLILVPSGPSMWLAGMIFGYGWGFLIIMVGTTLGMVASYWIGSLFRERLHAWLKRWPQQIALIQLAGEGNWFQQFRVVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSVAGMIPEAFIYIYSGRLIRTLADVKYGKYKMTPVELTYNIISFIVAVILTIAFTVYAKRALSHIKSSDDTCAEDQSGVTALKNGHQERAHAAMHIM >SECCE3Rv1G0178730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:514691802:514693889:-1 gene:SECCE3Rv1G0178730 transcript:SECCE3Rv1G0178730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIGSSADGTGPSTTSSSYSTADADDNGTAGGAKVWVLVLVFSLLVLLLLPSAVRRGGGGFQRGGITLKSGWDVVNLCLVLFAILCGLLGRGGGDADGEAPGPAAPAPKSHLRVSPAAAPEPSSEDVWASFNNSYTNHNAQTGIRRMKSSSSYPELRLDSDGVWGLASPELAWRSYDDAELYRTRREADRPLRRTSSDVKTIPVDTYEVRSRTRPLPQDARRRRRSVERLPKMDEVEEERTHPAETETLATPVRSRTWSPEELDATLLGMASAPPPPAPPPQPRRRRRSLERLPEMVEVVEERTRPTEALPTPARSRTWSPEELGATLLEMASAEPPAAPPQQPRRCRRSLENLPTMEEVDKEIIVEEINNPQPSSPAMFPPGTPPPPPPPPPAAMSRSKKKRSGSVGGAKELASAIALFYQKKRKSIIMKRERHHHHHHHLSDDQYSSPSSEASASPEATGRTNPPRPPPPPPPPPPPPSSIFSNLFKKGGSKSRRINSLAPPQPPPPPPPTRRSRKPPQPPSRPAPPQPPPGPVRTRPPRQHPQQQPRAQGYPQQLPLYPRRGVVYYAYPLPPPSPPMPPPPPPPPMLEGEDEEVPSVTASPAPSYCASPDVNTKADNFIERFRAGLKLEKINSYREKLQIQEGATVTVAEEDGEFMVIGSLFQDDDDDMSLPETPATATATAAVAAVAVGF >SECCE3Rv1G0192150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:755536061:755543919:1 gene:SECCE3Rv1G0192150 transcript:SECCE3Rv1G0192150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAMPKMPSVTGPPLVLLLLAASFAASQAGIHCGPQQQPPAASPAASPSPSSSNTTNGGKFHDNLQSLLLALPDAAAPTGFASLSSGTGFDRVFVRGLCRGDSSPSQCLADLQSGTIDLIASCSSSRRAAGFYDKCIVTYADINASTASYGFEEDMGELLYDGRKVADPDSYNKTYYALMQRLVARAAGGGGNGSARMFATGEAEYTRAHPNGTMYGLVQCMRDLTAAECDRCLQASVPQLPRCCFGYQGGVARSFNCHLRVQIYTYYDLALDAPPGAAPPPIPSPLSTQDKRRKRRLEHVILAVSLSVGTLVLVVVLLLVRRRRKRIKANKEQQGNAGDGLDCFSLQVLKAATSNFAVQNKLGEGGFGEVFKGELQDGTEIAVKRLSENSAQGFNELKNELVLANRLKHKNLVQLLGVCFQEKLLVYEYMPNGSLDTTLFDSEKAHQLDWTIRNTIICGLARGLLYLHEESPLKIIHRDLKPSNVLLDQDMNPKISDFGLSRAFGGDQSIDITKRPVGTLGYMSPEYAYCGQVSAKSDMYSFGVIVLEIVTGRRNNRSLEATASRSLLSYVWDKWSASSVKEVVDPSLGGRYPESQVLNCVQIGLLCVQENPSARPDASEVVLMLDSNSTSVTMRTPSRPAFCFTQPAGGVAPTFSYPSTRDATTSGHLPTPVSDNDVTISDIQPR >SECCE5Rv1G0340170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:612798648:612802552:1 gene:SECCE5Rv1G0340170 transcript:SECCE5Rv1G0340170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 7 [Source:Projected from Arabidopsis thaliana (AT5G62190) UniProtKB/Swiss-Prot;Acc:Q39189] MPSIAAIPEPMAVDDSASKKAKRKQLKAAAEAEAAAAAEAASSGKKEKKEKKDKKRKAKEPASSDEEARSSTSSESEPAPAAKKAKKEKKDKKSKAEPAEEPANDDGELTASGEEEEPADPNALANFRISDKLKDKLKSKGINALFPIQATTFALVLDGNDLVGRARTGQGKTLAFVLPILESLVNGPHKATRRTDYGRPPSVLVLLPTRELANQVHADFEFYGGTFGLSTCCAYGGSPYRPQENALRQGVDIVVGTPGRVKDLIEKQKLNLRCLKFRVLDEADEMLNMGFKDDVELILGKVEDVTKVQTLLFSATLPEWVKKLSMSFLKADRKTVDLVGNEKMKASASVKHLALPCNKAARSQIIPDIIKCYSHGGRTIIFTETKESASELSSLIPGSRALHGDIAQAQREVVIAGFRSGKFLVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGIAVMLFEPRYKFGVTRIERESGVKFEHISAPQPTDVAQSAGNEAAEAIASVSDSVIPVFRQQAEELLSNSSMSAVDLLAKALAKAVGYTDIKKRSLLSSMENYTTLHLQTGRPLYTPSFVISTLKRFMPEDRLSSLHGITLTTDGTSAVFDVPSAEVQDYIQGAENAAGVTIDEVKQMPALQEREQSRGNSGGSRFGGRGGGGRRFGGGGGGRFGGGGRSRGGGGGRFNRR >SECCE7Rv1G0508380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:771858992:771859618:1 gene:SECCE7Rv1G0508380 transcript:SECCE7Rv1G0508380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLTLPPAALLSQVAKLGSLLALLLLALLLPVFLRVAYGYLLFNGIVLALGIQAFVGGTASVVDDEDRHQSWTTGQAVAPVGIAASPFQRAGAGSARPDDQTAVAGDDRVVLVPAFVATNIIELKTKTKEVVLKVLKKCPSTASIFFLSALNGSQQAGGEEKVWQEEEEDCEVDMDGDVGMSREELFANTERFIGNFRRELSMQRQ >SECCE3Rv1G0182610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:633672294:633674280:-1 gene:SECCE3Rv1G0182610 transcript:SECCE3Rv1G0182610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHYLQLSVLLVTILSDVAVAQRWRVISGSNQWSGLLDPLDMDVRRNVIRYGELAQATSDAFITDPASPYAGACRYSPASFFSKVQASDPGAYRVTRFIYATSSARLPDGFMARPAPAGAWSTESNWMGYVAVATDRGAAALGRRDIVVAWRATKRATEWASDLDFALVPAAGIVGPGRGWSQPYVHRGFLSVYTSKNSTSRFNRRSAREQVLTEVRGLLDTYKNEDCSISITGHSLGGALSTLNAIDLVANGFNVRGPSRSPVPVTAIHFGGPRVGDEQFKKAFHSMAGLSLLRVRNVPDIVPTILPPVFYADVGVELLVDTRKSPYLKQKAGPAQWHNLEGYLHGVAGTHGAGNGAGFRLEVDRDLALINKEEDALKDEYPVPAMWWAQNNKGMVKNATGHWVLHDHEEGNLAL >SECCEUnv1G0557320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:321911380:321913425:1 gene:SECCEUnv1G0557320 transcript:SECCEUnv1G0557320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRTAFWGALLLLVTLLPLSANASSKLYIVYMGEKKNDDPSVVTASHHDILTSVFGSKDEALRSIVYSYKHGFSGFAAMLTESQAEKIAKFPEVVTVKPNTFHETHTTRSWDFLRLDHNQPGQQHGLLRKAKYGEDVIVGVIDTGIWPESRSFDDNGYGPVPARWKGICHTGQDFNATSCNRKIIGARWYGLGISHEVLNNNYKSPRDIDGHGTHVASTVAGGEVQGVSYGGLGMGVARGGAPRARLSIYKVCWLGANCPDAAVLVAIDDAIHDGVDVLSLSLGGAGHEFPGTLHAVQRGISVVFAGGNDGPVPQTVSNALPWVTTVAASTIDRSFPTLISLGNKEKLVKKQVIFSISIDVLHT >SECCE4Rv1G0230210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:160549516:160551359:1 gene:SECCE4Rv1G0230210 transcript:SECCE4Rv1G0230210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAASRLLVPLRRPSLSPAPSTAACTLRHLRLFSPPPQPRRGLDAEVTPAEARRLVRLVGVEALKIRLREDGRGEVVGYGELLDACVEAGAARTRGEAEVLARAMDDAGVVLLFRDKAYLHPEKVVDLVRRAVPLGLAPDNDTRKEEFKQLQEKKEEIDKLAHKQVRRVLWTGLGFSMAQVGIFFRLTFWEFSWDVMEPIAFFTTTSGLLVGYAYFVITSRDPTYQDFMERMFESRRKKLCVKHGFDMEKYLELQKHCKCPLEGHHSQGHDSQHLS >SECCE4Rv1G0277510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:804645703:804647187:1 gene:SECCE4Rv1G0277510 transcript:SECCE4Rv1G0277510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARAMTVQELANVLSKPEVPTQYVVRGHDDKQLARAVAAPIPVIDLCRLFTEDGAATDEASKLQAALQSWGLFLLGNHGVETTMMDGMMIASREFFKRPLEDKKRYTNLIGGEQFQFEGYGNDRVRSPDQILDWSDRLYLKVEPEDERRIALWPTHPENFRDILHEFTKKCEGVKDDLLRAMAKLLQLDDDDYFVDQLGEKAETNVRCSYYPECPRPDLVFGLKPHCDGTVLTLLMVDDSVGGLQVLRDGVWWDVPIVPHTLLVIIGDQTEIMSNGFFKSPVHRVMTNAKKERLSVALDYSVDHEREIEPSAQLIDEKRPALYMKVKVKDYIAGLYEHFSQGTMVTDTLQI >SECCEUnv1G0530030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:10378560:10379108:-1 gene:SECCEUnv1G0530030 transcript:SECCEUnv1G0530030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADRLVSAVVAAVAVVCLLIAGRADGQDEYVPAAASKEVEPLATNQNPGKFLPQPEVMPVPNNLLDRPVAGGGSGGEEYVPRSGFMPGVALSGALAGGNFPQQPTTEPEPETETEPQPEQTTTREPEPVTPTRDQGDFYRTGATPTSPEPPATPTSPETWETPETPETPATPSVPVEQGYP >SECCE1Rv1G0024180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:320934686:320937389:1 gene:SECCE1Rv1G0024180 transcript:SECCE1Rv1G0024180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRSRFAFAGCGCFGGQARGKVAEDEYPVKLHIYDLSQGMARQLSTTVLGKPIDAIWHTGVVVHGKEYYFGGGIRQDRPGRTPYGTPVRVEHFGVTHVAKEDFEGFLQEISPRYTPETYNLLSNNCNHFSNEVVKFLVGSTVPSYILDQPKEAMKSPIGALIMPMIQGLETTLGAGSGPQPPQFVPAPAAAAHTQPSMNNIPIQSRSVAAGEPAADKTADHDSGVIPAPKEAGQMKPSVSSTQIESRSAAPHETATNETASNDGGIIPPVVLPAPKGVEETQPSTNDDQIESRSVTVNETGTDEMADNDGGIILPPAKPAAPAAATETEPSQDSIELQTMDEGTRIVTPPATPAATVPRAAASDPLEEAKSRVQEEIKHEFAAIMATGTVKAGDAAALAMRRVMERHGLRRAAVPTQQG >SECCE5Rv1G0362400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:786129781:786131010:-1 gene:SECCE5Rv1G0362400 transcript:SECCE5Rv1G0362400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFMAAAMALLLLASSARASEASPALAETQQNVFIVDNYGAGGDGKHDDTQPLAKAWNAACSSSRPAVLLVPEGKTYLLSPVTLSGPCKSSVVFMVKGTLVAPRSRSAWRDNDTSRWITIQGVTGLTVSGGGTINGNGDVWWQNSCKTNKALPCAKAPTALTFHLCSNLQVENLKLVNSQQIHLSVENCGAVQLARLSITAPGTSPNTDGIHITRSKDVQVKDCVIKTGDDCISIENGTHNLFVSKVVCGPGHGISVGSLGDDSSRAEVSGITIDSVQLYGTTNGARIKTWQGGSGYAKGITFQNMIMDNVQNPIIIDQNYCDSAKPCKSEGSAVEVSNVVFKNIRGTTVSKDAIKLSCSDSISCSNIVLENINLKMEGGNGDTKSTCQNAKWQESGTVIPQPCQFQN >SECCE7Rv1G0489050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:450820044:450854562:-1 gene:SECCE7Rv1G0489050 transcript:SECCE7Rv1G0489050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLEFILQSIQELIEDQGDNNPFGEVNQNELLANLVNYDQDNLMPDVSVEDVVNGKDVQGIPWEKMLFPRDQYREMKMKGYKNYQNLSYAREDALQDCKQVESDSPCYDFQYNTRRARPSIVHFQLRNLVWATTKHDVYTVHNQSMTHWSSLNQISTELINGDDCIIPKQRGHGSQSVSMVQFTTMAVDNDLLVVGGFHGELICKRLEDDGIVFSTRVTDDENAITNSLEIYQDPNGSRRLVAANNDCSVRIFDTKYFDLLNHYVFPWSVNSVSVNPEGTLFAVLGDHEDGLVVDPKCGKPIGKVRGHLDYSFSSAWHPDGNILATGSQDTTCRLWDIRNLSQSLAVLGGRLGSIRCIKFSSDGRFLATAEPIDFVHIYDCFADYGKSHEIDFFGEIAGLSFSPDTEAFYIGVADQTYGGLMEFKRRHQHHYLNCLW >SECCE5Rv1G0374940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:865472876:865474703:1 gene:SECCE5Rv1G0374940 transcript:SECCE5Rv1G0374940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETYTTDEALTAVGFGRFQALVLVYAGVGWVAESMELMLLSFVGPMVREEWQVSAQHESLLSSIVFLGMLLGSCAWGFVSDTYGRRTGLLFSTLFTTGMGFVSAFSPNYACLVAVRFLVGVGVGGAHVFASWFLEFVPAHNRGTWMVAFSVFWTLGTVVEASLAWVVVSASLSWRWLLALTAFPVLLLLPLFGATPESPRYLCARNKLPEATLVLQRIAAANHAALPPGTLTRHSRGESESDYYECESETRYHLPLLLLRARDDDAAMSSKLHSLLSPDLRRSTLLLWFVFYVQYFVYYGLVLLTSQLVDANTSCPPTVSAVAVLFNGDHHPVPDDAAAAASLYRDTFVTSFAEIPGLILSAVLVEWFGRKATMSCLLLTCCAFLAPLLLRQSELWTTGLLFGARACAMGSSTVLCLYALEVYPTSVRSTGVGIASAVGKIGGVVCPLVAVGMLRSCHQMEAIIVFGAVLCLAAIACMLFPLDTKGRDIN >SECCE2Rv1G0100010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:532905367:532907462:1 gene:SECCE2Rv1G0100010 transcript:SECCE2Rv1G0100010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNMEEPLVGGKSEKTEGPGESLLVIEVKKQLYLAGPLVVGSLLQNVVQMISVMFVGHLGELDLSSASIATSFAGVTGFSLLAGMSSSLDTLCGQAFGAKQYHLLGIYKQRAILVLTPLSVVVAVIWAYTGQILLFFGQDPEIAMGAGSYIRWMIPALFVYGPLQCHVRFLQTQNIVLPVMLSSGVTALNHILVCWLLVYKLGLGNKGAALANTISYLTNLLILALYIRLSPSCKRTWTGLSMEAFRDILSFLRLAVPSALMVCLEWWSFELLVLFSGFLPNPKLEASVLSISLNTLSLVFRIPSGLGAAISTRVSNELGAGRPDAARLATHVIMVLGLVSSVSVGLAIILVRNLWGYAYSNEKEVVEYIARMMPILAVTFLFDDLQCVLSGIVRGCGFQKIGAYVNLSAYYLVGIPAALCFAFVYHLGGMGLWFGLTCGLVVQTVLLLSITLRTNWDKEALKAKDRVFSSSLPVDLAT >SECCE1Rv1G0044370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:602379883:602383771:1 gene:SECCE1Rv1G0044370 transcript:SECCE1Rv1G0044370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKALFRAKLREAKEKQEKRIDPSLVRYNEYDQPICRVCNVTLKSEALWPAHQVSRKHHEAKAAAAAKVTPAAAPRGNNVSHERPAEPQKAKSSPMPANFFDNQGMKRQSDGTGSEGRSVRHQVANAQPATKEASANKPSARLDQAPNKGSQGSTDIKGILPGNFFDYTEEDEDEVPTPAPAPKEPNRTSGNTTNPNPVQVKGVPDGFFDSSNTQPSETSASSQAATNLETAKVKALPEGFFDNKDADLRARGIQPPKVDINDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLTLEQEEYRQRVDMLKKQLTESKAARTAKANSKPISMDTDSSASDSSSDDEDDGTDFAVDWRAQHME >SECCE5Rv1G0330130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:525051948:525052685:-1 gene:SECCE5Rv1G0330130 transcript:SECCE5Rv1G0330130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALEATRSVVGPAALSLGAPEPAASAAAGAAAGLTAAVAAQVVWTPVDVISQRLMVQGNPCPASRYHGGLDAFRKIVASDGLCGLYRGFGMSILTYAPSNAVWWATYSLSQKIIWSGIGCYLCEYGVGVQEIDDGDGEISLQPSCKTLMLVQGTSAAIAGGAAALVTMPLDTIKTRMQVMDGQGEPITIGRTVRELIKEGGWGACYRGLGPRWASMSLSATTMITTYEFLKRLSAKKGQESGVP >SECCE1Rv1G0012860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:97653196:97655188:-1 gene:SECCE1Rv1G0012860 transcript:SECCE1Rv1G0012860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNRSAAAAAVGPLLLPRKKSSSAAQYTRSASHAGDELRSFRACLRWMCIDHTDGPRSAGSWTVFFLLAVAAPVAITLALPASAPQRPLDGQVQVSLTLAATLAFLSLCSLLRVGLRRLLCIDRLRHDSDEVRAGYTAQLNRSFRVLACFLAPCSLADAAYKVYWYWTAAPFRSPWWNAAACALEAASWVYRTAVFFMICVLFRVICYLQVLRMVGFAREFGRFADVAVVLEHHRRIRDQLRRISHRYRRFIIFCLVLVTASQFSALLATTRPHARINIATAGELALCSVSLVAGLLVCLHSAAKITHKTQAITSVAAAWHADATIHAFDNDLEDPDPALPAATGYLAPANAYRVAPGEESDGYGDDDDDDDNMSDDSMDESKLVPFQVNNMCFQKRQALVNYLENNRAGITVYGFVVDRTWLHALFMIEFSLVMWLLGKTVGIS >SECCE4Rv1G0258050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:674323298:674323683:1 gene:SECCE4Rv1G0258050 transcript:SECCE4Rv1G0258050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKACLPVAAAAIVLLLMVATAHGIRLDAGSKAALGNPVLNKSVEKGVVIKADGAEQSSGDEVEEAISEEKERAGHRMPEIHVDYYGPRGHNARHH >SECCE7Rv1G0479380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:252280756:252284196:-1 gene:SECCE7Rv1G0479380 transcript:SECCE7Rv1G0479380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCADWSQAKRARVLSCSRRLKHRGPDWSGLFQCEGNFLAQQRLAVVSPLSGDQPLYNEDRTVVVVANGEIYNHQKIRKQFATKHTFTTGSDCEVIIPLYEEYGENFVNMLDGVFSFVLYDTRNKTYMAARDAVGVNPLYIGRGSDGSVWIASEMKALHEDCPKFELFPPGNLYSSAAGGFRRWYNPEWFAEHVPATTYQPLVLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVTKRHLIETEAAKKFGTELHSFVVGLEGSPDLKAAREVADYLGTIHHEFHFTVQDGIDAIEEVIYHNETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFIDVAMSMDPEWKLYDADLGRIEKWVLRKAFDDEKEPYLPKHILYRQKEQFSDGVGYNWIDGLKAFTEQQVTDEMMKNAAEEYPYNTPINKEAYYYRMIFERLYPQESARETVPWGPSIACSTPAAIEWVAQWKASNDPSGRLIASHNDAAPAHAHANGNGAVANGKANGNGTANGNANGTLVPC >SECCE3Rv1G0210880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946186401:946187274:1 gene:SECCE3Rv1G0210880 transcript:SECCE3Rv1G0210880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVSTRKLYGYALSSNSVRIAALLNEKELDYELVVVDDTKSPEFLAINPLGQVPAFQDGDDILFESRAISRYIAAKYRSSGTDLLPAMPSAKLEVWLEVESHHFYPAVADLVYEIRVRPRLPGGAPPDPAVVDGLARKVADVLDVYDAHLASGNRYLAGDAFTLADVNHMAQLFAMSRTPRAAELVAARPHVQAWWDGISARPAWKKTVAALPLPPA >SECCE2Rv1G0131450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884619521:884624860:-1 gene:SECCE2Rv1G0131450 transcript:SECCE2Rv1G0131450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQRKRIPKIEPFKHRVEVDPKFFDKSWKKLDDAIREIYNHNASGLSFEELYRTAYNMVLHKHGGRLYDKLAENLKGHLREMGKLVEAAQGGLFLEELQRRWADHIKALQMIRDILMYMDRTFIPSSKKTPVFEHGLELWRDIVVRSPKIHGRLVDTLLELIHRERMGEMINRGLMRNTTKMLMELGSSVYQDDFERPFLEVSASFYSGESQQCIERCDCGEYLKNAEKRLAEESERVSQYMDAKTADKIANVVDKEMLSNHMQTLFLMENSGLVNMLINDKHEDLTRMYDLFKRVPDGHSTIRSLMASHVKETGKILVTDPERLRDPVDFVQRLLNEKDKYDEIVSVSFGNDKTFQNALNASFEHFINLNNRSPEFISLYVDDKLRKGVKGAANEEDIETVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTSSDEAERNMLVKLKTECGYQFTSKLESMFTDLRTSQDTMQNFYANLAGDADGPTISVQILTTGSWPTQPCATCNLPPEILGVSEQFRAHYLGTHNGRRLTWQTNMGNADIKATFGERKHELNVSTYQMCVLMLFNSTDTLTYKEIEQATAIPPVDLKRCLQSLACVKGKNVLRKEPMSKDISDSDAFHFNDKFSSKLVKVKIGTVVAQKESEPEKQETRHRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVTEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >SECCE1Rv1G0043480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:593172608:593176328:1 gene:SECCE1Rv1G0043480 transcript:SECCE1Rv1G0043480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPDDEKMKGCRPKIFGAKDKKAAKKTDRPSSSAVKSAPSSSKAQSSSPFRALSEVRSMREVRSMRLSHFLARSPSVIKTESFRVFISTWNVGGNTPTAELNLDDFIPADDHSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLALINRTLNRTVDGDADIFCHKPSLSLDSTSSRSSSNLDASFSNRSGSAIFQSSLKSIRKPYMPTQRKLLKICNCSVEMPRKSYKDACFGCPQAYVNETDSSEEDEVDNRSNNASGYVVDGVISSASASSDQLKYNLVSCKRMVGIFVTVWAKKELVPHIGHVRTSCVGRGVMGYLGNKGCISVSMTLHQTSFCFICSHLASGEKEGDELRRNSDVLEILRATQFPRICRRAGQKIPEKIIDHDRVIWLGDLNYRISLSYEDTKKLLTENNWDALFQKDQLNTERDAGRVFMDWSEEKIYFAPTYKYTFNSDSYSGETATSKKKRRTPAWCDRILWHGDGIAQSSYFRGESKFSDHRPVCGSFTVEVYLLDGKSKRRASNTNIRIGAEELLPTSKNKVTKGAGTKTTK >SECCE7Rv1G0484000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:337226905:337227993:-1 gene:SECCE7Rv1G0484000 transcript:SECCE7Rv1G0484000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGGQHSRGARDDDGSHPPGINPSSSSSSAAAFLYATTASRGGFQLWQGQPQPQHEQQFYGGASSSIIRFADDPAASGSSSRGGGRGSGASTISCQDCGNQAKKDCPHMRCRTCCKSRGFDCATHVKSTWVPAARRRERHHQQPQGQASAEPFKRPREAGQPSSTTPTTTSSGEHQQQMMAADSFPREVSSEAVFRCVRLGPVDEAEAEVAYQTTVSIGGHVFKGILHDVGPDTSITAGTRTGHHAAEGSSPSTAAAGGAEGGSGSTGAAAVSSSAVVMDPYPTPGPYGAYGGASFFHGHHPR >SECCE2Rv1G0141280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934799728:934801893:-1 gene:SECCE2Rv1G0141280 transcript:SECCE2Rv1G0141280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSTATTCALFIMVFFYLPAPAVSLSFNYSTFSSADQNDIKIEGDASFSVGWIDISANRFGNIDYSNGRASYNARPMLLWDKAAGEVASFTTRFSFAISGDINSKGQGMAFFLAAYPSSLPARCVDYNLALTNQSADAVASGDSRFVAVEFDTYNNTVVSDPRETYDHIGIDVSSLRSVSTLSLPSFELTDNLTAMIEYDNVTSILAVTVWVGDDRSGQARDRNYSLSSKVDLKSALPEQVSVGFSASTSNAIELHQLRSWSFSSSVEPPPPPPPPVATSSPSRPGPGVIAGAAAGATLFLVLLSAATAALVVRRRHRQRIVETEEHYTDSEGEGDPMAEIELGTGPRRFPYQELVEATRNFAAEEKLGQGGFGAVYRGYLREPAGLAVAIKRFSKHESSLQGKREYKSEIKVISRLRHRNLVQLLGWCHGREELLLVYELMPNRSLDIHLHGKQGTFLTWPMRMKILLELGSALLYLHEEWEQCVLHRDIKPSNVMLDESFGAKLGDFGLARLVDHAAGTQTMTAVSGTPGYLDPQCIVTGRASAESDVYSFGVVLLEVACGRKPMSMITTDQDQDQDQQRKNGGVFRLVEWAWGLYGRGAILDAADERLSGGYDAAEVERVMVIGLWCAHPDTTARPTIREAMATLHNCSQLPVLPTKMPVPTYAPPPLALGDEVGLSSSSSVPPPISGTTTTISSDASTSTTSSNKASSSLLKHQY >SECCE4Rv1G0283540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:835872220:835873350:1 gene:SECCE4Rv1G0283540 transcript:SECCE4Rv1G0283540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSANYDDGEYPSETSSSRSLTDTVTVAHNFEITGYSLLDGFSPGEYICSTRFNAGGCDWCIKFYPDGQTEEDASYTSAFLCLCRGDPWPGVRAKYTLSLLDKDGNATILRSALRHIFVSPGCYYGYPRFFSKKHTDDNCFTIRCVLTVITQHTEDRSAVVVPRSNMHDHLMDMLEGGEGADVTFSVGDQLFHAHTCMLAARSPVFKAELFSGMEVNATRHIKVDDMEPAIFRALLHFIYTDRFPYNCGVDKNVPLQHLFVAADRYGLDRLTAMCAQNLCQSIDVHTVATTLALAEQHQCVQLKQACLGFLSSHGVLSDIQETDGFKHLISSCPSLVGDIINSVAVAGGRAAKRARVEATHSDSSSSYTGD >SECCE4Rv1G0262370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:707031312:707032877:-1 gene:SECCE4Rv1G0262370 transcript:SECCE4Rv1G0262370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLRWVIQMHRDVPRAARFYAEGLDFSVNVCTLRFAELQSGPLKLALMHTNDSTLATQRAYSSMLSFTVPDITSTVTKLMSLGAELDGPIKYEIHGKVAALRCIDGHVLGLYEPA >SECCE4Rv1G0249080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:578540576:578544092:-1 gene:SECCE4Rv1G0249080 transcript:SECCE4Rv1G0249080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLLSGEQLAAAEEGTMAPLHGVVVIALPDRADGGGRGSPSRSEAAAPAALRRAWRLLRLATAPLVVLAAFAVAAHCYGLYSFSFPGEEGWKWGEARASSFLLPLYSKAAGAGVKAAAAEEDSTTAVLPERQYFTSVNIGNPARPYFLDIDTGSALTWIQCDAPCTNCTKGPHPLYKPAKENIVPPRDSLCEELQGNQNYCDTCKQCDYEIAYADRSSSAGVLARDNMRLITADGERENMDFVFGCAHDQQGKLLDSPASTDGILGLSNGAMSLPTQLAKQGIISNVFGHCIATDPSSSGYMFLGDDYVPRWGMTWVPVRNGPXXXXXXXXXXXXXXXXXXXXXXXXXXLCLKIILTCGHHFSYECHDTPDVYSTVVEKVNYGSQELNVREQTGKLTQVIFDSGSSYTYFPHEIYTSLIASLEAVSPGFVHDESDQTLPFCMKPNFTVRSVDDVKQLFKPLLLHFSKAWLVIPRTFAISPENYLIISDKGNVCLGVLDGTEIGHSSTIVIGDVSLRGKLVAYDNDENQIGWVQSDCTRPQKAGRVPFFLSRALRSQLL >SECCE6Rv1G0404230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:513294571:513298181:1 gene:SECCE6Rv1G0404230 transcript:SECCE6Rv1G0404230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSASLLRSHHRHLPVRSSPRRCPTAAFPRGFAPHEALSTRGPSRTVVRSASTSAAPPETAEGTGDAAPSEAEARVVLPTNESSENLLRIRHTCAHVMAMAVQKLFPNSKVTIGPWIDNGFYYDFDMEPLTDKDLKKIKKEMDRIIRRNLPLVREEVSREEAQKRIQALNEPYKLEILERIKEEPITIYHIGEEWWDLCAGPHVESTGKIQRKAVELESVAGAYWRGDEKNQMLQRIYGTAWESEEQLKAYIHFKEEAKRRDHRRLGQDLDLFSIQEDAGGGLVFWHPKGAIIRHILEDSWKQTHLQRGYDLLYTPHVAKADLWKISGHIDFYKENMYNQMDVEDEPYQLRPMNCPYHILVYKRKLQSYRDLPIRVAELGTVYRYELSGALHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILGQFGFRNYEVNLSTRPEKSVGSDDIWEKATIALKDALDDKGWGYTVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPERFDITYVDSHTEKKRPIMIHRAILGSLERFFGILIENYAGDFPLWLAPTQARILPVTNNELQYCNEVASELKSRGIRAEVCHGERLPKLIRNAETQKVPLMAVVGPKEVEARTLTIRSRHNGEMGTMPVDDFISKIQTAVADKSSL >SECCE6Rv1G0407750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:563970973:563973486:1 gene:SECCE6Rv1G0407750 transcript:SECCE6Rv1G0407750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRRGRSDRQTEALLRKLPSESSDSSSEGQPAVKRTGTVWTAMAHVITAVIGSGVLSLAWSVAQLGWVGGPAAMVVFAGVTVVQSSLLADCYLSQGDPERGAAVRNRSYVDAVRLYLGEKSQMLSGFFLGFSLFGNSVVYTLTAAASMRAIERANCYRREGRGAPCCAGAAAGGSSDAYYMLLFGLAQAALSQIPDFHSMAWLSFFAAVMSFSYSFIGFGLGAAKVIENGVIKGGIGGVSLVSPAQKVWRVAQALGDIAFAYPFSLVLLEIEDTLRSPPAESETMKAAARASIAVTTFFYLGCGCFGYAAFGDATPGNLLAGFGGPYWLVGLANLCVVLHLLGGYQVYAQPMFALVERRFGAGVVDAEVPLLGRVSVARLCFRTANVAAATAVAVWFPYFNQVVGLIGAFTFWPLAIHFPVQMYLAQGKVAPWTGRWLAVQAFSAGCLVACGFASVGSAMGVFSPERS >SECCE4Rv1G0256560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:657693395:657697379:1 gene:SECCE4Rv1G0256560 transcript:SECCE4Rv1G0256560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLMPLLGSVAAKAGDALVGELLRAWGLDKARRKLERHLAAVQCVLLDADARGRTNPAVRRWIKDLKTAAYQADDVLDDFRHEALRRRVATQRHSRSTPCKVLAHFIINSPVVFRLHMSWKLKGALETIDELVAEMNNFHFLRHAEAPSVVRPQTHSRVDESGIVGRQDEREQVVKVLLDHNNSSHNNVMVLPIVGMGGIGKTTLAQLVYNDHRVVHHFELVIWVCVSDKFVMEELIRSVIEVVTMKKCDLTQMEALQKRLSEALGKKKYLLVLDDVWNEDRQKWDDMRSLLCSHAGSGSAIIVTSRSSQVASIMGTLPPHQISLLDEDQSWDLFHKNTFGRGVEKREELVPMAKSIVHKCKGLPLAIKTVAALLSSMHHSQWLSVLDSDVWTDNIVTTTGIVPALRLSYDHLSSEEKLCFSFCAIFSKDSLMDKDMLIQMWMANDFIASETRGRQIFDVLVWRCFLQDVEIDKDDLIHRVTTCKMHDLMHDLADFVSGNVCFILQESSPCQEILQGSTYTSSFQHEVRHLSLDCVSNNTIVAMKEILAPQARTILIQRGLEWRKTSLHMNKSLSMAMSKFMSLRALKTLSIITDMKNFKHLRYLDCSYSLLSALPEATAMLYSLQTLKLIGCKELKKLPEGMRYMISLRHIFLVGCNRLECMPQGISQLNSLQTLTSYVIDSDAGRGIDQLKDLSLGGALSLTELRKVHSAENAKESSISSKHNLKRLSLNWYAHYSTDVGNQVHSNAEEILEALCPHQALEVLLVSNYNGAKLSSWMHNPTQLEHLSELHLSSCKNCKDLPPLWQMPSLGYLSLDNFPSLKSICVGNDDTGIGQSGISPPPFFPKLEAMIVSNMPKLERWHREVPGQAAVVSFPQLKKLEITECPVLRSMPKMLPLLEDLLVKSARAIPLDHVINLSLQSNLECKGIISVEPAVGWQHADLHFSRLGDSRVGLTLGGLTENVERFEEELKRIPCRFIKELRIIIGDCLFSSEPSQIQRDIWNHFGSVETLCISGSSNIVQWPAVELGNLNHIRGLELYQCSNLTGSIPSTISDDENALLPRLQDLIIRECGNLVEVPKLPACLEKLYISRCPKLVSMPTVLGDVKKLRELDVHGCDALTAFTDGMYGVIALRRLVVAGCPRMEALPEGLLQQLPALEELGITDCPNLEEAFSRGGAYRDLVEAIPHRSRNLG >SECCE6Rv1G0411350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606617880:606621721:1 gene:SECCE6Rv1G0411350 transcript:SECCE6Rv1G0411350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAAWRGHGALADHLGAGGLAGARRARLCIYGVAIAFGAFAAFLAFAPSLPAPPPSSPSAALLDGVLASASPYRAQVSGFFSSLFPANSSSSPGPPGPGVVAARRSGPGGGGLAAGGGQAGANRSSAVRPREQSGGGNSGGVRGGNSASSNATAAVGARTNRSSPGEQSGGGGGAPGGNSGGVRTDNSATGNATASAVRSDAPPSVHAGGGEAASNSSGIAAAAPNNSSGIAAAGATIGGSAQNGTTAKGSAPVRIDGSEVNASSAEATASNQTRSAVSAMLDGNVAAPHRRGHPGKNHTAQDSAAHHNQQQSVNRAAVASGGSNSTKTMHKEATAASQGSAGLVKGHAAQATNSSAVPVMGKRHPAKEAANAGGQTKKLHSIEAMGRCDMFHGNWVRDDSYPLYPEGSCPHIDESFNCHLNGRPDKAYQRLRWQPSGCSIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVRDKRRVFEVSGNHKFRAEGSYSFLFQDYNCTVEFFRSPFLVQEWEMPVTRGKKKETLRLDKIDQSSSRYKNADVIVFNTGHWWTHEKTSLGKDYYQEGNQVHSELNVHDAYRRALNTWAKWVDSNINPKKTTVFFRGYSASHFSGGQWNSGGSCDKETEPITNKKYLMPYPQKMSILEEVLHGMKIPVAYLNITRMTDYRKEGHPSVYRKQKLSEEERKSPELYQDCSHWCLPGVPDSWNELLYAQILVKQHQMLHQ >SECCE4Rv1G0249030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:578262643:578263941:1 gene:SECCE4Rv1G0249030 transcript:SECCE4Rv1G0249030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDADDVFRYFRLNPTELQAVTYYLPRLLSGETLHCADKLIHRVEISGCEPKDLAARYAPVPLAVSSGDRFFFTTCKSKNGSKVQSVRGAGGGTWSIQKTTEISHAGAKVGEVKNLSFKTNGKSTGWVMEEYRCLLPEATVSDGVKVFCKMHLAQHAPDAARQESAAYNRQQAQPEAVTQSTHAQKRPAPAAAADPHPPRPKKRMRGAVPVPVPAPTTPSFLLYDEAASFPVQDAQASCESTTTSSRSDVAQAPEICSQSDVQSEEAGSSIARSTSQENVFEPLEPISNLPEWEEDGFDLEELMRMMEDDPIEVEPVTGANTGVEMGQQEPLYLDAVDQSVLEGMLQSDYPAFHDADKEKRYNAASDLDAPSLQGQDHLIKPRPCSFDPFEEAWKAEEAIENERRYNATVNLHAQPFGGHSNFFSPASVY >SECCE7Rv1G0505220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:731942692:731944533:1 gene:SECCE7Rv1G0505220 transcript:SECCE7Rv1G0505220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g03540 [Source:Projected from Arabidopsis thaliana (AT1G03540) UniProtKB/Swiss-Prot;Acc:Q9LR69] MPPPRITLPAPHHDVLRLLDSQDLLAAARLAAAHASSPLSLAAVLLRHPPPRLGYCLHARAARAGLLADRYVANALLAFYVRLPRHLPHALRAFDDLPHRDVIAHSSILAAFLRAGLPRRALQSLRSMVAGADGVSPNAHAFSAAVKACAVLHDRNAGACVHGSILVRGFNDDDIVLSALVDMYGHAASPGDARKAFEEMRAPDGICYTSLLSAFVRNYWFEEAVRWFRTMAMTNGVEPDGCTFGSMMTALGNLKRARQGREAHAQVLTRGLCGNVIVESSTLDMYAKCGAMLEARKVFDKMQVRNAVSWCALLGGYCQNAEYEKVLVLFREMDRECDDSYSLGTILRACAGLSSVKPGKEIHCRFLRSGGWRDVVVESALVDLYAKCGVVDYAYRVFEASSVRNTITWNAMIGGFAQNGHAEHAINLFNRMVSDGAKPDYVSFIGVLFACSHTGMVEQGRNYFKSMSKDYGIAPGIEHYNCIVDLLSRVELLEEAEDLINKSPFRDDSSLWAAILGPSSTHSNPDVAERVAKKMMELKPQYHLSYVLLENVYRTVGRWEDALEIRRLMRSRKVEKEPGMSWIDVNRSKQHMSNVNGAPSKLVASKDIVTYDN >SECCE7Rv1G0496970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:619406871:619408653:-1 gene:SECCE7Rv1G0496970 transcript:SECCE7Rv1G0496970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDLRYPMKGSTVPNWAVPVIAIVVPMVSIVGIYIKRRNVYDLHHAILGLLFSVLITAIVTVAIKDAVGRPRPDFFWRCFPDGVPKYNNVTGDVICHGDHGEIKEGYKSFPSGHASGAFAGLGFLSWYLAGKMKAFDRRGHVAKLCIVLLPLLMATMVAISRVTDYWHHWQDVFAGGVLGLVVASFCYLQFFPPPYSEHGVWPHAYLEHIRRPEGEMQAQSTTNSNMRHNSLPLETHHNSLPLDISGSNETRTTRHALDSMEEGSRDQ >SECCE3Rv1G0164780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:166449968:166460191:1 gene:SECCE3Rv1G0164780 transcript:SECCE3Rv1G0164780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVTRAVLGYADAVAHHAGQAVAEGTKILNDRMITQNYKSVKKTVKRLEEAAVSSRGDQRVQVLTRWLRSLQEIEAAVGGLDGSVSQNASSIDPNSLKTPLARVLFYDADIGGAPMNFRDVFLYSQALEGITLSMVLEPPKEEEVSLLLEIFSLCLTGGKEINNAIMSSIQDLAKSFSIYHDEVLVKREELLQFTQSAISGLKRSVDIVRIDAETLELWKKLDEKEALRVQSTEDPEKASEDTAVAVVESFKAALTEVRFCSRMEDLLLKKRSINSGDSPEVHFQKVDKLKVLASSLANSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEAQLKKVNISLNAAVGRLKLTREERDQFDEANNQMIFSLKAKENELSKTIASCNVEAGVVKTWINFLEDTWQLQSSYDEQIEQKTNDELERCTSNFMKLTKYHLSAFKEVLSPSIERIHTYVDNLAFLNSRDESTELEDEEVSEKTSPQKSLEEEYLETEKKIVIAFSITDHMKKLFYSEQGYSSRRDDPEVRSLFSEIEKLREAFESIERPTLDIEIRRAKVPTKERIESSSSPVQAPSTPKAAPVGSSKSPVKSEQTLDLGSELAELELEFGKSNRYSPEDMSGWEFDELEEELRADISKSSDTK >SECCE2Rv1G0113790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:725725803:725730375:-1 gene:SECCE2Rv1G0113790 transcript:SECCE2Rv1G0113790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDEMAQWDNADGGEVPNGAAGKLEKILVSVRLRPLSDKEIARGDPSEWECISDTTVIARTAFPDRPTAPTAYTFDRVFRSDCDTKEVYEQGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITECTVSDIYDYIGRHGERAFVLKFSAIEIYNEVVRDLLSSESTSLRLWDDAEKGTYVENLKEVILRDWNHLKELISVCEAQRRTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLAASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSYMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKHLQRELARLESELRCPATYSSLEALVKEKDNHIRKMEKEIKELKVQRDLAQSRLQDLLQVVGDKHVSKRPLASGRNFTFDVPQPCEDQKSTTESSEVVDNVQNFRFQGRRAAQREVGSQQSENNVQFATPLSYSVSSPPFSGMPPTTSRDDVSQISNEDSDDVCKEVRCIETNETEGKSGLDSSAIGSNILQDSNVGASTHGSHDARHDDVSTVTLEQHLETVRKPFANLVEDLGSSTRNPSSSRGIVRSRSCRSLMGSTLLEDLEKDECTPPSRRFMDFPGRPEGGQRRGSALNFDAESETLSRAGSMLSEITTTRGGPKANGSVAGDTEFTGIGEFVAELKEMAQYQKQLGGQYVNGEIAEGTVRSVGLDPIMDALQSPSRWPLEFERKQQEIIDLWHACYASLVHRTYFFLLFKGDPADSIYMEVEIRRLSFLKDTYANGGMENKVVAGSLNTSLISSARKLQREREMLCRQMQKRLSIEERESMYTKWGVSLSSKRRRLQVARRLWTETKNLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFARRSHNSWRYGRSSLD >SECCE3Rv1G0146700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12151143:12152357:1 gene:SECCE3Rv1G0146700 transcript:SECCE3Rv1G0146700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTAAGPDWSKLPPDVLTTVLGDLEFPDLFRAADVCTAWRATARALRRLGIYSRPQTPCLLYTTAAAGPRAAELFSLADKKAYRARLPDPPIGERDIIGSSHGWLVTADARSELHLLNPATGEQVALPSVATIEQVSPVLDRDGNLERYDLSLHGDDPQPYGVDELRGVLYLKAVLSCDPALGDCTVVLIHNPYRDLSFARVGDDKWHWIPSAPREPPRYSDCIFGDDGALYAMDLLGGMYRYAIEGSCATRDMVFKETSPFVAYNGYLSKTSCGSVLQIWRVKRKTRGEQEEMHTVDVEVHMADLDKQQIVRVWTLGDCALFIGHNYTCCLSTQDYPRLLRNHVYFTDDDEYLLIDSKDSRRDVGILNLEDLSATDLVSPQPWLNWPIPVWITPSFNKIHR >SECCE5Rv1G0332090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:544585533:544586216:-1 gene:SECCE5Rv1G0332090 transcript:SECCE5Rv1G0332090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILIHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYKFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAVEVPSING >SECCE5Rv1G0351040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:695358006:695360407:-1 gene:SECCE5Rv1G0351040 transcript:SECCE5Rv1G0351040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNQMASDGKFGKGPRELTGAVDLISRYRLLNHHSFFCKKPLPLAISDTHYLQNVVGDTEIRKGEGMEIDQLIQNPDLREKKTAYIQPFDMETLGHAFQLRETAPVDLPSAEKGTPTISGKSKVKSRDKVKKHKKHKEKDKDKEHKKHKHRHKDRSKDKDKDKEKEKEKEKEKEKEKEKEKEKDKDKEKKKEKSVHHDLGGDNSKKHHEKKRKHEGMENLAAVRNHKKTQKRKIQ >SECCE6Rv1G0442080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814164999:814166117:-1 gene:SECCE6Rv1G0442080 transcript:SECCE6Rv1G0442080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGAAPAEGRSGASPDKADESAKKARLDLPDGHVKQEVAAATYSPRVEIAVRIDKQVLHCPLCTLPFKPPVFQCKAGHLACSGCVAQLPYMQCRTCVDGGSFFDPCPAVDAVVSSTRIECPNVGCQWTVTYHEVAEHQKACPHAPCQCMVPGCGYLGAPQALAGHLNTVHSVSIRIVQYGKVSQLQLPVSTQRVVLLGDDNCVFLLTMGALVAGVTIVSVVCARARAATRPRFTCKMWVNLEPPTAAANRGKEDMLLVAMHMRSSSSPGAVVTAGEPTFLTVPPMYLVPAAGDGMSMEVPLHIRIDKLSPWSNASV >SECCE7Rv1G0526070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893811150:893812604:1 gene:SECCE7Rv1G0526070 transcript:SECCE7Rv1G0526070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMSAVAGELVSRFISLLMSKYHSSIHAQSKEQNLAKRLRHLLMRVATVVEEADGRYITNSGMLAQLKMLSEAMYGGYRVLDALMYRALRNSAAFDEVSSNDSFNSRLYLIKRSRRMADEARCLGSDDALESLETVAANMTEFVMLLGGCERMPRRPYDTYLYTDNFMFSRHAEKQKLLSFLLQHNDPPGYQAAAVLPIIGGAKVGKKTLVAHACGDERVRARFSSVLHLNGDSLLRHGRTKFGVKMLVVIEFASDVGDDDWKRFHSLVTRMGRGSKIVIVSRLQRLARFGSVKSIFLGAMSYDELRYLFKTLSFGSEDPAEHPQLVQIADEFAKNFHGTEGSLVATNAYADVLRRNLDVKFWRCILDKGVRMVKRNLAIYGMHPNTLMYHGHPVDITDFALHPLSMTPYSASFSVKKESPRVTFGDLITDPSVRPKGDFTLIVWESRIPPHKSFPKSVTNCAQVAHQGSVVPGRKRQGVPI >SECCE7Rv1G0474730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:176421508:176424834:1 gene:SECCE7Rv1G0474730 transcript:SECCE7Rv1G0474730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 3 [Source:Projected from Arabidopsis thaliana (AT1G14740) UniProtKB/Swiss-Prot;Acc:Q94B71] MFGDSDGSKDPGAAPPPADQPFPNRELTLSSYLCDKPTLASAAAAEAAASAAAAAAPGPSSPPNPNPAADAAAAADAANAKLCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLPSDGPGQGQAQQQQQLTSAPAADGDLRAASAGAAAAPPPRRTYSATTGRTRSINSDDMSYSYSMFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFATPPMKEGTSSFFPTELPAKMAAAAAAAAASAGGSFDGGRGGQTSRPDRILRDIVSDSVSAMAHVLQEFPSESLEVLRAAVRSMVDSPEKRDDLASLQRRLERRSDLTSEALARANRTQLEILVAIKTGSAAFVTGKGRVPSSELVEMFLMTRCRNLNCKSMLPVDDCECKICSSNKGFCGACMCPVCHKFDCAANTCSWVGCDVCGHWCHAACGLERNLIRPGPTLKGPIGTTEMQFQCLGCSHSSEMFGFVKEVFNCCAENWNAETLMKELDVVRKIFAASDDFEGKGLHAKAEEVLSMLSKKVISLPDALNNMLQFFKYGVTDCSVTGSKSKGILAANQASQSTIPLLSPTISPPKSFNFNASTSILDSQIDALKTSPKSLSIEPRFGSSSNPLAIEPRFGASSKPLSVDSRFGASPKPLSVDSRFGASPKPLSIDSHFGASPKPLSIEPHFSTTSKEDEASTLETVVKCKEAEAKLFQKLADDARKEVENYRQMARNRTQSLEEEYASKVAKLCFQEAEEKRRKKIEELKTLENAHYDYHKMKLRMQTEIQGLLERMEATKKMWV >SECCE4Rv1G0219380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:30366548:30369034:-1 gene:SECCE4Rv1G0219380 transcript:SECCE4Rv1G0219380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g13230, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13230) UniProtKB/Swiss-Prot;Acc:Q9LYV3] MIRRASRRLLPLPAVPHHTRPPRRGLAASAALQWLDDELTSLALPQPGPGVDAHACARLLQGCVARGDARGGRAVHGRVVRGGGLDLFCANVLINLYAKVGPFAGARRVFDGLPERNMVSFVTLLQAHALRGEFEPATALFRRLRREGHEVNQFVLTSVLKLVVAMDALGLAWSVHACACKLGHDRNAFVGSALVDAYSMCGAVGDARRLFDGIVGKDAVAWTAMVSCYSENNCPENALQVFREMRLAVSKINPFALTSVLRAAVCLSSVALGQGIHACSVKTLYDAEPRVCGALLDMYAKCGNIEDARLAFEMVPHDHVILWSFMISLYAQSNQNEQAFELFIKMMRSSVLPNEFSLSSVLQACANMPLLDLGEQIHSSAIKIGHESELFVGNALMDLYAKCSDMESSLKIFSSLRDANEVSWNTVIVGYSQSGFGEDALSVFREMRAARVPSTQVTYSSVLRACASTASINHVGQVHCLVEKSTFNSDTIVSNSLIDSYAKCGCITYARKIFETQKEHDLISWNSIISGYAVHGHAAHARELFDRMNKSGIEANDITFVALLSVYSSTGLVSQGLSLFDSMKLDHGIKPSMEHYTCIVRLLGRAGRLHDALNFIGDIPSAPSAMVWRALLSSCIVHKNVDLGRFSAEKVLEIEPQDETTYVLLSNMYSAAGNLDEVALLRKSMRNIGVRKETGLSWVEMKGEVHAFSVGLEDHPDMRVIHAMLEWLNLKATRAGYVPDTNVVLHDVDEEQKARMLWVHSERLALAYGLVMTPPGHPIRIMKNLRSCLDCHAIFKLISKIVKQEIIVRDINRFHHFDKGTCSCGDYW >SECCE1Rv1G0034780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:491192769:491205811:1 gene:SECCE1Rv1G0034780 transcript:SECCE1Rv1G0034780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLPCFGSSGKGEPAKKGGARKDVPSDRRATGVGSDKPKPQGLLDSKKDTVIPREGNNQHIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYRGRLDNGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDIPPEKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEEFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPHGEQNLVAWARPLFKDRRKFPKMADPALQGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQAYDPNAATQHSRSNASTPRARDRGSVNGDQRRIRSPNHHSPDLRRKEVATTSKYEAEVSRNSSGGGSGRRSGLDDRDVTGSQQGSPAQAGKRRETSRTSERQRAIAEAKTWGENSRERKWPNVRGSFDSTNE >SECCE2Rv1G0108350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:661163777:661172579:1 gene:SECCE2Rv1G0108350 transcript:SECCE2Rv1G0108350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDSSASSRQSSFNSLAKDLELPLEQGCLTIVVLGASGDLAKKKTFPALYHLFEQGFLQSGEVHIVGYARTNLSDDGLRGRIRAYLKGASEEHVSEFLQLIKYVSGSYDSGEGFEKLNKEISDYEMSNNSGSTRRLFYLALPPSVYPSVCKMIRTYCMSPTSRTGWTRVIVEKPFGRDLDSAEELSSQLGELFEEDQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNVDNIQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKDEEVVLGQYQGYKDDPTVPDDSNTPTFASIVLRVHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQDVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDAGKLKAVSYKPGSRGPKEADELSEKVGYMQTHGYIWIPPTLA >SECCEUnv1G0533710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:32667689:32667958:1 gene:SECCEUnv1G0533710 transcript:SECCEUnv1G0533710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGGGLIWATAEDLTRNRPVVLSMYRQILRALNSPALPLGHAARLAKKAECRAIFIFGAEERSLHNIQDLLDAARHTLGLLNRGRLP >SECCE5Rv1G0340870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:619130729:619136302:-1 gene:SECCE5Rv1G0340870 transcript:SECCE5Rv1G0340870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGMFDGAGSGVFSYDAGGGGPGMHNPGRLLAPPPIPRPGAGAGGGGFASSTGLSLGLANMEGGGQLGGGYMASTGSGGDGDSLGRAREDENDSRSGSDNLDGASADELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSRRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRTENMTIREAMRSPTCGNCGGAAVLGEVSLEEQHLRIENSRLKDELDRVCALAGKFLGRPISAISSPLSLPSSLCSGLDLAVGSNNGFMGMGMQSIPDLMGGGSAAMRLPAGIMSGGLDDGLGGEGVAIDRGALLELGLAAMEELVKVTQVDDPLWQPSLDIGLETLNFDEYRRAFARVLGPSPAGYVSEATREVGIAIINSVDLVNSLMNEARWSEMFPCVVARASTMEIISSGMGGTRSGSIQLMRAELQVLSPLVPIREVTFLRFCKQHADGLWAIVDVSVDGVLRPDSGAGGAGPAGYMGCRLLPSGCIVEDMQNGYAKVTWVVHAEYDEAAVHELYRPLLRSGQALGARRWLASLQRQCEYHAILCSNPHPNHGDRHEPISPAGRRCMLRLAQRMADNFCAGVCATAAQKWRRLDEWRVEGAGGREQAGGEDKVRMMARQSVGAPGEPPGVVLSATTSVRLPGTPPQRVFDYLRDEQRRGEWDILANGEAMQEMDHIAKGQHHGNAVSLLRPNATSGNQNNMLILQETCTDASGSLVVYAPVDVQSMHVVMGGGDSAYVSLLPSGFAILPDGHTMPATATDPSPQGSSPNAHVGSSINNPGSLVTVAFQILVNNLPTAKLTVESVDTVSNLLSCTIQKIKSALQASIISP >SECCE1Rv1G0013380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:104171118:104171966:1 gene:SECCE1Rv1G0013380 transcript:SECCE1Rv1G0013380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLKKKTRNYLTRTNEMDEALLAVLVEHHNIGDRAQNGWKPHVYTACIKHVKDTCDVDITKDNIVGRIKTFDKHYEIISKMLAQSGFGWDWETNKVTVDSDEVWTRYVEANKDARAYRTKVVHNWSAIETIYSKDHANGGGARTGAECAQEQNTRVVEESPKVPQKRQRTGDAILCMMGQMRTSFDEALKATEPLPMPKATPATEILEALKKVESLEDADMLRAYGKLTANERMFESFMALPQNLRKPWLLTLP >SECCE2Rv1G0076610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:92631401:92634220:1 gene:SECCE2Rv1G0076610 transcript:SECCE2Rv1G0076610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLRSATATAAAAAARLQPALVGRGEDRRRLGTAAAAEVPEAVVGAGGARWEPMGAREYYDYRRAIYGEITHKAILVDAAGTLLAPTEPMAQVYRTLGEKYGVKYSEDEILMRYRQAYSQPWGRSRLRYVDDGRPFWQHIVSSSTGCSDLQYFEELYHYYTTEKAWQLIDPDAKYVFEALRRAGVRTAVVSNFDTRLRPLLQALNCDHWFDAVAVSAEVAAEKPNPTIFLKACELLDVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVHSFKEVAERIGVSVGMGNNM >SECCE5Rv1G0352580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:710792923:710801996:1 gene:SECCE5Rv1G0352580 transcript:SECCE5Rv1G0352580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNGLERNPMPGIEDALMKDSPRASSLEVEKPSLGDDEGPTLAGKKRKDVPAGENGGAAKRVLRSDTMKLHVDAEPEGEAAAVDVSKGDSLEKTDCEAVAGYSLEKTNCEATAGGSLENTNCEAVAEEGRNGAVDACKGDEHMDEVPVKVDDAIEVPAKCSQDNVENAGVAEENGLDSQKCNFESDDKREKAGEKIYSTTQEEENVSGTSGGSTDDSQENKGANGPCQGEVIDPSAAAKDDELVIDFSRNNPTSTPEPVQQEDTAVRTEGMVLQSGDLGVEKHRHNDDVHKDTEASLNENGRCVADNHIALTDCTDKKEGLRSPVDGTKGFSTPDIVFIRRKSLTRNTCAMKQVKCEDEEVQFERRVTRSSTVKQREASGSSCKSSANAASMESKGRKEDVVHHYTRKVSNTASLKAVDTEPAKRGTNTKKNTPNGKITPANITENKASATEIKINSKAQPSMRSGSTAKATTKDAVSLVDQNVCSSAITEKNDTELTDSEGVRSENKTAVPKSPLSVGAKIVASKKRMLESGLDKIAGGSPVETPSMKKTRSTSHTELDQSKKSSGKMLTEKNSGPDKRDILTKRQHHSRAAELSRSVNPSNEDACKLSHNGSDVDGTDINITHKRNCRGRRERGVPPVLIKQDYSSESEEDIVVRKDRRKRKHPGHKQRSGSRPNRSSGSPKASDSKGNRKTEYGSRAKSGNPGEPTGVFEEKRKISEQIKAILLDAGWKIDLRPRNGRNYLDSVYIPPTGKGSYWSVTKAYAIYKTMQSEQKDEAASKNLAKTSPGSPGKIDASMGNSLPEEILSKLKRVVVNKRKTKIQLQKLQKRKHGLLKNSKNLKGRPKEKKKISKERKKRGGCALLARGSNQEAGSSNGFAPYEWKRTIFSWLIDVDVLSVNARLKCMDETRSKVLLEGLITRDGINCSCCSKVVTVLEFVAHAGGQLSKPYRNIFVDGLDNDLLHCLISAWDKQSDSERQPFFPVSTEGDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLELEELPSDDWRCANCSCKFCQDHLNHDAPDNAEFDSLHSCSQCEEKYHPACSPETENLSSVSSQAGNHFCQQSCRLLFEELQNLLAVKKDLEPEFACRIIQCIHEDVPETVLDLDGRVECNSKIAVALSLMDECFLPIVDQRTGINLIRNVVYNCGSNFLRLDFRGFYIFILERGDEIVSAASVRIHGTKLAEMPFIGTRHMYRRQGMCRRLLDGIEMILSSLKVEKLIIPAINELVDTWTSKFGFSPLEVSDKEEVKSINMLVFPGTGLLQKSLLNKQASPQEHPGSEGDADAETNVDSVADAETNVDSVADADAETQGSEVMDHLNSSKEDADSCKGNV >SECCE7Rv1G0462060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:42425813:42427662:1 gene:SECCE7Rv1G0462060 transcript:SECCE7Rv1G0462060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAPVLRVKTIYINSVVLAANSPFFLKLFSNGMKESDQRHLTLRIADSGTEETVMMEILSFMYTGKLSTTEPNLLLDILMAADKFEVLACMRHCNQLLTNLPMTRESALLYLDYPCSTSVAAEIRHLTDAAKEFLASKYKVLAKSLMDMPLAGIEAIFSSTDLQIRSENTVYSFLVEWVCKQYPETEERHKIWSSRLLPLVRFSHMTWSKLHKVLTCSDDDVDNEQTKKLITDVLFHKAYPAHEQGTIEADTTTCWQVPQRAYMLKPIKVVEFDRPCPQVIVYLDLAREECSRLFPTGDILTHLFHLAGQDFFLTANCQMDEQSKAYSFGLYIGTVEMPKGPTCLTVDFEFAARTRSSGKFVARLEDKATFGDGWMEGCNDLFDVPWSTFITSDNLFIDGVLHLRADLRVGVVAQPGLET >SECCE2Rv1G0140680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932350263:932353976:1 gene:SECCE2Rv1G0140680 transcript:SECCE2Rv1G0140680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMEGMKPVAAMVVVQFVFAGVNIFYKLAVSDGMDMRVLVAYRFLFASAVLSPIAYFVERKKRTKVTWRVLLLSFVCGLCGGSLAQNLYISGMKLTSATFASAMTNLIPAITFVLAVLFRYERLAIRTLAGQAKVTGTMLGVGGAMLLTFYKGAQVTPWPPTHINLAAQLAARHQHDDHNSSVVHPAAPDSGNRAMGSLLCTGSCFFYALWLILQARLSREYPFHYSTTALMCGMSALQSAAFALCFDRDLLQWRLTSGVRLLAVLYTGVVASGVMLVVLSWCVKRRGPLFASVFNPMMLVVVAVLSSLLLGEELHLGSVLGAVLIVLGLYSVLWGKGREAAENEPAKAHAAGTELPHIDIVVHRHDPPPTPQQQQQQDSTAPAPAR >SECCE4Rv1G0217250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14844400:14846993:1 gene:SECCE4Rv1G0217250 transcript:SECCE4Rv1G0217250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPEMLNEILARLPFEDLVLTCRLSRAWRRRWESVPGLDIRLDPRSAAAPDAPALWRRAAAPDARALWRCAAPVGGFTARVRARHFHRAARWLLALARKRVRKLVLWFDYPSAADSAPVVGPALFSCAALTKLQLYGYCHLPRAPPGFQGFPNLVTLVLGNLLLPFPGAAAQLRCLISSAPGLRELSLHNVDAGGAGADLEICAIRAPSLRVLSLSIFLDNGCRLAEDLPLLEVAAISIDALIGTPAFVDTFRRISNVNTLSFRADSKQIEENPLEGISWMFQNLRVAELTANFGKLPSIRSIFSLLRFGPHVEELYIKAENRSALFEDLDPAALEDAVLADTIDQDILDAEISDDLFANLKHISLHGMRSLPNDMWFMKSLLSKTGLLESFLVTFNYGEGTEACAELQACEKASPQARLELITITEMPA >SECCE5Rv1G0363950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:797110649:797111884:-1 gene:SECCE5Rv1G0363950 transcript:SECCE5Rv1G0363950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGKFVLCLTLFAAWHLRSTLFAEAPPAPDGDFASRNASCVALAREAGVRAEGGTGRNFVISPLSIHGALAMVTAGARGDTLRQLLGFLGSSTLNELHRAAATGLIGRLNGIAQTSFASGVWVDRLLALKPEFTATIASRYNATAESVDFVSGAEQARQRVNAFVADATKQHISEILPPGSVDSFTPIVLANALYFKGAWPQPFGVSTAPFHIPGGTTVRVPSMTTSESQRIAVYPGFRALKLPYKNDVQQQAEFYMLILLPDGETEIADLYDKAVSTPEFIKTHTPAKKVPVGRFMVPKFKFTSEFEALSDMRKLGVTAFEGGDFSGMVTGGEGLSINGVYHKATIEVDEVGTVAAAATAIVGFSSAAPRAPRDRVDFVADRPFLFAVVEEGTDAILFLGHVANPLAR >SECCE3Rv1G0177270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:461370568:461394168:1 gene:SECCE3Rv1G0177270 transcript:SECCE3Rv1G0177270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHDHECGDHNCAADWSLYNHIDIPKVVALNESVAGSVKSVFKSWDKRLETSGGFLESNEGDPELLVFIPFTSDVKIKSIAVVGGADGTSPSIMRAFINREGIDFSDAQNMQPVQEWELAENLQGVLEYQTRYSRFQGVANLTLHFPDNFGGDTTKIYYIGLRGEATQNKRDVVATIVYEVMPNPSDHKTKSETGGGFSHVE >SECCE2Rv1G0082550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:153393614:153398540:-1 gene:SECCE2Rv1G0082550 transcript:SECCE2Rv1G0082550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESNENIQFSWGKKRAKGGAKMDTQFYGSFTFDNVKYSLYDCVYLFKNGESEPYIGKIVKIWQQNEAKKVKILWFFTPDEIRNYLRGPVAENEIFLASGDGTGLADINPLESIAGKCTVVCISKDERNRQPTPKEQSVADYIFYRFFDVGNCTLSEQAPEKIAGLEVNVLLNPKDEQVICYPDQDVQGMDQKVSAGLAAPLSQSAAEMEDEIPVAPLPQPAAKMEDEIPVAAVPLSQSVAISLSPSVKEVDKAQVAAVPLPLAVEEDVPKPTQKVFKRTQSTQKVLSDKMPSKKLKLSQDPTTKNMPSKKLKLSQDITTQNMAPVPDVKVRSVPSAEQPTRQADRSKWFKPQPWHEKLPMADKEGRLVYIQNLDIRFGASEIVELIREALQLSCTAKPINHPTYDDPNNGKAYVVFKSRNIADEAVMKINSGLVVGGRPLYCSKGLLKVPKPASGALVGHLTISNQKMNPRQREEQKKAVSTSHCSQPNTIEYDLALDWMLVREKQAMKFSILHKRHAGERKSFAAKMAK >SECCE5Rv1G0329180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:513049095:513051881:1 gene:SECCE5Rv1G0329180 transcript:SECCE5Rv1G0329180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLVGFSPRVLPTSVGYAADRRHARCGAATSHGFLVCRSHPRATLKDGVVCSTEDGGGAESTLCMSAPSENASHAGGVAADLGRDIAGGISASQTSSAARKKEGRLWRRAPGGDKPARAPRRAPVRKDPQVRRVLVNNPDVNAILSGVSRDSSIEECNSVLIRLEKHSDVKALDFFVWMKANGKLEGNADAYRLALQAIAWKEDWRRAELLLHEMVAVSGCRLDAQAFNGLIYVCAKRRLADWGTKWFHMMLEREVQPNVSTFGMLMGLYQKTGNLKEAEFAFAKMRECNVRCVNAYSAMITLYTRSRLFDKSEEVITFMKDDGVAPNLENWLVQLNAYSQQGKMEEAESVLQSMEDGGVSPNVVAYNTVITGYGKVSDMQKAKEVFHRLESSGLAPDETTYRSMIEGFGRADQHKEAILYYKKLKSSGFQPNASNFYTMINLIARHDDSESASEILRDMRAAGCQCSSIVTILVRAYAALGRMDKVLPILRSCFYKKVLFDATSCSILVTAFVQNSSLEEALCVLREKKWKDSDFEDNLYHILICSCKEAGSYEDAVRIYSQMPESRTHPNLRICCTMIDVFSTMEKFTDAETLYLELKASACALDMIAYSVIVRMYNKAGRPEDACSVLEDMEKQKEIVPDKYLFLDMLRTYQKCGLLEKLTDTYYRILKSEVECDEAMYNCIINCCGPAIPVDELSRIFDEMIQLGHLASTVTLNVLLDIYGKAGLFNRAEKVFSMARKQGLADTISYNTIIAAYAQSGNFRSMNYFVQKMQDAGFPVSLEAYNCMLNAYGKSGQLEEFSAVLQRMRRARCDFDHYTYNIMMNIYGRKGWIEGVAYVLSELKSRGVEPDLYSYNTLIKAYGIAGMPEDAVKLMQEMRIKGINADRVTYTNLIAALQRNENFLEAVKWSLWMKQTGVVGVGARP >SECCE6Rv1G0405400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:530335981:530338595:1 gene:SECCE6Rv1G0405400 transcript:SECCE6Rv1G0405400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pinoresinol-lariciresinol reductase 3 [Source:Projected from Arabidopsis thaliana (AT4G34540) UniProtKB/Swiss-Prot;Acc:O65679] MSEEATRSRVLVVGATGRLGASLVRASLAAGHPTFALVRPHHFALSDSAPLKPLAAAGATILKGSLDDYPSLLEAVRQVDVVICAVPTKHALEQKPLIRAIKEAGCVKRFIPAEFGVDHTKVQICDMDHGFYEKKAEIRRLIESEDIPHTYIYCNFLMRYLLPSLVQPGLDAPPRDEVTIFGAGNTKGIFVEESDVAKFTVRTIEDPRTLNSALYLRPPENTCSLNELVGLWETKIKRCLKRIHITEDQLLKNMHDAPFPLKMDLIFICSAFVKGDHTYFEIDSRNEGTQLYPDVKYTTVSEYLDTLV >SECCE3Rv1G0157530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:82364741:82367080:1 gene:SECCE3Rv1G0157530 transcript:SECCE3Rv1G0157530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLHDPRRPYKRPAISDQQRRRDSALQTQSARRADAQARARSLANSLLSPQPSCPAAADQPPTSPDAREERGHDPTVADVASAAAKLRGPDQRRWFARQIMLPEWMVDAPPHLARDWHVSARPAGKRCMVVSSNGITISRLRNGTILHRFPSALPNGSKKGLSGPASSYSILDCIFHEPDETYYIVDMICWRGYSLYDCTAEFRFFWVNSKLTETSAGDPPSTYHRYRFSAVPMYESTLEGLQAAYSGSTPYVKDGLLFYNKHAHYEAGITPLTLVWKDKTCSQYLLDTDSEGQVPTEQHVVLELQEDGKLVTSDDPPIAFGSLDNEFIQKSNLRPGNLLRFSVRDESVKLVDGKMEIGDLQLAGKLNRSRTFADSHSKVLFQYAARHAPLRIEDLVASVQSNSMEIESSDVEMQG >SECCE3Rv1G0181550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:615336320:615337063:1 gene:SECCE3Rv1G0181550 transcript:SECCE3Rv1G0181550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFFLFLPLGFILSVIRISIGIVLPYNISFAASAFTGVCFRTSGRRIPEAGGKRRGVLFVCTHRTLVDPIMLTAALQKAVPAVTYSLSRLSEIIAPIKTVRLTRDRDHDAAMMSRLLEQGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTSLYGTTASGHKWLDPVAFFANPVPAYRVEFLGAVPRDQTRAGGRTSAEVANWVQRRLGEALGFECTGLSRRDKYIMLAGNDGVVRK >SECCE2Rv1G0104950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:612954860:612956777:1 gene:SECCE2Rv1G0104950 transcript:SECCE2Rv1G0104950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MSPRAAGDRRESETLAKVSLSSVSAAAAEVSTFPLDALKTRLQLHRSTGVGGGGGVLRVAGELVRDGGLYRGLSPAVLRHLFYTPLRIVGYEHLRSSLASGGREVGLLEKAIAGGASGVAAQVVASPADLIKVRMQADSRLLAQGIRPRYTGILDAFTKITSAEGLLGLWKGVGPNAQRAFLVNMGELTCYDQAKHFIIRKQICDDNLYAHTLASVASGLSATTLSCPADVIKTRMMNQGREAKALYRNSYDCLVKTVKFEGLAALWKGFLPTWARLGPWQFVFWVSYEKLRQASGISSF >SECCE3Rv1G0186550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:686721839:686723568:1 gene:SECCE3Rv1G0186550 transcript:SECCE3Rv1G0186550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFGVGAAMLVVVSAFFAPAVTAQTGSCDDALPPELVGNYSGMACSPVWNNFVLRYAQGGDNVLRVVLSAMYSSGWVGMAFSKDGLMVGSSAMVGWVGKKGQAHVKQFALNGKAPSMVVADRGFLVSNDHDHTVLVKQAKIYLAFQLKFDSQLKKQQVLFAFGSAIPVSDRLAEHQDKTSMTFDFTTGSSSGSSFPSGLKRTHGALNLFAWGVLLPIGAIIARYCRGWDPLWFYLHGGIQFVGFILGLAGVVAGVSLYGKIQADVPAHRGLGIFVLVLGILQILAFFLRPNKESKYRKYWNWYHHWVGRLVLFFAAVNIVLGIKVGGAGNSWKIGYGFNLAILLITIITLEVLVWTRWKNNSGPATTY >SECCE6Rv1G0441730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811861441:811863152:1 gene:SECCE6Rv1G0441730 transcript:SECCE6Rv1G0441730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGCGVRVCVTGGAGFIGSWLVRKLLQAGYTVHATLRSVGDEGKVGLLRRLVPGGAPAERLVLFEADLYDSASFAPAIAGCQFVFLVANPSAHEAAASKYKTSAETAADGVCVILRLCAESKTVKRVIHTASVSAASPLTKSSSTATAVYGDFISESCWTLLDVDYPLRSVHFDKYIESKVLSEKELLSYNDGENPAFEVVTLPLGLVGGDTVLSHLPETVESVVAPVTKQEPYFMLPRILQRLLGSLPLVHVDDVCSALIFCMEQPSISGRFLCAAAYPTTHDILDHYSSKYPHLEVLREIDEVARVQPERNKLGELGFRYKYGVKEILDESIDCAVRLGSLDASKLIVQQE >SECCE2Rv1G0092480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:360099987:360100466:-1 gene:SECCE2Rv1G0092480 transcript:SECCE2Rv1G0092480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESWKESEETVQTPEAPILCVNNCGFFGSSMTNNMCSKCYRDFIKATTMAVPVVEKVFSVASSSSVTLEQAKAEEVPAAAVADSQAAQEPPKPPRNRCLSCRKKVGLTGFQCRCGGTFCSMHRYADSHECTFDYKKAGREQIAQQNPVVIAEKINKI >SECCEUnv1G0556340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310681997:310682689:-1 gene:SECCEUnv1G0556340 transcript:SECCEUnv1G0556340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKALPSLLYLVFIVLLPWGVSSSFNKCLELWIKNWWNTRQSETLLTDIQEKRILERFIELEELSLLDEMIKGKLKTHVQKPPTGIHKEIIQWVKINNEDHLHIILHFSTNIICLAILSGSFFLGKEELVILNSWVQEFFYNLNDSIKAFFILLVTDFFVGFHSTRGWELVIRWVYNDFGWAPNELIFTIFVCSFPVILDTCLKFWVFFCLNRLSPSLVVIYHSISEA >SECCE4Rv1G0262850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:711390449:711392235:1 gene:SECCE4Rv1G0262850 transcript:SECCE4Rv1G0262850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFEDGHHVRLRSRERGTYLHADNDGLGVSLSRRRASMNAAWAVHIYQGDRGPQYLLLHSAAYGRYLGATDAPAPRGHSGRRVEQCDYEPWEEVAIRWQAVGIGSGDDILLRHVGGRRLRANGRYLSVDDSDSAGTMMHWVVERIPAREDTPRLVAPTGLRLPRSLSFVLPWRVIQYEQAGADESNAIFPWSLVFRGRSAYHLRKELASRLGVAMYVSNVVICVRAGMHGRPTPLVVDLPRSRQTLDIIVFMAGTPAHAELRYPDVNAE >SECCE1Rv1G0050550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:647415198:647417048:1 gene:SECCE1Rv1G0050550 transcript:SECCE1Rv1G0050550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSSSRFSSAFPSLLDHVSRPPKAPRHGRRRCLQTLASVPADAAVPSPSSSPASLSRLLAAALRGGRARGELPDLAAAGGGGAGIGTLLMSTTAAAVTKARESPYLLALAANPTFVSGMVAFAVAQAAKAVLTSVVERRWSLRMLCSSGGMPSSHSALCTALTASVALCHGVGDALFPVCLGFSLIVMYDATGVRRHAGMQAEVLNKIVEDLFQGHPISERKLKELLGHTPSQVFAGAFLGILVAWFCCQGCIGAV >SECCE5Rv1G0373840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861324238:861324567:1 gene:SECCE5Rv1G0373840 transcript:SECCE5Rv1G0373840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAPSPARYLLGAAIMMAGVVLPLAYMIFRSKRSSSSSSSSSASVSSIASAAPSSSSFSKQTNKGLF >SECCE2Rv1G0069980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:32231175:32235981:-1 gene:SECCE2Rv1G0069980 transcript:SECCE2Rv1G0069980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSKRPSDAAAGDENGRGGDAKRPRLAETEGAGGSNGAAPQEIDEDLHSRQLAVYGRETMRRLFASDVLVSGLNGLGAEIAKNLALAGVKSVTIHDVKNVEMWDLSGNFFLSEDDIGKNRAAACVAKLQELNNAVLISALTVELTTEHLSKFQAVVFTDIGLDKAYEFDDYCHNHQPPISFIKSEVCGLFGSVFCDFGPKFTVLDVDGEDPHTGIIASISNDNPALISCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKVKNARPFSFSIEEDTSNFGIYVKGGIVTQVKEPKVLCFKALRDAMTDPGEFLLSDFSKFERPPVLHLAFQALDKFKKDHGRCPAAGCEQDAQSFLKIAAAINEASADRKLDTIDEKLFQQFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLNQFFYFDSVESLPTYPLEPQDLKPSNSRYDAQVSVFGSKLQKKMEEANTFVVGSGALGCEFLKNLALMGVSCSSKGKLTITDDDIIEKSNLSRQFLFRDWNIGQAKSTVAATAASAINPSLHIDALQNRACPDTENVFHDTFWEGLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFLSNPAQYAAAMRKAGDAQARELLERVSECLNKDRCSTFDDCISWARLKFEDYFSNRVKQLTFTFPEDAATSMGAPFWSAPKRFPRALQFSAADQSHLNFIMSASILRAESFGVAIPEWAKDTSKLADMVEKIAVPSFEPKQGVNIVTDEKASNLSSTSVDDVAVIEDLLAKLQEYAKRLPPGFQMKPIQFEKDDDTNFHMDLISGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPVEDYRNTFANLALPLFSMAEPVPPKVMKHKETSWTVWDRWSVKGNLTLAELLQWFADKGLTAYSISCGTSLLYNNMFARHKDRLPKKVVDIAREVAKVDVPEYRRHLDIGVACEDEDENDVDIPLVSVYFR >SECCE7Rv1G0456810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:12796727:12802603:-1 gene:SECCE7Rv1G0456810 transcript:SECCE7Rv1G0456810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAALPPRAAAAPPAAAAAKDLFGETIEAHPPWFRPEAFLRAGFDPDAYVAELRSYVPLESLAAELRSHLAALRAELVGLINRDYADFVGLSARLKGVDAAAARMRAPLADLRDKVAAFRAAASAALAALRAGLEQRAAAAQARELLELLLDTSHVVSKVEKLIKELPTAPSDSSDVEDRSVDKGYPGNDTTSPNVEAGTDVRETQSILLERIASEMNRLKFYISHAQNLPFIENMEKRVQGATKLLDGSLERCFVVGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVSPLIQKIVPQNYAKAVSGVSSDDLEDDYEQIMQCVEKDCKFILEISSSANSGLHIFDFLANSILKEVHSAIMKGKPGACSPGKPKDFLRNYKASLKFLDFLEGYCPSKSAVTKFRSEPAYTDFMRLWHVNVYFSLRFQEIAGGLDGALTATISPVGVNENQMKQKTLLLKQSIKLLESLQSCWSDDVLVFSHSDKFLRLSLQLISRYTTWLSSGLAARNASDGSSSSPADSEWALSVPVEDFIYVIHDVNAVIGELSESSDFVGRVNQLLASCPIEVLALVKQSILQAVEPLKELLPSVMDVIIGVIVKRSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGDRVHYLSEDDKTKLRRGSTDKITATYYDMVSEVVNVARKTESSLQRLRQGQQKRIGGSTDSSDNIISDTDKICMQLFLDIQEYARNLRTLGIDAREIESYRSLWQCVAPKDKQDSIQF >SECCE2Rv1G0099230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:512383040:512383810:-1 gene:SECCE2Rv1G0099230 transcript:SECCE2Rv1G0099230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASPPRALDAATQEDLKRVSAHHAVDMVESGMTLGLGTGSTAAHALDRLGDLLRTGALRGVAGVPTSLKTEAHAARVGIPMLALADAAEIHLSIDGADEVDPDLNLVKGRGGSLLREKMIEGAGARFVVIVDESKLVPRLGCTGAVPVEVVPFGSAYTLGLIRKVFDKLPGFHASLRTVKSKAGDHQEELFVTDNGNYIVEMFFEDGIHGNLRDISDSLLRITGVVEHGMFLGMATKVIVAKKDGTVSVLSKK >SECCE4Rv1G0245460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:529840764:529841990:-1 gene:SECCE4Rv1G0245460 transcript:SECCE4Rv1G0245460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAAPSATAAPVPDADPATPPSRLLSKHRPRRRAAPPRPTLPHPAPTRGLPDLNRCHCCSLRFQPPAPGAKRRPLRPLRSLWRVLLLCSECISLLRSGAVCSYCLSLDNLPQEDSVTCRSCNCCVHHHCIPAEHRTALIQPVDLENFVCVDCCPTVKVGSKNQGAESVPKLELVIREPSPAVRRKGGPVAAAAKLNSPRKVVEEVRPAWKDAMALVPVGEGSESKGPGDPDLPDEALALQLHLAINGSPRISRSGSASVAVLAGQGKRQNGLVYGRKVKEDLGLCVTNMMDHLDYLETGGEMGSNWNASQALGSEALGSYSPVPVVLALECKGKPPQESPRGKRKGLPEIKQHNSLVDWHKVDRYEKKYSKRKSSKQTDVQSTGNKTLPNGKDMDVGEGGEGITPMT >SECCE7Rv1G0524340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885761707:885766101:-1 gene:SECCE7Rv1G0524340 transcript:SECCE7Rv1G0524340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPPPQTPTAVIPREAWEGCSVLLDINDGDRLAFFRLTPGATVKIGDKSCSLQPLLGRPFGSLFRVGPDGLLPCQDDKLQDGGAAEGQPQDETRDNRSLVDNNTAQTLSSEDIEAMKREGASGDAIVEALIANSSTFGNKTVFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKYPARIGFMRVDTLSLLLSMANVGAYSDVLAVDMVGGLVVGAVAERLGGTGYVCSTYLGSAPSSIDIIRMYNLNSEMTSRIFQAPLSDLCSLKSSGNAPGGVQGDAVEPSAVPDEGLQSSLEKTSDTEVSDGNAQSTTAQPIVIEAPEPATDEHLNQGDIPIDIEAPEPADEHLNQGDISMPDCKGSNGNSIAPKAPRAGKAPSPERMKYWGEHGFSSLIVAAPGHEVESVVADLLPLLSYSAPFAIYHQYLQPLATCMHSLQVSKMAIGLQITEPWLREYQVLPSRSHPHMQMNAFGGYILSGIRVHKPDPSGARVQEKSSGATESMLVSL >SECCE2Rv1G0114560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:736296628:736297842:1 gene:SECCE2Rv1G0114560 transcript:SECCE2Rv1G0114560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVRLKWVKNRGLDHIIDRTTSIRASCLMLDYLARQPSSPVPARALARFQKPLGLTVPVLRFLRRHPTLFAEQPHPRFPTLPAFSLTSASDILLARLARASAVDSHLRLARLLLLTRSRSLPLASILPLRFDLGLPYDFASSFPSSHPDLFAVSNNHISLSTSRLPDDIAISSLQRRNAEAITGATYRDLSRPPSSSHAPLAFPMRFPRGYGGMKKVKAWMDDFHRLPYISPYDDASGIDPDSDIYEKRNIGLLHELLGLMVHKMVRRNAIRLLREELGLPHKFTRLFTRYPGVFYLSLKCKTTTLVLREGYERGKLVEKHPLAAVRDKVQYVMRTGVLYRGKGLSKLVLDEDDDEEEGTLDGDEEFQGEGMDEDADVECFGMEIVDDDGPGNDDYDERDSYD >SECCE5Rv1G0330400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:528613147:528615155:1 gene:SECCE5Rv1G0330400 transcript:SECCE5Rv1G0330400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAQERELLQLQLQGWPFHAMPPSFDAGGGAYSGGSSSSMSSDVGGGDDSFLLGWEPPFGGCFGGLADAQLHDLFPLCMNMMEPLPLSPAATTSTAAGFPSEQQVPAPAAMPNGELGDLLLNFWDAGDASVAINSGCVAPRHEKSSQSSAATATNSFLYDEDDLLGSIFSKRRPTLAEEPPVFLAPAEAEPLLSTSSSSSCHTDPPASDAGGARAQDDTTKTSGARAPPLPRCSSSSLKRATPEAASESAEAEAECSQSGGKRQKAAASGSSVVCPFAVLKPDGLDGGATLADINARILMRPARPVRHPVGEYACAPRVLAADAPGISGRAVSGFTRLHTPGRGTITIMRTRG >SECCE6Rv1G0398010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:409842980:409846584:1 gene:SECCE6Rv1G0398010 transcript:SECCE6Rv1G0398010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPYSLSSLCRRLCFLPTILFLLSSARSSLEEEARALSVGDELVGETISLRYGRRLYRLAGLRPPACFWLLKALLVFIDTVQLLDSLVNNPNAVEDLGSKNRRLLNTEKILFKAESTRPVYVLVMVEPEGVVAKPNVPEREPAMFNIVCDELLLGIPHFAWWVGTAALICIVLASIAPYVLPLHKLLNYEAAEMSSADAAKLS >SECCE1Rv1G0029520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:416204722:416206590:1 gene:SECCE1Rv1G0029520 transcript:SECCE1Rv1G0029520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATEEMAVYCFDTLVAHYSGEQPPPPAFEEGVHPLFVTWKKATNGSEPRLRGCIGTLEPRQIVSGFKDYALTSALRDRRFSPIQSKELPYLECTVSILTEYETALNHLDWEVGKHGLIIEFTDPDYNVRRSGTYLPEVAAHEGWTQLETIDSLMRKAGYNGTITESLRKKIRVTRYQSTLYTMHYGEYTAYVKKNRGEINGAPIVNGFKPGQ >SECCE7Rv1G0474740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:176425768:176426493:-1 gene:SECCE7Rv1G0474740 transcript:SECCE7Rv1G0474740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSVQEACEDQVSEISSQAASNNNEASNTSSRPKLALDLSLTVTAAAAATTTAESSTTDSNGGGAQAAREPTRVFTCNYCQRKFFSSQALGGHQNAHRRERTLARRAVHRLEAYPYGYADVASLPLYGSPGLYPIGIQAHASAHPGASAAAQAERQHQHQQQDAAVLAATPARARALLGPMPFLVGGDEEVSFGWPGSFRPPPPGTGVLPLLHSGPNFGSSSGSVVVQADEEPDLTLRL >SECCE2Rv1G0070070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:32997903:33002519:1 gene:SECCE2Rv1G0070070 transcript:SECCE2Rv1G0070070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat shock transcription factor 29 (Fragment) [Source: Projected from Oryza sativa (Os07g0178600)] MEDPVPSLVKAEEEEGARGRGDSPGVAAAPRPMEGLHDAGPPPFLTKTYDMVDDPNTDSIMSWSAGNNSFVVWDPHAFATVLLPRHFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKNIRRRKPPAHTASNQQSLGSYLEVGHFGYDAEIDRLKRDKQLLMAEVVKLRQEQQNMKAHLKAMEDRLHGTEQKQQQMTTFMARVLRNPEFLKQLISRNGIRKELHDAISKKRRRHIEGGPEAYDVGASSSSLEQELPVMFDSHGSVELLAEGSVPVELLADGIPPDLEGSVALLDDGIPPDLEGSVELLVDGIPSDLDSSGIDAANGVTEPQDFGFGTCEAQQNRVPGLFHDNFWEELLNKGLSDENDEPVNVDGMGVLSEKMGFLIPNSPTLST >SECCE7Rv1G0478220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:234936140:234936616:-1 gene:SECCE7Rv1G0478220 transcript:SECCE7Rv1G0478220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGTGAGAKGKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVIPKINPVLLPKRTAEKEGKEPKSPKKTTKSPKKTTKA >SECCE4Rv1G0291260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876258439:876259968:1 gene:SECCE4Rv1G0291260 transcript:SECCE4Rv1G0291260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESSSHGAGDEAASKFSLPVDSEHKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLAMGAICDLLGPRYGCAFLVMLAAPTVFCMSLIDDAAGYITVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIQKCGATPFVAWRIAYFVPGMMHIVMGLMVLTMGQDLPDGNLASLQKKGDVAKDKFSKVLWGAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYFDHFHLDLRTSGTIAACFGMANIVARPVGGYLSDLGARYFGMRARLWNIWILQTAGGAFCLWLGRAKALPESVTAMVLFSICAQAACGAVFGVIPFVSRRSLGIISGMSGAGGNFGAGLTQLLFFTSSKYGTGKGLEYMGIMIMACTLPVALVHFPQWGSMLLPPNSNATEEDFYAAEWSEEEKKKGLHIQGQKFAENSRSERGRRNVILATAATPPTNTPQHA >SECCE7Rv1G0521420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874017615:874018903:1 gene:SECCE7Rv1G0521420 transcript:SECCE7Rv1G0521420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMTVEEVRKAQRAEGPATVLAIGTATPANCVYQADYPDYYFKITKSDHMADLKEKFKRMCDKSQIRKRYMHLTEEILQDNPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPHESHLDSLVGQALFGDGAAAVIVGADPDESVERPLFQLVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEDAFKPLGIDDWNSVFWIAHPGGPAILDMVEAKVNLNKERMRATRHVLSEYGNMSSACVLFIMDEMRKRSAEDGHTTTGEGMDWGVLFGFGPGLTVETVVLHSVPITA >SECCE1Rv1G0018870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:211333754:211334119:-1 gene:SECCE1Rv1G0018870 transcript:SECCE1Rv1G0018870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAPIPEGEEPKSAVQIVEQVFKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE5Rv1G0367310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:820365738:820365986:-1 gene:SECCE5Rv1G0367310 transcript:SECCE5Rv1G0367310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLCICICFVVLLVGFSPDSLSERCPVQHRRQLQDVVVRVQATSAASTAAAARPRQEIANPVYGTSKRLSPGGSNPQHHR >SECCE1Rv1G0013570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:106939846:106941009:-1 gene:SECCE1Rv1G0013570 transcript:SECCE1Rv1G0013570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAASPVDVLGDDLLQEVFILLPGPADLLRAALACRPFLRAARSAAFLRRFRRRHPFTCPLLLGCHLHRPGERRGNSAPHLLPAPPVAATRRVAERGDFALSFIPRRGRPGAAGAGTPWQLLDCRNGRLLLRSRSSQELAVADPLARRWVSLPALPGDHPVGYGLVADDGDYSVFQAACISRVGDTTELRAFLLSSAGLRWADVGGLAQQPNLAASRAMQANRSLYWKLVGGEQMLALNTATTELAVLPLPPFLRELSFDVIEKGEDGAGGLHVLTMRGFCIEVWVGEGDGAGGLAWTLVDKSVRFHRAIAEMIGSEHFYHLTLDVIGVAAGVVFLRNGSCLFCIHLETMKMTKLSENENCPSPLIYPYTVAWPPAFLNPTEEGA >SECCE1Rv1G0045920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:613673530:613676248:-1 gene:SECCE1Rv1G0045920 transcript:SECCE1Rv1G0045920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQHALLTPSPLCCCSHALLHPRARKISPRFPHGTFSTAKKPFLRRELSERGGTLARRMAGGRRLGVAGAGKGPFFGGGRRQGSTGRGRVVGNLAFVALVAYLVVSGQFRWLLDAIVSLWLLTVLLPIVALGALIFFAQRNILQSDCPNCGKSFRILKTSMKNGPQFCPYCTQPFSVQGNKFVREAASFSSGRTPTKAQQAFNELFNRGSNGKAPSGSGTIVDVEAEVTDIE >SECCE3Rv1G0147900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:16423417:16424535:1 gene:SECCE3Rv1G0147900 transcript:SECCE3Rv1G0147900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGAAPAEGRSGASPDKADESAKKARLDLPDGHVKQEAAAAAYSPRVEIAVRIDKQVLHCPLCTLPFKPPVFQCKAGHLACSGCVAQLPFMQCRTCVDGGGFFDPCPAVDAVVSSTRIKCPNVGCQWTVTYHEVAEHQKACPHAPCQCMVPGCGYLGAPQALAGHLNTVHSVPIRIVQYGKVSQLQLPVSTQRVVLLGDDNCVFLLTMGALVAGVTIVSVVCARARAATRPRFTCKMWVNLEPPTAAANCGKEDMLLVAMHMRSSSSPGAVVTVGEPTFLTVPPMYLVPAAGDGMSMEVPLHIRIDKLSPWSDASV >SECCE6Rv1G0403830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510283983:510292849:1 gene:SECCE6Rv1G0403830 transcript:SECCE6Rv1G0403830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPPPPPTAAPGCGRRRLSGRRRRRSKRRNWAALPLDVMLYVLHKLDHVELMFGGPAMVCRSWHDAVCEPELWRRIDMRGRSRRFRKTVNLKNMAQLSIWFSAGQCREFFGQHDVDNDLLLFLADRAPLLKSLHLIKRCDVTSETFAKAIMKFPLLEELELWECETHDTGVFDLVAMACPRLKHLKHVKDRGYSRYIWIEYPADNGEALAIARMHELRSLKLFHGGLDNQGLATILDGCPHLEYLDIRYCSNIIMDSSMRAKCARVKKKKLYSCAPTDNRECPWSDIHDDFTISSGYLESGINVRTCYIPWDWDGYCWRRDDDDEPDCRFFNEEPCDSEDSDHSHFFSGAEESEFEYWRS >SECCEUnv1G0530980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:15538812:15542318:-1 gene:SECCEUnv1G0530980 transcript:SECCEUnv1G0530980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYNILKVNRNASLEDLKKSYRRLARTWHPDKNPTGGAEAEARFKQITEAYEVLSDPEKRAIYDQYGEEGLKGMPPPGSQSRTSTASGSTGPSNFRYSPSDPDEFFNEFMASNKPYTFDQDRRRFQPAHRTSATNGRSEASSSSQKEPGTSTSHLEKPLPVEKTLLCTLEELYNGTKRKMKITRNVAKSDGKVEVETEILQVEVLPGWKKGTKMTFPNKGDTLPGYLPQDLTFVIDLKPHDTYTLEGNNLLVSQEIPLVDALAGTTINLRTLDGRSLPVRVEEVVRPGQEIVIENEGWPIRKEPGKKGSLRIRFDVAFPARLSSSQRAAIRRIMGS >SECCE5Rv1G0337280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:590513535:590514614:1 gene:SECCE5Rv1G0337280 transcript:SECCE5Rv1G0337280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVSDMADEEACMFALQLANSTVLPMTLRTAIELGLLETLVGAAGKSLTPEEVLAKLPCKVNNPDAPSMVDRMLRVLASYKVVSCTVEEGKDGSLSRRYAAEPVCRWLAPNEDGVSMAPFALLAQDHVYLEPWRHLKDAVLDGGGTAFHRAYGTSWYEYTGRDARFKGLFYEAMKHHSAIITKKLLEVYRDFDGIGTLVDVAGGIGTVSHAIASMYPSVKGINFDLPHVIAGAPPYPGVEHVGGDMLEKVPSGDAILMMWILDCFSDHDCATVLKNCYDALPAHGKVISVECILPVNPEATNSAQALLAVDMSLVAYSTGGKERYLRDFEKLGKGAGFAAVKATYVYADFWAIQYTK >SECCE4Rv1G0244550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:517240662:517242705:-1 gene:SECCE4Rv1G0244550 transcript:SECCE4Rv1G0244550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRVVAVAALLAAVAVAAAALNTDGLALLALKFAVTDDPGSGLDTWRDADPDPCGWAGVTCVDGGGGRVAGVELANLSLAGYLPSELSLLSELETLSLPSNRLSGQIPVAISALQKLTTLDLAHNFLSGQIPAGIGRLASLSRLDLSSNQLNGTLPPSIAGLPRLSGVLNLSYNHFVGGIPPEFGGIPVAVSLDLRGNDLAGEIPQVGSLVNQGPTAFDDNPRLCGFPLKIECAGEREEPRIPQSNPGMNPGAAAEVGRPPKRRSSPTVPILAVIVVAAIVAGLVLQWQCRRRCAATTRNEDKESSTKEKSAAVTLAGTEERRGGGEEGELFVAVDDGFGMELEELLRASAYVVGKSRGGIVYRVVPGRGTAVAVRRLSEPDDGDGTESGWRRRRAFEAEAAAIGRARHPNVARLRAYYYAPDEKLLIYDYLGNGSLHSALHGGPTASPTPLPWSVRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKILLDDELRPHVSGFGLARLVAGAHKTAQSKKLGSAACALRSGALSALSYVAPELRAPGGTAAAATQKGDVFAFGVVLLEAVTGRQPTEGEGGLELEAWVRRAFKEERPLSEVVDPSLLGEVHAKKQVLAVFHVALGCTEPDPELRPRMRAVAESLDRVN >SECCE6Rv1G0421390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683810328:683810789:1 gene:SECCE6Rv1G0421390 transcript:SECCE6Rv1G0421390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQALQVVTFLSEEKITAKVEPLKKMFRWSDAEVGIAVSKAPGVLAKSNDMLHRRFEFLISEVGLEPAYIAYRPAMLLYSLEGRIRPRYYVVKFLKQNGLLDHDRDFYNTVMVSEKVFMKRFICPHKKAAPHLAEDYATAYRGEVPARFSFT >SECCE2Rv1G0138320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921063853:921079726:1 gene:SECCE2Rv1G0138320 transcript:SECCE2Rv1G0138320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGAGPSRPQRQLSMGPRNLSRAITMRTEGFSGEEEESELVPSSLAPIVPILRAANAIEEENPRVAYLCRFTAFEKVHKMDPNSSGRGVRQFKTYLLHRLEKDEKDTQRRLASTDAREIQKFYEQYCRKYLEGLQTGKPDEMGQYYKIASVLYDVLKTVVPETEKPDSKFNQYAEGVEKKKASFSHYNILPLNITSGPTQPVMEIPEIKAAVELLRTIENLPMPKPDTTNVHEEIEGPIVLDLLDWLWQTFGFQKGNVENQKEHLILLLANMDMRDSDSVRQDERHMILRDTIDRLMKKVFQNYISWCRYLHLDSNIKIPRDVSTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMARDLYDIISDRRERYFDPPFQREGSDDAFLQLVILPIYNVIHNEALMGKSGTASHSKWRNYDDLNEYFWSKKCFKQLGWPMNPASGFFTNPTKTKNNIKQNDHSISRRRMSKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIIAWSPSGSLSAIFEPAVFKNVMTIFITAAFLNFLQATLEIVLNWKAWRSLVCSQKIRHVLKFVVAIGWLIILPVTYSSSIQNPTGLIEFFSNWIGNFQSQSIYNFAVALYMLPNILSALFFIFLPIRRVLERSNSRIVRFLLWWTQPKLYVARGMYEDACSLLKYTTFWILLLICKLAFSYYVEISPLVGPTKTIMFLGRGRYIWHEFFPYLQHNLGVVFTIWAPVVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPKAFGKHLVPRDPKRHEQDYESLHMEKFSYIWNAFIVSLRDEDLISNREKDLLIVPSSGGDTSVFQWPPFLLASKIPFALDMAKNFKKRDEDLRKRIEEDRYTYFAVVECYETLLDILYSLIEETSDRNVVDRIRVKLEDSIRHRTLVKDFRLDELHLLSDKFDKLLDLLLKIKQEEHDTAINTQIANLLQDTMEIITQDIMKNGQGILKDENRENQLFANINLDSIKDEAWREKCVRLRLLLTTKESAIYVPTNLEARRRMTFFANSLFMKMPRAPQVRSMMSFSVLTPYFKEEVLFSTEDLHRKNEDGISILFYLQKIYPDEWKNFWERIKPKDEESLRSVMDEISLWASYRGQTLARPGRGTNSLERTKLEPNDEFLKAMMEQISLWASYRGQTLTRTVRGMMYYRKALEIQCSQDENNIAKLGQRTTSYQEGESIADMELAIADIKFTYVVSCQVYGMQKVSKDLKEKARYVNILNLMMMYPSLRIAYIDEVEALNRDGMTEKTYYSVLVKGVGEKYDEEIYRIKLPGKPTNIGEGKPENQNHAIIFTRGEALQVIDMNQDNYLEEAFKMRNVLEEFESDKYGKSKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMRGGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVLSGLEKSILLDPRIQENIEPLENVLASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFIIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYIPTGRGFVVYHAKFAENYRMYSRSHFVKGLELLILLVVYLAYGQSYHTNSRVYLFVTFSIWFMVVSWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSGDQSWEAWWRSEQAHLRKTSVRALVLEILMSLRFLIYQYGIVYHLRIARHSTSILVYGLSWLVMLTVLVVLKMVSIGRQKFGTDLQLMFRILKGILFIGFVTVMAILFSIGGLTITDVLACTLGFLPTGWCILLIGQACAPLIKRTMLWDSIQELGRAYDNIMGLILFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE >SECCE2Rv1G0113310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:720818954:720822455:1 gene:SECCE2Rv1G0113310 transcript:SECCE2Rv1G0113310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIELMHSLGVNSYRFSIAWARILPRGRFGHVNPDGVAFYNAIINALLHKGIQPFVTIFHYDIPHELEERYGGWLSPEIQKDFGYFAKVCFKLFGDRVKFWVTMNQPNLLAKFSYLNGWFPPGHCSKPYGNCAFGNSSIEPYIAGHNMILSHANAVSIYRNNYQERQGGNIGIAVSARWYEPLRNTTIDQLATERAISFNVPWFLDPIILGDYPAEMREMLGPNLPEFTLKQKKKLHANKLDFIGLNHYSTWYVKDCIFSPCEMDPMDGDARALTLLERDGVPIGKETGAPFFYDVPHGMEKVVMYYKERYNNTPTYITENGYSQASNSSMTAKDFTGDTERIDYISGYLTYLASAMRKGADVRGYFVWSILDDFEWTSGYKDRFGLYHVDFKTQKRTPKLSAEWFREFLKGSLVSREFQNGSQLQQYYTS >SECCE4Rv1G0219460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:31209112:31213035:1 gene:SECCE4Rv1G0219460 transcript:SECCE4Rv1G0219460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSAPVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNTVHEGRIYQLKLFCDTDYPDKPPTVRFQARVNMTCVNQETGMVDPRRFPMLGNWKREHTMEDILISLKKEMSTPQNRRLHQPHEGNDDQRVEQKGLAARCVVM >SECCE2Rv1G0120420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:798922727:798925539:-1 gene:SECCE2Rv1G0120420 transcript:SECCE2Rv1G0120420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGMEGMSYGGGAGGAGAGAGAAAALSPRDPKQRLRWTPELHDRFVHAVARLGGPDKATPKGVLRLMAMKGLTLYHLKSHLQKYRLGKHTKKSTDLELANNGEFTSQDISFQIGAPLVVPAGRDTTREMPLEDTLRYQIQVQRELCEQLEVQKKLQMRIEAQGRYLKEILEKAQENISFDANGSAGLENSRSQPTDFNLALPGLTENGTQVYEESSEQLAKAISDDNLHDNNLDFQLYRVRSQEAKSVKCTPKTEDLLVLDLNMKGGYDLSSRGMQG >SECCE1Rv1G0046160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614692182:614693543:-1 gene:SECCE1Rv1G0046160 transcript:SECCE1Rv1G0046160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFLELSKRLAARGHAVAFVSTPRNLARLPPVPAGLSARLRFVPLPLPAVEGLPEGAEATYDLPPDKVGLLRKAMDGLADPLAAFLAAGSKPDWIVHDFCHHWVQPIADQIKVASATFLISQAAFTVFMGPRWANAAHPRTEPEHFAEPPMWIPFPSTTFLLHHEAQWITDAFRTNASGVSRMDRWWQVLERSHLTIHRSCEELEPRMIGLLSDLFRKPAVPVGMLLPGAPDDHDEDHRQSSLGGVLRWLDDQPPKSVIYVALGSEAPLTQENAHELALGLELAGVRFLWALRKPAGTGSDDELLLPAGFEERTRDRGVVCTGWVPQVEALAHRATGAFLTHCGWGSTIESLSSGIPLVMLPFVVDQPLIARAMSERGIGLYVARDEKDGSFDRDGVAVAVRRVMVEELGKVLATNAKQLQEVLVDQGRQEHYIDELEEHLRRFKLKTSNIE >SECCE6Rv1G0395540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:331325599:331326447:1 gene:SECCE6Rv1G0395540 transcript:SECCE6Rv1G0395540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQAAGSFLTKVAKVAAGLGLTASGVSTSLYTVDGGQRAVIFDRFQGVLPAVVSEGTHFLVPWLQKPFLFDIRTRPHSFSSTSGTKDLQMVSLTLRVLARPDVERLPEIFTNLGLDYDDKVLPSIGNEVLKAVVAQFNADQLLTDRPHVSALVREALVRRAGEFNIVLDDVAITHLAYGHDFAQAVEKKQVAQQEAERSRFLVARAEQERRAAIVRAEGESESARLISDATALVGNGLIELRRIEAAKEIAGVIARSPNVSYIPSSNNGQMLLGLSTAR >SECCEUnv1G0529000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6266760:6268153:1 gene:SECCEUnv1G0529000 transcript:SECCEUnv1G0529000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATDGGSDWEVVSLTASTYAAAPGPIPIDAAADKTESPLLLLPNPAAPPAHLFMSQHFNLPAKEALFGTHLENNKGGQELESHPAVPEPDGHLNMPRPESERDDLQVEGGGGDQVQQTTTVIPSLADATAGSDATADGSATLPAPAPAATVPVAGGVGGQAWWEKTFSFPRQDGNGNGTQPLAFRFAFVAGKLQLQEDNLTPEFGVNSHNQNIGCAVTEPLGEVKGSMLGGGSPVAQQG >SECCE5Rv1G0368980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:832593395:832594793:1 gene:SECCE5Rv1G0368980 transcript:SECCE5Rv1G0368980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGGDKSKKERRHRRRKQRSPSRSPAKAHRDAEAASAAAPLLATLLELRDSADDLCLAVVAKKKVTFDPNVTAYEAPPVPEGEEDTSEEEEGRAAAAGGEEAWTLLGPECAKSEAFPLNHRYGNCAGADDDSDYEDCYDDDDDSDYEYDDEDEEEGDGLDGIDECAVDDDEDHGGLLGIARGEEDACESLFLLPAPKTAAKDTAAAGQTGAAAEVLNSVENFGQWKDAKQHPAAPKDSEKENLVTLSEPATVPATKQEKPAVSWDYTPRTPSKQEASVDASLSTWLGSSGTPESNYSVRSYSPISREDRPILGALTVEDIKISSANSSPRRSRSPSPSPDDMPILGTVGAYWNCSGAKSGSDDSVTRGGFMKTRSRFGQNLA >SECCE5Rv1G0324010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:441673737:441675224:-1 gene:SECCE5Rv1G0324010 transcript:SECCE5Rv1G0324010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSSTESSHVLPHIAIFPFMAKGHTIPLIQLVHHLRRRRLATVTFFTTHGNAAFVREGLSGADDTAIVELAFPADVSGIPPGVESAEAVTSMASFVAFAHGVSLLQPQLEASLAAMQPPASLLVADAFLYWTNASAATLGVPKVSFFGISAFAQVMRELRISHDPCPALQHAGVDGDGNPATFTVPEFPHIKLTFEDLMAPFGDPSSVAPMMELDGKLGKAIEESHGLIVNTFQGLEKPYMEFWNNHYRPTAWAIGPLCLSQPASSSSGDAGRPSWMEWLDKKAAAGRAVLYVALGTLAAIPEVQLKEVADGLERAEVDFIWAVRPENIDLGAGFEERTKDRGLVVREWVDQLGILQHQSVRGFLSHCGWNSVLESVAAGVPLAVWPMHADQPCNAAFVVDELKIAVRVHTNDRTMRGLVRSEEISEVVRKLMLGEVGIEVAKNVAELSVLAMESILDRGSSWKAVEEMIGELCAPNMHAKVEASKEESRDI >SECCE3Rv1G0163680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:150352508:150358123:1 gene:SECCE3Rv1G0163680 transcript:SECCE3Rv1G0163680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic aminotransferase ISS1 [Source:Projected from Arabidopsis thaliana (AT1G80360) UniProtKB/Swiss-Prot;Acc:Q9C969] MGSFAKLAKRCVETEAPVMVKIQELLRGATDVMSLAQGIVYWQPPETALNKVKEIVWEPAISKYGADDGLPELREALLEKLRRENKLTKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNSFMSFQMTGVTDILVGASNPKTLHPDVDWLEKVLKENNPIPKLVSVVNPGNPSGAFIPKPMLQRISDLCRNAGAWLVVDNTYEYFMYDKMEHYCLEDDHIVNLFSFSKAYGMMGWRVGYIAYPSEADGFHAQLLKVQDNIPICASIIGQRLALYSLEAGPEWIRERVNDLVKNRELLVEAMSPLGEDAVKGGEGAIYLWAKLPDNCSDDFEVVRWLATKHGVAVIPGSASGGPGHIRVSFGGLKEEDTRLAGERLRRGLQELVTDGMVQ >SECCE5Rv1G0326710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:484587672:484589630:1 gene:SECCE5Rv1G0326710 transcript:SECCE5Rv1G0326710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRGRGRGLLLLVVALWCSALQLTAPDLAADRAALLALRAAVGPGLPWDASAASPCGWRGVGCDNGTGGTRVVALQLPGAGLIGQLPPGTLGNLTALRTLSLRSNALTGGIPTDIGNCGELRYLYLQGNQLAGEIPEGFFSLGLLQRLVLSNNRFTGGVSLEFNKLRRLASLYLENNSFNGTLPADLDLPNLQLFNVSDNQLNGPVPASLAGRPASAFSGTALCGAPLSPCANTAPPPPPSSPLPLPPPASPEDSKSRKLSTAAIAGIAAGAVAALLVVLAVIFFLLCFRRRKTNKADTSTETAAYGDEDASPETVSVARAEKSGVKPSRSSKQTVSDAKKLVFVGGEPDVAYELESLLHASAEVLGKGWLGTTYRATLEGGVAVVTVKRLREVPIPEKEFRGTVAALGALRHENLVPLRSYFYSKEEKLIVYDFVSAKGLSSLLHGAGSEHLDFTARARIALASARGIACIHGAGAGSSHGNIKSSNILVNDARDGAYVADYGLVQLVGASVPLKRVTGYRAPEVTDPRRASQEADVYSFGVLLLELLTGKAPANSVPGSDGAADLPQWVGTVVQEEWTGEVFDASIANEAHVEEEMVRLLQLGTDCTERRPDRRPAMAEVAARIEDIVGSAQRKTDSDEYHSVSAEHSA >SECCE7Rv1G0481270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:282093328:282094632:1 gene:SECCE7Rv1G0481270 transcript:SECCE7Rv1G0481270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQHDGKPANGLRWRPHYGCPAAGSTTIPLVFLVLLTGALFLILGPTDSVLTLPSLRVVFSNPIHIATAAAPPAPPPAAANVSDEDRGLPPPRQLTDPPYSLGRTILDYDARRSAWLAAHPEFPARVAPAGRPRVLVVTGSAPARCPDPDGDHLLLRAFKNKADYCRVHGLEMYYNTAFLDAEMTGFWAKLPLLRSLMMAHPEVELLWWVDSDAVFTDMRFELPWERYAAHNLVLHGWEAKVYEEKSWVGVNTGSVLIRNCQWSLDLLHAMAPMGPRGPVRDKYGELFARELSGRPPFEADDQSALIYLLVTQRERWGDKVFFESSYELNGFWELIVDRYEELQRTGDWPLVTHFVGCKPCRRYADSYPADRCRLGMERAFNFADDQILKLYGFGHESLNGTAVQRVRNETGGPLDAEDEELGRLLHPTFRAT >SECCE3Rv1G0198250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:829891525:829896461:1 gene:SECCE3Rv1G0198250 transcript:SECCE3Rv1G0198250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGGGEVGFVGGGGEEEMDEDGAREAGKEQVVLMWGYLPGVSPQRSPLLGPVPVRLPPAAAGDAWRDVCGGGCGFAMAISESGKLLTWGSTDDMGQSYVTAGKHEETPEAFPLPSDVAIARADAGWAHCVAITDEGVVYTWGWKECVPTGRVIADQASVGTLEKDERQSAIANNQVSPRSQASRTSSGAASGPSESRGTEDSTKRRRLSSAKQGNDSSTSGDENLSAPPCVVTFNTGVRITAVAAGGRHTLALSDFGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESTSYGKDRLSAMKGNKNAEGQINKVTGNRVTAIACGGRHSVVVTDSGALLAFGWGLYGQCGQGNTDDVLSPTCVSAILGVKMQDVAAGLWHTVSISADGDVYSFGGNQFGQLGIGSDQAETIPKLVDAPSLENKNARSVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCIVPVDAYHPLNVSCGWWHTLVLAESPT >SECCE2Rv1G0088300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:242383045:242384175:1 gene:SECCE2Rv1G0088300 transcript:SECCE2Rv1G0088300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSHHHDASSATSTPRAGSTGSSNGNGNGNGNGGGGGYHHPPPPRAQALPHGAACVRLMCSFGGRILPRPGDHQLRYVGGETRIVSVPRTTSHAVLFAALAKLAPALFVPGEPTPALRYQLPHDDLDALITVSSDDDVDNLMEELDRAHSLTATAIKPPRLRLFLFAASPDHSSAGAFGSVLSGVGDASSDQWFVDQLNAPPPGSIERGRSEASSVVSEVPDYLFGFDTTSEEPSPGAGAQPKSDAEVAQGDDDDAPAPVLGAPLVPYVPESAPWPAPPPPYMGQPVYYVPVRPVHYLDAAGHGGYMPGPVYHIVGGGRNEGPGDLYSSGSVGGVYGVPRPMPPFRQVMYAPPPATEVYSVEGKQQEGESHSP >SECCE2Rv1G0124220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:830525427:830526646:-1 gene:SECCE2Rv1G0124220 transcript:SECCE2Rv1G0124220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQISWTPTMSSYMLANLCAVVTGGHRTGTAFKNVHWNACAMAMNEHFNRTDLIGTHVTNHTRTGKRKYKQIVHLKSLSGALWDEENFMIVLDYEHYTNHIKYRKEDEPFLNKPIKHYEEMLVIVGASMVTGQYAKGSSDPLGTYVIDLEEPKANKAAAPHEEVAQSPTCGESAAPKLKKAKTNPSAEDMMHATIMASSERLAVAIEKLVSSANPAIDGLWDEMKELSGFDLDSLAHYYAYLVDNPRVATAFKVLGDVQRKVWVSRYVKSTFPEAEA >SECCE6Rv1G0412690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:616403706:616409663:1 gene:SECCE6Rv1G0412690 transcript:SECCE6Rv1G0412690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Regulation of ABA signaling and biosynthesis, Drought resistanc [Source: Projected from Oryza sativa (Os02g0766700)] MDFPGGSGRPPPPPQQHQLLPPMTPLPLTRQGSSVYSLTFDEFQSAIGGPGKDFGSMNMDELLRNIWTAEESQAIGAGPNAAASSSAAAAGQDHAGIQRQGSLTLPRTLSQKTVDEVWRDMMFFGGPSAASASTAAEAPPPAQRQQTLGEVTLEEFLVRAGVVREDMPGPPPPVSPAPVAQAPPPQPQMLFPQSNLFAPMVNTLSLANGLMTGAYGQGGGGGGGGAPTMVSPSPTGRPVMSNGYGKMEGLNLSSLSPPPMPYVFNGGLRGRKPPAMEKVVERRQRRMIKNRESAARSRQRKQSYMMELETEVAKLKERNEELQRKQAEILERQKNEVFEKVTRQAGPTSKRICLRRTLTGPW >SECCE1Rv1G0043130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:589001010:589001297:1 gene:SECCE1Rv1G0043130 transcript:SECCE1Rv1G0043130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFRLHWLEAMLPLGIIGGFLCIMGNGQYYIHKAAHGRPKHIGNDMWDVAMERRDKKLVDKPAAN >SECCEUnv1G0536430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63879833:63881184:-1 gene:SECCEUnv1G0536430 transcript:SECCEUnv1G0536430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANTGSPVLSKKDAGGEEDITVDLHPFIREYKGGRVERFLSSSYVEASEDAAANRGVATKDVIVDESTGVSARLFLPAAAATGERLPVIMYVHGGSFCTESAFCRTYHNYARTLAARAGALVVSVDYRLAPEHPVPAAYDDAWAALQWDAGGNIVYNTAVRAASGGGTGNHIDVEGLVIVHPYFWGVERLSSSELVWDGVAMFTPELIDRLWPFVTAGRLGKDDPRVNPIDEEIASLTCQRMLVAVAGKDGLRDRGRRLAARMRDCCAWADDDEKAVTLVESEGEDHGFHLYNPLRATSKVLLPEGDALDEQFINERTALPLPAALLPELHEPHAYGGKEASAAGEMKPCCLPILGVPARPYMDVFGYGMAMKASSSVPNGMTRTTTCLHIGQGRGASNKTRHGLSLGHAVVRHNKTNMMRFSLSATAAPGTGVPHNFI >SECCE7Rv1G0524940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887693339:887694748:1 gene:SECCE7Rv1G0524940 transcript:SECCE7Rv1G0524940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSQEEGRDRVNNDTQSLLKPFSIYRVPQHVRDSVEKKHYEPKLVAIGPYHRKKSHLRAMEERKQLYLQEFLRASEPLATYQDYVCKVRAMEHTARARYFESPVPALMTPDEFVEMLVLDGCFILQFFIKWYAQAQGGRRRRQPYDPILDVAWILPLLHNDLLMLDNQIPYDVLRALYGIYCHDPGRPTEVWPKKTLMSIIAQYFSQKQSLALPESTSALREEGIAHLLHLYHCHFITPPTAQGAGQVALALPLATQEGEEEEEGAEQDDVPPPPTSVPCAKELELHGVSFRARKKKKKRGTSFHILDVTFRDGIFHIPPFSIEEPDCSRYMNLVAFEMSHRDVHKKFTSYVVLMDYLIDTPDDVLILERAKILTSQMSRPQEVADFFNQLRHCSYVDYRTHYLGSLYVDVEEYCNRKYPRHMARLRRDYLSTPWAVVAFSCGLLFACFTIFGTVISVLQTFFHFSK >SECCE5Rv1G0311700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:203911008:203913658:1 gene:SECCE5Rv1G0311700 transcript:SECCE5Rv1G0311700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGVTSPAAPATVSSSSTRRRFHLVPSRFFAAASFRGRCAAAADGAAAASEDLAAAADGYPDAGTDVAGGAATSTRPPYSLISAANVQKAMRGLAITDADHYGRLGITRLASTDEVKAAYEKRCEQLNKQGLKDEEISKEHDLLKESFTILSTEEERRLYDWSLARNGQPERYVWPFEVDPMELAPDPPKEPEDEFPTKLVGYFLLTWFIISVACSLILNRS >SECCE6Rv1G0445910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:841735150:841735656:-1 gene:SECCE6Rv1G0445910 transcript:SECCE6Rv1G0445910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLATAEVCDANSHMITNGELRALQQVFRIYGGRRLFAGPVVTVKVFEDNVLVRALLEEKGQGRVLVVDGGGSLRRALLGGNIAMLAQNNGWAGIVINGCVRDVDEINECDIGVRALGSHPMKSDKRGMGEKHAPVTIAGARICDGDWLYADADGILVSRTELSV >SECCE6Rv1G0384150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:61010280:61011875:1 gene:SECCE6Rv1G0384150 transcript:SECCE6Rv1G0384150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKDFRMFNQALLARQAWRLLVNPESLCARVLKARYYPNGRLEDTVFSTNASQTWQSIVHGLELLKQGLIWRIGNGRSVRIWRDPWIPHPIGRPPISQQGRCRLRRVSELLDETGAWRSDLLHQYFLPVDVHHIMKIKASPRLGDDFIAWGAERTGIFTVRSAYRLALEDKLRPSSVAASRAPDGRRAVWAFLWRCPAPPKVRIFGWRVATNALATWANKFARHMELTDIWKSHRKWSPPPEGWAKLNVDGSFSHEDGSAGAGMILRGHDGAIIFSACRLLRSCPDPLHAELAGCTEGLGLALQWTKLPIILECDSMQACCVTHISREQNNVSHVLANFGRTENRTVVWLRSGPANVPSLCRDEFVCS >SECCE5Rv1G0345280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649781020:649783121:1 gene:SECCE5Rv1G0345280 transcript:SECCE5Rv1G0345280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLHSAIIATFVLLAVGQCSNAVLTEAEVFWRTTLPDSPLPDPILKLLHPETSFVNKPKDDTVAEAYSLTWLMWGLRSRSGPTKHSSPRPSKIDTVAEAYSLTWLMWGLRSPSGPMKHSSPGPSHDRDHSTDEYLAQGLFFHEEAVQVGKTITLYFPLAASAPLGLLPRHVADSIPFSTSSLPSALARLGIANNSVQADNMEETLYMCDLAPKAGEAKFCATSLESLVEGTMEALGTRNIRPMTSDLPRSGAPKQPYTVRAVHPVDGSSFVSCHDHNYPYTVYMCHNTPSTRAYMVEMEGAHSGLVVTVAAICHTDTSHWDAEHFSFKVLGTKPGDGPICHYLPYGHNVWVKKDANRLSN >SECCE2Rv1G0113990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:728313259:728317393:-1 gene:SECCE2Rv1G0113990 transcript:SECCE2Rv1G0113990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKQASRLKEQVARQGVFKQFGAGGYGNSDNAFTDESEVKLHQRLEKLYLSTRAAKHFQRDVVRGVEGYIVTGSKQVEIGNKLSDDSQKYGIENTCTSGNTLSRAATYYGKARSLIEKERGNMLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRIRQEADAQVVEVSRRQSRVRESAGNSDVISKLEAAEYKLEELKSNMVGLGKEAVSAMAAVEGQQQRLTLQRLIAMVEAERTYHQKVLEILDHLEEEMVSERQKIEAPPPPAAESYMSPPPPSYDEVNDMFASTSADQAVNSVDFFLGEVLDSFEAESEFELNLSVGDIVIVRKISSNGWAEGECKGKAGWFPHAYVERRERVLASKVPHIF >SECCE1Rv1G0012600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:94327219:94328362:-1 gene:SECCE1Rv1G0012600 transcript:SECCE1Rv1G0012600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPALLLAWAVAVLLAVAAFSAVADASPPPSKFLKVGFYKHTCPQAEYIVRDVVRRAVARNPGLAGGIIRMHFHDCFVRGCDGSLLINSTPGNSAEKDSQANNPSMRGFEVIDEAKAALEASCPRTVSCADVLAFAARDGAYLAGGIDYRVPSGRRDGRVSIADEVLNNNVPFPTDEVAELVASFKRKGLSADDMVTLSGAHTIGRSHCSSFTQRIHNFSGETGRTDPSIDWSYAAELKHRCPPSTDNPSDPTTVPLDPVTPGEFDNQYFKNVLARKVPLTSDQTLLTSPRTAGIVAFHAAVEKAWQAKFAAAMVKMGKVEVLTGEEGEIREKCFVVNHH >SECCE6Rv1G0417900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659914608:659916942:1 gene:SECCE6Rv1G0417900 transcript:SECCE6Rv1G0417900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPGHQPWEYRLRKYLLLLATVVVTVTYAAGFNPPGGNWQEGAYGGRLAGDPIIRDIHYHRYLAFFYCNTTAFAASLVVIVLILIFAVRHDKKDITWVASEVKPLRAVMVLDLLSLVGAYAAGTCRDKISTVYSAVLAATVFAYIVILKFMDWWFRDKNSGSGGTMPAEEKKRMKEEERLRKVLMLLATFAVSITYVAGLSTPGGFWDTTESSHRPGDAILKEHHSVRLTVFLLCNTAAFVASLLITTLLIIAGKKLHDKPARSRVLYACIVIALVSLIGAYAAGSCRETDTTVYVVSLVGAVVAYILLHIFWSSESTLLSCCFCSAQQSDDASALGKVRSLVLLLATLAATITYTAGLDPPGGVWQVDSDRHMAGDPILLTTNARRYKAFYYCNSVSFVASLVAIILVQKEILVKHHVLEAAMILDLFGLIGAYAAGSCRDVSTSIYAMALAGAVLVYVVIHVIFFTLDHKDKKDMVQEHQLLEKRRKRLLLFAILAATITYQAGLTPPGGFLLQDNKFGRHHAGDPILLNNYPRRYNAFFYCNSISFMLSIALIILLVNPNLYRPAIRSNALSVCTAVGLFCLMGAYAAGSTQHLKTSIYVFALVVVVLFVVVVLLLVFLLKGPNKNGNNLATKEEDEEEGKKVGGKKGDEESKKHARRKYLMLLGILVASIAYQAGLEPPGGAWQSSRNGHEAGNPVMHDNRRPRYLAFFYSNSASFMASVVVIIMLLPQ >SECCE7Rv1G0456090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9363671:9366355:-1 gene:SECCE7Rv1G0456090 transcript:SECCE7Rv1G0456090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLAVLRDYAARGDLDKIIFNGDDVLFGDDYTFPANLPTAFTSKQSGRPYPLSAPDAAPAAGRHEPSAAAAATLANPKLDKPLGDGFVPIILVPSASQTLITIYNVRDFLEDFVFVPSDKKMRAMKGSPKPECVTLQKKHVRGAGGPAAFEVRDKPASLKPDDWARVVAVFVLGKEWQFKDWPFKGHVDIFNKGLTF >SECCE1Rv1G0013780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108418907:108419680:1 gene:SECCE1Rv1G0013780 transcript:SECCE1Rv1G0013780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVKVYGWAMSPFVARALLCLEEAGVEYELIPMNREAGDHRQPDFLARNPFGQVPVLEDGDLTVFESRAVARHVLRKHKPGLLGSGSLESAAMVDVWLEVEAHQHQTPAGTIVMQCILAPFLGCERDQAAIDENAAKLTKLFDVYEARLSASRYLAGDSLSLADLSHFPLMRYFMDTEYASLVEERPHVKAWWEELKARPAAKRVTEFMPPNFGFGKKPEK >SECCE2Rv1G0087960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:236052343:236054359:-1 gene:SECCE2Rv1G0087960 transcript:SECCE2Rv1G0087960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRNKNKAKNGAGTAAMDTSEGAPAASAAAEAPQPMDTSEGKQPSSSSAALSSINRKIKKGVQTKRTKNLRKMKAVERAISKTEKSEEKVLKAKSKKSRIQSAKSLYD >SECCE6Rv1G0401230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:464283888:464284196:-1 gene:SECCE6Rv1G0401230 transcript:SECCE6Rv1G0401230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase sulfur carrier subunit [Source:Projected from Arabidopsis thaliana (AT4G10100) UniProtKB/Swiss-Prot;Acc:Q9S7A3] MLPDAAPGDDPAAGSPPAAAKVRVKVLFFARARDLTGVAESSVEVPSGSTAGECLGRVLASFPKLDEIRRSMVLALNEEYAPESAPVADGDELAIIPPISGG >SECCEUnv1G0553360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:268914780:268915175:1 gene:SECCEUnv1G0553360 transcript:SECCEUnv1G0553360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGGKAKKSMEAAKNEDDGSAGEEVIPAYKRRGRTQKLLKHDVDEDEDTAKVEDDGDGAKEKIAPRKESTGAAGNGGKKRRRQSKRGSESATDEKGGAPARAPTGFRQNGSRRKSTPRRAAEAGVESK >SECCE3Rv1G0180660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:587584133:587585950:-1 gene:SECCE3Rv1G0180660 transcript:SECCE3Rv1G0180660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABCG10 [Source:Projected from Arabidopsis thaliana (AT1G53270) UniProtKB/TrEMBL;Acc:A0A178WBC4] MASPRETVVTPAMSLGKTGTGRRRPRYRLETRALSYVLPARGVGLSSFFRGGKGERLLLRSVTCEAAPGEVVAIVGPSGAGKTTLLSVLAGSADPARVLTGAVLVNGCPMDAARFRRVSGHVPQDDALFPMLTVEESLLYSARLRLRAAPGAAETRARELMSELGLRHVAHSRVSSVSGGERRRVSIGVDLVHDPAVLLLDEPTSGLDSGSALHIVKMLRDMATAHGKTVVLTIHQPGFRILELLDRVVLLADGAVRHHGSLPFLEARLAGSGHSIPAHVNVLEYAMETIDTLKPDVVIATALTYQDVAAPVPSPSSSSARRAAYANSAAAEVCILSGRFVKTVLRTPQLFAARMVQSAVVGVFLGTIFLGTTDLQSRLGFFAFNLTFVLSSTTEGLPVFLQERRILERETTRGAYRVSSYVASNAAVFLPFLLAAALLYATPVYWLVGLAREPASFAYFALVIWLVMLTANSFTACLSALAPNYIVGNSLIAGFIGCFFLFSGYFVASKDIPQYWVFMHYISLFKYPFEALIVNEYGGARGGQECLASGPGGGMCVLDGTMLLRQQGMRESMRWSNLGVMLGFVVGYRVLCFVFLWYRCHRIRR >SECCE7Rv1G0462410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45563440:45564890:-1 gene:SECCE7Rv1G0462410 transcript:SECCE7Rv1G0462410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNPRLPDFILLDATTCISDLRDGTTTACALTTAGLTIQVSLVVAAPPRLSYFCVCCPGGAATTFAHAPKVVCSTGDHALIRAGFTTGDSPQHFIYRARGPDGRPSLDLIPDFIDYAQAQILHLPLGFVSSRNHLVIAALSNGPTVSQYYLHTLSSEPRSTWSKKLLQVELPHGLSKKPFVIDHSKVIALGGGLLGWVDLWEGILICDVLEPGAAALRLVPMPKLLPSNRPLCDQHSLARVIRDATFSRGYIRCVELEKLVELRVTPVPPLPDPWGMDDLQDSECTIDPPQEDGEEYVTVGWRLITWYRALTWNCWRKGNTVHSDELGTVLPQVGDGGACGLKLPLKDLRIAAPILRGDGDAIYLSSALHKWDETAWIVALDTRRKSVEELVPCSAKGLYLYDPTYIPYVLTEYLNDKSDGAEVHMQNACYPTPRKFDGSEKKRQRLLQT >SECCE7Rv1G0514150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:830320496:830328215:-1 gene:SECCE7Rv1G0514150 transcript:SECCE7Rv1G0514150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPVSSSLGAMGSLPRKLDELLATGHWALRGAVIMDGIRHLAADLHELEGLLLSLSNAQDPPVAAGCWKKEVRELSYDVEDCADRFVHAAETRRRPARRKASVARLKISRLPRRRRWLPWINSRVLEFRTRAQEASERYWRYQFDDCASGPEYSPPAAGRAVCAEPGDHVGMEGAAGELDRWLTDGEEQLKVVAIVGVAGIGKTTLAQELWGKLRGQFECRAFVRTAQKPNMRGIISSILSQVRPHQLPDPADMHHLIRDLSEHLKDKRYFIVIDDLWATSVWDVLSRAFPEGSSCSRIVATTEIMEVAQACCSFRPVHIFQMEFLSDNDSEKLLLQRIISENQSPEHFDHVLPHILRKCGGLPLAIIMVASLLASRPEKLDQLGFVQNSLGSNLRLRAHPTMERFMGQLLNIRFDCLPHYLKTCLLYLSTCPEGYIFLKDDLVKQWLAEDFICAQLGKDMEEVAISYFDELVSMGLIQVMDINYSYELLSYSVHHMVLDFITCKSIEENFITVVDYSQTAMLLPDKVHRLSLHFGSATYATTPASTRLSQVRSLFFFGLFNCMPSLMVFKFLRVLNLRLWGDLGNMSLDLTRISELFRLRYLQVTCSAIVKLPDQIEAMKHLETLEINSRVSAIPPDIVRLPSLLHLCLRGGTNLPDGIGHIRSLRTLKYFDLGDNSEDNLWSLGELTNLRDLHFTYSRLPSSEHLKRNLIALASSLGKLCNLKSVTLAPGTAGMVVLVDGSSSMSSAPVFLERLELLPPICIFSRLPKWIGQLRKICIVKVAVKELLTNDIDILTGLPSLTVLSLWVQTAPEGGIIFNEGALPVLKYFEFRCGVLCLAFMAGAMPNLRRIKLAFNTDIREKYSNILAGIEHLLNLQDVTGQIGVVTESDRRAAESAFKEAISKHPMCSRSSIQWVDPVEKVFRPSEKQYLRRGRGSSDEKHGGLEKAEDAIKHAKRGKTSSAGLDQDRDVEAYRTSCSSAFFPQKRVRTRFFCIRRKATKMGQSYTTTKGGTNRTENKKEQQKLQTPLNYVHRKNQQQSSHSSASVGNKTEDAKLFSTTSSSPNLQRIVVSHQAATEPEEQMLPDAMEQECLDSSQSGEQIAAETGVQSQVSSPSSHSLSSARHHEGEHASRLNLAGEGMEMSSNIILKQDILAKVKEHEEQIARLRVHLVDYSVKESQILNEKLVLEKRIAHMRREFDQHQQDSLDAASKALSYRQDIIEENLHLAYSLQAARRRRSNFVLSLTPLLSAHNLQPSIPDAQSIVSNLKVLFTHLQEKLAITEEKQKELQYQIARRAESSNITAQTPSHPPGNALVASVRRYPILSFTLCLLTSYISRAKSALTLCPSKHILMYIVRFLISFELDGIGVYWQMRTVRSSQPRLL >SECCEUnv1G0555410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:299403379:299405215:-1 gene:SECCEUnv1G0555410 transcript:SECCEUnv1G0555410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEVVLQSSKEELDSSIIPPSRDNMETPDDNGDHLTELSGTVNAESSLGAQSENGVSEPLQAPDANGDTEDDGSSATGHAEQTDAEPAADEASPVSVDNGDVVQHCVEISAYEQENQETFPATETAASDSTSVTSMEDGQPKKGAQSEPGDVSGYPPDLPNDKHLTGNGNVYENAKCVLTSSTKKMKRSASATTRKPLQATNRNSHPDDWNASTLTSSKSSNGKTTTVPSSPVFRCTERAEKRREYYSKLEEKHQAMEEQRIQLEARLKREQEEALRLLRKSLTFKATPIPSFYHEAPSPKAEYKKLPTTRPKSPKLGRKKAAMNTSHSSEESESTRPCCRASRDKHDSNCKYHSSSNKSRQQQQQHPAASSSKKQPKNHAHKLSALNIAVC >SECCE3Rv1G0177710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:479816512:479823974:-1 gene:SECCE3Rv1G0177710 transcript:SECCE3Rv1G0177710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPCLSTQLIDGDGVFNVSGLESFMKEVKLAECGLSYAVVSIMGPQSSGKSTLLNHLFRTNFREMDAFRGRSQTTKGIWMAKAQNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTMLFVIRDKSKTPLENLEPILREDIQKIWDGVPKPHAHKDTPLSEFFNVQVVALNSYEEKEELFKEQVSNLRDRFQQSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGYEKVSTFTADEEWQQFEEAVQSDYVPGFGKKISSLLDRCLSEYDMEAIYFDEGVRTSKRHQLESKLLQLVNPAYQSLLGHLRTRTLEAFKESFDKAVEKEGFAVAARDSARIFLEKFDKGSEDATIEQVNWDPSKVKDKLKRDIEAHVVSVRATKLSELCATYEGKLTKALAEPVEALLDSASEDTWPAIRKLLQRETKAAVSGLESAISTFELDEATEKELLLRLENHGRSVVESKAREEAARILIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLDEDGDNIDATLSLALVDAARPGTTDRSIQTLDPLASSSWERVPEERTLISPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAVLGFNEFMTLLRNPFYLAVMFVVFLVGKAFWVQLDIANEFRNGFLPALLSLSTKFVPTIMNILKRLADEGAAPAAPERQRETELQPRNNSSNSNVTSAGSSSITSSENGPEYSSPVAQ >SECCE3Rv1G0192590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:760711757:760714484:-1 gene:SECCE3Rv1G0192590 transcript:SECCE3Rv1G0192590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRQAAGVAATKVCVNGTPAEATLSTTTGGCPELRWRCAGATAERSLSLEADVLGAEASGKELVVRAFMAEDAARPPSCAKAGRKRRRREYVFEMADGEGAAAAWGETLRGCLDSFGRPKRLFVLINPYGGKRRASKIYEAEIKPLFEAAGIEITMQETRYRGHAREVASSLDLARYDGIVCVSGDGVLVELVNGILQRSDWEEAIKMPIGVVPAGTGNGMAKSLLHAASETCSVSDAVFAIIRGHKQALDVCTIVQGEKTVFSVLSTTWGLVADVDIESEKYRWMGSARFDFYALVRIMNLRRYRGSVHFVPAPGYEAYGDPVKQAETSIVEQNGESRVCSYQGPTAEFQCSDWRSIDGPFVGVCVYNVPWAAENAMAAPEAKFSDGYMDAVILKDCPKADLLALLLKMSDGSYVKSPHVTYLKVKSFRLSPGQLVEDPKRGGIVDVDGEVVARGEGTYGGNQDQDLMAYGPSVQLTVHQGLATVYCPK >SECCE3Rv1G0172200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:287655142:287671935:-1 gene:SECCE3Rv1G0172200 transcript:SECCE3Rv1G0172200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARTAARRLTLTRPCGCSSAGVVSRTGAGTTAGAPPCRPSIERYFLRKMADAATDRFAKTLTSLPKPGGGEYGKFYSIPALNDPKIDKLPYCIRILLESAVRNYDNFQVTESDVQNIIDWEKTSPKLAEIPFKPARLVLMDNTGGPAVVDLAAIRDVIAELDSDPKKINPLVPVDVVIDHSVRVDVARCADALKQNMDLEFSRNKERFSFFKWASSAFNNMLVLPPGSGILHQVNLEYLSRVVFKADGVLYPDSVVGTDSHTTMINSLGVAGWGVGGIEAMAAMLGQPMSMVLPGVVGFKLVGKLQDGVTTTDLALTLTQMLRKHGVVGKFIEFYGQGVGTIPLPARATIANMTPEYGATMGFFPVDQVALDYLKLIGRSDETVSMIEAYLRANKMFVDCNEPQTGLVYSSYLELDLTKVEPSVAGPKRPHDRVPLKEMKSDWHACMGNEVGFKGYAVPKEEHNKIVKFDFHGQPAEITHGSVVLAAVCSSTNSSNPSVMMGAGLVAKKACELGLEVKPWVKTSLAPGSLVVTKYLEHSGLQEYLNHQGFHLVGYGCTTCIGNSGDLDKSVSNAIVDNDVVVVAVLSGNRNYEGRVHPLTRANYLASPPLVVAYALAGTVDIDFENEPIGIGKDGNEVYFKDVWPTNEEIEQVIKSNVLPKMFVDTYGSITEGNDAWNGLVVPKETLYPWDPKSTYIHKPAYLKNITMTPPGPPIVKDAYCLLSLGDSITTDHISPSGVIKPGTPAAKYLLEHGVKPENFTTYGSRRANDEIVVRGAFTNIRIVNKLLKGEVGPKTIHVPTGETHYVFDAAMKYKSEGHDMVILAGDEYGAGSSRDSAAKGPLLLGVKAVIAKGFERIHRSNLVGMGIIPLRFKAGEDADSLNLSGHERFTIDLPGKITEIHPGQDVTVTTQNGKSFTCMLCINTKVELEYFNHGGILPYLIRKLA >SECCEUnv1G0540310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78802265:78804974:-1 gene:SECCEUnv1G0540310 transcript:SECCEUnv1G0540310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSPVRRAAAAMYNSNARHDIIINNVTLCATPAATLVASFTVSADMRTTERLMVTTTVLMTLLGTALFLLGVSGRLSGRGRGHSTATRIFFRASFALFLPFMSYMFSQAKSKPPDIGNQPRAQLILLWMILVELLRKKVYAMVAPATDAFARGVGRYSFFDAVEEAARMAWIGYLIYTYVHGFGVKSFFIILWIFSVVKMCKRSMCIYLAKRSFDLAKNAALISGYMVQLVNEDRQLLSDDEHAAGAGIMRACNYAVMGERRLKREVTPHGFKIQEEEMNKILLGDGSSNGKEEAKKSKLVRVCNIWDLANSDPIFRYNLSRKHKLENICLAVALFKLLRRRMEHFHMAEADTPQARDLVLRDLLALEGSDLEGHEVANAERAFEVVELELRFLDEYYRAIIPLALSKPGLFIANFIISIVFIFLYCITVLLVTGNGHIFKVIGSLFRGLIGLSIDMVVQYKCFIHQVNFLFGMVCSSSDLIVTFLLTLTLLAVETYEFLQYVISDWFIVSLMYKYAQMPMLRRHGHPGRVAKTTLWAKHRSHAVIKVHQVTMLKVHHLHPRWVWMLVSRLLKRRLVGLPDAIVTGDTKVAIVKALKDVLTPSCGRHISNGMATLERQRLLNLKWACDPRMSTAMTIVVWHIATALFETRDKQKHPLPPHGQAALTLSRYCAYLVAYEPGLHPDDKAWTEKVYKDIKGELNSFFQSCCNTGDRRERLVKFGQGEEDEEKSAMVKGVKLGKQLEATSSSGGDLLEDERVWGMLLEFWAELLVFVARRPSAGPEAHALALSNGGEFITHIWAMLTHAGVHVPKNPQDDQVPSCNCNPV >SECCE5Rv1G0305640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:75539017:75564744:1 gene:SECCE5Rv1G0305640 transcript:SECCE5Rv1G0305640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVSISFQPFAAAPAGNFPSRTTNVRYPRDFVKLPTVGRSSGYRAMNSGPNSASRSETTGEHDYAVGENDGVIIVDHGSRRQESNLMLNDFVTMFRARTGYKIVEPAHMELAEPTIKEAFGKCVQQGASRIIVSPYFLSPGRHWKQDIPSLAAEASKEHSNVAYIVTAPLGLHELMVDIMNDRIKYCLRHVAGDAGECAVCAGTGKCHLYS >SECCE4Rv1G0220980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:43509490:43510773:1 gene:SECCE4Rv1G0220980 transcript:SECCE4Rv1G0220980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSSSRNHRSKGLKLKKALQISLLVLVSVWLLYQVKHSYEKKTAYNENDATNSEASDEHKDEQSQVGIIKLGRKDLPAKEADSSTLDERIEDEENEEMEQVMKRDEHDDDPIDEPDLDKDEDLPEPGEHSANKDEGSDGRAVFEDEERKERSQEDQEKSFHGDDVSSAVTHDPPSSQQDALTHHAQEKILFVDDASTAVPHENQEPEHKEEEDRKAREKSSRSENISSSVDHDAQLTNPLPDEQLKNMDRIFEGTTNLSNGITFRGPGVNGSNAVGQHEASGSNASSNPNMSTPSMISESKTDPTPVNVTSSHAVSGTSNSTSLKDQHEQPVNSTAASTNQTQLLADLTSAELNSPPNGTTVASGSTDAQKATSRDGDNVGNNTNTSSTPASNKEDGGDNTQKEDLDVSTKIMNKAIGEGEVLLE >SECCE6Rv1G0415360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:641058695:641062586:-1 gene:SECCE6Rv1G0415360 transcript:SECCE6Rv1G0415360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPRKVEDEMALGRQRTVRFYDERAKPAIPIQQKQAAFAASKLGVASSGKNRIFVGGDAQQNKIFDPSSDFILMWNRIFLFSCFLALFIDPLYFYVPKIVYGDTYSCVGTDTHLTIIITFFRSIADLLYVIHIIMKFRTAFVKTSSTLRVFGRGDLVTDPKEIAWKYLRSDFAIDVVAALPLPQIIIWYVIPAIKYSTAEHNNNILVLIVLAQYLPRLYLIFPLTYEIVKATGVVAKTAWEGAVYNLLLYLIASHVLGALWYLLSVDRQTACWKMSCRNETGCSIRYLDCDTPNQTWASTSSVFSKCNASDDKISFDYGMFQPALSNQAPAQGFLRKFFYSLWWGLQNLSCYGQTLSVSTYIGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPDELRERVRRFIQYKWLATRGVNEESILQVLPADLRRDIKRHLCLDLVRRVPFFSQMDDQLLDAICVRLVSSLCTKGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSTTLKAGDFCGEELLGWALVPKPTASLPSSTRTVKAQIEVEAFSLQAEDLKFVASQFRRLHSKKLQHTFRYYSHHWRTWGACFIQAAWRRYRRRKMAKDLSMRESFPSMRSEDSDGEDDPPPKKNISLRMMAGKVMAGNRKGLQAIKELPTLKKPDEPDFSVEPYE >SECCE4Rv1G0252640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:616296943:616298039:1 gene:SECCE4Rv1G0252640 transcript:SECCE4Rv1G0252640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLGKRHRNSSSMRRTTSMSGFAVPPEEEAGRQPTRAARGASAAPAQTEWGAASAAFQRRHSGDFNAAVETAAFLKACGLCCRRLGPGRDTFIYMGEVAFCSLECRQQQMNLDELKDKKCFPATGSGGSDGTSGTVAAA >SECCE5Rv1G0364510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:801892622:801892882:-1 gene:SECCE5Rv1G0364510 transcript:SECCE5Rv1G0364510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTGDPQASSVLRIVAELGYLSSIAAGCWFDNNLYRYGMGYMPTFLYWSRTLRALGDPFVAWNLFWLVLDKIDSPMARPFARGRL >SECCE1Rv1G0021910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:276105000:276106235:-1 gene:SECCE1Rv1G0021910 transcript:SECCE1Rv1G0021910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKGAADKDKDKKKAPVSRSSRAGIQFPVGRIHRQLKQRVSANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTSKE >SECCE6Rv1G0447870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:852267703:852269445:-1 gene:SECCE6Rv1G0447870 transcript:SECCE6Rv1G0447870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHARRRHAVARCRLLIAAAAVALSLAAVLCFSRVDPAGLLAAPARALQLVPPRRLHVRLGAVKGTTATATPAAGRKSRSPPLETEAVLLPDWEVLVLLRPRAQDDAGGNATCTFGRGASSPARALGKLASSGRRAYLCVVPVPARRQRRLRAPRLVISSPASSSKVSTGTGGGRSPEILRWSSRLVFESAVVHGGDVLVFAKGVNPRQGVNRAASDIRCVYYRRAGAGAGDALVASLPAATSAQQVFRCPPPRSAATSQELRVTLAVAGEEPLPSLAVYTPPRSGSSTTPAPEKKLICACTMVRDVAKFLPEWVVYHAAIGVDRFYLYDNGSEDDLEDQVHQLNSAGHNISTVTWPWAKAQEAGFSHGAAVLRDSCEWVAFVDVDEFIFSPRWNNSETPTKSMLRSVVSSAEPDVGRVSMRCADFGPSGQTMNPKEGVTQGYTCRRRVEERHKSLLRLDAADDSLLNSIHHFTLRPGFRVEWSKRVRVNHYKYQAWEEFKVKFRRRVSTYVADWTDPVNLQSKDRTPGLGFEAVEPVGWTHKFCEVNDTLLHDATRRWFGVGFGNNLTTVGHSSSSSS >SECCEUnv1G0563730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:376653352:376654119:1 gene:SECCEUnv1G0563730 transcript:SECCEUnv1G0563730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVAAAATECRLSGEGSIKRDIIINPSKGHRCRMLLQGNLLARYRLQAVGGPRTPTLLHEDAPRKFNQAFELSDPDAFFRSYSACRDTIHQMLAQTPLLSGIDLAADNWDCYLPHYLATFVADGFRRDTDKHDGVTIRYNMEMSLTIWVEVIYREPKALLLACDERATVTRCLFAATPTECPICMEDFANDDSYTSDDSDTTVRVRLPCSHSFHLGCILPWFYKVAKCPKCRHDLGKYLVAATDTPMGKFPGRL >SECCE5Rv1G0328040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:499377266:499383988:1 gene:SECCE5Rv1G0328040 transcript:SECCE5Rv1G0328040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSTRETTSGVDELCARDFYNLRGVDDAAKASKKRQLRMREERIQNRRLKKQRKRREAEETVERALKLREELRQNRLDFEASVKGREEEYYYTPRWRKAVPVDKLEKPLPDFEWIPYHSMDEFGRVSELKHTSWRVEELTLQLAKSTVGLESYSGNKHLFSCSGTIVEFLMGIGYVVTSASLVKWPHQEKQADQLKIDVHLPSGEVLEGSVSNVDFSYNICVVEVPSTLQLPTKSFSADTRIFNFYERHPRDVVAVGRLCKPWSLNAALGKLVPKRSQFDCEELLVSSCKISKRGVGGPLMDFDGNIIGMNFYDKKETPSLPGFIVLKCLQHFNDFKKVIRPLHGLRVRTLHEDEQLTALEKIYHWFPEVRGVIVEKVEAPSAEHSEIKVGDIITHVNDVPFSSAAELGGILLDTCAQHMLERQKLDPTKDGNQMEAVISLKFDVKTVRGRRSEATTRTINVGRFNPTSGFNRWPLGRHYLVRRVEDGTLFTEKRRG >SECCE1Rv1G0035850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:502316317:502319514:-1 gene:SECCE1Rv1G0035850 transcript:SECCE1Rv1G0035850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRVLPEHAGSTKSPRSPRAAPGSDRRHPRPFAKSLDFSNWAADHSSKLLLLLFAVASVAAVFLLRGAGPDAAALLCLDRSSSRSASGPTKLPYPDVAWSKIPPLAIASAVPFASFRAERWIVVSVSSPPTAALAALARVKGWQLLAVGNSHTPSDWDLKGAIFLSLDLQALLGYRSVDFLPYASHVRKTAGYLFAIQHGAKLIFDADDRAEVPGNDLGKHFDVDLGSGIANHPVLLQYSHADPNRTVVNPYVHFGQRSVWPRGLPLDKVGEVAHEAFYTEIFSGRQFIQQGLSDGLPDVDAVFYFTRKPPTAPFDLRFDPEAPKVALPQGMMAPVNSFNTLFHAQAFWGLMMPVSVSSMAADVIRGYWAQRILWEIGGYVAFYPPTIYRKDHVQAYPFAEEKDLHVNVGRLIKFLNEWRSNKQSLFEKILDLSYAMAEEGFWMEQDVRLTAAWLQDLLAAGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVLIMHVSGPVDRVALEWRLLYGRIFKTVIILAENSNAELAVERCALSHAYKYLPKVFARYGGADGFLFLQDHMILNYWNLLQADKEKLWITDKIAHSWVTIPLESNKEEWFVKQGAMVKQVVGSSPVHFQSKYKESMGEDKIVFCGSELFYVPRQFVEDFGDLVGLVGNLDLHHKIAVPMFFMAMDSPQNFDSEALVGTVFKTNLAANETFSSIYTAQSPAVYPVKVMNEIDFIKVIRLMSKGDPLLMELV >SECCE2Rv1G0079620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:121664970:121665483:1 gene:SECCE2Rv1G0079620 transcript:SECCE2Rv1G0079620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSEEEKNGNFGCEFVKCESKPEGQIVKKCHLFEWMDDYIQRLQGLGLLDSRGNAIREFNLPHDSAAPAAAARPEYPTMVDVELKMELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCEUnv1G0527800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:2263607:2267364:-1 gene:SECCEUnv1G0527800 transcript:SECCEUnv1G0527800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSYVSIAAAVVLLLISAPAAAAGPPRMKIGMPGCNTTCGNVSVPYPFGMGPKSCYWPGFKLTCNNNGSKPPRLLLGEGSGAVFEVVDISLKKSTMRIVNRGLRAINMSDGSGQWIIADAETIGAGGVAYLLNPGSNEFILTGCNVQATLLANRSLASGCASFCPEFGDGISATQTYKRGNSKACSNIGCCQSTIQTASASYGVELKQLNYSGVYSNFSSPVNVLIAEVGWFDVDHNLEVVMNLDWRANKNLSREADQLRVPVILRWALARNTAVYNTGHSRCPHDAARSICKSTNSNCSVGNTIDEIRGYSCHCKEGYEGNPYLTGGCQDIKECNQKEKHFCFGVCEELSGSFRCRCPNGTHGNYTMPDGCIIDSVDTIRGNRNLGLVIGLSVASGPFILLLVLGALLITRGFKQHKAKVLRQKFFSQNRGQLLKQLVSHRADIAERMLISLEEIEKATNNFDQARKLGGGGHGTVYKGIMLDLHVVAIKKSNIVVKREIDEFINEVAILSQINHRNIVKLRGCCLETEVPLLAYEFIPNGTLADHLHTEERESLPWKDRLRITSETGKALAYLHSAMSVTVIHRDIKPSNILLDDALTAKVSDFGASRYIIPMDQTGTTTAVQGTIGYLDPMYYYTGRLTESSDVYSFGVLLVELLTRRKPSAYRSSEGDGLVMQFVALLAEGDLEKILDPQVIEEGGSEVKEVATLAVECLKLRAEDRPTMRQVEMALEALQTPKKRVRDYLTEETYEKEYAATGFPSTSQQAKTNEASRCDIQEEEFLLSAAYPR >SECCE2Rv1G0115790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:756422886:756424309:1 gene:SECCE2Rv1G0115790 transcript:SECCE2Rv1G0115790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPDVEAAGPARATTGIKPPPGRYNAGGDGQHPPVAPFYYGQEPERERQHHTWLVPVVVLANVAMFVVVMYYNDCPRNGRGADCLGGGFLRRFSFQPLKENPLFGPSSATLGKYGGLDRYKVVHGDQGWRLETSTWLHAGLIHLGANMISLIFVGVRLEQQFGFWKVGLVYLFSGLGGSVLSVLFIRNGVSVGASGALFGLLGAMVSELITNWTIYTNRLAAMANLIIIAAINLALGILPHVDNFAHIGGFLTGFLLGFVLLIQPRFGWLEQPFGGKTKSKYTACQIVLLVVALLLAIAGFAVGLLMVFRGVNGNDHCSWCHYVTCVPTSHWKCDN >SECCE3Rv1G0165890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:180093907:180100895:1 gene:SECCE3Rv1G0165890 transcript:SECCE3Rv1G0165890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLLPTLSLPVSRATAFLLGTPLKSLRSHHPLLRSLLSSSSYLSTPPTPRSLVTMASAAIPARRDVLMLGIETSCDDTAAAVVRGNGEILSQVIASQSDLLVKWGGVAPKMAEEAHALAIDQVVQKALDDANVSESDLSAVAVTIGPGLSLCLRVGVHKARKIAKVFRLPLVGVHHMEAHALVSRLVNKDLDYPFLALLISGGHNLLVLAQNLGEYVQLGTTIDDAIGEAYDKSARWLGLDIRKGGGPALEELALEGDPNSINFRVPMRQHKDCNFSYAGLKTQVRLAIESRNLCTDDIPISSATEEDRQLRANIAASFQRIAVLHLEDRCQRAVEWALKMEPSIKHFVVSGGVASNQYVRARLNHIAEKNGLQLVSPPPSLCTDNGVMIAWTGIEHFVAGRFEDPPPVDEPDDMQYDLRPRWPLGEEHSEGRSVSRSLKTARIHPSLTSMTQSSRS >SECCE6Rv1G0399880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:444555396:444557975:1 gene:SECCE6Rv1G0399880 transcript:SECCE6Rv1G0399880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRHCVLLASLLCAVSAAFTPVSGGGSGLGPITTNGRNYTKVCDQARFAAMGLEMAGFRYCDASLPYADRVRDLVGRLTLEEKVRNLGDRAEGAARVGLPPYLWWGEALHGVSDTGPGGTRFGDVVPGATSFPLVINSAAAFNETLWGAIGGAVSTEIRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFVVGRYAVNFVRAMQDMDGGGPGAGADPLSRPIKVSSCCKHYAAYDVDAWLTADRLTFDARVEERDMIETFERPFEMCVRDGDASCVMCSYNRINGVPACANARLLSETVRGEWQLHGYIVSDCDSVRVMVRDAKWLGYNGVEATAAAMKAGLDLDCGMYWEGAQDFFTAFGLDAVRQGKLRESEVDNALRNLYLTLMRLGFFDGIPELESLGANDVCTEEHKELAADAARQGMVLIKNDHGRLPLDTNKVNSLSLVGLLQHINATDVMLGDYRGKPCRVVTPYDAIREVVSATSVHVCDNGACGTGADVKTVDATIVIAGLNMSVEKEGNDREDLLLPWNQTNWINAVAEASPYPIILVIISAGGVDVSFAQSNPKIGAIVWAGYPGEEGGTAIADVLFGKYNPGGRLPLTWYKNEYISKIPMTSMALRPVADKGYPGRTYKFYGGPEVLYPFGHGLSYSNFTYASDTAGASVKVRVGAWESCKQLTRKPGTAPLPCPAVNVAGHGCQDEVSFSLTVANRGSRDGTHVVMVYTVPPAEVDDAPLKQLVAFRRVFVPAGGAVQVPFTLNVCKAFAIVEETAYTVVPSGVSMVLVGDDALSFCFPVKIDLAV >SECCE4Rv1G0228000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:130446276:130447727:1 gene:SECCE4Rv1G0228000 transcript:SECCE4Rv1G0228000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMASVHLVPEVVMADILRRLGPHDLAVSRCVCKPWCAVIDAGRMLPAHSHLLPLSLAGFFAVSWTAKLTAYFSRPCASAVVGKFDYLDTHEACALSVEDQCNGLLLLHRPTLWPDDQRVINPVTRQWAKLPPYPYMFSPCMKGDYQREDMYLVFDPSVSPHYEVFLFHSVPYNCYGDDLVEGSFDDALDPAAKNMEWPPSSYTLRVYSSNTRQWEEKPFIRQGEAAGTIGDMESALSPGHCHAAYWQRALYVHQHDFVMRITLSNHKYQVIKLPLGLHVETDEPEHYLGKSEKGVYCALLYGNNLLGLRIWFLNETCGQMEWLLKRDIKLGNLLANFPWKYGDRSWTTQYVNDEDGKSRATAVAQFESDYSNKDDNVTTTKYTVSLLGFHPYREIIFFRTSFGRAMAYHFNSSNIEDIGYLQGKGPHDYASGSFPYTPCRMGELSNNM >SECCE4Rv1G0215960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8423998:8431590:-1 gene:SECCE4Rv1G0215960 transcript:SECCE4Rv1G0215960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFPGCSSEFTGVEFGEAQLVQIKIISGGLWASKGASYIMDLQNLGRSAEKILEVNGDKFNVLASKFLSTWVGPGARRRVPELKTNGEGSVHHPLSAKESIRAAITYLSRKWYSRAALFWRNIKQVSDNALQLMVRSNWDDFLHLIKDLQLPSMDHLLSNIVKWFEKRSKSFEPTYLYGVEKGYFLLSEVAKNNHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGQGYLYNFQTKELYDLSYGHEPPTGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRSRAHIHQQTGVQITSSTIYTSTLHIARVNVRDPGTINDDAGAAHEADPLLAQDEPTRNRQEGGHQLNENAEAAAGNPLQYEDQNLEQAGNAPAASGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHHGGQDYAAPPRNENEQVT >SECCE6Rv1G0419950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:674402471:674404947:-1 gene:SECCE6Rv1G0419950 transcript:SECCE6Rv1G0419950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALVMQLMLLLLLLVTRVSAQEQQQPPQQVVRPGCRDKCGNITIPYPFGIGAGCFRNDGRGGFELECNDSRSTPRLTVAGYGIRITRLSIIASEARALLRATRRCYDSKRRIIGRSGATFVSLIGSHYLFSQARNRLVALGCSNLGYFVDAAGYYVSGCMAVCGPQQFTVSGSCTGVACCQSTIPPAIDYYKPYVLDFTKEQKDRDIVFYSNSTTCRYVFLVETKWLSTTLAGDSKYLNRTGDFAAPVILDWAVRNVGNCSTAMRNTTDYACQSTLSDCVDSKNGIGYRCNCSKGYEGNPYLKDGCRDINECEHNEEQPCYGVCANTLGSHTCQCPPGTSGDATTKTGCRPKDNFTLALKVVTGVSVGVFLPLFMCFWLYLGLQKRKLIRTKQVFFELNGGLFLQQQISNYNGTSTSTGGFKIFSKEELEKATSNFAADRILGRGGHGIVYKGDLEDKTVVAIKKSKMTEEVPTKEFAREMFILSQINHKNVVKLLGCCLEVEVPMLVYEFVSNGTLYQYIHGSRGLDSDTGFHTCLRIAVESAEALAYMHSSASPPIIHGDVKTANILLDDKLTAKVSDFGASKLVPTDEAKMATLVQGTCGYLDPEYLMTCRLTDKSDVYSFGVVLLELLTRKKALYFDGPEEDRSLVLCFMMAVKAGQHQDLLDSQVRDEMRVEALEEITHLVMRCLKMSGEERPTMKEVAERLEMLRRYHHHPWAQADANPEEGQSLLAMEQQNVNYKFTQDYVLDFEASSTYSFSL >SECCE1Rv1G0003560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14667609:14669367:1 gene:SECCE1Rv1G0003560 transcript:SECCE1Rv1G0003560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEELEDESDEEIEGFEPLLYDQAKWVAWAVEEKERFRREEEEKDRKREENRRRREAHDAVMDSIIEYDPKMERDVYTRFFLRDFSVFNIDEESSVPPMRYTDSIYEDELGLQDSANILSVSIVSSDVGFPVNVYGRVIARDSIDYKCIYLFHHNKDDCQRVDKDGMLILTGPSRGLVLVDFIYLEIDLKIREDGVSPDRQFSKGLISIDGRVLSREDDVVVRSETLESWLSTTEVRFATVLNAVECTIEIKLLEGCFKGNIIVGISDKARNLDTEQTIVIHDSKTDGMVLSDPSGVMKLRQSVITICLERMLVFHMNNKAAGVCAERTFDLTPRRTGADEVEITCGAGKIGFRVVWSLMDFRL >SECCE2Rv1G0067850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20297996:20299504:-1 gene:SECCE2Rv1G0067850 transcript:SECCE2Rv1G0067850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMETDLAAAKIFPLPVDSEHKAKSFKLLSFAAPHMRAFHLSWMAFFICFVSTFAAAPLVPIIRDNLNLTKRDISNASVASVSGSIFSRVAMGVVCDLLGPRYGCAFLVMLTAPAVFCMSLVHDPAGYIMVRFLIGFSLATLISCQYWMSTMFSGNIIGAVNGLAAGWGNVGGGATQLVMPLVYEAIRSRCGATPYSAWRVAYFGPGTLHIVIGIMVLTLGQDLPDGNLWSLQNKGQVAKDKLAKVAWGAITNYRTWIFVLLYGYSAGVELCTDNVIAEYYYDHFHLGLRTAGTIAASFGLANIFVRSMGGYFSDVGARYFGMRARLWNIWILQTAGGAFCFWLGRASSLPASVTAMVLFSICAQAAEGAIFAVIPFVSRRSLGIVSGMTGAGGTFGAAFNQLLFFTSSNYGTGQGLQYMGIVTMACTLPVMLVHFPQWGSMFFPANVGADEDKYYGAEWSEEEKSKGLNARTVKFAHNCRSERGRHRNAILLANDTNQHA >SECCE6Rv1G0426200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712262294:712263106:1 gene:SECCE6Rv1G0426200 transcript:SECCE6Rv1G0426200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYRVAAAIAPLYFALGLGYGSVRWWKLFTADHCDAVNRLFVYFAFPLLCFDFTARAGSFAAGYRVLAADAVAKLIVVLALVGCAAARGAKAATRRGGSAPSSYSWCITGFSLAALNNALLVGVPLLDAMYGKWAGDIIVQLSVLQVVVWFPLLLIVFEARQAWLEVTSEPADGDQSARVEGDLSALAEDGTQSQAALESDFEWPAQGENGRKTAATGCAFWAPLLRAVGVKLARNPNVYASLLGVAWSSMANRFACAMLHNRIG >SECCE4Rv1G0216460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10881557:10883320:1 gene:SECCE4Rv1G0216460 transcript:SECCE4Rv1G0216460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGNLTASPAATAIRQIRQAQRADGPASVLAIGTANPANCVRQDGYADYYFRVTNREHLTKLKSKLHRICKSSAIEKRYFHHTEEMLRDHPELIDRTLPSLDTRMAIAATAVPELAAAAATKAISEWGRPATDVTHLVASTYCGAHMPGADLRLASLLGLAPSVRRTMLYLNGCNSGSTALRVAKDIAENNRGARVLVVCAELTLILLRAPEDEADKATLIMQALFGDGAGAAIVGADVNRGSVERPLFEMVAASQTVIPESEHAAAGRLSEDGLLFRPAVEMTTMIRENVEQCLVDALGPLGLSGGWNRLFWAVHPGGRAILDGVEAVLRLDPEKLAASRHVLSEYGNMSGPTVLFVLDEIRRRRGEHGVERDGLGVLLGLGPGISVETLVLHAHW >SECCE5Rv1G0326260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:475613331:475620282:-1 gene:SECCE5Rv1G0326260 transcript:SECCE5Rv1G0326260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSVSGSTGPGGVSPDAIIEWLQDEMGYPSAPPAPEQLRKICRGNMLPVWSFLLRRVRSERTVATARRNILVHGVAARRAREGGVGAGGGDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQVMLEAYDQQCDEACKIFAEYQRRLHHFVNQARDVRRSSIGVAGSVDAVEEMQLQSERDDLYSTVKNNRLSEDLVLIETSRERSIRKACETLAADMVEMIRSSFPAYEGNGINSSCQIDVAKLGTDLDGEIPADVKAVALDSLKNPSLLLQSVNSYTSRMKMLVHKETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKIGSELSTRGTHDQLLERQKEHVQQFLATEDALNKAAEAKALSQKLLQRLHGTVDATGSKKLPTGNTSQNVTNSRHLELDVWAKEREVAGLKASLNTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYSALLRANMEASAFWEQQPLSARGYASKTIIPACNAVVDMSTNSRDLIERELAAFGQSLENSLCRLPATPQALLEAVGSNGATGSEALASAEKQAAMLTARAGARDPSAIPSICRISTALQHNSVSSGTEGTDSGLASVLSALEFCLKPCGSEASILEDLSKAINLVHTRRNLAENDRVLLNRAHRAQQEYDRVANYCLKLAGEQEKVVAERWLPELRNAVQEAQRCFEDCRRVRGLVDEWYEQPAATIVDWVTIDGQSVGAWINLVKQLHMEISRRTLAMSSAGEE >SECCEUnv1G0536460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:64115728:64115985:-1 gene:SECCEUnv1G0536460 transcript:SECCEUnv1G0536460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLLAFAGARIFVQVFQVSAPLLWPLNLWLPLARRLPEACAVARAALAAHVAWLRRACRPGAAVWSRLDDGVYRRAVLDVAYY >SECCE1Rv1G0063690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726428009:726431710:-1 gene:SECCE1Rv1G0063690 transcript:SECCE1Rv1G0063690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFQSACKAARSFLASSSAPAPRAASSLLADGRNAALATLANIGRNSTIPAAYAHRTNAAASSHAYTWIAAIPAAVYMLQDQEVHAAEMERTFIAIKPDGVQRGLISEIVSRFERKGYKLVAIKLVVPSKQFAEKHYHDLKERPFFSGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIALWFQPKELVSYTSNEEKWIYGVN >SECCE5Rv1G0302850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:42318372:42318793:-1 gene:SECCE5Rv1G0302850 transcript:SECCE5Rv1G0302850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKLKVPGLCLLLLIMPLLLLPGSQGATCKELSRTYTSPNCATDRCVEHCQVEGFPNGVCEGNYFDPYKIVCFCNKNC >SECCE2Rv1G0140000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929824794:929833522:1 gene:SECCE2Rv1G0140000 transcript:SECCE2Rv1G0140000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium efflux antiporter, Chloroplast development, Drought toleranc [Source: Projected from Oryza sativa (Os04g0682800)] MDLSRFASPRPPGLQIGGGARSGSLRGACSLRRPRHRGGVHGGGGGNLMVASALRGGGLFYAAPKHGGSARLRLRRRCQGNDSLAYVDGPLEGTKGSSSSSSEGSDEAAGSDGEGRARSAAAVDVDELRDLLQKARKELEVARLNSTMFEGKAQRISESAIALKDRADSAQTEVSAAVATVQEIISKEDDAKEAVRKATMALSMAEARLQLAAEALDAKRGSVGQLEVSLDDVEEEALASAQEEIKGCQASLSKCEEELGRVQGKKMELQKEVDRLTELAERALLDASKAEEDVSNIMVLAEQAVALEMEAAKRANDAELALQKAEKAVESVVDLVPAADGHMSDEEDDLSDVYDYSGDGMLDISERDEVSNVERLMQISDLAAEGIEPLEPSNEMPIEERSDKLLVESQKEAEPDVDKSKQGKKQDTERKESSKSSLKRSSRFFSASFFSSKSDGEFTPTSVFRGLMISARKQAPALVAGILLLGAGAFFFNRAEKNSQLFQQPGITTTSIEEVTSTAKPIVREIRQLPQRIKKLIALLPHQEVNEEEASLFDVLYLLLASVVFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRNVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTTAVVGLAAHRFAALSGPAAIVVGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAILEAMGMAAVKAIAAITAIIAGGRLFLRPIYRQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLFLSNFPAISLILGLLIIGKTLLVTFIGRVFGISTIAAVRVGLLLAPGGEFAFVAFGEAVNQGLLSPQLSSLLFLVVGISMAMTPYLAAGGQFIASKFEQHDVRSLLPVESETDDLQGHIIILGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRAVWALSKYFPNVKTFVRAHDVDHGVNLEKAGASAVVPETLEPSLQLAAAVLAQAKLPMSEIATTINEFRNRHLSELTELCTTSGSSLGYGFSRVMSKTKPVVSDDESDTIDGALAI >SECCE3Rv1G0212630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956038854:956039684:1 gene:SECCE3Rv1G0212630 transcript:SECCE3Rv1G0212630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTTGAMASLLLKLVELLKDEYNLQKGVKKDVEFLERELRSMHAALLKVSEVPRDQLDKLVKIWADEVRELSYDMEDVVDGFLVRDEDSEPTADLHKLTRFMKKMGNLFKKGRSRHQIADAIKDLKDRVQEVTDRRDRYKINDLVPSPAATTTVDPRMLAMYKDQKEIVGIDVTRDEVIKKLIYGKSKKQLMTISIFGFGGLGKTTLAKAVYDTIKEQFDLGAFVTVGRNPDVKEVFKDILFQLDKKKYTEFSGALTLREMQLIDLIRGLLNNKR >SECCE7Rv1G0455390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6319697:6323672:-1 gene:SECCE7Rv1G0455390 transcript:SECCE7Rv1G0455390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSDQYRSSSSSGGSPSAPAGGGGAGRRYYFPRPGRPVSFEDSPDWGDDITVDAAGAAHSSSSSIHLASASAATSAYPSPSPSPSLPGPSASASASGFRERKVAGAALVWKELSVSVRAGRRPGRVVRGSSGYALPGTLTVVMGPARSGKSTLLRAIAGRLRGAERMYGEVFVNGVKSPLPYGSYGYVDRDDVLIESLTVREMLYYSALLQLPGVFSSKKSFVEDAIAAMSLGDYADKLIGGHCFTKRLPTGERRRVSIARELVMRPHVLFIDEPLYHLDSVSALLLMVTLKKLASTGCTVIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKSSAESAAVESMIAKLIEKEGPYLKSKGRASDAARIGILTWRSLLIMSRDWKYFWSRLVLYMLLALSIGTIFIDIGHSLSSVVVRISAIFVFVSFLILLSVCGVPAHIDEIKIYSHEDSNRHSGTLVFLLGHFLSSIPFLFLVSISSSLVFYFLIGLRNEFSFLMYFIITIFMCLLANEALMMIVAYIWLETYKCTLTLICLYVIMMLVAGYFRIRDAMPAPVWDYPLSYISFHTYAVQGLVENEYVDTSFAVGATRTIPGVQAVRGSYGISSSTGAKWMNLLVLLLMAIGYRVVLYLLLRLDVRRHVRLGRRSCWPNIHTTAAPK >SECCE1Rv1G0041460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:571328528:571335718:-1 gene:SECCE1Rv1G0041460 transcript:SECCE1Rv1G0041460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKNFLERRSESSGHDAKVALSVDKVESVVEENSENGSSVLLHERSAQGPHKIVSWEPIRSSLGNIEQMMGLRVEKKYLSAGRLQADESTHPVTVEESKVSADSDDEFYDADKVDPSQEVHPGDVNAETGNTSQEETYSLKEELECLVHGGLPMAFRGELWQAFVGVGARRVEGYYGSLLASEGELEDGVCSDSLASEGVDGRPEVLSAFSSEKCKGQIEKDLPRTFPGHPALDDVGRNALRRLLLAYARHNPTVGYCQAMNFFAGLLLLLMPEENAFWTLAGIIDDYFDGYFSEEMIESQVDQLVLEELVREKFPKLANHLDYLGVEVAWVTGPWFLSIFTNVLPWESVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVNETGLQDLRNKHRPSVLFSMEERAKGLGVCKESGLASKLYNFKREPEPLVSINDSADQMSDVADGDVNQEGDSGDLDNMYGAVTVNSEIDSLPDPKDQVTWLKFELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKAEQLEHELSELKQALSDKQEQEQAMFQLVMRVEQELKFAEEARISAEQDAAAQRYAANVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKALSPLPSPRASAQDDSFQESQSRRINLLGPFSLSWRDKNKGKQNNASDCTDAKLTDAHDQREEAPSIYDEKHGETQKQDGEWTVESPKGNDKAESPKKDRVHIIMNDMNGQHGQLQEIQLD >SECCE2Rv1G0127080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:850816622:850823044:1 gene:SECCE2Rv1G0127080 transcript:SECCE2Rv1G0127080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRVAGPSSAVPAHRRQARSCLPAALSPSLANSFLSHHHRTNQPLGLASAHATQRDFTGAHRQEFFSRRHHRPPPLYSLCVSTQVTSHSCSAERSLVGQNASLQNKEREARIKKKLQNPELPPSPYDTAWVAMVPLRDYHRAPRFPQCVNWILQNQQDNGSWGNGEFDSSTNKSILLSTLACVIALKKWNVGTEHIKRGLHFVGKKFSLSMDEQIAAPTGFNITFPGMISLAIEMGLEFPVRQTDVDGVLSIRQMELQRFAGDKSDGREAYMAYVAEGLGNLLDWNEVIKFQRKNGSLFNSPSTTAAALIYYHDEKALEYLNFLVGKFGSAVPTVFPTNISCQLSMVDSLEKTGISRHFSGEIKSILDMTYSLWLQRDEEILLDVATCAMAFRILRMNGYDVSSDELYHVAEASTFCNSLQGYLDDTKSLLELYKASRVSVSENEPILDNIGCWSGGLLLKKLCTDGVHRQPIFGEVEYAINFPFYATLERLDHRRNIEHFNVMGSQMLKTTYLPCHVYKDHLALAIEDFTFSQIIYQDELLHLESWVKENRLDRLQFARPKLTYCYLSASATIFPPELSDARITWAKCSLLLTISDDFFDVGGSREELENLVALVEKWHEHQELQFYSERVKILFCAIYTAVNQIGEMASVVQNRDVRKHMIELWIDALRSMTIEAEWARSQYLPTTDEYMTNANVSYALGPIVLPSLYFVGQELSESVVKDPEYNELFRLMSTNGRLLNDIQTFEREDSQGKVNSVLLLILQSGGSMSTDAAKKVIHESISSCRRKLLRLVLREDSVVPRPCKELFWSMCQINQLFYSRTDGYSSPTEMVGAVNAVIYEPLKLQISNSSSVVKAVK >SECCE7Rv1G0507890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:766610616:766611611:-1 gene:SECCE7Rv1G0507890 transcript:SECCE7Rv1G0507890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLHSEAMESSENRPSEAVSSKTHTDNLVATFPTREGWSTPLHLYNNCWIRPHSLKDVMAVRDKLKPRPDDIILAAHPKCGTTWLKALMFTVLNRSRYTFTDHPLLTVRPHQLVPFIALRPGDLDRAEMMPSPRLLSTHLPLPFLPPAVATLGCRIVCVCREPKDAFLSRWHFEKKLHQGISLGKDELFAMFSEGCSPYGPFWDRYLEYWKESLARPREVMFLRYEEIVSDTPKVIRKLASFLGAPFTREEESGGVVDQVADLCGFTSLSNIGVNRAERVEHAGGKFVVNPSSLFRKGEVGDWVNHMSKDMAARMDQLVAEKFKGSGLTF >SECCEUnv1G0537550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69198200:69201799:-1 gene:SECCEUnv1G0537550 transcript:SECCEUnv1G0537550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPLLPATTAAPMRHLFLPLLLNLLLLFTSTSTSPTTAAASTPSSPNPEVAFLSSWLAASPSRPPDWAPAAASPCKWSHVACDAAGRAVVSVTFQSVHLAVPAPSGLCAALPGLVSFVVSDANLTGGVPADLALCRRLATLDLSGNSLTGPVPASLGNLTALESLVLNTNLLSGPIPAELGGLAGSLKNLLLFDNRLSGELPAELGGLRRLESLRAGGNHDLSGPIPDSFSKLSNLAVLGLADTKISGQLSPSIGNLKSLQTLSIYTTMLSGSIPPELALCANLTDVYLYENALSGALPPELGALQSLQKLLLWQNALTGPIPDSFGNLTSLVSLDLSINSISGVIPPSLGRLPALQDLMLSDNNLTGTIPVQLANATALVQLQLDTNEISGLIPSELGRSLTNLQVLFAWQNRLEGAIPVTLASMASLQALDLSHNRFTGAVPPGLFLLRNLTKLLILSNDLSGVIPPEIGKAASLVRLRLGGNRIAGEIPAAVGGMKSIVFLDLGSNRLTGTVPAELGDCSQLQMLDLSNNTLTGALPETLAGVRGLQELDVSHNQLTGPVPESFGKLAVLSRLVLAGNALSGTIPAALGRCRALELLDLSDNRLSGSIPDELCSLAGLDIALNLSRNGLTGTIPARISQLSKLSVLDLSYNAFAGSLTPLAGLDNLVTLNVSQNNFSGYLPDTKLFRQLSASSLAGNSGLCTKGGDVCFVGVDADGRPMSVTASDDAQRAHRLKLAIALLVTATVAMVLGMIGILRARGVGVKGNGGGGSSDSEAGGGELGWPWQFTPFQKVSFSVDQVVRSLVDANIIGKGVSGVVYRVSLDSGETIAVKKLWPATTAAAAAFKDAGRDSFSAEVRTLGSIRHKNIVRFLGCCWNKSTRLLMYDYMANGSLGAVLHERGAGAQLEWDVRYRIVLGSAQGLAYLHHGCSPPIVHRDIKANNILIGLDFEAYIADFGLAKLVDEGADFGRSSNTVAGSYGYIAPEYGYMLKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGQHVVDWVRRHKGGAGVLDPALQGRSDTEVEEMLQVMGVALLCVSPVPDERPAMKDVAAMLKEIRLEREEYAKVDVLLKGGGSQAKDATSTTMPVATTKATTSTSSTPPCRQGPGSNSNSNSSSFSAVYSSSKAKSPFD >SECCE1Rv1G0017120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:147677721:147678650:-1 gene:SECCE1Rv1G0017120 transcript:SECCE1Rv1G0017120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYVSCDWVRSVVTEDDLIKLSKIGTLGPHAELKWRAPGNECPPTPRSGEVIVFLDHISRGFSPPGSKFFRDVLATFQLHPQDIGPNSVSNICDFQVFSEVYLQEEPSVDLFRDFFHANRRTEFTSGPHQELGGISIQKRRDVVFPHAALHTHPKDWNKSWFYCVDTSPEGENPLPGYRETRIPANHPLPQRLSAAERTRFSSQLSKLRAFMANGLNGTDFLRCWLSWSILPLSRRPKLMCKYSGKPDDPQRFTKEKLSESEVVESAKKIVNPTLTDCSKVGLAPYFKKNKLPEVSPLYPALVNRPL >SECCE7Rv1G0515080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:838266899:838272869:1 gene:SECCE7Rv1G0515080 transcript:SECCE7Rv1G0515080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex component-related / COG complex component-like protein [Source:Projected from Arabidopsis thaliana (AT5G51430) UniProtKB/TrEMBL;Acc:Q9FGN0] MVVVDASEFGAEGFDPKRWINAALDARHPSEPLDRFLADAEERLRAAADDAGAALERDSADALRRVPLACRDALRLRDDALALRSHLASVLQSLSLAEGSSAESITALARIDTVKQRMEAAYTTLQDAAGLAQLSQSVEDVFSSGDLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDEMVQPRLLDALSNRKIDAVQDLRGILTRIGRFKSLEVQYTKIHIKPLKKLWEDFDLKQRANRAELEKRGGEITSVSFSSWLPSFYDETLLYLEQEWKWCLTAFPEEYRSLVPKVLVETMSELNSSFVSRVNVATGDAVPETRSVAKGVLDVISGDLPKSIKLQNKHLAALIELHNMTGTFARNIQHLFSESDLGVVLNTLKAIYSPYETFKMRYGQMERAVLSAAMAGIDIRGAVSRGLGAQGIELSETVRRMEESIPQMIVLLEAAVERCISLTGGSEADELVLALDDVMLQYISNLQETLKSLRTVCGLDNTTHTDASRKDAGLEKKEAPRLVDVSEEEEWSIVQGALQVLTVADCLTSRTSVFEASLRATLARIGTNFSLSGFGSSMDKSSAGTADDNSGAPLGGRAALDIATIRLTNLPDKSKKLLTVLEQSKDPRFHALPVTSQRVAAFSDKVNELVYDVLISKVRQRLSEIARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGSEAGNDEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGAQQLAADIEYLNNVLSALSMPIPPFLSTFHACILTPRDQVRDLIKSDGGTQLDLPTAHLVSKIRRISLE >SECCE3Rv1G0146320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11152949:11155491:-1 gene:SECCE3Rv1G0146320 transcript:SECCE3Rv1G0146320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKVVTREEWEGKLRDVKIRKEDMNRLVMNFLVTEGFVDAADRFRLESGTQPEIDLATITDRMEVKKAVQSGNVQEAIEKINDLNPTILDMNPEIYFHLQQQKLIELIRVGKIHEALEFAQEELAPRGEENLAFLEEIEKTVALLVFEDVKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWTQNQLSEKAAYPRINNLSTADLEDPAI >SECCE1Rv1G0040700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:565127476:565128150:1 gene:SECCE1Rv1G0040700 transcript:SECCE1Rv1G0040700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKKHKHSKHKDKEKKDKAGGAAAAAEASFKPCGDVKGIRFGGQFIVKSFTVRRASPLELLRLLDIPPSFLSELQSLPFPSTTAYMPTSFTILAHQAWHTLTLGLGTKKSKVVLFVFESEAMKAAVDQLWPAMIPLGDVNKKLIRGLTGSEMARFKFRKGCLTIYVYAVRRLGAAGFVRADDLRRILQAVVELKDFLDHTAMLAMPSQRSITLQSRGTVAQ >SECCE5Rv1G0336360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583813544:583814816:1 gene:SECCE5Rv1G0336360 transcript:SECCE5Rv1G0336360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQDQGKLVQVVAADVGLVAPPIRYVLREENRPATIAQQAKLVIPIVDVSRLAMPDDVEEAAKLRSALQSWGLFVVTGHGMPKEFLDEILEATRKFFHLPLEEKQKCGNVIDGVKFQNEGYGIDRIDSDEQVLDWCDRLWLQLQPEDERRLQFWPQNLRDLLHEYTLESGRVTMDVLKAMAKLLNQEEGFFINMVGERFKSYSRFTYYPPCPRPDLVNGLKPHTDNSVITLLLMDKDVGGLQVLKDGHWVDVPVLGNDLLVVVGEGMEIVSNAIFKAPWHRVVTSANKERLSLAMFYQPEPERIIGPPGVLVHEKRPTMFKKCLVQTLADGYWDAFAAGDRTVDFLNVRINDEADAELEGRAVVANN >SECCE1Rv1G0059290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704904777:704907728:-1 gene:SECCE1Rv1G0059290 transcript:SECCE1Rv1G0059290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQTSYSFRMFFYASSLFFIPPRLLELPSSSPRVSSAPGDPRRPPPNRLAPSPLLQISARRRGGPSPAPFTAPPRPGLSRSAVMRRGAALLLLLAFSAAAVAAAATEDGTTGILPAGENNGTDIADPGVGRTGQNGEADPDTRQEVHGEGGLENVIAENTNTDNSMEGTSTGKDEPVQKPNDKDGNTTSSTDFLQDPLVGECDPSHRCLIEKQKFIACLKVSGDSSALSLLMDNKGANPLDVSITAPDYVILAEDTVHVEANGHNETQVSVSVSDTVSDMAIVLKVAEETCKINLETAVTRNTGRVIPMRLTPTYMLVPVFVLLGLAGLCVKLRRARKQDGGPAYQKLDAPELPVSIGGKKEADQPDQWDDNWGDDWDDEEAPSTPSNSMPNLSSKGLASRRSTKDGWKD >SECCE2Rv1G0132770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:893926384:893928714:1 gene:SECCE2Rv1G0132770 transcript:SECCE2Rv1G0132770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLSSIFTSNATSSFKAPSRKRRAPLAALAAATERVRAGTLSRQDAHHLFDELISQAATVPVRTLNNFLAALARAPPSAACGDGPALAVTLFNRMSRSTGARAVSPTCCTYSILMDCCCRTGRPDLVVAFFGRLLRLGLRLEIISFNNLLKGLCQVKRSNEALDMLLHGMPELDCAPDVFSFNIVINGCLKQGEVDKACNLFHRMIQLGIQPNVVTYTSIIDALSKSGAMDKAEVVLRQMVDQGIGPNIRTYTSLIHGYSASGQWKAAVRTFKEMVSVGVLPNAFTLNSFMDSLCKHRRTKEARDIFDSMAAKGQKPDIVSYSIMLNGYAKEGYFEDMTGLFNLMLQNGIVPDHRVFNILINAYAKRGLMDEAMHMFEVMRQQGVNPDVFDYQVIMDSLCKMGRMDAALDKFNQMVNQGVSPDKAVYQCLVLGSCSHGHFVKAKELISEAVNRGLCSNSVFFYPVINNLCKEGKVMEAQDMFDFIVGIGQRPDVIMYNSLMDGYCFVGKVEKALRVLDAMKSAGLQPTAVTYGTLLNGYCKIGRIADGLSLFREMSLSGVKPTTIMYNIILDGLFRSGRTVSAKEKFRTMSESGIPVGIDTYNIVLHGFCRNNCTDEAIELFKKLRAMNVKIDVIILNTMISAMFKTRRIEEAKDLFATISAIGLVPSVVTYSLMMTNFIKEGLLADADGMFSAMEKAGCAPDSRLLNQVVRVFLKNGEVVKAVTYLAKLDAKQLSLETSTISLILSLFSRKGKLREHVKLLPVKYQPPEMLG >SECCE5Rv1G0309730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:158722256:158727723:1 gene:SECCE5Rv1G0309730 transcript:SECCE5Rv1G0309730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTPPYPGAAEGAVPSCWMDTPRTEERRAAMRVRRRVSPSGSPTAPSHGELDDAQEMSTNSTEISSSDCYTPLSSESSDGCKNRSLGHRRKRRKPSVISSASEQSGEDSPSACESLESDDVQITPGHDYVLPQRCELSDTEKSRVVALIKEIKATVTVFVAIMLRSYSSYVTIPKEYAAVYFPHESTTITLRSPGKNKKWHPRLYKKGTMIKLTGSWLHFVRDNDVHEGDICIFVPAKGGKPFTFTVHLLHKETTDSQTEVSHESLECEGSHGQPPYILPYGARLSPSQRSAVLKKVQSIQSEVPIYVSIMTKSNLGSRHMELSKRYAAEHLPHRNVTLMFQYMGKIWNINMLFHDRKYPKRWYLIGGWSKFISENSLRLGDICLFELKKDKKELTMIVHLLRKESIDHPSGGSPVLHSNYVRASTMIASKVRVGEEPDDEEETFSSGHEEQGFDDEPIEHNYSEGASKAHMPTAPCSESNASGMIPSSEAREQVAGCSNKSFATEFPNKPSSTMEHNRIIHTERAAASEVIGLSDYRIHNSCDSIDSQGVESLAVQQQSSPSPGIGNSINRDSSSGNHRRAEHVEGESDVALQSLVRVTGQQVGDAEKGVNASGGNNNLADLPHLEPQNMAAVPSQAALSMPKENTDTQTNRSALPDVAQTQPPQGEVEEAVLSAMASPQPLQPEMQASSSREVDAQANVVIQSAQQSVAPVQLEQGETEQDLSGVALLSQPETRPSVSGFVETQSNLVTQSVQQSTAPVQFPQEQAGLSGVASAQSLVPEMQPSTPLSNIPLERGHPNRSQSSHQPEAAAGTAQPAQLFPSMMFNHPPIGDEPLKNELHRLQLHIESLDKIYELKQTQLQTECSQEIEKIKQKYDLLLEERDSVHLEQMKTLDGLLEKVVLHQSLAADFRVKFISSSAAQAKARSPPIHQIPQASQQAPTRPSGVTSTSPPVAWSSAGRPVVPGDAQRSQVDRPSTPTSSQAPRPPLPSPPVVRPPINPGNLVRTTGAPTSHMARGPPRGGHGVPSAPAPHLQRRMPPRVHSTAPANQRQQQHATSVSPQSSHVVPPVSSSPLPPSSSQPTHHGSSTRMDVDVVCLSDDE >SECCE6Rv1G0418410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:662747756:662750314:1 gene:SECCE6Rv1G0418410 transcript:SECCE6Rv1G0418410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIRGPILPRCAAPALTSGARIGGQLLRRVRMRRRASCGGGVSPGDGYDGVSTRFFGAPTQQHMHSTSSWPVRCSYGSSSDGDGAPPANFDASGEEFVDSSIMEAVELRCVSDGFVIKMRDGRSLRCVQNNPRVLRLRDSTPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNVRIPRPTIYNVVKEMTEMMGYTVRLVRITEMVHDAYYSRLYLAKIGNEEETISFDLKPSDAINIAFRCKVPIQVNKRIAYNNGLKVVQPKPTGSYVNSDQIQFTPRLDKPGDQPCFEAQEFDLVRGMLIAAVEERYKDAAQYRDRLHMLRANKKNTI >SECCE3Rv1G0183530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:651052840:651054057:1 gene:SECCE3Rv1G0183530 transcript:SECCE3Rv1G0183530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMPDQKSGDAATVAANPLEFHVCGPRNLSSISWKDLLSSSWKNANYRRMVIACFIQGAYLLELDRQEKRDERTCLAPQWWRPFKYRLAQVLVDNRDGSIYGAVLEWDHQAALSDYIPFRPTRAPAAVVALRGTLLRAPTFCRDVVDDLRFLAWDSLKGSVRFAGALAALRDAARRFGVGNVCVGGHSLGAGFALQVGKALAKERVFVECHVFNPPSVSLAMSLRGFVETAGELWGRARARIPYVGSQPAADTSGADGHSESEARASLARSGMGRWLPYMYINTNDYICCHYSDAAGVTATVAVDRGGGGGNGGGKAGVATMLVVSKGPSKFLAAHGLEQWWADDVELQVALNHSKLIDRQLRSLYAVPPAALRARS >SECCE4Rv1G0251750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:607673592:607675388:1 gene:SECCE4Rv1G0251750 transcript:SECCE4Rv1G0251750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSVTPIPITTISELKQHHSQLVRLGLASHPAHARRLLAFLARDPARLPYAARLLSHHPDPHPALFNPLFASLPPRHAAAFLSLMLSLPLHPDHFTLPRILPAAPLPLAVQLHALLLKLNFHSHAHSFNALLAAYLANARADLAFRLFGGGSSAVLDVVSWTTMVGGLCRLGLVDDARELFDGMPERNLISWNAMISGYVKAGRFLDALEVFDQMRAMGLEGNGFVAASAVVACTGAGALARGREVHQWVEQSGIQMDEKLATAVVDMYCKCGSVEEAWRVFQGLPTKGLTSWNCMIGGLAVHGRCKDAIELFHQMEREDVVPDDVTLVNVLTACAHTGNVSGGRHYFDYIVQRYGIEPKMEHYGCMVDLFGRAGLLDEAKKVIDDMPMEPDIGVLGALFGACRIHRDLDLGEAIGWRVIELDPQNSGRYVLLANLLASAGRWGDVAKVRQLMDERKVSKEAGRSVIEIDSEVCEFQCGTLRHPEEKEIFAMAKDMMRKIGLEGYAPDTSDVLHDVAEEAKEASLLYHSEKLAIAFGLLRTRPGDTMRVTKNLRVCRDCHEATKLISRVFEREIVVRDRNRFHHFRDGVCSCNDYW >SECCE3Rv1G0148570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19261818:19262255:-1 gene:SECCE3Rv1G0148570 transcript:SECCE3Rv1G0148570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTLQMRPRARSLWLLVRRLLCRGSKLHRPPAGAGDQQGDGCGERRSLLGRSGSLEDLLGPDVAGAVRRSARKDVQHALLPERQRQHHPDVAEARPAQATAEEQPLAASAAAVQQYRRFMFGGFRRRLMMRRQWRPMLVAIPE >SECCE4Rv1G0248290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:570192833:570194974:1 gene:SECCE4Rv1G0248290 transcript:SECCE4Rv1G0248290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLLLLRFVPLLLLLLAASLVPPASSASSAGKNSSVSIDSATLSFSDLTLLGDAFLRNGSVGLTRDTGVPSSSAGTVLCSRPVALRGSGNATASFAARFSFIVANQNAGSTGGDGIAFFISSDRATLSATGGYLGLFNSSVSAVAVPNDDDADAVGPAIVAVEFDTMVNAELGDPSDNHVGLDLGSPASVSTVDLADSGIVLKSGNLTTAWIDYRGADHLLEVSLSYSGVKPKRPVFSVAVNLSPYLKEAMYVGFSASTEGSTQQHTIKEWTFQTFGLPLATNASSFTNATSNLSQPAVPGVTASGGATSKKRFGRAITILGPVALAVAFMFFACVSVKKLAELSSKKDAVFLPGLLKGPRKFSHKELSIATRGFHASRVIGRGAFGTVYKAAMPGDATTYAVKRSTQAHQSRSEFVAELSVIACLRHKNLVQLEGWCDEKGELLLVYEYMPNGSLDKALYGDPCTLSWPERHNVAAGIASVLAYLHQEFEQRVIHRDIKTGNILLDGNLSPRLGDFGLARLMDHNKSPVSTLTAGTMAYLAPEYLQSGKATDQTDVFSYGVVVLEVCCGRRPIDREETDKDGAGSKNNVNLVDWVWRLHGEDRLIEAADAWLNGEFDREGMLRLLLVGLSCANPNCEERPAMRRVVKILNREADPVPVPRKKPLLVFSSSASIMLQEMAFSCSDDVRGGYPAATTTNPASPRSEGADIER >SECCE2Rv1G0111440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:700928307:700929107:-1 gene:SECCE2Rv1G0111440 transcript:SECCE2Rv1G0111440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHPVLMLIGFIILGSEAIMVYKVFPGLSHDTAKLTHLILHSIAIVLGAIGIYCAFKFHNESGIANLYSLHSWLGIGAISLYGIQWIFGFVTFFFPGAAPDVRRGALPWHALFGLFVYVLTLATAELGFLEKMTFLQSGGLDKYGPEALLVNFTALVVVLFGAAVVVAAVAPAAKVDEPEGYAPIPVIG >SECCE1Rv1G0011090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:70566397:70566693:-1 gene:SECCE1Rv1G0011090 transcript:SECCE1Rv1G0011090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRICNFGKMICSEDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTDRESPLWGLSPSMKQSTQSWYKQGESDCLIKTKPCDGPRGC >SECCEUnv1G0567990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:421240053:421241039:1 gene:SECCEUnv1G0567990 transcript:SECCEUnv1G0567990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPVAEADERSPFGGLAAEEYYARHGVTHTSSTFVNPRGLRIFTQRWVPAGDGPVLGAIAVVHGFTGESSWMVLLTAVHFAKQGFAVAAVDHQGHGFSEGLQAHIPDIAPVLDDCEAAFAPFRADYPPPLPCFLYGESLGGAIALLLHLRDKARWRDGAVLNGAMCGVSPRFMPPWPLEHLLWAAAAVAPTWPVAFTRGNIPGRSFKVEWKRKLALASPRRTTSPPRAATALELLRVCRELQARFEEVELPLLAVHGGDDTVCDPACVEEMHRRAGSKDKTLRVYPGMWHQIIGEPEENVEQVFADVVDWLKARAAAAAAGPSSTVG >SECCE3Rv1G0170050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:246873672:246874586:-1 gene:SECCE3Rv1G0170050 transcript:SECCE3Rv1G0170050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIMAARGQGLKQDFDFFVVVDFEATCVKDARIFPQEIIEFPAVLVAGATGRIESAFRRYVRPKHHPMLTQFCRELTGIRQEDVDGGVDLGEALWMHDAWLKAATAGAGSLAIVTWGDWDCRTMLESECRFKGIEKPSYFDRWINLRVPFQAALGGGGRTTLQEAVRAAGLEWEGRLHCGLDDARNTARLLVELMLRGVKMTITGSLAPPPPIQQKQQPPQLLTSPCGGSSALVPPPIQQKQQQPHMISPCGGSSATCFCYCRVPTRGGVVSVPGPMQGKCFSGCGNWTPAMGPVCPYFVWTN >SECCE6Rv1G0431290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746096219:746097097:-1 gene:SECCE6Rv1G0431290 transcript:SECCE6Rv1G0431290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTVREVLYMYSVARQAYERFLSVCGSPEKAQNAVALLVWLDQGTISAIHHVPAMAPDAVAVVAEEANAVLGCLRHQEPAVPPIPLISVLCMQGGVHIEPGFFAFHQDLVVRGVAHFLDGAGKFVFNNRLHVLLRRSETGLMVNPPELMAPYTSQPVVVPEDSRSMFITFSKGNALHREEIFEYFKQKWGDCVVRVLMEKTKGGHMPMYGRIIFKTEAIVKLVLSGERLVKISIGQREIWLRKYVPRPTNAAT >SECCE3Rv1G0166310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:185331957:185333117:-1 gene:SECCE3Rv1G0166310 transcript:SECCE3Rv1G0166310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILVQHHQISPSPLRKAAFPGSFAFQRDVVLHRAPRRRGSLPCSSSLAVRAEANGSSPSRTAVKKHSREELIEFFGAIQAAIARDSPKASGRTRKASSPADTLEDAGRKMKAHEELCQDGQPNFEGMTVPELRDVARARGMRGYSKLKKGELIDRLRSALSSDN >SECCE1Rv1G0024090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:319387675:319394866:-1 gene:SECCE1Rv1G0024090 transcript:SECCE1Rv1G0024090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGQLRSAIYDKEEKKAQYQSHIRGLNAYDRHKKFMNDYVQFYGHGKNVDYSLPIKTDKDTLREGYKFILSEEDDVDSTWGKRLVKRYYDKLFKEYCIAEMSHYKKGKIGLRWRTEKEVISGKGQFICGNRKCDENNGLGSYEVNFSYVEAGEQKQALVKLVACKRCAEKLAYKRQKEKEKEMDLKGRDKRKREHDDESEDTIEDESAEKRCRRKKDRKGTSKRNVGNKDEGFEAFLEGMFP >SECCE2Rv1G0092820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:367367971:367369620:1 gene:SECCE2Rv1G0092820 transcript:SECCE2Rv1G0092820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRAWIHLIKPKAQGGLGFRDLRLFNQALLARQAWRLLTSPDSLCARLLKARYYPHGNLEDTVFSSAASVTWQAIQHGLDLLKRGLIWRVGNGSAIRIWRDSWIPRNGSGKPVTPQGRCRLRRVSDLLDNHGAWRMDLVRNIFLPVDADVIATIRTSPRMGEDLLAWEPERNGNFTVRSAYRLALEDRLRFSSVAASRAPDGRRAVWAFIWRCPAPPKVRMFTWRLLTDCLPTWVNKRRRGLERLVQKVTTAPTTTLRWSKPSTGWAKLNVDGSFQAMNGEAGAGMVLRSDTGDIIFSSCRELRVCSDPLEAELYACMEGLNLALQWTPLPIAMETDCSVAFHAILELALVRREQNGVSHYLANYGRVHKRTVVWLGSRPEEIPDLCKAKALSV >SECCE1Rv1G0015630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:127319696:127323702:-1 gene:SECCE1Rv1G0015630 transcript:SECCE1Rv1G0015630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPFPPPSILASHAAVRAAASRVAASRPIRVAGEDCHHHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVIGAVNEGIDVAASIVPEVQRPWVMVSVNDDCRDLHFRKAEFDPEECPPNCSKPCEKVCPADAISLKRVMVGGEHTQSDPICDKLEGGVIVQRCYGCGRCLSVCPYDRIRAMSYVRDPATTAELLKRSDVDAIEIHTTGKGINMFNTLWNSLGESINNVKLVAVSLPNAGASTIDFMNATYTIMQSNLEGYNLWQLDGRPMSGDIGRGATRETVSFAVDLTSVPDRPLGFYQLAGGTNLYTVDCLKKAGLFKSRTLPGTRSSEKVGSQEALIGGIAYGGYARKIVGRVLRKIPSELGRVRIEDHPGYLLEALQEALSLVGPVKGYSALET >SECCE1Rv1G0020770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:259713448:259713639:1 gene:SECCE1Rv1G0020770 transcript:SECCE1Rv1G0020770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKKRTSMSKKCIRKNIWKKKTYFSIVQSYSLVKSTSFSSGNEHPKPKGFSGQQTNNKILE >SECCE2Rv1G0140710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932375474:932377358:-1 gene:SECCE2Rv1G0140710 transcript:SECCE2Rv1G0140710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSQELSIENKSAGFSRVEKPKVAEIQLQLLPYDVLRDILSRLSIKDVVRMSTLSGEWRQQRICHPDLVFTKDTFGISTDPDPDITKDVNTIIRDTDTKRASWTAEFIVNVDSVLRPLWSTSTTTTTTLDKFAIEFGLRGKHKYHIDRWVSFSIASRAKHIAFDFTFDVDCVGPGCDQYKDVFPLCKLSGPSGSSVTSLVLGYVWLKLPPSFCGITNLRKLTLKTVSISGGDLQCLLLSCALLEHINIEWCSPLSSIRIGQELCRLQYLRVRRSELEMLELHAPNLTKFEFDEDLAQIVLSDCLRLSEATFVSNMRTQEFNDYDFDDLAFTFTELALPHVQKLFLLLNLDQVLRFSENQTSFINLRHLNMNLDIGWDPYDDSWATGFVHLLQLSPLLEELELHVGRDRFCSPTMRMVTALQGPLHHHLKSVHMSGFCDVLGLAELALYILGNATVLQRMVVDPVAYAETLHTDDIYSASKAGSIEGDHYYVYQNRMFAEQILGSEEFCHIVTIL >SECCE2Rv1G0113730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:725256399:725257109:-1 gene:SECCE2Rv1G0113730 transcript:SECCE2Rv1G0113730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHVSSSHVHADGERGAKEEDVVVVLAVDVEAADPKPAEADDHPGDDGGGVNYMARAQWLRAAVLGANDGLVSVASLMIGVSAVNDAGKTMLVSGLAGLVAGACSMAIGEFVSVYAQYDIEVAQIKRDGAKGKKESLPSPTLAALASALAFAVGALLPLLGGGFVRPYGARVGAVCAATTVGLAGFGAAGGYLGGASVARSGFRVLVGGWVAMAVTYGVLWLFAKVSHIHVSSLG >SECCE5Rv1G0297330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:1505617:1506831:1 gene:SECCE5Rv1G0297330 transcript:SECCE5Rv1G0297330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTTFLNGELDEEIYMVQPDGFVVSDQEGKVCKLLKSLYGLKQAPKQRHEKFERTLTSEGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLDLIKEVNDFLSRCFEMKDLGVADVILNIKLLRDDNGEITLLQSHYVEKVLSRFGYSDCKPSPTPYDPSVLLRKNRRIARDQLRYSQIMGSLMYLASATRPDISFAVSKLSRFVFNPGDDHWRALERVMRYLKGTASYGIHYTGNPRVLEGYSDSNWISDADEIKATSGYLFTLGGGAVSWKHVKRRLKSVRKLKNSGVITVDYIQTTMNLADPFTKGLSRNVIDNASRKMGLRPTV >SECCE5Rv1G0297590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:4110338:4118268:1 gene:SECCE5Rv1G0297590 transcript:SECCE5Rv1G0297590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLTSQLFQGVTATNRFGQTNNFCGVRRIAGVEMRRSPPSTSFPSLAYGQDSLVHNSSGRNYMPMLYVPCRYRALRVRSFALPVALKEIPLVKSASSVLTRSCDTLLANPATALVVPAIGIILFALWGFLPLVKDIRNRFDHGGNWKKSPTYLISTSYLQPLLLWTGATLICRGLDPVVLPSAASQAVKTRLLTFVRSLSTVLATAYIMTSLIQQVQKFLVDIRSPSDTRAMGLDFTMRAVYTGIWIAAVSLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVSEWINAKIDGVEVSGIVEHVGLWSPTIIRGDDREAIYIPNHKFTMSILRNNTRRNHWRIKTYLAISHMDAGKIGIIVADMRKVLAKNHHIEQQKLHRRVFFEKIDPKTQALMIYISCFVKTSHFEEYLNVQEAVMLDLLTIVGHHRARLATQIRTVQKSYGNADIDNIPFGEDTYSPRVRGRPLLIDTSARVSDDKKPPRPAVAREDQKAKVASVTVVETKSDAPDGPSLNNSEKQEEKKLVPDDAGLKKDHVKTTIPSPTTPWADPVAPTTSKADEGKAQGSEGQQGDGSPVATPKKESSRPAFEDNIVLGVALDGSKRTLPIDEGMNPHLSLSEPEQDAGSSPKKKGQSYSLSGQEKSD >SECCE5Rv1G0319640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:377382641:377386261:-1 gene:SECCE5Rv1G0319640 transcript:SECCE5Rv1G0319640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLVRMSSSACSLSLLGLAAALLLCCSRPAIAKEQRHEFVIQEAAVTRLCNARSIVTANGQFPGPAVEVNEGDSLVVSVVNNATYNVTIHWHGVRQMRTGWSDGPEFVTQCPIRPGGSYTYRFTVAGQEGTLWWHAHSSWLRATVYGALIVRPRDPVPYPFDFHGEVAPIMLGEWWDMNPIDVIRTATRTGAAPNVSDAITVNGQPGDLYNCSSQDTAVFPVKSGETNLLRFINAALNTELFVSLAGHTMTVVGADASYLKPYNTSVIVLGPGQTTDVLVTFDQPPGRYYLAARAYASAQGVPFDNTTTTAIFDYGAGGTASPAMPTLPAYNDTATVTTFTTSLRNLHSVGLPSAVDEDLFFTVGVGLFNCSSGQNCGGPNGTRFAASINNASFVLPSTVSILQAHYQGDAAATGVFTTDFPANPPVQFDYTAQNVSRALWQPVPGTKVYKLKFGSVVQIVLQGTNIFAGENHPIHTHGYDFFILAEGFGNFDAATDTAKFNLDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELQSLGAPPPDLPIC >SECCE4Rv1G0280760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:823320298:823320930:1 gene:SECCE4Rv1G0280760 transcript:SECCE4Rv1G0280760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHMTITIFSAIVVMLLSSAIAAQSSGDVGGKPKPTDFMVRACKSASNYSRGYNDGIGYVTSEFCITTLQSDNRSADAKDHIDLALIPVHILKERLVIAGGNVKEMLHNTKNSTSATVRHLRTCEMEYTASASLLNLCDALTGDYQGDDDSVLTFYYELSYCVHTVDRVSGYSRFALPQIPGAEALVKESKELLMLMYLSMALLEPYE >SECCEUnv1G0537910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:70426275:70428085:1 gene:SECCEUnv1G0537910 transcript:SECCEUnv1G0537910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVSVLGVGVLFLLALLSPSAQQSPPPGSEQQSPPPDSSDVYPSTETPPLPDIRPPPSSPRGYELDVPPRNVTCNDTDGKRPGCTGTCPRRCPKCIVLCPDCKTLCHDEVQMPRPVPPPAMLVFGDGQFDNGNNGYLEPPNYPYSGTGRVSNGANLADAIAYFFGFPQSPLPFMCLRGRISMWGANYASVGAGIRNSTNGERSIPLSQQVEDFRTTRALMGIMLGGEAKLRAYLSKSIFLLGIGGQDLDPRWNIHLGNSTPHAEIQSLVALYGEAVTSLYDMGARKLAIVNVGLIGCAPQIFDYRYGCDQSLNDRAAAFNAALKHLMAGLASKKKGLLYSIGDYHSFTTTVFADPSAYWMMNIQESCSFKDSPERTCSPQEEYWFWDSEFMTDQACRLTATAFYYGPPQFTAPMTFKALLEK >SECCE5Rv1G0326770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:486107354:486108689:1 gene:SECCE5Rv1G0326770 transcript:SECCE5Rv1G0326770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTPALGWAARDESGLLSPYSFSRRVQKDDDVTIKVLFCGICHTDLHIAKNEWGNALYPIVPGHEIVGVVADVGPGVKSFKAGDTVGVGYFVDSCRSCDTCSKGYENYCSTLVLTSNGVDYDGATTQGGFSDVLVVNQDYVLRVPESLSLAGAAPLLCAGVTVYSPMMQFGLNAPGKHLGVVGLGGLGHMAVKFGKAFGMTVTVISSSPRKREEALERLAADAFLVSQDAEQMKAAAGTMDGIIDTVSAGHPLVPLLELLKPMGQMVVVGAPSEPLALPAYAIIGGGKRVAGNGVGSVSSCQAMLDFAGKHGITADVEVVKMDYVNTAVERLEKNDVRYRFVIDVAGSQLRAAA >SECCEUnv1G0548290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:182786642:182789805:1 gene:SECCEUnv1G0548290 transcript:SECCEUnv1G0548290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQWPWLQPAAAAAAAARRATPFVLRGRRCSSSVCASAPSGHAREQEDEQSLVVVGGGAAGVYASIRAKTLAPHLSVVVIEKGKFLSKVKISGGGRCNVTNGHHLDPSGLARNYPRGYKELRGSFFRTHGPRDTMHWFSDHGVELKTEEDGRVFPVTDNSASVVDCLLNEARRLGVSLQAGKSVSGASVDANGKFVVKVEKRTIDFVDYISANYVLVATGSSQQGYSFAAQHGHSIIPPVPSLFTFKIADKRLADLSGVTFTRVKAKLMLDGIQKSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELYQDKYQAKLMVDFIPDIQIEDVKRILLQHKDKNAKSKVNNSFPKEFGLVKRFWGFLLEQESLDGDMHWATMPKSHLNAIALRLKQWMFEVVGKGQFKDEFVTAGGVPLSEISLSTMESKKQPNLFFAGEVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASTSILNEDQACLQLQAS >SECCE7Rv1G0498400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:639354182:639356275:1 gene:SECCE7Rv1G0498400 transcript:SECCE7Rv1G0498400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPECWWSTWRGLAFAVTAACLLLHVAARVMDALWWRPRRLEAHFARQGVRGPPYRFLVGCVREMVALMAEATSKPMSPATSHNALPRVLAFYHYWRKIYGPTFLIWFGPTPRLTVADPELVREIFLTRAEAFDRYEAHPIVRQLEGDGLVSLHGDKWALHRRVLAPAFYPDNLNRLVPHVGRSVAALAERWRAMACASGGEVEVDVAEWFQAVAEEAITRATFGRSYASGRVVFRMQGRLMAFASEAFRKVLVPGYRFLPTKKNRMSWGLDREIRRGLVQLIGRRSDAAEEHEAEIKEKGTGGGFRDLLGLMINARDKKSQAMPVEEMVEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQDRARQEVLAVCGPGELPTKEHLHKLKTLGMILNETLRLYPPAVATIRRAKVDVTLGDLAIPRDTELLIPIMAIHHDARFWGADAAQFNPGRFAGGAARAATHPLAFIPFGLGSRMCVGQNLALLEAKLTVAVLLQRFQLRPSPKYVHAPTVLMLLHPQYGAPVIFRPLSPSAS >SECCE6Rv1G0399400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:438468376:438488870:-1 gene:SECCE6Rv1G0399400 transcript:SECCE6Rv1G0399400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQTENLTDAKQDDDARQSKQEDEEARIEEYKRLMDQKIALRRNNQNPERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLIQGLLKVFLPGKSGDDLDTDKNSRAMKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSLEHLKDREATQTNLSLLSSFVRQGRLFIGLRSHGHESYDEFFKDLNVTADQKKFFKKALNSYYDAVSELLQSENASLRLMEAENAKVLSAKGELSDENTASYEKLRKSFDQLLRGVSSLAEAIDLQPPVMPDDGNTTRVTTGTDVTPSSGKEPSVLEPIWDDDDTKTFYESLPDLRAFVPAVLLGEAEQKLNEQHAKGRELSSESNAEHETEVHDNAQTSSATEDQLEGKADDVAKDSSEEKEKDKGKEAEKSKEKDLDRKTEKDKEKVRALDGGSLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKASRKKLVRALFSVPRTSLELLPYYSRMIATLSSCMKDVPTMLLPMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKIAPAALVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSVEHVLRQLRKLPWVECQQYLVKCFLKVHKGKYSQVHLIALLTAGLSRYHDDFAVAVVDEVLEEIRVGLELNDYAMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIIMFGHGTYEQDVLDPPEDCFRIRLVITLLQTCGHYFTRGSSKRKLDKFLLHFQRYIIMKGPLPLDIEFDIQDLFAELRPNMTRYSSIDELNSALAELEEHERAASVEKLESERHSDNESQKRQPHDDGRGSANGAEGNGKDHGEGADSESYSDSGSIGGHEDEEDLLSEEKSNDASENEGDDEDDGMPVGSDEDEGVEVRQKVVQVDPKEQEDFDRELKALLQESLESRKSEVRSRSSLNMKVPMNVLEGSKDARAVESESGEETVDEEGGNAGGGSKVRVKVLMKKGHKQQTKQMFVPGDCSLVQSTKQQEAAELEEKQNIKRRILEYNEREEEEMNGGSSQMGNWGQGGSNTGSSIRSGGRGNWDGWIRGGARRHHAAGGFYQGYGRRR >SECCE6Rv1G0438520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:790880359:790889853:-1 gene:SECCE6Rv1G0438520 transcript:SECCE6Rv1G0438520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAATLLTSSLLAGAEVSPAEAQGMPNCDITCGNMSVPYPFGMGPARCYWPGFNLTCNRSSNPPRLLLGDGTLQVQEFSLIPTFVAVIRTGDIKVDNSGDGTLGSGLTADGPYTISSNQFILVGCNVQATLKNGDVTMSSCYSRCKNGDGLSQARNTLEAGMQCSGNGCCQSDIIFNAAEVAERLVNSTSYDVQLKWFGSNSSTDQQWPAHIFVARYGWLSRTPVFHELLRTDVPASVDGMQVPFLLDWEVVRHGAECSNVCKSKYSECTKGNRGYTCYCVHGYEGNPYITDGCKDINECNDVGWYNKCYGDCINLDGSFHCRCPPGTHGDATLPGGCLSPVSGICSRSCGGVHVPYPFGIGAADCYWPGFNLTCNTSQQPAKLLLTLGMYDTFQVTEISLRNNTVRILRTNAIAPIYPSYENSASFFANYSEAPYSLSTGNEFVLSGCNLYVMLIGDDPEDVISGCASFCPSNVSGTKVGTTLQRRGSRGKYCNGMGCCQANIPMYSKGSPTSWTYQQLDVKGDHEASQPSYMLIAEEGWFDQQRLSKQMLGRKEYEIAKVQVPQVLQWEVMQDLPLPADTKVHRGCPAEVARKLCKNENSYCKRGSRGYLCKFMDGYDKPDSNPYLPDGIYHSDICAYIINILTNTVFPTTRIYLGIGVAVAEGIILFVLSGYFTQTKFKHQRAQMLKQKFFEINRGQLLQQLVSQRVDIAERMIITLDELEKATNKFDKARELGGGGHGTVYKGILSDLHVVAIKKPKAAIQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPMLVYEFISNGTLYGHLHVDGPRSLSWNDRLRIATETARSLAHLHSTASVPIIHRDIKSANILLDDTLTAKVADFGASRYVPLDRSGITTRVQGTRGYLDPEYFYTGRLTEKSDVYSFGVLLLELLTRKKPFSYISSEDEGLVTHFSTLFTQGNMPAILDPQVMEEGGREMEEVTALAAMCIALRGEDRPSMKQVEIKLEGTQASRGHEEGDLRNCPRILDGSRREVLSRQYSMEEEFASSSRYPR >SECCE1Rv1G0040560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:561886880:561887116:-1 gene:SECCE1Rv1G0040560 transcript:SECCE1Rv1G0040560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWSFLEGVLLIANALAILNEDRFLSPRGWSFADARDMHGANTFKGQMIGLIYAAQYMRFPLILLNGITILVKFVSF >SECCEUnv1G0560750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:349777282:349779651:1 gene:SECCEUnv1G0560750 transcript:SECCEUnv1G0560750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRPLAALCVLLAFIAAAAATEVVELETVTVAVEARSSYIVHVAAAHAPRPPRRGLLATRAYGSFLRDHIPAELSSPAPAVLYSYSHAATGFAARLTGRQAAHLASSASVLAVVPDTMLELHTTLTPSFLGLSPSSGLLPASNGASDIVIGVIDTGVYPDGRASFAADPSLPPLPPGKFRGGCVSGPSFNGSALCNNKLVGAKFFHKGQEAARGRALGADSESPLDTHGHGTHTASTAAGSPVAHASFYGYARGKAVGVAPGARIAVYKACWEEGCASSDTLAAFDAAIADGVDVISASIGNVGDAPDFHADLIAVGAFRAVSKGIVVSASAGNSGPGEHTANNIAPWFLTVGASTLNRQFRADVVLGNGDTFTCTSLYAGEPLGATKMPLVYGGDVGSKICEEGKLNATMVSGKIVLCDPGVHGRVVKEQAVKLAGGAGAIFGSTEAFGEQVMTTPNVHPATAVPFADAEKIKKYINTETSPTATIVFRGTVIGRRRTPPSPRMASFSSRGPNFRAPEILKPDVTAPGVDILAAWTGAASPSGVASDTRRARYNIISGTSMSCPHVSGVAALLRQARPEWSPAAIKSALMTTAYNMDSAGGVIGDMSTGEASTPFARGAGHIDPNRAVDPGLVYDAGTEEYIDFLCALGYTAEQVAVFGSSTNCSTRAGSSVGDHNYPAFSVVFTGNKTAAVTQRRVVRNVGGDARATYRAKVTAPDGVRVMVTPRTLRFSARRRTREYVVTFAQRSFGGVTKNHTFGSIEWSDRKQHSVRSPITITWPTSQIAEM >SECCE2Rv1G0127130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:851120810:851123725:-1 gene:SECCE2Rv1G0127130 transcript:SECCE2Rv1G0127130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISLPVLVACACILSLQSDIHSERPSERASGGMEEAMAILRDASPWSLLAGAAAMAALWWVVKMLEWVWWGPRRIERALRAQGLGGTEYRVLRGDLREEQWLMRAALSKPVPMDRPHDIVPRVAPLLHRVTAEHGRVSFTWFGTYPRITISDPELVRQVLANKFGHFDKTKLARLARVLIGGLAVLDGEEWAKHRRIMNPAFHAEKLKRMLPAFSACCSELIGRWENSVNVSAGAIELDVWLEFQNLSGDVISRAAFGASNQEGKRIFLLQAEQAERLVQSFRTNYIPGFSLLPTENNRRMKAINTEVKGILRGIIEKRQKYMKNGGTDNDDLLGLLLESNMDYSDANGKANKGMTVEDVIGECKLFYFAGMETTAVLLSWTVVLLSMHPEWQDRAREEVLQTFGKNKPDINGLSRLKVVMMVFNEVLRLYPPVMLINRRTYKKIELGGVTYPPNVMLALQLMFIHRDPAIWGDDAGEFNPGRFAEGVSKASRDPGAFFAFSSGPRTCIGQNFALLEAKVAISMILQRFSFELSPTYVHAPYAVLTLHPQHGVPVRLHRL >SECCE3Rv1G0166390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:186080631:186082508:1 gene:SECCE3Rv1G0166390 transcript:SECCE3Rv1G0166390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKERFRRRRLMLIKAAASVASCMVMLYVRPRLQRKKESISYGPIEARDKKRIAFLNNQIYKDDITCQTTLRLTRASFFGLCQVLRERSLLRDTVHICIEEQVAMFLITVGHNLRNRVVAAIFNRSGEPVSRYFGLVLHAIVQLRDEFITPPSLETPTKITGDPRWDPYFKDCIGAIDGTQIRASSCKNVETAFRGKKSFASQNVMAAIDFDLRFTHVLARWEGSAHDDAVLVDAIECENGLHVPQGKFYLVDAGYGAKPGFLPPFHGVRYHLTEWGNSHVRDASELFNLRHSSLRAPVERAFSSLKRRFKVLDDANPFFPSATQVDVVIACVILHNWVLSQGTDCFIIPEINWKPNPPSSQREQTHDHRHMVEFRQALADKMWEDHQNYHRNDADFLNTPTYYHEMATIFSDGMASDVYAKSVDELLAADVTGNEIVNGGNDTFAASVEEFTLPFPIPKWGKNGDSSSSKASKRAKVNNDDELMHLMTSLDNLAKAVEKSECVDTDVPDDLWGNLMDLPGFEEADLTHYYAHLVENPAIARAFNKLSMSNKMTWVARYIKNHLSG >SECCE4Rv1G0245320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:528002731:528003192:1 gene:SECCE4Rv1G0245320 transcript:SECCE4Rv1G0245320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQREGSKKRARGEEEEVDSPPAKMTALAAAAAADALAEAAFQEAAPAVVEGGDGDGDAWKPPPGLFDFPWLHCRGGLGAHSASTLSELQDVFFRSAVDGHLAAVGVPGDRFIAPPSNMLLFVVLAEWVATADEGEVDPLWRSVLIGGANPAA >SECCE4Rv1G0244300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:513619252:513620061:1 gene:SECCE4Rv1G0244300 transcript:SECCE4Rv1G0244300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYLLHRLTADEIFQTLEASSSTSSSCYDAFVPVFRPDPSASSLSVSAADRVRSQFLSVERDLFHDALVAPRNDDLGFPEENDEEEEASIRWDCFQLDEEEEPDLPLEASVPAEEFDWEEVAFASGPSVENPEPDWEMLGDMPPSAPAGANEGFVYTSDREAYEVLVAVGDGLFVTNKPPAARSAIKALPSAIVAPGEEGEGEECSVCKDGFVAGERVKMMPCSHRYHEDCILPWLEVRNSCPLCRFELPTDNPKYETWKAERAMAP >SECCE5Rv1G0355840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:734874956:734875966:-1 gene:SECCE5Rv1G0355840 transcript:SECCE5Rv1G0355840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPPHVVEDVPPFLQLLSDGTVIRFTDGYPLPIPSPPPGQPVVEWKDVVYDASHGLKLRIYRPPASSDEKKLPVIVYFHGGGYSIGSFDMPNFHACCVRLAGELPAVVLSADYRLAPEHRFPAGLDDAANVVSWVRAQAAAVSAAEDCAYPWLSETADFGRVFVAGDSAGGGVVHHTAVRLASGQLGPLDPVCVAGCMMLCPLFGGEERTASEAEFPPGPFLSLPAVDQVWRLVLPPGSTRDHPLANPFGPESPALDGVALPPMLVVTAERDLLRDRAADYVARLKAMGKPVELEEFEGQHHGFFSVEPYGDAGSEVVRLVKRFVYGNGGASG >SECCE1Rv1G0031290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:440800352:440801563:1 gene:SECCE1Rv1G0031290 transcript:SECCE1Rv1G0031290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPICRRRSALPAPAKTTPTDDENLLPEVLIRLPPQPSSLPRASLVCKQWRHLIADPQFLRRFCAHHREPPIIGVFLDFYRGDLSFRSVLDRPDLIPPERFSVRFDGGGVEGNDGIWSFRRCRHGRVLFTRGDHLGKGCLQVLVWDPVTGDRRFIGSPPQLDHDWSKSHVQADVLCVAGDKDHVHGSCHSSPFKVVLVCADNLVARACVYSSETNSWGDLISTDVPYHTMSCVGSRSILVGNSLHWFIFGTQTGILEFDLDTQTLAVVEVPPDAHASHHGLYLSTLGGGLGFIVVSDDFVAQLWVRTTDFDGVAGWMPAQAIELNKLLPLRPGEWTNLQTVLGVAGDDNVIFVSTNGGVFMVHLESLQFKKIFESNPFAECTTSTIHPFTSFFTAGNNMNVQG >SECCEUnv1G0532220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19659535:19660693:1 gene:SECCEUnv1G0532220 transcript:SECCEUnv1G0532220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNMKQLQGTLIDIETDAEQLLLARHQLVENDRMRNANREALTALRKRAKTTKTSVPSPFEIVMKEMEGTSAKQLVEEICSTCGNHDPKEHTWLMFPGSDIFARVPFHVAHTVLDKDQEQLDYDTKKLQSLVKEKSFAISEKGALADKISPGIVRSLVSLADKPK >SECCE4Rv1G0275390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:793324045:793326991:-1 gene:SECCE4Rv1G0275390 transcript:SECCE4Rv1G0275390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAEQLLQGRPGCLALLLLLLASVMMCPLVWSSPPTTVGRLPVLTLLAGAAEKGAVCLDGSPPAYQLERGFGSGSHNWLVYLEGGGWCSTVEDCSKHTKSALGSSNFMEAVQLAGIFSNDQSQNSDFYNWNKVFVRYCDGASFSGDAEYDDQDGNRLFFRGLRIWEAIIDELMEKGLANAKQALLAGCSSGGLATLLHCDDFSARFPRKVVVKCFSDAGFFLDKKDIDGERFFRSVYNGVVHLQNVSKVLPKDCLAKMEPLDCFFPSELIKSINTPTFILNSGYDSWQIQNVLVPDESSPEKSWLTCKANIRDCDSTQIEVLHGFRKTMVGDLNVVQDKEEWGLFIDSCFTHCQTPFRISWDSPISPRLGNKTIAQAVGDWHFSRGQRVKEIDCEYPCNPTCSSELPS >SECCEUnv1G0560560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:348255362:348255940:-1 gene:SECCEUnv1G0560560 transcript:SECCEUnv1G0560560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGSSKARTTRSGSKRAAKAAVSGGEEYVLTRRHHAPMEKSALRSCTTKRKAEQSVRITRSKAAAAKGDEEEVPAKKKKGRLPQEEIYRIIARDQDRDRLPIGIVDLKRRNPDLIPSPEEEMDEEMMDLYVEARVTYQVRERFPKFQAWVRSEYCKKGYVEVDNDILAGLEETKAWEEELKADFDAGRI >SECCE3Rv1G0185560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:675671132:675673831:1 gene:SECCE3Rv1G0185560 transcript:SECCE3Rv1G0185560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREALAVLLAVVVLAAGETDAAKSKGKAKGKYRALFNFGDSLADAGNLIANGVPDILATARLPYGQTYFGKPTGRCSDGRLVIDHLAQEFGLPLLPPSKANRSDLSHGANFAITGATALDTPYFEARGLGAVVWNSGALMTQIQWFRDLKPFFCNSTKEECKEFYANSLFVVGEFGGNDYNAPLFAGKGLSEAYKFMPDVIQGISDGVEELIAEGAVDLIVPGVMPTGCFPVYLNMLDMPAHEYGARSGCIRQYNTFSWVHNAHLKRALEKLRPKHPNVRIIYGDYYTPVVQFMLQPEKFGFYKQLPRACCGAPGSVAKAAYNFNVTAKCGEPGATACADPTTHWSWDGIHLTEAAYGHIARGWLYGPFADQPIVQSS >SECCE5Rv1G0375590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868832329:868840914:-1 gene:SECCE5Rv1G0375590 transcript:SECCE5Rv1G0375590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGFGRKLIWIFALLLILVMTIQVRAQPPPGFINIDCGLKNISAYQDPTLKMRYSSDDEFVEGGEKHEVLPEFMASTADDNQNTLRSFPDGSRNCYTLPSTPGKKYLLRAIFTYGNYDRLNKTQDGSQFRFGLYVGVNLWDSVDLSNWLADDAVIKEMLTLATGSSVSVCLVNFGSGTPFISSLELRPLLDTMYPFVNTSVSVSNFIRLRFGNITELTRYPTDNYDRFWTSYLALRGDVYQYPYISVDTSDNLENLPGNEFKVPSAILQNAMSIDANNSSITVLLASLANKDAKTLKLLPIFHFTEVNGSNPNRRFNIYSAGEGLFPGFSPSRLKVDSLYKSGLFKQKGDAFFILNKTADSILPPLINALEVYALVPMKNLTTDSDDVKYMKDVKEQYNLVRTSWNGDPCSPIEYSWKGLTCDYSKSNQIPRIITVNLSSSGLGGGVAISFMNMTSLENLDLSHNNLTGAIPENQLKSLKVLNLSNNKLDGPIPDSILQRVEAGLLDLRLEGNPICSNKKDTYCLDKTKKRKTTPILLIAVIVPVVLISLLVGMCILWKLLWKEKPTYNEDYAIYEEETPLYIDNRRFTYAELKLITNNFQTIVGKGGFGIVYHGILENGDEVAVKVLMETSIAESTDFLPEVQTLSKVHHKNLVTLKGYCQNKKCLALVYDYMPGGNLQQLLRGGDICSLNWEQRLHIALDAAQGLEYLHELCTPSIVHRDVKTPNILLDKNLVGIISDFGLSRAFNDAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIITGQPPVLMEPQTAHLPNWVRQKIAKGSIHDIVDKRLLDQYDVGSVESAVDIALNCVENASIDRPTMTEVVSRLKVWLPAVSSEKHSIFGNPRSKKSVDTEMPKQLEMMISGVSNDESSFQSSHTGGPLIGGGTFSGR >SECCE2Rv1G0116840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:767895132:767895800:-1 gene:SECCE2Rv1G0116840 transcript:SECCE2Rv1G0116840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKDNKAAAPSSAAKPAKTGNGKQQQKKKKWSKGKQKEKLNNAVLFDQATYDKMLSEAPKYKLVTPSVLSERLRINVSLAKRGIKDLMARGLVREVSLHASQQIFTRATNVPSC >SECCE2Rv1G0103920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:596340874:596344711:-1 gene:SECCE2Rv1G0103920 transcript:SECCE2Rv1G0103920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVERERDEGEAMAVNGPRQEGEAEAAAAPAATGAPAATGEKGEGERDDGERDDDGEERKEESEAEEKEGKVELEEWSELRLAIAELSPISRRGGKLCSSPPTLPFLGLSHLLLRLLDKIGPTMAVLRLDVQRNIERLQELYLQDPSKYSTLTAMVEKEADDGTSRKADSCARAILWLTRSMDFTVALLQRLEEEEEEEGSDQQSLAQLVEAAYKVSLKPWHGWISSAACKIALKLIPERAIFVGWLMGENQNSSLLKVEIEKLVQLLQPFLDDIHAMLAKFRLDKLKST >SECCE7Rv1G0460090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:29250299:29251840:1 gene:SECCE7Rv1G0460090 transcript:SECCE7Rv1G0460090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAAAAVPRMKLGSQGMEVSAQGLGCMGMSFAYGSPKPDADMVALIHHAVAAGVTFLDTSDVYGPHTNEILLGKALQGGGVREKVNLATKFGSFFGEAGMGVRGDPAYVREACEGSLKRLGVDCIDLYYQHRVDTTVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITSVQMEWSLWSRDSEQDIIPTCRELGIGIVAYSPLGRGFFSLGPKLTASLSDDTDLRKLLPRFQPENLEKNSLIFESVNAMATRKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNVGALSVRLTPEEMAELESYAAAGDVHGDRYPQMMSTWKDSETPPLSSWKVEC >SECCE7Rv1G0499950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:659605492:659607658:-1 gene:SECCE7Rv1G0499950 transcript:SECCE7Rv1G0499950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPHTGSESPLVVHLLLCCTFLLALAAPATIAASAPAPAPTPTTQNMTWDVEYILWAPDCQQRVMIGINGDFPGPNITARAGETVSVTVNNKLHTEGLVIHWHGMRQVGTPWADGTASISQCAISPGDSFTYEFVADKPGTYFYHGHFGMQRAAGLYGWLVVSATEERGEPYRRDYDGGELRMLLSDWYHDNVYAQAAGLERKYDHFQWVGEPQTILVNGRGQYDCMLGAVTRFHRGIDRRARTCVRGKEAKLCGDEEMCLRRSECGPYCPESQCAPVVFDVEPGRTYRLRIASTTSLAALNVQVQGHELTVVEADGNPVEPFTVPDIDIYSGESYSVLLTTNHTPKFYRSGSFWISVGVRGRRPKTLPATAVLRYTNSRFPWPGSPPPATPAWDDVQRSKGFVHRIKARKNATEAPPPPRTDQVDRTIVMLNTQTLVDGHMKWAINNVSLTLPATPYLGAYFYGVQGSAFDASGEAPNGFPGGYDIDLPPANNSYETTLSDRVYELPHGAVVDVVLQNADMRRDNDSETHPWHLHGHDFWVLGYGEGRYRGAGGERLNTEDPPLRNTVVVFPHGWTAIRFVADNVGAWAFHCHIEPHLHMGMGAVFVEGAHMIRDLDVPREAMMCGVIRTTAASLTPAKPGSPAPSP >SECCE4Rv1G0283290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:834815304:834815645:1 gene:SECCE4Rv1G0283290 transcript:SECCE4Rv1G0283290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVELNLDGGTTAVEAEPVECSRRAFIYLVGCLTVALGVILAWMFVYEKSLRPLSTAAKLFVVLLTGAVSFCFARSFGKFALLLIEWYHGNPDGERFVDSLIQSDRPLIHML >SECCE4Rv1G0292530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882834770:882835471:-1 gene:SECCE4Rv1G0292530 transcript:SECCE4Rv1G0292530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNALLKLWEMYQDSKSDRRKDNLESSLTIHHLKEEKNNLEANYDKLVEDVHQLFNAQEDRVLDFRYLQFKMDNAEERKAEMTNSALSDMKTEMEKKEAEIFKMQEKYAVLINLTKAQATVIRNLKLNHLKEKEVLSADRRNLQFLVDEFIKSQEKLTQENLQLKAHIGDLEKVHDKLNQENLQLQAHMGDLKKGHEKLTKDRAQFKLQIAGLLKAEEKNKQKMKGIQAILDE >SECCE2Rv1G0131330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884104731:884106287:1 gene:SECCE2Rv1G0131330 transcript:SECCE2Rv1G0131330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDDGPTVAVKLFIDKEKKKVLFAESDKDFVDVLFSFLTLPLGTIVRLLDKQSQVGCLDELYKSVESLGEDHFQTKVCKAMLLTPLNAAAVHCNRLKVKVGSINPMHRCKNASCGSTRFSSVPYVICSCGHVVQYIGEWTNKNCPASVVGLGDNMDDRVFVISVPKFIITDDFQVAPASTRVMFSLIEKYGILERENIQEKVLQLNSAKMIGLFRRALLTKQALTGLYFDVAIPPTPTDMCVLPDNMLSKQELETDPDFKTIKLRLVHAKDDSLLYAEVGQDFVDLVFGLLSTPLGTILKTFNELPLTGCIGNIYKSVAASVKQDCQSLLLSPKLAPCFGCSSNVLQVEELACRYLWIGTTCCYELNPKSTSDRAYIKGGPMNFMVTDDLQIRPFCLISTLELLRASKVPKGKLVEKELTLNKTQVLKLVGAAFGTSKALSSVLMPSKK >SECCE3Rv1G0174780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:403018685:403019558:-1 gene:SECCE3Rv1G0174780 transcript:SECCE3Rv1G0174780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMMMSRKPGDWSCRSCQYLNFCKRDACQRCGEAKLGAERADYVAMGGSWEVKPGDWYCGCCGVNNYAYRASCFKCGAAKTDSADVAQNWGFNAAGQTGWKAGDWICPRLDCNVQNYANRTECFRCNTPKSYYG >SECCEUnv1G0539990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78089370:78090189:1 gene:SECCEUnv1G0539990 transcript:SECCEUnv1G0539990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFLLLAALLALVSWQATASDPSPLQDFCVADMNSPVVRVNGFVCKNPMEVNADDFFKAANLDKPRVPNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNLPAPNRNKFHSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSDPPISDDVLAKAFQVEKNTIDYLQAQFWENNHY >SECCE3Rv1G0188610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:715987985:715988347:1 gene:SECCE3Rv1G0188610 transcript:SECCE3Rv1G0188610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVEEELSECEVLWPETRHGAPGSAWGSSAAAPRASGDNRQCSAPVDIPRGRGRADLDDEEEEEEEDGAMVPPHLMVSRRWSEGKAAAAFSLRSGPGRAHRDLSHLRNSVLRMTGFIEG >SECCE4Rv1G0269340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:748342905:748346184:1 gene:SECCE4Rv1G0269340 transcript:SECCE4Rv1G0269340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYSLMGGPSSADAPTDGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKISQLTDNVYVCRSGSAADTQVISDYVRYFLHQQTIQLGRPATVKVASNLVRLLAYQNKSMLQAGMIVGGWDKYEGGQIYSVPLGGTILRQPFAIGGSGSSYLYGLMDHEWKEGMTQEEAEKFVVKVVSLAIARDGASGGVVRTVTINEEGVKRSFHPGDKLPLWHEEIKPQNSLLDILAAGTSDAMVQ >SECCE6Rv1G0437290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:783057809:783070045:-1 gene:SECCE6Rv1G0437290 transcript:SECCE6Rv1G0437290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAISIGKSALSGVLKYAQSAVAEEVALQLGVQRDHSFVTEELEMMRSFLMAAHDDRDDNMVVRTWVKQVRDVSYIVEDCILDFAVRLEKQSWWRIPRTMVARRRVGKQMKELRAKVEDVSQRNQRYHLIKGSSSKPTSAVGQPSISSATMSASHDARLKWQKAKMDLVNLINYKCDALRVIALWGTSSSDLQEMSIIESAYEDSMIHKNFDCCALITLMCPFSQTDFIRSIVRQIYINSFQEMGEEEKTTIGAQILKLMAPVTEDGLAYEFKRYLNDKSYLIVLNKINTIEDWDYIKTCFPDNKKGSRIIVSTEQVEVASLCIGADDESLVRKKLFADQSLYAFYSKVPQEGWNSVEKGSTSYVASPGINSSAHKNILTRMETMATLEESRLIGRGNQKEDIIKLISNKDLQHFHVFSLWGMGGIGKTTLVTDIYQTQEISSMFDKRACVTVMRPFNPATLIGSLAMQFGGKNETDLRRCLEGRRYLLVLDDLWSIGEWDAIKQYLPKTAASCIIVTTREENIAKHCSKDERNIYPLHHLGHVDACTLFTKKVFKETVNLDEQYPELAEQAKLILKKCGGLPLALVTIGGFLASQPKTAFEWRKLNEHISAELEMNPELEIIKAVLMKSYDGLPYYLKACFLYLAIFPEDYMILRRRLVRRWIAEGYSSEVRGKSMEEVLDGYFMELIGRSMILPSQQSIHSRKGIDCCHVHDLIREIAISKAIEENLVFTLEEGCSLNNQGTVRHLAISSNWKGDQCEFENIVDLSRVRSLTVFGNWRSFYISEKMRLLRVLDLEGEWDLFDHHLQHIGKLVHLRYLSLRGHADIFHLPNSFGNLRQLETLDISDTSITKLPRSINKLVKMQCILARVRRTSHTSLYDADMPLLINLPLHSALCCWACVAPNFLLEDLILDEGAQLNKHDICTMFCCNILPYHAARRSLGGVEVTTGIWRLKSLHTLRVVDISVGKALKDLKRLTRLRKLGLTGINKRNGQELCVAISHLSSLESLSLHSNGEPGLSGCLDGMSSPPENLQSLKLIGNLVKLPEWIQGLRNVVKLKLWRSKISEHDAAIQVLGNLPNLATLRLLDGSFVGEEVRFSFRREPFLSLKVLQLLYIENLKSVGFEEGAAPKLELLQYYDCGRPSVGLFCGLAYLPSLKEFMLHRDDWHDTELVEDLQGQLAENINRPALKSWEF >SECCE1Rv1G0016700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:140860963:140879259:1 gene:SECCE1Rv1G0016700 transcript:SECCE1Rv1G0016700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARWEQEGVERKLRCTKEPYVDDDGTHRIKSMRFSTFSGGEVRKSAEAQVYNGLIYDVNRKPAPGGLLDTRMGAANKFGECTTCHGSYTECPGHFGYIKLALPVFNVGFFNSIVNVLKCICKRCSRVLLSEKDRQEFLKRMRNPRAEVLHKSAILSKVRDKCRPCRCHWCGYMNGVTKKGPKDRGGLAIVHDCSKTLDGSTEELRSALSHKKEKLSFPSVHLLDPQTVLALFTRMTDEDCELLNLGDRPEKLIITEIAVPPVPIRPSVFVGGNKMSNEDSITCILKNIVTANHVIKEALKKGESPVNCFYSWQDLQLQVIEYINSDAPSLSDSQHRGLMQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPVLMARVLTYPERVSYHNIEKLRQCIRNGPNKHPGANFIIQPDGTKLHLKYADRRIAARDLKYGCIVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEAFMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDTFYDRSYFTLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVEEKIYMKLKERDKKAITVLEETMCPNDGFVYFRNSELLCGQVGKKTLGNGSNEGMFSVLIRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGESLNQKKKITIDEGYEKCHELIALYSKGDLIPQPGCNRAQTLESQISCVLNKIRETAGDDCMSTLHWRNSPLIMSQCGSKGSPINISQMVVCVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFVYGDDGMDPVKMEGKGGRPLNLDQLFMKVTATCPQRGHDTLSPELILQMFNDKLSEQDASSGGCSDKFKEILTKFFDDRIKMLRCTRRVLQLDEDRVGKRDSSIEERIAADISGISAKQLQVFLDTCLSRYHSKIIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAVKKISTPIITTELLSEQDELFAVKVKCSIEKVVLGEVAAAIKIVLKSNQPYLVVKLDMQRMEGYMGISSDTVQLSILNHPKTKLKSEHVRVIDEAKLRIYPTGTDKSKLQLELHNLKSMLPKLIVKGIQTVERAVVNPVLRRDGTLDRYNLLVEGTNLLKVLGTPGVDAKRTKSNHILEVNKTLGIEAARRSIIDEIQYTFENNNMMIDLRHMMLLADLMTYKGEILGITASGIAKMKSSVLMLSSFERASEHLFNASYAGREDQIEGVSECIIMGIPMQLGTGILKVRQRLQDLPEFKYQPDPIVP >SECCE5Rv1G0357010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:743156122:743159329:1 gene:SECCE5Rv1G0357010 transcript:SECCE5Rv1G0357010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPPAGGRRRWKHRLSPTLARDRCYARAFRSAGLRQAAVPLPDGAVVHFWLPRPDPALHPVLLLHGFGANATWQWAPFLRPLLAAGLAPFVPDLVFFGNSASPAADRSPAYQAASVAAAMAALPGGPRRYSVVGVSYGGFVAYHLAHAFPAVVERLVLVAAGVCLEEADLASGLFAVEDISEAASLLLPQRPEDLRRLVELTFCKPPRFMPSCFIRDYIRVMCTENVKEKTELLYALIIGRKLSNLPKITQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELTIVKDAGHAINREKPAELCKLIKNYIIDHSVKYRDDRKGCWKFALRRFAGSSLRKVDSSRPLI >SECCE6Rv1G0415050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:638646529:638647209:1 gene:SECCE6Rv1G0415050 transcript:SECCE6Rv1G0415050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRVRLPRGCTAAEDAYNGFGNSSMALIASSPARTRRSSIRRRAHALLLLEWTAEEDARLERLARENGFRHWRRVARRMPGRSRRLCRARWRHLSAEREVCFLHTALAALSHRDEDELLSRDWMRRWTAFRKKAARSSPEKAQEYWTEFMRNAATGFAEEGHCRPAPLPDVAESKPEPPSPVPMQQQQQHPLADVLASGLSSCSLAPVDPRAGSLAIGLARMTI >SECCE1Rv1G0034980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:494040732:494043968:-1 gene:SECCE1Rv1G0034980 transcript:SECCE1Rv1G0034980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGWLRVAATAGCAVVTCALATAMVAQRVAAWRRWLRAMAVLRDVEESFATPTERLQRVVNSLAVEMFAGLASEDASKVRMLLTCVDSLPDGSEEGIYYAIDIGGTSFRFLKVELGAGCRIINQKIEHQPIKKELMGGRSEDFFNSIASTLKNFIEREGDEGRALGFTFSFPVRQLSITSGSLIRWTKEFSIEEAIGKDVAQCLDKALERNGLDLRVNALMNNTVGTLALGHYYDEDTIAAVIIGAGTNACYIERNEAITKCQSLLSNSGRTVVNVEWGSFRPPQIPLTPYDICFYDETPNYYDQGFEKMISGVYLGEIARLVFHKMAQESDVFGTDVDGLAIPFILSTPCLPAICEDDSLDLKEVGRILEENLKIHDVPLKTRRLVQRVCDIITQRAARLAAAGIVAVLQKLGRDGTLCGTTRVRSMTGVPKRSVIAIEGGLYQGYSVFREYLNEAVLEILGEEIAATVVLKVVEEGSGMGAALIAAAYSSTRKNSI >SECCE6Rv1G0410170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:595744901:595745404:1 gene:SECCE6Rv1G0410170 transcript:SECCE6Rv1G0410170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCNDRGRTAAAARIMEPGAKYQPCNTAATQTAGQVPTDEQQPPPRRTRRKHSASASRRSSTTVVATDVSNFRAMVQELTGFPPAAIFRPLPRRAHAASHSLAAAVHGCGGALQGRRSDAPTTAGGVPALVKPLTHPPQCALPGVFDGLPDLGSPEFDTWPDLSFE >SECCE7Rv1G0523490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882359606:882360769:1 gene:SECCE7Rv1G0523490 transcript:SECCE7Rv1G0523490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSGRRLAAQDEAERTPVPRRRSRARPDLLAGFPDEILQQEILPRLPAKSVIRCRAVCRSWRSLASDPAFLLDHHRRQPALPLIRSCRISDGSGREFCLNAMDLRSAKLGPSFQFPFGGSFSIVASCDGLFVVGNYIICNPATLQWATLRQDAKPVENIFALFRHQPSGEFRVMYWRNNFRELICRQEYYILTVGSNNSWRVDCPLTEVLAEEPSIFGAPVLLNGSLHIHWRRRSGVRYHRIRVFDTVAETSRQMRPPPVNPRHVMHLLDLGGKLAASISKDGMTGMSIFVLQDPEHDVWAFQYRIKLPVMEIRRFQEQGDWWAKVVSEEGAVLVSCYGHLLQYDKKSNLVAKFEYDDDMPVVIPHRLKESLIQHTFFQKAKNKN >SECCE5Rv1G0338760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:603099506:603105738:1 gene:SECCE5Rv1G0338760 transcript:SECCE5Rv1G0338760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAGKGDDSVAPVRSSDRLRQRPKYYGRGYLYYSPNMRNKMNSNKKRTAASQIAKKLLRKPAAREPPADSIAANLRRSTRKRRMSVTLEDYGTDSSSMEDDDLMRPRYRSSSKSKGDDQVSERPKRKKMSNSNSIPRREGLRPRRSLRGQRHLPYQVSDDDQESSEEEHEQDQRENGNEIEEDGANEEEVDGGDEAEEDGDDEDGEEEQEVRRRYDLRDRAEVRRPSPQKEGKHRPQSPRRVLVQGIGPKNSKYLKKGGSRMHKRPRFSMPDDSDDSLLVDEPDEGPSMPWMRSGRGGVPPWLMGGLDMHNSAAWGLNAGASGWGHQGDTGVSTSSLMPGAQTAGPSSKGGADIQPLQIDESVSFNDIGGLSQYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPAIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFYFPLPGYEARAEILDIHTRKWKEPPPKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVESVRVERNHFLEAMSTITPAAHRGSIVHSRPLSPVIAPCLKRHLEKIMERISDIFPYLSALDLSKYSTLSYGSSIPLVYRPRLLMCGVEGVGLDHVGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELASNLPVLLLGTSSVAFDDLEEECASIFSSRNVYQVDRPSDDDRLRYFSILFESLLSLQMEDSRSKSKEQKSIDLPKAPKKVDGPKVSELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFTAFHFPVSEEEVPDYRTIVHNPMDMAAVLQRVDSGQYFSQAAFLKDINLIVTNAKTYNGDDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIAAQGGPLQAMDDEDSSILQAPPVVQLVSVTRTSARLRNVQPEVDLSRSYEVLKRHKKNTENEHGTATKESTARDGRSPGDVDLSKPTSPEEAPKGPHSNGPSKEAHKAPDVAPPILPGSPPDPMETDNGEDSAMPTSDDTLEQLEGVKQRFMELTVGYGVPQLERLYSRIMKGAIELTGKEPNKDHRRLVVRHLLAFVENSDNF >SECCE5Rv1G0329620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:519433291:519435352:1 gene:SECCE5Rv1G0329620 transcript:SECCE5Rv1G0329620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELDSGGGSKRRRTGEGGERAHPGAGAAEEDRVSSLPEALRLHILGLLPFKSAVRTGALSTGWRALWAHRWPEPSSIELRLKTHAPPPPILESLERRGRRRIDRFSLTFQIGKRGLQPDGAHRILDYAAACSVADLHVDFAHRALGFIFKLRLPRGAPHLTRLTVGGIRVGLSKPFCARSHTFSVLEVIYLEGVTLSDHTVENLVAACPLLRTLDLRYCDGLDFVSVEAAGPHLRSLTVAECRGVRDISTMEAPSLRSFRYSGSYLRALTIAATCVLADLYLCFGGPAGRLRPLGTPLCLDFAWPAGVPRNWLQALTNLSDLTVLTICSSALWRVSAKARERSLTKSGAASCVLQNLRELQLLMFAMYNSSLDDICVFIVACCPPLLERMFMQLPTNSHYYRPDSEPSESEEELLEENGPVEELRGEEPLEENVLEEELPEEEDELQEELPEEEEELQEELPEGELSEEEDELQDELPEGEASEENQSEEDWSDEELSDGGQSEEEPVEDCLENLKLLKMTNFKGGDNEMRLLRFVLRNSTSLNQLLLFTSKSDRPEWLQKDHPDTSDILETKILPIQKALPNCQIILGESDGDAIQAFHREAFVDV >SECCE2Rv1G0099410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:519562685:519566914:1 gene:SECCE2Rv1G0099410 transcript:SECCE2Rv1G0099410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAYTIHQSLTAEAAAVLKLAVGLARRRGHAQVTPLHVAFALLSSACSQPLAGGAAYGLLRRACLRSHPAVPPAQHPLQCRALELCFNVALNRLPNSGPHSPPPSSAPPFASSLVQPNPALSNALVAALKRAQANQRRGCVDLQQPPPSPGPGPLQHQGAQQQQQQQPLLAIKVELDQLIISILDDPSVSRVMREAGFSSATVKSNLEEESALMMSSSSSSPPPPVIPLHFFLDHGSIDGCGFGMWPAQFLSSPAVPVPYCKEDVRAVLEVMVRKQGRRTNPVVVGDSVSMAEAVAGELLRCLERGEVPEELAGAHLLKLQLSYVHVRLMSRADVDAKAAELRRSVDAVQRGGLVVYVGDLRWALDEDPAGGGADHTASSYSPVEHMVAELGRLLDDLRACAPPRCRVWLVATASYQTYMRCQHRRGQPSLESAWALQAVPVPTASGTGLALNNVHSSSPSATAMQQAMATTRQQLAQSPVAMAAEQMAVGGEQEDKLALCSECNRSYEREASVVKAEAGTEGLRCSLPAWLVLDNKPPADHQMPHQGNYLIELKRKWSRLCRKLHVWRSQQHDPCSPSCFGPGLSAPPNSWWPGPCLLPNSQSTPSIAGFLGLEGLMGQSRSSSRWSPPSPLPCPGLMEPELPDVKTTLALGTLLPLSDTATSEGRAQGSGSHDGLAHELERRLRKNIPCQPAGTVAEIVQAVASSRSYGRKGVCLFFKGSDHATQQRAAAVIAETCSGSADQIIAADPKKFSCAEDFCSDVVSRACKLSCRRLVLVVPDVEHAPRQVVECLVAASRCGCIKDHFGQELDLSGSIIIFTTSEFANRATDVISLRLWTSSSPGDVKRKAEIETPTRDCKRARHGSSSSSGHGIDLNLNLCAGSDSDDDAVPSDITHESDTREHGDLHHLLESVATGVLNLDKDADADQRAAAAIREVLVGALGREVQLDDEAAEALTAASGHFLDEVLWRWAVEVFEPAAAVAVVENGGKVVVLGMGPGGGAQVPGFMGSALPSRVLVD >SECCE3Rv1G0160340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:104435377:104435976:-1 gene:SECCE3Rv1G0160340 transcript:SECCE3Rv1G0160340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWRFLAAGAAGAAAGSMTYVSETNEIAEAITGARQQYGQAAQDCRRFRPGVQPPPNAGQGASAGGPIIDLAIGRLKRLSRFHAVMGNVFSLCVARIRLEGNALARWDSWQLHRADAARHAETALQRLLSAKSHGNAALSVFNVMLRPPSPRAVAHAWAPAAEQLLHRAIGDLAVAEAALGQMPQAIAVQYVDALGLL >SECCE7Rv1G0463260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:51938250:51938860:1 gene:SECCE7Rv1G0463260 transcript:SECCE7Rv1G0463260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVLGDDTKARVMRNRSLTKEEVEAFWRQHKKPAPEDGTSPLGSPGRPMEKSPLGSSQRSRSPASSPVAGGHEEIGAADAGKIRDWWTRSNSAFLNELPQEERPGTAHTYTPQFHVAAGNA >SECCE3Rv1G0204560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:895413127:895417064:1 gene:SECCE3Rv1G0204560 transcript:SECCE3Rv1G0204560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLFSRIYSAARSRLQVLIASLPGGPGGSRDSHRRRRRRDDRSRSSGTSTPVSTPASMYSALSPVDTHAVATAAAAKLAMDAPAAMPISLSPLLPPPQMVVVALDATRDHREVEVRMSLRALVARGDILRGGDSLLVLGVLHSVTNPMGYQTKASSDSFAGTSLRYLGDQVVKKAEYYKDKLLQDVEELRQVGISVTLKVCPGSPAKVVIIHEINSSKAAWVVLDRHFRRDFKHFEKHIACKVAAFQDNLSVQTLRSIRTNLSSKSMGETKDLQNLAVSLDLSSKTLDTDKVRVSIRSSPVSYFASLTNHEMYYTPSVVGSSMQDFTPSMSAMSITVIDETEFNAKSIEDNMIGHYDSSERPILCAGCGLRSVLYIKESMKYPFSEIQSATSDFSTENLVGEGGFGHVYKGKLKDGQVIAAKLRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNNSLEWHLFDKSAGLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKAVNASIHTRVLGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLEEHEGQCTHILQWAEPLVESLALHDLIDERIADTYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIETENEHIRDLSRQFIPHFTK >SECCE5Rv1G0353590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718164101:718165490:1 gene:SECCE5Rv1G0353590 transcript:SECCE5Rv1G0353590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVLVLPMPCQGHVVPLMELSHRLVDHGFEVTFVNTDVDHALVLAAMAPDGGREALRGIHLASIPDGLADDEDRKDLNKLVDAYPRHMPAYLEALVGEMEAAGRPRVKWLVADFNMGWSFEVAKKLGIRVASFWPASAACLAIMLKIPELIEDGVLNDKGWPEREETLQLAPGMPPLHTSLLPWNNAGAADGQHIIFQLVCRNNKFNDHAEMIVCNSFHEAEPGAFKLFPNILPIGPLFADKELQRSVGNFLPEDMSCLKWLDARPDGSVVYVAFGSMAIFDPRQFHELAEGLELTGRPFLWVVRPDFTAGLSKEWLDEFQQRVAGTGMIVSWCSQQQVLAHPAVACFVSHCGWNSTMEAVRNGVPVLCWPYFCEQFLDRSYVTDVWRTGLAVSPGEDGIVTKEEVRSKVESVIGDADFRKRARRLKDTSWRCIS >SECCE6Rv1G0416040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:646259541:646269179:-1 gene:SECCE6Rv1G0416040 transcript:SECCE6Rv1G0416040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TSS [Source:Projected from Arabidopsis thaliana (AT4G28080) UniProtKB/Swiss-Prot;Acc:F4JKH6] MAPKAGKAKPKAKGDKKKKEEKVLPTVLDVTVEAPDYTHLTLKGISTDRILDVRKLLAVHVDTCHITSFSLSHEVRGPQLKDTVEIASLKPCHLSIVEEEYTEELAVAHVRRLLDVVACTTAFGVKKPEQKPAPATDAAAEAAKPGSPGAVVAGGGGGEEPMYPPPKLGEFYDFFSFSHLSPPIQYIRRSTRPFVDDKREEDFFQIDVRVCNGKPVTIVASRAGFYPSGKRALINHSLVGLLQQTNRGFEAAYKALMKSFVEHNKFGNLPYGFRSNTWVVPPVVADSPSVFPPLPTEDETWGGNGGGLGRDGKHDHRPWVKEFAILAAMPCKTAEERQVRDRKAFLLHSLFVDVGVLKAIAAIQNLIPDDKSSHEKTNGAASSVPHTQQIGDMKITVTKDKADASSKSDVKLDGSQAPGVSFDELAKRNLLKGITADESATVHDTATLGVVVVKHCGYTAVVQVPLDAQLTTIVPAQQDIHIEDQPEGGSNALNVNSLRMLLQKSCVQSPGAVQRLQSSDPEENEATANFVRKIIKDSMQNLEAEAPRETRPIRWELGACWVQHLQNQTSEKADGKKSDETKDVPTVKGLGKQFGQLKEIKKKSDDKSGKSATTKDSTSPNTNDAHSDNTASTKEDKEAILQKALTEAAFQRLKESETGLHSKSPDELIEMAYKYYDDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVELSDKLPHIQSLCIHEMVVRAFKHIVRAVIAAVDDINDMAQSVASCLNILLGPFPEENNDGKCREDHNLRQKWLEVFLMKRFGLAWKDEYSLDLRKYAILRGLCHKVGLELVTKDYDMDTPHAFRKSDIISIVPIYKHVACSSADGRTLLESSKTFLDKGKLEDAVNYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGVDHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQSKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRTGQNPSELADDEDQRSPPPNSDRSSTEKENSEVKEKLTEKKNSQVKENGTVVEHVKVKLGEEKPSNTVVHMPQDDYTEENISEEGWQEAVPKGRTAGNRKTGASVRRPNLSKISTNAINNSENGRYKGRVPSNSSPRVSPNETAASVASSPLAKKMAKSSSFNSKAGNAAISSNSGENSPKPKSMAASLATTPAAAKVMPSAAPIVSQTVRKSLSYKEVAIAAPGTLAKALSDVHTEQKDATDPAVNLESAKAPKESNVRPSEEKNGAIQVSPKDNNVQVSKATDEHKSPNSDTEQANGSVGSNQAEKASDSAETSTEKNQSPAALADVPNEEAATLTEANDSSSNDDERGPGEDNQEQLSSGAENEKSSPSGSEKNESPVEGAKEIASKLNAAAAPFSPATVPAFGSMAVPGFREHGGLLPSPANVPPMLAIPLRKHPHQSATARVPYGPRLAGGFNRSGHRVPRNKPVLPSGEVLPEAATSPKVMNPHAAEFVPGQSRSPDGHPSSPDEPLASPAGIQASQDGLPSSPDSAVESPKTASPQVSETSETSPEGNDTSSGIDVEAEAESKNTEEKNNHVECEDSEVKPDETIVSGGAEVDATAPIDAQDDASAPKDAPDDSSVTEKPKSWADYSDGEVEAVQVAS >SECCE4Rv1G0263160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:714662926:714663222:-1 gene:SECCE4Rv1G0263160 transcript:SECCE4Rv1G0263160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSASRMPAAVCAVLILLLLSATVPCCEADLLQVTVAGGRRMLAGGSNAAAVFSRQEEATAVSSTWGAAAGTATAAMPYSESKRSSPGGPDPQHH >SECCEUnv1G0569370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:440863838:440864247:1 gene:SECCEUnv1G0569370 transcript:SECCEUnv1G0569370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSALNGQSVSYAKLRFPPDTVNPTHSHPRAAEMLLLLEGTLSVGFVGTTGKLYSKDLVPGDMFVPKGLVHYHTLRGSAAAGTVSVPVTMFGTGVDDVVLAKSFKTDVPTMHKLKVALTPPPKK >SECCE2Rv1G0131940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887787233:887788456:-1 gene:SECCE2Rv1G0131940 transcript:SECCE2Rv1G0131940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPARRASGQGCAAINNDVLYEILLRLPAKTLCRLRAVCRSWGSLLSSPSFIAAHTARQAAPLLVVHGRGHDGYAADVHLLDMASGESIKRVPTESTLSVNFPMLLHTPRDLVGLTAGWFRDFRLRVLDPATGADFVLPNADADRHRRWFSLPDDDPDTHPRHRSFFLPEDDPCTHPHRRSCMLGRASTGDCKVLYFGMSPRPNRQQLCKVLTLSVDHEWRETGCPPAMVATDHSVGAAAVKGAAYFFSYFLQAPAPGNKGYIMAYDLDKEVWRPAFVPAPAPGNKQVVHRLGHSLAELGGCLALMYTDEHESMEIWLLVDADQAIWSMQCTITVPYCYQGVHIQLDMAEPLWLLDDGRIIIWMWMSGERPHGVLCMYDPRTMTYTDVADMPNYTLAGVYTGSLLG >SECCE7Rv1G0497890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:632602401:632612486:1 gene:SECCE7Rv1G0497890 transcript:SECCE7Rv1G0497890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSQVNKPHKTRFASKASRHSHKIDKVRSGKPAGSHRAAVKGARAARLQHSKAIRDQKRAALLKEKRSSNGSSSAPRVIVLCGLSSSANVGPLAEDLLTFAAGGDEKLSSNTVASPAYKLRTTVLQAPYGDLTSCMELAKVADLLAFVVSANSLYNSDSGNPIDEFGSQCLSVLRAVGLPSTAVFIRDLPSDTKSKQELKKAAVSFLSPELPEDCRFYAAETKDDLHMFMRLFKEQHLSSPHWRNQRPYVMSEEACIKPGDSIGLCTLLVSGYLRAHNLSVNQLVHVSGAGDFQLGQIDILKDPCPVSERKNSDVMDSDDNQIQIIDTFVPDPLNQEPLLVENIPDPLAGEQTWPTEAEMEEAYESNKQKKVVKRKLPRGTSEYQAAWIVDDTDDEGDDSENDNPDGAGMVIDEKDHSEHDSDSSDIDAVSHFTEKFDQETIGGTEMGDDENLTKEQIEEDIKRIKESNADDEEFPDEVETPLDVPARKRFAKYRGLKSFRTSSWDPKESLPPEYARIFAFDKFTRTQKHVLAKRAELDEESSKDCAQIGSYVMLHVKNVPTDVASKLCHPSRRLPVVVSGLLQHESKISVLHFSIKKHDSYEAPIRSKESLIFNVGFRQFTARPLFSSDNINCNKHKMERFLHHGRFSIASVYAPISFPPVPLIVLKNIDGQLPVIAAVGTLKSVDPDQIILKKIVLTGYPQRVSKLKAMVRYMFHHPEDVRWFKPVELWTKHGKRGRIKETVGTHGSMKCIFNSSVQQHDTVCMSLFKRAFPKWPEQFYHQI >SECCE2Rv1G0134180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900649106:900654593:-1 gene:SECCE2Rv1G0134180 transcript:SECCE2Rv1G0134180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT1G18490) UniProtKB/Swiss-Prot;Acc:Q1G3U6] MAWGAPARAQSSRVQALYDLCRRTFPSPSSAAAPPAPPPASAVRAISSLMDTISPADVGLRDDGPGDGGGGHGFFDSTFVKGAARAARWAQPITYLHVYECDAFSIGIFCLPTSAVIPLHDHPGMTVLSKILYGSMHVKSYDWVEPTVLASNRQVRLAKLHADDVLTAPCPTTVLYPQSGGNLHSFTSVASCAVLDVLAPPYAEDAGRTCTYFNDYPFSSFSSGRAKTVDSPDNYAWIEAINSPVYINMRPGMYAGPTVQDRLS >SECCEUnv1G0542860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:104330142:104330815:1 gene:SECCEUnv1G0542860 transcript:SECCEUnv1G0542860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRIVKELKDLQRDPPTSCSAGPVSSNDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKADRTRYESTARGWTHKYAMG >SECCE7Rv1G0517370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:853836107:853839945:-1 gene:SECCE7Rv1G0517370 transcript:SECCE7Rv1G0517370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGARRFLAVLMAVVMAVAPARGRFVVEKSSVRVLAPEHIRGHHDAAIGNFGVPDYGGTLTGVVLYPDKKATGCAEFPAKFKSKSGRPVVLLLDRGECYFALKSWNAQQAGAAAVLIADTVDEQLLTMDTPEASPDTRYLDKLNIPSALVNRAFGESLKKMAEKADAEGEVVVKLDWRESMPHPDERVEYELWTNSNDECGPRCDEQAAFVRSFRGHAQILERGGYARFTPHYITWYCPEAFRLTRQCQSQCINHGRYCAPDPEEDFGEGYEGKQVVVENLRQLCVHLVANESGRPWAWWDFAMDYKLRCSMKEKKYSKACAEEVVASLGLSLEKVLACMGDPDADADNAVLSKEQEDQIGRGSRGDVTILPTLVINDVQYRGKLERTAVLKALCAGFKEGTEPQVCLSHDMETNECLHRNGGCWRDEATNVTACRDTYRGRVCECPVVNGVRYDGDGYTHCKAVGPGRCALNHGGCWSETKGERSFSACSDTALSGCRCPAGFQGDGHKCEDLDECREKLACTCPDCRCKNTWGSYECGCRGNQVYIRGEDVCVANSMSRFGWLVAVLAVSCAAGLGVAGFIFYKYRLRSYMDSEIMAIMSQYMPLDSQSNEHQPLRQHAPDA >SECCE5Rv1G0373700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861068289:861070845:-1 gene:SECCE5Rv1G0373700 transcript:SECCE5Rv1G0373700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGISKNTCFSGNTSAPAVSSDPVPGDIHGHSFKYVPIVVAFDKYSMANVLSSQTPFFSLSGAAINANQATSSSMLSFCLLNELMAPQSYACTVKSSSSFAAAPLQATPARPSLSRHSLDSSSTAAFMYDMVDHSFSLSSSFVCHQDSVSHLMVEHGATGSRCCNERPLDKSVATAGSRLDFRVPRNDLLSKGPTELSNMNSFGDGDHRSAPSDNVHWALGMAGEDRFQVAVSEECGWVSVGIYDDIFGPDATNYLFANLHVVVDHALNGVLSDNIYSEFEHKPAKRGRTEHPKKDNYAMSGGGPTLHQKVLGALDQALRETKEAFFKATEEGATDNPEIGLMGSCVLVMLMKGEDVYVMNVGDSHAVLARRREPDLNNILGKVTRKDLQQLKAEIMDGLQSVQLNAEHSTSVEEEVKRIRAEHNDCNAIIHGRVKGQLNITRAFGAGYLKEGCSFFTWHPKWNNMSLASFKIDYIGKGPYINCIPSLHHRRIGPNDKFLLLSCNGLYQYFTKKEVVDEVEMFTAAYLKGNPAEHLVGELLLRAARKAGMDYHELLKISHDERRRYHDDVFVIVISFKGRMWRSFV >SECCE2Rv1G0116390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:763779359:763786453:1 gene:SECCE2Rv1G0116390 transcript:SECCE2Rv1G0116390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWGKSSSKDAKKTTKENIMDTFHRFISPNEQKGSTKSKRRYRRGEDTTVEKVCQSTTVSRATSPSKEVSRCQSFSADRLHSQPLPVPGSRPPVTRTASDVTESRPILEKRGKPPLLLPLPKPNRPQKRSEIVIASLSSNCSVDSDDHGDSQLPSPAGNDAENTTNATSKSKSSNVRKERPGAITTKNTKEMTKAANQVLSNHTLSTSPRGIAADNHQSNPQNPRPVVLESAPNSLMSSPSRSPRRICPDHIPTSAFWAVKPHTDVTFLGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRSGGMAPESPTGRNDGGKKKQTHRLPLPPLSISNSSFFPNKSTPASPISAPRSPARTENPPSPGSRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFSDDPKSKESAKQLGQEISLLSRLQHPNIVRYYGTETVDDKLYIYLEFVSGGSIHKLLQEYGQLGEPAMRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKSSNGGCNLAVDIWSLGCTVLEMATAKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEQCKDFIRKCLQRDPSQRPTAMELLQHPFIQYKVRLEKSIMSDPLEHLPVISCRPNSKVAGHTTNISSLGLEGQTIYQRRGAKFSSKHSDFHIRSNISCPVSPCGSPLLRSRSPQHTNGRMSPSPISSPRTLSGASTPLSGGNGAIPFNHSKQPTYSNEGFAIASRGPDDHFPNRPTDRNLGQFGRVHHVSQGIQERIVSEANILSPQFGKRLGNVFDLRDRLSPSEHFTRPALVDHVKSNPSLDLTNGSPHHGLKRDN >SECCE4Rv1G0294720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:892434486:892436841:1 gene:SECCE4Rv1G0294720 transcript:SECCE4Rv1G0294720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQLVALLALLLALWRLVWRPRAVARSFARQGIGGPPYTFLAGSLPEAKRLLIAGRRGVPPLDAGCHDIMPILLPQFHRWVADYGTTFLFWIGPIPAIFSIDLQLIKQVLADRTGLYQKDFMIPVLKSLFGNGVILINGDDWKRHRKVVLPAFNYEKIKSMSAVTAEVTRQMMQQWTEKIHQSNSDKKAAEIDMIHAFNDLTAKINGRVAFGTSHRDVEEVIVLMREMQKIATAAMLDAPILWYLPTRRNLYVRRLNKQLRSKIMSIMQARLAADGAKYGRGDTGGCGDDLLGLLLEAWTPNRQGGGGDTLTTDEVIDECKTFFAAGQETTATLLVWAMFLLAVHPQWQDKVREEVLREFPGVDGDDVVPNVDVLAKLKLLHMVLLETSRLYPPIVYIQRKAASDTVLRGIKVPQGTIISIPIGMLHRDKEVWGPDANEFNPIRFEQGVTKAAKDSKALLTFSLGPRVCTGRNFGIVQVQVVMAMILSKFSISLSPEYVHKPKYLLSLTPRLGMPLILRNLL >SECCE2Rv1G0083710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:170103442:170104863:1 gene:SECCE2Rv1G0083710 transcript:SECCE2Rv1G0083710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGAVPSAAILLLVVLLAEGEPFKGLVPKNGSVDWVGNNVTATADTAAMCYQRSAVDEEAKPSKTVVDVAPLAVCIALDDAVLLPRPLLLNGVASVPSPASARQFVPPPMPADAPVPAPGASPEDEDKMGFSGRAKAWCCWVLAVGITIVVFVVRPETPMIYLIHATIYLTQTLSVDDASVVDGDSDGDRELFDCAICMETVPGTRKFSVGSCGHAFCSGCVAQYVAAKLGENVARVKCPDPSCKNGAVEPESCFGIISSDLLDKWGFLLCESALGGKRVYCPFRECSAPLLADGEGGAAAIAEAECPHCHRLFCARCAAPWHAGVGCKEFQELGQDERGREDLLLRLLAGRQRWQRCPECKMYVEKSEGCNYIKCRCGCSFCYRCASKVSAQTHYCGMCKR >SECCE2Rv1G0135170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905685152:905690255:-1 gene:SECCE2Rv1G0135170 transcript:SECCE2Rv1G0135170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMVVAVLVPWLAWLIVSFLSLYLLSLLAHSRSGLPPGPRPLPLIGSIHLLGDKPHRSLARLAKIHGPLMSIRLGTVTTVVVSSPAMAREFLQRHDSVLATRSVPHATGKHAVGSVAWMPPTPQWRALRKIMATELFAPHRLDALHHLRSDKVRELTDHVARLAREGTAVNIGRVAFTTSLNLVSRTIFSIDLTSLGDHGRSKEFQEVITGIMEGLGTPNVSDFFPVLAPADLQGMRRRLARLFARLHAVFDAEVDQRLHDRDAGQPRKNDYLDVLLDVVTTVDGKVLLDRETLRSHFTDLFAAGSDTNSSTVEWAMTELLQNPSSMAKVCGELEQFIGSRKNIEEGDIARLPYLQAVIKEILRLHPPAPLLLPRQPGTTVKIEGYTVPTGSRLFINVWAIGRDKDVWTKPEKFMPERFLGSTVDFRGVDFELLPFGAGRRICPGITLAIRMVHVMLGSLLNQFKWGLPVEMEHDGIDMEDQFGITLAKVVPLCIMATPI >SECCE4Rv1G0251040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:598405122:598408566:1 gene:SECCE4Rv1G0251040 transcript:SECCE4Rv1G0251040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAAQEGAYFLQESKLAAGRLAQKLPASARGPRPASPPPSPDVLPEILRHSVPIRPTPPPADPTLYGSTRWALPQGGAKAAGVSPDVLNPLRSYVALPQATFGPKRWELPTEQPYYSAATANERRRDMHPPPMDAEKLKAVIDGYSQVGKAFLAGTILVFGGATAVLLYTANKLELHSVDDVRAKGKDAVQPHADMIKEHIAPLRKWAEDTSRKWHYEADRESGEKSVLVRKLSRALGAKTRPTN >SECCE2Rv1G0117840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:777592628:777594316:1 gene:SECCE2Rv1G0117840 transcript:SECCE2Rv1G0117840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEKANAGHLTNFEVLDFLRKRGAKTDPMGCLGAVAASECKVYEYLLKTPACNQTRESVTEFANRCEGFKLTDADKQNIINWRPTSAADVYAMVEECGKRFCKDERGMAQDEEDRAKELVALVNEIFPAPPATPEDEVDMKPEDEVEVDMKPEDEVDVDMKDS >SECCEUnv1G0561790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:358742281:358743363:1 gene:SECCEUnv1G0561790 transcript:SECCEUnv1G0561790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKLYGMMLSANVTRVTTLLNELGLEFDFVDVDLRTGAHKQPDFLKLNPFGQIPALQDGDEVVFESRAITRYIATKYGAELLPTPSAKLEVWLEVESHHFYPPARTLVYELVIKPLLGAPTDAAEVDKSAADLAKLLDVYEAHLAAGNKYLAGDAFTLADANHMSYLFMLTKSPKADLVASRPHVKAWWDEISARPAWAKTVASIPLPPGV >SECCE4Rv1G0227290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:120486534:120490468:-1 gene:SECCE4Rv1G0227290 transcript:SECCE4Rv1G0227290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLTIKRVPTVLSNYQEEGGGGCGRNCLGDCCLPASKLPLYAFKADPKKPAQDDELPTEFFLNSLLLAQWEDRVARGLFRYDVTACETKVIPGELGFVAQLNEGRHLKKRPTEFRVDRVLQPFDSAKFNFTKVGQEEVLFRFENGGGDSSYFLENAPSTEGDHAPSVVAINVSPIEYGHVLLIPRVLDRLPQRIDPESFLLALHMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLSVPFPVEKAPTKKIPLAKCALKSGVKVSKLTNFPVRGLVFERGNTLKDLADVVTNACIWLQENNVPFNVLISDSGRRIFIFPQCYAEKQALGEVSQDLLDTQVNPAVWEISGHIVLKRRSDFEEASEASAWRLLAEVSLSEERFEEVKACIFQAAGLTESDEEEESNESPYAASSSVPLASSHMPEGCLVLQ >SECCE6Rv1G0450590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:868721263:868722793:-1 gene:SECCE6Rv1G0450590 transcript:SECCE6Rv1G0450590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPPAAVCLLLILVFFDAARVEARGTPSAQWSSMFVFGDDFVDNGNRPNITGEKASRQWSYPYGSYRNSHYSTSPVLTGRFSNYRMQSDFIARMLGLHEAPPAYALTEDQSCDPSGMTFASGGAGVFKVTAKRKVPTLAAQVQAFKRLVNDGVIPTRQLHHSVALIAISGNDYMSGSDANNRFYTSFDDLDTYVGNVATEILDNVVQLQRLGVRKVLVNNLHPIGCTPLHTSSSNYTTCDLLGNYGASVHNKYLKQMIEDRDNVHILDLYTAFTDIVNHAPGGGSDQSKNFKQKLTPCCESTNEGGYCGERSHSGKRLYDLCDNPDKMFYWDQTHPTHAGWEAVMKALRQPLMEFLDEDYVA >SECCE3Rv1G0207210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:918760445:918761522:-1 gene:SECCE3Rv1G0207210 transcript:SECCE3Rv1G0207210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTADEDKKPAGDGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDLNSIAFLFDGRRLRGEQTPDELEMEEGDEIDAMLHQTGGCFPRP >SECCE1Rv1G0042370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:580008371:580009232:-1 gene:SECCE1Rv1G0042370 transcript:SECCE1Rv1G0042370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPATITAAPSAPTLKLLIDKKANRVLYAEAGKDVVDFLFSLLAMPICIVAKLLQTGSDGVGVANIYASVHTMDTMYIHSRIVQDALLSSCRPMFLQHPTTTLPAAPSMRASVHGTTSYPLQNGSLTTPSLAPSSRAVDVAPEFSLYGSVVAGGGHVQGLVTYTIMDDLTITPMSNISAVVLINKLNREEKDLVLEEKSVKIGDKEAFDILKASIDSCTVLTNVFLSNNNNDVNLSKNKKARTSSDEKKKDKIPDCYI >SECCE5Rv1G0328870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:510290629:510294001:-1 gene:SECCE5Rv1G0328870 transcript:SECCE5Rv1G0328870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAFLSSWPWDNLGFFKYVLYGPLVGKAVASRAWEAASPDHWLCLLLALFALRAFTYQLWSSYSNMLFLTRRRRIVRDGVDFEQIDKEWDWDNFLMLQIMMAATALYAFPSLRHLPGWNTGGFALAAVLHVAATEPLFYAAHRAFHGAHLFARYHALHHSIKVPTPFTAGFATPLEHMVLGLLMALPLAGACAAGLGSVGLAFAYVLAFDFLRAMGHCNVELFPGGLFRSLPFLRYLIYTPTYHTIHHTGKKANFCLFMPLFDRLGGTLDAASWELQRKNRAGMDEAPDFVFLAHVVDVMQSMHVPFVMRTFASTPFAVRAFLLPLWPVALLFMFMVWAWSKTFVISYYHLRGKLHQIWAVPRYGFHYFLPFAKDGINDQIELAILRADRMGVKVVSLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKGTTEVFMTGATSKLGRAIALYLCRKKIRVMMMTLSTERFQKIQKEAAAEHQQYLVQVTKFQSAEQCKTWIVGKWLSPREQRWASPGTHFHQFVVPPILGFRRDCTYGKLAAMRLPKDARGLGSCEFSLERGVVHACHAGGVVHFLEGYAHHEVGAIDVDRIDVVWEAALKHGIRPV >SECCEUnv1G0556250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310668897:310669595:-1 gene:SECCEUnv1G0556250 transcript:SECCEUnv1G0556250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSYTALRGGRGLVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >SECCE4Rv1G0277230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:802342472:802345882:-1 gene:SECCE4Rv1G0277230 transcript:SECCE4Rv1G0277230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGARRLLARRASSSPISISALLRRGGAAAAAPREPLLRPAALAGVASRLGFLRGMARRPGGDGYAPARSGGGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKHQMIDCYIQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGIPGVLFVLPDSYVDPEHKDYGAELFVNGEIVQRSPERQRRVEPVPQRASDRPRYNDRTRYQRRRENQQR >SECCE3Rv1G0171980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:281029646:281036378:-1 gene:SECCE3Rv1G0171980 transcript:SECCE3Rv1G0171980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISVSLKKTRKEAQKTGGKRNGDQMAEVKSTAGDVMDAAASSAGQSAARVADLLRGFLAVQQRRAEAYSKLRSGFSEYMANGGECAYQQLCGNVTAEFNDCSTQILEMVSLLSKPIFCRGDLANLLKDVQACERDKLQLTARIQVLKKAGRPSGRLVNHEHCRSSSMSQHVCANLKEITEASGTEDAEADAEYDAALKEAIQGIQEAVTSINEHMEEVRYEIDALEADTVDSRLSEVEEAFPDALLIE >SECCE7Rv1G0468090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95257343:95259820:-1 gene:SECCE7Rv1G0468090 transcript:SECCE7Rv1G0468090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQQPASVVLDYAAVLDAKPLRTLTPMFPAPLGMHTFTPKSSSSVVCVTPFGPYAGGTEVGMPGGVPPMLTSLSAPADPNQVQPHKAHMNGTSNANGTINNTMVTPVLQTPPAVTTQESGKKKRGRPRRVQDTTTVPPVPPVQPVPTVPTVHLVPSVPSAPPEVNDIVLQTPPSAVTQESGKRKRGRPKRVTDVSVLPTPVPAADATPILQTPPASSVHESVTKKRGRRPKIVQDSSDTSTPPVQSKESEPFMQTPSAVTLLEGGKRKRGRPKRVPDSSVTPSSHSGLSVDVHSGDTSKRGRPKKIDTSLLHLPSLFSDDPRESADNVLMMFDALRRRLVQLDEVKQVAKQQQNLKAGSIMMNAELRLNKNKRIGEVPGVEVGDMFYFRIEMCLVGLNSQSMAGIDYMSAKFGNDEDPVAISIVSAGVYEDAEDDDPDVLVYSGHGMSGKDDQKLERGNLALERSLHRGNPIRVVRTVKDLTCSTGKIYIYDGLYQIREAWVEKGKSGFNMFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDRVILHDISYGVESKPICLVNEVDDEKGPSHFTYTTKLNYMNSPSSMRKMQGCKCTSVCLPGDNNCSCTHRNAGDLPYSASGILVSRMPMLYECNDSCTCLHNCRNRVVQKGIQIHFEVFKTGDRGWALRSWDPIRAGTFICEYAGVIVDKNALDAEDDYIFETPPSEQNLRWNYAPELLGEPSLSDLNESSKQLPIVISAKHTGNIARFMNHSCSPNVFWQPVLYDHADEGYPHIAFFAIKHIPPMTELTYDYGQSQGNSGCRRTKSCLCWSRKCRGSFG >SECCE1Rv1G0009800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:57457347:57462674:-1 gene:SECCE1Rv1G0009800 transcript:SECCE1Rv1G0009800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQRVHGTAAVEALHQEDNSKCTTDGVPTEEYDPWEPPHPPPHPSLADVTCPIQHMREYMLQLQRFLADARATNIISPDRTPKETLIKYYGIYDRLSAVLQKDSVPLFLRIFENYRESFVSGFVIIPQTLDLIILENALRCANVILEGKSPKLCGVRANPNYMTSFGYFPLHQAAESFSVEMVELLFRYGASANQRTSGNKIIEGLLPLHVAIEDTCQHKYLEDNLLVDENYRKGNVGYIYKLIHLLCLPEMKIFLDTTRLLAAHTDNVVDELWKYIEHGKIVPAAILLLAAQRQFRKLNGFDMIKDRIDDSIFCLIREGCGLQIGKNTKAAKQRKEKEVRFYNALFLVRIILKAGEALDAYIQTHSENNMQASHHGVHGKVSAVLKSYDVGPLGKDICIEDLQCFPYDCGGPDGVLHEHGDTDLTKAATGSPTPEVKEKNAAAKKPRARHLYARDQFFPVWRSVLTARFISKIFPPYTPKKDLPLYTSDGSSEKLRAERNSHILLGMLERNYPRIASKPTPASKYRSRRLFGTAVSTVLKMLKRV >SECCE6Rv1G0439860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:799888379:799899156:-1 gene:SECCE6Rv1G0439860 transcript:SECCE6Rv1G0439860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSETSEPPESPSPYAADAFGVGGPGGDGHRAHDGGGGGAGPEDDGDGDDGADAEDPEPPPAAVPFKRLFACADRLDWALMAAGGAAAAAHGVALVVYLHLFGRAINSLHGRHTDQLFRDIKQHALYFLYIAIGVFFAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLVIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASIAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLILRGRANGGEIVVALFAIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSTVNQDGRTLNSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHAHTFISSLEKGYETQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDVLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHEELLNLDGLYAELLKCEEAAKLPKRTPMRNYKEPSSFQIERDSSASHSFQESSSPIMSKSPSLQKTHGFLAFRNSDANPNSRESPTIQSPPSEQMAEIRLPMVPSERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRTLDMFDNFRSDPSKKHQTKAPSFWKLAELSLTEYFYALLGSAGAACFGSFNPLLAYTISLILVAYYRIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDDEENSADILSMRLANDATFVRAAFSNRLSIFIQDTSAIFVALLLGMLLEWRVALVALATLPILVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGSILTKSFVHGMGIGFAFGFSQFLLFACNALLLWYTAVAVKAGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIDPDDASGLKPPNVYGSIEFRSIDFCYPTRPEMMVLSNFSLKVNGGQTIAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNVRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPIVLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLVQMNGLYIKLMQPHFTKGFRQRRLI >SECCE5Rv1G0376510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872598659:872601072:-1 gene:SECCE5Rv1G0376510 transcript:SECCE5Rv1G0376510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIVCACAGKPVKENSRSTRCECTAMIRLLRSSDNGWYICEHRTVHNHRVSLTCGEKLHWKSHRHIDRYTRNLVQQLRENNVSLSKVYSIVGSFFGTLENVPFTKRALRTLCGKLSREQSDNDATKTVSLLQDLKAADSDFTYNVQVDEESRVKTLMWATGRGIEQYKYFGDAITFDTTYRTNLYDMPFGLFVGVNNHFQSIIFGGVMMRDEKVESFKWVFKEFIRMVGGKPPLTILTDQARAIELAIIDELPHTTHRWCKWHVLRKAKESLGVLYGKKSEFKTDFHMLVHHVLTVEEFETGWGDMLTKYGLQKHPFLTQVYEVRKKWAKPYFMGVFCAKMTSTQRSESANHLLKGYIPPGCPMHLFVKQFEKIQFDRESEESYQEKRTSIAGIVLKGNFPLERHASRIYTRTMFEQFGDELYKSGSYDIEEVVPHKIYFAHHVDSASREKWCRVTFKVETNETKDEFLCECGFFAHSGMLCCHAIKVILHLRLGEIPGKHIVKRWTRDARDILPEDLVMYQKDQGRPKSDTTRHTKLYLSALELVQMGDANVQSYEAAMLLLQQAKAKLAPISAERDGMGVADREAAALADRVEGVELDGDDDIISSISAPKRKRTIGRPTTSRDKPPYEKPVKRSRFCKICRKEGHKSTTCPDRGDLPKPPRKAPKCSNCGVLGHRRSSCGKEIL >SECCEUnv1G0563430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:375217158:375217982:1 gene:SECCEUnv1G0563430 transcript:SECCEUnv1G0563430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSAATGALKPVIGKLATLLGDQYKRFKGVRKDIKSLTYELAAMEAFLLKMSEEEVPDVQDKVWMNEVRELSYDMEDCIDDFMKCVDDIELKPDSFMEKIKYSLGKMKARRRIGNEIEDLKKQIKDVGERNERYKTRQSFSNTKNAIVDHRALAIFEHASNLVGVDKPKCEIIKLLNEEDRIASMQEKPKMVSIVGSGGMGKTTLANQVYQDLKGNFECRAFVSVSRNPDMMNILRTILSEVNLKGYAHTEAGSVQQLISNITCFLANKR >SECCE3Rv1G0145300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:6148961:6149362:-1 gene:SECCE3Rv1G0145300 transcript:SECCE3Rv1G0145300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGASLLVVLAVFSMQIMSSLGNPKPLCSECGTLCSTKCNGEANTSCSSNCYNPREECQRQVSEGCTRDGTCCSSYGTCTCDCNAIAQERCSSVTDGSVRCESCKRAMLDQCNPTCVSNCNTNCKKKGCDA >SECCE2Rv1G0071700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:47124507:47125220:-1 gene:SECCE2Rv1G0071700 transcript:SECCE2Rv1G0071700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMSCLAPPPSASFLSASSPGPAYYTDAAITQALHFSSMSMPEHEYAYSPVVSSPSSASAPSSSSLLADIPGGSSWFASTASPPTGSLARDSVPVASDAAQYGPVGTATNKRRVGLGPNAAGAGRAGKRRARASKRAPTTYISTDLANFRLMVQHVTGVQAELGAADGVLLPASSSALLLDGPPFDGAFGDALRLPSDVDAAALHRHHQQQLMQQQQPCYPTLDSWSVMCESSQLI >SECCE1Rv1G0035010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:494707005:494707883:-1 gene:SECCE1Rv1G0035010 transcript:SECCE1Rv1G0035010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPAFTAELDVTRGSSYGSFVSGVRDQLVLHAGATRHLELVLLAPQAEDPRRAPWFGVTLRCGSGQSALLRIRADNLYISGYRSSDGLWSEFLGGSLIAGARPISFGHSYGDMAKVAETALEALTLGKEELEAAAGQLAANAGTQQEIARSLMTMSVMVSEAIRFRSVGGALGHMMCNAARRGVLPAHMVDQVKSWSSLSEYWLGAVFYGQPPLRPPTVVDCSQQYAYIPQHLLAPAKIHCQDHAMMALGVALNRQRGLQGKHKAALDEHWRAVCARARKLDREGASASGT >SECCE4Rv1G0260630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:697555977:697559711:-1 gene:SECCE4Rv1G0260630 transcript:SECCE4Rv1G0260630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRPGSQEELLRMRKNGEEGNKGGDHGNALSRKEATEELIGCMVHSEEEAYKLYCDYGHRIGFSVRKGKQSYFIGTRDIRTKDYYCSKEGLKYDEPVTEANFNRPDTRTNCKAMVRFRVDEKGRWTVIRFVPLHNHQLAKPGERHLLRSAKSFAVGKSGVIDPAESAESHAMNGSADRTVGDISEPPGYTTRDCYNHDNVQNITLIGAGDSQSLVSYFKRRTNEEGMFYWDVQVDQEGRMTNFFFRDGKSRSDYDCFGDALIFDTTYRTNKYSLICAPFVGVNHHWHNVVFGFAFLLDDSTASYVWLFKSFLESMGGRSPKSIFTDQDEAIMQAAEQVFPNTQHCFSYWHILKNAQSHLGTVNTSQAFQNMFMKCMQGCDTEMELQESWDAMLDEYKLQDNDWLNGLYKFHNRWCSVFNKDTFDGGINSSQWGEVSNNILTGIADESTSLTRFALLLEKVVKTLRRNESEEDFRCSQTAPVRAVKHSTVLKQAAESYTHRMYKLFEAEFLDGCGATSCHENSCGGSLLRFEITMQGRGSKMWTVLLDTSTMEISCGCGKFERMGLLCSHALKAFSLQNVDMVPEKYILKRWTKDARRSMYNLSQEDSTQQECTEAELAYRNRAMQYAYNLVLKSQELEEARKIFWDSLETGEKALEVFFEMRSLHAQSAKDASTREKKKKKIPKGPSTKKAKQALASSSAAPVLTAQTNEQQFQPAEDANGNATIGRSYYYQVFPTPMQPNQIFMHPNTMPVCAPQDLSAYAAVRPNSNFGGAKNI >SECCE3Rv1G0196690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:811427100:811431672:1 gene:SECCE3Rv1G0196690 transcript:SECCE3Rv1G0196690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGLDEVMAFLTEHGFASTASALRDDVLGRAADGEPGPAAALDPQLPPLRMSASSSGAGAGLPPPASPGSSSGSASSSAFVSMRSSPSGLLNPYGVWSSQHSLSDASSSEMEFGTARQYDTTDLFFQEGWLYDDHIFHTKPGDRDKEEDKFVLSAQGGSGPAETFVLGPGDYCRHEHAGNDGCEGCAEVYTCSSPLCGCCAGGLKNFEELEVLRNSSCAVYGRYKIMDDQTEILDECGPDVFQVKQRGDAALECDLPTNSGQVDELMELNVVEKELQMLSSFDTYDDAEIAASPVRVRHATDNVEWVDDAENNLKSSSEKEYLKESYSLHPFPETDDYDDTYEFGDVGPLNTDVRKSATLIAEKEDPELNIDQAVSNFHQEYEVFELRIVHRKNRTGFEANKDFPIVLNSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGIDICLKIIKNDKDFFDQSLDEIKLLKFVNKYDPSDEHHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQDSGGDLYFTFPRIQAIARQCLEALVYLHHLRIIHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDSLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGEVLFPNEPVSMMLARVIGIIGPIDMEMLELGQETHKYFTDDYGLFTKNEETGQLEELVPGKSSLRHHLRCPDPQFVDFLSYLLQINPRKRPTASEALEHPWLSSEY >SECCE4Rv1G0232060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193747965:193753361:1 gene:SECCE4Rv1G0232060 transcript:SECCE4Rv1G0232060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGDDLPPPPPLPPNAEPIKAELADDLPPPPPLPPNKSEEAKKISKPKRALIARPGFGKRGNPIQLVTNHFKVSLKTTDEFFHHYYVNLKYEDDRPVDGKGVGRKVIDKLQQTYASDLANKDFAYDGEKSLFTIGALPQINNEFVVVLEDVSSGKTPANGSPGNDSPGNDKKRVKRPYQTKTFKVELSFAARIPMSAIAMALKGQESEHTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATQSGLSLNIDVSTTMIVKPGPVVDFLLANQKVDHPNKIDWAKAKRALKNLRIKTSPANTEYKIVGLSERNCYEQMFSLKQRNGGNGDPEAIEISVYDYFVKNRGIELRYSGDFPCINVGKPKRPTYFPIELCQLVPLQRYTKSLSTLQRSSLVEKSRQKPQERMSVLSDVLKRSSYDTEPMLKACGISIAQGFTQVAGRVLQAPKLKAGNGEDIFTRNGRWNFNNKRLARACVVDRWAVVNFSARCNTTNLVNDLMKCGAMKGITVEKPHIVIEENGSMRRAPAPKRVEDMFEQIKSKLPGAPKFLLCILAERKNSDVYGPWKRKCLADFGIVTQCVAPTRVNDQYLTNVLLKINAKLGGMNSLLQIEMSPSIPLVSKVPTLILGMDVSHGSPGQSDIPSIAAVVGSREWPLVSKYRASVRSQSPKLEMIDSLFKPQGTDDDGLVRECLIDFYTSSGKRKPDQIIIFRDGVSESQFHQVLNIELDQIIEACKFLDENWNPKFTLIVAQKNHHTKFFMPGSPDNVPPGTVVDNAVCHPRNYDFYMCAHAGMIGTTRPTHYHILHDEIHFAADDLQDLVHSLSYVYQRSTTAISVVSPICYAHLAAAQVAQFIKFDEMSETSSSQGGGHTSAGSAPVQELPRLHEKVRSSMFFC >SECCE3Rv1G0183080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:644045842:644047035:-1 gene:SECCE3Rv1G0183080 transcript:SECCE3Rv1G0183080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARRPRREWSDGVPPELLGVIFLDLACLADRVCFAAVCRAWRSVARAVGAPPAPRQLPWLLLPSPDKPSFFSLHSGAKRRLRLPESIRGARLCGSHDGGWVALAFEQWRGYAAVNLLSGATVLLPDRLRTHPLAPHANTSCEHHMVIRTITFSGSPSAEDCLAAAHVSSASNIAFWRPGMNGHWITCGVAVALDVIQDIIYYENGLKQGFHVLSNTEDIVVYTPNIVEGASLVMSRTSYQVQRRADYRPDNLRRKSRTVSRYLVESRGKLLMVLRLARRGKNGFRIFEMNLAIAPAGGSEASWVELHSLPGRVLLLGRGCSRAVEVSQFNRLQLGSIYYLDDTSFDISLALSSGSKYSSTDMGVYGRKTLNRARSVRRFPHKFTSEGSPPIWFMP >SECCE3Rv1G0161880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:123979600:123981195:1 gene:SECCE3Rv1G0161880 transcript:SECCE3Rv1G0161880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTTVVLLLVCLCCHVFLPSLAQASSEENFVGCLSEKIPGELLFTQSSNSSGFMSVLRASVQNGRFATNATVRPACIVTASDVAHVQDAVRCGRRHGVRLRVRSGGHDYEGLSYRSVRAEVFAVLDLARLRAVLVRPGPGEGSAWVDSGATLGELYYAIGIASPTLAFPGGACPTVGVGGYLSGGGIGLMMRKFGTGADNVLDAKIVNANGDLLDRAGMGEDLFWAIRGGGGESFGVVVSWKLKLSVVPRTVTVAKSDRAFDESTAAVLAKWETFALRPFIPDLTIRAVVQGNRTVFQTLFLGSCSGLVGKMDAFFPELGTTAGDCREMSWARAMAFITLSSSDINVPLEGMLQRTNNLRGYVKNKSDYVRCAVGKAGWESIYREHLSRNGDLMMILEPHGGVVGSVIPDSFTPYPHRRGVLYNIQYVAYWDGAADGGKAEAATGRINGLYGFMEALVSSKPREAFVNYRDLDIGQNAVGEDGVTTFESGRVWGEKYFMGNFRRLAVVKGKVDPGDYFRNEQTIPPLLL >SECCE2Rv1G0068020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:21596428:21599033:1 gene:SECCE2Rv1G0068020 transcript:SECCE2Rv1G0068020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENPSISVTILLACLILAIGGNEVKCIRGEENIGGVPLNQKVNKTILVDGRDVYDCIDVNLQPAFSHPLLKEHKIQMEPSSFPLSISKKSPSTDVIPQAQLDLIECPTGTIPILRNNRRINMPAETIDKVISIDEHEVAGVEYYDELYGTRAKINIYNPMVKNNSKDLSASWIQINKIQKAGVADGIGAGSWVYPSYSGDKFPRFHVAWVDGLKTCPDHDCGTFVQVSSSVGLGGRLKPVSVYKGPQYMIDVTIFKDPMTKHWWVAYGPQNIHIGYWPRDIFRFMKDQCNYALWGGYVQGPTASSDSPQMGSGHFASEGLGKAAFVRNIEILNKENKYVIPDERKFGLITTSFSKYTSKGYIDEYSSFGVHTYYGGPGGFV >SECCE5Rv1G0318820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:368414004:368416849:-1 gene:SECCE5Rv1G0318820 transcript:SECCE5Rv1G0318820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLCSALRSRALLLPLTPPPRTGTATLRRRAWPRATSSLSSPPSPEMEASAYKFGPYRIDAREVFHATPLSYAMVNLRPLLPVKRFTDLSTDETSDLWVTAKEVGVRLEQYHKASSLTFAIQDGPQAGQTVPHVHIHVIPRKKGDFENNDEIYDAIDVKEKELKEKLDLDVERKDRTMEEMSHEATEYRVLFS >SECCE5Rv1G0322400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:420376118:420379159:1 gene:SECCE5Rv1G0322400 transcript:SECCE5Rv1G0322400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWAALLAVVLALSCARERRLLVADAALGVNWGTLSSHRAPPAVVVDLLRANRIGKVKLFDADAGVLRALARSGIEVMVGLTNGELAGIAGSPAAADAWVAQNVSPYVGRGGGVDIRYIAVGNEPFLTSYQGQFLSYVIPAMTNIQQSLVKANLASYVKLVVPCNADAYEGAVPSQGVFRTELTQIMTQLAAYLSSSGAPFVVNIYPFLSLYQNSDFPEDYAFFEGSTHPLVDGSNVYYNAFDGNFDTLISALGKLGYGQLPIAIGEVGWPTQGAPSANLTAARAFNQGLINRMMSNKGTPLRPGVPPADVYLFGLLDEEQKSTLPGNFERHWGIFSFDGQAKYPLNLGLGNPVLKNAKEVPYLPSRWCVANPAQSLDKASTHLKLACDVADCTTLYYGGSCNGIGEKGNVSFAFNSYYQMQKQDAKSCDFDGHGLITFLDPSMGECRFLVGIDDSKSSAAASCGNCCGVFCGVSIFALWLFMYLRMMGSA >SECCEUnv1G0550830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:222549287:222549838:-1 gene:SECCEUnv1G0550830 transcript:SECCEUnv1G0550830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFICPRCRAGVDRRVSHTTRNQNRPFYVCSENGVICFFLWVDALAKTLMNKLQEEHEEWLHMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLQKKVRKLEVQAQIAIPICNYFWAVVGMVLALVIMLKMYGNA >SECCE6Rv1G0446550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:844949858:844951258:-1 gene:SECCE6Rv1G0446550 transcript:SECCE6Rv1G0446550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMRSSSSSMALALLPLLVAAAFFIPSMASSASGTLDHGLDGEGLLMLGRFHGWMAAHGRSYATVEEKLRRFEVYRSNMEFIEAANRDSRMTYRLGETPFTDLTHDEFMAMYSSNESSWESEEMTVITTRAGPVHEGTAAAQEPPRRTRTNLNLTAVVPPSVDWREKGVVTAAKYQGDSCSSCWAFTSVATMESAHAISTGGSPPVLSEQQLVDCRINGCGNSWMDKAFEWVIQNGGITTEAAYPYTGKVGKCQRAKPVAVRIRGYKKISPPGDEAALMAAVAQQPVAASFDYSDPCFQHYIRGVYNAGCSRSGVYTKGACKTAQNHALALVGYGTKPDGTKYWIGKNSWTDQWGDKGFVYFLRDSPPLGLCGIAKYPLYPII >SECCE3Rv1G0197610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:821068986:821074079:-1 gene:SECCE3Rv1G0197610 transcript:SECCE3Rv1G0197610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSQASLLLQKQLRDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPETLYDGGYFNAIMSFPQNYPNSPPTVRFTSEMWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWREKQDEFKKKVRRAVRKSQEML >SECCE5Rv1G0326640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:482601790:482602485:1 gene:SECCE5Rv1G0326640 transcript:SECCE5Rv1G0326640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGSPKAGEVPPYPEMILAAIEALGDKNGSSKSAISSYIEEKYEGLPSAHASLLTANLASMKEAGKLAFVKNNYLKADAPSATPAKRGRGRPPKDPNAPPKPKPDPNTPKRGRGRPPKAKDSMTVKEAVAKATTGMPRGRGRPPGPSSAKKAKVTKEAESPATASGSAPAKRGRGRPRKVTA >SECCE3Rv1G0148470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19150055:19151031:1 gene:SECCE3Rv1G0148470 transcript:SECCE3Rv1G0148470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARVVALVLLAVGSGLLSVAADTTTAPSPQPFVWQKAHATFYGGADASDTMGGACGYGNLFSEGYGIRTAALSTVLFNDGAACGQCYKIACDRKRADPLFCKPGVTVTVTATNFCPPNDALPNDNGGWCNTPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCVKRGGVRFKINGHDYFNLVLVSNVAAAGSIMSMDVKTNDSEDWMPMARNWGANWHSLAKLTGKMLSFRLTNTDGHTLVFNDIVPKGWTFGQSFVSMLQF >SECCE6Rv1G0386410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:95958360:95958893:1 gene:SECCE6Rv1G0386410 transcript:SECCE6Rv1G0386410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAGRPSASAAPEPPQREAAKRRRTPACAGAGARILSLGVRGAVMAAALVLFLLFAAAAVILMLALLVAARAFRQQGRRRHRAPPDSSATPPPPAVGLPSAKIRLLPCFEPSPCDGDPSSPRICPVCLDAARPRERWRALPACGHVFHAACVDRWLLLSPGCPVCRATVSIPVS >SECCE7Rv1G0477080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:214007446:214017038:-1 gene:SECCE7Rv1G0477080 transcript:SECCE7Rv1G0477080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLQDDLEFVAAGHDYDFEFDDAGGNGPPTSGGSSQHQLDTEMNDTSALEYRQGKDMQDIPWERLNYSRDQYRQIRLKQYKNYQSLARPRDALEQECQRAETRDAFYDFHLNTRHVKPTIVHFQLRNLVWATSKHDVYVMQNYSVMHWSSLLRRGKEVLNVAGPNQDMQGGRPLSRVQISTMTVKDNLLAAGGFHGELICKYVDQTGVAFCTNLTGNKKSITNAVEIYESPNGSTRVMAANNDCVVRTFDTEKFSLLTQFPFAWSVNNMSVSPDGKLLAVLGDSSDCLIADSGSGKEIASLRGHADYSFASAWHPDGRVLATGNQDRTCRLWDVRNPSEAFAVLEGRIGALRGLRFSPDGRFLAAAEPADFVCVYDVAAGYARAQEIDLFGEIAGVSFSPDDGAEALFVGVADRTYGSLLEFRRRHRHAYLDCYL >SECCE3Rv1G0199440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:845743296:845744471:1 gene:SECCE3Rv1G0199440 transcript:SECCE3Rv1G0199440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPLRGPFAALLFVVLCGAASFPSALRRALAPPATVLAPPDPARLNATLLRLAALDGSEPSLRRDVDDLLEGRLPASGRARARAWRRDRLHPLHLRHHQFPVHRRGHADRDHADSLLHPLPREELLLDPSLRRALRSWHRLRRYDPAVLRDLPAVLALPGRIPSCAVVGNSGILLRHAHGALIDSHHAVFRLNNARIVGYTAHVGAKTNLSFINSNILHLCARRPGCFCHPYGSGVPVLLYICQAAHFLDVAACNASSLATHDAPISVTDPRLDVLCARIVKYYSLRRFVAETGRAVEDWNGAHDAALFHYSSGMQAIMVAVGVCDRVSVFGFGKVADAKHHYHSNQKNELDLHDYEAEYAFYRDLAERPQVVPFLKDTGLAVPPVVFYH >SECCE6Rv1G0441710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811823362:811823607:-1 gene:SECCE6Rv1G0441710 transcript:SECCE6Rv1G0441710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRRKEGETPAAATLRGAASLGVSVQEGLQHAKASVVGVVQQTMAGSEEEAAQVDMRVAKARVEATDEAEAKRKHLAAS >SECCE5Rv1G0330660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:531596018:531597370:-1 gene:SECCE5Rv1G0330660 transcript:SECCE5Rv1G0330660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSPATAGFENECREIHGACDEPRRLIHLLAHRSAPERQQIKAAYRAMFGEDLAGRLHKTLTANQDNELCNLLYLWMLDLAERDAIMARDAIESGAAADYRALVEVFTRRKQDQLFFTKQAYLARFKKNLEQDMVTDPSHPYQRLLVALATSHKSHHDEPSRHIAKCDARRLYDAKNSGTGSVDEAAILEMFSKRSIPQLRLALCSYKHIYGHDFTKALKKNLAGDFEESLRVVVKCIYSPSNYYCKLLQRSMQRPEANKRLVTRAILGSDDVGINEIKLAFKSNFGKNFADFIHESLPQSDYREFLWLWQGGQCPVAS >SECCE3Rv1G0173710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:356421167:356449761:1 gene:SECCE3Rv1G0173710 transcript:SECCE3Rv1G0173710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPRQIASHPRETMSREANGASVSSAPVPEPERQQGQGNQGEEAGWTPLEKVADTVEELYSLRDTFFPRDPSEKYASLRACADAALGVLDSLPPEQRKSPEERVIYEFLMGKILDVFPDYCKEAEEHLSKAVKLNPSLVDAWLCLGNCIWKKGNLASARNCFLLALSKGADKKILCQLSMLERSMAQSSEDQALLVEESINHAKEAVMLDIKDGNSWYNLGNAYLTSFFASGAWDNARLHHSIKAYQNAEKDETMKLNPDLYYNCATAYKYLENYESALRGFEAAALKDPALRADIEVQKIINLLDKLENATKGRLRPKRSASLVSSLNEVNFKSSHKKATISILSGGLNKTVAVACKVVLWIRHDDDAPLYYLTCDLDQSYFILSVYGLQNEAIKEGDRVALLEPYYKIVDISWKEQRYNFKSIRVDFPEQIIINEEAPSAHHVARASIRAQHKP >SECCE6Rv1G0415840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:644671379:644671852:1 gene:SECCE6Rv1G0415840 transcript:SECCE6Rv1G0415840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSTMQFKRALLKSLLLGLRERGVASTEMGFLERKRAIRRAADAALASARGSDATRWSQALETQRRPSTSKRILRRCHRPRPRKAGTAARSRGSAGVVARAMVRKRTQVLKGIVPGVEAVDDECTLLGEALDYAVCLKAQVDVMQLLVRALQPPKQ >SECCE2Rv1G0086790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:216038766:216039094:-1 gene:SECCE2Rv1G0086790 transcript:SECCE2Rv1G0086790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMRSLMAPIKKLWIRVHSAQKKKRGIYILYEDVKSCPCEDVQILWSILVESHPPPPLRLKQ >SECCE5Rv1G0361990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782123439:782127990:-1 gene:SECCE5Rv1G0361990 transcript:SECCE5Rv1G0361990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRRNLLEASRRLSSLLHSPPPAAHAHAHAVATLSAARRDPSTGSLAATPWAATQRRGAKMLGSDVKLGNVIQRRGRIYQVIKSHHSHQGRGGATIQVELRDVDTGNKIVERFRTDEALERVFVEDKPFTYLYQEGDNVALMEPNTFEQVEVSKELFGKNAAYLKDEMKVTLQFFDGRALSGSVPPRVTCTVVEAQPNAKGLTATPQYKRVLLDNGLTVLAPPFVEVGENIIVSTVDDSYMTRA >SECCE4Rv1G0278490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:809225255:809226759:-1 gene:SECCE4Rv1G0278490 transcript:SECCE4Rv1G0278490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAALAVSLIGLFVTPIITKSINAALKYAADRNNSSKKVSANLQKLAYQLGVIKKTVRQAQLCFIRKGEGDEILWELKVAIDEAEVILDLFEYETLKNKNKPISSRFCHLPCCERNGPSPRNDIFFGYYKQHGDLMKLVTVKQNKVIAIIGHGGMGKTHLARQVYHAMESMFDVRMWAHVRNKTDEIDLLRALCKSAVNTYGGLRVKFPVDKLSVAGLHSILEGLLKPEKSCLVVIDDVWQGQHEEDGSGISRTLRDEAWKRVLAIFKRLTNCKVVLTTRDKVCSTTLNAHPTIVLDGITEKPMNLLVKYYIANLPQSPEVVLPRDLEERIAGKLKGSPRAARSIVEKLKIGGTASETRDAFMKILNELDNKHHIERLHEDHLFTFRHLPPPLQSCLAFCSMFPFGWRFQRAKLTKMWVAHGIIGDTEEVPHQDVAEGHFQDLVDRCLFKKGTDGRYEIHVHIHS >SECCE7Rv1G0497570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:626953567:626971961:-1 gene:SECCE7Rv1G0497570 transcript:SECCE7Rv1G0497570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRSASHLLSSFRPFSLLLQPLADAPSPAAAAAAASARRAMSSASALRARGDDKELARWRESMDRMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQINIIDTPGHVDFTVEVERALRVLDGAILVLCSVGGVQSQSITVDRQMKRYEIPRVAFINKLDRMGADPWKVLNQARAKLRHQSAAVQVPIGLEEEFEGLVDLVELKALKFEGGSGQEVVASDVPSNMQDFVMDKRRELIEVVSEVDDQLAEAFLNDEPITANELKAAIRRATVARKFIPVYMGSAFKNKGVQPLLNGVLDYLPCPLEVENFALDQNKSEEKVSLSGTPAGPLVALAFKLEEGRFGQLTYLRIYEGVIRKGDFIQNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCSSGDTFTDGSVKYTMTSMHVAEPVMSLAVNPISKDSGGQFSKALNRFQREDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDAKVGKPRVNFRESITQRAEFDYLHKKQSGGQGQYGRVCGYIEPLPSDAEGKFEFENMIIGQAIPSNFIPAIEKGFKEACNSGSLIGHPVENIRITLTDGASHQVDSSELAFKLAAIYAFRQCYTAAKPVILEPVMKVELKFPTEFQGTVTGDINKRKGIIVGNDQEGDDTVVVCHVPLNNMFGYSTALRSMTQGKGEFTMEYMEHNTVSQDVQMQLVNAHKATKSTD >SECCE3Rv1G0185550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:675652828:675659640:1 gene:SECCE3Rv1G0185550 transcript:SECCE3Rv1G0185550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAEASSGEGARHAHGGKDDRPEEKVPLLGMFRYADRLDMLLMVVGSLGAVGNGVSEPLISVLFGDVINSFGESTTSTVLRAVTKVVLNFIYLGIGTAVAAFLQVACWTMAGERQSARIRSLYLKSVLRQDIAFFDTEMTTGEAVSRMSSDTVIIQDALGEKAGKLVQLTSAFFGGFIIAFTKGWLLTLVMLTSLPLVAIAGAVSAQLLTRVSSKRLTSYSDAANTVEQTIGSIRTVVSFNGEKKAIEMYNKFIKKAYRTVVEEGLVNGFGMGSVFCILFSSYGLAFWYGGKLIIDKGYTGGKIVTVLFAVLNGATSLGNATPSISAIAEGQSAAYRLFETIERKPEIDSDDTSGMIMENIKGDVELKDVYFRYPARPGQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGVNIKNLNLDWIRGKIGLVSQEPLLFMTSIKDNIIYGKEDATFEEIKRAAELANAANFIDKLPNGYDTLVGQRGTLLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRIMVERTTLVVAHRLSTVRNVDCITVVHQGKIVEQGTHHALVKDPNGAYSQLIRLQETRGDERRKIQDSGVPNSLSKSTSLSIRRSMTKDSFGNSNRYSFKNPLGLSVELHEDEITGEQNKDDLSNAKTLQKAPIGRLFYLNKPEVPFLLLGAIAASVHGVIFPLFGILMSGIIKSFYEPPDKLRKDSSFWALISVVLGFASFIAIPAEYLLFGIAGGKLIERVRTLSFQNIVHQEVAWFDNPSNSSGALGTRLSVDALNVRRLVGDNLGLIVQSTAALITGFVIAFTADWRLALIITCVIPLVGAQGYAQVKFLKGFSEEAKEMYEDASQVATDAVGSIRTIASFCAEKRVVTTYNKKCEALRKQGIRSGIVGGLGFGFSFLVLNLTYALCFYVGAQFVRQGKTTFADVFKVFFALVLAAVGVSQASALASNATKARDSAISVFSILDRKSKIDTSNDEGLVLENVTGDIHFSNVSFKYPSRPDVQIFSDFTLHIPSGKTIALVGESGSGKSTIIALLERFYDPDSGRISVDGVEIKNLRISWLRDQMGLVGQEPVLFNDTIRANIIYGKHGEVTEEEVTAVAKAANAHEFISSLPQGYDTLVGEKGVQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERIVQDALDRVMVSRTTIVVAHRLSTIKGADMIAVLKEGKIAEKGKHEALMRIEDGIYASLVELRSNS >SECCE5Rv1G0328910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:510751047:510752705:-1 gene:SECCE5Rv1G0328910 transcript:SECCE5Rv1G0328910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSNTMIGILNAVTFLLSVPILAGGIWLRARADGTECERYLAAPVIVLGVFLMLVSIAGLVGACCRVTCLLWFYLVAMFLLIVVLLGLTVFAFVVTHKGTGEAVSGRGFKEYRLGDYSNWLQKRVENDKNWNRIRGCLQDAKVCRSLEVKTETLDQFMTSDLSPIQSGCCKPPISCGFTYVNSTQWTGPAKSTEPDCAAWSNDGALCYGCQSCKAGVVATLKRNWKRSAIINIVFLVFIVIVYSVGCCAFRNNRRDHRNGGGYKQQGAYA >SECCE4Rv1G0230500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:164138222:164144560:1 gene:SECCE4Rv1G0230500 transcript:SECCE4Rv1G0230500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMGSKQVLVPTVSCLLLLFCLGCKCRASELEATQTATLKVDASSQLARKIPDTLFGMFFEEINHAGAGGIWAELVSNRGFEAGGLHTPSNIDPWSIIGDDSSVFVATDRTSCFSRNIIALRMEVLCDECPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSAEAADLTVSLTSSDGLQKLASVTVPVAGTSNWTKVEQKLIAKGTNRTSRLQITSNKKGVVWFDQVSLMPSDTFKGHGFRTELISMLLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVSTAAIAPFVKDVLDSLEFARGSANSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKYYLGNYLKFYNAIRESYPDIQMISNCDGSSKPLDHPADLYDFHVYTDSKTLFNMKGTFDKTSRTGPKAFVSEYAVWRTDAGRGSLLGSLAEAAFLTGLEKNSDIVQMASYAPLFVNDNDQTWNPDAIVFNSWQQYGTPSYWMQKFFRESSGAMIHPITISSSYSGSLAASAITWQDSGNSFLKVKIVNFGSDTVSLTISVSGLQASINALGSNATVLTSSNVKDENSFSNPNKVVPVTSQLHNAAEQMQVTLAAHSFSSFDLALAQSELVAEM >SECCE6Rv1G0450040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:865626675:865630526:-1 gene:SECCE6Rv1G0450040 transcript:SECCE6Rv1G0450040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVIGTASWLICRVVNLLRNNFVEAYSASTELGINSSKLKDELMRTQQLLHNAERSGMIDNPGLQPLLLQLSTKAGEADDALDELDYFIIQDQLDDTQYAVPDPGDGLWGHAHHIRHAASHLTGGNFSLPCFPCWLLQDDHGTTAINDPHMTTNVGSGNDDPVDKLPFDRVAMSKKIKPMINELHSLCNSVSELLTRHTSDTSIVNPKRPLIGSTTAQDTLYGRRDLFEKTIKDITTNCTNCGETLYVLPIVGPGGIGKTTFSQHVYNDKRIQDHFDVRVWVCVSTDFDVLRLSQQILGCIDGSNIANQTTNLDQIQISMAQRLKSKRFLVVFDDIWECNNQDWQNLLAPLKKTETKGSMFLVTTRFPYIVDIVKTTHSIVLKGLEPDDFFKFFKEFIFGEQIHEGYHDDLTHIARDIAEKLKGSPLAAKTVGRILKKDLSWEYWMRVLENNEWEKGGNDDDIMPSLRVSYHYLPFHLKKCFPYFSLFPEDHRFKKSDTMYFWGALGIANMRGEYLDELVDNGFLMKENNNLVGEYYVLNNLFHELSQNVSSKECLNISSSSTFRADSIPKSIRHLSITMKDQYDCNFMGEMIKFRSNVDPGNLRALMIFREYGEPIERILEETFKGIQGLRVLFIRVKSPGNFPCNLSKCIHLRYLKVIGYTNDSELTLPTTLSRCYHLELLDLNNWFGRVNLPKDISHLTNLCDLFSHQYHCNELLSGIPHIGKMKCLKELTKFFVKKESVGFELSELGELTMLGGELSIYNLENVANKEEAMKAKLVSKDGLKKLALHWSKEHRRREGDVLDGTTEWLDIVDGLEPHPKLQSLAIANHGGLAGPSWLCDATSTPMLTSLHLEGVSWVILPPIGELLHLRSLILSNISGLGKIGPEFCGVVDKSFSKLKEIALVELPEFTEWVGTPNAHCFSRLEDIVCIDCPDLCFLPFLQEKSGSYTSMSTLQIHHCPKLFLPFAPQTSAITHIDMYAAGPSRSMEQVEPELPLMMHSDAFQAASKFTWAQLLKLTSLKILSVAKEPSFLSTTMLSNHTSLISLQLADCEKLTVDGFNPLTTAVDLKELRVYNTCYCPARSVAADLLSELMASQLEILMVDSISATLAAPVCRRFASTLHQLHFLFDLRVESFTQEEENALQLLTSLQFLFFEFCPGLPSLPRKLHTISSLMDLQIVGCPKIQSLPKEGLPTSLQSLLIMDCTRELYEEAKELERTSRYPYLSVCDSQATIYLNQDLPARTASSKLAARNNL >SECCE1Rv1G0026140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:366416922:366419009:1 gene:SECCE1Rv1G0026140 transcript:SECCE1Rv1G0026140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRGQLSRPPDTNTALRLAAQLQSCGRAGDLRLARRLHARFALSGAAAASAFLTNHLITMYSHCADVRSALRLFHAMPRPNLVSWTTLISGLAQNSMHRDALAAFASMRRAGLVPTQFALSSATRSAAALAAPGPGAQLHCVGVRLGFDGELFVASNLADMYSKSGLLAEACRVFDQMPHKDTVAWTAMIDGYAKNGSLEKAALAFRDMKREGLVGTDQHVYCSVLSASGGLKDGWLGRSIHSAVVKAGFELEVAVRNALSDMYAKAADMENAARVVKIDPGGWNVVSATSLIDGYVETDSVEEALRIFLELQRRGVEPNEFTFSSMIKGCATQALLEQGAQFHAHVIKTSIISDSFVSSTLMDMYGKCGLVSLSVQLFNEVEHHTDIAWNAVINVFAQHGHGREAIQAFNRMTSSGIRPNHITFVSLLTACSHAGLVDDGLKYFNSMKNAHGIEAKDEHYSCIIDMYGRAGRLAEAEKFIHEMPIKPSVYGWCSLLGACRMRGNKELGEFAAQKIMELEPDNTGVHVSLSGIYASLGQWEDVKAIRKLMRDSRIKKLPGFSWVDANNKTHVFSSEDWSHPQQKKIYQKLEELYERIKEEGYVPDTRSLPCNLEDTAKERILRYHSERIAVAFALISMPATKPIIVKKNLRICVDCHSALKFISKVEGRDIIVRDNSRFHHFGKGRCSCEDYW >SECCE7Rv1G0497440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:625554524:625555612:-1 gene:SECCE7Rv1G0497440 transcript:SECCE7Rv1G0497440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKVAMRLVESAKGRAATCGRRTRGLQNKARELATLCAVPVALVCLAGAGAGAPPLVWESEEGVLERYRRAVTPEARAQHTHRGYLEAQVGKERAKLARVRHGCPAALADWDAALNYVTLAEARDLLDAIDDKLRAAGDRMEALGLPADGGHDEQVAPDASDDAVMPQQLAQGGGVPCTGSDPVDMDVAGFHQLQMVPWDGGNKDDLLGEERFQMQPGCGFQCIGGNNSRAVDETLAPGPGNAHYDWPDLTMWHTDELRAAVLPLGYYPGFADGTLAPEYSAQVVAGGDYLKTLPTGYGYPMAMGVGDNFTLGSNYTEHWQAEESRRSGPSTSQLLSAASSPQCSNPGTRSSNQVFHYLH >SECCE7Rv1G0518100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857238766:857253524:1 gene:SECCE7Rv1G0518100 transcript:SECCE7Rv1G0518100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNAALNLTALREQSQKELLSIIKSIRGKKCLVIDPKLAGTLSLILQTSVLKENGTELRILSADPLQTECPKVVYLVRSQLNFMKFVANQIKNDESKGLQREYHLYFVPRRIVACEKILEEEKVHQKLIIGEYPLYLVPLDEDVLSLELDYSLQECLIEGDTSSVWHVAKAIHKLEFAFGVIPNIRAKGVASSKAAELLNHMQLEDPVSMDNMGVPEIDTVIFLDREVDMVTPMCSQLTYEGLLDEMLEIHNGSVEVDASIMGAQQDGKKVKVPLNSSDKLYKEIRDLNLHVVVQVVRQKATSIQQDYAEVKSTNTQSVSELKDFVKRLHSLPEIARHVNLAQHLQSFAAKPAFHARVEIEQIILEAQTYETCYEYIEEIIQKQEPIETVLRLLVLFSLTNAGLPKKNFDYLRREILHSYGFEHMPLLYNLEKAGLVKRQESRTNWPVISRALQLIVDIKDPENPDDIAYIFAGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHMDLKRGVSTISSSESLPGSGSQQSTDRVGHRSLVLVVFIGGVTSAEIAALRFLSAQEGMGYDFLVVTTKVITGNTLLRPIIGSSKEGMI >SECCE2Rv1G0113720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:725089563:725093799:-1 gene:SECCE2Rv1G0113720 transcript:SECCE2Rv1G0113720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEALPRAAAPARRPSVAAASASRLLVGHRPFLRPSASRFAAGRAAVAGPAAGLRPRPRRPRLSVVAMAGNDRQVPLEDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAVWDKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVANLGAKPLVIQLPIGSEDNFKGVVDLIRMKAIVWTGEELGAEFSYQDIPADLEELAQDYRLQMMETIVELDDEAMEGYLEGNEPDEATVKKLIRKGTIGASFVPILCGSAFKNKGVQPLLDAVVDYLPSPLDLPPMKGTDPDDPELVLERRPSDDEPFSGLAFKIMTDPYVGSLTFVRIYSGKLIAGSYVLNSNKDKKERIGRLLEMHANSKEDITVAVTGDIVALAGLKDTITGETLCDPDNLVVLERMEFPDPVIKVAIEPKTKADADKMANGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFKVEANVGAPQVNYRESISKIAEIQYVHKKQSGGSGQFADIIVRFEPMEAGSGYEFKSEIKGGAVPKEYVPGVMKGIEESLPNGVLAGYPVVDLRAVLVDGSYHDVDSSVLAFQIAARGAFREGLRKAGPRLLEPIMRVEVITPEEHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMSKGRASYTMQLAKFDVVPQHIQNQLSAAKEEAAA >SECCE4Rv1G0267360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735803960:735804452:-1 gene:SECCE4Rv1G0267360 transcript:SECCE4Rv1G0267360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPPAYHDQKLGAAKRCAREAALAGAKAAAVAAVAAAVPTLLSVRMLPWAKAHINPTGQALVISTVAGMAYFIVADKTIVSMARKHSFENAPEHLKDTSFK >SECCE5Rv1G0339300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:606686429:606688333:-1 gene:SECCE5Rv1G0339300 transcript:SECCE5Rv1G0339300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHSVLARKSPLGTVWIAAHLERKVNRTQIDGVDVPSYAASIMDPEVPIALRLSGHLLLGLVRIYSWKVNHLFQDCNRMLSAVRTAFASMEAIDLPIDADRAPFEVITLPETFSLDDLSLDDAIRQMDTPDRHQRTSDQITLSGEGYVMITLDEDGGAEFTSPAGRSSGFEPEQLEQETFPPFPEDIISVDPPLHGSFAVTHQDTPEILRRASESASRFEDVIDGGDLMDEDPSPFIEKVTTPPAMHSPLSPVLRTSIPNVPTRISHEPVEEDEEPAGGTGILAPAAFILEPSPPPQAQGNRRRKRANVQENRRRPKIDEEIVLPNDYMSNQVDGTELGRLVRRRKMLPHTAVDVWRFNRISQKDSLFSEPLVQGMCSDLHKAYERNYPRVSDSDAQPADVVNVRDSDAQPADALNVRDKDAPTRNADTQEPEPHLTMNSLGNGEATPFDSPPELPRFSPQKDLSPVREDHTPLETPGRSGTPRSGLGGTGATVPLTHFSYASQGKNTLESDFPFGTDDFDEDLPDFPGLMNTPSMISSAGTSTTGLGSIMSTRTRAAAQYFKGMMSSATSEDQQGKFSLNRILDGRTKKQAARMFFETLALKSYDYIDVHQEEAYGDISVSVRPSLSSAKL >SECCE2Rv1G0121880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:811765295:811765543:-1 gene:SECCE2Rv1G0121880 transcript:SECCE2Rv1G0121880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLAVALLLLVVLAYCDGRELNQKDQALATARGAGAGGGVGEEKILGLPELPLVGTVTGTSTVTGPVVVLPALPALPAHP >SECCE4Rv1G0219290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:29299635:29300974:-1 gene:SECCE4Rv1G0219290 transcript:SECCE4Rv1G0219290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTSPTPFGAATAARRRLPLPGRRAALHVALARRTGVSSRTQRRLEERGGKKRRGGVETPDADEDAGPAWEGVEWEGEPLGFEVSTEPMPYLPDPEKPDFWEGPNMEALGFFVQYMWAFGVFFSLVACGVAVATYNDGASDFRDTPAFKESTQVQEFPEEPESSGADVFEGNPTEVAPALE >SECCE4Rv1G0239250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:397564674:397574596:1 gene:SECCE4Rv1G0239250 transcript:SECCE4Rv1G0239250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGADLAPVMELKAVANGGTSTDVVASDKDNTKTEEAGKSKDVAAANTNANQQNKASENGTKGSSDDDAKMEEAEDAKEDDVGAVKQAGTEDVKSGAADNVDAKEGNGANAAEHEDSKTAAIEVVVAKEYDNTKSAEHKDSKMVIVEDANAKEDDKAAKAAEHEDSKTAGIEDVVAMKDDDTKSAKYKDSKMVIVEDANANEDDKAKATEHEDSKTAGIEYTVAKEDANTKSAEHKDSKMVIVEDADAKEDDKAKAAEHDDHRTCGVAAERKDHRTGAVEDADANEDIGAKAEECKDGKMNTVDNADVKEDEDANAAEHEDAKMVDVDDADAKEDNGTKVAEHEDVKMGSVEDIDANEDNNTKAAEHEDIIMGVVEHADVKEDNSSSVAECEDVKMAEAETKPGDAELEDKGHHEEKDVNTEVKKRLKDAEQCGSEMHDELNGQGKNGVARQQEDKAEEMSDDDSQGEEEVEEKGSSDKKEEGGDGKTNENEEKLEKGSAKKEGKDGKVTEEEVSKADKNVEENKEETPKNKRARSARDRRQGQDKKQHGSKSREAKSLLNTPSPYGIDRPQRERKIVERLVEVIDKEPNRSFIVEKGRGTPLKDIPSVAQRLTRKKPADLKFLHNVLFGRKGKTVDFKGHILQFSGFVWHESDEKQRAKAKEKLDKCMKDMLVDLCWLLAIPVPKTNIRKEDIVAKLLDFIAEPHAMPDSGLSDDQGSNSRKRKRGGGSSSKTSDITPKSKKKFADDVSPRQKQALEYDMDEDVKSDSEEDADESPDEQEDGYDSAEEKASRKSLEVKDSAGKKKAAAGSTHRTSPPRTASKTAGKTSPSKGSKEKESPDESAKVFSRKKKPIISKHTPSSEKVVEEDKLSGKEAMKSKGESAEGGLPSKAELKKTIIGILKKVDFNTSTFSDILKKLDEHHKIDLTARKGAIKIMIQEELTKLSEQEDDDQDKNADAKKKQARHRAKVTG >SECCE6Rv1G0409860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:592454350:592454961:1 gene:SECCE6Rv1G0409860 transcript:SECCE6Rv1G0409860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVSRSADKFAPLAGLRSLLAPDAVGRGVVTRTIPASRSRAEADPEESVDEEDEGCWVSYGRREPGRRRLPPPIPSLAGRSALRRARTEDRRLVISKVRVMRPDYYVRARRVRGGRLLMRLVEREDDCPSDPLLAPGGAREDDADRADEATAVKEDVVDDEQAAAAPATMPAVGCFEDAVKYQYAIGSSPLHQMRLPRMVH >SECCE5Rv1G0339450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607547873:607549180:1 gene:SECCE5Rv1G0339450 transcript:SECCE5Rv1G0339450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSRLVKPAYKAGAPATEYIPLSIFDTVTYQIQMAIIYAFAPPAPSTAAIEKGLAAVLAHYRAFAGQLGESPDGAQAVVLNDHGARLVEASVDADLVDMAPAKPTPALLKLHPDLDAEHQEVVVLQLTRFRCGSLAVGFTSKHVVADGHATSNFLVAWGRATRGLPMGVPPVHHQKDLFKPRSPPARPEHDHRSREYHRPSPTAAAGHHHGDDSAESNIVIHKAHFTKDFIAGLRARASEGRGRPFSRFETILAHLWRTMTRSRDLSPGEATSIRISVDGRHRLGRPAEYFGNLVLWAFPRTTVGDLLSRPLRHAAQTIHEEVARVDGAYFQSFVDFACSGAAEKEGLAPSAVLKDVVCPDVEVDSWLTFPFYELDFGTGSPSYFMPSYFPTEGMLFLAPSYLGDGSVDAFVPVFRHNLQAFKECCYSME >SECCE7Rv1G0477310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:216906569:216909154:-1 gene:SECCE7Rv1G0477310 transcript:SECCE7Rv1G0477310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARKQHITIFTTASLPWMTGTAVNPLFRAAYLAKAGDWEVTLVVPWLSKGDQMLVYPNKMKFSGPAEQEGYVRRWLEERTGPLPRFNINFYPGKFSTEKRSILPVGDITETISDEKADIAVLEEPEHLTWYHHGRRWKNKFRKVIGVVHTNYLEYVKREKNGYIHAFLLKHINSWVTDIYCHKVIRLSGATQEVPRSVICNVHGVNPRFIEIGKLKHRQISQRAQSFFKGAYYIGKMVWSKGYTELLQLLQKHQKELSGLKMELYGSGEDSNEVKASAEKLNLDVRVYPGRDHADSIFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYSTEKEFVRLTMKALSEEPIPLTEELRHELSWEAATERFVRVADIAPATPAKQPPSTSQRFMYINPDELKKNMEEASAFFHNTISGFEAARCVFGAIPNSLQPDEQQCKELGWRPQGL >SECCE1Rv1G0032270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:452770127:452775248:-1 gene:SECCE1Rv1G0032270 transcript:SECCE1Rv1G0032270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAEPGEIEGYLGLRDVRVELDPGKARGGGEGGEGAGGGFAVCFWLYLSGSARPSPVILHQITAGDGNKLPFLALSEGNKLLLFPLLRLHKQAPSSSNSSPWTDTAYISSVNECPLEHWIHIGCEVTENAMRLHIDGDLVAEAHLCSLSSQPDNQDDAYQVCLLGNNGKVDGYVYNIQVLSMLGTIQEQYAENPPSKLSIDYSCCDGIEEGDDGIWCIVGGKASCRRNFMLEVVLTNAFGEHANKDTQIVASLVYADNGALVEKSRDDAEPPLLIACEGLEYPAESRPLPILRGRALFKLKISQLSSKCDNKLFRIYFSTLHTRKYPFLEAFSKPIRCISRGRPSRPLSAAKWTSSATMDEICLLNNGQGLDRDGKANACSPSHDQNSVTCLHPSKFLKVEGDGKETHKMVSQSKQGRKMAVEAQSIRTESTMSDSDSIDARSSWSGSDKDEAETLSDAMIFRYCLEGTYERSTFLKGAASSISVDDLVTLANEVSLYSGCSHHRNQILISKQLLEEGAGTWSIISKNKERALWSSAVPEIMTKFMDIAHSTNRGLSEQDLEVLRGIAGCGDDLGRNEFDRLWYWLYPVAVSLSKDKINNLWGCTAPVWIEGLITTEEAENALRSSRELLKKPGTFVLRFPTTRSWPHPDAGSLVVTYVASDNSIHHRLLSLDVSDARAGSLQDLLLQEPELLQLGRVDRLPTAMKY >SECCE5Rv1G0316700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:327806382:327808181:-1 gene:SECCE5Rv1G0316700 transcript:SECCE5Rv1G0316700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLPTLAVHLPPCPTPAATPPATAAGVHVATVLDLIERAISVGDVRRLGRAAHALLVKTALNHHTLLSNRLIELYATLNSPAASRAAFEDLPYRNAHSYNNLLAALSRGPATLPDALHLLDAMPASSRNVVSYNTVISALARHGRQGEALRVFARLTRDRCLGLEVAVDRFAVVSVASACAGMGAVRPLREMHGAVVVSGVEVTVIMANAMVDAYSKAGRMEDARTLFNQMSIRDTVSWTSMIAGYCRAKRLDEAVWVFHMMPEQDTIAWTALISGHEQNGEEDAALELFRQMLVQGMTPTPFALVSSLGACAKLGLVIRGKEVHGFILRRCIGSDPFNIFIHNALIDMYSKCGDMATAMLVFARMPERDFISWNSMVTGFSHNGQGKQSLAMFKRMLEAEVQPTYVTFLAVLTACSHAGLVSDGRQILESMKHHGVEPRAEHYASFIDALGRNHQLEEASEFIKGLSSRIGPGTTGSWGALLGACRVHGNIEIAEKVAESLFQLEPGNSGRYVMLSNIYAAAGQWDDARRIRGVMKEKGLRKDQACSWIEVRNAKHMFVADDTSHCEANEIYGTLDKLFDHMRVAVDPVDDQLALC >SECCE3Rv1G0151410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:38584923:38590032:1 gene:SECCE3Rv1G0151410 transcript:SECCE3Rv1G0151410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRALLSVLLAATCLVLHHLTLPASAAVATCAPRACGNVTIQYPFWLTDAAQPAPCGPSAFQVDCRGGRASLARSFRGGYKLLSVSYADRAVVVANDNVQTDAAGCPVPKIDVSASLSLAPFSASPANRQLLFLFNCTPAPAATAGFVDVICPGTAAVVRLDPRYNTTDARAVAAGCDYAVVPVAGSLSPGASAGVEYPRLLKEGYVLQWRAPAGDCGACGASGGQCGYDSDAEAFACVCADGSSRPARCDGKKSSNKVILIASLSVTIGLVLLACLVTAFKFHRQIRSMSFVSNIMDKNIKADNANVEKLLKKYGSLAPRRYRYSELKKITSNFKHKLGEGGYGAVFLGTLGDRRAVAVKFLHHSRPNGEDFLNEVISIGRTSHVNIVTLLGFCLEGSKRALVYEHMLNGSLDRYIYSSPSSKPESPATRLEWETLHEIAMGVARGLEYLHEGCNTRIIHFDVKPHNVLLDNGFRPKVADFGMAKLCDPTESILSMADARGTVGFIAPEVFSRGFGVVSAKSDVYSYGMLLLEMVGGRSNVKAYAAAKETDVFFPLWIYDHLLGDGGVLVMQGGDGTTGMGEETIARKMALVGLWCIQTVPANRPSMSKVLEMLERSIDELAMPPRPYHPSSPSSSPSLSHSLPSSYPSSASGFTQRSRSFTTESTK >SECCE2Rv1G0130780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:878507984:878509796:1 gene:SECCE2Rv1G0130780 transcript:SECCE2Rv1G0130780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHGKKNDGTGVDIGKLVSSMKRELDYYWSLGEESDTPYSTCLIYKVQQHISEVDRSSYEPIMLSIGPYHHGAVACQTMEKTKWGYLDEIIKLSCRRNLLDYLNEIWVLCSPARRCYSDHFEMDNEEFLQMLLLDGCWILVALGATERLTARVQLSERSGNGQDRAVDTAEAMLGTQEEESVIENADYIQESNQDTEMEDPQSSQQVGLWFNRYLKLGQHHNYNDQSGNSTQQVEYLEAGQQLNRWRRVAQYLETGVQFRKRDFDKLDPHSLLDIRFTNSALEVPCLVIDEHTEFLFRNLIAFEQTCPQVGDDFTAYSVFLSQLISMPEDVTLLAKKGILVHHLDSDETVSDLLTKLHIGVVFDFNGNNYLKSVCQTMEAHYQNRLNRWMTWLWFNHFSNPWLVLAALATVVALVCTIVQTVYGILAYLYPPGPSNS >SECCE7Rv1G0498250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:637127420:637129828:1 gene:SECCE7Rv1G0498250 transcript:SECCE7Rv1G0498250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSTSLLLLTLIHLLLGISAREFLLPGSSLSVEDSSGALHSPNGAFTCGFNNISPNASVFAIWFADTAEKTVVWSANHLHPVYSWGSRVMLHTDGRMVVEDYNGQPAWENNVTSSSKAEQAQLLDTGNLVVRGQGDIILWQSFDSPTDTLLPNQNITAATKLVSTHRLLVPGHYSFHFDDAHLLSLFDDQKDISFIYWPKPDLTTWARQRNPFSTTTVGLLDSWGYFHGSDNLTFKSTDWGLGIMRRLTLDYDGNLRLYSLENREWSVTWIAFQTCFVHGLCGMNGICVYTPRPACACAPGHKIIDPSDRSKGCQPKFNLSCHGQEMKFVKLPSTDFIAYDQSKLSLVSFDTCKKICMNDCSCKGFSYWQGGGSCYPKSSLVGGVTSPGLPGSIYLKLPKTLQVSGSSIPQSQPFGLRYAPNCSANNKYFTAGFLDMPKSSGSGSKYLYFYGFLSAIFCVEVMFVALGCWFMLRLEGKQLTGVWPAEVGYEMITNHFRRYTYKELQRATRKFKYQIGRGASGLVYRGVLKDKRAVAVKRLADINQGEEEFQHELSVIGKIYHMNLVRVWGFCSDGPHRILVLEYVENGSLDKTLFSGKGSQILLEWNERFKIALGVAKGLAYLHHECLEWVIHCDLKPENILLDENLEPKITDFGLAKLLNRGGPNKNVSRIHGTRGYIAPEWVSSLPITAKVDVYSFGVVLLELLKGGRVSDWASNADEEVEMVLRRVVRMLAENLMLEGSKQLWIADFIDSRLNRQFNDLQARTMIKLAVSCVEEDSRKRPTMENAVQMLLSVDEASG >SECCE1Rv1G0009790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:57306079:57307848:-1 gene:SECCE1Rv1G0009790 transcript:SECCE1Rv1G0009790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGNDRLSALSDDVLRRVLRFLPVREGVLTSALSRRWRPLWLSCGVWRSRGALNLVGRAYEDKDDDPHALYSAEARRHFYARRDAFVSAAHEALDAADKAAEAGGESPIRALTIRVEANGDSNIHDFLHRDMDFKHQDVLAGLLSHPAARNVEELRIAAVDSVDGKPMYFERSKYEASGNIHGLGIYPLRLGSLPSETLTVLELTNCSSLKPPAAGTSFPRLTSLRLRHCNVPLNKLQRIVDAAPLLAFIYLEAILLEEKTRPNNTQGILPPPPEKGELRSLSFPAATALVLDKCSLKEEGTVEIYVPMLRHFRYQGVLRHISLRPPPPQLARAELTLLEHGDTRHRDPHTARRSFWGTVQGLSHAKKLKLRVRHLEEIAITNERRKVKLLPELHNLEHLELVGVYTPTSKTAAVVIANLLCCSPSLRDLQIKLSTAHEDTNKRIYYGLDFLKRKYQTQFEESVRRSKRRRSQSTVVEEEDNSVNYDGVSDLPGLRGRSFECLRRRLRRVGLQFQQEKTNCFGVRLIKFFAENATVLETMCVDAGNERICEHMNHKIEKWVASSSDRRRKNSKDATKIRVLPLARLN >SECCE5Rv1G0316990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:334002392:334003007:1 gene:SECCE5Rv1G0316990 transcript:SECCE5Rv1G0316990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKHLCLVRFKEGVVVDDIIEQLTKLAAELDTVKFFGWGKDVLNQETLTQGFTHVFSMCFASAEDLAAYMAHEKHSAFAATFMAVLDKVVVLDFPFVVAKPAPSPEAA >SECCE3Rv1G0191120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:746405797:746408858:1 gene:SECCE3Rv1G0191120 transcript:SECCE3Rv1G0191120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTTSVMAAKAYSYKAESLVKEYLLADSYVSYTAMLGGILMCKMVYDITHLVSSFFYKCYASLTKAQKLEWNNRGISTVHAIFITFMSVYLVFFSDLYSDKLDGPVTFRSSNLSNITLAVSVGYFITDIAMIFWVYPSLGGMEYVVHHFLSLVSIVYSVSSGEGQLYTYMVLISEGTTPGINLRWYLDTAGLKRSKAYVVNGTFMVVAWLVARIILFIYVFYHIYFHYDDVMQMRTFSRVLIFGVPTILLIMNTIWFAKILRGLKKTLAKRE >SECCEUnv1G0529720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8919591:8920028:1 gene:SECCEUnv1G0529720 transcript:SECCEUnv1G0529720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKAMAPVALCLLAVTFLVGMADTSRVTPCCGHRSRELRQRVVQARYLLVRTAPVAAGILLYASKADDDLRATGRKLLALFIVGDALSFVSSFLALAVIGLELSCDCAVHYWLAVAVALAAMRLVGAWVVCSRLRAQKLRRAI >SECCE5Rv1G0375320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867914274:867914996:1 gene:SECCE5Rv1G0375320 transcript:SECCE5Rv1G0375320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLAGTAALLLFLLVLVFADSNSRHGHGGAGVVRDPTLIVVELQGHDDKSSVAVQKHDVSVAGFTDESGHWHAFPGLDHLFPASTTLPFGSSYGELIGGLANLPDVPLGREAMMQAVRVLSAYHPGADVEPVKRALAVVKVVISEAQRLEPIRKTVNDGWDTGARVASGHLPYIEHWDTMSYEILRSNRTGKWDGPFTKMLETQANIRSKEEALAVVGLLLNADFGQVFKAHATPISLE >SECCE1Rv1G0017040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:146430380:146432023:-1 gene:SECCE1Rv1G0017040 transcript:SECCE1Rv1G0017040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASVAVLTALPFPTCPSSDDSDDAKSLPPPPTSAETRPPPQHQQRSRWWQLERDCNVAMKALARAGDVDQVLALFTELRASRTGPGGASPPNVLCYNTLVNALAEAGRVEEAHNAFDEMLAAGVAPNASSLNILVKLHSWRSARFDLAYEVIIRLQELGVEAEVGTYSTLVTGLCRVGRVGEAWGVLEWMLEVGCRPMVQTYTPIVQGYCHEGRVDEAKELMSTMENAGCPPNVVTYNVLIRALCDAARFDEVRQVLTDSRTKDWKPNTVTYNTFMNGLCKKGMAKEALEQLDVMLGEGLDPTDFTLSILLNCLCHDSRIPDAICLLERSTSLKCYAGVVAYNTVMSRLGEMGHWMGVLKLLTDMIKRGVMPNTRTFNIVIRSLCFGRKFSIAKSLASNQGFAANVVTYNTLIYFVFYYSRKLSEVKDLIFDMTAERIAPDEVTYTIIVNGLCRDGFFDTATSYFLESLEIGLSRDLFTVLTNRLAHNGKIWETIHIFKGMEEKGFIPDNSIFDLTIRIFCRAGYCNDTDMFKLNFILDTMLGK >SECCE4Rv1G0280650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:822576579:822577220:1 gene:SECCE4Rv1G0280650 transcript:SECCE4Rv1G0280650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPMASMAISAVVVMLLSSAMAAHASGGGEKDITSLVMEACKNISSEPFKVGFLPEFCASTLLSDHRIAEAKDCRDLALITVDILKVHAASMVGKVDNMLRDGGRIATKDKIAARALRLCRADYCGIVNTLQICHNIIQDFGRKGKHGPTPSELPECVDKTTNSIKDCSHEVCSTSVAETMAMANEILEKLAIVSKALINLFLAGKKDGN >SECCE5Rv1G0357690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:748767207:748768585:-1 gene:SECCE5Rv1G0357690 transcript:SECCE5Rv1G0357690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMELEMEKVMSDGEVVLGGGEDEEEEEDVVLPGYRFHPTDEELVTFYLRRKVARKSLRIEVIREMDIYKHDPWDLPKASTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAAAGSSGSRASIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAAATAINASPSMQEAEVWTICRIFRRNITYRKQQQQPQQTWRPAPAGAATDSSSNTGSFESSEVGDEYMNCLQAPAAPAPCIPQQYCSQTGTVDSGDFFYRDTMHNQQFHGQWNAAPAVPAPKEKPQNPLSTTGAFQQNDHSLAVAANDFYKVEGYLEEIARMMEVSDPAGFYDYGSYC >SECCE3Rv1G0199930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:849906999:849910108:1 gene:SECCE3Rv1G0199930 transcript:SECCE3Rv1G0199930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLQHRSAERHLEGVAAKLAEMVKKGAKKAGKGRTVVVEGAEVRRLGKWYGDAMEVMLEHARMEERVLFPDIQRASFPGVCDKVQEQHGKHLPMMNGIKEDIKTLLTLELGSALFYEVLVNLSVRLKALQDHTKEHFKEEEKDMLPRLESVRRMQREEGNVPDKSNSGWASEAMGTMEMTHSKLFPFFMTGLMPQEAVQYLDLVCRCTKNTRHLVSMLRSLAERLEDANPSIIHNNPTRLYEHLLVKSP >SECCE3Rv1G0195060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:789253791:789256624:-1 gene:SECCE3Rv1G0195060 transcript:SECCE3Rv1G0195060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWRFSRPLLRAAAGAGASASAPPRAVAAACRLPSPPQPPFGLFQQRFRLASLPAAEAAAGRDPEAEVTAEEARRLMRMANVEALKQRLGEGEVIPYAELLRKCEEAGAARTRAEATALAGALDEAGVVLLFRDKVYLQPDKIVDLVRKAMPLALTPEDDPRKEELKQLQTHLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTATGLVVGYAYFLITSRDPTYRDFMERMFQSRQRKLIQRQKFNLDRYLELQRCCKDPLEKICGTSHFSNADIAHLHELSVHK >SECCE4Rv1G0218260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:21342417:21343556:-1 gene:SECCE4Rv1G0218260 transcript:SECCE4Rv1G0218260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKRELQRKRQMLDADFGGRKVLRRAEIEAREIQRLRIAEQERLIQKKKKEEQLRSHPDAASSPASSMPTLPPAAVAAVEKADAGAEGSVESSLPREEVIRRLRLLRQPATLFGEDDAARLRRLHDALEDPAAVADTNDFLRDIQALRARAAAKPKPGAVERSNGARDEKEEEVPFDELCEEDKIVAFFKRLLSEWGQELDEMPEPERRTTRGKAAVATCKQKKALPDDVRRALLDVVRCCMRRDHLGATDNYIKLAIGNSPWPIGVTMVGIHERSAREKIHANGVAHVMNDETTRKYLQSVKRLMNFCQRRYPTDPSRSVEFNSLTNGSDLQSLLAEKGAKNSEETLRLVAAS >SECCE1Rv1G0032870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:460525104:460527112:-1 gene:SECCE1Rv1G0032870 transcript:SECCE1Rv1G0032870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSRPPPHARPPRSAPGHARSRSQLAQVRPDPAHDFEFRFDFLPDTFRFDMDGGAKPAAAKDKEEVLAGFDKVRLSIASSEDEEEEDDDGAPPRSSFSGASHPPEPVDEMDPVLVAVDHGRDAKKAPPPLPAKPVIVWDASPPVSGAASPHSSMGDSSSGGGAVTATCTSMAPSCTVTSRSAKTSVSSSAASDWSNGTAGAGGSGGVGGKPHKGGDPRWKAVLAARARDGPLGMGDFRLLRRLGCGDIGTVYLSELSKGGGGGGAARAPWFAMKVMDKASLESRRKLSRAETEREILQLLDHPFLPTLYAHFETDKFACLVMEFCPGGDLHALRQRQPGKLFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHVMLSDFDLSLRCAASTTLVRPSLPCSATGPNGGACIQPTCFMPKLFGGRSSKKSASAASRSKGGEQQQGAMPELVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVFLHELMYGRTPFKGQTNRATLFNVVGQQLRFPEGGPPTSGASKDLIRGLLAKEPQGRLGVKRGAAEIKQHPFFEGINWALIRCSTPPGVPRPVEPMAVAAMPAKSASMDRVETKYNSSKRMPPAGPGADHVESGGKFLDFEFF >SECCE5Rv1G0308040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:124134202:124137129:1 gene:SECCE5Rv1G0308040 transcript:SECCE5Rv1G0308040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEEKGHGDRSLLFIGDEDDDLGADRDGGSPPSSDAGSSFSDRSDDGGDGDGADDGTGTGSGSGSDDDANTDGDKERAPNVARQQAAWPQSYRQSIDMLSAVQSPSVSSIIAVSPSITKFGSSFLKAGSSFFLKKGATEGSLPLTRPLLPPSLSQLSQQQQPAGRPSTDSLHPRPPAPQPPAMQQRPSAACLKSNYIELPPPSSKCSSGQSIINGLNVLCGVGILTTCFGIKQAGWLSLLLLPLLGACSCYTGLLLKRCIDSSPTIETYPDIGRAAFGTPGRVFVSVVLYLELYACCVEYITLLGDSLSSVFPSAHLAFTGIYLNSHNLFAISMALAILPSVWLRNLSLLSYLSAGGVVATITVVVCLFWVGIGDGVGFHPSGSALNLTHLPVALGLYGYCFSGHSVFPNIYSSMEQRSQFPFVLLFCFIVVTIVYSGVAATGFLMFGESTMSQFTLNMPQQYVPSKIAIWMTIVNPYTKYALTMTPVALSIEEALPKKMRNYVAGMCVRTALVLSTVVVALSFPYFALVMALLGSVFTMLVALILPCACYLSIKRELVPLWEVVLCITIILIGLGCACVGSYTSINQMIGGS >SECCE6Rv1G0410760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:602320712:602322859:1 gene:SECCE6Rv1G0410760 transcript:SECCE6Rv1G0410760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDNEDDRLSILPDDVLLNIVERLDIADAARTTILSRRWKQIPAMLSKVVIMAGSFEPKHTTSKLTSDDIIRFNTTVLEATRSILERRAITLYTIHLLRMQFYLGDESIFIGQTVANTIATQNVASVEFTILTKVRKNCTNNDLLTYGRQFMSFFDSCPNTFGCLARLRLENLRLGESDFPKIFSICKQLEFLFLYECDMGIKSLLEVEHPQLSELVIGCGCLEKVHLKWVPKLTILKFNVFRTQDDPFCLGYVPLLQTVSIINTAFSWHKMLKLSELLGKTAISNLHLNFKSEKIWVKPEGRRQLLPVFHKLRIVNLLNISEECDLTWTMFLLHGAPNLKELRVMVRDHLCEMITGERRKMCPFSDQKDRGLQWEPSATDLKHRNLAKLSIYGGFQAEGKLVSYARSVMEAAVNLEEIKLYKSPVCWKCKHLLQEWTLEEKSYLSYKINKGMPALVRIHFPSLGQVLS >SECCE4Rv1G0255560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:647856890:647857833:-1 gene:SECCE4Rv1G0255560 transcript:SECCE4Rv1G0255560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPAMGKDIHFGSSIGGAGGTNTANGGNGGNIGAPAPRADSCAKPQQELPALTHQYAEQCSVYAYPAPPAYGGPPKPPPAYRYGYGYGGGGSGGYGYGYGCPEPDDIWDEPAVAYGGGRYHPPQPPYYHGGGRGGYPSQFGRGAVAGGYSYHGGGGGGGGYRPTAGGGGGGGYGVSNAYGGPPPQKPHMSTGWLAAGAATAYGAYQHHMRKHHGGGGGHGYRHGHGGDDHGCTCGGDGYGCRGCAPHMHMEGEFEHKEHTNIKYSAQHNDAASVPPVTVLDK >SECCE5Rv1G0333030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:554160033:554161076:-1 gene:SECCE5Rv1G0333030 transcript:SECCE5Rv1G0333030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRVFPGDNPDFKVDMSGGAPRLTICKRARYWSSADYQETRALGVGGYGGVVEARHLTHGFTVAVKKPLPCAHHEGAGIACACADARTLREAAFLAACHDHRAIVHLQAISLDPFAGKHYVVMECVGPSLYDLLYVHRRGRPFPEADVRCIMEQLLGAAKHMHGLRIIHRDIKPENILVGAEGISNVKICDLGLAVSMSEPAPYGQHGTRPYMAPEMLLGKDDYDATVDMWSLGCVMAELLSGKPLFDGDDDAQQLLAIFRVLGVPLFTIWPAYESLPLAGKLVTPPHVISRNKLREHFPEDRLSKEGFQVLKGLLSCNIDKRLSATTALRRPWFANAVVVDASA >SECCE5Rv1G0298350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11147370:11148683:1 gene:SECCE5Rv1G0298350 transcript:SECCE5Rv1G0298350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFPQARRLLKRLGFEKGDAYFFKQMGKGMLCTYALFGAAWFWNETSPLGWWTLKPRPKEEKEMAHLYERREFPYPGDEEAVEEFIKSGGALGTTIGPKGFADANMDSDNMQKQLQSKKFDQEARKLWFRMRNEVVQELQEKGFDVE >SECCE5Rv1G0355550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:732048459:732053413:-1 gene:SECCE5Rv1G0355550 transcript:SECCE5Rv1G0355550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKYLLVVLVTVSFSSTTGAGFNGMPMGRIAAHAPRSGSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLIMDKEGNCQGVIALNMEDGTLHRFRSTNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPMKDHLYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGQVVDIKGDNPDAIIPGLLAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGETQKPLEKDAGEKTIAWLDKLRNANGSLPTSKIRLNMQRIMQNNAAVFRTQETLTEGCELIGEAQKSFHDVKLSDRSLIWNSDLIETIELENLLINACITMHSAEARQESRGAHAREDFKTRDDDKWMKHSIGYWEDEKVRLEYRPVHMNTLDDEVEAFPPKARVY >SECCE6Rv1G0449010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:859516223:859517785:-1 gene:SECCE6Rv1G0449010 transcript:SECCE6Rv1G0449010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACFFTRRVSVPAQLLAIPTPLAITSCGLRRHGAAGARPVCAAGRLLGAVRRYGTGAALGAKEADAEVAAVPPSVPVRVAYELQLAGHRYLDVRTEGEFGGGHPAGAVNIPYMHSTSSGMAKNSGFLAQVSAIFRREDEIIIGCQSGRRSLMAAAELCSAGFTGVTDIAGGFSAWKENGLPINQ >SECCE2Rv1G0110930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:696743151:696769361:1 gene:SECCE2Rv1G0110930 transcript:SECCE2Rv1G0110930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rnase III family protein, Shoot apical meristem (SAM) formation and maintenanc [Source: Projected from Oryza sativa (Os04g0509300)] MGDISTAAASAGERAPKDPRTIARKYQLDLCKRALEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPRRDVCIFLAPTVPLVLQQATVIANSTNFRVQSYYGDGKNPRDHENWETEMGESEVLVMTPQILLHSLRHCFIKMDSIALLIFDECHHAQAHKRHPYAQIMKEFYNNDMVKPPRIFGMTASPVMGKGGSNKLNYTKCINSLEELLHAKVCSVDNAELESVLAFPDMEVYTYVPLSHSNLTVTYNKELDRSKLESERILRESLYDFKDSQKKLKSLGRLHGNLVFCLQELGSFGALQAAKAFLSFDGDVLDRKESDKNDNSTRFKHHYLNKAISVLSSNILDGTHDDSFHLKMVEPFFSNKIVVLINILSRYRLEENMKCIVFVKRITVARAIAHILQNLKGLDLWKCEFLVGRHSGPKNMSRQKMDAIVENFSNGEVNLLIATSVGEEGLDIQTCCLVVRFDLPETVASFIQSRGRARMTNSKYVVLLERGNHSEEKLLNDYIDGEGIMNGEIDLRTSNDVFDHLEEKSYRVEKTGASISTACSVSLLHRYCYNLPKDMFFNPSPAFIYIDDTEGIICRVILPPNAAFRQVDGQPCQSNDEAKRDACLEALVKLYELGALTDFLLPGSGSRKNKASTTNCSSSNSHDDESLREELHEMLIPTILKPSTCKLDCPLNLHFYYVQFFPIPADRHYRIFGLFVINPLPMEAEKLEVDLHLARGRIVKAGMKHLGTISFDEEQMMLARNFQEMFLKVLLDRSEFTVSHVMLGNNETLQFNSTFYLLLPIKQELYGDIFMIDWPTVKRCLSSPVFKDPTGVSAHESYLPDESLRLLDNMYSKTDVVGSLIFAPHIKTFFVIDVILNELNARSEYDGATYEDHYRERFGIKLSHPEQPLLHAKQLFNLHNLLHDRLRETTEEGRELMEHFVELPPELCSLKIIGFSKDMCSSLSLLPSLMCRLENLLVAIELKDVMLSSFSEASQISASGILEALTTERCLERISLERFEVLGDAFLKYVVGRHNFLTYEGLDEGQLTSRRSAIVNNSHLYDLSIKRNLQVYIRDQHFEPTQFIALGRPCKVVCNADTEVKIHTDSRENCNLRCTKLHHWLHRKTIADAVESLVGAFLVEGGFKAAFAFLRWVGIDVDFKDSSLYGVLDASSINLSLMNHTDVDELEELIGYSFKHKGLILEAFVHPSFNKHSGGCYQKLEFLGDAVLEYLITSYLYSAYPDLKPGQITDLKSLAVSNNSLAYVAVQKGIHKYLIKDSNYLSAAVNKFVNYIRLPNSEKDLVEEPACPKVLGDIVESCVAAVLLDSGFNLNYVWTLVLMLLKPVLSFSDMHMNPMREIRELCQCHELKLGLPEPMKADGEYHVKVEVNINNQVISSAAANRNSKVARKFAAQETLSKLKNYGYTHKNKSLEEILRDARKKEPELLGYNEEPIKVETDISAEMNNLKIGNKRNANISFQNTEISIRGTLRTSSQRTAGDTMFSKDDVSVERNNQLKVAVQNGCLPKGTTQKNIKKEYHGDMVNKTAKSFLFEVCAVSYWKPPEFELCKEEGPSHLRRFTYKVTVQIRGPSETLLECYSDAQLQKKAAQEHAAQGALWYLRQHGYLPKDEVCV >SECCE4Rv1G0278800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:810930726:810931379:-1 gene:SECCE4Rv1G0278800 transcript:SECCE4Rv1G0278800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCGVGLYGVVDGRGYATVASAPPKRPAGRTKFRETRHPVYRGVRRRGAAGRWVCEVRQPNRKSRIWLGTFATPEAAARAHDVAALALRGRAACLNFADSAALLAVDPATLRTPDDIRAAAMALARAACPQDAPASSVAVASAPAPTTLAMMQEAAAAPYDSYAMYGGLADLDHHSYYHYDGMSCCGGGDCQSISHMNGADEDGSYGAGDVALWSY >SECCE1Rv1G0009620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:55879190:55879677:1 gene:SECCE1Rv1G0009620 transcript:SECCE1Rv1G0009620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMCLCFIILTIAVVVSADECEGDRQEMIKQCAKYQQWPANPKVNPSDACCAVWQKANIPCLCAGVTKEKEKIWCMEKVAYVANFCKKPFPHGYKCGSYTFPPLA >SECCE6Rv1G0410440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:598077711:598082633:1 gene:SECCE6Rv1G0410440 transcript:SECCE6Rv1G0410440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYD1 [Source:Projected from Arabidopsis thaliana (AT3G17810) UniProtKB/TrEMBL;Acc:A0A178VD08] MESLTLRASPSTASPLLRQPGRRRAASVRASASSGAGEPDLSVTVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAGKVINVTPRYARLRADPNGSTKSPIIGWQNIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCALLEEVSGWINEKATVPVWSKMTPNITDITEPSRIALKSGSEGIAAINTIMSVMGIDLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARLIKEEFPEGRSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYGLVKKLCTELQDFMRMHDFSSIEDFRGASLPYFTTHTDLVQRQKEAIKQRKAIRMGLQSDKDWTGDGFVKETESMVSN >SECCE3Rv1G0200740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:856962508:856971981:-1 gene:SECCE3Rv1G0200740 transcript:SECCE3Rv1G0200740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQLQPPRFLPLPRSRLPGRRRRRAHPPALAVRSQWKLPDVDTDAVQERVRSWLSRARGAVVDVTQAARERGKRNEEADGRKKQRKEEVEEEPLVAVPEITLERRVGRGWLSLDAIVAIEQFARLNGLTGRKLQRIFKALAHEHVHNDARSLVEYCCFRYLSRDNSDFHPSLRELAFQRLIFVTMLAWSDPYDEDNDPHSSLDNYSILGRLVGEDAFVRIAPAVAGVADVSTAHHLFRALVGTEKGLSLDLWTTYLGELLKVHHGRQTHKIGDNFLSDEQVLCIGSSKKRPVLKWEQNTAWPGHLTLTNKALYFEAIGLAGMKKPLRLDLTDHNSRVEKAKVGPFGSRLFDSAVSVSSGSVSDEWTLEFVDFGGEMRRDVWLAFISEIISVYKFIREYGPSDDDPTIHHVYGARRGKKRAVSSATNSIARLQSLQFIRRLHEDPAKLVQFSYLSGAPFGDVVLQTLAVRFWGGLLITNSKVANERSLQRYKHSEGSSSGRSQVVDIDGSVYLGKWMTSPSWASSHSISFWRGSSVKHGVILSKSLVVADKNLVEKAMVVCKEKSKVVDKTHATIVAATVEGIPSNIDLFKELVLPFAIMAEKFNKLKRWENPRSTICFLLLAYTVIFRNMLFYIFPFTLMAMALSMLALKGLREQGRLGRSFGKVTIKDQPPSNTIQKIVALKEAMASVENSLQHLNVSLLKMRTIFLAGQPEVTTQVALILLASSAVLLVVPFRYILAVSTLDLFTREIEFRREMVAAFISLVKERWESIHAAPVTVLPYEGGDDSSNKALPAKASRQTESKDVQDQHSDIYVSGIRPS >SECCE7Rv1G0507100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:758378144:758384815:1 gene:SECCE7Rv1G0507100 transcript:SECCE7Rv1G0507100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT5G52560) UniProtKB/Swiss-Prot;Acc:Q9C5I1] MASGVHAAADGVAALGISATGAGGDHWAEPSPALRRNLHLLSNDQVELVKMLLNEGQGHLFEHWPEPGVDDDKKKSFFDQVSRLNSSYPGGLAAYIQNAKKLLADSKAGQNPYDGFTPSVPSGEALTFGDENFLSLEAAGVKEARNAAFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILALQEASCKMEGECHTKIPFAIMTSDDTNALTIKLLESNSYFGMEPSQVKILKQEKVACLADNDASLALDPNDKYKIQTKPHGHGDVHSLLYSSGLLEQWKNTGRRWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANCVTGYSPYPGNINQLIIELGPYIEELKRTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPTAKVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPFHSATSGEMAIYRANSLILRKAGAQISDPVVSTFNGQEVEVWPRVTWSPRWGLTFKDVKRKVHGNSSVSQRSVLVINGQNIVIDGLSLDGALIVNSVDEAEVKVTGHVENKGWTIQHVDHRDTSEKEETRIRGFKFEKVEQLEVNYTEPGKHCLSP >SECCE3Rv1G0145020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5642088:5642738:1 gene:SECCE3Rv1G0145020 transcript:SECCE3Rv1G0145020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKERRSKEMRRLFLEQFHKQIFPSTPITSFFLFLSYIVVTPLMIGFEKDFSCHSHLGSIRIPLLFPFPPEPFPRNDKESGTLELYYLSAYCLPKILLLQLVGHRVIQISRVFCAFPMLQLPYQFDRSGMDRLNILLGSLVWTLLCGIHSRSALGITSSSGWNSSQNPTTSPTLLPPTVSRTSIETEGFHVLSSIGYSSPFVSLYPISVSISSQD >SECCE6Rv1G0379190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:12645050:12647850:-1 gene:SECCE6Rv1G0379190 transcript:SECCE6Rv1G0379190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSRGLGLAGETPGLKHGNGVNSSPDDPGSAGDGISSLEQPLLKRSNTLTSSHLAMVGAKVSHIESLDYEIIENDLFKHDWRSRSNVEVLQYIFLKWSLAFLVGLLTGVIASLINLAIENISGLKMLQMVHLVREKRYWAGFFYFSGFNFVLTFIAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKILGSICAVSSGLDLGKEGPLVHIGACLANLLSQGGSGRFRLRWRWLRYFNNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRGGRCGLFGEGGLIIFDVSDVTVRYRLGDLLLVTLVGVIGGVLGALYNHVLHMVLRLYNLINDKGRMAKLALALAVCVFTSAGLYVLPFAVPCTPCDPAFGAACPATGRSGNFKQFNCPAGQYNDLATLLHATNVDATRNIFSTGTPGEFRLDSLLIFFAIYCVLGLVTFGIAVPSGLFLPIILMGAAYGRIVALVLQSAVGARIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLTLLPMTMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPEPWMKDLTVGELAAAKPRTISLQVVEKVSTVLEVLRNTGHNGFPVVDRPRPGLSELHGLVLRSHLVAVLRKRWFLAEKRRTEEWEARERFSSVELADKDCKIDGLDLTPEELEMYIDLHPFTNTTPYTVVETMSVAKAVVLFRSVALRHMLIMPKYQGPEISPLVGILTRQDLRAHNILGAFPHLAKKSKTH >SECCE6Rv1G0421360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683510970:683512574:1 gene:SECCE6Rv1G0421360 transcript:SECCE6Rv1G0421360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLMLLNLTKNTFSGVIPKDLGLMGGLEELYLARNNLSGHIPESLENVASLYQLDLSFNHLVGKVPSQGVFSNASGFLFDGNLGLCGGIPELHLPPCQLESVGNGLSKRHLTIILVTTIAGIILTLSLMLVFFTMRKKSKSRSTATGGFQLMDESYPRVTYDELVQGTSGFAVDNLIGRGRYGSVYKCCLLLKNMMTTVAIKVFDLQQSGSSRSFLAECEALSKIRHRNLISLITCCSSSDPNQNDFKTIVFEFMPNGSLDRWLHMDVHVPHQLQGLTLMQRLNIAVDIADALDYLHNNCEPPIIHCDLKPSNILLNEDLVAHVGDFGLAKILSEPAAEQLINSKSSVGIRGTIGYIAPEYGEGGQVSSCADVYSFGTVILELFTGMSPTHDMFTDGLTLQKHAENAFPGMLMQIVDPILLSTEEANFNSLRDGSNTMEHGSSAIFSVMKVALSCSKHAPTERMCIKDVAAAIHKIRDGYGKGRQNEEVVRANARHIAESSTPTP >SECCE4Rv1G0290700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873676274:873678071:1 gene:SECCE4Rv1G0290700 transcript:SECCE4Rv1G0290700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYLTSTGAKPEEHVRVKPANRSTNAKGRPNTKLQDLPEDVLCSVLSKLPAEEVVRTSVLASEWRSLWTACPKLSFNGAHTTHGVTQHAQVFIDRVNAVLLNHYGKFVDGLEVKFMFESRLVHHLDNWIRFAISSRTKKLAFDLAPSSNLLRHGYHYTFPFHLLDKESLSCLHFLQLSFVCFKPPPHFAGLPNLRKLDLYLLKGTTRQDLENILGNCCKLECLSLVRCHLYDELRVVQPLSHLHYLKVVYCEITKIEFHAASLSSFLYDGTYIPIALRHASKLENAKISFRGAVFQHAVASLLSGLPDVQNLTLDVLILHLETRWAFNSTRVFSQLRHVQIMLMISYEDFDKILYIVSFLRVAPLIERLEVHFNGTSTMWFANEGPFRREIPPCEYTHLKNIRVSGFRGARGQVEFLMHIVENAPAIQVVTVDTTQRLTDAWNPDEVKPELNSDALDMVRGPLLDRLPSGAKLFLA >SECCE1Rv1G0060720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:711942661:711942906:-1 gene:SECCE1Rv1G0060720 transcript:SECCE1Rv1G0060720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRKREWMRKKMSVAAGSGSLLRVRALSTALRQRRSRLPRVDLLRLLYESVVFRLLWVLESLVVLSRLCFFFLRFGFRL >SECCE4Rv1G0221910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:52830470:52830829:1 gene:SECCE4Rv1G0221910 transcript:SECCE4Rv1G0221910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMKLPLTFLLLLSALVVFGDAGATCDTIRCKQGGSITCKNYPGQKLGGCACVCAPKDGKRCVLLLDDGSAYDCPRTKC >SECCE1Rv1G0019130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:223116011:223116223:1 gene:SECCE1Rv1G0019130 transcript:SECCE1Rv1G0019130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWRIINMTIAFQLAIFALIATSSVLVISVPLVFASPDGWSNNKNVVFSGTSLWIGLVFLVAILNSLIS >SECCE2Rv1G0130620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:877789531:877790328:-1 gene:SECCE2Rv1G0130620 transcript:SECCE2Rv1G0130620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGVSRLSVGVSVMVALSLAVFLTIAILLLADLFCSHLRRRRLRADAMEAPPHKWPKHGHGGAGSLSPPHTAGTADDASVATTATTTAREALTSTPPFYYAHGVMCAPSRKDLLLAIPRLEAAVWRWSPARHSSTSPSPPRSDEPTARGSSSSSAQSDGLMYISNPVYERGAAAGHEHDTPFETPDASPSRCGITEEGREGGAFSPPLPMMRKLPPLGVLACPPPAVGFFDGRPPVTLWPGTVADANRASSSSSNFAARFFSS >SECCE3Rv1G0183510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:650997848:651001583:1 gene:SECCE3Rv1G0183510 transcript:SECCE3Rv1G0183510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSQTSPKPLNTVRLPPVEIPRPRPRPKPKPRPETRKKGVLGDLRRPKKPPTAFFYFMEDFRKTFQEENPSVKAMQDVGKACGEKWNKMAFEEKVKYYDLATERRAEFEKAMAQYNKKKINGELSEESE >SECCE2Rv1G0076200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89204022:89207629:-1 gene:SECCE2Rv1G0076200 transcript:SECCE2Rv1G0076200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASVYTAQPNTLLISNASAPLRSAVGGEDPLLFSPATLAAAKTPAEPPAAMSISGEVPDEGSDGEEVFIDEQDIIQEIHLDEEDLPDHDDDDDDDEEDQEMDEVEDHSAYAFHGHTDEVFAAACSPVDASLVVSGGKDDRGFLWRIGSAQDFQELTGHGDTVCTVAFSSDGKLVACGSMDGQINVWNTATRTLQGTLEGSSGSGFEWLRWHPRGHLIIAGSEDCNIWMWNADHNAFLNTFAGHSSTVTCGDFTPDGKLICSGSDDATLRIWDPKSAQCRHVVRGHGYHTQGLTCLAITPDSQSIVSGSEDNSVHIVSINSGQVVGSLVGHTNSIECIGISSRYNWVATGSIDRTLIIWDLGRQAIRSTCEHDEGVTCLAWLGSSRYVASGCIDGMVRIWDSLSGDLARTFSGHRDVVQSLAVSADGNSIVSVSTDKSARVFDISMFK >SECCE6Rv1G0433620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:760636400:760637815:1 gene:SECCE6Rv1G0433620 transcript:SECCE6Rv1G0433620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRATIVGVVALVACLLAGDGARAQQQRFDYPAARAPTTWANTDAGLPHHVVYTDGSVARVALLRLNPAGFGPSYAFGFFCTSHGAAPCADFLLGVAVVYCNSGALITSVTTGVPQVVWSANRASPVGEGAAAELTPEGDLVLRSANGSAVWSAGTKGRSVAGVTVGSDGNLVQLDGRNATVWQSFDHPTDALLVGQSLKHGARLTANASAADWRDGRFYLTVEDDALSAYVNATPPQRFYHLGFGETAAGAYATYTNGSLTVSARPGAPSLATIQLPTVGAGTVQYMRLEHDGHLRLYEWHSGAGWAPVFDVLRLFPDGGCAYPTVCGAYGVCTDDTQCSCPDAVNFRAVDFRRPNRGCVPTNPPPTSCGSFSPGRSLAQHRLVSVPGTGYFNDHATSMRAVERVSEGACKKACLDDCACAAAQFYYGRDAGDGFCYLQSEVLSMQTVRPEVVHYNSTMHIKVQAKSARI >SECCE6Rv1G0429420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:735758442:735760526:-1 gene:SECCE6Rv1G0429420 transcript:SECCE6Rv1G0429420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin oxidase/dehydrogenase, Crown root formatio [Source: Projected from Oryza sativa (Os01g0940000)] MVRVSVVCCLKLLLLLALGGVTMHVPDAGVLAPLGPLRLDGHLSFHDVAAAARDFGNRCSLLPAAVLHPGSVADVAAAVRRVFQLGERSPLTVAARGHGHSLLGQSQAAGGIVVRMESLGGSARMRVVHSGGAGVPAYVDAPGGALWINVLHETLKHGLAPKSWTDYLHLTVGGTLSNAGVSGQAFRQGPQVSNVNQLEIVTGRGDVVTCSPEENSDLFYGALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFASFTEDQEALISAERTFDYIEGFVIINRTGILNNWRTSFKPQDPVQASHFQSDGKVLYCLEMTKNFDPDEADIMEQEVGVLLSRLRYIQSTLFHTDVTYLEFLDRVHSSELKLRAQGLWEVPHPWLNLLIPRSTIHRFAAEVFGNILKDSNNGPILLYPVNRSKWDNRTSVVIPEEEIFYLVGFLSSAPSASGHGSVEHAVSLNDKILDFCDKAGVGMKQYLAPYTTQQQWRAHFGARWETFERRKHMYDPLAILAPGQRIFPKASLPMSS >SECCE1Rv1G0003550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14664071:14664355:-1 gene:SECCE1Rv1G0003550 transcript:SECCE1Rv1G0003550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEILQFVMAVDCYPNVSVAYRILLTVPVTVASAERSFSKLKLLKNYLRSTMLQDRLNGLAMCCIEKDILDNVDLDCALNDFASRNARRNFF >SECCE5Rv1G0373590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:859718013:859718706:-1 gene:SECCE5Rv1G0373590 transcript:SECCE5Rv1G0373590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVNQDSSVKAAIGIAQITDLKSAGWTDERHTVYISSMESSFIHNQLYKRLASSTNAMKSWPLRPSPLKNDQLYNHGHNTNQKDSRTAGFKVLKGGMRRKVEYDRRTNAYVQVRAKGHLPESPLIHNFRTRDCSSSTRVDGAETSVANKESSIQTITGRTLLSHGMELGGCNEEDLLGENTGN >SECCEUnv1G0562260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:363995645:363999374:1 gene:SECCEUnv1G0562260 transcript:SECCEUnv1G0562260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVTGAMGSLIPKLGELLMDEYKLHKRIKKDVEFLKKELESMHAALIKVGEVPRDQLDRQVKLWADEVRELSYNMEDVVDKFLVRVDGDGIQQPHDNSGRFKELNNKMIGLFKKGKNHHHIADAIKEIKEQLQEVAARRDRNKVVVPNPTEPITIDPCLRALYAEARELVGIYGKRDEELMRLLSMEGDDASNKRLKKVSIVGFGGLGKTTLARAVYDKIKCDFDCCAFVPVGQNPDMKKVLRDILIDLGNPHSDLAILDDKQLVKKLHDFLGNKRYLVIIDDIWDEKLWEGINFAFSKRNNLGSRLITTTRDFDVSKSCCSSDDDSTYKMEPLSTDDSRRLFHKRIFPDASGCPSEFQQVSEDILKKCGGVPLALITIASALASGQRVKPKHEWDIMLQSLGSGLTEDNSLVEMRRILSFSYYNLPSHLKTCLLYLCIYPEDSTIGRDRLIWKWVAEGFVQHGDQGTSLFLVGLNYFNQLINRSMIQPIYDELGQVRACRVHDMVLDLICNLSHEAKFVNVLDGTGNSISSQSNVRRLSLQNKMEDHQAKPLTDIMSMSQVRSITILPPAVSIMPALSRFEVLRVLDLSDCNLGKSSSLQHNLKGVGHLIHLRYFGLDNTGISELPTEIGNLQFLEVLDLGCNHVLHAVPSIVCKLRRLICLNVYPYKIVPAGVLQNLTSIEVLREVLVSLNVTAQELGNLARLRELQICFKDGSLDLYECFVKSLCNLNHIESLSISCNSKETSFELMDLLGERWVPPVHLREFVSGMPSQLSALRGWIKRDPSHLSNLSELFLSRVKEVQQEDVDIIGGLLPLRSLWIRSTHQTQRLLVIPADGFRCMVEFKLNCGSAAQIRFEPGALPRAEEVWFSLGVRVAKEDGNCGFDLGLQGNLLSLRRSVMVDMYCAGARVGEAKEAEAAVRHALEAHPNHPPIDIHMTPYIAEGARDDDSCEEN >SECCE5Rv1G0335920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:580673816:580675860:-1 gene:SECCE5Rv1G0335920 transcript:SECCE5Rv1G0335920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRLLLCLLVAAAALLLVASAKKSGDVSALQIGVKYKPASCSISAHKGDRVKVHYRGTLTDGTVFDSSYERGDPIEFELGTGQVIKGWDQGILGMCIGEKRKLKIPSKLGYGDQGSPPTIPGGATLIFDTELVAVNGEPSSKSDEYDADSDL >SECCE4Rv1G0257350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:666185615:666187563:1 gene:SECCE4Rv1G0257350 transcript:SECCE4Rv1G0257350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACCCFVSLSQLLIVITLVYLVMSKSKGRSGTCSLPLPPGPWSWPLVGSLPEMVLNKPAFRWIHRVMKDMGTDIACFRLGGVHVVPITCPKIAREVLKKQDKNFLSRPLSFASDAISCGYKDAVLTPFGDQWMKMRKVLTSEIICPSRHKWLHNKRVDEADNLTRYIYNLTTGGSSSTSGLANVDVRHVARHYCGNVIRRLVFGQRYFGEPQPDGGPGPMEVEHMDASFNLLGLLFSFCVSDYLPCLLGLDLDGHEKIIKEANTKVDRLHNMVIEERWRQWNSGERWDGVQDLLDVLITLADGDGEPLLSIDEVKAQCKDIILAAIDNPSNAVEWALAEMVNNPELLAKAMEEMDRVVGRERLVQESDIMQLNYLKACIREAFRLHPVAPFNVPHVAIADTIVAGYRVPKGSHVILSRLALGQNPTVWDEPLQFKPERHMGDNINVVLTESELRFISFSTGRRGCIAASLGTTMSVMLFGRLLHGFTWTKPAGVSAINLNESKHDLFIEKPLVLHAEPRLAVHLYPLMHR >SECCE7Rv1G0478430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:236805441:236806034:-1 gene:SECCE7Rv1G0478430 transcript:SECCE7Rv1G0478430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHRQSSLSKQHRPHHRASLSRSLASYLLREHRLLFVLLGFLLASSFFLLYPALAPHPISLSSAAHAATTSAIRNPRVFSFSTANASPRRLPVGVRKKPLRVVVTGGAGFVGSHLVDKLLARGDSVIVVDNFFTGRKENVAHHLANPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPIKTIISFFPFPL >SECCE4Rv1G0243350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:500870671:500873447:1 gene:SECCE4Rv1G0243350 transcript:SECCE4Rv1G0243350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDEQGMAIAAAEILLSLRSRKLARWPEWVPRPSNDLAAAAVDLHRPEEAEDELPPIPEGWPKRPRLPPRAVAKGTAWRLSLESLLARLGHPVVARSGACSSGDERARSHPCVTKVKREPLAARRPETPPYYVSATGSVPSTSGMDRVRSRRRSRVSEKAQATATAWTDAAMAASSPETPFDYANAAGSGASSSGDDVARSTAKRKTQGSGGSGGPSSGDEGCSSPSKRARADVAGAVQPPAAAVKVEDQKTENNYRDEKGHLMFDLNEDPIMAEEW >SECCEUnv1G0549760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:206438141:206441718:1 gene:SECCEUnv1G0549760 transcript:SECCEUnv1G0549760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNPISVRLDLNRSSDPSRFNVNLRSYFSSIRPPMKLAFGFRLGRCIILHFPKRTFIHFFLPGRSPRLKRKQDKKSRPAVLQEKGWWPTFGKVGPIGCLHSSEGTEEERNEVRGRGAGKRVESIDREKQNEIWIWPKKMQRYGYHDRSPSRKKNFDKSLRVSGAFKWPQYAGVVNDIPFLTGNAAYLLKGNRIKFFLPKKSRSDGPTSHLLKRTLPAVRAYLLKGNRIKFFSPKKSRSDGPTSHLLKRTLPAVRPSLNYSVMQYFFNTKNKMHFDPVLVLNHFVAPGVAEPSTMGGAKGGSLDKRIRSRIAFFLESSTSEKKCLARAKKRLIHFIRQANDLRFAGTTKTTISLFPFFGATLFFPRDEVEVFGATFFFPRDGVWVYKDPFCEYAREQLLAQFRIKCRNLMGKDKVMELIEKFIDLGRIGKLIKGIEMMIEIILRKRRIPYGYNSYLNEVQKMRSFLSNRTNTNTLTESVKIKSVYQSASLIAQDISFQLRNNPISFRSIFSKIVKDIPLIMPKGVEGIRICCSGRLGGAEIARIECGKYGKTSCNVFNQKIDYAPAEVSTRNGISGVKVRISYSQNKKGRAISETYEI >SECCE2Rv1G0065220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:7310517:7311080:1 gene:SECCE2Rv1G0065220 transcript:SECCE2Rv1G0065220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILLFLILAPLCVSVTARLKCPGVPHNGAVATCYHGCGTKLIYDLCIRTMRQGYIDMSPSHKEETTVYAILVLSAALKSMDSTSNMLTYLLQKNASISVQEWAFYKACLTDYDAALNSLYHNHDVMLPNCFFKGINDDYLSALAYLNSCRDRLIGPVMFTSPVYPMVLADRNKAMLACSISKLLL >SECCE2Rv1G0116220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:762212785:762213452:1 gene:SECCE2Rv1G0116220 transcript:SECCE2Rv1G0116220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAKPAAAAPKPKAAAAKPKKAAAGPSHPAYFEMIKEAIAALKDRTGSSSVAIAKYIEEKHGKSLPANFKKMLSVQLRASAAKGKLVKVKASYKLSDAAKKDAPKPKAKPAAAKAAAAKPAKDAAKPKKKAAAKAKKPAAAAGTKRKAPEKKLVAKAKKSPAAKAKAKPKTVRSPAAKKARKVVAA >SECCE4Rv1G0245830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:536779429:536782376:-1 gene:SECCE4Rv1G0245830 transcript:SECCE4Rv1G0245830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSELKMMLDKVTSILPSIEAAQPGCKAGIEELCNLYSIVDKGKLIIQNCIECSSLYLAITGEATAMRCERIRNSMRRSLFLIQNMVPSMLADQVADVHNDLRNMKFLVDPAEEEAGKAVLKMLRHSDATEELEMHTFLLACSKLNLTSQKAMLIERRAIKKLLDKINGNDPKKEGILSFFLYLVRKYGKNSKSETGAKTGTATESTCTNVISSDTGARRKCIPSVNSGTGRYDDQNNLSGLATPPPELCCPISMKLMHDPVIIASGQTYERENIERWFSKGYDTCPRTQVKLENFTITPNTCMQAVIYNWCKEQKLECTYLPERFHNYSLSSLHDISAPLIADKNFDYMVEHSGSSVALSGASYLSSPVRETDVPKTSFTQFYSNVNCQLYLSFCNFDKEMFLNLFQELSELPVELQRQAVKDLKTVLNSENEVWQSMISNGFLEAFLEFLKNDNLRSTLQAQKTGIYFFLTFLCNSRTRILSITEDAVRLIVSLLDSELKLEALLILHELLHHPICRESPLMASLVAPSVIGALDTGDNECLQLALKMICELSSSNDVKSLLISQGIIAKLSTLLSEVSLTEYCLKILRNLCEVKQAADLIIRSEHCVGSISDHLDTGSRSEQEHASVILHTVCSRSTEADRFLVMKEGVIPALVDLSVYGTEVAKASSIKLLQILGGSGVAHAAVDGGVESSPNGSFCKQPISKSARYISRKLSIFSKPRSRNVL >SECCE2Rv1G0084420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:174840845:174843510:1 gene:SECCE2Rv1G0084420 transcript:SECCE2Rv1G0084420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRARPASPPAALEDEDLLRKIFLLLPPQPSTIPRVSVVCKQWRGIVRDPRFLRGFRDHHRKPPLLGLVMGHTGHPYFRSDLDPPDHIPHERFFPPDILSMYMDLFDCRHGRVVFFDHRLREVVLFDPATGGLRCVVVPPVFDEKEIGVFNAAVICIASDEGHVHGYCHTSPFQVVLIGIHDDNKRAFASVYSSETGTWGELISAAAIRYMCELDRPSTLVGDSVYWVFDGNEDGILKFDLDRHSLVNIEMPDFRYYSCSSSFKIMSTDDGSFGLAALEYQKFEMWERKVDCDGVAGWVLQKTFQMNTILGLGPMGGCDNLMLGYDEDDRAIYVRTDIGVSIIQLETMQFRNLGKDNFTTTAYFPYKSFYTAVSDLSVCERRVGGISRSLAKDVSIMVPASRGAGAVGDNATAALTRTIPKRDMLDKSNSRVQGTDRGLVPSRVLTQTRIDVIFKKETETRSKLSKALAKWFCRNGVPESKADCPHFRSAMKLTQQLGTRLPVPSGDELGGVNLDPEEELP >SECCE3Rv1G0186300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:683096342:683096887:-1 gene:SECCE3Rv1G0186300 transcript:SECCE3Rv1G0186300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFASCTLAMIPGAAKEIRIVLPDGGLRLVRSPATAAELMLEAPGHFLADARALRAGRRIEALAADEDLELGGVYAYFPMKRLGSKGVPADVFASEAHARRPASAKVADIVVAPPGVASVAAEADLEPVRTPRLEEMAVDDEAAAAEIGELNQRISGGRLSRRRPTLETIHEESYAAVPC >SECCE4Rv1G0222140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:54857942:54859587:-1 gene:SECCE4Rv1G0222140 transcript:SECCE4Rv1G0222140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAPSIGSSSWVMEMERTIGDIDPAVEMARWKRHSIYLVPERIKNLHNSKAYRPELVSLGPFHHGEPDLLPMEEHKRRAVVHLVKRSGKPLREFVNAVAEVTQQLQEAYKDLGREWRGEDNRQRFVELMLTDGCFLVEAMRMDALRGKVQGDYAPNDPVFSQYGYLYLWLYIQSDMVVMENQLPLLLLHRLFLVLDHHKYQDAREIRKLVLDSLCPWRRHVVDINPLGLHPLDILHQSLTHDDHQDRKGSKAYVMPSAMEIYEAGIHFKVSDTDSLLDVHFEHGVLSMPAVRVNEGTEKRFLNLMAFERLHPSAGNAVTAYVIFMDNMISSAKDVALLRSKMIIESGLGSDEEVAKLLNNTLNKGGVMSPSSRLHDVQRQVNAHCRKRWNRWRANFIQTYLRNPWVFISLVATVILLVATLLQTVYTVAPFYKLMP >SECCE3Rv1G0151370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:38390306:38391760:1 gene:SECCE3Rv1G0151370 transcript:SECCE3Rv1G0151370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSACISALASAAATLLLPCLVYLLIWHIKGKSLWILPCKKNSSNTEKNYEAMIVSYGSLAPKRYKYSEVMKITSSRNDQLGKGGYGVVFKGRLHDGRLVAVKFLHDCKGNGDEFVNEVMSIGRTSHVNVVSLFGFCLEGSKRALIYEYMPNNSLDKYIYSENPKEILGWERLYAIAIRIARGLEYLHHSCNTRIVHFDIKPQNILLDKDLNPKIADFGLAKLCHTKESKLSMTGARGTIGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRRNVRSIVAKSSEKYFPDWIYDHFAQDDGLEACEVTNEIEEIARKMILIGLWCIQVIPLHRPTITKVLEMFERSLDDLDMPPKQNFCELLECSAHNMDVQSGSSTRLEETSLVNSKILQRLPTL >SECCE6Rv1G0446950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846791444:846793878:1 gene:SECCE6Rv1G0446950 transcript:SECCE6Rv1G0446950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQEEEASANITGEEEKELVPQLRFPTGYHFKPTDEELLDVYLRAKIDGRKPPLDVFMDVDILDWDPAELVEKRKAYGEGRYFFFTKRTECPANKNGEPRRKLYNVRASWTATGSPGIITRSGTSETIGTKRILIYDKWSMNEYVLQGREGLDQWVLCTIQEKQRSKAKGSKRTAGKMAEDTSTEGKTAAAGTRKNRKAKRQKKEKMDPSQLHKTQQQLQKQQETTPDVSSEPTPLLTSDHHALHEEMMVLDGTNWMIQVAVQQEHIYGDQHYLEAPAPQETDYGGPGEAPLKPHHQQGYQAWPEEQQNLEPEDPIQLEPINNMVFQDHTNQEPMLGWWQRHLGYTDHFPFLPLPNGMEHASACQLSQQQTLDPLGTPSFHVDFIPTPQHELPDPAKPSVQQPSPDSVLSACQHCQQKQHGVDKVTCALCGCR >SECCE5Rv1G0368910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:831627424:831628898:-1 gene:SECCE5Rv1G0368910 transcript:SECCE5Rv1G0368910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLAVLFLLAAHAASAAAGVTSPYRRSLQMLPYMPLEADVFRPPPGDNAPEQVHITQGDLTGRAMTISWVTPEHPGSNVVRYGLAADNLNLTAEGTVQRYTWGGTYQSPYIHHATLTGLDHATVYHYAVGYGYTVRSFSFKTPPKPGPDAPIKFGLIGDLGQTFHSNDTVAHYEANGGDAVLFIGDLSYADNHPGHDNRRWDTWARFVERSVAYQPWIWTAGNHEIDYAPEIGETAPFKPFTHRYPTPFRASNSTEPLWYSVKMASAHVIMLSSYSAYGKYTPQWTWLQDELRRVDRKTTPWLIVCVHSPWYNTNDYHYMEGETMRVQFERWLVDAKVDLVLAGHVHSYERTHRVSNVAYDIANGKATPQFNASAPVYVNIGDGGNTEGLANSFRSPQPDYSAFREASYGHATLDIKNRTHAYYEWHRNQDGLKVVADKAWFTNRYYMPTHTN >SECCE6Rv1G0432780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:754310532:754310978:-1 gene:SECCE6Rv1G0432780 transcript:SECCE6Rv1G0432780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSGELRALFLSLDQDADGRISAAELRGCMRATLGEDVPVEEAEGLVASVDADGDGLLCGAEFLELAQQTAWAGAEHEKNDELRIRALREAFGMEGQGCITPASLGRMLGRLGAERGAGECRAMICRFDLDGNGVLSFHEFKIMMS >SECCE5Rv1G0326430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:479710831:479712880:1 gene:SECCE5Rv1G0326430 transcript:SECCE5Rv1G0326430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPVQDEENQRLLLDDHKEKHFTSGEVVRDIIIGVSDGLTVPFALAAGLSGANASSSLVLTAGLAEVAAGAISMGLGGYLAAKSDADHYYRELQREQDEIDTVPDTEAAEIADILSEYGLGPEEYGPVVTSLRNNPKAWLEFMMKFELGLEKPDPRRALTSAATIALAYVVGGMVPLSPYMFVASVDSAMMTSVVVTLAALLFFGYVKGRFTGNRPFLSAIQTAIIGALASSAAYGMAKAVQAI >SECCE6Rv1G0380920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:27385452:27386187:1 gene:SECCE6Rv1G0380920 transcript:SECCE6Rv1G0380920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRPCGICMEPMGTSEAHRGGNGCAHAFCAACLTGHVRAKLESGRAAAVGCLDAACAGMLDPELCRVALPADVFERWCAALCESMFAGARRTYCPFPDCSEMMVADGDGEDGDTVTQSECQVCRRLFCAQCRVPWHAGADCAAYRHRDTAREDAMLLEMAAGRRWRRCSKCQFFVEKTDGCSHIRCRCGYQFCYRCGSEWNTSCSGLCIS >SECCE4Rv1G0268360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:741653584:741654183:-1 gene:SECCE4Rv1G0268360 transcript:SECCE4Rv1G0268360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDETVAGPLPDHSLGRLRKYSFSPSTAPATDVTPTVTRNITIARPPSLSLPSGESSSMPSSPASAPDSPFAAGSSRTPRVNGWRAFRRKSKMANVNIVRAEAIMGPRNPTVYD >SECCE3Rv1G0181790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:618199784:618203855:-1 gene:SECCE3Rv1G0181790 transcript:SECCE3Rv1G0181790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase-like gene family member, Defense respons [Source: Projected from Oryza sativa (Os01g0639200)] MGVLRSTQSMQAEVEEMRAALFHGAAAGWRPSAGDNDVKRAVGGDEGAAGPRTVCVTGGISFVGFAIVDRLLRHGYTVRLALETQEDLDKLREMEMFGEDGRDGVWTVMANVMEPESLHQAFDGCTGVFHTSAFVDPGGMSGYTKHMASLEAQAAERVIEACVRTESVRKCVFTSSLLACVWRQNHPHERRGPTIVDENCWSDESFCRNNKLWFALGKTAAEKAAWRAARGRDLKLVTVCPALVTGPGFRRRNSTASIAYLKGSGAMLGDGVLATANVETVAEAHVRVYEAMGDNTAGGRYICYDRVIQRAEEFAELERQLGIPSRTAATQSVHDDDDRPARFELCKRKLGRLMASRRRCTYDYYYRPVTFE >SECCE2Rv1G0102160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:574422081:574423691:1 gene:SECCE2Rv1G0102160 transcript:SECCE2Rv1G0102160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQRQQQQPARANLLGAMPAASMISLAFTAVVATAALLVAVAVARYNRKYRGLRLPPGPPGWPIVGNLFQVAFSGKLFIHYIRDLRREYGPILTLRMGVRTLVVISSAELVHEALVEKGSEFASRPAENTTRNIFSSNKFTVNSAVYGAEWRSLRRNMVSGMLSTSRLREFRPARLRAMDRFAARMRAEAAASADGASVWVLRNARFAVFCILLDMTFGLLDLDEQHIVHIDAVLKRVLLAVGVRMDDYLPFLRPFFWRKQRRALAVRREQVDTLLPLINRRRAILRDMQRSPPDPNVAAPFSYLDSLLDLHIDGREGADDELVTLCAELINGGTDTTATAIEWAMARIVDNPSIQARLHEEIMQQVGDARPIDDKDIESMPYLQAFVKELLRKHPPTYFSLTHAAVNPGSKLAGYDVPTDANLDIFLPTISEDPKLWDRPTEFDPDRFLTGGETADMTGSAGIRMIPFGAGRRICPGLAMGTTHIALMVARMLQEFEWRAHPSQPAVDFKDKVEFTVVMNQPLLATVKPRKMSL >SECCE4Rv1G0291660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:877257202:877260235:1 gene:SECCE4Rv1G0291660 transcript:SECCE4Rv1G0291660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALPCLIFAATLMLASIKSSTASRMAKPGCQEKCGNLTIPYPFGIGEGCFRERFDVSCENNSVYRHNSSSRIKIYNISLLGGQARVTTLIASKCFNETGGWAWLNTGEFFTISTKANKLTAVGCNTLAFLRGFNEHRVGAGCFSMCADKQSVDESGQCSGMGCCQTSIAPNLNFSNITFDDRFDNSEVSGFNPCSYAFVAEQDWFRFEASYLEDNKFKEKFKDEVPTLLDWVAGNEYCDEAVKNMSTYACISKNSRCITSPNATGYLCTCNNGFAGNPYLEEGCQDIDECNFPDEYPCHGICSNIIGDYHCSCKSGTQSADPTRKTCDPIAVSERARLTKMFAGISACAVLLLICIFALLIECQKRKLVKEKQLFFQQNGGLLLYDQIRSKQVDTVRIFTREELENATNNFDSNRELGRGGHGTVYKGILKDGRVVAIKRSKVMNIDQKDEFAQEMVILSQINHRNVVKLLGCCLELEVPMLVYECIPNGTLFELMHGKNRRFPVSLDTRLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYTAKVTDFGASRMLPTDEIQFMTMVQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITMKFAIYSDSAGEKKNLASSFLLAMKENGLQFILDKNILDFETELLQEFAQLAKCCLSMRGEERPLMREVAERLRSIRSTWREQLIQNPSRETECLLENSSHYDPSSTGQHRSLMALDLESGR >SECCE5Rv1G0325630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:467438343:467439053:1 gene:SECCE5Rv1G0325630 transcript:SECCE5Rv1G0325630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGERLVIGTLLAAAFLAASAAGTTLTLHNMCPYPVWPLVTPNTGFPSICDNNIRLEGNGHGLVSFPFPPTFWSGRLVARTGCTSTSPSRCETGDERPVGVVQLTVHSAEGAPRPDLAVYSVSLVDGFNVPAVVSPQAIGGDGPCPALGCAADLNAGCPPAQRVVGAGGRVVACNGPPGYFKQRCPLTRTTPFDREPVQQHCYAPGELKVVFCQPAMVDAAADAAQPDIVVAEN >SECCE7Rv1G0500160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:662630024:662634468:1 gene:SECCE7Rv1G0500160 transcript:SECCE7Rv1G0500160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKEGADMEEGTLEIGIEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKGKHAEGGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDAFYSRDAAH >SECCE2Rv1G0113140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:719552414:719555292:1 gene:SECCE2Rv1G0113140 transcript:SECCE2Rv1G0113140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGASSSGGGFRARIDHYLYSGEKKHVVAGIAIFAAIFGVPWYLMTRGAKHDSHQDYVERANKARSDRLSSGQPSSLKE >SECCE5Rv1G0304130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:54359435:54360595:1 gene:SECCE5Rv1G0304130 transcript:SECCE5Rv1G0304130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRSSRAPVPQLEDLLQEIFLRLPPQPSLLPRLSLVCKHWRSILSDPEFLSRFRRHHRNPPLLGFFAGGNYSRNHAFTPVLAPPDRIPAARFPLPWRHSPGEEWNFVDCRHSLAILINESRREIIVWDPLTDQRRAVAFPRGLNDDDNGKRYWHAAVLCADTEDGHVHGDCLSSPFKLVLISVERGHAFACLYESESRGWGDIVSTTTRDNICLARPSILVGSTLCWLVGCVILEFDIEREAVRAIEAPLEVWMSYTDYWSLQLLRTEDGSLRLAVLSKLSIRLWERKLSYDGVASWELLQKTIPLEGLFPWRMCSGQKRVLMVGCNEDTSVIVLSTMIGDFLLQIEPTHVRSISKRNYMCSKIFYPYTNLYTALLPTLHKQQ >SECCE6Rv1G0452070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874971962:874974585:-1 gene:SECCE6Rv1G0452070 transcript:SECCE6Rv1G0452070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPGALLLILVAAALVLLILAPAAHVLLPSAQWHRLNPEIHDAGGLSRQGFPEGFVFGTATSAYQVEGMAEQGGRGPSIWDAFIKIPGTIAGNGTADMAVNEYHRYKEDVDIMKNMGFDAYRFSISWSRIFPDGTGKVNWEGVGYYNRLIDYMLRQGITPYANLYHYDLPLALHQEFLGWLSPKIVGAFADYADFCFKCSAGGDSTTEPYLAAHHLILSHAAAVRRYRDKYQHHQKGRIGILLDFVWYEPLSNSNADQAAAQRARDFHLGWFLDPIIHGHYPSTMLEIVKDRLPRFSDDESRMVKGSIDYVGINQYTSFYMKDNGTWNLTPVSYQDDWHVEFVFKRNGVPIGAHANSYWLYIVPWGINKAVTYVKERYGNPTIILAENGMDQPGNISIADGVRDTVRIRYYRDYMTELKKAIDDGAQVIGYFAWSLLDNFEWRLGYTARFGIIYVDYKTLERYPKDSALWFKKMLSEKKRS >SECCE3Rv1G0200850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:858134842:858136752:1 gene:SECCE3Rv1G0200850 transcript:SECCE3Rv1G0200850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHALLSPESRNRAATLPLLRILEDHGADARKSRPAATASKAGRKKKVLVLTVVVSVVLMFALLKTRTLDGRILLRHRPAPTISVPLPRPPYAAHRRVRWDSISASLVAAQAGSVALLNFSPPEVKRWRKLPLPGAVTVRAVRLQAVDSAVTWAALYPEWIDEDGNGTGSGSCPSLPDPEDQGGRRFDLVAVNLPCRRRNDSGSWSRDVARLHLQLSAAKLAVSVHSSHVLVVSDCLPLPNLFPCKHLINRHGHARLYRAHAAYLRPRTLLPVGSCDLALRLPTNPTKPLTVLRRRREAYATVLHSSDAYVCGAIAVAQSIRQSGSTRDLVALVDYDSIGAEQRAGLAAAGWQVRAMDGRIRNPRAVPGTYNEWNYSKLRLWQQLTDYRRVVFVDADQLVLRNIDFLFDAPEVSATGNSRTLFNSGVMVLEPCNCTFDMLMARVRDVRSYNGGDQGFLNEVFTWWHRLPRTVNVLKYYHRRQGHAAPAASAAPPSPEPYLLHYLGIKPWLCFRDYDCNWNVPSLRQFANDEAHARWWAVHDRIAPGELATRFRAMPGRQRSALEYDRRRAEMANATDMHWSRPITDPRNTQQLLLPMPPTA >SECCE3Rv1G0206570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:909569501:909570151:-1 gene:SECCE3Rv1G0206570 transcript:SECCE3Rv1G0206570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASWLVLLMAAVVVAAARCGEAAPTAAAEAAHDVLQTHGLPRGLLPSEIAAFTHDPATGRFEAVLESPCTSRTEVGLRYNITVAGQISYGRIAELSGVDAQDLFLWFAVRSIRVDVPSSGVIYFDVGVVYKHFPLSFFEAPPPCVPTQLILLQSAQMMEDGSVLDGAALQQ >SECCE2Rv1G0100980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:551947201:551951767:1 gene:SECCE2Rv1G0100980 transcript:SECCE2Rv1G0100980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEADMTGPLLAGGSGPAPAVEAEVVPPWREQLTVRGIVVSAILGMLFCLITHKLNLTVGIIPSLNVAAGLLGYFLVRTWTAALERFGIVSKPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQKTYELIGADYPGNRAVDVKNPSLSWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTSGAELAEKQVSCLGKYLSISFIWNCFKWFFSGVGDSCGFDNFPSLGLTAFKNTFYFDFSPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGEWYPAGLGSNDFKGLYGYKVFISVSVILGDGIYNLIKIIYATIKEIMNARSKQGRLPLVRVEDDDESSKLSSEEKLLNDVFVKDSIPPWLAGSGYVGLAAISTATVPMMFPQLKWYLVLSAYVVAPLLAFCNSYGTGLTDWNLASTYGKIGLFIFASWVGQHGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWSAFDIGNPDGMFKAPYAVIFREMAILGVEGFSALPQHCLAICSFFFFAAIAINLLRDVTPDSVSKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWEKINRKESEDFSGAVASGLICGDGIWSVPSAVLSIMRIDPPMCMYFKPSLA >SECCEUnv1G0541460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:97985474:97986808:-1 gene:SECCEUnv1G0541460 transcript:SECCEUnv1G0541460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKAGVGFQAGVKDYKLTYYTPEYETKDTDILAAFRVSPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEDNQWICYVAYPLDLFEEGSVTNMFTSIVCNVFGFKALRALRLEDLRIPPTYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKSQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLPGGFTANTTLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHSGTVVGKLEGEREMTLGFVDLLRDDFIEKDRARGIFFTQDWVSIPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNECHSRGIDHYHT >SECCE2Rv1G0091550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:322330443:322360484:1 gene:SECCE2Rv1G0091550 transcript:SECCE2Rv1G0091550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGGGGRAKVTPNLAMDEEGTRMLNLTVLQRLDPAVEDILMTAAHVTLYDFDTNLNQWSRKDVEGSLFVIKRNTQPRFQFIVMNRRNTDNRVENLLGDFEYQLQVPYIMYRNAAQEVIGIWFYNAHECEEVANLFSRILNAFSKVPLKPNIPSINSEFEELEAAPGLVEGPLELQPSNIIATTNHAQEDPQSAFFSTATNVRGTSGIVVAGQPNQSFGLTPLSSHTPSIAASQPLALHHLLLSQPSSISGIPYDVHGGTGAIARSTNLVNPSLLSPLMSSQTVASNISGAPTAPCQHPHSIQQPQSAPLLQAFPLLTTSPSPPYGTPLLQPFPPPNPSPSLASAPVYNPQLSRDKIRDALLRLVANGDFIDLVYWEFAKGQ >SECCE6Rv1G0406040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:539590926:539593911:1 gene:SECCE6Rv1G0406040 transcript:SECCE6Rv1G0406040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVAAPEVQQPQAVATEAVSALPEATIFRSKLPDIDIPNHLPLHEYCFAKAAELPDAPCLIAAATGRTYTFAETHVLCRKAAAALHRLGVGHGDRVMILLQNCVEFAVAFFGASFLGAVSTAANPFCTPQEIHKQFVASGAKVIVTQSAYVDKLRHESFRRISTGGGEDTLTVITVDDEANTPDGCLPFWGLVEPADEGSVPEVSIAPDDAVALPYSSGTTGLPKGVVLTHGGLVSSVAQQVDGENPNLYMREGDVALCVLPLFHIFSLNSVLLCAVRAGAAVMLMPKFEMGAMLAGIERWRVTVAAVVPPLVLALAKNPVVEKHDLSSIRIVLSGAAPLGKELEDALRGRLPQAIFGQGYGMTEAGPVLSMCPAFAREPTPAKSGSCGTVVRNAELKVVDPDTGLSLGRNLAGEICIRGPQIMKGYLNDPVATAATIDVEGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELEALLIAHPSIADAAVVPQKDDAAGEVPVAFVVRAADSDIAEEAIKEFISKQVVFYKRLHKVYFTHAIPKSASGKILRKELRAKLVSPFTA >SECCE7Rv1G0470080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:115997736:116001092:1 gene:SECCE7Rv1G0470080 transcript:SECCE7Rv1G0470080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDTS5 [Source:Projected from Arabidopsis thaliana (AT2G13810) UniProtKB/TrEMBL;Acc:A0A178VQ02] MPTNMISKVLEKAVLPLDVAPPAKKGPASRTSVLRNPNMEKLQNGYLFPEISMRREAHQKKYPDAKVISLGIGDTTQPIPSIVTSAMAEYALALSTPEGYQGYGPEQGQTRLRKAIADVVYPNMGIRDTEVFISDGAQCDIARLQMMFGRDVTIAVQDPTFPGYVDNGVIMGQTGPAAGEEESGGSRYGGIEYMRCAPENAFFPDLSRVRRTDVIFFCSPNNPTGHAASRDQLRQLVDFARRNGSIVVFDSAYASYVSAGDGEDKPRSIYEVPGAREVAIEISSFSKFAGFTGVRLGWAVVPDELLYADGTRVARDFDRVVTTCFNGASSVAQAGGLACLATEEGRAAVARVVAGYKENARVLVGTFQALGKEVYGGDDSPYVWVRLFPGRRSWDVFAEILEKTHVITVPGSGFGPGGEGFVRVSAFNSRDKVVEACARLTTFLA >SECCE4Rv1G0248870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:576440038:576445832:-1 gene:SECCE4Rv1G0248870 transcript:SECCE4Rv1G0248870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATRRAASSLASRCLLSRPAASPAAVPSALRRADGARGLLPGLLQRFGTAAAAEEPISPSVQVSETQLLINGKFVDAASGKTFPTLDPRTGEVIARVSEGDAEDVDRAVVAARKAFDHGPWPKMTAYERSRILLRFADLIEKHNDDIAALETWDNGKPYEQAAQIEVPMLARLMRYYAGWTDKIHGLIVPADGPHHVQVLHEPIGVVGQIIPWNFPLLMYGWKVGPALACGNTIVLKTAEQTPLSALYVSKLLHEAGLPEGVLNIVSGFGPTAGAALASHMDVDKIAFTGSTDTGKVILELSARSNLKPVTLELGGKSPFIVMDDADIDQAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFVEKSKARALKRVVGDPFRKGVEQGPQIDDEQFKKILRYIKSGVDSGATLVTGGDKLGDKGYYIQPTIFSDVQDGMKIAQEEIFGPVQSIFKFNDLNEVIKRANASQYGLAAGVFTNNLDTANTLTRALRAGTIWVNCFDIFDAAIPFGGYKMSGIGREKGIDSLKNYLQVKAVVTALKNPAWL >SECCE4Rv1G0244570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:517739909:517746328:1 gene:SECCE4Rv1G0244570 transcript:SECCE4Rv1G0244570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDLAADGRIFKADFTDAGAYQLRERMREKLREFEGSAEDSIADYVIVLLRNGKRKDQARKELHIFLGVDDSALFISWLWDHLSLNLHLYVQTQEQEVENKDDEIPKELSGRHKSPEVHMRRDQTPLEHTSESNTTIRSRNKRDWKGTGREGNRNFPLRSVLTDILHGEEKKLQKSTEIRQPPSSNQRNGRKRDREDEQQQTKRELPSRPVLGASRRLLQFAVRDAVKAVQQTSSSTEPSSKRLRSVVSTVSTDNQHGKMSERSTDSLHDRRSERATDSLHDRRSERSADNPNDKRVERKRQILQVPGAALALRAAAEAAADSSKARSTGSVFSRLGQGKAVNKPSRSREEKRGYDDFEPATTLDDQDSDQHDNDEQISGDLEIADGEDEMNVDTTSDEDADIDDEMTRYQSSDSHVVEKKYTLTKCSAEPETNKTKHSSSTYEEQPVSKAPIRASKTVAVPVNPISVEPPNFGTPKDVHVVEKPDITPMNANVASPAINVKELGHGEVQKDSQRSATSVSSSYSTAHPTEDADSRTLYVSNVHFAATKDSLSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHTESAERALSLNGTSFMTRILKVVRRSSHEAAHFYGWPGSGRSSYARGRMAYPRGVLPGSSFRGRTPMKAGARSFQWKREPSGTESNAGAKPDMSVALASAEQVLPPAT >SECCE3Rv1G0144850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5486387:5486828:1 gene:SECCE3Rv1G0144850 transcript:SECCE3Rv1G0144850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKALFVLAVLLASAVLLAAAASEQTRDMEEKVGTNSARVQGGGGGGGGGGGGGGGGGGGGGGGGGGGGGCGRWGCCRRGFRGNCIRCCRAANDVPEPMDRLEVHN >SECCE7Rv1G0482050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:299048490:299051477:1 gene:SECCE7Rv1G0482050 transcript:SECCE7Rv1G0482050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVEIRNLTFTYPGIDGKPPPGAPPLIEDVCFSLEAGQRCLLVGSNGAGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALTSSGDLCYLGGEWRRDVAFAGYQVNIQMDISAEKMLFGVTGVDPQRRDELIKILDVDLTWRMHKASDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARANLLTYLKKECAEQGATIIYATHIFDGLDDWPTHIVYVAHGKLQLALPLEKVKEMSQLSLMRTVERWLRKERDEDRRKRKERKEKGLPAFDKVIEGSRVVNNGWAAGRLTSTIAGEENFSLSSNSVLR >SECCE7Rv1G0469210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:107019483:107020343:-1 gene:SECCE7Rv1G0469210 transcript:SECCE7Rv1G0469210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMLALFVVLAAAGCFAPAAQAWWSPGTATFYGGADGSGTMGGACGYGNLYGSGYGVNNAALSTALFNDGASCGQCYLITCDAGKSTMCKPGTSITVTATNFCPPNWALASDNGGWCNPPRPHFDMSQPAWETLAIYRAGIVPVLYQQVRCSKMGGIRFTIGGFNNFELVLITNVGGPGSIRSVSLKGERTDWIQLSRNWGANWQCNAPLVGQGISFSVTSTNGQTLYMYNLVPAWWGFGMTFTSNQQFGY >SECCE5Rv1G0325520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:466581875:466582582:-1 gene:SECCE5Rv1G0325520 transcript:SECCE5Rv1G0325520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTAPSAAGGGGGGVFSTPAAVATTPPGTPRAAAAPPPAPSGHYAVELYFDPALENQVLKAWNALARRQLSSRLIDTASRPHLPLLHLPAAALPDPLRLAPALRALASRIDPLPLALSSLASPPSSLDAGVLFLSPTPSAALLGLHAQLCELLRKDTGLEVPDGFRPDNWVPRCAVAVDVPRGRMAEAFCVLRELKLLPVSGYGMDIALVEVAPVVREVVSYPLGGSGGVGAD >SECCE3Rv1G0182710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:635680273:635681766:1 gene:SECCE3Rv1G0182710 transcript:SECCE3Rv1G0182710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSLQRPRKPRCPSHPTMLSLSPALLSLLLLVPFLYLLIHHSACSPPFGNLTTARRSSSSVSGFAGDLRDIEFSWNHLPFMSSKPPPAKLKIAVFSRKWPVATAPGGMERHAHTLHTALAARGHRVHVFTSPPPHTEAAPSPSADGPQLHFLDGTPGQWRCDEAWKLYEAEGDNDPFDVIHSESVAVFHRYARGVPNLVVSWHGISLEALHSGIYQDLARGEDEPMSPAFNQSLSQSVRRVLSEVRFFRSYAHQVAISDSTGEMLRDVYQIPGRRVHVILNGVDEAQFTPDTELGRAFREEIGLPKSADLVLGVSGRLVKDKGHPLLYEAFSKLALRHPNVYLLVAGKGPWESRYMDLGRNAKVLGAVPPGKLRAFYNALDVFVDPTLRPQGLDLTLMEAMQCGKPVVATRFPSIKGSIVVDEEFGYMFAPNVESLLESLEAVVQDGARRAAERGRACREYARSMFAATKMALAYERLFLCVKNETFCAYPSEFD >SECCE5Rv1G0367740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:823560864:823562070:-1 gene:SECCE5Rv1G0367740 transcript:SECCE5Rv1G0367740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPASCLGAVAIVLVVLCAAMSSAAAQPRRPLPPNSRVIHPGRFGKRTQTLTCDNTKDKRNPCVATCDKRCPNECLVLCPSCKTYCLCDFYPGMSCGDPRFTGADGNNFYFHGKKDQDFCVVSDADLHINAHFIGKRNPSMSRDFTWIQALGIRFADHRLYLGAQKTSKWDNDVDRLELTFDGAPIDIVADIGSQWQSTAMPAMTVTRTSMTNGVRVELKGVFDIMIKVVPITEEDSRIHNYDVTEDDSLAHLDIGFKFYGLTDDVHGILGQTYRSNYVNKLNVSASMPVMGGIASYVSSNIFATDCKVARFGHNGGISMVTARAN >SECCE4Rv1G0228080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:131719797:131720093:1 gene:SECCE4Rv1G0228080 transcript:SECCE4Rv1G0228080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDFFEKDRFDASEFIVLIPLPTRSMLLMIPAHDLIAMYLAIELQSLCFYVIAASKRKSEFSTKAGSKYLILGAFPSGILLFGCDRTTTDQFFGTYL >SECCE3Rv1G0150170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30779468:30779983:-1 gene:SECCE3Rv1G0150170 transcript:SECCE3Rv1G0150170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRMLNSNATLMVIMGLLIMSLAINSATAAQCGCCISARAKACCFTCIAAGGSNTICKNPCCFPCVLADSVVAKMVEMGVQTHMEGEL >SECCEUnv1G0561900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:360319306:360319545:1 gene:SECCEUnv1G0561900 transcript:SECCEUnv1G0561900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGDAHARGSAEAAASLRRRRTTSGAAAGGGGGASTMLQFYTNEAAGRKMSPNAVLIMSIGFVAVVDVLHVFGKLYR >SECCE6Rv1G0427010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:717234547:717236756:1 gene:SECCE6Rv1G0427010 transcript:SECCE6Rv1G0427010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASGRPPPSEQGPSASPSTPAADSSVAALIDRATSTTAPSVDPALLRAIKSSARASDGAVRDAFRLLLSLMAKPHSHVRLLAFSIVDELFMRSKLFRTLLADALDGFVPLAVGFRTNEQLPPPAASAATLRKAAIQALERWHHLFGVHYRQLRLAVEYLKSSARIQFPGLRASVEARAAREARTQEILTAKVEQLRSTIGSIKAEIRSTIQEIRNGLAIIRAEYEKFERDADDEEEEEEEIASMAMRSIRTASLMAGQWVPETQENEAVFDVLREAYRLLVSKHFSTIQDWISVLVRVNLADNRFRDSALKEFIDVKNEIRAVRGQCNELGLDLDNVRRKKDVQEEDEEDWVEGKIEVPSPPRVVSTLDVAGSSKDNRKGKRIVNGGNGDLPNGGNRSQEMDPERKRLLSEAPLVSWSSVLDRWGSNLDAHVNQRGLELESHWGRMDNDAVIPAAKIAELNVHHSVYKEEPVEILPCHAPLNKGGLCQRRDLKICPFHGPIIPRDARGNPIGKIGGSSDAGGDPLEQKIGIREAGGNPIKTNGGSDSESTQEGQEPSTSMMANINNDTSDIDISKITADQLAMQAIKNVRKRDMDDKARERAQRARIRQHNEDVLRQAAVASTPHSAAAYGQPSEALGRRGRRRGKTKEPTLSSMLKKKVTAKDRIAGRLLNGRARDATIREASNTEDMTYREAFPNQWQ >SECCE5Rv1G0332900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:552996167:552998561:1 gene:SECCE5Rv1G0332900 transcript:SECCE5Rv1G0332900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEETKPKKQRDEECIMNCLPGELIERVFLKLPVSTLLRCTGVCEQWHKIIKDPQFATYHLQDAPHYALLFFPQESVLGVPHPADAILIDETWSPSTYAVPVIGPDDFLCGSCNGLLCLYTKSSTLKIANFATGECLHLEKPVRNLGGDHFLFYNFGFHPLTKEYKITHFRGDPVVGSTRSHNNSRFSIIQVYTLGDEKWRDIKTPEALSLNCVKNSGAVTIDGTLYWLIEDMVASWQHAVMTFNLCEESFAQIQLPATKPEDFAGGGSRRYWIREMDGKVCVATAQTCPSLPRRLVGKLQIWELENKTEQRWSQKYNIQYPPDYIPGPNLVHGDKIILQHRDGNLYSYELLGGNFNTKLCEMEKLLDFSPHKPDNMQSYICVKSLVRLDVYKKTAIARRPKQREGWELKKWEAWEHELSENERMWSDTHRDEHKGTARAQRYRMMINDLLPHILDDTIRQEIGMHINQIFPNFPDQQPRPIRRLNWVAQKRDMQNLNARMKKYNTIMTATEQVTGSIISMMGSAIEDQNQVWT >SECCE5Rv1G0337550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:591956202:591961255:-1 gene:SECCE5Rv1G0337550 transcript:SECCE5Rv1G0337550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPQSSRGGGGSAGEQPRVYQVWRGSNEFLLQGRFIFGPDVRSLFLTIFLILAPVLVFCIFVARHLMNDFPDHWGISVMVVAVVFTVYDLTLLLLTSGRDPGIVPRNTHPPEPESLDGNNDTGNGQTPQQLRLPRTKDVYVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIIKIRDAEDSSIWRAMLKTPASMVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNVGVVNNFLEIFCTAVPASKNNFRARVPVEQGLQQSRPQTRGFMSPNMGKPVGDLELGRKPVSWDEPRSGADIRDLEVGGMLDEKEGRITHASPDLSREALPVELMEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGGGETNWGSVSSGHGTTSGTH >SECCE1Rv1G0051160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:651499342:651499959:-1 gene:SECCE1Rv1G0051160 transcript:SECCE1Rv1G0051160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTRNRRHPMAAVHAVAEAVEAPLGGRSPVADPDGVSPAAKGAELPVPEKKVDAEAEAKKLKELNAMLLKEVMQRRGQVATLSARIDELSIASVTEDELGEEKPLDQADEAEVAQEAEDVAAASKKVTASVVKPGAEKKVVKKKKAPARSVQPRKAAEAEKISTAAANASADEQGVIAGPAPGGRPRRNRKANSMYPSDAWAR >SECCE3Rv1G0197270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:817111157:817117877:-1 gene:SECCE3Rv1G0197270 transcript:SECCE3Rv1G0197270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGAGAEGEELRLLAAVEAGDAGAPAAEKSWRLNFDGLRPPEARQERPARRLHHHCLGVIGQAPEDVVAEYYQQQVEMLEGFNEMDALTDRGFLPGMSKEEREQVARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESTRSLLSDGGEFSLTKEQEKWVVDIMLSVTLVKLALALYCRTFTNEIVKAYAQDHIFDVITNIIGLVAALLANYFEGWIDPVGAIVLAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPAGMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEK >SECCE6Rv1G0450610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:868821051:868827803:-1 gene:SECCE6Rv1G0450610 transcript:SECCE6Rv1G0450610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRASHAGSWYTNNASKLEEELDGWLSAAALTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTNITRVFLLGPSHHYYTPKCALSRATVYSTPIGDLPVDLEVIEELKATGKFEFMDLNVDEAEHSMEMHLPYLSKVFQGHNVKVVPILVGAVNSQNEAMYGQLLAKYVDDPKNFFSVSSDFCHWGSRFSYTYYDKKHGAIHKSIEALDRLGMEIIETGDPDAFKQYLEEYENTICGRHPISVLLHMLKHCSTKIKVGFVRYEQSSQCKNTRDSSVSYASAAAKVDSPGEEGKD >SECCE4Rv1G0277200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:802143635:802144840:1 gene:SECCE4Rv1G0277200 transcript:SECCE4Rv1G0277200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQQEVADAVKDQAALCLLLLRHLGSLDEGMPTTNLAFSPISFHAVLSLLAAGASGATRDQIVTFLGPAGAEAHAALASMVASFVLAGRDLAQSHGATGVWVDASLRLNPTFANTAAAVYKADARSVDFSNRPREATAEINEWFESKTRGLVKNILSEHDCDGSTSLVVGNSVFFSGHWNAPFFPEATKEGPFYVSSLPEHTVRVPFMEGSPFQQIGVHPGFKVLRMPYRGDGGDGEPLFAMYIYLPDDLDGLPALARRISVSPDALLHRSVVPEQAVPLGVLRIPKFEVTLRVEASRMLRNLGLELPFRRSGDSFSEMLSPPAPLVAVSSVVHHCVVKVDERGTVAAAGTVAMSAGCGMVRDRPVDFVADHPFAFFLMEDVTGVVVFAGHVINPLPLMD >SECCE3Rv1G0205840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:903060422:903064006:-1 gene:SECCE3Rv1G0205840 transcript:SECCE3Rv1G0205840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTATGPGGGVVRRKAGAAAAAAAASREWLVVPASGRARVEEAGKHAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKAVITAAEVLLPNSKDPDFARFVRDLQARVLTSADQAAEFTDMDGESSAIASPFPAPGSSKGHELEMAKRNPNAVGEMTHSSSVPTLTAMKDGSTKVLPFEFRALEVCLESACRSLEEETVTLEKEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLAREDISETSSRVEVDDHDHDPSQLEEDMDEDYRSEPAGTASNGSFIGYKPNIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYNPVGEEQTRAAHVKFWETTFGTIAGCTILYIIAMGWGKRSGLLQ >SECCE4Rv1G0262490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:708014152:708014493:1 gene:SECCE4Rv1G0262490 transcript:SECCE4Rv1G0262490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSMSSKMAQRKKPAPKLDVTFCCPFCNHPDSVACTIDLKLLVASAVCCICEEAYHTTAHYLTEPVDIYHDWIDACEKANQGVQLQAPEYDKRRRRVGSDDDDDSDATST >SECCE6Rv1G0430930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745176125:745176918:-1 gene:SECCE6Rv1G0430930 transcript:SECCE6Rv1G0430930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAMPSERVRLIGCFASPVVHRAELALRLKGVPYQLIEEDLNNKSELLLTLNPVHKTVPVLLHGDCSIPESLVIVEYVDEAFPAGPPLLPVDPLARANARFWVRFLEEECKKPLWMALWTDGEAQAAAARETKEKLTLLEAQLPEGKRFFGGDAIGFLDVAAGGALAHWMGVFEEMAGVRLLTEEDHPALCRWAREYRADETVRQCLPDRARVLAALAARKDLYVSIAKAMAAQK >SECCE7Rv1G0454940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:4851969:4853305:-1 gene:SECCE7Rv1G0454940 transcript:SECCE7Rv1G0454940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARMTKTQRTEDEEQEGGGIQRVPEECLAKAIGLTSPADACRAAAVSAAFRSAADSDAVWERFLPPDCDAVLERAVHLVDSSSKKELFMDLSDEHVLLDDGKRSFGLQRSTGAKCYMLSVSDMGIAWGHTDLYWRELSDPDARFSKVAELVSVCWLGLSGQISSKELSPGTHYASYLVFKLTHDAHGLSSPHQVSYVEVDGQVVAGSVRTPSFHPCNRAAASCGEAGEPHEHVEENGVGGVKRYPRQRDDGWLELEIGDYHTGTCDDDAGADFKMELHEWEELKWKKGLIIQGTEFRPK >SECCE4Rv1G0230940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:174407959:174409836:-1 gene:SECCE4Rv1G0230940 transcript:SECCE4Rv1G0230940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLSLPHARKLHATLLKSGHHGDAYRCNLLLRAYTRGGALADARDLLDLMPSPTLVSYNTVLSGYAASSTPGLLDAALHLLDAMPERDSWSWNTAISGLARAGRACDALRRFLQMTRTPVAPDAFTYSIVSPCCSVDMGSARQVHARALKAGVFADACVGTGFIKLYAELGLMDDARKVFDSMPLIDLMSWNVLLDCGVRSGEAGSCMKEFLSMTGCGVRPDEFTFATVLNGLAERSAGLEAMQVHSVILKSGYLRDLFLCNSLLDVYGRCGYVDLAKKLFDAMLEKDVVSWTAVISGLAACGYQADAFDIFCQMLQAAMLPNSFTFGSIVSSCAYVNDLGSGRQCHALAVKHGLELVPVVASCFVDMYSKCAKMDDAIRMFEIMPQRDIVSWNAMICGLAQNGQSARSLELYDEMMRLHCESVTPNSVTFVGVLSACSHAGDVQKGCSYFTQMVNDFHIEPISEHYTCLIDLFARAGWLDEAEEITSNLPFKHDAVILGTMLNGCRKYGNLDMAKRFAKRLLVNNPDNASAIFLLSNMYIANEEWSNASELRDAAISSGTHKVMGNSWIDVGGQVQCFSAGSSPDAQFEQIYDVLPQLQLMMVDADKLGTQINSLCTYINSE >SECCE3Rv1G0167820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:208503544:208504023:-1 gene:SECCE3Rv1G0167820 transcript:SECCE3Rv1G0167820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKNSSGDLMSSSKLVAEAAKTAYEQKSVEGIDKEKVAAASAEMLDSAAKYGKLEDKPVGQYLEKAEGYLKQYSSGGTEKEKTDAPAAADAPKPDAPKEAAPAPAPAAEEGKSSDGFGLDDVMKGAASLSGKKSGEEEKESGGGGGGFMKMAQGFMK >SECCE7Rv1G0470620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:123124653:123127346:1 gene:SECCE7Rv1G0470620 transcript:SECCE7Rv1G0470620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MADAAVRCLRDGRLDGEHAPALAVEGSLQCCPLAARAMLHVAAAVASNAAAGKAQARGLVVVAFDRSPEVYLDIMRRHGLDSNALSRCVRILDCYSDPLGWKQNIRSQQQQEDSGTPCSTNKDTITTFRSVKDVDKLSCSIIDLGRGFEGEGKTYFSVAVDSISSMLRHASVQSISGLLSNLRSHDQISSIFWLMHSDLHETKFSRAFECLSTMVACVEPEAVDSVYGEERRGDMSFLEHNYSKAKFHVRLKRRNGRVKHLYEELCVEGYDVKFISATSVSMEVNQSLLPKVQFNLELSEKERSDRANVVLPFEHQGKGEPIRIYDGRRSLPEARQDPNLTTATLLDETEALKSATAKGEIHYLRDSDDERPDSDEDPDDDLDI >SECCE4Rv1G0253550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:627545594:627547150:1 gene:SECCE4Rv1G0253550 transcript:SECCE4Rv1G0253550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEAIPLLTPHKMGRFELSHRVVLAPLTRCRSYANVPQPHAAVYYSQRATRGGLLIAEATGVSATAQGYPETPGIWTQQQVEAWKPIVEAVHRKGALFFCQIWHVGRVSTNDFQPDGQAPISSTDKQITPDAESGMVYSKPRQLQTNEVPQIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVIDAVVHEIGADRVGIRLSPFVDYMDCFDSDPHALGMHMVQQLNKHPGFVYCHMVEPRMAIVDGRRQIPHGLLPFRKAFNGTFIAAGGYDREEGNKVVADGYADLVAYGRIFLANPDLPKRFELDSPLNKYDRNTFYTQDPVIGYTDYPFLEGSNVDDIIVFNNL >SECCE2Rv1G0083880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172129469:172129942:1 gene:SECCE2Rv1G0083880 transcript:SECCE2Rv1G0083880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNSAVFLLGLVLSCVAMSSAARILEETVPSKEEHQTEVPQIPKVELPPFPEVHLPPKPELPKVEMPPVPKVHLPPKPELPKMKLPPVPEVHLPPKPELPKVELPTFPEVHLPPKSEMPKVELPPKPEMPKVELPPKPEMPIIPMFHFPKPEAKP >SECCE4Rv1G0267400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735989872:735990385:-1 gene:SECCE4Rv1G0267400 transcript:SECCE4Rv1G0267400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTLAYLDQRLAAAKRCSREAAMAGAKAAAVATVAAAVPTLASVRMLPWARAHLNPTGQALIISTVAGMAYFIVADKTILSMARKHSFDDAPDHLKNTSFH >SECCE3Rv1G0203910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:886262530:886264374:-1 gene:SECCE3Rv1G0203910 transcript:SECCE3Rv1G0203910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYEGGGHGATAAVAAGGAGVTSIKEGGGRDAHEDDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENNRSIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPTADTDRYHKTEISLCRVYKRTGIDDGRGHPSSARSTVPSRRGAEARQDNRQGSSSTSTLTPPPTPSKLQLLQAGECTSPPAPMADHAQTHRPAAQRQQLVATVKPSGGPLGYLQSTAAAGEHHQQEGAAALLYQQYSKSTNTFASTYSLLNLVNAASMGVASAAAIDELSTLVGHGAAQLPSYHSPASSGGHHDHFVVPLPTPPSQPITPLGTLPMSLAAISDKIWDWNPIPDAAAGRDYGGTGFK >SECCE3Rv1G0161010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:110814057:110814782:1 gene:SECCE3Rv1G0161010 transcript:SECCE3Rv1G0161010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRITAMDQLEGEEVVIRAPAPTAASGGVRRAGEPERLAHCPCPRCESTDTKFCYYNNYNLSQPRHFCKGCRRYWTRGGTLRNVPVGGGTRKPNGSPSGVRRKRHAHSHHAAAAASSSASSQAAPVVPLSVSAPVPLALPLLPPQQPQPQQYELSFLPPSLSVVDPDRRLLDLGGSFSSLLTPPAPLLPNFATSFMFGGAGAGMGMAMAHAHVPALPQQAPVVSQALPEGFWGMGWPDLSI >SECCE5Rv1G0363240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:791772660:791774170:1 gene:SECCE5Rv1G0363240 transcript:SECCE5Rv1G0363240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAANAAGSSSSASALRLEGKVALVTGGASGIGEAIVRLFRQHGAKVCIADVQDEAGQQLRDSLGGDPDVLFVHCDVTVEEDISRAVDAADEKFGTLDIMVNNAGITGDKVTDIRNLDFADVKKVFDINVHGMLLGMKHAARVMIPSKKGSIVSLASVASVIGGMGPHAYTASKHAVVGLTKSVALELGRHGIRVNCVSPYAVPTALSMPHLPQGEHKGDAVRDFLAFVGSEANLKGVDLLPKDVAEAVLYLASDEARYISALNLVVDGGFTSVNGNLKAFED >SECCE2Rv1G0098030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:474409360:474410637:1 gene:SECCE2Rv1G0098030 transcript:SECCE2Rv1G0098030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMQSSYLPATTESIAMAQEAKDASESILILYRVLEDPSSSSDAVRTKEIAITNLTNYLTKENRAEELRNLLTQLRPFFALIPKAKTAKIVRGIIDAVSKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLESQEYTEALTLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEGFNALDDPKAIFCLKYMLLCKIMVNQADDVAGVISSKAGLKYVGPDVDAMKAVADAYSKRSLKYFETALGDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEAYSRVEIAHVAEMIELPIEHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDAKTEAIFPATLETISNVGKVVESLYMRSAKIMA >SECCE5Rv1G0372350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:852386034:852386390:1 gene:SECCE5Rv1G0372350 transcript:SECCE5Rv1G0372350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRMLAVAVLAVLFAGAMAVKVKLTVEKGSDTKKLALKIDYTRPGDSLSEVELRQHGSEEWQPLTKKGDVWEVSCSKPLVGPFNFRFLSKNGMKNVFDEVFSTDFKIGKTYEPEY >SECCE1Rv1G0048120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631982784:631984245:1 gene:SECCE1Rv1G0048120 transcript:SECCE1Rv1G0048120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGLLPGDVLADVLRRLMPRNLAACRSVCKAWCAAVDAHGLLRKDLLPLSLAGIFVVYRFVDGEHFPPAFLSRPSVVGKIQGNLGYLDDVRDDWSHMTGHCNGLLLLHWGGVANPATRQWACLPRHPDRTLIKGFIEHDYLTFDPTVSQHFEVFFMQCVRRRYGNRYENEAELVPANPNSEWPPSPYTMSAFSSKTWRWEERSFIREGMAPRSIDPKLLPLMKDVLSHDAVYWRGRLYVYNIFFVIRLDLEDNKYRVIELPPTINEAHWDILPHLGKSEKGVYYGFVHGDWCKLQIWFLNELIDHTEWMLKHEIDLQPLLESFPWKHGYGSWFVQGVRDNNDETVDKEKPEWDSDDDDATTATTAEVSVKEKFPNYISNILGFHPYKEIIFLRTSHTRVMAYHLRSSKVEDLGCLPLDGDDWIKSSVVYTPCWMESCLIANSLEDNTEL >SECCE1Rv1G0049550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642073525:642073986:-1 gene:SECCE1Rv1G0049550 transcript:SECCE1Rv1G0049550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPGNGHLPAAASTGLPDHSSASASDSEPEGDADYLPISGAASDTDSDTDPDPDLASHRRLDAVDNGVSELDLASDDEEESDEEEGSAGATEAAASSDDERRRRAQLPAGAAARIVDAMRGVAFPGAPPPWAGAVPDEQWLERLRSLRGGRD >SECCE3Rv1G0190860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:743761584:743763495:-1 gene:SECCE3Rv1G0190860 transcript:SECCE3Rv1G0190860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTALKSITSGQQNCRVFARLIRLWDAKIINPRYGDGLLSIDGILLDEDGNMAQMSVPKKIEKQFRGLLSEGSVYIISDIVAIDNRSKSYVYHHQNYMLQFKHDTKVHALHSRGANIPTASFNFCPFDQLPRKAIDSKPLLDIIGVISDVGPYDYASPTSQNKLRKIKIRNLDEQTQEVVLWGKHGESFDEEAVLKKSLEGIVIAIFAGITATSQKFTGAIQGSSSSATQVYLDLNIPEVQQYRSRYKLPLTLTDSSASLDAVAFNKIAEDLVECPAEQVSMNMKIDAADEVLSLDKAIGKERLFYIGMNIDSAAKYPIKYVLKRSFPVDNTKSVPLLTASKVTTF >SECCE4Rv1G0285420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:844439129:844441145:1 gene:SECCE4Rv1G0285420 transcript:SECCE4Rv1G0285420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASLLRRLLPRSPPAPQLEGKDPLVRRPPFLPRASVVCSCLRRLLSLSDPQFRRSFRIRHRRGPHLLGFFIDHGGDSDSDDDEDGDEDDGDDSSGDGGGGGDGEDDDDGDDDGRSITFDFEPAPGAPYRLPRGLFSLELDGCHMALGWRHGLGLFFHKIRFEVLVWDPLAGHQHILAVPPDFEFHGAGGDISGAVLRAAGDVGHFRVVLVGSNGKQPRTALACVYSSETGVWGDCVSTPLPSEGLFIFRSNPAVLAGDCLHWPFTVAGSRSILEFDLDRQSLAMKLLPGDYYTPLSPVDFMVMRAEGGEKGFLFLYGFTAELWSLKPNRDGVMIWESGRSIELDKLLRLNPKKDPPKVIGYAEENNVVFFWTVVGVFMVHLESWWFKKLSKTSLDSWYHPFEIAYTPGIGGGHDGAKVVSRWWMTRWARYKKQLFS >SECCE3Rv1G0212470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:955771137:955776247:-1 gene:SECCE3Rv1G0212470 transcript:SECCE3Rv1G0212470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVSFLLACLAAAVQPTSAAEAGRGGILHIPSAADLARARCPSRCGGVAIHYPWGIGPGCFRQGFELTCTSTGGHKRLFLGNSTTEVLEVNLGDNELYTSAIHFNVTMKPGMNDTYNMSWEAPVKGVMASGETRLFVVGCGVDVYMFGHDTNKPIGSCTSICLDNKEAMKEANANQQYGRNVGVGVGYCSIRLQQDVRAFGFIVGRINGGLSALSSQGQGLSNSSIKVFWAEDYKFHTIDINSSKIDERNVDGTIFIMAITDQPNCESAQKNKSSYACGDNSDCVDMPSAGGYSCRCPSYPDDDNPYIMDGCQASYTPNPKEGCKRLCGNTKIPFPFGLEKDCYAAEKFRLNCTSENITILDRSAKYVVANILVNEGYLTVREIQNNSRYSDEDLAVVSYTSDGSMEIEDIVDGYGFYLSEEYDMKMWWSVDNLTCPVDISKQRSATYACRSVNSSCIPVTRRHGNGTMQLGYRCQCSPNYEGNPYTPYGCTDVNECLLMNTCNGTCQNYPGGYSCNPCTHGKEFDQIKRRCVTSAKRRSLLLGIGIGIGCGLGAIILVLGIVAFISKWKRGIQKRIRRAYFKKNQGLLLEQLISDESATDKMKIFSLEELNKATNNFDVSRVLGRGGHGTVYKGILSDQRVVAIKKSKLVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYDLLHIDVSVKCLLLWDDRIRIATEAAGALSYLHSAAAIPIFHRDVKSSNILLDGNFTTKVSDFGASRSLSLDQTHVVTIVQGTFGYLDPEYYHTGELSDKSDVYSFGVILVELLMRKKPIFINEQGIKQSLAHYFVEGLQHGVLTEIMDRQVVEEANQNEIDDIASVAEACLKTKGRERPTMKEVEMKLQLIKARRLRSQLPPINDGEIESIGCLNGASPHAQSNSIASNVGLTPTCSSGKYSLEQEFLNSASFPR >SECCE5Rv1G0328010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:499279116:499285254:-1 gene:SECCE5Rv1G0328010 transcript:SECCE5Rv1G0328010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAEAEHASPPAPGQGQHTEVMSTRDFYNLRNVDDAAKARKKEQQMAREEHIQKKRLRIQNRRLRKQRKIKEAQEVVDRALKLREEMFQKRLAYEASAEGKEEAEKYRSTPPWSWRPAVPVTEVTEQPPAFEWVPYFDIDEFGRESVLKCASWSVEEFTLELAQCVVGLQSFIEDRHFFSCSGTIVEFLEGVGHVVTSASLVKLPHQDEIADKLKINVCLASGEILEGSVSNVDFCYNICVVEVRSTSQLPTKKFSADTRIFNFNECHSKDVVALGRLCEPWSLNVASGKLIPRRSQFDCEELLVSSCRISKRGIGGPLMDFDGNIIGMNFYDRKETPFIPGFIVLKCLQHFDDFGTVIRPLHGLRVKTLHDAELTVLEKIHHAFPEICGVIVEKVEVPSPEHSEIKVGDIITHVNGIPFSSAAEFGGILLDTCGQHMLERQKLGLPEDYNQMSTVISLKFDVKTQRGRKSERATRTINVDRFTPSGKLNRWPLGRDYLLRRIENGILYTEERHG >SECCEUnv1G0567570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:416482123:416482609:-1 gene:SECCEUnv1G0567570 transcript:SECCEUnv1G0567570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQRRKGPDVVGSFGLLQPLADGLKLILKEPISPSSANFSLFRMAPVATFMLSLVAWAVVPFDYGMVLSDPNIGLLYLFAISSLGVYGIIIAGWSSKTGGGRSVAYDIRTNWCGLTGLGLINPFFHSSRGRSLFRAGIEKWKS >SECCE3Rv1G0143620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:1150196:1155956:1 gene:SECCE3Rv1G0143620 transcript:SECCE3Rv1G0143620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPSFVTSILSSLAIFVVLVLVFTWLSRRPGNATVYYPSLLLRGLDPWEGTGSPVGWIRQAFTASEHDVVAAGGVDAAVYLVFLSSMFAILAFAGIVFLPVLLPVAGTDNALEDSTGRTPPNVTHFEILSLANVEDQSTRLWAFISAVYWVSFVTYFILWRSYKHVSNLRAAARSTSDVKPQEFAMLVRDIPVPPPNETIQDSMDSYFRALHPDTFYKAMVVTDITKADKIFQEIEDHKRKIAHAEVVYAESKRADRPEGTRPTHKTGFLGIIGKKVDTIEYCNEQIKELLPKLEDERKSALSEKQQRAAFVFFNNRAAAASASQTLHAQMFDEWTVGEAPEPREVIWTNLPRKIYDRHTRQTVVYLIVFVTVAFYMIPITAISAVTTLEKLREKLPFLKVVVDQPFVKTVLQAYLPQIALIVFLAVLPTLLVFLSKSEGIPSHSHVVRAASGKYFYFIVFNVFIGYAIGSSLFSALEKVIKNPAGIFMTLATRLPGTATFFFTFVALRCFVGYGLELSRLVPFIKFHLKRKYLCKTEDEVRAAWVPGNLRYNTRVPNDMLIVTIVLCYSVITPLILPFGVAYFALGWLIVRNQVLRVYVPTYESNGRMWPHMHTRIIAALMIYQATMIGIISLKKFYYSTILTPLLVMSLIFAHTCHARFYPAFAKTPLEVASQQLKEAPNMSAIYAAYIPPCLKPDKLQDDVQVFEDDQARQAPKLDA >SECCE3Rv1G0201590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:865894495:865897020:-1 gene:SECCE3Rv1G0201590 transcript:SECCE3Rv1G0201590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSRRDLMKRRAAATAHEQGAGAAAGMRRRLYGFSASLVVASWVALLILNSLVGHGDGQRDGGDPIVAIPIAGPGPTMNEGSVSPDVVHQEHEENLAVSGDTCVKLDESVLLSEETVLQEDELCSKDDAQSDGMEAVTKDDQIDLSEGQGKEQAVTMDDQIHLSEGQGEEEALAKDDQIEQSEVQGESPHLTNIDSVAHPAEKVDAEDVPKPARLARVVPPGLDEFKTRAIAERGKDASSQTGHVIHRREPSGQLYNYASTAKGAKVLDFNKEAKGASNILDKDKDKYLRNPCSAEGKYVIIELSEETLVDTVAIANFEHYSSNLKEFEMLSSLNYPTENWETLGRFTVANAKHAQSFTFPEPKWARYLKLNLLSHYGSASYCTLSMLEVYGMDAVEKMLENLIPVEKQNVESDDKLKEPSEQTPVKEPSGGKDSSQEPLDDDEFELEDDKPNGDSSRNGVHDQIVETRTLQAGRIPGDTVLKILMQKVQSLDVSFSVLERYLEEVNSRYGQIFKDFDSDIDSKDALLEKIKLELKELQSSKNDFARDIESILSWKSVASSQLDQLVLDNVILRSEYERFRDKQVDLENRSFVVIFLCFIFGCLAIAKLSIGMIFNICRLYDCEKLDRVKSGWLVLLLSSCIVASILVIQ >SECCE6Rv1G0422410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689685200:689688570:1 gene:SECCE6Rv1G0422410 transcript:SECCE6Rv1G0422410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAAARRHLLDQHHLSPAAIAAFRSAAQPALGPQGLGGADGARHMSARAPAVKGGGHLVRKGTGGRSSVSGIVATVFGATGFLGRYVVQQLAKMGSQVLVPFRGCEDSHRHLKLMGDLGQIVPMNYNPRDVNSIKTAVAKSNVVINLIGREYETRNYGFEEVNHQMAEQLAMISKEHGGIVRFIQVSALGASASSPSRLLRAKAAGEESVLKEFPEATIMRPATLIGTEDRILNRWAMYAKNWGFLPLFGGGATKFQPVYVLDVAAAIVNSLKDDGTSMGKTYELGGPDIYTVHDLAEMMYETIREYPRYVNLPFPIAKAIASPREMLLNKVPFPLPTPSIFNLDQIKALTVDNLVSENALSFADLEIKPHKLKGYPTEFLVCYRKGGPSFGSTVSEKMGSADVAPRF >SECCE2Rv1G0110450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:689850380:689853501:1 gene:SECCE2Rv1G0110450 transcript:SECCE2Rv1G0110450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVLIVWALLLLSHGSGSVICAVLHGNDTDMLSLLDFKRAITDDPKGLLSSWNTSIHFCNWQGVKCSLTEHERVAELDLSEQSFVGEISPSLANMSYLTYLNLSRSKFSGQIPQLGRLQELEFLDLSHNSLQGIIPVTLTNCSNLRVLDLSRNLLVGEIPAEISLLSNLTRLWLPYNDLTGVIPPGLGNITSLEHIILMYNRLEGGIPDEFGKLSKMSNLLLGENKLSGRVPEAIFNLSLLNQMALELNMLVGTLPSNMGDALPNLRLLTLGGNMLEGLIPDSLGNASELQLIHLAYNHGFRGRVPPSLGKLRKLSKLGLDTNSLEANDSWGWEFLDALSNCTSLEMLSLYANRLQGILPISVGNLSSNVDNLVFGRNMLYGLVPSSIGNLHRLTKLGLEENNLTGPIDGWVGNLANLQGLYLQQNSFTGQIPTSIGNNSKLSELFLANNQFHGPIPSSLENLQQLLYLDLSYNNLQENIPKEVFSVATIAQCALSHNNLEGQIPHISNLQQLNYLDLSSNKLTGEIPPTLRTCQQLQAIKMDQNFLSGSIPIFLGSLNSLIELNLSHNNLSGSIPIALSKLQLLTQLDLSDNHLEGEVPVEGIFKNTTAISLKGNWRLCGGVLDLHMPSCAAASQRRSRWQYYLVRVLVPILGIMLLILVVYLTLLRRRMLRMQLSVPSSDGQFPKVSYKDLAQATENFTESNLIGRGSCGSVYRAKLNQKQMVVAVKVFDLGMQGADKSFISECKALRNIRHRNLLPILTACSTIDNRGRDFKALVYQFMPNGNLDTWLHPTGDEKAPKQLDLSQRMKIAVDVADALQYIHHDCESPIVHCDLKPSNVLLDYDMTARLGDFGIARFYIKSKSGAAGGSSSMGTVTLKGTIGYIAPEYAGGSYLSTSGDVYSFGIVLLEMLTGRRPTDPMFCEGLDIVNFVRRNFPDQILEILDASLREECQDCSQDNLEGENEVHRCLLSLLKVALSCASQDPNERMNMREAATELHAIDALYVS >SECCE4Rv1G0233500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:241596701:241611021:1 gene:SECCE4Rv1G0233500 transcript:SECCE4Rv1G0233500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDELLRKIRVLEEGQEELKREIGKLIPGHGQSSASPPPPPPPRRPFPALQSQQQATSSRGRALALLHQSSSSSRPQRPGLSDRHCHRILQSLGQAVHVISLEGKVLYWNRFAEHLYGYCASEAIGQDLLELICDPCDFSPAHEIIRNIFMGKCWRGKFPVKHKSGERFSVVVNNTPLYDEDGSLVGLTCLSGDARILEEIVGPSIVGKSYPNSAKPHLQVNNKPKSGLPHKCTSDSQQPLQSAITSKITNLATKVTCRVRSRIRSGQSCDEQDINGHQCQYSDHDAREELTSSEASTPGGDVLHGAFVTEEKSPVNSRKTNSDDSGQGKGGFQKIFSSKAEALLTKKGISWRWKGNENDGGCGKNNMTSPPLHDKQENTQIRQGVPVLEPIIIPDSKDTEYAQAGKYEVSGSWWTFNNNSTSSTMSSTVSSNSSPIERVDYEADCLDYEILWEDLTLGEQVGEGSCGTVYHALWYGSDVAVKVFSRQEYSEEMIHTFRQEVSLMKKLRHPNIILFMGAVASQERLCIVTEFLPRGSLFRLLRKNTGKLDPRRRVHMAIDIARGMNYLHSSSPTVVHRDLKSSNLLVDKNWTVKVADFGLSRLKIETFLTTKTGKGTPQWMAPEVLRNEPSNEKSDVYSYGVVLWELVTQKIPWDTLNTMQVIGAVGFMDHRLEIPSDIDPQWASMIESCWDSDPQRRPSFQELLERLRELQKKYALQVQMQRSTAGKGAEKMSVDDG >SECCE2Rv1G0123080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:821270325:821270849:1 gene:SECCE2Rv1G0123080 transcript:SECCE2Rv1G0123080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHWQAPSCLLVLLFILVSSNASTLDDTCKSVGASKKDIGYDYCIKFFQADSGSATADKRGLVVIATKITRAEAANTRKRIDALKASVTDKKVSGRLSDCRKQYTVALKWLEAAANGVKSGNLQDAKTNLTGVISGTDTCEERFRELGVPSPLAAEDAEFTKGCSIALAITTML >SECCE2Rv1G0105010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:613675957:613678076:1 gene:SECCE2Rv1G0105010 transcript:SECCE2Rv1G0105010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAGGVAARRPRFLCLHGFRTSGEIMRKQVVGKWPAEVTARLDLVFADAPFPAEGKSDVEGIFDPPYYEWFQFDKGFTEYRNFDECLAYIEELMIKEGPFDGLMGFSQGSILSGALPGLQEQGLALTRVPKIKYLIIIGGAKFRSAAIADKAYANMIKIPSLHFLGDNDFLKPHGEQLIESFVDPFIIRHPKGHTVPRLVDETSLEVMSRFLDKMEKEISELSSAETAAEAPADVDEKEICI >SECCE6Rv1G0402580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:484989463:484990821:1 gene:SECCE6Rv1G0402580 transcript:SECCE6Rv1G0402580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMDCDMSRKPGDQQHKISRSVALVLLIVVATNTATFLLISDASSATGIHSGEHHRCIAFWKSTKPSLRDINITEYALAASQDELVHLHDHLATANSLVEMLLDKKATASNMAAARDEQKHVGADGLWQQELTGELQLAVGPHKLPLGFTKNLGSDQLFPAVGQACRLFPDELERYMNYKPGGECPSDEQFAQRLMLKGCEPLPRRRCRTRHPAGYVEPTPLPASLWSMPPDSSIVWDAYTCKNYSCLANRSKIKGFYDCKDCFDLLNGREKGRWMRDDGALSYSIDAVLATKPNGTVRIGLDIGGGSGTFAARMQERGVTVVTTSMNFDGPFNSFIASRGLVPMHLSIAHRLPFFDSTLDIVHSMHVLSHWIPDAMLEFMLFDIYRVLRPGGLFWLDHFFCLGTQMNTTYVPMFNQIGFNKVRWNARRKLDRGIKMNEWYLSALLEKPTT >SECCE2Rv1G0076240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89532439:89540385:1 gene:SECCE2Rv1G0076240 transcript:SECCE2Rv1G0076240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVGRAVRKAFPGFGVFSGVVESYDAEAGYFRVLYEDGDSEEIDGDEMGSILVGAPMPPQPETPGGSAGKRPKKRRRGDGESPQGGVSVVAEAVDGDGLANGAVPVLATPAKVGGGGGENGKAMAETAGKKRKIGPSPVRRSARQAKAAALAAEMEAAANVAAAAEAAETSSPDDVAPVPIAATPQQSGRKRQRAIGSGRSRAVARDLEDAALDRPPQKPNLPPSSQGLDLEGLPVMDVFQVYSCLRSFSKQLFLSPFALETFVAALRCKHVNPLIDWVHFALLRSLKNHLEDLAHEGDPPAIHCIRNLNWELLDLATWPIYLAEYLLTRGSELRYNMKLTDLKLLDTEYYWQPATVKLELLRSLCDDVIEIEAIRSELGLRMSELDGNDEGGRATGSRRKKRGSSVMALADQPPEGSDDMDDGNSDECYLCGMDGNLLCCDGCPSAFHSKCVGVVENLLPEGEWHCPECSMRKYNGSRNMAKLVRGAEVLGSDPHGRLYFGTCGYLLVVDSCDADSPCRYYGQMDLHSLITVLTPCHPSYNPILNVISLFRGIATEPSSINGRYENSKECSTSDHETDRRQSSLKQPSEHEQYTIEKDGSQQLDTGKICTSNSDQDASHQNYTLRRASISQNGNETTANEKPNQNSQPNASGAKKDSCDSKQDDIGLHVNGLSAEDQKDASPQKEASNCCFRSGNDMYINYYSFGQIAASAAGELKHKLSENEEGKKHGPDAVSFRLKTICKKYVNVFALTDQKLSVELLKEKCGWCNSCQISGGSDCIFRFTDGKFVESPKPCAVGPLSEQNKESHIVLATHSMLSIEKRLNGLLSGPWQNPQYSMYWRKAVLMASDVSSLKQPLLMLESSLRRVAFSGEWQKPADSVEVVGSAAHILVRTSNKSAGYAIARKPGRKPLAIELKVDYRDVGVYWWRGGTLSRQVFHWKRLPQSLACKSARQAGRKKIPTIVYPDGSQFARRSKYIAWRAAVEMAQNVSQLILQIKELELNIKWTEILSTLSSAIATKETQKLARFFKKVIIRRKRIKATNVEYLLDFGKRENIPPVVAKHGVKFEEPSSERNRYWLSESHVPLSLLSAYEAKAINRSLKKKDADDRSPKKKKDTNDRSLRKKKGTDDLSKMSDFSPEKPKRSRSVFDDLLEKAQKLEEAQKLEEEQNLLEKAQKLPSRLCGQCFKTVTAREAVNCKYCEALFHRKHFNVPRGAVDTVYVCNKCLAEKVEPVTSPQKKAAAKKTSPKKKQKKQLRKSLRRRKQIVINLKKKASQKNGKRGRPRKNPSSVSKNKSQKMSDSQPSNEVKNEPVKRISKRLYDKYMKRNPDKSEHTTGCRKKKRTASHYSYWLDGLRWTQNTADEQATSFRKARIVFPSEDVKISETSPVCCLCKKCYSGDAIYIACENCEDWFHGDIYSITIENANNLIGFKCHACRLRAVPVCPYAQADAILKDQSDREDTIDRSIEDKDSNCPKDLFTSDDLKELHGHNIEELQSRSVEEQVPDSICLEVLENYNDLKEPGSHSTEREPGSHSTEKEPGSHSTEKELDDCNGLKELESHNNMEELDSHSTEKELDDCNGLKEQESHNNMEELDSHSTEKEFDDCNGLKEPESHNNMEELDSHITERSPHDHNNLNELDNHWGEKKLDDCNFLSELGNHNNVNDLDNGNSREELGCTEDSKFSAGETQCLKELDNLNSKKELGNHNRLDKLDCHSSLKELENHSSVEELDKHDYPKELDDQNRPNDLGNHTNLKELHSAQNGQVTAVTHTDGLIDEQFNTRISDKEAMIMASKSDLVKESIALQSNGSNIVPAELEMDLQVPLSLLTL >SECCE2Rv1G0140950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:933639449:933639922:-1 gene:SECCE2Rv1G0140950 transcript:SECCE2Rv1G0140950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRSSDGEEFEVAEEAIGGASAIIKGMLEEEDSMVATNKVIPLPVAGPILARVLEYVNRHSREDGALYRYCPTADDPLKRFDDQFVHVDQDTLFDLIVAANYLNMQGLLDLTCRTVADQMLGKTTEEIRTHFYIANDYTADEEEEVRRENSWCWE >SECCE1Rv1G0004720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:20433990:20442467:1 gene:SECCE1Rv1G0004720 transcript:SECCE1Rv1G0004720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRWSSSPSSGTDQLPGRSVIPAAALSPLRHSARRSVSRSDTATPSGAAVPARSMWPSSSDGKIKKSSPSPLIHAPSSVATLADHLTNDATDSANAIPVTAPSLQSLSRQRSCTELPRFADADADAEERKIGRSSGKGVGHAFGRSMRFLPSSKPAAVTLTPGRVAPSDLRRLAAGYSLDARADVASSGSECSDASRGSSARSAIPKPSSPLIARTSSVRLLGSSTSQWALSPGRRSSSPAKTLATVSESKGKKSLLSMGWGHLFNRKKSGGADNYSIPTIPATVPSSPVSRSNAGMIGETGHQMRMMHCRFLQWRFMNAKTDAARNCKEANAEVQLMGTWARVSELRGKVARKQAQLDKEKLKFRLNEILSSQMRDLESWGQLESKHAFALESTVECAKSAICRIPLTNGAKASLPAMASILEQMFELTMTAKISVRSFSPMAQETTVVISQLVRVASEERALLQECHGLLGRLSAVQIEEQSLRCHNMMQSSSLNLVTVN >SECCEUnv1G0529380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7472057:7476755:-1 gene:SECCEUnv1G0529380 transcript:SECCEUnv1G0529380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRISDVEDVKLLEEVKDKMEDSLVEPPDWLPDGWIMEVRLGDDGAIYRYYISPVSGAKFRMKSEVLNYLFSEIDEHYLEAKESVARSMLVSSHEWLPKGWLIEIRAGGENMDKMYKFYVYSALGVRVFSKEDVLLYVKEMKIANCDTNGQCDTNSRDNILAEVEFNPSGLPHGWVKELVYRKTLEGIRKDPYYTDPVNNYVFRTLTSAVRYLETGKATKRAFFPRTSVHELYNFEKSADLHECLRKRLTLKGMTDTTHATPSRPRRTRSSMKKINLNEQNLALCEDSNTSTDLDSPDENEEIRKRNMKAKGKEAYSSKTIRHPRGRPSKVVKETDGDISASEE >SECCE5Rv1G0360380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:769203928:769210938:1 gene:SECCE5Rv1G0360380 transcript:SECCE5Rv1G0360380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKERDAEGSRSSSRRRRRRSPSESDDSSDSGGSPRRGRSRHRRRKAAPSSSSSSSGASDSQASGSGSDSGDRRRRRSGSAKRGGVTEEQILEYMSKKAQKKAEKVAKKMKANAVSGYSNDSNPFGDPNLTENFVWRKKIDRAVTEGQKVDISVKAEKKRQRERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKFRSEIRLREGRTKPIDILLKNLNFADEFDVELNEPYLVFKGLTVKEMEELHDDIKMHLDLDRESQVNVKYWEALMVVCDWELGEARKRDAVDRARVRGEEPPREVEERGLHASIEGDVKDLLEGKTSTELEEMQNQIESQMCSGTAKVVEYWEAILKRLHIYKAKACLREIHASLLRKHLHRLENAGAPEKAVEADEELDTKEEDVMHDEEEDDKRYSPEPIEHIAEAAESHLDEEDGSFSPELMHGNEDEDAIDPDEDKAELDRQREAVVTEHQRKVKEVMTAKAKKPDELELKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPIYTIEKDGSAGETCHIRFHAGPPYEDISFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >SECCE1Rv1G0015100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:120799017:120800362:1 gene:SECCE1Rv1G0015100 transcript:SECCE1Rv1G0015100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDAGKKAYVVALVIQVIYTGMYVVSKAAFDGGMNTFVFIFYRQAAATLLLLPLAILLERRNMPPMSLWLFTKIFMYALLGNTVSMNLHNISLKYTSATVASATSNSIPVITFLFAVLLHLETIKLRAASGTAKLAGVAFCVAGILVIALYAGPPLSPLNHHRAFHAHAAATAGGKQQEWMKGTFLMLLANVTWSLWIVLQVRLLKEYPNKLLATALQCLLSTVQSLALAAAVTAGGGEDMSAAWTLRLDVGLVAIAYSGFVVTGVSFYLQAWCIERRGPVFLAMSNPVGLVLTVFCSSFFLGEVVHLGSVLGGALLVAGLYSVLWGKSKEQPPPPPLSAAPASATTMMKQGCDGSNARTGAMNKEEDDEVKLEDEKRTKLDSPV >SECCE5Rv1G0345570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:657048591:657048878:-1 gene:SECCE5Rv1G0345570 transcript:SECCE5Rv1G0345570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTADGRRFEVPLLYLSTSVFSELLRMSQEEFGFATDGKITLPCDAAVMEYAMCLLRRNASVEVESALLSSMVMSCHYTGCVLPAVGASQQICCL >SECCE1Rv1G0044100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:599737436:599741201:-1 gene:SECCE1Rv1G0044100 transcript:SECCE1Rv1G0044100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEMVVVPEIKHTKLFINGEFVDAASGKTFETRDPRTGDVLAHIAEADKADVDLAVSAAREAFEHAKWPRMSGYERGRAMSKLADLMEQHTEELAALDGADAGKLLLLGKIIDIPAAVQMLRYYAGAADKIHGESLRVSGKYQGYTLKEPIGVVGIIIPWNFPSLMFFLKISPALAAGCTVVVKPAEQTPLSALYYAHLAKLAGIPDGVINVVPGFGPTAGAAIAAHMDVDSVAFTGSGEVGRLIMEASARSNLKTVSLELGGKSPLIIFDDADVDMAVELSRLAIFFNKGEVCVAGSRVYVQEGIYDEFVKKAVVAAQNWKVGDPFDVATNMGPQVDKEQFERVLRYIEHGKSEGATLLTGGKPAGDKGYYIEPTIFADVKEDMKIAQDEIFGPVMSLMKFKTVDEAIEKANCTKYGLAAGIITKNLDIANKVSRSVRAGTVWVNCYFAFDPEAPFGGYKMSGFGRDQGMMAIDKYMQVKSVITAVPDSPWY >SECCE6Rv1G0410020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:593532580:593534431:1 gene:SECCE6Rv1G0410020 transcript:SECCE6Rv1G0410020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRPAATAEEDEEEAVMATSEAANAAPPDADDQEQQKKARASKKKRKKKKRRRAPSEEELAALRSVLRWARCGEAGDEEDADCGHLLPAGRRRPRVAVELHAHSARSDGSLSPAALVERAHRNGVKVLALTDHDTMAGVPEAMESAKEFSIRIIPAVEISAVYSPSNGSGADEPVHILAYYGSWGPAKSQELEKVLSSIREGRYTRAKAMLSKLRNLGMPLSFEDVSNIAGNGVAPGRVHVARAMVAAGYVDNLRQAFSRYLYDGGPAYATGSEPVGESVVQLICRTGGIAVLAHPWALKNPISVIKDLKAAGLHAIEVYRSDGKLSGLSDLADTYELLKLGGSDYHGRDDKEEPDIGSVDLPVLAFFRFLEVAQPIWCNAIKEIFANTTERKGCQRTSSVDDLCNMSLSSSELEETDDSEVEIIRTEFADIILSNRSCKTRIEQRAEITSLLH >SECCE2Rv1G0096480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:439801147:439805690:1 gene:SECCE2Rv1G0096480 transcript:SECCE2Rv1G0096480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGRFLLLLLLVAVPALGQLPSQDILALLAFKKGITHDPAGFVTDSWNDESIDFNGCPASWNGVVCNGASVAGVVLDGHRISGVADLSVFANLTMLVKLSMANNNLSGSLPSNVASLKSLKFLDISNNRFSGPIPDDIGSLRSLQNMSLAGNNFSGPLPDSIDGLASLQSLDVSGNALSGPLPAALKGLRSMVALNLSYNAFTKGIPAGLGLLVNLQSVDLSWNQLDGGVDWKFLIESTVTHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSSNELSGDLPGFNYVYDLEVLCLANNGFTGFVPSGLLKGDSLVLNQLDLSANNLTGHINMITSTTLQILNLSSNALFGDLPLLAGSCTVLDLSNNQFRGNLSVFTKWSNDLEYVDLSQNNLTGTMPDVSSQFLRLNYLNLSHNSLADTIPEAVVLFPKLTVLDLSSNQFSGPIPANLLSSSMLHELYIQDNMLTGGVSFPGSSSKNLSLEVLDISGNHFSGSLPDDVVSLSGLRVLDISSNNFSGALPATVTKLAALTALDVSTNQFTGPLPDTLPDTLQFLNASYNDLSGVVPVNLRKFPESSFHPGNSRLEYPASSSGSGNSHSGSASGKSLSTGAKIGLVAASIVLLVILILIAIVCHYKRISRQFPSSEKVSDKNLHRATKDIESMKRKDNKGSSEVSADDLGAPRKGSTSEAPSQEEKLSGVGAFSPSKGSRFSWSPDSGEAYGQEGLSRLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFTKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVSPGSLASFLYDRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQIVDLGVLGYRAPELAASKKPSPSFKSDVYAFGVALLELLTGRCAGDVITGSEGAVDLTDWVRLRVAEGQESECFDPAMASDSENPQGVKGMKEVLGIALRCIRPVSERPGIKSVYEDLSSI >SECCE1Rv1G0058000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:696644210:696649298:1 gene:SECCE1Rv1G0058000 transcript:SECCE1Rv1G0058000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASTSAPVSLSLSFPLRPSPCRRLPAPFSRPPPRHLALAPPRPAAALLSSPSPSPSHEHEHEEEEEEEYGEDEELMDEDGLVEVGYVSGAHGVRGDVLVSPRTDFPELRFATPGKRWLRARAAGKMQVREFELVRGKAHTGKKGWIVRFHGVDSLDEARQIVGSAVLVKTEDRPELEEDEIYSLDLVGMSVIVKDTGKLVGTVAQVFNFGGGDLLQVMVGSAENAVQTHSENQDSTASRQHVWIPFAEDIVPDIDMESREMWITPPKGLLEVNTRPEKSKKERRAMDWKEKKKLQRRITAAKKVLHEMEQGHILEGLLSGDKLEKASLAEQIAGIDFQLFKHAMHSVSKQVGSMSKNALANSSSRKNVMRIPRETLMNHGEKGKNVFSDEFSKGREILEKSKAAIVLVTNGSDSDIVDAELQRLLNSFTELMKVEENHICPPFVIISPDDHVDSVRNYLVENDYFGFDTQKVWVLEEMKLPVVSLSSELESKKILLKSPWEILQRPAGTGAIFSSLSSNKILESFNSTGIEYVQICSLSDELVLGHPLLLGAASSRGVDVGVKLRKTSGKTKTEDGFDMILSIDHLNKMCRDVAKARFSAHPEQHEHVEHVDGRWVTVQPEAPNSHRLSTDVTSVLDSCSPDKLCVMEIVE >SECCE5Rv1G0374270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862219085:862220011:-1 gene:SECCE5Rv1G0374270 transcript:SECCE5Rv1G0374270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPRTTLENLTLVDTDALECGVCCLPLKPPIFQCVVGHVLCSQCRDKLASVRSCHVCRAPMDGGYRRSHAMEKLVESIRAPCPNAPYGCATKSAYHDLQPHIKACQHAPCYCPEEGCGLVGSTATLLVHFTAGHGWPCTTDVRAEEPFHMELREGFNVVDVLNLDGATKLLFLVIVSPERLGRAISAVCVRPPGADGDEPVFYGLELEFDRPSRWHGDRQKSCFDVECTDLSDGLPNADDRFQFLVPHIIVLPYDDDPINIRACIYTQTQAR >SECCE4Rv1G0253940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:631267343:631272122:1 gene:SECCE4Rv1G0253940 transcript:SECCE4Rv1G0253940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-IV [Source:Projected from Arabidopsis thaliana (AT4G33350) UniProtKB/TrEMBL;Acc:A0A178UWE7] MPPPNSSSLPLAAAPMXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXLAAASSFLHHHLSSLASRFAAPRPALAAARPPGPHGAAQPLALGPDEVARALTGTPVFTVCNSSNEFVLVSDPATGLRSLGLLCFRSEDADALLSHVRTRQPVLGKGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALQLKSGLTGFDGVPVFQSDLLVVKKQKKRYCPVYFQKEDIERELRKASKASKGSALSKQIMVGSLEDVLKKMEINDRNSGWDDLIFIPPGKSLNQHINEVSA >SECCE2Rv1G0105500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:620981287:620982867:1 gene:SECCE2Rv1G0105500 transcript:SECCE2Rv1G0105500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIFLVGPTPADHESTAQLEKHLREAGLYESADETAAREDVLRDLQGIVDRWVKRLTAQREYPDGMAEHATALLLPFGSYRLGVHGRGSDIDALVVGPAYVDRHHDFFDVLGGVLAETEAVTELQLVPGAYVPVIKMRFRGVQVDLLYASVCLPAVPMHLDLRGRSVLRGLDMATVRSLNGVRVADDILRLVPDADAFRATLRCVKHWAKARGIYSNAMGFVGGVGWAILVARVCQLYPNAVPSMLVPRFFRIFSQWKWPNPVMLRDIEHDDDGGEMPLGLPVWDPRRNPRDGSHLMPVITPAYPCMNSCYNVSRATLRTMTAELEAAHKVCQEVAVAGGWDALFRPFNFFMAYKSYLRVDVQVAGGEEDLREWKGWVESRLRQLVVRVEMATAGMLLCHPHPHAYAAKPRDGDRRRASSFFVGLSKPAPSPQQPQQPPQFDLRLTTQEFKDEVYTYAFWRPGMELDVSHTRRKDLPPYVLEQILSADRLKRKRSEDDSGNSESSPVKRAAAAGTIGSSPESET >SECCE4Rv1G0276620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798409797:798411536:1 gene:SECCE4Rv1G0276620 transcript:SECCE4Rv1G0276620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSIGSLLASYKSPEHKDMWDRVCKSISYHMDTNPTLDGMRQILTLSYDHLPYHLKGCMMYLSIFPEDFLINKDRLVYRWIAEGLVEEKRGMTLLEIAEAYYDELVSKGMINPAGEIISHVYGAVETCRVHDLMLEVMVSKSLEANFVSLLGGQYDGMSYDTIRRLSVHGGGQRPKESPSKKDSPSKRSNDSTTKKMVKKNDLKDINVQHVRSLSMFQLEGNKLLDRLGEFTLLRVLDLEECKGVENKHMGHICRMYLLRYLSLRGTDISELPQKVCDLEHLQTLDVRATGIATLPETLIKLEKLERLFFSQKDVWSTMWKPPQGLWKMKALREVGWVLLEDDAVEVAQEVGELENLQRLSIYVDCDRPNGPKVLEELALSLSRTHSLRSLDMGTLSYEADALNFLLELPLPPCLLRFLRIAGGIDKLPNWVESLTYLVEFHISWAHFIDDQLFGVLCKLPNLKSIWMQRNCYTGLELVARAAHNFPALKYLRGTCDNEMPRVYKFEEGSMTKLEKLSLNFDNWSEKSIVGIEHLTSLKEVQHAGKRGNLALDRTLELLKVESGSHPNHFTVGVKYD >SECCE1Rv1G0047640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:626890135:626890623:-1 gene:SECCE1Rv1G0047640 transcript:SECCE1Rv1G0047640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEAALGMLYRLDGIQGGDPMIREGKHAVSRELGRILEFVDKVLLREQEEMGVDGAFDDYPEGCHGMNRPTVNRKVSFCGDEATNEADESSESSSSADANETKAANSKKSANGKPGLAAPMPVHMESRRTGGEM >SECCE4Rv1G0288470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861040465:861043032:-1 gene:SECCE4Rv1G0288470 transcript:SECCE4Rv1G0288470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEDAAPAPAPAPAPAPAAPVPPREAISMEKAFEGKTLPTWNEQITLRAMVVSAGLGTFLSFIVMKLNITSGIVPSLNVSAGLLAFFLMKTWTSALERCGVFPRPFTRQENTVVQTCVISCSSIAFSGGFGTYILGMSRKIAKGFDEANNTMNVEEPSLWRVMAYLFLVSFVGLFSIVPLRKIMIISYRLTYPSGSATAHLINSFHTPQGAIQAKQQVSILFKSFLGSFLWSMFQWFYTAGDSCGFGSFPTFGMEAYNRRFYFDFSATYVGVGMICPYIINFSLLIGSIISWGIMWPFIESKRGDWYDANLPNSSLHGLNGYQVFISIAMIMGDGLFNFFSILFRTSYDMYLKRTGRAKANAAGVPFAGAGLAGANERQALSFDDRRRTQIFLKDQIPTAVAVGAYVALAGISVLAIPHIFRQLKPKHVVWAYVVAPIFAFCNAYGTGLTDWSLSSSYGKLAIFIFGANIGAKDGGVIAGLAACGLMMGIVSTASDLIQDFKTGYLTLTSPRAMFVSQVIGTGLGCIISPTVFWIFYQAYDIGNDEGYPAPYAKIYRGIALLGTNGWDQLPKYCLRFCAAFFILAIAICALKEVANNKTWWIRDYIPSALGMAVPFFLGSFFTIDMCVGSLILYMWSKSDRLHAQMFAPAVASGLICGDGIWSLPSSLLSLGNVEPPMCMRVFDADTNFEVEQFLATLPMPQA >SECCE1Rv1G0043560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:594232458:594234532:1 gene:SECCE1Rv1G0043560 transcript:SECCE1Rv1G0043560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKHAVAATATTPETKKRLRFELSPRWRPPPPLRQVPPEPQPEKKKKRAYRFRPGTVALREIRKYQKSTEPLIPFAPFVRLVKEITTDLTKGAINHWTPQALVSLQEAAEYHIVDVFEKANLCAIHAKRVTIMQKDIQLARRIGGRRLW >SECCE7Rv1G0523730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883368790:883370452:-1 gene:SECCE7Rv1G0523730 transcript:SECCE7Rv1G0523730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLVSFVFRNKRNHQHERRQLPPGPAKLPFIGNIHQMIWNKPAVSRWIHRLLKEMDTDIMCLRLGSTHVVVVSCPEIASEVLRKKDEVLASRPITFASGSFSLGYRGSALSPYGEQWKKMRRVLTSEILTPSMEQKLHHLRQEECDHLVTYINNTCMSCPGNLVDVRHVARHFCGNMIRRLVLGKRYFIQPPTSSTGGPGHDEVAHVASLFTLVNYMYSFCISDYFPALIGLDLEGHEKVSKDVMATLNRLHDPIIEERILQWSTTPQEGGEKKEANDLLDVLVYLEDADGQPLLSIDEIRSQVMEMMYATIDNPSSAVEWALAEMLNKPEVMQRASDELDIVVGKDRLVQESDIPQLNYLKSCIREAFRIHPYHAFLVPHVATADTTIAGYTIPKDSHVLLSRVGLGRNHKVWNEPLEFRPERHLNTENVVLSEQGLRFISFSSGRRGCPAISLGTSLTMMLFARMLQGFIWTKPLGVDRISLRESSTSAPTLAEPLVLQAKPRLAMHLYEPIKYI >SECCE5Rv1G0368090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826529072:826530884:-1 gene:SECCE5Rv1G0368090 transcript:SECCE5Rv1G0368090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTARRGEPELVRPARPTPVETKTLSDLDDQWTLRFYESIVGFFRAPPGEAPKPGKVARGIKAAVAAALVYYYPMAGRLRKLPGGNRLAVDCTGEGVTFVEASADVRLEDLGQPLVPPYPCVEEFLGDCGDTRDVLGKPLLFLQVTQLKCGGFVIGLHMCHCIADGFGILQFIKTIADFGCGELIPTTLPVWKRDIFTARIPPSIAHVYPAYKPFLHGLECTGDDVMLSTPPECMEVQYLFFGPNEIENLRSHIPEHLSKSTTTFELITAVMWRCRTMALGYESTQKVRVMFTLNTRGRSINGESAVPRGYYGNAHFSPMVEVTVEELAAKPLGHILELMRQVKLDTTKDRMKSMVDLMALWRERSPFGMDRTYEVSDTKWVGGNALQFGKAELVAAGTPHAGDFTSKLISYHTKCKNKDGEDSTVVSILLPKLAMEKFTKEMAIWLKK >SECCE7Rv1G0472940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:151906736:151908751:-1 gene:SECCE7Rv1G0472940 transcript:SECCE7Rv1G0472940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLVPPARRAPIKCPAAAAEDVEQLPTPTVTSEEFLQFKRKATTIVEEYLSTDDVAATASELRELRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYGDVIDRPQVYKGFCKLAESCDDLSVDTPDAVDILAVFVARAIVDDILPPAFLAKQLPCLPDGCKGAEVIRRAEKSYLSVPHHGEIILQRWGGIKNITVEEAKARIADILEEYLAAGDTVEAFRCIRELNIPFFHHDVVKRALVLAIERGGAAEGHILDLLKSASDQGVINESQIIKGFNRMIDSVDDLTLDVPNARCLLKSIILKASSEGWLCASSLKPLGSEPKKVIEDDPAVKRFKAKAVASIHEYFLTGDIIESVSRLEAENSSCSSFFNAIFVKKLISFAMDRKNREKEMASVLLSSICMPPEHVVAGFRLLIDCAEDAALDNPAIVEDLTMFFARSVVDEVIAPSDLEAVEEEAGRRKAAGSPGMLALRNAHAMLGAKLSAERILRCWGGGGTGKAGWELSEVKDKIGKLLQEYDGGGGVREACRCIKELGMPFFHHEVVKKALVAIIEKRGKDERLWGLLSECYGRGLITPNQMTKGFQRVADCVDDLALDVPDAGEQLRCYVERAKKAGWLDASFSIAKPVPDGNGIAACS >SECCE4Rv1G0240830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448150035:448150394:1 gene:SECCE4Rv1G0240830 transcript:SECCE4Rv1G0240830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPRGYIARRRRTKMRSFASTFRGAHLRLNRMISQQVKRAFVSSHRDRGRQKRDFRRLWITRINAATRVYKVFDSYSKLIHNLYKKKLIFNRKMLAQVAVSNPNNIYTISNKIKIIS >SECCE5Rv1G0375400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868161145:868164078:-1 gene:SECCE5Rv1G0375400 transcript:SECCE5Rv1G0375400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKMMQEQEARLPASTCPPWLQAAIADIEQRVRSLAAGVPDDDAAAAAADHSFAERAENYYHRRPQLLALLTDLHHRYLYLADRYAQSLLANAKSSTHHLNLNAVSASDCFSDVDDRSSDAGSSLSFQPHSGTTDDHHHHPAAAPAVDGDLVVAELVMAWVDRDVLADEADRRRAESARKIDLQGSLLEVLESERLVLLGENARLAFRASAAEEEAAAAAAELGYTRRRAADLARLAVKLRDDHRACMLGRKVEALQAQVYGLELRNRECFEAMAAWEAERKAGVAEIERLRAENRRLAAEAAQASARRKRGGGKGGGSGWWWLARVRLAAEWTPCAPSSVTVRKVGEQMKGGKYNGGCFCL >SECCE3Rv1G0148360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19030358:19037698:1 gene:SECCE3Rv1G0148360 transcript:SECCE3Rv1G0148360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSCCWFSAFLWVWWLPLMLVVAEEQQGGDCSAQKCGNVNISHPFWLTDKDTGRSCGSPAYPDFDVGCRNHSSPTPTLRSSIPFNNGFKILNISYEQRSFYAVDVGKLDVLKANNSCLAPFYNTSIKLNRPFRIDPVNLILILYNCTEKDGAAAAARRHKELALTSVRCGNQWEVFARAGVPHDTAGNYAEYALRGCAAVVVPVPSSSARANASDYEQLFNDGFLLTWNPPPHPFPVAHVGGCTPGICGNLTVSIPFGIVSGSEENRCAQLGFQVHCKDGVPYLGYYERDFGLQILDIFYSNGPLLVSDVHKLGDFSNGSGSKGCHVPTANTATKVAHPFSISPLNKNLIFYNCTKPPGPRTVHRAGLVDTACRKNTFVHAGRRYNASAGIDGGHALPGCNATAVPVLGRTGKVNATDYEELISDGFLLTWQPPTLSAGSGEFTR >SECCE5Rv1G0337720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:593835737:593836506:1 gene:SECCE5Rv1G0337720 transcript:SECCE5Rv1G0337720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMRAAGEAPPTQQQLPPGFRFHPTDVELVLQYLRRMALDRPLPAAVIPVVHGAAMPDPWDLLGASEGESAYFFSMRQAASSGRGGRRRRAASGYWKATGKEKPVFVQLPVGKRLLVGVKTALAFHRGKSRTDWVMHEYRLAGAADQSKRANDGSQSSEWVVCRVSLKSRARRAAAGGETTGDHQQGQPSPSPSSTSSCVTDRTCHAPDQEEVSSSGTSHCEQHPRR >SECCE7Rv1G0480360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:269058339:269060633:-1 gene:SECCE7Rv1G0480360 transcript:SECCE7Rv1G0480360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTATRRFMGLCCCLSGVLLLSFLLPGALAEERFYEFVVQETVVKRLCQTNRIITVNGQFPGPTIEVHNGDTLAIRAVNMAQYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGSSYTYRYTIQEQEGTLWWHAHSSWLRATVHGALIILPKRGMPYPFPKPHKEFPVVLAEWWRRDPIAVLRQSMVTGAPPNVSDTILINGQPGDFLPCSSQETSIIPVVAGETNLLRIINAAMNSELFVSLAGHKMTVVAADAVYTKPFETTVVLLGPGQTTDVLVTAHAAPGRYYLGARVYASAQNVPFDNTTATAILQYKDAAGCPPTGAGVGGNAGLGRPRSSGNPGRAGPAPMFPMLPANNDTNTATGFSNLIRSPRPVKVPGPVTQEVFTTIGFGLFNCQPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHRIPGVFTEDFPARPPVVFDYTSQNVPRALWQPVKGTRLYRVKYGAVVQMVFQDTGIFAAEEHPMHIHGYHFYVLATGFGNYNPRRDEAKFNMVDPPSRNTIGVPVGGWAVVRFLADNPGVWLVHCHIDAHLTGGLAMALVVEDGKTELQTTMPPPLDLPLCGL >SECCE2Rv1G0128290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:859672894:859674924:-1 gene:SECCE2Rv1G0128290 transcript:SECCE2Rv1G0128290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASPTTSPILSTLLLFMTLLLHLNISPATAFYLPGSYPHRYLPGEALAAKVNSLTSPSSKLPFPYYSLPFCAPQGGVNRAAESLGELLLGDRIETSPYRFSMLTNSTAALFLCRTDPVSPAAADLIKSRIDDAYHVNLLLDTLPVVRYVNNPIAPDVLLRSTGFPVGVRADDGEYYVYNHLKLTVLVNKQKNGTTRVEALMATADASELIGLSGGGGGGGGGYTVVGFEVVPCSVEHDEAAISDKKMYDGLSSKAAAGCDPSVVGMRVQANKPLAFSYEVAFVESAVEWPSRWDAYLEMGGAQVHWFSILNSIVVVAFLAAIVLVILLRTVRRDLAQYEELGSEAAPHADDMAGWKLVAGDAFREPSHPVLLCVMVGDGVRILGMGVVTILFAALGFLSPASRGALVSGMLCFYLVLGLAAGYTAVRLWKTVRHGESAGWKGLAWRASLVFPGIGFSVFTALNCVLWYNGSTGAVPFALFVVLILLWFFVSVPLTLAGGLLASRVRGHVEYPVKTNKIARQVPAAQCSPWVFVAVAGTLPFGTLFIELFFIMSSLWLGRVYYVFGFLLVVLGLLVAVCAEVSVVLTYMGLCVEDWRWWWRSFFASGSVAAYILGYAVYYLVFDLHSLSGPVSAALYVGYSLLMALAVMLATGAVGLAASFCFVYYLFSTVKLD >SECCE5Rv1G0339780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611011300:611012127:-1 gene:SECCE5Rv1G0339780 transcript:SECCE5Rv1G0339780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLSPYAPFFPPSPPSQFSTAEEHHHQVFELASCEVPEQWLVGDTVVPAKSEDGDYVWPAGSSSLSPDSELPGESLQASTTVRRGRKPGPRPEGPTLSHVEAERQRRDKLNRRFCDLRAAVPRVSRMDKASLLADAVAYITDLRSCIARLEEEGRQAAAARWEASNASATLSSGDHAGASLHHLTGEDVVEVRMVGKDAAAVRMTSSGQAPHAPARLMSALRSLELQVQHACVNRVDGVTVQDVVVDVPAALQDDDGDGALRSALLQRLRYSA >SECCE7Rv1G0510450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:790077202:790081623:1 gene:SECCE7Rv1G0510450 transcript:SECCE7Rv1G0510450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWRRGRSSLLLLRRAFLLAAVSAAALFLLLSHQVPDPHKPSSWSPELAFSEELSVDPPPHLVFSEELSVDPPPTSPLPEEFSVEPPPASASSDELHVVPPHATAGSEGGVGGSTCATVEEMGEEAVGGGSTEAASLRVRELIRRHFLLHGAARVRSLPAADFCKQGFVLGKASEAGFGNEMYKILTAAALSVMLNRSLIIGQTRGLYPFGQYISYTDHSFTIGEIKHLWRKNRCAQTYGRDLSVRVDDFENPSETNVLCSDWNRWKDPIIWFDGTTDAVGIQFFLKNVHPAIKTAASTMFGSLGTLHARPNTFGELMRVIIYPSQIVQKAVQWASKGFSPDIVLHMRMMANRPVRAKTAAVSCIQRAIQISGLKGTPRVVLISDTPSFVKEMKQEISEFAEVTYFDYKSFAKSFELEMNGNGKPLEFRSRDWGSAPRWAAFVDFFLASSARHAVVAGAHRRVGTTYAQLIAALAAANRQGHEPSGANFTFLSSIHSNLLVDGLSTQAGWGHAWSRYAGPLSCPRQAHQCALTPLLPHAWWDGRWRSPTGRDVRRLLGYGVSLSDTGEVDEERLASHCRSRRDHVKRFHLLPPHRS >SECCE2Rv1G0082150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:148169941:148172580:-1 gene:SECCE2Rv1G0082150 transcript:SECCE2Rv1G0082150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTHASEENNSKESSPVEIPETYMDSPTLEVSSNGVHENMNANVEKSAQAATSGVSNGLPVAAEGSEHPDIFVDSPTLEDSNGDRKNIDAHKEKSAQAATLGISNGLPVAADDSELSSDSQVLTKSHPDVAEHDVESNSPTGTGPEILSNSGPAETSKHSVKGQADHSSSVHPVQVNHVEDKTRFQRKIAVKPKVIEESEAKPESPYKGLIDTAAPFESVKEAVSKFGGIVDWKAHKVQMMERRKFIQLELENAQKEIPQRKEELEAAEMAKSRVLDELETTKRIIEELKHELEKAQMEEVQAKQDSELAQLRVQEIERGVADDSSEIAKTQMEVAKERHEKSVAELKSVKEELRTLHEEYDTLVHERDRAIKRAEEVLSAGKDIEKRVEGLTVELIASKGSLELAHAAHHDAEERRIGAVLTKEQDCLAWDRELLQAQEELQQLNNKLLSQNDLKKNLEANLRKLHGLKSELADYMESILCKEALGVAKEHESEDARQISSSIKETLASTQKELEEVKANIENTKTEAKLLRVAATTLRAELDREKASLDALQQREVMASIAVSSLEAELNRTQKEIESVRSKEEDAQEKMVELPKMLQEAAQEAEDAKDAAQAAEEELRKAKEDTEQTKAAATTTSSRLCAVLKEIEASKASERLALAAVRALKESKEASDVEDSPRGVTLPLSEYYALSKKAQEAEELANEKVTEVLAQVESAKGSELESLDRLTEATKEMDEKKRTLELALERAERANEGKLAAEQQLRKWRSDHEQRRKVQEAAKRAVNPVSSPFVDPYLKEQDSRLHMSGSSYEDHIPNRKLRKKKSFLPRMGSFLSRNTPAQT >SECCE5Rv1G0357770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:749187130:749193983:1 gene:SECCE5Rv1G0357770 transcript:SECCE5Rv1G0357770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35220) UniProtKB/Swiss-Prot;Acc:Q949Y5] MAAAAAALASSPLVHLTAAARLRFPRPRASSAASSRWGCPRGAYLDWRPLRRCDRMRRFSVDEGGGGGEDGEKRGEEEAAAPVEAKVGAAEELGSERSRSGSFSSSSSPSSATPGVSSEPPLLSFSVDNIDTVKLLELLGPEKVDPADVKAIKEKFFGYTTFWLTREEPFGDLGEGVLFVGNLRGDREEIFGKLQRQLRELTGDKYNLFMVEEPNSEEDDPRGGPRVSFGLLRREVSEPGPTTLWQYVISLLLFLLTVFSCIELGIASKISSLPPDIVSYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQLFHEVGHILAAYPKNVKLGIPFFIPNFTLGTFGSITQFKSILPDRKTMFDVSMAGPLAGAALSFSMFSVGLWLSSNPAGATDLVQVPSNLFQGSLLLGLISRAILGYSALHAATVSIHPLVIAGWCGLTTTAFNMLPVGCLDGGRGLQGAFGRDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLLCQRTPEKPCLDDVSDVGTWRRGALIASVFLVVLILIPLWDELAEDLGVGLVSSF >SECCE5Rv1G0370920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:844504932:844532668:-1 gene:SECCE5Rv1G0370920 transcript:SECCE5Rv1G0370920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEANWERLVRAALRGDRMGGVYGVPASGIAGNVPSSLGNNTHIDEVLRAADEIQDEDPTVARILCEHAYALAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREGGAIDRSRDVAKLQEFYKLYREKHKVDELCEDEMKLRESGVFSGNLGELERKTLKRKKVLATLKVLWSVIEDITKEISPEDAANLISEEMKEVMQKDAARTEDVVAYNIIPLDSLSTTNLIVTFPEVRAAISSLQYHRDLPRLPNTISVPDARNSDMLDLLHCVFGFQKDNVNNQREHIVHLLANEQSRLGKLPGNEPKIDEGAVHVVFSKSLDNYIKWCNYLPLRPVWNNIESLTKEKKLLYVCLYYLIWGEAANVRFLPEGLCYIFHHLARELEVIMQKQTAEPAGSCISNDGVSFLDQVIYPLYEIVAAEAGNNDNGRAAHSAWRNYDDFNEFFWSEKCFQLGWPWKLSNPFFSKPNRKEQGLISRNHHYGKTSFVEHRTFLHLYHSFHRLWIFLLLMFQGLTIIAFNNGNFDKNTVLELLSLGPTYIIMEFIESVLDILMMYGAYSTSRGSAITRVIWRFCWFTAASLVICYLYIKALQDGVQSAPFKIYVVVISAYAGFQIIISLLMSIPCCRGFTNACYSWSFVRLAKWMHQEHNYVGRGLHERPLDYIKYAAFWLVIFAAKFSFTYFLQESLISPLVKPTRLIISFKGLQYQWHDFVSKNNHNAITILSLWAPVVSIYLLDIHVFYTIMSAIVGFLLGARDRLGEIRSVEAVHRFFEKFPEVFMDKLHVAVPKRKQLLSSGQNAELNKLDASRFAPFWNEIVKNLREEDYISNTELDLLLMPKNIGGLPIVQWPLFLLASKVFLAKDIAVDCNDSQDELWLRISKDEYMQYAVEECFHSIKYILSSILDKEGHLWVQRIFDGIQESISKNTIQSDIHFSKLPNVIAKLVAVAGILKETESADMKKGAVNAIQDLYEVVHHEVLFVDLSGNIDDWSQINRARAEGRLFNNLKWPNEPGLKDMIKRLHSLLTIKESAANVPKNLEASRRLQFFTNSLFMQMPVARPVSEMLSFSVFTPYYSETVLYSIAELQKKNEDGISTLFYLQKIYPDEWKNFLTRINRDENAADTELFSSANDILELRLWASYRGQTLARTVRGMMYYRKALMLQSYLERMHSEDLESAFDMAGPADTHFEYSPEARAQADLKFTYVVTCQIYGVQKGEGKPEAADIALLMQRNEALRIAYIDVVESVKNGKPSTEYYSKLVKADIHGKDKEIYSVKLPGNPKLGEGKPENQNHAVVFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFSQNHGKFKPSILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLSNPLKVRMHYGHPDVFDRIFHITRGGISKASRIINISEDIFAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVLTVYIFLYGKTYLALSGVGESIQNRADIQGNKALSVALNTQFLFQIGVFTAIPMILGFILEEGVLTAFVSFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGLEVALLLVIFLAYGFNNSGALGYILLSISSWFMALSWLFAPYVFNPSGFEWQKVVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIHTFRGRILETILSLRFFIFQYGVVYHMNASNESTTLSVYWVSWAVLGGLFVLLMVFSLNPKAMVHFQLLLRLVKSIALLVVLAGLIVAIAITPLSVVDVLASFLAYVPTGWGILSIAVAWKPIVKRLGLWKIVRSLARLYDAGMGMIIFVPIAICSWFPFISTFQTRLLFNQAFSRGLEISLILAGNNQNAGI >SECCE2Rv1G0118620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:784107166:784110398:-1 gene:SECCE2Rv1G0118620 transcript:SECCE2Rv1G0118620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATRGIINLPGPPLSPPSGRCPSSSGLPCGMLPMRPSRSPRPTVATVAKNRQPEVGETAASLQDQLLGLLNGMDQKKVRETRTKQQLQEPEPAPSSHDTAGVTTALPLPLPASPQIQCFPTSSTNIHHQLSMLDVLEKIGISRHFAGEIKSVLDFTYSRWLQRDEEIMLDTETCAMAFRILRMNGYEVSSDSLSHLTEASVHLNDTRTLLELYKVSEVSTSKNELLLDSIGSWSGRLLKEQLRSSKAQITTPLLREVEHALDSPFYTTLDRLEHKMNIEQFDFMEHQMLYFKPWQRNQDLLALGVMDFNKSQFVCQQELQHLESWVKDSRLNQLPFARQKLAYFYLSAAGTMLPGELSDARILWAKNGALTTVVDDFFDVGGSKKELENLTTLVEMWDKHEEIQYYSKHVEIVFSAIYNSVNQLGAKASAVQGRNVTIHFVDIWQDLLRNMMTEVEWRETRYVPTPEEYMENAVVTFALGPIVLPALYFIGPKITEYVVRDTEYNELFRLVSTCGRLLNDVQTYEREYMDGKINSVSLLVHHSGGSMTIPEARKELQKPIDTCRRDLLRLVLKEGSVMPRLCKELFWKMCKTCYFFYYHGDAFSSAEEKAGAVEAVIHEPLHLPKNLDLSLVSGLDLSFLCARKKIIEY >SECCE7Rv1G0460250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:30684489:30688854:-1 gene:SECCE7Rv1G0460250 transcript:SECCE7Rv1G0460250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPARASAAAAHALLRRTRCFAAANRIRPAARAFSGAPAGAADPQGAPEKVGGVRAGDDELDVAIVGGGMVGLAVACALSNMPLTKHLRVAIIDSNPALKSTNYLKKDGVPDSRVSTVTPATISFFRDIGAWEHIQQQRHAFFGKMQVWDYTGLGYTRYNARDVCKEYLGCVVENKVLCNSLLLRLQEQKEDIETMIYPTRLASLAFPSKSRDVGVAGLKSPSTEAEELRRSNLVKLDLCDGQTLYSKLVVGADGSKSNVRQTAGIKTTGWSYPQSAIICTVEHTAENDCAWQRFLPSGPIALLPIGENFSNIVWTMSPEEASRHKSMSSEDFVMAVNRALDFGYGPHPSSSSLDHYMERLFSGVGDSAASTKECFEVPPRAIGLISERMAFPLSLMHSHDYVSKRLALVGDAAHTVHPLAGQGVNLGFGDAAALADVISEGVSVGADIGDLSLLNRYENDRKAANVAMAAVLDGFQKMYSVDFGPLNVLRAAAFHGAQYISPLKKNIISYAMGDKKSPLFS >SECCE5Rv1G0335190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:570968335:570971931:-1 gene:SECCE5Rv1G0335190 transcript:SECCE5Rv1G0335190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKSPQPMKKSRTSLSGTDDELPSETASDKTPGLKFETVDKVQDEFGEDSSPLQQSAASNVSYRGSPCIGAFTIQCARCFKWRLIPTKEKYEEIREHIIQEPFDCERAREWKPDVTCDDQEDISQDGSRLWAIDKPNIAQPPAGWERQIRIRGEGGTKFADVYYTSPTARKLRSLVEVDRYLQENPEYGAQGVTLAQFSFQIPRPLRQNYVKKRPKNASPSDEATTKPLQPVEVNPISWAAPLASEAKASEPASHADEAVGSADVELVRKRKAEPGEANVNNHVSDGPETKVEDAQNGDATTTA >SECCE1Rv1G0050200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:645037338:645037960:1 gene:SECCE1Rv1G0050200 transcript:SECCE1Rv1G0050200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAKCLLLFSLSAVLLSLLGTGASAMGLPQPHVPVNFTIGVQGMVWCKTCRYIGYNANMDASPLQGVEVYLQCRHGPRRLKKLPGTSGQGGYFVIQSAHMASFTNDECKVYVESSSSTACGLADEPAAGEGLPLKFDSFVKHGDGLQALYSVGNFFLRPSNPNKCY >SECCE4Rv1G0270900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:758033708:758036434:1 gene:SECCE4Rv1G0270900 transcript:SECCE4Rv1G0270900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 4 [Source:Projected from Arabidopsis thaliana (AT5G51570) UniProtKB/Swiss-Prot;Acc:Q9FHM7] MVSAFFLFCGCVEQANVAVVEKWGRFLRLAEPGLHFFNPCAGELVAGTLSTRVQSLDVKVETKTKDNVFVQLICTIQYRVVKENADDAFYELQNPQQQIQSYVFDVVRAIVPRMELDSLFEQKNDVAKAVLEELEKVMSDYGYSIEHILMVDIIPDAAVRRAMNDINAAQRLQLASVYKGEAEKIHLVKKAEGEAEAKYLSGVGIAKQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDNSKTTTVFIPHGPGHVKDIGDQIRTGMMEASSSGL >SECCE2Rv1G0088070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:238310875:238313018:1 gene:SECCE2Rv1G0088070 transcript:SECCE2Rv1G0088070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVNTGGGKDYPGKLTMFVLFACIVAATGGLIFGYDIGISGGVTSMNPFLMKFFPGVYHQEQEAERNQSNQYCKFDSQLLTMFTSSLYLAALVASFFAATVTRVAGRKWSMFAGGVTFLVGAALNGAAKNVLMLILGRVLLGIGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMVTIGILCANLINYGTAKIKGGWGWRVSLALAAVPAGIIAIGALFLPDTPNSLIDRGYTDDAKKMLRRVRGTDDVEEEYSDLVAASEESKLVSHPWRNILQRRYRPQLTFAIAIPFFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIVTVDRLGRRKLFLQGGTQMLACQIVVGSLIGAKFGFTGVADIPKGYAAFVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSITVSMNMLCTFIIAQAFLPMLCRLKFMLFFFFGAWVIVMTLFVAFFLPETKNVPIEEMVLVWKAHWYWSRFIRDEDVHVGGGADVEMRSNGKVQAAKLP >SECCE2Rv1G0077820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:102106557:102110973:-1 gene:SECCE2Rv1G0077820 transcript:SECCE2Rv1G0077820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGAEREAGEEEESRRPLLTPTPSPAAEHQQQYQHLGRSSSSALRGGGGAEVSAAEVRSAASFSSSNHYPSAPSPHHDAVVYPPSIHSAVLSPSPSSAATPQHAHGLAIVPQEPYGGDYQPSQGVARDVLDEVEIRHLLIEHVGHRCCWGSRPARTWKITSIEDCNVYVGTLETFIEERDTILKKEPYDGGKIDGRDKGPVLGVWELDLRSEFPMLFVPEKEVMVKIPHSEITEKCLDCEGRGEAPCPTCNAGQQHGFYKANQMTRCSVCHGRGLLAHQDGSDSVCGMCNGQGMLPCIACASRGLVTCQTCNGCGSLLAQSTAHVRWKTLTARKVSATTGAASVPDEVFHRAQGVQLCNIQAYQCTPAFFADSYPLNQFSSEIVASRLPVPPSARVISERHIISVVPVTRVTMSHRKRSFSFYVVGYGRDVFIRDYPSKFCWGLCRCFEWLGN >SECCE5Rv1G0309300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:150108309:150112141:1 gene:SECCE5Rv1G0309300 transcript:SECCE5Rv1G0309300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPLRGIKNDIRGRLACYKQDWTGGFSAGIRILAPTTYIFFASAIPVISFGEQLERSTDGVLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVIMYTFMFSFAKDRPDLGPNLFLAWAGWVCVWTALLLFLLAVLGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENIKALQFVPSWRFANGMFAIVLSFGLLLTALRSRKARSWRYGAGWLRGFIADYGVPLMVLVWTGVSYIPHDSVPKGIPRRLFSPNPWSPGAYDNWTVIKDMLQVPPMYIIGAFMPATMIAVLYYFDHSVASQLAQQAEFNLRKPPSFHYDLLLLGFLTLMCGLIGIPPSNGVIPQSPMHTKSLATLKHQILRNRLVATARQSMRQNASLSQLYNNMQDAYHQIQTPLIHQQQSMKGLNELKDSTVQLASSMGNFDAPVDETIFDIEKEIDDLLPMEVKEQRLSNFLQAVMVGGCVAAMPLLKRIPTAVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTTYLLVCFGITWIPIAGVLFPLMIMFLVPVRQYILPKLFKGAHLNDLDAAEYEESPAIPFNLAAQDIDVALGRTQGAEILDDMVTRSRGEIKRLNSPKITSSGGTPVTELKGIRSPCISEKAYSPRVTELRHERSPLGGRDSPRTGEARPSKLGEGSTPK >SECCE2Rv1G0124280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:831404835:831407160:-1 gene:SECCE2Rv1G0124280 transcript:SECCE2Rv1G0124280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATSKGRVIAGSLVARALAGKANASPRRAVHASAYDKNVDEQVRPVFVPDDVIGGAGTPDKYWGPHPTTGVFGPAAVDPKLAGAPASAAKGGASVLDQKVWFRPLEDVDKPPVA >SECCE4Rv1G0216280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10268737:10270900:1 gene:SECCE4Rv1G0216280 transcript:SECCE4Rv1G0216280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 7 [Source:Projected from Arabidopsis thaliana (AT2G04032) UniProtKB/Swiss-Prot;Acc:Q8W246] MSSSSQALALVSLALLVCCSSPLLADAQTPAPADVCASLATDDACHNVPKALRLKLIGIPTILVASVIGVCLPLFAKSVPALQPDRNLFYVVKAFASGVILSTGYMHVLPDSFNNLNSPCLPEKPWRQFPFTTFVAMLAAIFTLMVDSLMLTFYNRKKKGQDTGAPTPSSAAVASLESPEPEAHWHSHGHGHGHGTALAKPDDAEAGQMQLRRNRVVVQVLEMGIVVHSVVIGLGMGASQSVCTIRPLVAAMCFHQMFEGMGLGGCILQAEYGTKMKAGLVFFFSTTTPFGIALGLALTKVYKDNSPTALIVVGLLNAASAGLLHYMALVELLAADFMGPKLQASVRLQLICLTAVLLGAGGMSVMAKWA >SECCE1Rv1G0040420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:559721534:559722598:1 gene:SECCE1Rv1G0040420 transcript:SECCE1Rv1G0040420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKRSPPAPSAAGAAPPAASGYFSSVFPASASPAANPRDARQMDLYTILNKQNPKGQSGGGIADAKSQGSPTIGRVAYKDGKQFYPNESSESPYFGSSVHYGGRDFYDSSPHKQADESFRNYKEDNADGSLATRGDWWQGSLYY >SECCE1Rv1G0045780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:611779088:611780308:-1 gene:SECCE1Rv1G0045780 transcript:SECCE1Rv1G0045780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRGATMLEDLPEEIMDKILIRLPSKDVGRCRAVSTSWRGATSTPAFTLEHRRRQPSLPIVDGQGRPASLVVVRDANAHEQLWPFLPGSEHRLQNCLRGACDGFLIVSRHNQLYICNPVIRTRALLPQPQRQYNTIAGFYCHHSTGEYRVLWVSQSHDSSTSSLYVLTVGSDKPRHIRVRMPTVSSPSAEQKLLNKLRFSSDCSPPVHHRGSLHWRHCAASDIMGGGGDIIVFDTEAESFRWMRGPTQPCHCRKLFDMEGTLAFWGGSTPRSTSMDVWVMQDYEAEIWAFKYRIDLSTVEASRQLYSTSFAKRRIRSLDSTVRLLNDIAVLNKCELLIMFNKKHVLRCGVDGKFLGVVNIGKSHYCMLLTQHRLQESIVPIPGHDMTQENPRCLHSPQGMSEWS >SECCE7Rv1G0511400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803150186:803152326:1 gene:SECCE7Rv1G0511400 transcript:SECCE7Rv1G0511400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASQNTGATKRRRRKDEEVEAGVEAAEDRISELPEALRLHVLCLLPLKSAIRTAALSTQWRSLWTHRWPAPSSLDFRLGIRDSPHPLLETLERRGRRRLQRLALSFGIGALKAEHFRRCLDLAVACAVEDLHVHRVHHFFARFYKFRLPLGDPHLARLSFSFISVDLPDSFSARSHRFTALEVIHLCCVHISDDTVSSLVAACPLLHTLDLRYCEGLYRLSVAAAGAHLRSLTVAECDLCTDVLFADKASGVRSFRYSGAYMPAYSIPATITSLADLYICFGGSNRRRRLSGTRIRFYGQECHACELRRNWLQLLTSLSNLTVLTLCSSILRRLSAKARARLAATGAAPCKLLNLRELQLLMFEVEINNMNDIYSFLVACCGPRLERLFVQLPTINYPYEPEDEPSGSESEENGSMEELSYQEAHGEDEQDEDLTEGEAPEKDGLEEQLSEGDALEEDELEEELSEGEELEEELSEGNELVEELSGGEPPEEKQSQKQGLEEHGSMEELSDGGQSEEETLEHGDVFENLMLLKMMNFMGRDNEMQLVSLVLKKANSLKLLILFTPKINHPEELQKDHMNTSHLLERKLFSLRKALPNAQIVLSEPDDSAIQPLHEALVKIY >SECCE1Rv1G0050130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644750889:644755369:1 gene:SECCE1Rv1G0050130 transcript:SECCE1Rv1G0050130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGSAGDRAEPCGGASSTISPIRPMLSSAPPSPLLPPVWREFVWGAIAGAFGEGMMHPVDTLKTRLQSQAIMSGAKAQKNIFQMVRTVWASDGLRGFYRGISPGVTGSLATGATYFGVIESTKTWLENNNPNLSGHWSHFIAGGIGDTLGSFIYVPCEVMKQRMQVQGSSKSWALNATKGNVSQSPGTQMYGYYNGMFHAGSSIWRDHGVKGLYAGYGSTLARDVPFAGLMVTFYEGLKELTVYGKRKYLPDSDLQVSNSFEGLVLGGLSGGFSAYLTTPLDVIKTRLQVQGSTTRYNGWLDAIKKTWDSEGVNGLFRGSVPRIIWYVPASAFTFMAVEFLREHFNVKTETDAETALNQPTC >SECCEUnv1G0565270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:392080713:392081591:1 gene:SECCEUnv1G0565270 transcript:SECCEUnv1G0565270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRSAVSAVSVGAVVLILALSPAAAVASGGWMDAHATFYGDETGAETMQGACGYGNLFEQGYGLDTTALSVALFSGGWSCGGCYEIQCHGDPHCKPGGVPVTVTATNLCPANYSKPYENWCNPPLKHFDLSKPMFLRLVTDFHVGIIPVQYRRVPCAKKGGIRIEMTGNQYWVGVLVFNVAGPGEVKSLAVKGAKDGQWRNMKRNWGQIWDGYVQNLVGQGLSFRVVASDGRSVALDGVVPASWTIGQSFEGKGQF >SECCE3Rv1G0171950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:280181665:280191035:1 gene:SECCE3Rv1G0171950 transcript:SECCE3Rv1G0171950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MALSATREVLMLEAPPSEAAGLPWRLPPDAETVDALPYIDGDYGDPAVKREVDRLVEEEMRRAHRKPADFLRDLPPVPIIGFENHPMLAKEYERVRAGKPPATIEMSRYGLEPPPANKRNDVVAWRQALRNAQSQLQHQIIRIENLELMLKHGVEVWKLQNRKMESVLSRTQKMTLEYNEKIETVNRERKFHQQNTGGQLHALTVEWQELCQKNIAIQAACVNLQNQIDQLKLEAKEQGMPMAGGNETNPQASVGI >SECCE1Rv1G0045800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:611949167:611952395:1 gene:SECCE1Rv1G0045800 transcript:SECCE1Rv1G0045800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQPALLSFGGRGEYHYDEDGEYAALEALVMQQGQSASVGAPRFDGADFEMWRVGMRDHLVFFHPLVWSIVETGFSCADEANPTELELRNIHYNAQAMDAIHCALSDDQLYRIWHLDTAKGVWDALQVMHEDTPIVRELKVKQRREKMKLFAWRKGESADRMCTRLENLVFEMKQLGCEEVTDSYAVGEMLRAMAPRKPSFVTLIREGRWLEHTPQDVLQVYNLMQEQSRIVRGYARAKVDSVTRTEPDYDEDGFREALCFDGTHYLSWQRRMKFHLLSIDPLVWRIVETGLSCVDEANPTALEKRNWRHDYRARRALQYAIGDDRYIRICRYQYKSAKEIWDALQKINEAVGKSKLSSLRMDMTFFVLGEDESPNDMYTRLNNLANEMKGLGCKEMTDSYLVEKMLLAMTPRNLNLVFFLKHVKPNFELLTPQDVLATFLLYDMEQKESKIMSGHALPHSSKKANTALKAKQVQADESSDDENDHDQDQEEIQQGMQELNLFRKKYKGFLGMEGYEERNKFSDKSKMRKSKRYCYQCGYSNHFSADCPEEDKKEEKEESKYKREPFDNKGKPQEPEGQKGAEAHPHVSTGVESAVQRCGSGGLRPLGRPVNEVPENSASKLPRKPAAQPAQHAHAVCCSPLKPAFFWLAF >SECCEUnv1G0534220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:36426831:36429867:1 gene:SECCEUnv1G0534220 transcript:SECCEUnv1G0534220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELMDELVGEVLLLLPPDEPEHLFRAALVCKSWLRVVCDPSFRRRYRAFHGAPPLLGLLQRFQVLQEEPAPRFTSTTSMPDFPYPGSDGQGKYPVPLDCRHGRVLIHIVRDDGLVFVVSDPVTGDQHVLPAPGIVWLICTAAVFCAADGCQHLDCQGGPFRVALLATDDDDRLVKASVYSSATGAWSAPVILDDGCECYAQHIRDDIAENLFHLPYVSPRRVAVIGDEVFFTLRSAHQIVRYNLPNNCLSMINPPPHSRNPIALMVMEDSSLGFACTDSSSLYVWSRKVDSEVAAEWMKCRIIELKTIIPVVDPDYKPFVVGSAEGVGVIFINTDAGLFTVELKSGRVRKVDEPAQYFSVLPYMSFYTPDRGRLLGLAKTH >SECCE3Rv1G0191760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:750923023:750929618:1 gene:SECCE3Rv1G0191760 transcript:SECCE3Rv1G0191760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQSRLKARKVEDLDVQDPAENLPVAAPTVAGRRGRGRGGRGGGRGRGRAGGRGRGVPVIDLDPDQPFEVLPGAAVGGGVVGGPQRIEEFADKAVKMDGVSPDKIGGGEDDASPVPEKVQVGHSPQYKVERKLGKGGFGQVYVGRRVSGGTERTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYSSLNGCYGIPWVHYKGRQGDYYVLVMDILGPSLWDVWNSLGQTMTPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASRWKESSGHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMSISPDLMCCYCAPPFKLFLETVTNMKFDEEPNYPKLISLFDELIEPQHLRPIRIDGALKAGQKRGRGSHEEDEQPRKKVRLGSPANQWISVYNARKPMKQRYHYNVAEARLHQHIDKGNEDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGTRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLSDETQETLRTSTFPSNHVKEKWAKNLYIASICYGRTVS >SECCE4Rv1G0259820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:690492724:690501021:1 gene:SECCE4Rv1G0259820 transcript:SECCE4Rv1G0259820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MLTGRESLVRLIGRRRRSPLPAPLAAALLSPSRQQADDAGAGEAAAAGPSSSGGGAGAEPVACPVCGESIPGSDYCVNTHLDICLSKGAKRKFTQSSLLDFRFSKKTTVEPTPDVVNNADKAENKGLTDGDISTDRDFSSMNGDTGSPKDSATTLLTGCLHGSPGISKMLNPCTPSNAGLPIMKTAENEDAVEKASSCSPPAGTTSVSIDACPDVDSSTTVAVDTVIVGRRFRESFELQEDMGITVVRDPQNAKDPDAVKVLSAGSECGQMLGYLPRELAKVLAPLLDTHFIECEGFVAGLPEQQLDNAPIQLSCKKCENDNQNHEDLRYQQSSWEHFLGVVRNGNFQQPSSARYQANFNTMLVDVMTNHTHLFSDTETSFLGSFKSLSNDGQRLFVKIYTRKGPWFRLSSISYREISDVEHAAMELKLAGYIDMFSCTDAPFEHDMKEILNVLSVPEMKEILKELPKDNTNCTRRQELLSTLLSMYNNGTCTVLPKRIFKWTGTCIRVSNMADELLWLVQRLFFLNGDQDLSSFLLVDLGLVKFPDYTCSVVHRIFQERNDLLEYEEAIRVAQFMDESLDNDNMELVSRCTDLSENRLCTSLEEEDSSLADSPPSFYSCFSSTWIYSKILTLGVSVYERERRYADAIRTLKILLSKVASGRRRGYWTLRLSIDLEHMGRPNESLSIAEGGVTDPWIRAGSKIALQKRVLRLGKPPRRWKVPSYADSLKRNIKEVNIEGRPLNCEIGAKNVFYGYDGDRCGVEQLALQYYADEGGGWQGTHSEGGIWMTIFGLLMWDVIFSEVSDVFHSKFQTAPLDFETEDFYKSRKDLIEAQLRRIQDGMAEEMLISSWELHQGTSCRGVNWDRHPMADVRAVVAGVGGHRLALLLRHLALDYRSWSSGMPDLLLWRFLDERGGAEAKLVEVKGPKDQLSEQQRAWIFVLMDFGFDVEVCKVSLVSKRR >SECCE4Rv1G0224420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:80546129:80548591:1 gene:SECCE4Rv1G0224420 transcript:SECCE4Rv1G0224420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSCECCKRYWTHLHGKVKCFVTQMDRHSSHSMVIPERFVNYFAWKLSATIELEAPNGNVYDVGITERRNKTLLRSGWEAFVDANYIEESDSLMFRYRGGSRFKVVVFDSSGCEKVVSCARSRRHSNINDQELITNSTDISTSFSDGDSHSSARGRSVDWQSGSPGHCRKRARKDAISSPLEDSSGEDSPYEHESSESDDQTLPTPLYVLSGKCYVTEEDEANIVELAQEIQPDMPLLVAMMRKPSVKPYPDVVIPKDYALTYFPRKNQTIKLQLPEQSKKWYCEFRVKSDGGHCSLYDCGFVHDNHLLEGDLCVFQPMTNRKGRTFKVIVHLLRKETIDHPSSENISKRGLPSTKNAPTLCIKEEPNDDEETFSSGHDEHRISKKYPDHDGTEPYMMAMGAGLTEVQDKIVLEKVGAIASDLPIYVAVMTKTNVHVSLTFGTEYAAKYLRKEGRNLVLQLEGNSQRWHGEMHDHKGALRISGGWTSFARKNNLREGDICLFELMKNKGGLKMMVYIIGKELLV >SECCE4Rv1G0277990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806561886:806562170:1 gene:SECCE4Rv1G0277990 transcript:SECCE4Rv1G0277990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAKVKVKDGSSALRAKAKIAWAKLAAKMEAATSRSHDEKKLAHERGRAKVAAAEAQLHKEKVAHREAAMGHRLHKHAGVGGHDHKHGADGVH >SECCE2Rv1G0084960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:183088024:183088599:1 gene:SECCE2Rv1G0084960 transcript:SECCE2Rv1G0084960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIYILPGWEGSAHDGRVLRDAISRPNGLRVPEGQSYLVDAGYTNAKGFLAPYRGQRYHLGGWTPQNPPRSAEEYLNMRHARALNIVERCFGRLKGRWGILRSPSFFPMKTQCRIIMACALLHNLILQKMSVDPLDINDPPITQETLEDMEGELDQPEFITSISTSNEWTNFRNDLAQGMYNRHRAARAH >SECCE7Rv1G0495240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:596059029:596059355:-1 gene:SECCE7Rv1G0495240 transcript:SECCE7Rv1G0495240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRADKENLPPATALAAAVARPHGVAVRSCKLKRLGKARRWVPLRDITNLFVVESAVAQWQLALLQQPHDVSAAAALGKPEPAVKNGLAGGAVLKAGRYSLRKEFR >SECCE2Rv1G0141880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:937386556:937388474:1 gene:SECCE2Rv1G0141880 transcript:SECCE2Rv1G0141880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNSGPMHDQMEQLCAEMEAAASFLRGKIKSATPPGTDGDVREQITELRRRICKLRSVVLGPAAHPDPNPRAAAGHDPWKDDESDSDEEDMTPEQLAMSDQFRREASAMEARLLEALEQDARGTPSCYRVDYTIPLSWSYPEENEEPEPEEEETEVARLAREEMATEERLFAGYREGWEYACGSRCGHFEDATALSPMHFAHYTPGLHPNNCVGATPSTLQIYSVRIVEIKGSLDWPLDVYGVVAVRDEVDCNRNLLFYQPREGSQKLTQENPFLRLVGPSRAVVAEDHVDFEVQLKIKGTTRSRDRALISNRCTYSGAYHQGLESIFMRNCFCTLEISLERLTETVQATIMGVRVVGGGPWPFIHGGRVVCSSPPQEVVEPLSRQVVLVDSHVDDDGRMLMGPDGYLNLSRHVISVDLEKSLNVVIQAYSKSGVVGAQCRVNFKPKYCNISQGICEIGVSKVEIMVAWSRIVTDKMDVLLEGHI >SECCE7Rv1G0466180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:76951756:76952181:-1 gene:SECCE7Rv1G0466180 transcript:SECCE7Rv1G0466180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAIFVALSLLLSAVATHGCGPYCQPPVAVPTPPVAVPPSYHGGGAHRHGGQCSIDALKLRVCANVFGSVLGLKVGVPAHDECCPLLQGLVDLDAAVCLCTAVRANVLGIHLNVPVDISLLLNHCGKTCPSEFTCPAH >SECCE5Rv1G0339710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:609883787:609884812:1 gene:SECCE5Rv1G0339710 transcript:SECCE5Rv1G0339710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRGLPAAVAAAVPARLRPHLTRVTAFLIVFSVGYSLGIVSSSARPSSPKPSQTVIRPHAAHLTAASAGVTASSNGTGTGTGARYPRSPPHDLFRFGDECREPVPAADVVKTLLDKLFDGESPYASFPPAHTAALLHRATARPRGWGSTGAVFADLIEEVRPDVIVELGAFLGASALHMAAVARNLSLSPAILCVDDFRGWPAFRGRFRRDVPQQRHGDALLLPQFMANVLAAGPEAAATVLPLPFSTASTLGALCRWGVYADLIEVDAGHDFHSAWADINLAWAVLRPGGVMFGHDYFTAADDRGVRRAVTLFARVKGLTVRPHGQHWILSPKPRGDGR >SECCE2Rv1G0098250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:478743270:478745462:-1 gene:SECCE2Rv1G0098250 transcript:SECCE2Rv1G0098250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEAAALTAPAYGALIHRLACTGRVDAVHAALASARSALAPASLHPLYVASIRAFARAGRLQAAVDAFERMDLFACPPQATAYNAIMDALVHAHHHHQAHKVYVRMLAAGLAPDLHTHTIRLRSFCLTARPHVALRLLRTLPDRGCHARPVAYCTVVSGLYAHGHSHDARRLFDEMLQGPVFPDTATFNKVLHDLCKKGDISEAAALLAKVLKRGMSVNRFTYNIWIRGLCECGRLAQAVALVKEMDDYITPDVVTYNTLIRGLCKGYRAQEAAHYLRRMMNRGCMPDDFTYNTIIDGYCKMGMMQEATELLKDAVFKGFVPDRVTYCSLINGLCAEGDIERALELFNEAQAKELKPDLVVYNSLIKGLCRQGLILQALQVMNEMSEDGCHPDIWTYNIVINGLCKMGNISDATVVMNDAILKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWTYGITPDAITYNSVLNGLCKSGKANEVNETFKEMTLKGCRPNTITYNILIENFCKSNKLEEASGMIVRMSQEGLAPDAVSFNTLIHGFCRNGEIEGAYILFQKLEEKGYSTTADTFNILISAYCSQLNMQMAESIFEKMVQKGYKPDSYTYRVLIDGSCKTANVDRAYEHLVEMINGGFIPSMATFGRVINTLAVNHQITEAVGVIRVMVRIGVVPEVVDTILSADKKKIAAPKILVEDLMKKGHISYPTYEVLHEGVRDNKLNRKREQSKYI >SECCE6Rv1G0421280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:682943015:682944661:1 gene:SECCE6Rv1G0421280 transcript:SECCE6Rv1G0421280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEACEIARLPEELVSAALARTSPRDACLAAAVSPAFRAAADSDDVWASFLPPGGLPPLADGELAAPAPPSSKKELFLRLSAGPALLQDRLVSMWLDRETGTKCYMLSARNLSIVWGDTPQYWTWIPLEDSRFSEGAQLRHVCWFEIRGKIHSNMLSLDTTYAAYMVFKKTENFYGLAFPLQEASVSVGATNLTRKVCLQDNNDDDDDDDDDDDDDDDDEDNDDDDDDDNDECGMPENYWSMRRNGRIVSHKENATFAQKRTDGWMELELGEFFNDRGDDGEVSISLTETKGGNWKSGLIVQGIEIRPKKSGGVED >SECCE2Rv1G0117440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:772349443:772349754:-1 gene:SECCE2Rv1G0117440 transcript:SECCE2Rv1G0117440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE4Rv1G0252520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:615093981:615097732:1 gene:SECCE4Rv1G0252520 transcript:SECCE4Rv1G0252520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEGKPALGWAARDASGLLSLPTVGNSRTLRDQKEDDVTIKVLFCGICHTDLHIAKNEWGNAMYPIVPGHEIVGVVTGMGRGVRSFKAGETVGVGSFVGSCRACESCGKGYENNCPALLLTCNDVDYDGTTTQGGFADVLVVNQDYALRIPEGLPPAGAAPLLCAGVTVYSPMVQYGLNVPGKRLGVVGLGGLGHMAVKFGKALGMTVTVISSSPRKRAEAVERLGADAFLVSHEAEEMKAAAGTMDGIIDTLLKPMGQMVLVGVPTEPMVLPASAIVTGEKRLAGSGVGSVRDCQAMLDFAGEHGIAADVEVVKMEYVNTAMERLERNDVRYRFVIDVAGSIGCAAV >SECCE1Rv1G0023830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:313882726:313883190:-1 gene:SECCE1Rv1G0023830 transcript:SECCE1Rv1G0023830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKDAVARKPILATIRLLVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVIKSPSVSWFLKKAAGIETASTRPGHNVVSSLTLRHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIEIVKDL >SECCEUnv1G0532050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:18946529:18946891:-1 gene:SECCEUnv1G0532050 transcript:SECCEUnv1G0532050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAKVAAVAPFELCYDSSKLSPTRSGYSVPQVDLVLDGGTNFTVVGGNSMAQVNSGTACFAFVQAASTGGATPAVVIGGFQMESKLVVLDNDKKTLSFTPYLPAMGFSCSNFNFTKAG >SECCE2Rv1G0139230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:926183783:926184448:1 gene:SECCE2Rv1G0139230 transcript:SECCE2Rv1G0139230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKDCGGHKGGGCECHRRRLYRKCCGALLAFILLALFIILIVYLVLRPHKPRFYLQDLAVLCLNVTPPTSAYLFTTMQATVAARNPNERVGVYYDEADMYAQYKGVAITVPTRLPVAYQGHRDQSVWSPYLRSMDSVVLPPVLAVALAQDETAGYVLVDVKVDGFVRWKVGTWISGHYHLRVSCPALIRVNEGKGSFGATTGGGPDYFRFQQAAACAVDV >SECCE1Rv1G0046740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:619587313:619587950:1 gene:SECCE1Rv1G0046740 transcript:SECCE1Rv1G0046740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSTMRPRCADQEDMPNKWVSARLDKTKEKEVKTPSCWCGDECKVKSPPPLCKYFTWIDHEVPEDVKKDQLQDCLRRQRLFEESLQRAEDEERRERERKERKKREEERARKEKAAREEERARKLARARDAQEEDEARDKKGKWPRVTQ >SECCE3Rv1G0155460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:65865324:65867937:-1 gene:SECCE3Rv1G0155460 transcript:SECCE3Rv1G0155460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARGGRLFLVLAVLFLALLLLSGCAAEGADEEGSPVPAEEPATPMEEKEKAALYAAIGSFVGKAWNGSGLFPDPCGQTPIQGVSCDLFNGLWYPTIVSIGPVLDNSLQCAPDASFSPHLFDLRRLKSLTFYACFPPTNPTTIPATSWDKLSGTLETLEFRSNPGLAGAIPASLGRLASLQSLVLVDNNLTGAVPPELGGLAKLRRLVLSGNGLSGPVPATLGGLKGLLKMDLSNNRLDGRIPPELAGLESLTLLDLRNNSLTGGLPEFVQGMPALQDLLLSSNPLLGGTLMQHGWEKMASLATLDLSNVGLAGAIPESMAAMPRLRFLALDHNRLSGTVPAKLAALPSIGAMYLNGNNLTGALEFSPAFYQRMGSRFASWDNPGLCAAEPAGGAPTGVAVCKDAQDPLRVGVRDRMDGGGRKPEASSSLPASSSSAGMVAVLWWSVLVQGMAMAM >SECCE5Rv1G0373110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856186758:856187279:-1 gene:SECCE5Rv1G0373110 transcript:SECCE5Rv1G0373110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLTLFLALSLVLVGTSHGCGSCGNTPSVPVPTPPIAVPPPAVPVPTPPIAVPPPSVPVPTPPIAVPPPAVPVPTPPMTPGGGGSTCSINTLKLGACANVLNLLKLNLGVPASEQCCPLLSGLADLDAAVCLCTAIKANVLGIKLNVPVDLVLLLNQCGKTCPADFTCPR >SECCE6Rv1G0405600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:533475439:533479226:1 gene:SECCE6Rv1G0405600 transcript:SECCE6Rv1G0405600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKTLACCRRRPQDFSIDMDQEPEKVTTYNGLESCIFNSSSYDEDSGVSATTGADGCVTADSLEDEASSCSSSKDVDGSSFSSQCLPLSKQEEHSLYELDTLDAIHLLPVKGKNPITYTLSAADMETMKEKFAKLLLGDDVSGGARGVCAALALSNGITNLSATIFGELWKLEPLCEEKKIRWRKEMDWLLSPTTYMVELVPTKQNGADGCMFEIMTPKARSDVHVNLPALQKLDSMLIEILDSMVDTEYWYVESGSRSGGRSKTNGERQTKKWWLPSPCVPEQGLSQFQRKRIVFQAKLVHQILKAAKSINEQVLLQIPIPMAVMDALPKSGRSSLGEDLYHAITTDYIPIEEIFVSLSLKTEHSVLETMNRLEGALFAWNQRISEERSRRSPARHSWKFMKDSSSELEKMSACIERVETLIQLLKCRFPNLPPTFIDVVKVQYNEDVGHAIVEAYSRVLVGVAFSILSRVAEIMLEDDLIKKPNTPMATLKFDLSSDVYLAGITETPPGHIRRSLMDQISMVDGRFDAVVKKNGAKQLMW >SECCE4Rv1G0294440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:890866248:890867890:-1 gene:SECCE4Rv1G0294440 transcript:SECCE4Rv1G0294440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRRPLRRTRSFGDADAVFILPEVAPHHAPAAAAAAAAAAPASVAPAPVAPAPASPNARALRRAPAAPVVPPLRAVRPNADILVLAPPQVPLAPAPSMHHVNITSYIPFKLSIDSGNYSKWRHLFWYVLCKYRVEDHVLEEVEPLRANATWRNDDITIVLWVYGTISDELYDTIQSPESTAFHLWQQLEIFFGDNAAGRAVHIGADFRATIQGDMTVAQYCHRLQQLASAMADVGERVTDRSLTLQLIRGLNRRFHVMATLLPMQEPFPTFLQARSRLLLEVIAITERERAVGATALAVGHGGSSSSGRSSYGDRAPPPSPTDKGKSPSTGSPSADRQRSGRGRGRGRGRGQPDAGRGRGQPGQTPWMGYFAPFGTPLPSPQQWRATWTPPNAAGVLGPRPGAPHQAYPVIGSSSSAPHWDNAAMMQQQFANLQMQQPPSGSEWYMDTGATSHIASSSGHGNGETSHEVQ >SECCE1Rv1G0054550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675316807:675317388:-1 gene:SECCE1Rv1G0054550 transcript:SECCE1Rv1G0054550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRSGQRLLRHHRGRLPAAFSTAAAEELIDVRKLPTDYDPSTFDPTAPPSRPPPSDRVWRLVDDVSALTLAEAADLSALLLRRLDIPSAPPIAILNSAAGLGGGGAAAAGDKPAAAAEKTVFELRLDSFDAASKIKVIKEIRTFTDLGLKEAKELVEKAPAVIKGGLSKEEAEKIVERMKALGAKVVMD >SECCE3Rv1G0168320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:218681651:218682283:1 gene:SECCE3Rv1G0168320 transcript:SECCE3Rv1G0168320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKQDMFAAATDTTYTTLTWAMAELINNPSEMNKLQHEIRAAVNGASHVTEDHLEKMSYLRAVIRETLRLHAPLPLLLPRETLEDTELLGYRVPARTRVVVNAWAIGRDPATWERAEEFVPARFADGPAEYVLGQDFRFVPFGAGRRGCPGVGFAVPSIDLALASLLYHFDWELRPPAAGPSKLDMSELYGLSVRLKATLHLVAKPWSP >SECCE7Rv1G0460760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:33094436:33096476:-1 gene:SECCE7Rv1G0460760 transcript:SECCE7Rv1G0460760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQLLVEARAPGFAAWMLGVRRRIHQHPELAFQEHRTSALVRGELDTLAIPYVWPVACTGVVATIAGGGGPGPVFALRADMDALPIQEMVEWEFKSKEGGKMHACGHDAHVAMLLGAAKLLQSRKDGLKGTVKLVFQPAEEGHAGGYHVLQSGVLDDVAAIFAVHINTSMPVGTVGSRPGPFLAGSARYKATITGKGGHAAMPQSVVDPVVAASSAVLSLQQLVAREIDPLQSAVVSVTFIKGGETFNVIPESVTLGGTLRSMTTQGLSYLMKRIREVIEGQAAVGRCKATVDFMEEEHRPYPATVNDQGMYTHAKVVAQSMLGEANVLLCPQFMAAEDFGFYAQKIPAAFFNVGAHRAGEDISYLHTPHLVVDENVLPIGAAMHAGVAIEFLSKHAASPLT >SECCE3Rv1G0209170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936935467:936937962:-1 gene:SECCE3Rv1G0209170 transcript:SECCE3Rv1G0209170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVPKLAAPRPAAAGPHPSRSLRSAALAFAPSARRFRVSLAGRARSPVIAMASGKEGNGAVTKRTTLHDLYELQGQSPWYDNLCRPVTDLLPYIANGVRGVTSNPTIFQKAISSSNAYDGQFKELISAGKDAESAYWELVIKDIQDACKLFEPIYDETDGADGYVSVEVSPRLANDTEGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIKEVIANGISVNVTLIFSVARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDSLIDKMLEKIGTPEALALRGKAAVAQAKIANQLYLKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYLDTLYVDPLIGPDTVSTMPDQALEAFIDHGTVARTIDANVSEAEGVYSALEKLGIDWEEVGKQLEHEGVSSFKGSFDSLLTSLEEKGNALKTAANL >SECCE6Rv1G0400560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:454214958:454216177:-1 gene:SECCE6Rv1G0400560 transcript:SECCE6Rv1G0400560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAADAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLNPSSPASPSQTPPPLCDICQEKRGFLFCKEDRAILCRECDMSVHAASELSMRHTRFLLTGVRVSSEPAASPASASEEENTSSLCCSGDDDDAARPAPATSHSGSSISEYLTKTLPGWHVEDFLVDDATAAAAAAAASAGFSSAGSCQGVAPSFALHEEAGYPAGSGWTRQEHWVPQMYAELAGSKRSRTSAAAYPHW >SECCE7Rv1G0485400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:372776290:372777181:-1 gene:SECCE7Rv1G0485400 transcript:SECCE7Rv1G0485400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRVAPPASEDDSSGSGVPGWLEALLGTRFFLACAAHPGSPRNECNMFCIDCRATPAAFCYYCRSHRHASHRVIQIRRSSYHDVVRVTEVEDVLDIAGVQTYVINSARVLFLNERPQPRGAGAAAGKAAASPYNCEICGRALLDPFRFCSLGCKLVDTKTPGHGHGTTVDGGEAEAGCSKNGARSQGRRRKGTPHRAPFGS >SECCE2Rv1G0085540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:192518502:192520143:1 gene:SECCE2Rv1G0085540 transcript:SECCE2Rv1G0085540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQPPVGAPPPQGYGDKGGYPPPGYPPAGYPPPAQGYPPAGYPQQGYPPQYAQQPPPHQQQQQSSGPSFMEGCLAALCCCCLLDACF >SECCE5Rv1G0345710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:657893417:657893875:1 gene:SECCE5Rv1G0345710 transcript:SECCE5Rv1G0345710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAQRLAQLAKKWQKMVAIGRKRITQTTTAKRAAALAAECRAVTSVVVKGHCMVYTSDGSRFEVPLEYLSTVVFSELLRMSQEEFGFTGGHEGRITLPCDTAVMEYAMCLLRRDASTEVVMAFLSSIARLCCFDGGVVVPCVGVSRYVGVC >SECCE7Rv1G0491690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:533446631:533450627:1 gene:SECCE7Rv1G0491690 transcript:SECCE7Rv1G0491690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAEVRYGIVGFGMMGREHLHNLAHLAGEVEREQSVRVCVTCLADPNPESLLLGLRLAAELGLPPPQTFSGHRELLDSGLCDAVVVSSPNMTHSEILMDIIGYAKPHHVLVEKPLCTTVQDCEKVIEAAKQRPDILVQVGLEYRYMPPVAKLIDIVKGGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLIRLFADANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGCRGMLDLCMFAEGSKNEQEISVVGDTGKGEAFVPESIVRVGKRAGGRDGVITIKAEDERIKYQGLHHGSSYLEHLNFLSAIRAEGACSPAISLDDGLLSVAIGVAGQLSIEKGRFVTIEEVLSSR >SECCE4Rv1G0271600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:762524766:762527271:1 gene:SECCE4Rv1G0271600 transcript:SECCE4Rv1G0271600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRGGRDGPFGAGDPFAGFGRLGAAPMPGLFGGGRDPFDDPFFTQPFGGGPGMFGPGLFGPMGVPGPMFGPMGGGFGPGVGFLEQAPPARSGAGGGPVITEIDGDEEGGDGDGQANRGAHVQEPDDGNDGVQGGQVQLRRDPSRASGGGQPQSRSFTYQSSTVTYGGINGAYYTASKTRRSGSDGITVEESKEADTTTKEATHRISRGIHDKGHSVTRKLKSDWKVDSTQILHNLNEDELPGFEESWKGNAGQHLPGWNQNAGISNGDNSGNRGTNGARQPAQSWALPGIQQHRDPRVQQQRDPRRHDNGQPKTKSSRIIPIS >SECCE1Rv1G0012530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:93574780:93575759:1 gene:SECCE1Rv1G0012530 transcript:SECCE1Rv1G0012530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAMSTNVARVLVCLEEVGAEYEVVNIDFKTMEHKSPEHLVRNPFGQIPAFQDGDLLLFESRAIAKYVLRKYKTSEVDLLREGDLKEAAMVDVWTEVDAHTYNPAISPVVYECLINPLMRGVPTNQTVVDESLEKLKKVLEVYEARLSKHEYLAGDFFSFADLNHFPYTFYFMATPHAALFDSYPHVKAWWERIMARPAVRKLAAEMVPKKP >SECCE4Rv1G0288210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:858987186:858989009:-1 gene:SECCE4Rv1G0288210 transcript:SECCE4Rv1G0288210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSNEDSRKLFFKRVFGSEDGCPRYLEEVSAEILKRCGGLPLAIISISSLLASQPKMLKGQWEDILHSLGSNFEVHPTLDGMRKILNLSYTNLPHYLKTCMLYLGIYPEDHIINKKDLVRQWLAQGFISISHVRDPEAVAEGYFNELVNRSIIQPVDTNHNDEVLSCKVHDMMLDLIIHKCREENFITAVEDLQAVIGLQGNVRRLSLYLDDVIDGATLGTARLSQVRALARFGISTYTPPLSEFKHLRVLTLEFPDKLMKLDLRGMCHLFQLSYLKIVAYNCGKIVLPRKIRRLGQLETFELRAHESSTLQVPSDIVSLHRLLHLVLPIEVSLPSGIGSMKSLCTLQGFDLAMNSVDNIKDLGKLSNLKVLEISLMHWEFLDEMEARRLMNILISSLGKLCNLKYLYMESYLPRVCSDAFSSLCTSPRLLRGLELRGCLLPRVPKWIQELHNLYDLALGVKEVLDDDVGIIAQLHSLIHLDLYIFGTPKERVTICGTGFLALKHFEVNCRWTSYLTFAAGAMPKLERLEIGFNAREWDQYGAAFVGIEHLSGLKEVYVCIGGYGAEDSSARAAQSVLRNAIGIHPSCPRANIKCDESMRFIFDG >SECCE1Rv1G0013840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108660341:108660886:-1 gene:SECCE1Rv1G0013840 transcript:SECCE1Rv1G0013840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAPTSSPLAAAAAVLVVVSAWAADATIETTCRDAGAGDRRVDVAFCARQFLAYQGAAEADTWGLARTAALIGINLADDAIFDLTHGKILPEPKDKKAEAAMDVCVKAYDKVGVAFAEASDELRARRYAAAKEEMASVAPLLQRCDGGLVKVGLPSPLPRYSADCLQTTIIGIAITNLIK >SECCE1Rv1G0056720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:688969934:688973680:-1 gene:SECCE1Rv1G0056720 transcript:SECCE1Rv1G0056720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMAHVVLAAVLAMAAAAASTVSAGPAEGIQPLSKIAIHKATVELHGSAYVRATPALLGDQGEDTVWVTVKYGWENPSADDWIAVFSPADFISGSCPNPRRNPDEPGLCTAPIKYQYANYSANYRYWGKGTIRFQIINQRSDFSFALFTGGFENPKLVAVSKAVAFKNPKAPVFPRLAQGKTHAEMTVTWTSGYDIDEAYPLVEWGMVAPGGGIRNPTRTPAGTLTFNRGSMCGEPARTVGWRDPGFIHTSFMRDLWPNKEYSYKIGHELSDGTMVWGKSYTFRAPPTPGQNSLQRIIVFGDMGKAERDGSNEFANYQPGSLNTTDTLVDDLDNYDIVFHIGDMPYANGYLSQWDQFTAQVAPISARKPYMVASGNHERDWPNTGGFFDVKDSGGECGVPAETMYYYPAENRANFWYKVDYGMFRFCVADTGHDWREGTLQHKFIEECLSTVDRKHQPWLIFAAHRVLGYSSNAWYAAEGSFEEPEGRESLQKLWQRYRVDMAFFGHVHNYERTCPLYQSQCVNNERSHYSGTMNGTIFIVAGGGGSHLSSYTTAIPRWSVFRDQDYGFVKLTAFNQSSLLFEYKKSSDGNVYDSFTIDRDYRDVLSCVHDSCFPTTLAL >SECCE5Rv1G0340690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:617141685:617143277:1 gene:SECCE5Rv1G0340690 transcript:SECCE5Rv1G0340690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCHCSEPAQCLHRPLLAPDRAYPRLQDRKRTKVAAADGVLAEVASILCLTAPIVGAGILLYLRSLVSMVFLGRLGQLPLAGGSLALGFANITGYSVLSGLAGGMDPVCGQAFGAGRTDLLRAALRRTVVLLLLASVPISVLWVAMHRVLVATGQDPDIASTAYAYILCSLPDLAVQSFLHPLRIYLRAQSVTLPLTYAAAGAVLLHVPINFVLVDVLGLGIRGVALGAVCTNLNFLLFLVAYVCFFGMYGHDDGDKKASAEAAEEESTKEWWSLVRLSVHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGVLIQTTSLIYIFPHSLGCAVSTRVGHELGARRPERARLVARVGLGLGAVLGLVACAFAVSVRGVWARMFTADDAILRLTAAALPLLGLAELGNCPQTTGCGVLRGSARPEKAARINVSAFYGVGMPVALLLAFRPGQLDFRGMWGGMLAAQLVCAALMLRAVVATDWEEQTERARELTGGNAGGVLDEADGGKRKHAEAAKADADNSSLVLADCV >SECCE6Rv1G0418710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:664279747:664281031:1 gene:SECCE6Rv1G0418710 transcript:SECCE6Rv1G0418710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYVPLRARLSKSKGEQSIMQYYAGGTSFNSPHAGAHGRDCFCISGPKHIAQSVDRSNEEHRRCIAACLVKATYIMEEDRPKCRVYDMGLAPPWWQSFHFQLRDLLKCGTENADEFIFGTVFEYCPPAGCQRHPSAPNYVFALRGTMPRHPKSAHDLYNDIKVFLSDLPCCRRTQKARQAVANMLIRKGTERCVLWLAGHSLGASLALEVGRDMAEQHQAYLQTFLFNPPNVSPMPALNLLNPSEVAKKDVFTGSYLVKAALGATVMRSYCTRMEKLFQRLSPWVPYVNERDVICQGFVDYFEQRHKVEERMAGVGRAAMKLSYRDMLSSLIGSSKEQPHLLPSARLWKNSNTTFGTHALMQWWRPDSDLNLTSRKFTYPGPGT >SECCE4Rv1G0239680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:419763373:419773000:-1 gene:SECCE4Rv1G0239680 transcript:SECCE4Rv1G0239680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSISLSPQFLSLPSSSSSFKQAPSTFFPSKTPLHRALASAGWRHPLAPLAVAVSSDVETEVAQEFSEDLRVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSTVEEVEEAVERLNGYVLDGRSLKVNSGPPPPKDQSSPRGFREQSGGFRQQSARGPGGGDNRVYVGNLSWNVDDSALANLFNQQGSVLGARVIYDRESGRSRGFGFVTYGTNDEVEKAVSNLDGSDLDGRQIRVTVAEARQPRREY >SECCE6Rv1G0386080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:90188167:90190390:-1 gene:SECCE6Rv1G0386080 transcript:SECCE6Rv1G0386080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGEQLSLAAVRDQLIREEDSIVFALIERTKRLRNTPAYSAAAGGSLAEFFVREAEVLHAKAGHYQKPEDVPFFSQDLPPPVFRTKGHPKVLHPLASSVCVNDVIWKMYFNELLPLFTADGDDGNYAETVALDFACLQALSRRIHCGKYVAEVKFKDAPQDYSPPIRAKDTNALMNLLTFTAVEEKVKKRVEKKAMIFGQNVTLEDSVGKQDGDACDSHCKVDPKVLSKLYDMWVMPLTKDIEVEYLLRRLD >SECCE7Rv1G0487660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:428171465:428173250:-1 gene:SECCE7Rv1G0487660 transcript:SECCE7Rv1G0487660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTRKYNMKARAGRGFTLEELKSAGIPKKLAPTIGISVDHRRKNRSLEGMQSNIQRLKTYKAKLVIFPRRACKVKAGDSTPEELATATQVQGDYMPIIRGEKRSVELMNVTEEMKAFKAYGKLRVERMNQRQLGARTKKAAEAEKEEKK >SECCE1Rv1G0015900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:132255511:132258036:1 gene:SECCE1Rv1G0015900 transcript:SECCE1Rv1G0015900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATATEQKIALLLLGSIWVLLGICNAEFTSADNYLINCGSTADANLPDRRVFKADNSGSTTLTSDHSVAANTLPDALISSDNPVLYKTARIFIVPSSYSFKMKSHGRHFVRLHFFGFRYQSYDLAAAKFKVSTQHVVLLDNFTPPSSSSPLVREYSLNITEDTLILSFVPLGNSTSFINAIEVISVPDDLIRDSAQTVNPSGQYLGLATQSFQTFYRINVGGREVTVVNDTLSRSWDTDQNFFLNSTPTELFAYQGKLNYQKGAATREDAPDSVYNTARRLAVQNRTSSVSNMTWQFDVDGSSSYLIRFHFCDIVSKAAYSLYFDIYVDGRLALENVDLSEKVFGTLAVPYYMEFVLKSSDPSGKLSVGIGPSSLNNVAPDGILNGLEIMKMNISTGSIYVVRPPATPNRKLAIILGAALGGVGAVSIAIILCFVLRRKKKKKPRRAPTSRPSSSWSPLTLNGLSFLSTATRATSRTTLTSGTNSDVSYRIPFALLQAATKHFDEQMVVGVGGFGKVYKAVLQDSTKVAVKRGNQKSHQGLKEFRTEIELLSGLRHRHLVSLIGYCDEQNEMILVYEYMEKGTLKSHLYGSDMPPLSWKKRVEICIGAARGLHYLHTGFAKSIIHRDVKSANILLDENLMAKVSDFGLSKTGPELDQTHVSTAVKGSFGYLDPEYYRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPRDMINLAEWAIKWQKRGELGQIADQRIAGTIRPESLRKYGETVEKCLADYGVDRPTMGDVLWNLEFVLQLQEAGPDISNVDSMNQISELPSDPRRMGSLEIGTADESRTNMDYSQMSTNDAFSQLMNTEGR >SECCE1Rv1G0017230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:151328575:151329967:-1 gene:SECCE1Rv1G0017230 transcript:SECCE1Rv1G0017230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKLVPVERLGQRLVAPAAPTPESPLRLSWLDRYPTQMALIESLHVFKPDMAREGDSPARAVEQALARALVEYYPLAGRLTVTDAGELQVDCSDGGVWFIEAAVRCRLEDVDYLEYPLAVDKDELLPHPRPKPSCEEESKLILLVQVTTFDCGGFVVGFRFSHAVADGPGAAQFMGAVGELARGAGRISVAPVWGRDVVPDPAGALVGSLPDPAGAKRLEYLAIDISADYINHFKGQFAAATGGARCSAFEVLIAKAWQSRTRAACFDEDSPVHLCFAMNARPLLHARLPSGGAGFYGNCYYIMRVSSTAGKVASSTITDVVKIIKEGKKRLPSEFARWGAGEMGSVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWVHKPGARLITQCVTPDRVAAFHDALVDTN >SECCEUnv1G0531800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17820858:17821701:-1 gene:SECCEUnv1G0531800 transcript:SECCEUnv1G0531800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHEKTEHEKMCTGSSTAMEQQAVLLQQGPPGDCSYELVLPKVLPSSRASVTVTITGDDVGKKKMCGTGKSTMGSLVRMGPCGGIGGNVRETSMSDVNRIVQVIVWHGHAVDAISVMYERKGKEAWADRWGGEGGKPSTFSLQQDEYLTSVHGHCGEFNGFVVVRSLTFVSNLCSYGPYGKEDGVPFVLHAGAGGMIIGFHARSGQFLDSIGTYVKMDNY >SECCE3Rv1G0194850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:786178450:786180392:1 gene:SECCE3Rv1G0194850 transcript:SECCE3Rv1G0194850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAILCCFGGPGDDAPGCCGLSWPFSNNRDNSGAASRQRSHTRVAPVQGRVPPVGSGQDDSMNTFRCPPRPLPYDDPQFRHQMEHHPLVAGHDKASTQSQKSNLLEESNDADTRSTCAAEKADGPSLKPESGGKKIGGAQVCVPSDCEDDCPICLEEYDCENPKIVLQCNHNFHLSCIYEWMERSQSCAVCAKVMLFKEDQ >SECCE5Rv1G0303230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:47100356:47102157:-1 gene:SECCE5Rv1G0303230 transcript:SECCE5Rv1G0303230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRLNLEGFEPFTGPLLEHLLPQLSREERVRLQSHLGDHERKVKRWSKKCPPLPSTHSEGTRDSSIMPHVRHAIRHYNARHPGDEFDVVKPLMESNASFRNQLWVHVNFWARSRKSNKIKRFFAEVHYNPNPIVEVCIVIEEPLDRYRRSCAFCPANWDILHPVGSRKFVCGNDKDRIEQQLKPRVLSEYLGMPFTCCPASPIQEKT >SECCE7Rv1G0484790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:347415003:347416476:1 gene:SECCE7Rv1G0484790 transcript:SECCE7Rv1G0484790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGINGNKGRCYDFWLDFSSCMSRCRQPSDCGLLREDYLECLHHSKEFQRRNRIYKEEQRQIRAAARNAKEEAEEAPAVAAHH >SECCE2Rv1G0111710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:702877355:702879397:1 gene:SECCE2Rv1G0111710 transcript:SECCE2Rv1G0111710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPNRAMPLLLLLLFLGLAAPRPAAAADEQFVFDGFTGSNLTMDGMATVTPNGLLQLSNATSQLKGHAFFPTPLQFHQAPNSTAMQSFSTAFVIGIIGAYDTLSSHGMAFVVAKSTNFTSALPGQFLGLVGSANNGNASNHLFAVEFDTILNSEFNDMSGNHVGIDVNGLNSVDADNAGYYEDGTGAFRNISLVDRKPMQVWVDFDGQTMQVNVTMAPLQVARPKKPLLSATVNLSSVIDDTAYVGFSSSSGILFCRHYVLGWSFKMNGAAPALNISSLPSMPVTFPKPRSKVLEIVLPIASALLVFAVAAAVFVYMRRRRMFGELKEDWEVTFGPHRFSYKDLYHATDGFSDERLLGIGGFGRVYRGSLPKSKSAEIAVKKVSHGSRQGMREFVAEVVTIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKHLYDDQKKTTTALGWGQRFRIIKGVASGLLYLHEDWEQVVVHRDIKASNVLLDADMNGRLGDFGLARLYDHGTDPHTTHVVGTMGYLAPELGHTGKASKASDVFALGAFMLEVACGRKPVVQDARDNHLVLVDWVLDQWRAGAVTGAVDPRLGGDVVEDEASLVLRLGLLCSHPLPGARPTTRQVAQYLDGDLKLPELSPTYQSFNMLALMQDQGFDPYVMSYPMTSITAGTMSQMSDLSGGR >SECCEUnv1G0547080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:166594748:166596466:1 gene:SECCEUnv1G0547080 transcript:SECCEUnv1G0547080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCPDDLKESMKNILRKCGGLPLAIVSMASLLASYKSPGRKEMWDKICNSIGSQLESNPTLEGMRQIVTLSYSHLPHHLKSCMMYLSIFPEDYEMKKKRLLRRWIAEGLVAQKRGLTLQEVAESYFDELVSRNMIVPANISYDGRVKSCRVHDMMLEVMVSRSMEANFVSIVGGQECEGESHGKIRRLSIQSGGGSKDERSVKYVRSLSTFHPQGHEALLERLGEFTLLRVLDLEGCKALENKHMKHVCRMFLLKFLNLNHTDISKMPKKIGKLVHLQTLSLRDTRLLDMDLPQSVSKLEKLEFLYFRNRQSYNGWRLPGGLGRMKVLRVLDKMMLHDDGAEAAREIGELTQLSKLNILVKCSHEVLQVLVDALNRTYSLRQLSIVHLGTGKIMNNILGEMTSPPLLLRSMKIYGGIDVMPKWFGLLMHLVKLDISFTMLSSDEILGILCELPSLLCLFLGYMSYTNDALLISANYRFPVLKQLSVSNSYAGQEDISFEQGSMAMLEKLEVVFGDKEKSLSGIEHLPSLKEVALIGYRSNDTLNQAVLQLKSESDRRPVPNQFKVVVMYYW >SECCE2Rv1G0074280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75329342:75330151:-1 gene:SECCE2Rv1G0074280 transcript:SECCE2Rv1G0074280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVLELTLVSGHNLMDVNVFSRMEVYAITSVFGDPGTRRCSKTDRDGARHPSWDETFRFTVPPTAAMAAAAGAYLHVLLRTERLFGLEDRDVGEVFIPVADLLACACVGGPPRCASYPVRKVHCTEHRGMLTVGYRFGPVMAPLPHQDKQLCWDDADAAVVGYELPPWEYSLPTYVYAPEAAVPRYPQACARMPPAPKPAAGCGAAAASPAQKNYYVRNGSLALGLGAGMLGGGFGGMVFGDMPPSDKAAHESTYKRTAADGAGVAL >SECCE5Rv1G0354100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:721856490:721858803:1 gene:SECCE5Rv1G0354100 transcript:SECCE5Rv1G0354100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGWCHCHTRHTLPINLRPQVSRLETHHLPRSTMDKAYIAILTIAFLFLLHYILGKVGNGRRGKGAVQLPPSPPAIPFLGHLHLVEKPFHAALRRLAARLGPVFSLRLGSRRAVVVSSAECATECFTEHDVTFANRPGFPSQLLVSFDGAALATSSYGPHWRNLRRVAAVQLLSAHRVACMSGVIAGEVRAMARRLFRAAAASPGGTGAARIQLKRRLFELSLSVLMETIAQTKGTRSEADADTDMSVEAQEFKNVVDEIIPHMGTANLWDYLPVLRWFDVFGVRNKILAAVGRRDAFMLRLIDNERRRLEDGGAEGDKKSMIAVLLTLQKTEPEVYTDTMIMALCANLFGAGTETTSTTTEWAMSLLLNHPAALKKAQAEIDAAVGTSRLVTADDVPRLAYLQCIVSETLRLYPAAPLLLPHQSSADCKVGGYNVPSDTVLMVNAYAIHRDPAVWERPLEFVPERFEDGKAEGRFMIPFGMGRRRCPGETLALRTIGMVLATLVQCFDWERVDGAEVDMTEGGGLTIPKAMPLEAVCRPRTAMRDVLQSL >SECCE3Rv1G0146510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11507688:11510009:-1 gene:SECCE3Rv1G0146510 transcript:SECCE3Rv1G0146510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQTRVFIVHMLPADAARLFARASAVGMLTEGYVWMVTDDIGIALDVLPQHTTETMLGIVGFRPYVAKSTRITGFMDRFVTRYRAKYHQDPDIRVAKPTMFQYWAYDVVWAIASATEKSKKSRSLNLGSTTGYMGKLVDDLQPSPAGPELLDSIIGGEFYGLAGRFRFVDRHLPVPAYEIVNVIEEKIRRIGFWSPGYGLSAFLNSSTRPGQARRRTKAGQVLRAVIWPGDSITVPRGWDFPVNGKILQIAVPVRRDFKVFVNVENPNSSTQKVTGYCIDVFEAAVKKLPYALPFKYMPYDYANSYDNLVSQVYFKTYDGAVGDVTIIANRTRYVDFTVPYTESGVSMLVLSRKDEDESTMWIFLKPLTTDLWIAMVAFIIFTGLVVCTIEKPISDRVKGSKWKQLNTYFYFAFSTGTSTHDQKFKSLQSKVIAVSWCFVLLVIVQSYTASLSSMLTVKRLQPLVTDPMQLLHTGEYVGYQNGSFVHSMLRRLQFKDHQIMSFSTQEEYAHALRKGSKEGGVSAIFDETPYINSFLLLHGKEFQKVGPIDRTVGFGFAFPKGSPLVEDLSKAMLNLIEGSEGSDIERKWFGDRILSLDYGSPDTSFSRLSSRSFEGLFIINGCILGLMFLINCSRYAYAKFSAKRNVVAAAAASDGEAQPPTNGNNIPAI >SECCE3Rv1G0180500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:579997064:579998278:1 gene:SECCE3Rv1G0180500 transcript:SECCE3Rv1G0180500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLLKYCKRRGLLIELGGEAILVIRSERGLARKLAPFKSHSLLIRICYARYADDLLLGIMGAVFLLIEIQKRITHFLQSGLNLWVGSAGSTTIAARSTVEFPGTVIREVPPRTTPIQFLRELEKRLRVKHHIHITACHLRSAIHSKFRDLGYSIPIKELTKGMSGRGRLLDAVQLAETLGKDGLKSPQVSVLWGTVKHIRQRSRGISLLHSSGQSKVPSGVQQAVSRSGMSVLKKKLYTPFGRKAAGEGRGHWAGSFSSEFPIQIEAPIKKILRRLRDRGLISRRRPRPIHVASLTNVSDKDIVNWSAGIAISPLSYYRCCDNLYQVRTILNYQIRWSAIFTLAHKHKSSARNIILKYPKDSNIVNQEGGKTLAEFPNSIELAKLGLGQDPNNDGALNYMFNK >SECCE2Rv1G0064080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:1204501:1205652:1 gene:SECCE2Rv1G0064080 transcript:SECCE2Rv1G0064080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein AFR [Source:Projected from Arabidopsis thaliana (AT2G24540) UniProtKB/Swiss-Prot;Acc:Q8LAW2] MSFSSACKQQVLGAGGEEAREDEGAMELIPGLPEEVAEKCLLHLPFLYHRLFRTVSSNWNRFLTDAPGAAKTSSTPPPAPVSLSLPFLFALAFDPVSRRLQCQALDPFSRRWLLLPPVPCRAAAGSFAVVGLPARGEIYVIGGVEEGGDKALSSVAVYSAATNGWGRVAGMRTARGYMAAGEVGGRVVVAGEDGEAELFDPAQARWAPAAPRGGAAVARYDAAAAGGKLYVTEGWAWPFERAPRGAVYDAAADSWSDMSRGMREGWTGSCAVSGGRMYIVAEYGEWRLKRYDEPRDEWRMVAGGGVPQEVRRPHVVAGQLEEVGGGRRRIYVVGAGLDVAVGTVASAANHGTGTEEERVEWEVVKGPEEFVGLAPCNAQVLYA >SECCE1Rv1G0055700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:682474845:682478778:1 gene:SECCE1Rv1G0055700 transcript:SECCE1Rv1G0055700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKNNHKQQQQQQRAVAQMVVAAMATPCPTKPLLVALGFALGIVATLSLMGSTASSALPGAALELFFPSPPVNVSTEVRHRQSPRPAQVLPHAAPAVSGSSPPPVPVADTNVSRHAGSPVQRRQKVPATGVSSPPVADAGSGSKHAAMPVNVRTADEEGGRPVVDDDDRLMALAAAAPRAARVAAGGAPKVAFLFLAKWDLPMAPLWERFFEGHRGLYSVYVHTDPAFNASASAAPDSASAFHRRHIPSKEVKWGHISMVEAERRLLAHALLDHSNARFILLSESHVPLFDFPTVYSYLINSTKVYMESYDEPGGTGRGRYKRGMAPTITPRQWRKGSQWFEMDRALAIDVVADNVYFPVFKKFCKRNCYADEHYLPTFLHIRHPEAAARRTVTWVDWSHGGPHPSRFTRMEVTVDFLQWLRGGTTCEYNGRTTTVCFLFARKFLPNSLTRFLRFAPKVMGFG >SECCE7Rv1G0519790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865743653:865745880:1 gene:SECCE7Rv1G0519790 transcript:SECCE7Rv1G0519790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEGYVWIVTDNIGIVLDVLPQHTIGTMLGVVGFRPYVGKSARVSGFMARFVTRYRAKFHQDPDYWAYDVVWAIASATEKVINLGSSTPGNIGNLVQVSPAGQELLNSIMDEEFDGLAGRFRFVDRQLPVPGYEIVNVIEEKTRRIGFWSPVSGLSPFLNSSTQPVQDECRLHDDQVLRTVVWPGDSTTVPRGWDFPVNGKILQIVVPVRRDLKIFVNVEASHNSSELVVSGYNIDFFEAAVKKLPYAMRYKYIPYDCANSYDKLVSEVYFKTFDAAVGDVTIIANRTRYVDFTMPYTESGVSMLVLARKDDDEPTMWVFLEPLTKDLWMATVAFMVVTGLFVWVIEKPINEEFKGSKWRQFSTSFYFAFSTWTFTHDQKFKSLQSKVIVVSWCFVMLVIVQSYTASLSSMLTAKRLQPSVMDPRQLLHNGHYVGYQNGSFVHSMLRRLGFEERWIKALKLGSKNGGVSTIFDETPYINSFLLQYNKGFQKVGPIDRTVDFGFVFPKGSPLVEDLSKAMLNLIEGPEGSNIEKKWFSDPILSLDYGSPDTDSLRLSSRSFLGLFIINGCVLVLMIIINLSRRACAKSTAKRNTTSASNSEAQPSLNCNGVPAIQSL >SECCE2Rv1G0140930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:933568486:933569845:1 gene:SECCE2Rv1G0140930 transcript:SECCE2Rv1G0140930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAAALVVLALVCAAQSSLSAAAAAGGLSPDFHAATCPALEHIVADHVWKVFQNDSGVAPALIRILFHDCFPQGCDGSVLIEGPGTEQDEIPNRTLRRVALDLIERIRVTVHTACKATVSCADITVLATRASLIMAGGPRFDVALGRRDSFFPASKDQVGLLPAPFHPVDTLIKSFGDRGLDVADLVSLSGAHTFGVAHCPSFDDRFKNGFDTNPAIDRTFATMLRNKCAKDTPEGTLKQNLDVRTPDVFDNKYYFDLIARQGLFKSDQGLMNHTATQRMATRFSLNQDAFFQQFSRSMAKMVNMDLLTGDKGEIRARCAVRGTPPRMEAAAAGDDEGIAADM >SECCE2Rv1G0117080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:769836031:769837145:-1 gene:SECCE2Rv1G0117080 transcript:SECCE2Rv1G0117080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGTLLLATVLVILSIFVSPISGYWKPSRTRTWRPSSRQSWSSGGATWYGSPYGAGSDGGACGYQSAVGQHPFSSMIAAGGASFFKSGKGCGACYQVKCTGNKACSGRPVTVVITDSCPDGICASEDHFDMSGTAFGAMANRGMADRLRSAGQLKIHYARVPCNYNGMNIVFKVDAGSNPFYLSVLIMYQAGDGDLAAVDIMQGGCAPGHHNDHGAPWMAMSQSWGALWLLQSNNGKPLQAPFSFRLTSGSGKVLEVTNAIPSGWTAGTSYSSSVNYAS >SECCE3Rv1G0169230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:233944607:233945807:1 gene:SECCE3Rv1G0169230 transcript:SECCE3Rv1G0169230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWLPSPPLHSAVFLATSHTPSPPSACAAPLLHRKHAPARARGNLACSSSGSSSSSASSVVTKDQEGAAAAEATSPAPAPAAVRYDYKDDPNFRGCKGCGREETERGCNGEGRIMGGIAAVPLFGWWPIKAYRPCPGFVASGGRYRRYGQSMDDVIAGKGRKVASDKKKSDK >SECCE1Rv1G0035570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:499943252:499946652:1 gene:SECCE1Rv1G0035570 transcript:SECCE1Rv1G0035570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63490) UniProtKB/Swiss-Prot;Acc:Q9LY66] MATAAACASSLLAPPSASCAGPAAPGALFPTSVPSLRAYPRLLLAFRRPAAAAVADPQGAAVLEEEDDAPVQFDDVDDDYEDGYGRRGPAFTAPTRPRTGKAALPLKRDRTRSKRYLEIQKLRESKKEYDVPMAISLMKQVANTRFVESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQTVKIAVLTQGEKIDEARAAGADIVGSDDLIEQIKGGFMEFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVSPNITQAIDEFKKGKVEFRVDKTGIAHIPFGKVNFPEEDLIANFMAVVRSIERNKPSGAKGIYWKTAYVCSSMGPSIKLNIKEMLDYGADSSN >SECCE7Rv1G0456020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9319491:9320633:-1 gene:SECCE7Rv1G0456020 transcript:SECCE7Rv1G0456020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARSPAAPLDDDDLLPEILLRLPPQPSSLPRASLVSKRWRSVASDPAFSRRFRRHHRRNPSLLGFFRVELRARFEPLFEASLDAPNRIPPERLSWQRNEGDDDCYHLLGCRHGLALVLDGAHTQVLVWDPVTGDRRGVAVSPGFKIVGTPISGAVLRGAGDDDHFQVLLVGTDGEEGQITQAVAYVYSSETDAWGDPTSTPLPSKHAIVSVNKAAVMVGDFVYWLLNSDGILEFDLDRKRLSVIHVPADLDLGSGSFFFSVIRADGGGLGFLSLSGFDAHLWKMKTDSDGVISWVLETTIEIDRLLPSDYAEEGEPLMILAYAEDNDTALMWTGIGLRGGLFTIQLKSLKFEKLLPNTTFGYFHLFESVYAACSTSWV >SECCE7Rv1G0502860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:701592994:701593257:-1 gene:SECCE7Rv1G0502860 transcript:SECCE7Rv1G0502860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYSINTQNMIIVATMTLHNYVCLHDKEDLHFLRCERDPDYVPTIPERYKKYIIPPNASDASTSAESAPNMDLFRHELATTIALSW >SECCE3Rv1G0170390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:252155233:252155607:1 gene:SECCE3Rv1G0170390 transcript:SECCE3Rv1G0170390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKDAPVPEGEEQKSAVEIVEEVLKTEVKQSTFLRNVGLRSSRNNSGKATAEVAAHVHDLEQKLERSELQAEVMQEELAAIKMKAEEFEATRDKELELLRKKSQEQEEQLAHLMALFGAKAV >SECCE7Rv1G0483910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:332661407:332663517:-1 gene:SECCE7Rv1G0483910 transcript:SECCE7Rv1G0483910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSHRVERSELKPGDHIYTWRAAYSYSHHGIYVGGSKVVHFTTKKEAGTAGLDSAVAISSLISAGSPECPTFPDCGFQLPDSGVILTCLDCFLRDGALHGFEYGVPPAVFLAKLRGGTCTTAASDPADAVVHRAMYLLQNGFGSYDVFENNCEDFALYCKTGLLLPPEQGIGRSGQAASAVGVPLAALFSTPFRLMSAGPLGMAAVTAGMYCAGRYITDIGVRKDVVKVEVENLSAHLGWRRAKAEEEWVKKKQQPAKVTRLLPLKRKRDSDLHLQYVK >SECCE2Rv1G0069190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27388489:27391895:-1 gene:SECCE2Rv1G0069190 transcript:SECCE2Rv1G0069190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKAKPLEFTPTWIVASVCSVIVIISLLFERLLHRLGKGLMKSRKKPLYEALLKVKEELMLLGFISLLLTVFQGPIGKLCVSPGTMHHLLPCKPPPHKTDHLGGAVFTGVLGGARRLLAGGASSDEYCLKKGKVPLLSSEAIHQLHIFIFVLAVTHFLLSAITVLLGIAQTRNWRHWEAKIQENDGGAPQMIKHVREFKFIQDHFKGHRKRSRIFGWMRSFFKQFYGSVTEEDYATMRLGFIMKHCKGTPKFNFYSYMIRALEFDFKKVVGISWYLWAMLMIFLLLNVQGWYLYIWITLVPFIMLLVVGSKMEHIITELAYEVAQKHTAIRGDLVVAPSDDFFWFHRPKLVLLLIHVVLFQNAFEIAFFFWLLVTYGFKSCIMGKPAYVITRIVISVICQLLCGYSTLPLYAIVSHMGTSFKKTIFDDNVTEGLVNWAEKARRRTRSPKKITTEPIDEANGGAVQMTNTLANSSVEQGTARLI >SECCE3Rv1G0186750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:690063570:690066335:-1 gene:SECCE3Rv1G0186750 transcript:SECCE3Rv1G0186750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRNHPGLFLCFLLLASTATLSAAVSAAVSAAPYSSVCPKPGHAENRYTDANETLLLTSFFQISTGYFSEGAHSLFSTAADDDLYGSYRSFSLFPHGVYRTTDQALVHLTATLTLTGPRLHTYRSGGRRHNFTGTESISFVLDGYYSTTSLKLCMTGKGTKLAGDSSHKHYPDVVLRLRVPRHPSLTDPFVTGSLEGSTDFGAIQLVAYAEGDDYNYVPERPPCSPPKQSAPAQPARDSRQVLGDGFAWCAHLKEQLVTSYRLEHGGAPPLRRMHVDQMQCTADGAVRAYVVFSNDTGLTDRRRFFNHRHHRFLLDEEAVVADGRWDKVRGLLCFRACPVGRSASAPSVPEVREHECGIGMSFWFPAVWTMRDRSLVAGMLWNSTTGSNDGVTTASSVDIADNGFMDLTDDQRSSNLSDVKYIYNDTMLAEAKKHYHLKFRKEKIKGSRSFPGNYTYRDFEFRFFAQSSGGGKANPVTIGSVMVSGDRLAADDSFSHGVVLDTKQNLLNVSYDISYHAPADDWVRPTNGSYSFSYTPETRRRISAEGVYDPESGILCMVGCREHNGSTDCQTLVTVKFASLDARGQGHGRGVISSLRDKSDRLFFKKVDITLYGMYSEQVFEAISRMDLEGIMLVASTTLSCVFTVLQILRTKKNPEAAPATSITMLAILTLGYLTPLVLNFDALFMSRRSRYSVYWTSGWREMSEVVMRAPTLLAFVLQLRLLQLVWSGRRRSDDQSKSATASPVVSERIVLQICLPLYLLGGALAAAVHVINARAASEEPLVVRIGGEPATIWEDLVSYGGLILDGFLLPQIILNASLAGSGVRAISPWFYAGVTMTRVMPHVYDVVRRQVYEPSISSSDLYASPRGDLFGVAWDIIIPCGAGLLAVLVFLQQRLGGSALLPSQRRRSGGYEMVSSI >SECCE4Rv1G0253160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:623435019:623438541:-1 gene:SECCE4Rv1G0253160 transcript:SECCE4Rv1G0253160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT1G22460) UniProtKB/Swiss-Prot;Acc:B7ZWR7] MALRRKGRPAGRRVAVRWWLLSLLGAGAAVTAAAALLAVALHVSASASAGSPYRLAKQPREAEELRWEQEFAPPQLASPHSRKLDGAAEDAPERRLWLPAPSRRFVPCVSPSLEYRRPEASRGYLLVHTNGGLNQMRAGISDMVAVARILQATLIIPELDKKSFWLDKSNFSDVFDEEHFIRYLANDVRVEKKLPKELVKAPKSVRYFKSWSGVDYYQDEISPLWEHRQVIRAAKSDSRLANNFLPPDIQKLRCRTFFQALRFAPPIEALGNLLVKRMKSFGPYIALHLRYEKDMLAFSGCTYGLSETESEELAMIRGNTTYWKVKDIDPLEQRSHGHCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHMVDLQSRFPILMNKEKLASAEELRPFSQYASQMAALDYIVSVESDVFIPSYSGNMARAVAGHRRFHGHRKTISPDRKALVHLFDKVDSGLLDEGERLSQRIIEMHLKRQGSPRKRKGPVSGTRGSDRFRSEEAFYENPLPDCLCQSNDDSIVSI >SECCE3Rv1G0158360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:87258709:87265543:-1 gene:SECCE3Rv1G0158360 transcript:SECCE3Rv1G0158360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSTGQLLVILGACSVMMKPSDMIKMARVAGRMTGRAVGRLMLYRRQMDDIFEQTAAKKINTELQDAMSKLQSIGYEVQNLSRITPGQFIKRQHNTEGMTEAGTYDGSATQSGEFRDDIRSIIRDEIESFCRKNPDQFTRRLSNPDGIQNSEKAAEATKFDVTNKFAMTSKDMESANASSTNLQSQAMMYARLSASPGTKMSSSTSVSYGEQFKESNGLLNVLPISAESAGLLPKHTEEPKGSDILLEAVLEAEVAENAKFFVSQPHDPSLPKE >SECCE5Rv1G0332460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:548478259:548478720:-1 gene:SECCE5Rv1G0332460 transcript:SECCE5Rv1G0332460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQITGDGGEECNSNESGWTIYLTSPTSSYEAKENGSEGSNVEDGSGYITTERRKGKEENNADDDGDYDSLASDASTGTSQVKVLEGKEEKDRQANDGCSNEHGKDEQAEILTKFLTGSNKKAGKVKKGEEKSSKRGHNRRRSSSRTSFFW >SECCE1Rv1G0039880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:554388139:554389602:-1 gene:SECCE1Rv1G0039880 transcript:SECCE1Rv1G0039880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPITADVVVEAAPAPASMVVLNQMVCASAPAPIITVVSKQLVLPEGAPPVGDLKLSVSDMPMLSCHYIQKGLFFPPAGMPNARLVSSLALSLSRALGIFPALAGRLVTLPDDRIVIRCDDGAVELYHAVAPALSLADFLVRDADVPTRLTKDLFPMDRTVSYEGHRRPLTSFQVTVLGDGAVFVGLVANHAVVDGTSFWHFFNTWAGLCRGEPVQPPDFSRNFFGGSTAVLRFSGRDGPAVTFDASAPLRERVIHFSAASIRGLKAAANRCRKTNEDDEVNGKLVHDLKVQGGCGEISSFQSMCAHMWRAVTRARLQLAADATTTFRMAVNCRHRLRPKISPVYFGNAIQSVATTATVAELASHDLGWAAARLHATVVAHEDGAIRKAAADWEAAPRCFPLGNPDGAALTMGSSPRFPMYDGNDFGWGRALAVRSGRANKFDGKMSAFPGQAGDGSVDVEVCLAPDTMGRLLCDDEFLQFVSHAP >SECCE6Rv1G0414330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:631459506:631459808:1 gene:SECCE6Rv1G0414330 transcript:SECCE6Rv1G0414330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSARTCLVVVAALACALTLALRSADAQGDEAQKPKCAPGAATPCRVGALRDPENQEEESLFNVKARGPSGAADDDYSDPDQPKDSDQSDDDDLVVLGH >SECCE3Rv1G0144740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5334031:5335585:1 gene:SECCE3Rv1G0144740 transcript:SECCE3Rv1G0144740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMEIPEEVTVKVSAKMITVTGPRGTLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRKTMAAIRTAISHVQNLITGVTKGFRYKMRFVYAHFPINASITAANRGIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGAIKEE >SECCE4Rv1G0231080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:176752738:176754837:1 gene:SECCE4Rv1G0231080 transcript:SECCE4Rv1G0231080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDVLKIQTCVLKVNIHCDGCQKKVKKILSKIDGVYQSSIDPEEGKVMVSGLVDPDTIIKKLNKGGKPAVLWGSKPGGVANQFQKLHLDGNGGGGKGQQPKDAGGKGHPKDAGGKAHKGGGKDAKMAMPQPTPQQIQQMQQQMQMKGGPTPMQMKGGPTPQQLQQLQQQMQMKGAPTPQQLQQLQQQMQMKGAPTPQQLQQMQQQMQMKGAPTPQQLQQMQQQMQMKGAPTPQQLQQMQMKGTPTPQQLQQLQQQMQMKGMKLPPQFMGATGAKMPFPAGAPAKDPKSVKFNLPEDGWGDDGGSEFDDEFDEFDDDEDFDDDGFEDDYYDDPKMMMKQMAMPPNAGGGDKKGAGNGGKMGGGGNEIPVQIKGNGNNGGGKKDVGGKQQHQGGNGNGGGKNGGGGGQPNNVKGGGAPVQGKKGGGAGGRPAAGIGGPMGGGMPPQQQAMFRPNMMGGAGFPGMGGPMGHPHAASGAQAGRAMQGMPPAGLYQGAGGGGGGMPSGAEMLQAAAAAGNPMAQQQYMQLIQQQQQQQQMAMLQQQQQQQQQQMMMMNGGHGGGGAGGYPQMGYGGRPPMGSYPMPTAYAMPPQPPAGEPYNYFSDEDPNSCSVM >SECCE5Rv1G0338470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:598331905:598332652:1 gene:SECCE5Rv1G0338470 transcript:SECCE5Rv1G0338470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKIIAVLAAISAVWVALLETSTVPRSFTWLLPIYLVVALGCYGLFMVGYGLMFFPTCPQEAVLLQQDIVEAKEFLSKRGVDVSSD >SECCE5Rv1G0320660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:390673875:390674468:1 gene:SECCE5Rv1G0320660 transcript:SECCE5Rv1G0320660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTAFLSIAPKISISTNMSRVSIVASYGGTLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVPALRQTRVKYIADLGALAKTNPISAMTFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVEVVTSVIGRWAAGRLP >SECCE3Rv1G0201580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:865631176:865632246:-1 gene:SECCE3Rv1G0201580 transcript:SECCE3Rv1G0201580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEMGEDLLAEILVRLPHKSLARFQCVSTTWRGLISADYLRRRLPLITSGVLFHDGPQDGVGGRRAYTYARAASPSGEDEGGGGVAEADDMSFFPCHGTSSIIDGCNGLLLYYASYPAAFHVVNPTTRRWAALPEPRRKTLLSVLSFDPCASPHYKVVCFTGWLHRGASVEVFDSETGAWGEHELDFGLDTDAMSATMHCFAGAVHVLAYSGHVVRIDLATMRCTVTALPAPVSYRARAGHCRGRLRYASSDGSRLTLWELVDAGKSEWVAKHELGVGDLVAGGSCHPANATFVFMAFHPEREVVYLWTPSKLIAFNMERRRVEEECVFGSGKEDTQLIQIWLFPFSRHLASCLA >SECCE5Rv1G0336820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:586732046:586733050:-1 gene:SECCE5Rv1G0336820 transcript:SECCE5Rv1G0336820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQPDAPPAPVPSDNRDWSELPLLALTSVLAELGAVDVLMGAGLVCHSWLEAAKTPELWREVDMGRGPRDKKVMDMDGDIDLHLPSQGSPFAGRMLPRQPRDHCTGENREVMCAMAKVAVDRSDGNLEFVGAHFVTDEILDYVGARSPSMKRLALVSCEEVSCDGFTDMVSKCPLLEDIEVSGCKHVGGHAMVAAGRACPRLKRLVLNKPSRRRWDRWDATGILTMRELRHLRISRSEIKNQELMAVVDGCPFLEHLSVAECPNIVVDDALRAKCAIVKMLELPTSQDLDDLDAEDAGASDFPGFGRP >SECCE1Rv1G0012260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:90602552:90603451:-1 gene:SECCE1Rv1G0012260 transcript:SECCE1Rv1G0012260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAPDQQALGGLGLSGICRETCRVVHRAMLPNFVNLSPPLLSALLLASSAAIHALCSRVLADLQDDCPSLLRLVSDGLAFFLVVAVSIGVVLLLVLLCTAAYVYCVASLYCTGGDLRAADRLLRGFPTVPLTRLVRTFLFAAGPFLAICTSLLVASLYHPQELLGAPDKTVLLGWVACLAGAAYVAVVCQMACVVSLLEDAMLLGALRKSRALLAGKFWAAAGVFVTLDGCVFAVLVAFPVLVVDDALGLGIGFQLAAGVAMAVALCAVVLLTLVAQPVVYMVCKNHHHQVVDKVHLD >SECCE1Rv1G0012070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:86006394:86007614:1 gene:SECCE1Rv1G0012070 transcript:SECCE1Rv1G0012070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLATPTRAQPFLLLLHRLRPNPLPTRALASFAASSPRAPPIRRGTRAMASQKFPPQQQDCQPGKEHAMDPRPEALIKNYKSANKLQGKVALVTGGDSGIGRAVCLCFALEGATVNFTYVKGHEDKDAEETLQALRDIKSRTGAGEPKALSGDLGYEENCRRVVEEVTNAHGGRVDILVNNAAEQYVRPCITEITEQDLERVFRTNIFSYFLMAKFAVKHMRPGSSIINTTSVNAYKGNATLLDYTATKGAIVAFTRALSMQLAEKGIRVNGVAPGPIWTPLIPASFPEEKVKQFGSEVPMKRAGQPSEVAPSFVFLASEQDSSYISGQILHPNGGTIVNS >SECCE2Rv1G0100210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:536613160:536624349:1 gene:SECCE2Rv1G0100210 transcript:SECCE2Rv1G0100210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPALHDALLDFTSRENWDKFFALRGDGDSFEWYAEWPQIKAPLLSLLLGEERTEILVPGCGSSSLSEQLYDLGFRRITNVDFSRVIVADMLRRHARVRPQMRWRVMDMTNMQFPDGSFDFILDKGGLDALMEPEVGTKLGMKYLDEAKRVLKSGGKFACFTLAESHVLDLLLSEFRFGWDMTIQAIASEPSSKSAFQTFMVVMVKGKMGVVHTIKSLVDKSAEYCNMQQANAVIHALQNENKIRESHNSGVDILFSLRDLQLGAIGDLKVIVPGRRKQLILGEQGSSLYCYKAVLMDAKNQTETFVYHCGVFIVPKARAQEWLFASEEGQWLVVESAKAARLIIVFLDSRHASADIDVIKKDLSPLVMDLEPEYPEETDPMPFMMASDGVKQRDILQEVTSEITGPMVVEDVLYENVDGDQSCMSEKMFRRLIFKRSSGLVQSEALLIRESPCDETDSKTKNSSTSSKKKSQKKGLTGSKDSLRVDHTYLGSSYHSSIICGLSLVASALSAAASSGERVSTTIVGLGAGSLPMFLRGCLPHLNIEVVELDPMMEEVATKYFGFSMDEQLKVHLGDGIKFIEENAHSEPNGKDSDAVRILIVDVDSSDLSSGLSCPPANFVEDAFLASAKKFLSAGGLLIINLVARSSAVREMVISRLKAVFENLYSLQLEEDVNEVLFVSPSKRYLEIDHLDEAATILKAMLKFPVDVESDVKNLQRLQ >SECCE4Rv1G0250850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:596734469:596739388:-1 gene:SECCE4Rv1G0250850 transcript:SECCE4Rv1G0250850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKSDKGDSAAAAAPPPQRKDPYEVLGVARTATDQEIKSAFRRMALKYHPDKNADDPVASDMFQEVTFSYSILSDPHKRRQYDTSGFEAIETDSQELELDLSSLNTVNTMFAAIFSKLGVPIKTTVSATVLEEALNGSIVVSQLQLGNSVRKKVEKQTAHFYSVDITEQEAKKGLVCRVHSTEKSKFKLLYFELEENGGLSLALQEDSVKSSKVSSAGMYFLGFPVYRFEQNNSAPAAKDPDSAFFKRLDSFQPCDINELKPGTHFFAVYGDNFFKSATYTIEIVCAESFPTEKEKLQSVEAKILTKRAELSKFETEYREVLAKFTEMTSKYTQEMQTIDELLNERNVIHASYTNNPPLKRTSSRNKSKSSPSFKFDEEKNQRKEKKMKDQPMEGCGSEDDDSSEKKTKERFPRKRWLNIPFKVDRRKPC >SECCE2Rv1G0119480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:791349419:791350039:1 gene:SECCE2Rv1G0119480 transcript:SECCE2Rv1G0119480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAHHSAGTAAMSLALFLLATMAFSLTSAQSSSSSCASHTFSSNQLYASCAALPRLGTTLHYNYTAAANTVAVAFRAPQPSKAGGWVAWGLNPNGTGMVGTQAVVAFRHSNGSLVAYPTLLDSYAPSMAPADAAELAFPVSNMAAEYAKNGKEMVVYATVALPGKGSKFTHVWQQGGSVVDDVPAAHPTTGDNVLSTVTIDFSK >SECCEUnv1G0537260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68024884:68025879:1 gene:SECCEUnv1G0537260 transcript:SECCEUnv1G0537260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLESEIKESSTNSPLEAGESESNIEALVARLPTREGWSTPLILYNNCWLRSHMVRKFMLVRDNFKPRCDDIILATHPKCGTTWLKALTFTISSRSRYTFADHPLLTQHPLLIVPFIGASSGELDYLETLPSPRLLSTHLPLSLLPRTISTLGCRVVYLCREPKDAFVSRWHFDNKISNGAPVSLGDAFHMFCEGFSSYGPFWDHYLEYWKESLARPQEVMFLRYEQIVSDPLKVVRELASFLGAPFTEEEEKSGVVDQIVRFCSFESLRGLDVNKTGGAEGAGGKVFISHSSLFRKGKVGDWVNHMSKEMGEKMDRLVEDKFKGSGLEF >SECCE3Rv1G0156670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:74366882:74370681:1 gene:SECCE3Rv1G0156670 transcript:SECCE3Rv1G0156670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKPGASGFGSGSTAEQVTEGVNAAGLTVLVTGGSSGIGLETSRVFALRGAHVIIAARNTEAASEARKRITEIHPLARVDVLKLDLSSLKSVRAFAEQFNSMNLPLNILINNAGVMFCPFQLSEDEVEMQFATNHLGHFLLTNLLLDNMKTTAKSTGIEGRIVNLSSVAHLHTYPKGILFDQLNDKKIYNDKMAYGQSKLANILHAKELSRRLKEEGANITVNCVHPGLIMTNLMRHSFALMKAIQVVTYLFWKNVPQGAATTCYVGLNPQLKGVTGKYFADCNEEKTSAHAKSEALAERLWEFSEELIRSAK >SECCE5Rv1G0297450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:2632322:2633307:1 gene:SECCE5Rv1G0297450 transcript:SECCE5Rv1G0297450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNVVWQPQVVDEMLRYYKEKIQSEGKQFVFKETHHEECAKQINAKFTTAFTQRQVYHKFHKLKGQWKIILEAKNLSGANFDDVNKIILYDETEVVRMKNNKDKRAKYINVPIANFDEMEFIFQDKHATGEFTVLQTPYDRVHARDKDFIGDTEKSAIDIEVDPATQYDSDCLPADTNNESSSSKRPRGGKHDKGKRVKCEESVVQDMTRSLRDMSDTMRFTHVTNPNENLFKIIDDMEEYPLFARLALQTSLATNEQVASMLKGRPMAVIQEFVRRWVGDNFPEHVHVAPDV >SECCE1Rv1G0048530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636181109:636183217:-1 gene:SECCE1Rv1G0048530 transcript:SECCE1Rv1G0048530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRTPAAARRGGRAARQSPFFRDLASPIPSHRGGSRFASPAAAPPSATPPPPPLFTLDDRVAAADFSPDPTASDLLPVASSPSPRAGSRSPSWDRSRGKASAHGSPMDGVVEPPRKELLALPPLSSPCTPPPTAEAPSPVTPATEAARTEPAAPASERKADGEEWVTVFGFSLRDTNLVLREFEKCGVILRHHSGPREGNWIHILYQHSYDARKALQKNGIQLCSGVIIGVKHIDPVHRQQLDDRLAGINQGGFMVSLPSKSLALKSSTGASNQLGALPRPYDPKSSTNVIRDAGRRTTGSVAVPAKSIVTNVMDLIFGI >SECCEUnv1G0554740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:290202817:290206739:-1 gene:SECCEUnv1G0554740 transcript:SECCEUnv1G0554740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEGSGASSSAAAAVEQQVRVVRCPKCEKFLPELPNYSVYVCGGCGTTLQAKKHSASESCLDKSDVVHVKYLEVLESSPEKKEPVSEANFDTISEENSQRVQATPEECLVPPKMMSEHRDSRCSSGDNQIPREPSTLMFEAMLRDDGTEIRGAKYRRTRNEEKGEAKQPVRPSDGTRISIADTIPPNAYLGDHQMRSRFGDANGSQRADGRNMDGPSSVSGLEKDRAELLRMLDELRDQVQRSCEITEKPSVGASTSRVADASSSYSPHEQLSRLRHGSPQLQRNSSQHSPSLSGQTPGIPHAYASVPSQQDLHGYRKPVTHMGAPCYPVGQYPWRNCDSYFFGQHNHDPLVSYHHGGFYHQPACSCLHCHHREFLPVQGAPMGFNHHRVPYPGAYPVNGSVMFGMQNSNSRGINASMHRGHMRGNLSKKPPQTCEPIACGAPFTICYNCYEVLQLPKKCPLPGKDEYKLRCGSCSHAIVVKLDGSRLDVSAPSPISHMSAASKNNSSDVQGSNAYSATDERLLPLYSFSAGSHCSQERDLHSNSSDTEKVQGVSSSSSIFEDENNPVRSNSQRGTPGSSDLPLEAQVVSRVPSLPLQQHFGHSPSERVVNGSGKGSRSTRSEHEKTVLTETCRHNTIKDVRVVSVMSLSDDEYEDLDCSQDPGAGAQHVDRPIVTKTGDSFFSNLMKKSFKINNGMGNGRAKVFINGYPISDRAVKKAEKIAGPIYPGEYWYDYRAGFWGVMGQSCLGMIPPFIPQLNYPMPKNCAGGNTGIFINGRELHQKDFDLLVGRGLSESPDRSYKVEMSGKVYDEVSGEELYCLGKLAPTVEKMKRGFGMRPPRTIH >SECCE4Rv1G0256400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:656531402:656533161:1 gene:SECCE4Rv1G0256400 transcript:SECCE4Rv1G0256400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRILSGRDTGALTRGHVKKLQIAVPAKPGFKAFVNATADKVSGYCIDIFEAAAKKLPYVLPYEFVVVDGSYDQLVLNVSSGIYDAVVGDITITAERAVDVEFTMPYTESGVSLLVLTENDSKPAIEWVFLKPLTTQLWLALVGGFFFTGLVVWTIEWPRNPEYQGSSLRQCSTALYFSFSTLTFSHGQIIRSPLSKIVVVIWCFVVLVIVQSYTASLSSILTAKRLRPSVTDLEQLRSNGDYIGYQSGSFVHSFLKKEGFNDNRLKDYPNKEEYAKALRKGSKNGGVSAIVDEIPYITSFLSDPKYHNEFQMVNRIYKTPGLGFVFPQESQLVHNLSVAILELTSGAEGSEIEEKWLGKATPLPSYGIPKTDATPLTLHNFSGLFIITVCISALMLLISIAKSVHAKYTKARDSDMQSADGDGGSEGHGGSGPLQNITGNGSMADQPHHEDRDGVNGIRGSPGDVEPNGSVPEHAVLIEMNTR >SECCE3Rv1G0162310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:129161218:129162479:-1 gene:SECCE3Rv1G0162310 transcript:SECCE3Rv1G0162310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTGPPRMTSWCRHCGAGIVAPPAGPSSGVRCALCRGVTRVERHRSVGSASSVLSPPRTAWSARRELPAGYPASRGKKRAVLVGVSYTGTDYELRGTVRDVECMRNLLCDKFGFPGDSILVLTEKGDDPCRVPTRENLLLAMRWLVEGCGAGDSLVFHFSGHGVQKLDTAGDEVDGYNEALCPLDFEDKGKILDDEINETIVRPLGPGVKLHAIIDTCHSGTILDLPYLCRLSRTGYWQWENHVRPGKLKGTNGGLAISISGCNDDQKSSESSVQGFADTSAIGAMTDSFIRAVESEPGTTYGRLLSAMRATIRDSQGTGRRLPGRIGSFVRKMITSSAVQEPQLCSSEMFDIYRKPFLL >SECCE3Rv1G0165850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:179718152:179719249:-1 gene:SECCE3Rv1G0165850 transcript:SECCE3Rv1G0165850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLCTASRATVTGARAAPGAGMRRRRAPARASASGRWRPRALRAQASARWPEPLAPAKGGQPALPWAALRVGAGVALALALGGASWSARGGGTGAVLVQPAMVYTLNAVTDGTERGGTAAVKTSVGALSDSLFRREDAPRENATLMDLVFEQVTKEHIGDRGKLTSLLQKEWAASRDSERKLNLGLLLTDVLINQREWQRAKEVCQQLTGRYQRDSRPYLHLAVVNMMMAVETMLSPETATADDIEKMSKNAMDAWKEFKNKYELAKASTESST >SECCE5Rv1G0345000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:648973877:648976413:-1 gene:SECCE5Rv1G0345000 transcript:SECCE5Rv1G0345000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSGKYSSRDLAAHTSLKPRKEGQQTQEEVQKRNLRDELEERERKHFSSKDKSYVDERDRRKSSSLLLEGSKRDEDKIVPREIDADDSDVELKSDDESDDDDDDDDTEALMAELERIKKERAEDRLKKERQQAEEEAKMKEAELMRGNPLINMNNSGSFNVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLHRYMK >SECCE1Rv1G0045770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:611775655:611776589:1 gene:SECCE1Rv1G0045770 transcript:SECCE1Rv1G0045770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAPAAASKPKPRARAKPKAKASPDSLSSASSPSGSGGSPVAVARGLLSPSSPVTPKVRPSLSPFAASTPASASTVGDLRSLAASSLDSLRRRLDALHGDSARDLEASHSRISKRIKMQTQSCVRLAEEADKEHKKMAEKFSGRAEEMKASYKKLFTEVQSSSSRVSKVTFPEMAKSVARAMDGLRSRHNIPATPA >SECCE2Rv1G0116480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:764890863:764892061:-1 gene:SECCE2Rv1G0116480 transcript:SECCE2Rv1G0116480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE7Rv1G0471320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:129484947:129488590:-1 gene:SECCE7Rv1G0471320 transcript:SECCE7Rv1G0471320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein [Source: Projected from Oryza sativa (Os03g0208500)] MASRDLAESLLPGRGAAASSSHDEYEERAYDSDDKVSISISDSEPDDDEPGAARARPAFSWRKLWRFTGPGFLMCIGFLDPGNLEGDLQAGAAAGYQLLWLLLWATVMGALVQLLSARLGVVTGKHLAELCREEYPPWATRALWVMTELALVGADIQEVIGSAIAIKILSGGIVPLWGGVVITAFDCFIFLFLENYGVRKLEAFFGVLIATMAISFAIMFGETKPSGKELLIGLVVPKLSSKTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTKKKSRVQEAVYYYNIESILALIVSFFINICVTTVFAKGFYGSDKADNIGLENAGQYLQEKYGTALFPVLYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRAVITRSFAIIPTMIVALFFDTEDPTMDVLNESLNVLQSIQIPFALIPLITLVSSEQLMGSFVVGPITKVISWIVTIFLMLINGYLILSFYTNEVRGAVVRSSLCVVLAAYLAFIIYLILRNTTLYARLRSSVSKSS >SECCE5Rv1G0346200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660490032:660490466:1 gene:SECCE5Rv1G0346200 transcript:SECCE5Rv1G0346200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKRIAQLAKKWRMVVSLRRKRLTMMAKTKAEGCSMAVAGKGHCVMYTTDGRRFEVPVAHLGTMIFRELHMSREEFGFVSGDGRITLPCDATVMQYIMCLLGRNASVEVEKEFMSSMVMPCHYISCVAPTLGVNQQMAVCGS >SECCE5Rv1G0373250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856849654:856850043:-1 gene:SECCE5Rv1G0373250 transcript:SECCE5Rv1G0373250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTKLFLLLLGLNLMVTTVHGGCGPHCPSPTPPTPPSTNGGSCRIDTLKLGVCANVLNLLKLGLGVPPNERCCLLLAGLADLDAAVCLCTAIRAQVLGVINLNVPVDLVLLLNQCHKTCPPGFTCPL >SECCE4Rv1G0260470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:695943581:695946459:1 gene:SECCE4Rv1G0260470 transcript:SECCE4Rv1G0260470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKEERQQNLEFLWKWRKYLLLLATLVASVTYGAGLNPPGGVWSEDNNAPERHVGAVHPRARAPLSPDAAVYPNRVGDPVLVSTYSRRYNAFFYCNAAAFVSSLVIIMFLLDRRISGNRAGLAVLRIAMLLDLLALMAAFAAGSCRDVVASIYVSALFVLIFACVAILVCVERVCKEPPDSEPFSIPVENLNLKERRKLLLLLATFATPLTYAAGLAPPGGFWSENVAEHHAGAPLLHDGRYKIRYHAFFYANANSFVASLAIIMLLMSRTLSDRLTRYNALFVCVMVELLGLMAAYAAGSCRRPTTTVYVVSLVGAVLLYIVLVPVFTLEAFRGWRISRTTTERWTIRVHDGQEEKVEQSRSLVLLLATLAATVTYQAGLSPPGGVWPEGHPDHTAGNPVLQDMHPTRYKVFYHCNTAAFVASVVVIIIVQSKELSAAGRFALNTVMILDLFGLMGAYVAGSGRDNTTTIYVSALAVTVFVYIIAKVVAFVVGGKESTPTRCLRRMWGKVVQALLHLSCRQGGEPTQGPAQVHSPTSESERKRIEEKSLERRRKFLLQLAILAATVTYQTGLNPPGGFWPETESKGERSLIAGDPVLLDHYGSRYEVFFYCNAAGFMASLAVILILVNQKLYKKSMQSNALHVCIIVGLLGLMGAYAAGSCRLLRTSIYVFTLVAAVVAFLVLQIMFYAFADSAHLSQRTCLKGLLEQLSPSSAPTGAGGEDEGDWRQQERYQLRKSLMLLGILAASVTYQAGLAPPGGTWGDDDTASSLSSSPSLSPPPSAAYLSHAGNPILLDTNPRRYQAFFYCNATSFVASVVVIMLALQYTLNERVAPWWAMQSLQTVMVLDLLGLLGAYAAGSCRDWETSAYVIALVAAVVAFITIYILLSFDEVRGNAEELMVFNCLGASNDDDDQSAATVAVTRV >SECCE6Rv1G0435860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772985417:772986499:1 gene:SECCE6Rv1G0435860 transcript:SECCE6Rv1G0435860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSAARVLLVLAAAALAVSSVSAAAGAAGGSPPLAKGLSFEFYRARCPQAEAIVFAFLKDAIRKDVGLAAALLRIHFHDCFVQGCDGSVLLDKTNGVDSEKVSPPNVTLRPSAFKAINDIRALLQRACGGPVVSCADIAALAARDSVQLAGGPRYAVPLGRRDGLAPASLDTILGALPPPTSKVPVLLSFLAKISLDADDLVALSGAHTLGIAHCGSFEERLFPKDDPSMDKFFAGHLKLTCPRLGVDNSTANDIRTPDVFDNKFYVDLLNRQGLFTSDQDLHTDAKTKPMVTRFAVDQAAFFDQFVKSMVKMGQINVLTDNQGQIRTDCSVPNAARSTSDELPWSVVETTVESFVL >SECCE3Rv1G0175220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:419850815:419852992:-1 gene:SECCE3Rv1G0175220 transcript:SECCE3Rv1G0175220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit F [Source:Projected from Arabidopsis thaliana (AT4G02620) UniProtKB/TrEMBL;Acc:A0A178V4M8] MAGRSNIPANNSALIAIIADEDTVTGFLMAGVGNVDLRKKTNYLLVDNKTTVKQIEDAFKEFTAREDIAIVLISQYIANMIRFLVDSYNKPIPAILEIPSKDHPYDPASDSVLSRVKYLFSADSVASDRR >SECCE4Rv1G0215570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:5268139:5272699:1 gene:SECCE4Rv1G0215570 transcript:SECCE4Rv1G0215570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVAADLRASIWKQMSEAGIKYIPSNTFSYYDQVLDTTAMLGAVPDRYSWTGGEIGHSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELSPATKFSYASHKAVSEYKEAKALGVDTVPVLVGPVSYLLLSKAAKGVEKSFSLLSLLDSILPIYKEVVAELKAAGATWIQFDEPTLVKDLAAHELAAFSSAYAALESALSGLNVLIETYFADVPAESYKTLTSLSGVTAYGFDLVRGTKTLELIKSAGIPSGKYLFAGVVDGRNIWADDLAASLSTLESLEAVVGKDKLVVSTSCSLMHTAVDLVNETKLDSEIKSWLAFAAQKVVEVNALGKALAGLKDEAYFAANAAAQASRRSSPRVNNEEVQKAAAALKGSDHRRATPVSARLDAQQKKLNLPILPTTTIGSFPQTMDLRRVRREYKAKKISEEEYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKMAQSMTPRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVTYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVAAAKLIRTQLASTK >SECCE1Rv1G0012990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:99875005:99879498:-1 gene:SECCE1Rv1G0012990 transcript:SECCE1Rv1G0012990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type domain containing protein [Source: Projected from Oryza sativa (Os07g0446100)] MGMQQSKEELLYQQVNYGNIEGIRNLRAQGAGVEWIDKEGKTPLMVASMRPDLLNVAKALLELGANVNAYRPGSHAGTALHHAAKKGLDPTVHLLLSHGANPFITNDDCNTALDLAREKGHVNIVRAIEGRISLFSGWMRENYGPGFLEAFAPQFMTRKIWAVILPREARSPTRPVKLELAIYPELQAAKPRVVVKLWKSQLEEPKYTLADPSMTVFDKVTKSRYKLLPAYEGDKQQLRSFYSACCGMAQVASMVPARPANAPSPDPTPNPSSAPSVESTPSKEDVELAMAINASIQSAIAEGVPNVQPMTSTNSSLNGWGSTDSPAPSKTSGQAQVDAPSSSKYNGWDVPGTSSNQSSSKPNKSQNNNPSIVIPPEALPVPTPTALPVPTPTALPTLPTPTAPPLAEGTFYDGPIEYPSIDFTPVDVTMPPTEGAGMALNSAKHVENEADASSSGNTPSGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRAKINQIIRLYAV >SECCE3Rv1G0183110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:644260926:644262629:1 gene:SECCE3Rv1G0183110 transcript:SECCE3Rv1G0183110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTSLSSAPSSRPPSAPPPPPPHVAFPSLRRRDLLLLSASPLPLALSPAAASARGLFRMPPPGLANRYFLVRAGESVFEGQGLLRTNPVAKTSVDSGLSPAGLRQAARAALELRRLGACEDDCWIWPSITQRAYQAAEIIAATNSINRSKIVPEYSFLDARGLGAYEGKRLEALPEVYASDNISPDIKPPPTYDGTPNESVADVFVRVTQLMSILETQYSGDTVVIVSPDSDNLSILQAGLIGLDLRRHDSLFFQPGEVRAVDPASIPEYKQPASSVFKCTNPPSCK >SECCE2Rv1G0103860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:595855932:595857134:1 gene:SECCE2Rv1G0103860 transcript:SECCE2Rv1G0103860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPHLFRCPISLDIFTDPVTLCTGQTYDRQCIERWLADGHRTCPVTMQALGDADTTVLVPNRTLRHLIERWLSAATDHSLPESADDDPSLAALKRCLQSDATSTAAKIGALKKVLALASESDVGRACMLQLGFLCVLLPLVFHAAPVVPAERHAEAEELALQCALSLMPSNAAAPQLDCLNMLKKEDNLASLVRLLGRGSGRAKAGICRLLEIIAMAAATRDLALVVAASPRVWQALLPLLQQHAGPAPDARASEAAVRAIAAVCAAEPARGSAIHHGAVGALFRHLSWWAYGKGGGAVSSALAAVEALAETEAGRRAVARAPGATRILVRHVFMMSSSNDGSEHAAAALLAVCRESRAARSEAVGAGVVTQLLLLLQSQCGARAKAKARALLKLLKSR >SECCE6Rv1G0418190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:661464581:661465039:1 gene:SECCE6Rv1G0418190 transcript:SECCE6Rv1G0418190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATSRPRGSVLSTPNCRSASPTPVKLAGGYATHFPGKSVGGSSASPSSTRSRHYCTCSSTNHPGSFRCGVHKERKQVASTGSTKPPSPPSIRGLGSKRMNSRQCARRALKPSPAAQQSQQRRRAGGFRPRPSRLSAVSTAGEHPRDNYT >SECCE3Rv1G0171310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:267518244:267518645:-1 gene:SECCE3Rv1G0171310 transcript:SECCE3Rv1G0171310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLPAAAAFLLALAAAAALAPSPASALKGAGELGIGGAAAVVMRRGGRTCRGTVGECMEYFGVDGEGEGEVAVMAGKRRVLQDGSGYIGYDALRRDNVPCSQRGASYYNCQPGAEANPYSRGCSAITQCRG >SECCE4Rv1G0215910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8203265:8205830:-1 gene:SECCE4Rv1G0215910 transcript:SECCE4Rv1G0215910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQLNHIARETGDVRGLAAFYEEVLGFERVPAPTYSFQVAWLRLPGSPDVALHIIERDPAVAAPAPAAPPGAAPAPAQLPRRHHLAFSVADFDGFLTGLRTRGTRLFEKTQPDGRTRQVFFFDPDGNGLEVTSSSPGDK >SECCE1Rv1G0054660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675865550:675866622:-1 gene:SECCE1Rv1G0054660 transcript:SECCE1Rv1G0054660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLNFLSITECKSLKMVEIDAPNLCSFYCVGTLVEISIRNSFQLKNVNLSCILLSDARAKLPSILGTVESLTLRSRTENAKVPILASKLPCLKHLDIELLGPTMAFPPFRNITDFPKSYDVFSLVSFIDASPTLDSFILRVEQGALRSDFGFGDDHEYLRQRLEGRHNRLRQVTITGFCPSKSLVQLIIYILESAPLLERLTLDTTYRYDRRSGTTSRWSSSRKIGQCSPMCRTYLPGARRAVEAACRCIAGRVPPNVEFEVLEMCSRCHDTCLHI >SECCEUnv1G0550210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:213798801:213801201:1 gene:SECCEUnv1G0550210 transcript:SECCEUnv1G0550210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQNFFFFITSMVVPRGTAAPVLLKWFVSRDVPTGASSSNGTIIPIPIPLFPFLVYLHLRKFIRSMDRAKSGVLVKASRPILLPDKMERSSSARNALFRFVPVLHFLIIESMGDLSYLESFCGLLCLQFFRTLFSLPRDRSAKRERALRSKGQTLRPKGNEQQNDKRRCPGHPHIERRVEGFGPVAFPPPPSSSGACLGGVPPESGLEALALPTSRLLMAVGHDYYKKVKMNLSISHGGVCIFMLGVLLSTNTNKIQFTQRLPLGPELHMGKERCCLRGLDHLHGPTSHSICGNLMIYKPSPTSERFMFEHDESLRADLLPINFPASYENGKLEDFLHRWMKNHEHKNFWFSMFPERRYFFSIRETRSTTEVAIHTNPFTDLYAPIGTGSSRTGGWYTTIMKLPFIFSIRIGFLLASSGGSRSLLRQLQKDKLHWNRESFVHNCIKGLKIVAAARRGSTFDIE >SECCE1Rv1G0020370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:256526828:256532283:1 gene:SECCE1Rv1G0020370 transcript:SECCE1Rv1G0020370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADTLEWVAYGPCGHREVCSTCVVRLRFVLEDSLCCICKTDCPSVFVTKAMGDYTKVISDFSVLPTEASEGNVGEYWYHEDTKAYFDDADHYKMIRAMCRLSCSVCDKAEDQFSQAAQAKRRSRFKSIDQLKGHLFHQHRLYMCNLCLEGRKVFICEQKLYTRAQLAQHTKTGDSEVDGSEVERSGFAGHPVCEFCKYPLYGDNELYTHMSREHYSCHICQRQHPGQYDYFRNYDDLEMHFRKDHFLCEDDACLAKKFVVFQSDAEIKRHNAMEHGGRMSRAQRNAALQIPTSFIYQRNEQDQRRGRGRGRNVHHDRPDRDFSLPVRDGSATADHGLGSRVDSVAGPFQSLSVSSSSGRTETGRSLGNGRVLEHLSFPPLQDQDIPDARMDAVPYETSFPPVSEQQSRYALALNQSSRGSARLGDESLFPPLPGSSNKGSASTRQGLQSLAKNTLASRLQQRSTGTVKVLYSARSQTAENPEIVPHVSTSTQTWPTPDQGLHLSGSSQLRVVTQSTRDNGLMPSASSGSAWNSRASNKVKHSISTPNFVSGGSSAQASSSTAYGYKKQLPSQSSQPLPVVEDVRQANKSLVERMRAALGMDEDRFSAFKEIASEYRQGVIDTSEYLSYVEQFGISHLVPEMAKLLPDPLKQMELVDAYYTNIRFKSLQGNGGCETITGKENKRKNKGKGKTLDAETVTAKDASESLADSFMDTVRKLQSSNKAQGEAAVLSKDGYRSSKEKFPVSVGGSSSGANMGLDGDPVAISKLSGTSRYAGKGGGSSSSSNNKQSKKTSKFLRARLGDNSLATLDFSHPDLSPERPERETQVLQTELPVRSVWKNGAAQKLFSSNGKK >SECCE6Rv1G0429600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736498952:736500919:-1 gene:SECCE6Rv1G0429600 transcript:SECCE6Rv1G0429600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKCCEIFFVQSVKDFVFCLLHTKKRVMALPLAANAVRAASVGVCYGMSANNLPPASTVVGMLRDNGFNSVRLYAPDSDALAALAGTGIGVIVGAPNYVLPELASSASAAAAWVRANIAAHPDVTFRYLTVGNEVAGSDTQYLVPAMENVHGALAAAGLGDAVKVTTAISQATIAVHVPPSAGEFADESKPFLLPVLQFLERTGAPLLANLYPYFVYTYKAAGDMDVSFMLFTAPGTVVQDGEYGYQNMFDASVDAVHAAVERLGVSGVEVVVSETGWPSAGGEEASVENARTYNQNLVSHVGKGTPRRPSKVETYVFSMFNENLKEAGVEQNWGLFYPSTDKVYPITFGK >SECCE3Rv1G0149570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:26250600:26251883:1 gene:SECCE3Rv1G0149570 transcript:SECCE3Rv1G0149570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQQPPPAKNPPPLPPTTISALDLDSLREIFLRLPSLPSLVRAALSCHTFLDAVRSSPTFRLQFRALHPPPLLGHFLTNQDTAIPTFVPLRTRSDPDIAAAVRGADFFLTRLPVLEDDSAVSVPEDEDEDAVSGSQDEGEDPVPEWSIHDCRDGFVVLYSWSTRQIAVYHPLARTLDLFPQPPCQEDCEGEYSDFYILPGNGEDYLPYRVVSVWHGEYGARAMVFSSDTNESQIFPWADIDGLWPHNGKQVNGCIYWTIGMTDAPVLNTTTMQFSRMDKPPHIRGNDILTAGETKDGRLCVVCAPLGPLTFESVLAVWIWRADEDGAERWMLEKSLPLQEIAGIVRCGFKEDNIKIQVTVRAIIDGFVYFSAYCGVFRHHHSTFWLLSFCVETSVLNKLGRIPSGGSYPYIMPWPRSLVGTQQDD >SECCE6Rv1G0414860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:637576173:637577477:1 gene:SECCE6Rv1G0414860 transcript:SECCE6Rv1G0414860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLPSAAAAGRKRKGSTLAPLAACKRSAPAQPAGGWASLPTDIVGLVTCRLLGDGDGDVVDYICFRAVCSDWRACTPAPRDPSLRDPLLRPRGWVALCDGDAVRPDDACEIAFFHTRTARRLRVPLPELRRHRIVGFTDGLVILLHKRTTAVRVLHPFTRDAVDFPSLLTMYRQVIRQKTSLLDMNAAVCRGASSADSVAVVVWLPYTGVVLAAGPGSSDWQVLHRGFYVCCALPFQGRLYATLWCSSEIVQLYPPPPTPKGQLENHEVIAHAPHSADRTVCNFYLVESGGRMLLAVRQPAPYANGAECNASDWWRRVVCRLYVVDLNSSQRCKLIPVKSIGDTALFLSHGRCLSVSATHLLSLSSNSIYLSLPWIPIVVHSLATGLSERLADSCQIHDRKERIRPSVRPFTIADHLITYCNPRQWYVFLLN >SECCE7Rv1G0484030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:338457426:338459359:-1 gene:SECCE7Rv1G0484030 transcript:SECCE7Rv1G0484030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSVPRIFRPRAGSSPPGRDKCDAPVRCCSRPHAAISTNAYWRKRNPSGKHRLAHKSSQDPPRTIAACFSLSQSLATTTAACAAMDAATSTTLLYGALLVAAFLYVVTLRRGHGRGEGGLPPGPRGLPLLGSLLSLDPDLHTYFAGLADKYGPIFSIRLGSKLGIVITSPALAREVLRDNDLVFSNRDIPDAARSISYGGGQNIVWNPVGPTWRLLRRVCVREMLSPAGLQNVHGLRRREFRATLAHLYSMASTGKPVDVGAQMFLTTMNVITGTLWGGNIGTESERTSVGKEFRELVAEITEMLGAPNVSDFFPALAPLDLQGIRKKSDALKERFDEIFGRIIEKRVEADQAGGDTAEDFLEYMLKMEKEGGDGKAAFTMTNVKALLMDMVVGGTETTSNTVEWAMAEMLQNRQILQKVQEELDAVVGIDGVVEESHLPQLHYLHLVVKETLRLHPALPLMVPHCPSEDTTVGGHRVPAGSRVFVNVWAIMRDPAAWKDPTKFIPERFASQASDGDGGRKVDFTGSELDYVPFGSGRRICAGIAMAERMTAYSVAMLLQAFDWELPEGAALDLTEKFGIVMKKATPLVAVPTPRLSRPELYSA >SECCE2Rv1G0104700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:609612111:609622967:-1 gene:SECCE2Rv1G0104700 transcript:SECCE2Rv1G0104700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRHRARSSPSSPLTPSTSMRAKKIFGFSVSLILINLASIMERADENLLPAVYKEVSVAFNVGPTDLGYLNFLMNFLKSIASPLAGILALHYDRPAVLAIGTVFWALSTGAVGVSQHFGQLAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSVVATLMAGKDYWGLPGWRLAFIMVALISLIIGILVYLYSTDPRRIPGNQLLDDDDYERLHLSSKDALPPPSIWMDSWVATRSVMKVKTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNRSSAALNSLFAIGCASGAFLGGVLADRLSRRYPDSARIMCAQFSAFMGIPFSWILLTVIPQSTDYWFAYAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDAKTVNIANGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKRDRDNAKVASFKNQELT >SECCE1Rv1G0062500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719693940:719695729:1 gene:SECCE1Rv1G0062500 transcript:SECCE1Rv1G0062500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVSVLGVGLLCLLAMLSPSAQQSPPPGSEQQSPPPDSSDVYPSTETPPLPDIRPPPSSPRDYELDVPPRNVTCNDTDGKRPGCTGTCPRRCPKCIVLCPDCKTLCHDEVQMPRPVPPPAMLVFGDGQFDNGNNGYLEPPNYPYSGTGRVSNGANLADAIAYLLGFPQSPLPFMSLRGRISMWGANYASVGAGIRNSTKGERSIPLSQQVEDFRTTRALMGIMLGDEAKLRAYLSKSIFLLGIGGQDLDPRWNIHLGNSMRQAEIQSLVALYGEAITSLYDMGARKLAIVNVGLIGCAPQIFDYRYGCDQSLNDRAAAFNAALKHLMAGLASKKKGLVYSIGDYHSFTTTVFADPSAYWMMNIQESCSFKDSPERTCSPQEEYWFWDSEFMTDQACRLTATAFYYGPPQFTAPMTFKALLAK >SECCEUnv1G0557050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:318507276:318510606:1 gene:SECCEUnv1G0557050 transcript:SECCEUnv1G0557050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISTSTTSSSGGGQAQMDMRLLKAATDGDSTSMKHYACHNPGILLGKTLRMNTCLHISSIHGHRRFSVDVVALEESLLTTVNLDWETPLLAAVRNGHVSLASFLLGRCHQLKMRQAILKQDRYGFNALHHAIRNGHEELALELITAEPSLSRAVSECKESPMFFALTRNFTRVYQQLVQDPLSSYTGGLHGRNCLHAAVRNGNPEIAKQILKNYPGLMITEDINKVTPTRHAVLFGKIDMLRVILSNDPTKGYEINSMGDPLLAAAAYRGRINAAQELLKHCPDAPYRQENGGTLLHRAVWDNQIKFVKFVLTTPLLRKLINMQDNTGKTALHYAVRKCDPELVSILLSHEDIDATVYDNKGVSAAWELKYVMENAKTLNWNEVLMLMLKADAQNATSPYNLHGKAKQQAIDAGRKDARSLTKTYTTNISLVAILITTITFAAAFTLPGGYSNVDGSEGLPIMSRKVAFQAFLISDTLAMCSSFAVAFICVIARWEDYEFLIYYTSFTKKLMWFAYVATTTAFSTGLYTVLASRLHWLATAISVLVALLPILTKLLGEWPVLKLRFRLGKTFNSDLLDMV >SECCE1Rv1G0054510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675218605:675219677:-1 gene:SECCE1Rv1G0054510 transcript:SECCE1Rv1G0054510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVSASHGAVQILLRKLGNILATKYTLLRGIRGEIQELKDELESMTACLRDLADNDDHNEQTRTWMKQVREVAFDVEDCMDRFCHHLSENNGDRQGLLEYLNRMFNMVQTLRVRHKVATDIQGLKSRAQKVSDRKVRYTHTPGDLAGKSGKAPDTSYRNPDKLEPWLPAIHRDGSGLVGMGIMIDAMVRLLKKPRQAAAGPRVLSIVGFGGLGKTTLATTVYNTPKLRGIQCRAFVSVSQTYDLRSLLESMLKQLPALADTDRNDASPHNHRNDDLLRKIKDWDMNQLVTKIKQRLEGKRYVMIQYCITDY >SECCE2Rv1G0077160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:97510088:97515405:1 gene:SECCE2Rv1G0077160 transcript:SECCE2Rv1G0077160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSAPFATATGAQKKARARAAPLHSFLLSGRRGRRAAATIRCAVAGNGLFTQTNPDVRRVVPAERGLPRVKVVYVVLEAQYQSSVTAAVMQLNADPRRAAEFEVVGYLVEELRDADTYAAFCDDVAAANVFIGSLIFVEELALKVRDAVAQHRDRMDAVLVFPSMPEVMRLNKLGSFSMAQLGQSKSPFFQLFKRNKKDSSGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAVSYVPALKGADITYNDPVLFLDTGIWHPLAPTMYDDVKEYLNWYGTRRDANDRLKNPEAPVIGLVLQRSHIVTGDDGHYVAVIMELEARGAKVIPIFAGGLDFSGPIERYLVDPITKKPFVNAVVSLTGFALVGGPARQDHPKAIASLMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRSGKSHALHKRVEQLCTRAIRWAQLKRKTKMDKKLAITVFSFPPDKGNVGTAAYLNVFSSIYSVLKDLKKDGYNVEGLPETPEELIEEVIHDKEAQFNSPNLNVVYRMNVREYQALTPYANMLEENWGKPPGHLNSDGENLLVYGKQYGNIFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDACFPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELIASYQSLKDTGRGNQIVSSIISTAKQCNLDKDVALPDEGEELPANERDLVVGKVYGKLMEIESRLLPCGLHVIGEPPTAVEAVATLVNIAALDRPEENIFSLPGILAATVGRTIEDVYRGSDKGILADVELLKQITEASRGAVGAFVEKTTNSKGQVVDVKSKLSSILGFGLSEPWVEYLSQTKFIRADRDKLRTLFGFLGECLKLIVADNELGALKTALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTAAAMKSAKIVVERLLERQKADNGGKYPETIALVLWGTDNIKTYGESLAQVMWMLGVEPVTDGLGRVNRVEPVSIEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPIEMNYVRKHAMEQAEELGVSVREAATRIFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDSDAPGVGMLEKRKTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPRWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIEDEEMRKRLMDTNPNSFRKLLQTFLEANGRGYWETSEDNLERLRELYSEVEDKIEGIDR >SECCE6Rv1G0452630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:878678233:878680534:1 gene:SECCE6Rv1G0452630 transcript:SECCE6Rv1G0452630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFVARRRKPELVLPAQATPHETLALSDVDDDIDLRFLQPAIEFFRAVDHGPGRPATAAKVVKAALADALVHYYPLAGRLREAAGGKLAVECTGEGVVFVEAEVDVSMDDLGKPSPLPPYPCVKELLCEVFDPRVVIGVPLFFMQVTQLRCGGFVIGVHICHNLADGYGTTQFLKCIADLARTGGDASQIVSPVWNREILTARIPPHINPEFVRFLQRLGSNGDDVMLSTPPEEMVVRFFLFGPEDIAALRGHHAPAHLSPPATSFELLTAVMWRCRTVALGYEHHQRVGLMFSMNVRGGGKCHGLVPHGFYGNALFYPVADTTAGELSGNPLGYTLGLIREAKRNMTNDNMESMVDFMASLRGRPPLTIDKMYEVSDMKWIGQEGLDFQWAKQVGGGLPMVGDISFDSVSCHMRCRNGKGQDVIVINMILPGPAMDKFEKEIAVWVCNGQDEK >SECCE1Rv1G0037310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:521204234:521206676:1 gene:SECCE1Rv1G0037310 transcript:SECCE1Rv1G0037310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPVGRGGDGGRKKRKQGGFKTMPFILVNEVCDRFATAGFGANLITYLTQQLHLPLVEASNTLTNFGGTSSLTPILGALAADSFAGRFWTIVAGSVFYQLGMLGLVVSALLPSLRPGPCSPPAVPCRRANGLQLAVLYVSLLFTSLGSGGIRPCVVAFGADQFDQQEEQDEEEHRGGGGTEAVAGQKRQYFNLYFFTMGFAVLLALTVVVYIQENVGWGWGFGIPAIGMLVSIVVFVVGYPLYVRLKPGGSPFTRLAQVVAAAYKKRRAPLPEDPRMLYQDKELDALISTNGRLLHTNQLTFFDRAAIVTPGDNAGSGKLDLWRLSTVHRVEELKSIVRMLPIWSAGILLVTAGSHNNSFTIQQARTMDRHVTQHFQIPPATMSIFTTTAMLVTLGLYDRAFVPLARRFTGLPSGITYFQRMAVGLAISILSVATAALVEAKRRGAAADHGLLDTPGTVVPMSVFWLVPQYAIHGVAEGFSSVGHMEFLYDQSPESMRSTAAALFWLSASLGSYMGTVLVTAVQSATRGSGEWLQDNINRGRLDAYYWLVTCLMVLNLGYYLLCFHFYTMKPLEVADDDGDHEKECELSSVHKNGAAGGLA >SECCE5Rv1G0304300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:57162903:57163991:1 gene:SECCE5Rv1G0304300 transcript:SECCE5Rv1G0304300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGSSFWIKSRRPQPSDVHIAYCMDPISMGVRQSFSFHDETPSSSFCHGLSTKFGYRYFGTANGLIALQVSNLVSKRFSGCLLVNPASKIEATLVFMEFDSRHRFCGFGYDPSAKNFKILMVHNGTIMVKSLGTQPRSILPSDNITELDPSICLDSLVYMLEAGGHDNRIRRLTVFNLQEETSIKVDLPPEALHSGLMQLSGSICVASRADTNTDVVLGEDLGAVLGSWQKKAGLVFCWGYEPTFISPLEFFKSSRDDHQELVYFVAGGRAVAPRLEFVRRLAEALSG >SECCE2Rv1G0118660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:784910951:784916024:1 gene:SECCE2Rv1G0118660 transcript:SECCE2Rv1G0118660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSSRLCVKNLPKGADEKRLREVFSRKGEVTDAKVIRTKDGKSRHLAFIGFRTNEEAAEALNYFNNTYIDTSKITCEIARKIGDPDAPRPWSRHSLKKPEYNAKDKTNSGDVNAPLKSSKSQGKSADVGASKGTIANDPKFQEFLQVMQPRSKAKMWANDTTGTLDDAAKDSTVATGSKKSQKSENDSSSENDSSSDDVFEEKITNDLPSQDASEKLQTGSKRDSSMTDMDFFKSKIRKDWSDSESDDEDSGDQLGNNTDDEEPSDELLDANEKGQMVDQKGNLNQKNHEDKKAPMQGSDTQEVEDSDNQDGEHIDSQQKDENNANQETDDVEAASTTDEKKLALETGRLYICNLPYATTEEDLVQLCSQYGDVEQAHIVVDKNTKLSTGRGYVLFSLPDSAVRALDELDNLSFQGRLLRVKAAKPLNNKAFESSHFAVDEKMNLKERRLEQKKTSEIGGDTRAWNSFYMRQDTVVENIARKNGISKSELLHREADDLAVRIALGETHVIAETKKYLSRSGINVDALEEHTSKRNEKLKRSNHVILVKNLPYSSSEEDLAAMFQKHGSLDKIILPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPENILSPTSTTMEEDETNTAGERIITKAIVDQTKEGVSAEEIDPDRVESRSVFVKNLNFKTTDELLKQHFSTKLKTGSLKSATVKKHIKKGKNVSMGFGFAEFDSVETATSVCKDLQGTVLDGHALILQLCHGRKDGQTAKKNEKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQVKTLRLPMKFGSHRGFAFVEFVTKQEAQNALQALASTHLYGRHLVIERAKEGETLEELRARTAAQFVDEQSGFQRMSKKRKQTSLVDEGSVKFSRIVE >SECCE6Rv1G0406460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:544529000:544529583:1 gene:SECCE6Rv1G0406460 transcript:SECCE6Rv1G0406460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVARQGRELQRYSASTGGRIVVGCIPYRVRCDGGDEGELEVLVISSQKGHGMMFPKGGWELDESMDDAARREALEEAGVSGDMGKVLGCWHYQSRRYQTTYEGIMYPLRVTDELQQWPEMASRKRTWATVQQVMEGCQHCWMREALQELVSRHAKPQSAL >SECCE2Rv1G0111610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:702424331:702424842:-1 gene:SECCE2Rv1G0111610 transcript:SECCE2Rv1G0111610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSASHSSWTQYGSLPMERCPDCPCSAPLIQLTSKEVKNGNYGREFVKCKSKPEEQIVKKCTHFEWLDDYVKQIQFNGAPTRELNLPSATMNLVSESAALTVGDVYLKGEINKMNKNLKQMIQLNKQANLIALGFYPFYFL >SECCE2Rv1G0135760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908440728:908444061:1 gene:SECCE2Rv1G0135760 transcript:SECCE2Rv1G0135760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLYKANRPIVVDTQLCGTPSYCLMAIVKPSGELLRLLTFLICLLHHCSARYDVESVIGTRSVLSANLKLVGGKTEFGPDVKRLDLTARLETDSRLRVRIIDADHPRWEVPQDIIPRPTSVSEDVLLGSPSMNNATLPSSATISKVSSDLSFTIHTNPFRFTVSRRSTGDILFDTSATLIFKDRYLEMTTALPAGRASLYGFGEHTKRTFRLQPNDTFTLWNEDLERSDMLDQNLYGSHPFYMDVRPGGNAHGVLLLNSNGMDILYGGSYITYKVIGGVLDFYFFAGPSPLAVVDQYTQFIGRPAPMPYWSFGFHQCRYGYKNVADLEEVVAGYAKAKIPLEGIWSDIDYMDGGQDFTLDPINFPANRLRPFVDRLHSNGQKYVVIIDPEIKRQATPNEDFFLKRNGTNVVGRVWPGEVYFPDFLNPRAVQYWAQKISEFRRTIPVDGLWCDMNEPSNFGTWQPLNALDDPPYHINNSGTHRPLNNQTVPVSTVHYNGVSEYDAHNLFGLLEARATHAALLKDTARRPFVLSRSTFPGAGRYAAHWTGDNSARWDELANSINTMLSFGLFGIPMIGADICGFRGNTSQELCSRWIQLGAFYPFARAHTERTTERRELYVWESTAQSARKAFGTRYRMLPYIYTLMYEAHTTGAPIARPLFFSYPQDPNTYGVDKQFLLGRGVLVSPVLQPGATTVDAYFPAGRWFSLYDHNYPLTVATRTGKHVTLRAPVDSANVHLAGGNILPLQQPGLTTSAARQGEFHLLVALAENGTANGELFLDDGESTEMGGVGGNWTLVRFSCDTAESKGIITTTVSSHVVHNSYTPSRAPVIGNVVFMGLQSPAKGFTIYVNNVELKAARTKSRTSGVFSVSGLSLAIGKEFQIKVVMSH >SECCE1Rv1G0062050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718381097:718381555:1 gene:SECCE1Rv1G0062050 transcript:SECCE1Rv1G0062050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHGGYTEHFVGRVSSLARRVSRATRRLLRHRLRYGVSASSSSRRRTRPVVDPAGSGSGAESRRQPAGDVAAAADGKGDAADAENEEDDGIWRRAILMGGRCQPLDFVGAIHYDSFGRRLARPPSAAPRCASSLSCRSSDSLATYLETTEV >SECCEUnv1G0528000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3106701:3113418:1 gene:SECCEUnv1G0528000 transcript:SECCEUnv1G0528000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLASTCKDKLAYFRIKELKDVLIHLSLPKHGKKQELVDRILALLSDDQAQWHLGRGRKNAPSKEAVVKIVDDIYSRKMQVHGPPDLVVSPAQNQLLPVATDFNRIIKAKKEQLGPDSGCLCGQSFVLGNVVKCEDCQVQQHIDCVLIPEKPAVGVRPEAPEHFFCQLCRLIRADPYWITTGNPLLPVRLITNDGMNVPQSVDRTFLLTRAEREIVQRVEYDIQVWCMLLNDKVQFRMHWPQNADLQVNGIQVRVVPRPSTQLLGINGRDDGPVITTFCREGQNKIVLSSDDARPFCFGIRIAKRRTVDQVLKLVPKEADGESFEDSLARVCRCLRGGNTTDDADSDSDLEVVADFFPVSLRCPNSGSRIRTAGRFKPCAHMGSFDLQTFVELNQRSRKWQCPTCLKNYSIESLIIDRYFNRIASLVRNCSEDVTEIDVKSDGSWRVKGDVEDIKLSLWHLPDGSLCELKQDTKPVAGDVKSETSKNGSRGNPGLNGLWEASKAVDIKPSKPMSSSHTGVYRDGDYLSVSECSTQIGEMYRVDDRPQQQLEDADVIVLSDSDDDNAVTVSPPAAYSDVGGLGFAPISAPGVAESYQEGGVVGGLGLDLFNDNSDIFDITSWSAQPQPEQGFNFFGADVLLGSHNSSDAAPSAYTLGCSSDTSMVRDPSTCHVRTRSLVDNLLPFGNDDSSLRIFLPIQPSGVPVQEERNGHDNMSNGVQPVDDDEDEDWISLTLAAGGGSNEQSEAADTVSTQAQIAVEERRMEPADDVLNPQAQMTVEETRMEPADDVLNPQAQIAVEETRIEPADTLNPQAPIAVEERTEPADTLSPQAQVTAEERRMEPADVVNPQAQIAVEERRMEPADTVNRQAQIALEERRMEPLSDAEGSPPSLNDDRRNKGNSKTRAEKIFSPPRQPRSVRPRLTTHQ >SECCE1Rv1G0030870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:435929320:435931746:1 gene:SECCE1Rv1G0030870 transcript:SECCE1Rv1G0030870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGGGGGLSDYLDRPNAIHRRTASLAIARSGDDGPRIMDGVGREGRRARSSRRLSLSSWLGPRSRPLPADDTESVDSRSREFEGSGSAKGKPSAWSSWKPVRALSRIGKRRAGCLFSIEVAAVRGVPASMDGLRLAVSVRKAETKDGAMQTMPARVSHDGTAEFDETLFVKCNLYFTGGPGTGKPLKLEPRRFVVSVVPVEVPDIRLGTYTVDVSSLVLDSLQKSSEGRRVRWFDRAFGLAGKATGGELLLRLGFQLMEDAGLRLYTQAAGRSSRDVSMSPSRARAHNKNSFSVASTPKLSTSDAAISPSMRAYRQLVDRLNVDKRPDDDELSTASGAGDVDYAIPDYEVVDKGVETVKEVVHFQDQRDVLRELDSIGEQIEAIEALMASGGRKSPMGAGQQPRLDADEEMVTVEFLRKLEAVDDDKFRKLKQPMTPRSSESQKRAPVVPDLGQSLGPAVQTRDGGFLVSMNPYNVPLASRDVPPVLAMQVSRPFVLPSAMAATGFDVLQKMAAAGGPDDVRDKVASLGRMESLTGKTPEQVGFEGIAEAVIGGRRTEGGASSSAARSVKLVRKLATALSEGRMERVATGIWSAGNDPETLDEVLAFSLQKLEAMAVDALMIQAEMADEEAPFEVAPAAGDANVFDALVPSDEWSDSRGGSDGRVTLVAAIQLRDPSRRYEAVGAPMIAVVQSARMLGAAGLSGGRFKVRSLHVGGVQTRCLAGVGGSASWRAERQKLTAMQWTLAHGPGRAAKRVQTPPSSQAARVRQQQRRPDIVWSLSSRVLAGMWLKTVRNPDVKISAAGST >SECCE4Rv1G0219530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:32091216:32097988:1 gene:SECCE4Rv1G0219530 transcript:SECCE4Rv1G0219530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLCASSSPSTPAIIAPRPRRLRHRTTTPSLPHSRSPLHHHHRHLLFAASSPPRPLARAPRLGAVVTAAEMVFSVTKKETTPYEGQKPGTSGLRKKVTVFQQPHYLANFVQSTFNALPADQVKGATIVVSGDGRYFSKDAVQIIAKMAAANGVRRVWVGQDSLLSTPAVSAIIRERISADGAKATGAFILTASHNPGGPTEDFGIKYNMGNGGPAPESVTDKIFSNTKTITEYLIAEDLPNVDISVIGVTSFTGPEGPFDVDVFDSATDYIKLMKTIFDFESIKKLLASPKFSFCFDGLHGVAGAYAKRMFVDELGASESSLLNCVPKEDFGGGHPDPNLTYAKELVDRMGLGKTSNVEPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVQSIPYFASGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNLGGDKLVTVEDIVLQHWATYGRHYYTRYDYENVDAEAAKDLMANLVKMQSSLSDVNKSIKEIQPAVADVVSADEFEYKDPVDGSVSKHQGIRYLFGDGSRLVFRLSGTGSVGATIRIYIEQYEKDSSKTGRESSDALSPLVDVALKLSKIKEYTGRSAPTVIT >SECCE1Rv1G0004950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:22428483:22429856:1 gene:SECCE1Rv1G0004950 transcript:SECCE1Rv1G0004950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEWPQYNSVVHNDLDPFMTSEHSHQLQHDNGDETYGLLGATTNVGMIDNTNVVAGGQGNNNGETYSEQRMETRRTNYQRLRREQIQQLEAVFREIPYPDEELRKTLSERLGMSAQQVKFWFQNHRSSRKGKTQRQETNNLQLENQMLKSERQAIMSAMENSTCLKCRGAVVQTQDTSERQRLFTENMKLKEELSLAATHLIEGLQQNGMLPRLTRN >SECCE7Rv1G0500770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:673683372:673690377:1 gene:SECCE7Rv1G0500770 transcript:SECCE7Rv1G0500770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNKPISLAGPVDADVQRTAELNKFLVEAGLYESADESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILVQTEEVTQLQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPSDLDISQEAVLYDIDEATVRSLTGCRVADQILRLVPNIESFRTTLRCLKHWARRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPTPVMLCAIEEEELGFPVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMIEQFQFGNKICQEIEMNKASWSALFEPFNFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGKLQCHPYPYEYADPSRQCAHCAFFMGLSRKEGVKIQEGQQFDIRGTVDEFRHEINMYMFWKPGMELAVSHVRRKEIPAYVFPEGYKRPRPQRHVNHQHQADKNDPGNGSPDSQLKRKHDSTGIDDTEPCQSVKRASISPVHPKTSSPRSGNVSDEPISNNQQKVTSNASGGSQDSPGSGNRDQAKCSSSSHASEKSLDSVASGSKCAKIEAVCSGDVTSKHVDCISPVKDSTAPTIAVSTTLKRVAEKVVLELVGSESIGGNNAELLQIAETDMGNVLVENLHFGGNGVSQSGLPEELELNNGIEVLSKAYAGVKSDGSQKSSLRVSLTTTA >SECCE5Rv1G0355510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731890634:731892702:1 gene:SECCE5Rv1G0355510 transcript:SECCE5Rv1G0355510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNSSAEKQRAQELVCVTGAGGFIGSWLVKELLLRGYRVRGTARDPADRKNVHLQTLEGADERLSLHRADVLDCDSLRAAFDGCHGVFHVASPVSNDVELMQVAVEGTRNVVNAAADMGVRRLVFTSTYGAVHMNPNRSPDTVLDETCWSDYEFCKQTGNFYCCAKMMAEKAATEEASRRGLQLVVVVPPMTIGPMLQPSLNGSNYHVAKYLMGTKKAYPNAVAIYADVRDVVRAHLLVYEHQHARGRYVCFGTALHRSCFLQLLRGLFPRYPITSKCEGNDKPMVSPYRFSNQRLEDLGLEFTDMTKTLYETVICLQQKGHVPYQCLIMPYRRSSL >SECCE3Rv1G0192620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:761242675:761247025:1 gene:SECCE3Rv1G0192620 transcript:SECCE3Rv1G0192620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGVSLVRRALEAVRRTPRWQKRLVVLTVGAGTLSYACQDNRVLQISDGTGGKKKVVILGTGWAGASFLRNIDTSLYDVHVVSPRNYFMFTPLLPSVTCGTVEARSIVEPIRNIVRKRGGAFRFWEAECYKIDPTSKKIHCKSGDGINADANGEFVVDYDYLVVTVGARPNTFNTPGVSENCHFLKEVEDAQKIRKSVMKCFEKAALPNLTDEERKKNVHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYVNISVIEAGEHILTMFDKRITNFAEDKFKRTGIELKTNFKVVKVSDKTITMSNPNTGEIAVPYGLAVWSTGIGTRPIIMDFMKQVGQANRRVLATDEWLRVLGCDNIYALGDCATITQRKVMEDVDSIFRVADKDNSGTLSVKKIKNVLGDIYQRYPQVELYLKTNQMKGFHDLLKDKETEELNIEEFKKALAQVDSQVKMLPATAQVAAQEGAYLADCFNRMNTCEENPEGPLRIRGAGRHRFKPFRYRHLGQFAPLGGEQTAAQLPGDWVHVGHSTQWLWYSVYASKQFSWRTRMLVVTDWGRRFLFGRDSSSL >SECCE3Rv1G0211750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953201048:953202423:-1 gene:SECCE3Rv1G0211750 transcript:SECCE3Rv1G0211750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPQVILGVSRSQVCARMEHSGMDRDMVEFGYNLMLYCVYAYIPDPPVSPAAATLSLSATPSAPDGVDRISRLPEKVLRDIVSRLPAKDAARTAALASRWRSLWRSAPLTLVDSHLLPDGGASGPFVIGAPSPRAVTAAVSSALAAHPGPFRCVHLTCSTMDEHRGEMARWIDTLVAKGVQELVFVNRPWPIDLRLPATLFRCASLTRLCLGVFRLPDTAAVPRGARFPNLRELSLCLNTMEDRDLAFLLERSPVLEFLFIMGNQAGGVRLRLISHSLRCVQLGFSFSEDIDVVDAPRLERLFQFGELAHSHRMNNATHPASSSRIKIGRAPNLRVLGYLESGVQDLGISNTVVVAGRKENIVPSVQILAVEVQFGVRNVVKKVPGFLRCLPNVETLHVQSRRISI >SECCE7Rv1G0518560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859598447:859598968:-1 gene:SECCE7Rv1G0518560 transcript:SECCE7Rv1G0518560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVPLFLVLVLVVPSTAVSQQETTTHIKVYWHDVYSRPSPTAVEVARAKSTNSSKTLFGGVYVIDDALTDGPDLNSSSLVGRAQGMYVSSGKDELAVLMNMNFIFTAGGRYNDSSVAIMGRNSAASGAVREMPVIGGTGIFRWARGYALATTYAFDISSGDATVEYNIFVLH >SECCE4Rv1G0289530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:865998489:865999515:-1 gene:SECCE4Rv1G0289530 transcript:SECCE4Rv1G0289530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPILNQLDLFALALPENLFDHYSLGGIFLGCRDGIALVLDCARHQAIIWEPTTGRKHHVAFPLAASHGFDTSVVSAAVMCSSRDINQHLHGDCCLNTSKLVLVCHEDLGNQTKASVCLYDSKSNIWGNIISIMDTCQIANAARPSIMVRNALYWLLSGANILEFDFERQTLVVIEKPTTYAHVTGSSVDMSFQILRGEDNCLGLAVLSKLKLIIQLWGRKSNGDGTVSWLLQKCVQLDELFSRPARRKNLILLAGYDEDTNAIFMSSDSHDFILQLESVQFRYIGSRECTHFRMYHPYTNFYIAGRQDICQ >SECCE5Rv1G0354790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:726820145:726826031:1 gene:SECCE5Rv1G0354790 transcript:SECCE5Rv1G0354790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNLPSLSLRISPPAGSSTASSGPPAAVGKPLRSTEPAAGTDAEGSGEVGFFANPSSGADPPGLSLGLGTPTVRADPGRPQAPQGCSFKRAAPGRASLPGGSKRSVRAPRMRWTTALHARFMHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKGTDRSSHIATGEQQMVVLDAGGRGCGGGGGVVATLPACDVDMAGFCGAPAAAAASAPLAATTSSAAHFLCASATGAAAAAAAPLVALVPSPPPPPIPPRRADHAAVALEKGVAIVDPLHRCQKLDYSAALQDTPQEAKEEAAGHLPMGVHGSVEGPISGNYCSSPASSSPSLASFELLADDTFAPNLEISLGRHDWGMEHPEELSLKYL >SECCE7Rv1G0479650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:257178553:257187568:1 gene:SECCE7Rv1G0479650 transcript:SECCE7Rv1G0479650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSPYLPPPPPLRLPPTDPTRQTGKLPRDPTPPPTSSSASPREGIADAAMASTSSKYAKHRRIGEEEEEEEEEAEEELGRFDDFTIASSWERFISEIEAICRQWLADGPKILMQKGAETVPSFENLYMVKRELKHGKRVYCMEYHFRKSAKGKYSYWDDDTHSTQLSFGVDEFLIIAPLSASGVVLDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAYIGIQNMGTVFTRRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSSTDFPAKVKLNFSMKLTYRTPEHDYDHEETLDSEATESIPESEVASKPRKQWDDDCPWAEWYSAEDPVKGFELTTIWGEKMFEESFEMAEVENASSFDADSWLLQPVVSPYMVDDSIGKFVGFASQLHLLVNAFESSADAQFLEDFVAADNSGQDNSKSSVAVPPPSVIDRVMKDLFNDEVGNSNYVEAENKYGRALKGAPSDSLFAQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESERLPRMKTTSSIDLSACVIHQKLQMLAICIERKKSLNRKKDTDDAHKERSSNSMAPDKIRKGSAGVVPSMMLINTFQEMHAPYTQDAPLMTEDMHEERLHAAEAFGNAVGLSGQLERDILSSDMSAFKAANPDSAFEDFIRWHSPGDWVSEDKSDGNPAWPPKGRLSQRMSEHGNMWRKIWNDAPALPVSEQKSLLDSVREGEKVVHYLETLRPQQLLEQMVCTAFKSSADILNKTAYGGFKLMKTKMDQLYATMVSTLRSLQGKSDTSDLAGDLKRLCQVFEHIEKLLIFAASVHRKLTDAPRLAQSIFTDYFNYYLPKMGTSLESICYEKEFTTKEKVGMLEREAVSSLFRPPTANQSWRKVLSMGNLLNGHEPIQREIVFSVLEKLSNGHYSSPTPLSTDEQIETHRMYISGTSNDLWVALSVTSWD >SECCE7Rv1G0458890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23467858:23470312:1 gene:SECCE7Rv1G0458890 transcript:SECCE7Rv1G0458890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRGRAPVPQQGAGPSPPADTALSSREVTTVERTSKNACPASASTSLPLSGPHVCADLLDSLLQEILLHEIIALFDSFHDFLAFIGTCRSWRAAVSTFPYVHTFSFPPLHFKPDGPYVHPHNGPIKPILLSNCKWQLSDPGKKNSSLACSVPKSIPNKKMHYLGCSCGYLIFSYKEHCLLVDVYTGTKVTPPKLPRNNDLGYFCGIGILTAPLISPNSRLLLFSRDSMFEWQVGTNSWSEHTLTLDGELIYQIVFFKGDIFVVDAVLRLHTIHLKPQFSMQELAIKKEFVPINSWLVVCGDMLLLIDQGFSSGGLGGSSEAIFRVSRLNFSVEPAKWVKLDKLDNYALFVSPDRRNPTFCCMSPERWGGKSNCIYFARLTEDPDETWTAVKFGQPVPNSAVRSMLFYDISSPPDCGVLSSLWVFPSLVYGSG >SECCE5Rv1G0367860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:824680417:824681865:-1 gene:SECCE5Rv1G0367860 transcript:SECCE5Rv1G0367860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVINHGVPEQVRRDMEAARSSSRCRRADTEAFYSDDNNKPNRFFSGSTYKTGGAKFWFDCLRLSSTFPISNNKNEWPEKPQRLREVFERFAVLTRGMGMELLQLLSEGMGLRSDYFEGPLGGGNMTMNLNHYPPCRDPNSIGLPPHCDRNLLCLLLPSTVPGLQFSYKGSWFNVETKPNAYVVNFGLPLQVVTNGVLKSIEHRVVTNSTHARRSVGVFITPTWECLIRPAEEFLSKENPAVYKAITFREFYDMHSVVKDGLSSVLTINQKSTNETTH >SECCE1Rv1G0060400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710109802:710110068:1 gene:SECCE1Rv1G0060400 transcript:SECCE1Rv1G0060400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRKHIVYPLVYRLLKLALVLPVATATVERCFSAMRIVKTYLRNRLGDDALKYDLICYVEKSEMRKVTNDAVIDRFEAMKRRRKPF >SECCE2Rv1G0071090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:40259147:40259626:-1 gene:SECCE2Rv1G0071090 transcript:SECCE2Rv1G0071090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVSASAGGGGKIVLPDGSVRALGEPVAVAELMVEHPRHFVVDARLAAAGGAKVAALPADHLLDGAGVYVVLPATRGRVSADEARRALTASRLLAQCRSMPVSAHEQRDEAAPLLGGLGDHRPESLSQELTRSRPWKPSLKTIEERVLPRKVPHWLF >SECCE3Rv1G0210920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946406167:946411243:-1 gene:SECCE3Rv1G0210920 transcript:SECCE3Rv1G0210920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPALPNPSPLLDDPLPPEPKPRRDTKVWKPKLRDPPPAAQDPDEDAQDPEEPEPEQDEGPEPPPPLPTDAIEPTPSGEEEVTDDTSSVSSTATAAASEAAAGGKAERPFPAATDLLHISYNQDYGCFAAGTKTGFRIYNCDPFREIFRRDLGPSPAAAAAAATPDNDQAVHQPPAVAGGGGGGIGVVEMLFRCNILALVGGGDAPHYPPNKVMIWDDHQSRCIGELSFKSPVRGVRLRRDRIVVVLENKIFVYNFADLKLVQQIETAPNPKGLCSVSQQPGSIVLVCPGAQKGQIRVEHYGARKTKFINAHASRVACFALSQDGRLIATASTKGTLVRIFNAAEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGLTTNDKPLPAPDADVPHMSPSFSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMQQLECHNFLKPSDQP >SECCE4Rv1G0271830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:764127150:764127413:-1 gene:SECCE4Rv1G0271830 transcript:SECCE4Rv1G0271830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERFFTALVFCEALLDGYGTSVLTAGGMNRLVVSRGSATTPAAVASRVDAEKEQGSFSSKPMAQRRAGFKLAFDGLNCFDTVVMH >SECCE6Rv1G0405800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:536146020:536149186:1 gene:SECCE6Rv1G0405800 transcript:SECCE6Rv1G0405800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSTKDGGEDGSRAVGAPSGRDAGKSLTAQLKDMVLKFSGSGKHYKATGSPSLRGSRFHRSSRLAAYPGIIDDSGFTSDGAGEAYSYTRTTSSAAAPSTTWDVPKINHGFQPHARNPSASWIPSIGEAEEEDEDDDEGTVVLEEDRVPREWTAQVEPGVHITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFRQQGLSTPSSSIDDAMLRESFYSRAGSTRESPVVLPPPAAASRERPITRTTSYKAACHPSTAVPDPSDHVWAHHFNMLNSGASSMPSSAGAPAPYDPSRATTSSVDEASVSVSNASDLEATEWVEQDEPGVHITIRELGDGTRELRRVRFSRERFGEERAKVWWEQNRDRIHTQYL >SECCE7Rv1G0507400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:761585934:761586941:-1 gene:SECCE7Rv1G0507400 transcript:SECCE7Rv1G0507400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSADPTAQPYVVEDCRGVLQLLSDGTVVRPAALPFPVDGNVAYNDHGRVEWKDAVYDAGLGLGLRMYKPTKNAREEGKKLPVLVYFHGGGFCIGSCTWPNFHAGCLRLAAELPAVVLSFDYRLAPEHRLPAAHEDAAAALLWLQNQLASDPWLADAADPRRVFVSGESAGGNIAHHLALRFGKAGLDPMRIAGYILLMPAFCSEQPTQSELDSPATAFLNRETCDRYCRLFLPAGANKDHPLVNPFGPDSPSLETLDVGRVLVVAAEGDLLRDKNVEYAERLRAARGKENDDVELVVFAGEEHAFFGVKPTSAATGELVRVIRRFMATETEAA >SECCE1Rv1G0004820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:21311578:21312456:-1 gene:SECCE1Rv1G0004820 transcript:SECCE1Rv1G0004820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANKSLVAAQTSHQSAARNAMASSSSSPLLMLLLLPLLLAMARPCSAVDAIGTYCAKNGTSAETQASIDQVLATLVPRASAAYYATATAGRSSSAVWGLAQCRGDVPRQDCSLCLAAAAKEVASSCRGSSDGRVFYDYCLLRYSTSNFIGLADTGYTLILLNTQNATGVDLAAFDRAQGKLMSRVASEAGDAQSKGLATDTTRLGSDGGGAKTTIYGLGWCTRDITAADCGLCVAQAVAELPNYCRYRRGCRVIYSSCMARYEVYPFFFPLDGADKSADELAQCDKIVLNA >SECCE2Rv1G0085290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:188757375:188760887:-1 gene:SECCE2Rv1G0085290 transcript:SECCE2Rv1G0085290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASGRTATAGAAALLPLVLLLLQASGGAGAGADCRFPAVFNFGDSNSDTGGLSAAFGAAPPPNGRTFFGMPAGRYCDGRLVIDFIAENLGIPYLSAYLNSVGSNFSQGANFATAGSTISRQNTSLFLSGFSPISLDVQSWEFEQFINRSQFVYNNKGGIYRELLPKAEYFSQALYTFDIGQNDITAGYFANMTTDQVIASIPELMERITSIIQSVHGLGGRNFWIHSTGPIGCLPYALVRRPDIAAVKDKVGCSVSYNKAAQLFNQRLKETVAGLRKTYPDSAFTYVDVYAAKYKLISQASKLGFDDPLLTCCGHDAGPYNFDPKVGCGGKVLVKGKWVVLGKSCDDPSRRVSWDGVHFTEAANKFVFDQIVGGGLSDPPVPLRQACRSKGQ >SECCE5Rv1G0298890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14279253:14286838:1 gene:SECCE5Rv1G0298890 transcript:SECCE5Rv1G0298890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSPSSSSSGVARALAHHHQAVLGFLLGLFVSLVLYTTVSGQFRSTNTIVVLQSTPAERTGQIATTSPPVSSASLAPNNSTQVHDDIENGQTGEVIKTTGKEDFEDKNVVHATDMNNKTGNGQADKTDQLGQPANDASDKGYLIRQDGGDGVKHGAPAKPICDLSDPRYDICEISGDARAIGVNRTVLYVPPAEERDTDGQEWAIKDQSRKGLVDIIEVNVKTLSAAQSLVAPECTSRHAVPAVVFAMNGLTSNPWHDFGDVLIPLFITSRAYDGEVQFLVTEIQPWFVDKYRLILTNLSRYDVVDFNKDAGIRCYPHITVGLRSHRDLGIDPARTPRNYTMLDFRLYIRDIFSLPSDGQGNPYKEATRKKATGGIDDSGTGTEKQKPRLMLINRSGNRKFVNVPEISAAAEAAGFEVLVEEPSLDVRLEEFSRVVDSCDVLMGAHGAALTSFFFLRTGAAMLQVVPWGVDTAMRYFGVQAKEMMLQDVEYNIAAEESTLYENYGKDHPMISDPESIHKQGWELTRQYFWLEQDIRINVTRFAPTLHQLLQTLGQ >SECCE5Rv1G0364740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802806554:802809475:1 gene:SECCE5Rv1G0364740 transcript:SECCE5Rv1G0364740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAPLAFSASASALSARLRLAAPAPPSCAATTRRRRPVVVRAKIREIFMPALSSTMTEGKIVSWAAAEGDRVAKGDAVVVVESDKADMDVETFYDGIVAAVLVPAGESAPVGAPIALLAESEEDVALAVAQAKALSSGQPQQQAPAPSDADASPPPPAAPVAAAPAPVAAGTKGVATPQAKKLAKQHRVDLAKVTGTGQYGRITPADVEAAAGIQPKTKVAPTPAAAPVAAASSVRAVPQAAVLPPVPGATVVPFTAMQAAVSKNMVESLSVPAFRVGYPILTDKLDALYEKVKPKGVTMTVLLAKAAAMALAQHPVVNASCRDGTSFTYNSSINIAVAVSIDGGLITPVLEQADKLDIYLLSQKWKELVKKARAKQLQPNEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKSTVVADKDGYFSVKSKMMVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTL >SECCE5Rv1G0338570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:599080606:599082030:-1 gene:SECCE5Rv1G0338570 transcript:SECCE5Rv1G0338570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDVATVFLEMSLGTRLAVSFPASSTTVADLKRIVSHEHAACFPHLSQIAVQSIKVDQGGSRFHLADSMAVRDAFRFHGINELWHLQVDASLQVDQGMVTQTQGHSGGVNSANHSAPAPGRGHEYEGSWSRRRSNSEMLPADQVEAEAEDKDSEQGTCKKRPRSRTHVGNTPIELSSDSSSSSSSESDEMDINTVHAPTPIRYAPTPQFVVELKKCHFVEKNGQYLNVPQAFSRAHGYAGRKKVLLRMGGESWTVNLKHGHNRSCGPRTSLRYGWHQFCVDNRLRVGETCFFRALPGEDGGGHHVLKVEVRRLDGSYAT >SECCE2Rv1G0077980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:103320104:103321594:-1 gene:SECCE2Rv1G0077980 transcript:SECCE2Rv1G0077980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSMGSAAAAAAPPPERLAARPHVVLLASPGAGHLTPLAELARRLVELHGFAATVVTFTNFSAPDQLACLPASVATAALPAVQMDDLPATARYGGGFVELTRRSLPNIRALVRSISDSSTAPLAALVPDFFCSAALPIAGELGVPGYLFVPSSLAYVALTRHIVELNEGAAPGEYRDLAVPLELPGGVSLLCDADLPEHQGYPQLVEWGRSYCLADGVLVNTFYEMEPATAEAFKQLQAVPEQGSGAFFFPPVFPVGPSVRGPESDRREPTAGALSPCLEWLDRQPAGSVVYVAFGSAGALSVEQTAELAAGLEASGQRFLWVVRTPSTDGSRCGAGGDDPLAWLPEGFLARTSGRGLAVAAWAPQARVLAHPATAAFVSHCGWNSTLESVGCGVPMLAWPLYAEQRMNAVLLEEMLGVALRVPPSREDGRCLVMRHEIAKAVKELVEGDQKVRRRVRDLQKAAARAWLPEGPSRRALEEVAVKWKAALGTAK >SECCE7Rv1G0509000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:776708683:776711994:1 gene:SECCE7Rv1G0509000 transcript:SECCE7Rv1G0509000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSLWGALGQASTMAQLVGVDALGLVSMVVQAALVARRHRDACVRLAQHVELVGGLLGELELAELMRREATRRPLEQLGSALRRCYALVTACQDCDYLRRLLLGTRMADELRAAQHEIDMFIRLIPLIALVDNSTANNHRAKAGEGVLTVVTDGSNPHIRFPTKPLEFTKIRIQGATELCNAGEQPFVGKVDLREKNIFDTEELVEICTRMEEACAGFTRFDFCQIMDAADNFSEKIIVGLGGFGTVYKGQLPGGLNVAIKRVDEHAAILDFNSELQLAKLHHTNVIRLLGWCIHGKERILVYEFMQNGSLDRYLCDRTKGPLLHWSRRFRIITGLTEGIFYLHKHSMFWLVHRDLKPHNVLLDCNMIPKIADFGSARALTSNVAEERTSRVVGTSGYKAPEYASRGVYSMKTDVFSFGVLVLVIISGRKNTILDKQGDAVGDLVRDAWRMWKDQRLHELVDPLLGDGYEVAEIIKCAQVALLCAQEDPADRPAMTDVAAMLNSESISLPMEPKQPTVLIHGCADRDTASTYMGESSRTIDITITTSATMSTRVRIILDPEV >SECCE1Rv1G0034890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:492805009:492808226:1 gene:SECCE1Rv1G0034890 transcript:SECCE1Rv1G0034890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETATQEELLRAVLEEQKLAAQGDEPVVEDDDDEEDEDDDDEDDKDDDAEGVDASGRSKQSRSEKKSRKAMLKLGMKTITGVSRVTVKKSKNILFVISKPDVFKSPASDTYVIFGEAKIEDLSSQLQSQAAEQFKAPDLSSVISNPEASTAAQEDDEDCDETGVEPKDIELVMTQAGVPRPKAVKALKGADGDIVSAIMELTN >SECCE4Rv1G0288760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861621611:861623398:1 gene:SECCE4Rv1G0288760 transcript:SECCE4Rv1G0288760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFFTLPFTATASACRLPLSKPVAGRSKQGRRSRLSCHCKCKAAAGSAGDDDPEVSRRLDRRDVLLGLTGVGAAGAINLGGLALAADDAAVLPATCVPVPITDQVISCVSADGFQCPGVYRPEDVVDFSALPPRNGPLRVRRPAHLVAADKEYVRKYEAGIQMMRDLDASGDPRSFKSQAAIHEAYCNFHYKVTPADIDFDVHFSSIFAPWHRMYIYFFERIIGELIGDSTFALPYWNWDAPDGMMLPPIFNNTSSPLYDAKRDQAHVNAVMDLNKGPGVDNELPLCSDDACVKENNLSVIYRQMAVDTALQFHGNKFCAGGTPGSPGSLENAAHTAVHIWVGGDMGLLGTAGRDPVFYSHHANVDRMWHLWTTTLGNQDFLGAGDGDDWRDTGFVFYDEKRRPVRIRVRDVLDASRLGYTYEEKETLEWQNKRPKPATGINRSTGPSVPAALSFPVALKKGRKEYVTVERPEEARASGGSGKKAPEVLVVDVTIDPCEYAKFDVLVNVPKGQEARVGPQDSEFAGSFENLPHGGGDGGGRGMGMLKLTYRFALRELVEDLGCGQDRRLDVTLIPRAGEMVVVDAVRVELCN >SECCE3Rv1G0165200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:170490957:170494847:1 gene:SECCE3Rv1G0165200 transcript:SECCE3Rv1G0165200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLASQVKRYCDCCKRYEGHLDGKMRCFRGCMTGTFRHGMVKPNKFIEHFGGNISRTIELESRNGSMYTVEVSKLMNETVLWRRGWEAFVDAHGVEENDSLLFRHIEKSRFEVLVLDSDDCEKVLPSAGIKVASCNVQERGSVDYIDISSSSGDDTTRSPGRQRFAACKRGDSSHPRKTAIINDSSSEEDSGEDTDAAEEHSEHELSFELDDVRQTPCAGRDYVLSQSGRLSGAQEERVTRLLQDVRAETTLFVAIMKISTQNRTTVIPKLFAEEHFPRESQNLTLQCPGKSKKWHPLFYIRKDRCGCRGRGSSSFSKKKKNVLIGSGWVGFLRDNGVQEGDLCVFQPVKGTGTRSKFTVHLLHKSLGASGSTGPKRRVGCTTTHGNTRVNSAAPERATTAHVHGATTMAKAAPPTPTTLRVNKQQDDGCNHGRQQACQHPKPARPYILSSTARLTEEQEREVDRTARAIGSRVPVYVSVMNRSSVGVGNGIYNVTISGAYAAEYLPAGERVSVTLVRKKKAWEVEMCARGCGRTLAQGWRGFARDNRLRVQDVCLFQPMEKNHDSLTMTVHIIPHSGKRQQ >SECCE4Rv1G0266330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:730806336:730807505:-1 gene:SECCE4Rv1G0266330 transcript:SECCE4Rv1G0266330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAARYAPWSDLLPELLSLVLKRLPSLVDRVRLRAVCHPWRSNCLLQPLPLPFPWLTLPDGTFLCIPGGEVHRMPAVPNGASCRGSIDNWLFLMSSDDACTLMNPFSKTTLELPNLASVWQRKVHNESDPTQLFYKLVVPSPLDLSPDSLVVALMMDEFNIGTLCISQPPMATYSLRGDRQPLYHPHDVAFIDGKLYVVSSIGKLFILEFCSNLGSNPNIKCVIESLGVCLEVPEHVSREKVCTFRLYLVECGGRLLMVERFIRHLGPLSRDNIFENMQTIWFVVAEADLHSEPCRWRVISELGGHALFLGKHGSKSLPARECSGSQEDCIYFVCDYAHPKYSMSPFHDCGVYNMRNGRITPLLSQTAAPAHDACQWRPTWVFHAGAV >SECCE2Rv1G0088500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:245878255:245881028:-1 gene:SECCE2Rv1G0088500 transcript:SECCE2Rv1G0088500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLQAFWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKISYPQQVAVACTGIVWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFADEKEVAASLEG >SECCE7Rv1G0460510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:32170950:32172709:1 gene:SECCE7Rv1G0460510 transcript:SECCE7Rv1G0460510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSIFIQWAMETLGQGQHAPVAGDAFSSSSLLELHHSAALQGGTDMAAHRYGATDSRSSGNSGAAMAMENDGWSSNCSTNYPAVSWNFTSGSVAAPRHGVPEPAHRSRPSRKSSPSSNGTASTGQEHVMAERKRREKLNRHFIELSTVIPGLKKMDKATILSDAVRYVKEQHEKLKAFEDRDARTIDSVVLVKRPCIGRPSPPPSAVARTSPSPTPAIKISLPEIDARILERNVMVRIHCEDGKGVLVTLLAEVEGLHLSITHTNVMSFRASTLIINLMAKVDEGFNITAEDIVCKLDSALRRCHHSGE >SECCE2Rv1G0089450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:257447503:257453145:-1 gene:SECCE2Rv1G0089450 transcript:SECCE2Rv1G0089450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEFGPHEKMTLEAELLQVLTAGDNVRMEEILSRQDHGAGQIDGQVAVNVHGAAPGRFLLGVASNGNTALHLVASRGHFELATLLCERAPSLVAARNKCLDTPLHCAARFGHADVAAVLLRRMRAGGAEEESALRARNQSGATALYEAVRHGRAGVVDLLLTEAPDLASVDADEGVSPLYLAAATDSVQMVRALLRPSQNGTPSPASFSGPEGRTALHAAAASSKEMAEAILSWEPEGPTLLTRVDSSGSTPLHFAVLYGRLDVVVLFLDGHASLGLANISDGRVTVVRHICRNDMFTMLWNATDSGGNTPLHLAAHHGYPKIVILLLETSVETSITNNDGLTAMDLAIRAIAPGRMYYFQDPRIIVRSCLGWLGAAVTLDGVHPLYLRNVYDKPTQEEASQKQDMITESRTIVSVLIATMAFGAAFTVPGGFVADDHGRAGTATLARRFAFRAFVVSDTMAFLCSLTATCFLIYGGAREIPWVHRRWYNLLATGLVPVGSQCMIAAFAFGFHLVLGSDNRWLIVLVYTLSLAAVLSSFPGIWAPFHLGLGKAIWRRAGWRGLINIHVFQSLRRPLFVLLISGTFVAAIILSIALPNY >SECCE7Rv1G0505050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:729735271:729737810:1 gene:SECCE7Rv1G0505050 transcript:SECCE7Rv1G0505050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g13770, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13770) UniProtKB/Swiss-Prot;Acc:Q9LIC3] MLMTRGFRRAAVPLLARREMCGSAARRTTASGLVAVAGAGARFHDYDAAITACVERRALKQGRQVHAHMVTARYRPPVYLATRLVIMYARCGALHDARNVLDGMPERNVVSWTAMISGYSQSGRHAEALELFILMLRTGCKPNEFTFATVLTSCSGPQSIHQVKQVHSLIAKTNFESHMFVGSSLLDMYAKAGNIQEARRVFDMLPERDTVSCTAIISGYAQLGLDDEALDLFRQLYSAGMQCNYVTFTTLLTSLSGLASLDYGKQVHGLILRKELPFFVVLQNSLIDMYSKCGKLLYSRRVFDHMPQRSAISWNAMLMGYGRHGIGHEVVQLFRTMTEEVKPDSVTLLAVLSGCSHGGLVDEGLDIFDLIVKEQNAVLSIGHYGCVIDLLGRSGRLQKALDLIQDMPFEPTPAIWGSLLGACRVHVNVSVGEVVAQKLLDMEPGNAGNYVILSNIYAAAGMWKDVFRVRNLMLEKTVTKEPGQSWIILDKVIHTFRSSDRFHPRKKDIDAKIKEIYVDIKAAGFVPDLSCVLHDVDDEQKERMLLGHSEKLAVTFGLMNTPPGLTIRVMKNLRICVDCHNFAKFVSKVYGREISLRDKNRFHLLTDGACTCGDYW >SECCE1Rv1G0001260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4335050:4336093:1 gene:SECCE1Rv1G0001260 transcript:SECCE1Rv1G0001260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLLLLIWRIKFKSCGFLPIYYGNQHSAGGIIAFRYIDLVRATKNFSEKLGGGGFGSVYKGVLSDPKTTIAVKRLDGAHQGEKQFRAEVSSVGLIQHINLVKLIGFCCEGDHRLLVYEHMLNGSLDGHLFKKTNDAAVVLNWNTRYEIALGVAKGVSYLHQSCHKCIIHCDIKPENILLDASFAPKVADFGLAAFVGRDFSRVLTTIRGTAGYLAPEWLSGVAITPKIDVYSFGMVLLEIISGRRNSSLETSYYTSSSSSYHNAQYFPMQAISKLHGGDVKSLVDPQLHGDFNLEEAERVCKVACWCIQDNEFDRPTMGEVVRVLEGLQKIDMPPMLRLLAALTER >SECCEUnv1G0551850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:240740840:240744275:1 gene:SECCEUnv1G0551850 transcript:SECCEUnv1G0551850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPATVASAVHQGLAHDGDVRSSPGCACPCRRGKASASTVAGNAGGDASRSSSPAPEAKAPALPRICIRDSAADTCDVLEASVSVAWPDEAATPRTNLAPPPRLRRPGKTISKGHRNYHLMLNLQLGIRHAVGKSAAKPMRELSRADFDPREKFWTRFPPAGSRTTPPHASSEFRWKDYCPMVFRHMRKLFAVDPADYMLAICGDAALRELSSPGKSGSFFYLTQDERFMIKTVKKSEVKLLIRMLPSYHKHVKRYNNSLITRFYGVHSVKPYGGHKVRFIVMGNLFCSEHRIHRRYDLKGSSYGRKSDRFEEETGDATTLKDLDLNFAFRMRRSLHKELHEQLRRDCAFLESEGIMDYSLLVGVHFCDDIVPASKMALSTFTTTSPELSANKLSACQSSVGIPEPCPSAKDLDKIADHHRKPLARLGAHLPARAERTSMSDIDPFLSGGGGFSSGRSKSGEAYDVILYFGIIDILRDYDISKRLEHAYKSLHTDPNSISAVDPRLYSQRFRDFMARTFIKEC >SECCEUnv1G0557500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:324125664:324127202:-1 gene:SECCEUnv1G0557500 transcript:SECCEUnv1G0557500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGAKRKGAKVMQLDGTSFRVKPPAAAADVLRDHPGFQLLEAEEVKLLGARARPLASDAPLRRGRLYFLVALPRRPAAGPPRRAWSGNLRVGARERLESLMLARRSTSDLSSFQGVASASAPASPLFAGAGGGGGTPVRLRMRLPKAQVEKLMGESRDAAEAAAKIMELCAAVGDGGCSAKVTPERPPGILRSPRFAATPEWGSAFMLPKPAPGAPPKTPLRWPTLPGTKEEKRARFVALPDELIA >SECCE7Rv1G0484390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343835100:343835813:1 gene:SECCE7Rv1G0484390 transcript:SECCE7Rv1G0484390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLRSASAPSSPRLSKPQVEQQLQSLTATISSPLATIDTTCEGLRKLADIYSCIEEMMCTPSNQVSLCRTLQRVAVEAELGRSLVVLDLCNAMQETLMELKMTVQELLLVLKRGEDATCQVKVYIRLAKKAQKQFKKISKKTASNKNDSRVVMLMAEAREITVSLLESTSCILSKQIEMPKRSLVSKTLQKSKVVCEEEQLRALECSIEDLESGVELLYRRLIHNRVSLLNALSL >SECCE4Rv1G0262920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:713514370:713515266:-1 gene:SECCE4Rv1G0262920 transcript:SECCE4Rv1G0262920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSSLLQLLVVAVAAAQFLGSEAGGISIYWGQNGGEGTLAETCATGNYKFVNIAFLSAFGNGQPPVLNLAGHCDPTNGGCTNLSSDIKSCQSHGVKVILSIGGGAGGYYLSSTQDAKNVATYLWNNFLGGKSSSRPLGDAILDGIDFDIEGGTPLHWDDLARFLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNAPCQYTSGSTSNLADAWKQWLTVPAKQIFLGLPASPQAAGSGFIPADDLKSDVLPLIKSTGKYGGIMLWSKYYDDQDGYSSSVKSDV >SECCE4Rv1G0217000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13925103:13931435:1 gene:SECCE4Rv1G0217000 transcript:SECCE4Rv1G0217000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGGGGGRRGGHGRESSGAQEGGRGRGGGPGRGLEAGGERGRGGHGHAPRGRAGEQEPHGGRGGDGRGRGRGGERGGHRDDAARGRGGRGASQPAAGGRGNVAVVGEHDQRYGGAGLGRAGQRVAAPTAAVDVLGAELEQKMVLSKAAGERPSSSSLAPVPVEEPRRVVMEPRVPAAPANLPPVSSKAEKFPARPGFGTIGRRCRVRANHFLVQVADKEIYHYDVAIDPETRSRGWNRSIINELIKLYKEHLDGRLPVYDGRKSLYTAGALPFKNKVFVVKLANAAKGNKREEEYKVTVKLASNLDMYSLRQFLAGRNRELPQDTIQALDIALRECPTTKYVSISRSFFSQSFGHGGAIGNGVECWRGYYQSLRLTQMGLSLNIDISATAFYKAQAVMEFALEYLNIRDASRPLIDQDRVKLKKALRGVRVEATHRTDKTIRYKITSVSSAPLKELMFDQDGVRVSVVQYFKKQYNYTLKYTNWPCLQAGSDSRPIYLPMEVCSIVGGQRYSRKLNERQVSSILKMACERPAQRESSVLEIVNRNNYGNDDYSKEFGMKVMNQLALVDARVLPAPRLKYHESGREKVCNPSVGQWNMINKRMVNGGSINHWACLTFASRLHPNDIGMFCRDLAHMCNSIGMEMNMEPCVNITQARRQDTVESAIRNIHRHSAEVLTKQGLEGKQLELLIIVLPDISGSYGKIKRLCETELGVITQCCLPKNVQKGGKQYLENLSLKINVKVGGRNTVLEDALYKRIPLLTDVPTIVFGADVTHPAAGEDASPSIAAVVASMDWPEVTKYKCLVSSQGHRDEIIADLYTETKDPQKGLVGGGMIRELLLSFYRATGCKPHRIIFYRDGVSEGQFSQVLLYEMDAIRKACATLQAGYLPPVTFVVVQKRHHTRLFPENHRARDLTDRSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPTHYHVLLDENRFSADALQTLTYSLCYTYARCTRSVSIVPPAYYAHLAAFRARYYMEDEFSDGGSSSATAARSAPARQLPKIRDSVKEFMFYC >SECCE1Rv1G0041980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:575625199:575626340:-1 gene:SECCE1Rv1G0041980 transcript:SECCE1Rv1G0041980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLVRELGEMEELVEEILTRLPPDEPGNLLRASLVCKPWRGFLSSEGFRRHRRHGRVLLSYKDDNQPIFVVWDPMTGGQMVLCRPEMSGASSWQASVLCAADSCSHVDCHSGPFVVVFVTLDEQEVVVTASAYSSETSTWCSPALTAIAPFEEEINFYGSPSVLVGDALYFLLFREQDDGVTEDSILKYDLGKSCLSEILVPEEEVQRASNNPVLMVGEDGRLGIAHLFFYGLSVRWRELDPDGVESWTRRIDIDVETILFPLGNISIPPELVGSVEGTGIIFVISKVGTYMFDLKSQSSKRLSSKLYQHPNVGSSLFPYVSLYYPPGTTFKA >SECCE2Rv1G0129510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:868725846:868729009:1 gene:SECCE2Rv1G0129510 transcript:SECCE2Rv1G0129510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATLAPVSPPLLPAARGRRDGRLRLSPRRAAGCRCRATAQFQGGPAASYAREMERLSAKESLLLAFRDSGGFEAFISGKTTEMQKIDVNERIVGLERLNPTPRPTTSPYLEGRWNIEWFGDSSPGSFASKLLFERSPTTVAHFTGLDVVIRDGYCKISSNVKLLNTIQNRFVVTTQLSVEGPIRMKEEYVEAFLETPKISEETLPEQLKGLLGQTAGALQQLPASIRDAVSEGVKLPLNGMYQRLFMISYLDEEILIIRDAAGAPDVLTKLEGPQPNPMENTPDVVIPEYQS >SECCE3Rv1G0183440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:650268940:650272147:-1 gene:SECCE3Rv1G0183440 transcript:SECCE3Rv1G0183440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRMKRKFDDDIFGNEFDTKSMKSMKISHFQVDEFEQPFALNSSYKDSQGEPEPTTQLAVQDINVTEFSGLHAVLGGTSVALLKDLISETVVPPNLEPDSLSNNDDGKSQSNVVNTGDKEFADEDVNSAAQDICAVNDREKSLGSNLDCSLLDIYNPDDAFPFLFDVPTGLLASYSTFCDEFVPIDSLIDMSGICGVFPLNESTVEGGISNGPCPSPGDMCFNNSGGECFSHSEVLEWLNPYMDEDDLPNLIDYTELSSDAACVSKEQGARKVTLVLDLDETLVHSTMEHCDDADFSFPVSFGMKEHVVYVRKRPHLHMFLQKMAEMFDVVIFTASQSVYADQLLDRLDPENTLFSKRFFRESCVFTESGYTKDLTVIEVDLAKVAIIDNTPQVFQLQVNNGIPIESWYNDPFDEGLPQLIPFLETLAVADDVRPIIAKRFGN >SECCE5Rv1G0299460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:17385031:17388686:-1 gene:SECCE5Rv1G0299460 transcript:SECCE5Rv1G0299460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAALLLAAAVAALACLALADPPATEQSALLAFLAAVPHERKLGWSATTPACAWVGVTCDAANSTVVKLRLPGIGLVGPIPPGTIGRLTNLQVLSLRANRVSGAIPDELLRLSALRALFLQDNAISGAIPPGVSGLPALERLVLSHNNLSGPIPFALAGLAALRALRLDGNRLSGKIPSIATPGLKAFNVSHNRLNGSIPRPLARFPADAFAGNLQLCGTPLPPCSPFFPSPAPAPGMGPSDGAPGGTTGKKKKLSTAAIVGIVVAAVAVALLLLLAIVCCCKRSRRGARAEGPPKGTAAAAGQAGGAPAASGDGTGTASSPKDDAGTSGSVAAAGAGAGAGEASRLVFVGKGSGYSFDLEDLLRASAEVLGKGSAGTSYKAVLEEGTTVVVKRLKEVAVARREFEAHMAVVGGVEHPNLLPVRAYYFSKDEKLLVYDYLPNGSLSAMLHGSRGSGRTPMDWDARMRSALSASRGLAHLHSEHKLAHGNVKSSNVLLRPDYDAAALSDFSLHPIYAPSSVRAGAGGYRAPEVVDTRRPTLKADVYSLGVLLLELLTGKSPTHASLQEGDGGTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGAGHGQTTTEESARATTSEEERSRGTPPADPTP >SECCE5Rv1G0321840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:411048425:411054282:1 gene:SECCE5Rv1G0321840 transcript:SECCE5Rv1G0321840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPEGMESFTNGNVRLLKHERSIVAEDDLDRRWQEATGEHVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGRPGWAAVPNPRIGPWFRLMQKVAADQGLVPEFEITLEATHHGPLTSTPTMFVEIGSTQEYWGRQDAAQAIALVLWKGLGLEKGNAVGTWLGSGEKVLLGIGGGHYAPRHTDIVIKDGVWVGHLLSGYSLPMDAPPQVNGKSSGEVGGMWKHSIKVSYEATKAGFPGGEVIAHLDQKSFKGWQKNAITSYLQEQNIRIGKPNDFLCTKT >SECCE4Rv1G0257620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:671153230:671157342:-1 gene:SECCE4Rv1G0257620 transcript:SECCE4Rv1G0257620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRSCSLSSWSAAGAAAVAARAPASPPPLPSSPATPPPKPGSRSMASSGAGAGAGRVRLAVVGDVHDDWILDDDTKALHFLQPDLVLFTGDYGNENVQLVKSISDLQFPKAAILGNHDCWRTHQFSEKKVDRVRLQLESLGEQHVGYQCLDFPTIKLSVVGGRPFSCGGDRLFRPKLLSQRYAVDDMAGSARKIYDAATAAPEDHSVILLAHNGPTGLGSRINDICGRDWVAGGGDHGDPDLEQAISDLQRETGVSIPLVVFGHMHKSLAYRGGLRKMIAFGANNQTLYLNGAVVPRVKPAEGRSPSTISTSERDELQESGSMGPTSRAFTTVDLFDGTVEKISEVWVLVSGARAELEEETVLYKRPREHM >SECCE6Rv1G0422320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689398243:689399307:-1 gene:SECCE6Rv1G0422320 transcript:SECCE6Rv1G0422320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPSSPASAVSGSPPPPPHVVEDCMGIVQLLSDGTVRRSLDYSHLPMLRHVPSDLPVQWKDVVYDAGHGLRLRMYRPTTAGRAEKKHPKLPVLVYFHGGGFCIASFEWPNFHASALRLAGELPALVLSADYRLAPEHRLPAAHRDAETVLSWLGDQAAAGTDAWLAECADFGRVFVCGDSAGGNMVHHVAARLGSVALALGHRVRVVGCVILWPYFGGEERTASEAEAEVMSPSSEFDPGRNFDQMWRLALPDGATRDHPAANPFGPESTPLDDVPFPPVLVAKAGRDRMRDRVAEYVARVRAMGKPVELAEFEGQGHGFFVFAPFGDASDELVRVLRQFVCTCTATRTSHG >SECCEUnv1G0555190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:297503136:297503949:-1 gene:SECCEUnv1G0555190 transcript:SECCEUnv1G0555190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEEEVKLLGTWASPFVLRAQLALSFKGVSFENVHEDLGNKSDLLLRSNPVHKAVPVLIHNGRPVCESLVIVQYVDEAFAGPSLLPADLHERAVARFWAAFIRDKLVAPWQKVFTAKTEEEKAESMEQTLAAVDALERGLKECPKGGSFFGGDNVGYVDVVLGGAVPWVYGTEALCGTRLFDAGRVPLLAAWLERFGALDAVKVVMADIPTRLVELAKMKQAEAAAAAAIGDC >SECCE5Rv1G0346330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660516474:660516896:-1 gene:SECCE5Rv1G0346330 transcript:SECCE5Rv1G0346330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLTQLAKKLQRLVAARGQETADTDGCCSTTSVADRGHCVVYTADGSRFVVPLAYLGTMVFRELLLMSQEEFGFTCDGKITLPCDASVMEYVLCLIRREASEEVEKAFLSSIVRPCYNASCLASSAGFYQQFSVCS >SECCE4Rv1G0277440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:803512888:803515220:1 gene:SECCE4Rv1G0277440 transcript:SECCE4Rv1G0277440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSSLAFVVASAVTGSAVSLVASAFCDLYFQRSDAFDIMPPDLSPGSRYIYTRAQNNDKAERAITTCSVLSALDAGRADPVVGRDDEIDRVVCILCRRTKNCAALVGAAGVGKTAIVEGLAQRIAAGNVPDALAGTRILELDMGAVVAGTCWRGMFEERLKDAIKHAEEAGGKVILFIDELHMIVGAGDKGGPMDAANILKPALARGRIRCVGATTCEEYHRYIQTDAALERRFQKVVVEEPSVQGTITILKGLKQRYQEHHGLKIQDDALVAAAQLAARYITGRQFPDKAIDLIDEACSTAKVHFDKQKMENNIISSIFAPKELTVGPDHIAQVVSRCTRIPLTTLGQEEKEKLVHLAEKLHERVVGQDEAVNLVAQAVLRSRVGFGQSSRPIGSFLFLGPLGVGKTELAKALAERIFDNEKTLIRFDMSEYAESGSVSRLIGGPRSYEDDGQLTEKVKRCPYSVVLFDQVDKADPSIFKVFIQLLDDGALTDGKGRVVDFKNTIIIMTSTLGTEHLTTRMSIENAVKAGRDLLMQQVKKRFKPELIDRLSEVVIFEPLSHDELKEIVKIKMKDVIATVANKGVSLCITDAALDVIWSESYHLVSGARPMRRWVEKNVTTVLSNMLVNGEACEGSTISIDAADVNRGLRYHVLKK >SECCE3Rv1G0210710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944987737:944988314:1 gene:SECCE3Rv1G0210710 transcript:SECCE3Rv1G0210710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGAQVLAAFTLGFLLMAFCAEARVCMSPSKLYKKSPCKNVGCTAACHKEHFKGGYCSSKKSIVGDELNEDNDDNFFRKPKPKPKWCICTFKCKSKVKAPPPPLDPEVPVPPAEPELPDPKKKPPPPYAREVSEPPSGEDKKKKRTAAADQ >SECCE6Rv1G0415470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:641945167:641953539:1 gene:SECCE6Rv1G0415470 transcript:SECCE6Rv1G0415470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPLLEPAGGKACEEACPQHGCSPAWLRRLIDTEEAWAQLQFAVPMVLTNMSYYGIPLVSVMFSGHLGDVHLAGATLGNSWATVTGYAFVTGMSGALETLCGQAYGAKLYRMLGLYLQSSLIMSAVVSVVIAVVWLFTEPLLLCLHQDPEVSRAAAVFIRYQIPGLFAFSFLQCLIRYLQTQSIVMPLVVCSVLPFVLHIALNHLLVNVLGFGLVGASAAISITLWFSCLMLLGYVMWSKEFSETWKGFSADALNYVLPTIKLATPSAIMVCLEYWAFELLVLIAGLLPNSTVSTSLIAMCSSTEAIAYMITYGFSAAVSTRVSNEIGAGNVEMAKNAVAVTLKMSVFLAFSFILLLGFGHDLWARLFSGSEVIVAEFAAISPLLMISIVLDSAQGVLSGVARGCGWQHLAAMTNLVAFYFIGMPLAMLFAFKLDFYTKGLWSGLICGLTCQTSTLVVITARTKWSKIVDAMQQEKANYIA >SECCE2Rv1G0141290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934814210:934816394:1 gene:SECCE2Rv1G0141290 transcript:SECCE2Rv1G0141290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDMSANLYGSVSRASYNAQPVQLWDKAAGKVASFTTRFEFGMSSGINDEVGMAFFLSGYPSSLPADGTATAYSLGLTNQNADAVASGDSRFVAVAFDTFNNAMVSDPTASYDYDHIGIYVNSLRSVSTLNLRGSTLTSGTLFQTVIEYDNVSSVLAVTLWDQDQQSYNLISKVDLKSALPEQVSIGFSASTSSGEVYLNSWSFNSSLELRQAPHSPAGGVIAGAAVGATVSLVLIFAAVAALVVRRRRRKIMETEEYYYTDSEGEGEPMSEIEMGTGPRRFPYHELMEATRNFAAEEKLGQGGFGAVYQGYLREPAGLAVAIKRLQSSIQGKKEYKSEVKVISRLRHRNLVQLLGWCHGHDQELLLVYELMPNRSLDIHLHGKQGTFLTWPMRMKILLELGSALLYLHEEWEQCVLHRDIKPSNVMLDECFGAKLGGFGLARLVDHAVGMQTMTAISGTPGYLDPECVNTGRASAESDVYSFGVVLLEVACGRRPMSITPADQDKQKNGGVFRLVEWVWGLYGRGSILEATDERLNGDYDAAEVETVMVVGLWCAHPNPSVRPSIRTAMATLQPKVDQAYQLPVLPSKMPVPMYEPSPPLSCRANVVGMSSSSSHTVGMSSSTLSSMALHTSCTTTSDASTSTNGSKTSSSLLKHQYQQ >SECCE6Rv1G0378620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9522648:9523448:1 gene:SECCE6Rv1G0378620 transcript:SECCE6Rv1G0378620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMSLSSSTFAGKAVKNVPSLALFGEARVTMRKTATKAKQVSSGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLAEDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVSNNAWAFATNFVPGK >SECCE4Rv1G0291060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875349823:875351869:1 gene:SECCE4Rv1G0291060 transcript:SECCE4Rv1G0291060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALTLLEAMRAPRAEGPATVLAIGTATPTNCVYQADYPEYYFRITKSDHLTDIKQKFKKICDKSMIRKRHMLLNKEIIAKNPTLCEFNMPSLDARQDILHSEIPKLGMAAAQKAVKEWGQPLSRITHLVFCTRQAVDMPGADYQLAMMLGLHLSVRRVMLYQQGCFAGGTVLRVAKDLAENNHGARVLVVCSEITAATFRGPSATGSHLDNLVAQALFGDGAAAVVIGADPEEPAERPLFTLVSASQTILPDSEGLIGARLREVGLMVHIHKDVPKIISKNIKSALVDAFSPLGIKDWNSIFWVAHPGGPAILDMVEAEVHLQNNKMRASRHILSEYGNISSATVLFILDEMRKRSAEDGHANTGEGMEWGVLFGFGPGLTLETVVLHSAPITSPREPY >SECCE5Rv1G0308370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:128953432:128953668:1 gene:SECCE5Rv1G0308370 transcript:SECCE5Rv1G0308370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHADKMPASAPHHDEHPKQQSPDDEEASSLSPTVARLVRESIVSKPADGGKAAADGSSDILAFARSVDRVDSSLE >SECCE3Rv1G0163380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:147370293:147371747:-1 gene:SECCE3Rv1G0163380 transcript:SECCE3Rv1G0163380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATMASRALLLVGIVLTAHVFLCTAYVGGDGFSVEFIHRDSVKSPYRDPSLTAPARVLEAARRSPSRAAALSRSYARADASSADGVVSELTSRPFEYLMAVNVGTPPTRMLAIADTGSDLVWLNCSNGDGALGLAAARHAHHHPAPTPAPAPAPARAPASATPPGVQFNSSNSTTFSLVSCGTSACRALPDASCPDSKCRYLYSYGDGSQTSGLLSTETFTFADDQGTRGDRKIRVANVNFGCSTTMIGSFIGDGLVGLGGGDLSLVNQLGADTSLGRRFSYCLVPYSINASSVLNFGSRATVTEPGAATTPLIPSEAKAYYTVDLLSIKIGNKTFAAPQQSPVIVDSGTSLTYLANELVDPLVEELTRRVKLPPAKSPEDLLPLCFDVSGVREGQVAAMIPDVTLELGGGATVTLKAENTFLEVQEGTLCLAVAAASEQSPPVAIIGNIAQQNMHVGYDLDKGTVTFAAADCARKCRASLYI >SECCE5Rv1G0300000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:21443976:21447972:-1 gene:SECCE5Rv1G0300000 transcript:SECCE5Rv1G0300000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGVERSDNKRSRSGRDDPLALPEKENVIVWTDEASLPDPDAWILSDADLAKRERDEQLAPPPVKIPTLDYFKPPTRFHTAEVFAVRDSSEAALSAARFLLGVSSSLDGEPLRRCSGFWVDWDEEKKTGLVLTTARLIRTKDSPDSGWSGGEEYAPKADVTVHLLNGTSAKGDLVYYQPHYGIAFLNVKVDQPIKLPSFRGEDVKFAQDVFRLGRDDSLNLRITYARAEYKNPNMYRRYHNAHFRSPDDHGDDNEYDNGGLVIDLNENVVGMVNLPKRFGSFIPSSILLNCLDSWKKYRCIARPHLGMMFQAIKLLEPAYVDMLWRMYNIDRGLIVQEVSKGSNAEILGIQKGDVIESINGKPVSTTIELENTLMITCKGPSGAEVHISVGVFHTLKKQRSTVQWTAKLSELGEVLTS >SECCE6Rv1G0381250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:30752332:30760284:-1 gene:SECCE6Rv1G0381250 transcript:SECCE6Rv1G0381250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPASSAVATSAPCEGERKAPAINGELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDVEAHVPSYPNLPAKLICLLHSVTLQADPDTDEVYAQMTLQPVNTYAKEALQLSELALRQARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKIFPSLDFSLQPPCQELQARDIHDNVWTFRHIFRGQPKRHLLTTGWSLFVSGKKLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGVLAAAAHASANTSPFTIFYNPRASPTEFVIPFAKYQKAMYSNQISLGMRFRMMCETEELGTRRYMGTITGISDLDPVRWKNSQWRSLQVGWDESAAGERRNRVSIWEIEPLAAPFFICPQPFFGVKRPRQLDDESLEMESLLKRAMPWLGEEVCIKDPQTQSATMPGLSLVQWMNMNRQQSSSLASTAMQSEYLRSASNPAMQNIGAADLARQLYMQNHLLQQNSMQFNPPKLHQQMKPINDLSNAALPLNQLGAIRNHQDQKQDQQRQQQSSIQAIPLSQAQAQTNVVQAQVILQNQMQQQQQQKQQPPSPTQNQHGASGQHLLQSHQLQDQNLQMQQQQLLLHQQLQQQHQLNKLPGQLANLASQQTQLSDQELHLQLLQKLQQQSLMSQSAVTLSRLPIIQEQQNFLVDMQQQLSNSHSLAQQQVMPQQDCRTSSLQTTQLPPPIQQEQQQQKPSQKQVAPTYVSEAAFAQISSASMIPKTGNTMIVLGAAQSALTEEIPSCSTSPSTANGNHLAQPTIGRNEHCKINTEKVPHSTAQMPVLTPIEAVSVTPVTTKELPKLNNNVKSSVITSKLPNVVSGLQNFMNNALPTDNLETASSATSLWPSQTDGLLHQGFATSNFNQHQMFKEELPDVEIQGVDPSNSALFGMNNDGPLGFPMETEGLLENALDSVKYQNHFSTDDENNYQMQKDARQEISTSMVSQSFGQSDMAFNSIDSAINDGALMNRSSWPPAAPPQRMRTFTKVYKRGAVGRSIDIGRFSGYGELNQALARMFGIEGQLEDRQRIGWKLVYTDHEDDVLLLGDDPWEEFVNCVKCIRILSPQEVQKMSLDGDLGSNVLPNQACSSSDGGNTWKPRYDQNSGNPSIGPYDQFE >SECCE6Rv1G0439330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795837892:795839114:-1 gene:SECCE6Rv1G0439330 transcript:SECCE6Rv1G0439330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLACDSERGLMEGHGASSVCKDDMVLLEEALPPAGQTPGSCVELRHNQEGTHKQARDAASLDTEVDISGSPGSGIKRGKGGDGNSRAISNYSEPNDSSTYERLPSFPSTSRLLVSAMKGGRERSGKETSPSGSRRVNWAPDVYDPPVTSVDHTLKGNQQRSRSRKKDKGKQKQKPKKRKSRGNGKKSGGLHDAAHNPPALDFPGPSSPDELGLGEVEEAEVLDYSAFDSQEPKCGGGFPHEIATARTCFPAAEAS >SECCE5Rv1G0363960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:797354144:797354863:-1 gene:SECCE5Rv1G0363960 transcript:SECCE5Rv1G0363960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVPALAVVDARFCAGDEAALAVAKTLSMSGNDFTVTDAATGALLLRVDGVLFSLRRRCLLADAHRRPVLTVQESALVMNTRWKVFRGDSTSRRDLLFTVVKPSVIQLRWSTKVSVFLASNDAEQAPDFRITGSYHDGACSVSLGESDTVIGRIDRRSTVVSALLGKNAYSVTVNPGIDYAFIVALAVVLDEMHYQ >SECCE4Rv1G0226800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:114018375:114019205:-1 gene:SECCE4Rv1G0226800 transcript:SECCE4Rv1G0226800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRVEVDTTRPFRSVKEAVAVFGERILVGESNSRYSGNAVAIADPHAHATPNAKHDDSSRSSTTALSPNAMAEVDADPEPEATSAIVPMYSAHSSPSFTSPRSVYEDDGELGCQDDEAGLAIVSSIKKLEAEVADTRREVLQLRKRGTEMEMAVASLNAQLHRGLSRLAEIEADKAGAAAARRSIGGDTDVMAAAMVRSERWAEKSSTYSSEYLPSFSHALSLGEIDDDLLGGRRRKAQKVKPIVPLIGDILFSKSFSKRKSGKDSGDLSSVLG >SECCE5Rv1G0360870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:775582358:775584459:1 gene:SECCE5Rv1G0360870 transcript:SECCE5Rv1G0360870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRDDRSKWLSYSNHNIKCFTEGEIKRITGNYETIIGKGGFGEVYKGVLLDGRTVAVKRFMSNIEENFAKELKVHCEINHKNVVRLIGYCAKENALMIVSEYISKGNLSNVLHHERIPIKLDIRLCIAVECSEALCYMHSQMYTQVIHGDIKPANILLDDNFNAKISDFGITRLVNTDSAIFTEHVMGSIGYMDPLFARSGRLTSKSDVYSFGIVLVELITKKKATTRNGETGIVERFTQSLVTEKRKVRELFDVEISSQNNMKVLEGVAKLAGQCLRMEMDRRPEMRDVAERLRALRKTQVQSKQTPTIFPWGWRNKPAAQNNWQSSSSVTQQSSPHNLCRHFSLKEMKSATRNFDKSHLVGVDLFGKVYYGVIDGGATKVAIKRGRFEQDVSMFQTEIAMMANLRHHHLVSLVGYCKEKNQRILIYDYMAHGTLSENLYANKTEEPSLTWRQRLDVCIGAARALHYLHDLTMAGCHGCDPHTAYGMSGTLGCVDPEFYCTGQLTQKSNVYSFGVVLFEVLCARAAYDHSLPQRQANLVYYALSCQKKGILDLIVDPYLEGKIAPRCFKKFVEIAEKCVSDRGMDRPTMQEVSENLELCLAEQSGSLGDEMLAEDDTNGPSRTERRLNLEMYLAEDEDSSHCGSVSTFEDDGMEFGFDGGVDSDSELAMPR >SECCE3Rv1G0185140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:671478650:671480124:-1 gene:SECCE3Rv1G0185140 transcript:SECCE3Rv1G0185140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAATPGTSRGGGRVSAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSSGWLALELGVIVAQMVITTAVVATSPKERPAWPLRLWVCAYNVGNVLSLPLLYWRHRHSSAAGRGDDPEMHGGGDALRNSSYLMNKARAFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLAWNAVVYSLPFLLFLLLCCFVPVVGYALGYNMNSASVGRGASDEQLDALPRWRFKEPDVPGDREKDDQECCICLAQYREKEEVRQLPCTHMFHLKCVDRWLRIISSCPLCKQELS >SECCEUnv1G0537440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68760429:68762923:1 gene:SECCEUnv1G0537440 transcript:SECCEUnv1G0537440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLPKAKALLLRHHMRGCTTLSTAQPKVFTVGIDFGCKNSRVAIIDSLVPEVVDSESGRSTPSYVTSTEPKDTSRRYEWALQRLEGLGKCVAVGELAKRRMSRQPSDVVFNIKKLIGKQFDDCWVQEMRKRVHFSIIEGERGEACVQIRGVSFSPIEIASVIFSKLKDVVVMYQFHHELKAVISVPIFFSKQQREGILLAANKAGLTVLQLIDEPTAAALSRATIKEGTVVVFDMGAGSYTVSILGVSGTHIEVKSQFGDPCVGGDQFDTIVLDYFVMQIRNFYQVDIRADKYAMMLLAEAAEQAKVELSSQHKVTISTPYIISSAQCSGDPSVSISHTEFQRLVHNLVEQIQSKCWSVLKEANITDKDIDEVVLTGGMTRVPMIQRIIYDIFGKHRGTSVNHDEAVVIGSAIQAALIVEDQRELREDMIPLSIGIESEGVFMRVIPRHTTIPAKRTVKIPSWCAYGESLRLKVFLGEHVMVSHNTFLGEVELVNNRWSGQGSVHFELAFEVDTDYMVKVIGRNPGDADNARKAALKVFPVRGIVMSKEKVNEAIRKALLGWSIQGIEIHARLVNIGRHIANTLRDVLSARKDEVPKHICDKAAKVLADLLTALHTGSEDATHMHVLKDKMLAAAREEETLLHWEPRSAYHHRDYSEYEDEEESV >SECCE6Rv1G0417130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:654744669:654746693:1 gene:SECCE6Rv1G0417130 transcript:SECCE6Rv1G0417130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVARRLLRLLSSHPNPKPVSLSSSPSFETPATAGEREGDPLSWRLLRLRSPGAAAAAIDGWAQERGRISRPDLQRAVSHLRRARRYSHALEILSWMDSRKDIKLLPLDHAARLDLIAKVHGTSQAEEYYNKLPNSASREAASFPLLHCYVADRNVQKAESFMASLQSIGLPVDPHSFNEMMKLYVATCQYEKVFSVIDLMKRNNIPRNSLSYNLWMNACSVSDVSSVQSVFKEMVNDGTIEVGWSTYCTLANIFMKHGLNSKALACLRAAETKLSTTQRLGYSFIMTCYAALGDSDGVMRLWEASKCVPGRIPAANYMTAISCLIKVGDVDRAEWIFGSWEAECRKHDVRVSNVLLGAYVRNGWIEKAEKLHLHMLEKGARPNYKTWEILMEGFVQSRQMDKAVNAMKKALSLMKSCHWRPPLKLVEAIAAFFEEQGNTDDASKYVKFLQKFNLTSLPLYKSVLRAYIKAGTMLPTNISEMIARDDMAMDEEMDHLIIRASKIDITGDV >SECCE4Rv1G0268690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744355799:744357720:-1 gene:SECCE4Rv1G0268690 transcript:SECCE4Rv1G0268690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVAVGIYLAVGKPCFSGSAVPLRSARSFSTAFVFAIFGQYIDLSSPGMAFFVTTSKEVLATALPGQFLGLLNATNNTNPNAHIFAVELDTLLNSECRDINSNHVGVDVDSMVSRASADAGYYDDATGRFQNLSLISRQAMQVWVDYDGAATEITVTMAPLGVARPKTPLLRTAVNLSAVVQQQDAAYVGFSSATGILFAGHFVVGWSFVLDGPAPTLNISSLPTLPPTGPKPRRRQMYAEVREDWEVAFGPQPFSYKELYQATKGFSETNLLGAGGFGSVYKGVLRKPVMDTEVAVKRVSHQSRQGMKEFIAEVASMRRLHHRNLVQLLGYCRRKGELLLVYDHMPNGSLDKYLHDPCTGKATLEWPQRLHIIRGVASGLSYLHGDWEQIVIHRDVKASNVLLDAEMNGRLGDFGLARLYDHGSDARTTHVVGTMGYLAPELGHTGKATPSTDVFAFGAFLLEVTCGRRPIEEVEGNNRFMLIDWVAEHWRQGWVTKAADIRMPSFSLDQVSLVLKLRLLCSHPLPNARPTMRQVTQYLDGDVPLPEFSPEYLGSTMLELMYSAEFFNKHVASYVSSGVISDLSGGR >SECCE7Rv1G0501180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:680341975:680343531:1 gene:SECCE7Rv1G0501180 transcript:SECCE7Rv1G0501180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSLALALLFSLLSSYASVPSLASSDGFLQCLSAAIPKQLLFTQSSPSFTSVLVSSIRNPKFSTPGTVRPLCIVTPTNASHVQAAVVCGRRSDVRIRVRSGGHDYEGLSYRSAHPEVFAVVDLANLRSVRVDQKAATAWVDSGATLGELYYAISKASKQLAFPAGLCPTIGVGGHFSGGGFGMLLRKYGVAIDNVLDATLVDANGRLLDKQAMGPDVFWAIRGGGGESFGIVLSWQVKLVPVPPTVTMFSVTKSVDQGAVDILTRWQEVAPALPEDLFIRVLAQKQAANFQAMYLGTTDTLLPLMRSRFPELGLNRTHCKEMTWIQSVPYIYLGSAATVEDILNRTTATRSFSKATSDYVRQAIPKDVWVKIFAWLARPDGGLMIMDPYGGKIGSLPESATPFPHRGGVLYNIQYMNFWSAATDGSAQTRWLRDFYAFMGPYVSSNPREAYVNYRDLDLGQNVVVGNVSNYQAGKVWAEKYFKGNFKRLTVAKSKVDPDDYFRNEQSIPPLVVTK >SECCE3Rv1G0193740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:774366526:774367794:1 gene:SECCE3Rv1G0193740 transcript:SECCE3Rv1G0193740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPHQLAAGELLTALRGASCASSALRLYSLLRIRLPPSDPSAFAGRAAVFALKPLSAAGSLPLLSHFHAHLIRSNLLAYPQVASSLLRSYSLLSPAVAHHLFDQIPPTTCNQFVVNVMLSSLCRSSDLASARLFFDDIPHKDIVSWSTMLACYFSNRSVADGLAFFRTMTFTTPLAADYVMLVTVLTGCASAGLLKPFCRSIHGYIVRRQAVSMHLGTSLIDCYAKVGRLDYACRVFARVPSRNVMHWTAMICGMAMHLRNDEAIQLFEEMCRQGVRPNEMTFTAVLSVCGHAGLVERGREFFKLMVEEYDLEPTIHHYGCMVDIFAKAGQLEDAYDVIKTMRVEPNVIIWTSLLAACKRLKNFDIAMEGLEKVLAMEISDENGGLYTLISDLYAMGGRWDDVLKVRRLMEENIMCGKAEG >SECCEUnv1G0528330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3740894:3741454:-1 gene:SECCEUnv1G0528330 transcript:SECCEUnv1G0528330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEHPQHPPAAAEEPKRTLVFTYGTLKRGFSNHGLLQDLARAGDAAFVAAAATASPLPLVCGPYRVPFLLNLPGEPGCQRVRGELYSVTPRGLARLDELEGVSRAHYERLPVSVVVDGDERAVEEVVAYYAHRGYAAEMWARSGRRGHAEYSPAVAAGYVRRVDRPQHLTFLDQIRVFVSSQS >SECCE7Rv1G0505700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:739949002:739949628:1 gene:SECCE7Rv1G0505700 transcript:SECCE7Rv1G0505700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEVSKSARVTDNLESALTIHQLTEEKNKLDADYDKLVKDVHQLVDMQQDRVVDFSYLQSNLTYQHQSRVELVAGMKAEMAKKDADREKLNQKYEMLCNLTSAQATVIQNLKLKNMKEKELLSEARQDLELKNAEFTKFEDKLTQEKLELKFQVADLLKGKEKLDEEKYMLHIKILELMKGEEKLKEKIKGIQAILQN >SECCE5Rv1G0371900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:850488578:850490345:1 gene:SECCE5Rv1G0371900 transcript:SECCE5Rv1G0371900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIVGSPGTWSGMALHLSQCFFAAASSLAMCSAYGFSNYSAYFYMNLVLIVQLLWSLYLACQDIFSLRNNRDLHAPDFLLFFVIIDWVLAILMFSGFCASASLTIFFMKDMNFCAEYSRLDCSRFTLSVTLAFFTWLLQAASSFSGFWLLISFF >SECCE3Rv1G0202470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:874337268:874338776:-1 gene:SECCE3Rv1G0202470 transcript:SECCE3Rv1G0202470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGAPFDALSSLDPETFAGESRAVINFLAGYYREVETYPVQPQALPGCLRALLPDAPPEDGEPMDVILEEVRTHIVPALTHWQSPKFFGYFPINASTAGFAGEMLSTGLNVIPFMRAASPAATELESAVVDWMGKLAGLPDRLLFSGGGGGVLHGSTCEAVVCTLAAARDRALSRLGHEGILRLVVYASDQSHCTFQKGARIVGIPRSNFRVIPTTAASGYGLTADSVGDAVEADMASGMVPLYLCATVGTTGLGAVDPVRDLGELARRHGIWLHVDAAYAGSALICPEFQHHIDGAELADSVSMYPLKWFLTNMDCCCLWVASPAALTFALSTNPEYLSNVTDGGAGAGVVDYKDWQIALSRPFRAMKLWVVLRRYDGAGIRAYVRRHVEMARWFEQTLEADGRFEVAVPTRFSLVTFRLRPRHEGDDDAVDGLNRRLLVAVNASGRAFMTHFVVDGKFVIRMAVGGAMTEIRHVQETWELLREKAEEVGATPKHNGHD >SECCE3Rv1G0169710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:240169238:240169602:1 gene:SECCE3Rv1G0169710 transcript:SECCE3Rv1G0169710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDDRDDDGCQCRPLGLLIGLPFAALALVLSLAGAVVWILGSALSCVCPCCVCCAAAANLAVGLVQMPVKVIRWFIRQIPC >SECCE5Rv1G0350640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691518284:691518895:-1 gene:SECCE5Rv1G0350640 transcript:SECCE5Rv1G0350640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLLQQSVDHLAALAAPPAAAVARGGTSVHTDTLLILAAALCFLLCMVGLAMVARCSRLCNPSAFSVDAPGAAANPPCKGLKKKALQSLPTVSWRPEQEKNDEEEGERPECAICLAEFARGDQVRVLPTCGHGFHAACVDVWLLSSSTCPSCRRALVVAAQSPAATESPHPQTCCARADAVAAQASVAGRCRSSAQYPVHL >SECCE7Rv1G0498560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:641307229:641308092:-1 gene:SECCE7Rv1G0498560 transcript:SECCE7Rv1G0498560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFTGVRFSDVPVNTGVSFHFILAFAIDYLASQQSKPTPTNGVFKPFWDTGNLTPAAMAAAKAAHPNLSIMVSIGGDTVQNTGVNATYAPTSVDSWVANAVSSLSGMINQYGLDGVDVDYEHFGTDVDTFVEGIGRLLTQLKARFPNIRTSIAPYELPINQKYYQALWRKYSGVIDYVNFQFYGYGANTKVEYYVQFYNEQASNYPGSGGTKLLASFKTGDVTGLLSPDQGIDGAKELQRQGKLPGIFIFSADSSKMSPYKFKYETQAQQIVANH >SECCEUnv1G0557990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:328931048:328931443:1 gene:SECCEUnv1G0557990 transcript:SECCEUnv1G0557990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLRLVVLLTFMLADFLAIAHAQTSTSPPPPSPAVPAGWTMVTNTTDLAIQQVGQFAVRIYALSTHKLQLGFVDVVSGMTRPINGGFDYQLVITVSDGPAPRNYRPYNASVWGILGTMSWKLWSFTLVV >SECCE1Rv1G0007880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42946124:42947511:-1 gene:SECCE1Rv1G0007880 transcript:SECCE1Rv1G0007880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHAGFGVKTFNLEYCGSRIYTRHLKSWLRIAVNPGLEELVLLLPVVDDSKYYNFPCSLLFNGSGKSISYLRLKGCAFHPMAGLGCLRKLQLSEVHITGDELECLLSNSFVLKELDLTKCNTITCLKIPGVLNQLNDLTVSKCQTLEVIENKAPNLCTVCIDAALVRLPFGDSLQVKNLEISWSFECNNVHYACAGLLPWVMPNLETLGISSAGEAFNTPVVPTKFLHLKLLEICFCIAEEGAFSPAYDYLSLAFLLDACPVLETFALSVSQTCMKHDPVSGDSSLLRKKPGHHHASIKNVKIDGFCSAKSMIELTCHILDSATSLENLTLDTIYDGDYEHADGSAVHEIGDCSPHTGRGMIREAHKAVMAIEKYIVGKVPSNVKLNIKKPCSQCHSVK >SECCE2Rv1G0071500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:45273148:45276454:-1 gene:SECCE2Rv1G0071500 transcript:SECCE2Rv1G0071500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSTAELEAAIAALPAKKQRLRESFDRLLAASPIPIPFTWADVDAHISSLQSSIARRFRQLQAHQAAALRTPVEHRRRHHLGGKGQDSSEEEEEDGGRPNRATLDLNAGEEGEQGSAEMAIDGSPDQYDAVEEGEASPGLEPEEEEAFLGLEPEEEEAFLGLEPEEEDGGRANRAPSDLNGEEERERGRAEMAIEGSSDQYDAVEEGDASPGLEPEEEEEGEEETILGAYPSHRHGETKENAPSSWPPPDPAGGAGEAVRWNLAAARADRDASLLADMLYWGNKRSLRARRQFLPALLCAAEPHALVVGAVRDFLARTEPKSDKNWENCVSLLCCVPSLAAEPSAGTLERARRLAGDWREMITGRPESCRDLGRLAVWGLLQFLAKYKITLELDADGINHLLADVPRNKKQSCIELRNALGLIPTVTVTDSADHLVENGQPVDAINHLIESGKLLDAIKLARDLNLTDKYPPLSLMNVYVDKAKKTAEEILSREGDSPDSLNQAMARQVNALILSWRAVDEHVDVARRTGIKAEITQLLHGYARKRQSLSVSSSSSSPPAWSPQRRRQELPPREEEAGQQQNKKRKRKPHWLQREARQRRFHEQPRFLPRGGCGGGARSGYDAGGVRGSPY >SECCE1Rv1G0026670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:373127732:373134072:1 gene:SECCE1Rv1G0026670 transcript:SECCE1Rv1G0026670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPRATTSLHFLRCQFRPLPSPKTLAITLAPPPLLLRPRLCSSSSSRRPSTAAVKSGEKRPPARLRRAEAVPPLAAGGAAMSSSGGAGGKRSVADVLMGNARDAARKAKKGAPSPKKPRTKTAAEGDGATAEPAVDEKPPSPVKSPVKSKRPSSPPKSKSKADDAPEAKKRSPSPTRSKTLAAAAKLEAAEKPLSPKRAKTLAAKSDAKPSDQALVSQSEDKKTKISNATKSEEVNTTLELKKKGSEFDPMAAAYWKPGEPVPFLFLARALDLIANESGRIVITEILSNVFRTIIATTPEDLLATVYLSANRIAPPHEGIELGIGDASIIRALSEAYGRKEEHVKKNLKELGDLGLVAKASRSSQKMMYKPKPLTISRVLDTFRTIAKESGKDSQDKKRSHIKALLVAATDCEPQYIIRLLQSKMRIGLAEKTVQMALGQAAVYSEKGSPPKKVQSPFEEAAKIIKEAYSVLPIYDKIVPTLLDAGVWKLPETCNFSIGVPVGPMLAKATKSVSEIIDKFQGREYTCEYKYDGERAQIHCMEDGTVEIYSRNAERNTGKYPDVVDAISRIRKPTVKSFVLDCEIVAYDREKKRILPFQILSTRARKGVTINDIKVSVCTFGFDILYINGKPLLQEQLKVRREHLYNSFEEVPGVFQLATAITSNDLEEIQKFLDLSVNSSCEGLIIKTLDTDATYEPAKRSNNWLKLKKDYMDSVGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEHNEEYQTICNIGTGFSEQQLEERSTSLRSKVIKNPKAYYRFADTTDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRVRDDKNPEHATTAEQVADMYRAQKINHSHNQEDEDDD >SECCE5Rv1G0320200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:382815764:382823845:1 gene:SECCE5Rv1G0320200 transcript:SECCE5Rv1G0320200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTHIPAAAGSDSPPLPETCDKENVYQDRNIVSDCPMLHREGAVSTKRKKKPGGFNLRKSIAWNPAFFTEEGVLDNMELSVLSGSQMKANRSPGSGVGGIASPLCRFGRSGSSSVLKEVAENSHGKLLVKYRSAENKGRKLFSPAKTSECHEQKELPGNQDKKSARSIQNSIQRSPAGYAYPLSSFKIIWTSLSFLLPAKKVPNSNATAQMTRTPKKSIQPSIPMVPRSTSSTANISKSNRKLPPVKAEHSIRVEALQLKSKIKPTPSTKSSGSTTEKDMAPLVTATHEEGSSSRNCSSFSTYSQNNPSSFVGVPASTFAKPSALRMPSPSIGFFSQGKALVSHDDAAQGNTPSLVKPPRYKQPEHLKSRLYQASVLNGDTARANAKICFTRNTSSLVKPPRYKQPEDLKSRHSLTPQLPTNCPVASQPLVHPVTNQSTLDTLVSSLPVLEHANVCSGKESLSKGAITCPAYSRNANNQPTWKVDCSSAGSGDTTLSSSSEKNGASRNGMLNAYSVASHVEEMGIINRTGPNKDSHSLRAICSSTTEHGEDSCSHEAIGSSMNPIAAIRLSSSCISSQVCTLNDLNCQSKSDISACLASDLKNSLAGEKMVAVSLSEDNSCTPGPAFLGEFDSLNQQNAECSTLRKSVESTTSADQVPQCGNPLDTEPASSDSTTDLHGSLCNEAEPNSAEEPSTDGGAEFDSDSPPIGNELQLLIECDHDHDYRSTESSLIQIAAHMPCADFSDSKEVCKTEPLPALLDEPNTEDDMELDSDSPLVGKEELLIGCESDHDYRSTECSPMEPAVPVPCIALSDLEEVTLDCKTETHDSLAVERRSSLLEEPNAEDDMDLDTNELSALEDASPIGKNKAAHKSGTNTILKDHLKQLVPFSEEWLATMEACGEEVLEQKSGAVQNSPTDKTTPEPSPWSPVKRKAQDVGPFDCTKYSKSVRTSDTP >SECCEUnv1G0536730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:66021064:66021858:-1 gene:SECCEUnv1G0536730 transcript:SECCEUnv1G0536730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSRTASMEAVKAEGEEGEEEVQPANEGLSAPSLELNLVGALGLGENAAAEAKGKAPSTEAAGPSVEQTAAVAVAGGENRRTFKCNYCQRKFYTSQALGGHQNAHKRERSIAKRAAAGRGGDPAAGLYGMADHLVPHHLRYASAWPYSAAGRSFLGRGSATAPFYGMQMHHHGWSAPPQPSLAGLARLAGAHRPMYRPDAYGYGGASSRAPISAFPEAAPPVNGISQAGGSGSGSGIGGDHSINESKKKEETASKLDLTLRL >SECCE6Rv1G0390790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:193766439:193769179:1 gene:SECCE6Rv1G0390790 transcript:SECCE6Rv1G0390790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQWGSGSHMFSPGQRVSPSKPRHLSAKPQSSFMPSPTHEGSLHCTTDAPPPRRVNNTHTAQAHGLGEREPPAMAVSNNITACVTLMALICAVPVIASGVWFASAQGEECARLARWPVAILGGLILLAALAGFVGAYWNRRRLLAFYLFAMAALIALLIALLVFAFAVTRGSGAYPVLGREYDEYRLDGFSMWLRGYVSDDPARWEGIRSCLAVSDTCKKLSRQAGYITADQFYQSHLTPLQSGCCKPPSLCGFGYVSPTVWTNPARPASDPDCGLWSNDPAQLCYECESCRAGLLAALRSQWHKANIALVVATVSLVFLYLIGCSAYKNAHAEAIYRRYKW >SECCE7Rv1G0491050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:516919687:516924487:1 gene:SECCE7Rv1G0491050 transcript:SECCE7Rv1G0491050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARVVAAAVLALAAVVASASAAAAGDATYPVRWEVGYMTVAPLGVSQKVIAINNQFPGPLLNVTTNWNVRVNVQNNLDEPLLLTWDGIQMRMNSWQDGVAGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGYGPVTVNNRAVVPVPFGQPDGDVTLFIGDWYTKSHTELRDMLDDGKDLGIPDGILINAKGPYRYDTTLVPEGLQYEIVGVEPGKTYRFRVHNVGTSTSLNFRIQNHNMRLVEAEGTYTYQQNYTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRFVSSEARWRDVNGVAILQYSNSKGSASGPLPDAPDDFYDKYYSMNQARSIRMNVSSGAARPNPQGSFRYGSINITQTFVLKNESPLRIDGKRRRMINRVSYSPPETPLRLADLHNLTGVYKTDFPTMPSNAPPRRASSVLNASYKGFLEMVFQNNETDVQTYHLDGYSFFVVGMDYGEWTPNSRGGYNKWDAISRSTTQVFPGGWTAVLVSLDNVGIWNIRAEKLDNWYNGQEVYVKVADPLGYNVTEMIAPDNTLYCGLLEHMQKPQIHETSKKSSGHAVARWSTQLLATVSLIVAAVICS >SECCE1Rv1G0047080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:621306255:621309692:-1 gene:SECCE1Rv1G0047080 transcript:SECCE1Rv1G0047080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALAQMTNATVIDEKVLGECLNEISRALLQSDVQFKMVRDMQTNIRKIVNLETLAAGTNKRRIIQQAVFTELCNMLDPGKPAFTPKKGKPSVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPSLVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGLERFRKENSDLIIIDTSGRHKQEAALFEEMRQVAEATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKLDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEIFDVKPFVSRLLGMGDLSGLMDKIQDVMPADQQPELLAKLAEGTFTLRLLYEQFQNLLKMGPIGQVFSMLPGFSSELMPKGHEKEGQAKIKRYMTIMDSMTAAELDSTNPKLMTESRIIRIARGSGRQIRDVMDMLEEYKRLAKMWSKMKGLKMPKNGKMSDLSQNLNIQQMTKALPPQVLKQMGGMGGLQALMKQMGGKDMSKMLGGMGLGGD >SECCE6Rv1G0440180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:802981675:802983340:1 gene:SECCE6Rv1G0440180 transcript:SECCE6Rv1G0440180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEIRASMEASSGPRRSSGQEPDGTGDGADRLSALPDDVLLLVLARLPCAAAAARTGVLSRRWRGLWAGLRQIVLRDVPFPSIEAALGARGRVPPPPPAVSLLKIRVPQRQRRGTKKHRADSAGVNSLLRAAARLEPENLEFRLPAGLIGRSRLAVDLPRFDGATSIALDFASPFSLRVPAGAEFPALEALSLTYTVTDLDALLSCCPRLRTLRLCRVLFADHKCDVRVNSPSLQELAVCRELSLTQRVDIIAPALKQLTMSFSTMELISTSFLAPLVENVSWHCYYLGPYVAFGLWGINKLRLQTADSQEQILSSLQIHAWADTSLLHVEAGDFAQEIEKHMVAAFSVLELHLTAKGHAFGVFVFHLLGMDRIRAATRRLKVVLERSAMKRGCQPFCPCEFPNWKSQIISLSALEEVEFNGFEGKDHEFDLLKWILGCAPVLKRMIVKLSHETSASNDGCAKIYSIFKACSSLECDVYDSSGEYIFGMHIISILLDKTEI >SECCE5Rv1G0326950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:487717405:487724009:1 gene:SECCE5Rv1G0326950 transcript:SECCE5Rv1G0326950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAASGAAARVSRGSPGTWRRVRRAAREAAAHALLLCFTTLLALRLDGVFFSRSWWVLFIPLWLFHAVIARYRFSLPAPSVLQNYQRIPCHSIVATPLLVAFELLLCIYLEGQGESFLDLKLVFLPLLALEIIMLVDNFRMFGALMPGHGETITDEEIWDRLPHFWVAISMVFLLAATSLMLLKLCGDAVTLGWWDLFINFGISQCFAFLVCIRWSNPMDIGGPVLIIPIVISQVLLCMRLEGTPSYAQFIPVRAIFSPVLLLQVVAVLFAAWRFFERLVIKLQDGIVSEGYISVSLKIDELFMMAQYGSRLITWSIDEDSSKEEQAHLCYANNIGYSTFCSYPPDMVKEMPKKVLVKEVQRLQLALGEQSKMAKLSQQQCERLKNERILCRICFERDICIVLLPCRHHVLCEPCSDKCQSCPICRMPIESKLSVNDAVNSDDPSSDIV >SECCE7Rv1G0476730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:210145131:210147846:1 gene:SECCE7Rv1G0476730 transcript:SECCE7Rv1G0476730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSASCLWSGSKSGPGPNGLAATTASPRSGHVLSRSGRNIQVFSLKELKSATRNFHMTNCIGRGGFGPVYKGDLRDGTQVAIKRLSAESKQGTNEFLTEIDVISNVRHPNLVKLIGCCVEGNNRLLVYEYAENNSLSNALLGPKSRCIPLNWQTRAAICTGTASGLAFLHEEAQPRIVHRDIKASNILLDKTLVPKIGDFGLAKLFPDAITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLLLEVISGESSSKSTWGEDMHVLVEWTWKLREEGRLLEIVDPDVEEYPEEQVLRFIKVALLCTQATAQQRPSMKQVVHMLANETEIDLQNAVPPGVLKEPRRKMGSLGDLALDTSSSQSTRANAAGSCTTQTRDMNSCNFSTTEVSPR >SECCE3Rv1G0177580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:470932404:470946032:-1 gene:SECCE3Rv1G0177580 transcript:SECCE3Rv1G0177580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIVECGVSTDTKTSPRRVSIEKAQEELRQEYDVREERRRELEFLVKGGNPLDFKLGHVVSLSVQSTSVTDQIAEQNVISEAKGSFTFAASPHGDSFDSSGRPGSSCREANTDDNLMLLDGDTSKIGGEKLVKRGTKRSNTPQPEMSFCNDGQNNTKEAEDSGLFRLGAKSRAYARRRSKSSRENVNTAPVRSPPVPPLSSHREDAKGVVQEAENDDHGASSSAGPILRSSNDNDMLNNASNNQMAARMGSVQAIHEGKQEEKHEITNNQHVNLAPQISPNSLSDNSQRAGGGQMPSAAAFAESPHAITKEASSRTSSLPSTHKEIFRETHTPEKAGNSCSDKRMVYAHAVDIENEASVLQPAIETVRSNENEVDLTCTDAIKTTDEHSGKNANFVSVKVGENSDEGLINTVPGDNDDKIDDQLEGCSSPTAVVDGCTLIHPEVCTAMKDEIEVCNDLADTPKDTGCPATSDQNKVTKEAGSDLDGNNNCSSALSGSDKLASIDVPPALTEDMPNPVLSTKYSTCNFANDVTKCSRNDTTVAKKECEDPIMIKKEYEDSILRRARFIELNIKRAGEQALCNISLEKKRKSHWEFVLEEMAWMANDFMQERLWRSTAAAQMCNWIASSGRAAFEEASVRRKQKSAARFLAKGIINFWRSAETLRATSGEIPKASQIEKSKGIEEMKLAGTKAEKELGNVSFEEKPRWSHHSYIQSYALRFLEFNCNVSECLSLAEAPSTPDRLNDFGILKVPDELSETNLFYEVAPGAMNAYRESVGCISVYNKKFVNTEHKEDYEPSTCGYVPDVHRENAYEDDEAEAYTYLLPETYDGGLASKSSHKKKQQQRMNGRRPYDNGVDLPYDPCSESKPENHPFLLNSKRSPDFLSIPTKRIRTAARQRVASPFSAGVAGTPHFTSKTDASSGDTNSCQDDQSSLQGCFFPKKNADIESTVDFDRQLIYDGSEVSTKSKKKKKPKHPGYKAPQSVTESYTLMVGKKDYLKKRQEANQFDSNGDIVVNGQHASKKTKLLHQAPDISLEALTPVGPLASPAASQMSNMVNPTKIIKIITNRDRGRKSKALKMTAGHSGPGSPWSNFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPDECKDRHKLLTDRSSGDGADSADDSGSSQHYQSTLPGIPKGSARQLFQRLQGPFEEETLKTHFEKIIFLGQKLHPCRRKELKPINLLHTSHVLALSQVCTSNFSGGILTPLDLCDTITSIPDALPVGYPGSHTNVLTPPNHHCSISPALPTSNVNPRLSGSPGMVLGSSLPSPSTLNAPSRYGVPRPTSVQGDGQQGTQYTHMVNGRNLQQPGVSVPGVLPAGVDRGVRMMPGANGMGMMTGLARCAPVARPGFPRIGSPGMRNMVSSGNMLSSNGQGMQNSVNLHPGAVPGPGNTMLRPRDPMQMLRPDQNSEEHRQMMVQEFQMQVPQGNSQAIHFSGTPFPHAGTSSPVQSFPVQQSQPHQMPQQAHMFGNTQHSHIRGANQSSPQHQAYARLAKERHIQQCMMSQQQHPVSAASAVPTVQNGSQTQPQSAVNAVPSSQSQHKKQHPTQHPQDSSVLPNQPANSRSHKQKKQQAQQQLRQNQQQRHQVSQQAKLMKSLGRGNMTQQNPSVDATQLSGIHATSKNQVSDTNMMQQAPAYFAGNKGLIPSVPQPGNQPKMYASHTPQSPIQSSDIGNQGSIQGSPNQTLLASQQAPVHSSLQLATQQRHMNPSHNNIQRLIMQQNRHMNSDVSIELPVDQVNQVIPSTSVTRSTDSGSPGVSSIQHQKQDSSQDLTAVTSTSQLASSPQDSFVGNEAFLSAPNQGMLQRQMSGGVPIHGNVIGTQRQQQQARLQLQTQQQQRPDVQGSLYAHPSNSGAG >SECCE1Rv1G0020660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:259663420:259663902:1 gene:SECCE1Rv1G0020660 transcript:SECCE1Rv1G0020660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFHMLGVAGVFGGYLFSAMHGSLVTSSLIRETTENESANEGYKFGQEEETYNIVATHGYFDRLIFQYASFNNSRSLHFFLAAWPVVGIWFIALGISTMAFNLNGFNFNQSVVDTQGRVINTWVDIINRANLGMEVMHERNAHNFPLDLAAVEVPSING >SECCEUnv1G0553000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:262449180:262452638:-1 gene:SECCEUnv1G0553000 transcript:SECCEUnv1G0553000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNADKLRGLRITSLDDEDDDETELPNQPLPASTVTVAAAASGYDDEDDDEDEEVEVMLGFLEKPKHPGLLLRHLFPSKAGGIPAWLDPVNLPSGNSSCCGFCGEPLHFVLQIYAPLENNAAAFHRTLFMFMCPSMACLHRDQHEQWTRNPGNPRRSVRVFRCQLPRTNTFYSSEPPSHNNSDKPLCAGAALCHWCGTWKGDKICGGCKKSRYCSEKHQALHWRSGHKNDCLQIINSSEASSSKLLGKVPARTPSPEYQIVIDDEVDLDSDSCDENSSKSLVMQKHGKPDDTMQSWMDQFEADADNRCWAYFQERISRAPEQVLRYCRDPNVKPLWALSAGRPSNADIPSCSYCKGPLCYEFQIMPQLLYYFGVRNEPDSLDWATIVVYTCQGSCDQNIGYKEEFAWVQLYPTSISRP >SECCE7Rv1G0513610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:824101950:824104171:1 gene:SECCE7Rv1G0513610 transcript:SECCE7Rv1G0513610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSRSGRNGGGGGCARCFLGFLLKFLAFLQAFAAVSAVLYAASILSRWARHHELHFDHLLPDLWFACAVMAAGVLYCAVLLAGYVAAEVNSGCCLCFYTILAMVMMLLEAAVAGHLLLNEHWMQDLPYDRTRELENLISFVNNNLDICKWAALATVATQAFSLFLAMTLRAMVSPTNADYDSDEDFVVIRRPLLLAQGSPAYLPTTADPRGANPGLWSSSMRQKYGLNSTSDYTYNTLDQNAAPPQ >SECCE1Rv1G0012560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:93887815:93890003:-1 gene:SECCE1Rv1G0012560 transcript:SECCE1Rv1G0012560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSTFMPAPALATTALMLLLVLSSSPAVVARKDSGLSVGFYRELCPKAEKVVRRIVTKAFKKEPGTPGDIIRLFFHDCFVRGCDASVLLESTPGNTAERDSKPNNPSLDGFEVISDAKEILEKLCPQTVSCADILALSARDGAFLASGLDYAIPTGRRDGPVSKADEVLPSVPHPDFHHDQLIGNFTAKGFTVEELVTLSGAHTIGTSHCSSFTDRLYKYYNDGEYGTDPAIPAEYAAHLKKKCPPATAAHNDTTMVQLDDVTPFVMDNQYYKNLLAGTVAFDSDMALMDDPEMAALVELYAREPSDYWTERFAAAMVKISEMDVLTGSEGEIRLNCSKVN >SECCE4Rv1G0293220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885104534:885105028:1 gene:SECCE4Rv1G0293220 transcript:SECCE4Rv1G0293220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSSKPMALLLLLVALCAMAAMPAAGQGSCNGHKVTVQNLCGHDLNLGIEARSNSKALFPNGYLLPSGKHESFDVCAWTGSVSAQGAAVAEFHMDHEGGAYYEVSTDQASMAVRVSVTPHGNPLQGHCPTAGCDTGGHCFEHSVPGGNCHGVTEIKIVYYSP >SECCE3Rv1G0212930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:957044541:957048061:-1 gene:SECCE3Rv1G0212930 transcript:SECCE3Rv1G0212930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSAATGALKPVIGKLATLLGDEYKRLKGVHKEIKSLTHELAAMDAFLMKMSAEEDPDMQDKVWMNEVRELSYDIEDFIDDFLKHVNDEDTKPDNFMEKIKLFLGKMKTRRKIGKEIEDLKKQIIEVGDRNARYKTHATFSKTLSASVDPRALVIFEHASKLVGIDAPKNEIIKLLKEEEGHVPTKSVVKIVSIVGSGGMGKTTLANQVYQELKGKFDCRAFISVSRNPDMMTILRTILSKVGDQRYAETEEGSVQQLIINIKEYLLDKRYFIVVDDIWDVKTWDVIKATFPNGNFGSIIITTSRMRDVADSCCSSFSGHIYNIRPLNMMHAQQLFHGRLFNSKEDCPSHLKVVMDKILKKCDGLPLAIIAISGLLSNTETTELLWNQVVNSIGRALERNSSVERMMNILSLSYIDLPTHLKTCLLYLSIFPEDSIIEKKVVIRRWIAEGFIRKEGRCTVHELGQKCFNELVNRSLIQPVESDRYDRTMRFRVHDTILDFIISKSVKENFVTFVGVPGITVGTQRKVRRLSLQVGNKRKLFLLRDLILSHVRSFNVFGDSMEIPSLDEFMHLRVLDFGGCRQLENHHLVNIGRLFHLKYLNLRHLGVSELPEQIKHLQCPETLDLRDTNVRELPRAIINLSSLVHLLVDNGVTFPDGVLKMQALEILKQVKALSQPFNVLQELGQLENLRKIYLDLFDVPAIGVTKESSKSFIAYQSLRNLGRQNLRSVTIWNGGGFLLESWYPAPHNLEKLITWRSAVPRVPEWVGSLVNLQKLRLEVESLEQEDLCILGALPALLTLDLEKTTKSSEGKLNVSGGDGFRFLRNLRCNGSSILPGIIFEILITFMIIFDH >SECCE5Rv1G0300390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:26065780:26068116:-1 gene:SECCE5Rv1G0300390 transcript:SECCE5Rv1G0300390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAARRIRDNDGPPETTTKKKKKKKKKKRSLARVDKMTNDGPADEITFAIFEPDETQSQEKLGRVFDDVVESATEESSSDPPSPPIYEPYIPDELAADPVAEAKYKAEQARLARLFTMDLYLHKSSSCLRKDRRLLSIRELARDALLFAAKSVILLSSFLGNQPLNQCCGLWFQRDDRKKTALVLTSAHLIRDKDPNLWKLQLTGEYHRDAQVIVHLLDNTTTLGHLIYLQEHYELAIYEVQVDKSVHLPTFNDHVHSGQDVFRLGRDANLDIKITHGNLAYKIPSRYERFHYMYFLGDASTLKLLQDDGGPIIDLEGKVVGLVNNQIDETFVPSSILHKCLDFWRRFNCMPRLHLGMTFSPIKLLDPICIERMTRKHNIDSGLIVQQVPKESHAEKIGIRKGDVIESFNGKHISTAIEVCVFCIC >SECCE1Rv1G0006030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:29175132:29175521:-1 gene:SECCE1Rv1G0006030 transcript:SECCE1Rv1G0006030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEVVQWWDEWQLRVLVLGSLFLQYFLFVAAGMRKRCIPSWFRFFIWLAYLGSDALAIYALATLFNSHRKQEWTSTRRGSSSLEALWAPVLLVHLGGQDGITAYNIEDNELWRRHVLTAVSQVLPNH >SECCE5Rv1G0324150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:443964742:443965251:1 gene:SECCE5Rv1G0324150 transcript:SECCE5Rv1G0324150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGRHIAAGDPIRPPRLEDAGLEDCALPPESIAEAFSLAALAVSSRLPRLSLSDDEDEGDDPLAPRGGCVEDAGPTCGAIPDALVGAGGGSEGGADEVVVVGGGGRGGSDEVVVVGRGVDEDRVVVVGEELGQEKRCGEATREGERRKEEEEEEEMVEKAILVEDFA >SECCE7Rv1G0506000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:745059328:745063039:1 gene:SECCE7Rv1G0506000 transcript:SECCE7Rv1G0506000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSVLVLDQDPVSLWTICNMLARFNFKVLPFQTGEEALDSLKRGVAKEEEPDLIVAEVHPGNTEVGTLRLFHHILNELEVPLITMCAYDEAVSARMTLGTCFNVVKPLDTETVNFLRMRALQHRSIKNHRSETEDGEQDALNANVYSDNLGRYIWSSELHEKFLQAVEVLGASATARKIHQYMNAKDLNLTIQHVASHLQKHRLRAQKLSHNEGVYQHYASMKESSEMIASAYKAASAKPNNHPATTQTQCTHGVASAIWDKYPGMVWPHVEGSSAGSAVRYNYTGKPLGQVGECSAGARVSQTNACPPPVLIHGTKSIWDRYDESLQYYNESLSYKREVLPIRSKALDGYGRNIFVNLEREISRTESAGKIVINLETDHMQKDTTDDVHAAVTLQEDTMDKVHAAVTLQKDTMNAAVTLQKDTMDEAYAAVTPHEVNQVPAATMGAGYLVDLAGNALLDGIDNYHPAAENAQSEPFSDWDWDEAEKFWTNQMEGQGQEQQGFELVDLLQVDGISPEELLQEDEAWNQALQPANPANVVDNAPMAEETDVGDAPVYDPANQSDVAESMFWVWSPQFADDDYGMPF >SECCE6Rv1G0399080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:430882677:430883432:-1 gene:SECCE6Rv1G0399080 transcript:SECCE6Rv1G0399080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKRGPWTAEEDMTLVAHIEQHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTSEEEDAIIQLHAMLGNRWSTIAARLPGRTDNEIKNVWHTHLKKRLESSSKPSGQSAPKRKAKKPAVAASALEGPTSVPASSPGQSPSVSPEQSLSTSSATDYSVASPLENTGSSSSEEFQIDDSFWSETLAMSVDSSGSGMETSDTFGVDSASPSSSNDEMDFWVTLFMQASDIQSLSQI >SECCE7Rv1G0523010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879891173:879897538:-1 gene:SECCE7Rv1G0523010 transcript:SECCE7Rv1G0523010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVIADLHKPRPYTPSLWGDFFLSHNPCTPSQYSAMKDMASIKKERVRKIILATISSSDLHMKLELIDTLQRTGVAYHFVKEIQELLRGIQGDEQGFGDDLHITASRFYLLRKHGYHVSPDVFLKFRDDRGNFASNDVKSLLALYNAAYLRTRGEEVLDDAIVFTKSLLQCMLEHLELQLAEEVRCTLETPSFRRVERVETRRYIPVYEKKSKRDEDILEFAKFDFNILQTLYCEELKALTIWWKDFKSQTDLKFARDRMVELHFWILGVVYEPQYSYSRVMLTKLIVFVSLFDDFYDNYSTTEESNIFTTAMERWGEQAAENLPANLKALYINILNTTNDIEEELKRQKNKNAELVKELVIHMAKCYHAEVKWRDEHYVPTSVEEHLEISVRSSACMQITSFVFISLGDVTTREVLEWALTYPKIIRSVCIVGRIGNDMVSHEREQISQHVTSTVQTCTKEHRITVAEANEKLKVIIEEAWMDIVHECLHKKQPMLLLEKATDLARTMDFMYKHEDAFTLPSSLKETLTSLYVNYV >SECCE4Rv1G0254690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:640882925:640889809:1 gene:SECCE4Rv1G0254690 transcript:SECCE4Rv1G0254690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAAYGALIAFLLVAGAAADDGAALLEVKKSFRNVGNVLYDWTGDDHCSWRGVLCDNVTFAVAALNLSGLNLEGEISPAVGALKSLVSIDLKSNGLTGQIPDEIGDCSSIKTLDLSFNNLDGDIPFSVSKLKRLETLILKNNQLVGAIPSTLSQLPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNQLEGTLSPDMCQLTGLWYFDVKNNSLTGEIPETIGNCTSFQVLDLSYNRLTGSIPFNIGFLQVATLSLQGNKFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNKLTGTIPPELGNMSTLHYLELNDNQLTGSIPPELGKLTGLYDLNLANNSLEGPIPNNISSCVNLNSFNAYGNKLNGTIPRSLCKLESMTSLNLSSNHLSGPIPIELSRINNLDILDLSCNMITGPIPSAIGSLEHLLKLNLSKNALVGFIPAEFGNLRSIMEIDLSNNHLGGLIPQELGMLQNLMLLKLENNNITGDVSSLMNCFSLITLNISFNNLAGVVPTDNNFSRFSPDSFLGNPGLCGYWLASCRSSSHQEKPQISKAAILGIALGGLVILLMILVAVCRPHSPPVFKDVSVSKPVSNVPPKLVILNMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCRPVAIKKLYAQYPQSLKEFQTELETVGSIKHRNLVSLQGYSLSPVGNLLFYEYMENGSLWDVLHEGQSKKKKLDWETRIRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEPHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHSILSKTASNAVMETVDPDIADSCQDLGEVKKVFQLALLCTKKQPSDRPTMHEVVRVLDCLVHPDPPPKPAQPQPPTGPSYANEYVSLRGAGGALSCDNSSSTSDAELFLKFGQAISHNTE >SECCEUnv1G0569690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:448046198:448046509:-1 gene:SECCEUnv1G0569690 transcript:SECCEUnv1G0569690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >SECCE4Rv1G0243940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:508443973:508445600:-1 gene:SECCE4Rv1G0243940 transcript:SECCE4Rv1G0243940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTAAATKPSFFLARHQPFPTSSKAVGVAAAADPCKPPKKSRRTGRSWRAASAGSDGSDERGQSVSTVATTPSGKQARLSARRRESIRLLDVLPSQGGAAGGIGEFLRHPAGVESLLNTRALQSFAPVESESGPAGAFRCTLHPMGFLGFQVAPVLDLRVTPTRDDCTVEMLSCRFEGSDSIEQQNELFSAVMTNRITWGDNVDQEPCLDIDVNLEVTLEVYTKPFSLLPLSAVEKPGNLLMQGLLDRLVPMLGEQLLRDYHSWVQQQAQSSDS >SECCE5Rv1G0318380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:360059566:360061820:-1 gene:SECCE5Rv1G0318380 transcript:SECCE5Rv1G0318380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g21140 [Source:Projected from Arabidopsis thaliana (AT5G21140) UniProtKB/TrEMBL;Acc:Q84VP9] MAPLSWRHHTLLQALLSRGPLSERDFHALFTAISGGKNPATHQQLFSDTLMKINNELGYLQFDLRACINQYDGTVYYGVVNNISDEESKLGSKYSIPQIAFYKGLLEAIVHEAGNDGSITSIEALNVRIDNQVVIADGSQDTQSRLPSSITNFLFSQKEKTLNELIQDRWLAYTSEGKIGLGIRSFLDLRSWFRSNDIPSCEVCNEAGIKASTCSNEGCNVRIHIYCLKKKFPQRKASRACPQCATEWPQQEGEDDGDEEANEPGGEGQEGPSADPPSRRKRKVKAELAEEAEEAGPSTAVPRRSSRMAKAEEALQPARSSKRRKK >SECCE7Rv1G0465080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:66571875:66573050:-1 gene:SECCE7Rv1G0465080 transcript:SECCE7Rv1G0465080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITASASPAMQEAAKTPTTSPPRDMAAPTASPARNRPSLLVIFSACLVLIGAGGPLLLRVYFVHGGQRLWLSALLQISGWPLLLPPLCVSLFRGRRHGITNLLLPLRLVGAAAVLGSLYAVSCFVYAMGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVMLLIIGPAVLGVGPGSGKPAGEPSKTYWTGFCEGIAAAALAGLVLPLVEVAMERYGRRTGPAARTPPPYSTVMQMQAVMGAAGTMVCLLGMAIKSDFGALRSEAAAFGLGETNYYLVLVWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSEILAVLFLHEKFDGPKGIALVLSLWGFASYMYGERVQQKKAEAQRSELLQQQVARKTGDLELAAP >SECCE3Rv1G0145840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8442060:8443662:-1 gene:SECCE3Rv1G0145840 transcript:SECCE3Rv1G0145840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRQSGRGGAGPVDGSHTTSSGCGGGSKMERKDVEKNRRLHMKGLCLKLSSLVPASSDHHLRHYSSSSPPSSNKLDSAAAYIKQLRARIDDLKRRKQAAVSGGTGGSSSSVSADDYKASPSTALPVIEVRHQDGALDVALASEAGRPFRLHEVIGVLEQEGAEVVSASFSVVGDKIFYTLHSQALCPRIGLEAGRVAQRLRGLAGATTVSSSVLLT >SECCE6Rv1G0424130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:699387464:699389878:-1 gene:SECCE6Rv1G0424130 transcript:SECCE6Rv1G0424130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAVLFLLVLSAAAPVLFGAQTLGDNSTSKPSNVWMNNNAYFDSSRYSRDIIIANPQTHSGVAAYFAAGFFCVPSLSLCNGFLFGVYVSIYDPQVVWSANRDRPVRENATLEFTPDGNLVLCDADGSHVWSSNTTGKSVARMVISEIGNLVLFDHRNATVWQSFDHPTDTLVPGQSLVEGMRLTSNTSATNTTENQFYIMVLPKGLYAYVESTPPQLYLEYTPGYGSEGERYMENYSTNVAFTNGNFTLRWLLDVEVYASSNISLPTVYSPQYMRLDLDGHLRLYDWSGFSWNVVSDLLQGIMDTCDYPTACGEYGLCNKGQCTCPVDINSSSSYFKLVEERNPNRGCFPITKISCQEKRRHRLLTLPSISYFDKNYTVVNATSVDDCKKACLNNCSCMAVMFRDSKCIWMTKVFSLQSMQPGSDSTAYLKVQIDENKKKSILGATLGSVSAVVLLAIIAGLYLKWRKKYGDKDEFDFDQIPGMPMRYSFERLSECTEGFINKLGEGGFGSVFEGKLGEESVAVKRLEGTRQGKKEFLAEVETIGSIEHINLVSLIGFCAEKTERLLVYEYMSRGSLDRWIYYRHNNGPLDWCTRSRIILDIAKGLCYLHEQCKRKIAHLDIKPQNILLDENFNAKVADFGLCKLINRDQSNVVTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVVMEIVSGRRNIDHSQPEEEVQLINVLREKAQNDQLVDLIDKRSDDMVSHQEEVIQMMKLAIWCLQNDSIQRPSMSIVIKVLEGSMSVETIDANSVMPVQHVASTCSVPPQASVLSGPR >SECCE4Rv1G0275740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:795157514:795158206:1 gene:SECCE4Rv1G0275740 transcript:SECCE4Rv1G0275740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKSICKKVTLNYIANDSTRRARFGRRLVGLMKKAAEPATLCDVKTCVVVYGGREAEPKVFASHIKAVDILNEYKSMPELGHCKKTMDQEAFLTQCISKLRDQVDKARRECQDSEIRYLLYNIMQGNHPGLVGLSVEELVRVGLKVDELLKSIGERMAKNHVQAPPSSPYASTGSIIMGPPAIYLASPQQQEGWFDMMSSGGDVDTQVSGNASHDGSGFSSGDMMMQM >SECCE2Rv1G0104530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:607906583:607939393:-1 gene:SECCE2Rv1G0104530 transcript:SECCE2Rv1G0104530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTAAVRCALVLNQPTAEPWQQLSQHIHSQRRRCGLVLSSKSPSYPAAEVHEWKGGEYIRQTDERSEMQQMINDIRMVLGSLGDDETSMNVSAYDTALVALVKNLDGGDGPQFPSCMDWIVQNQLPDGSWGDPAFFMVQDRMISTLACVVAVKSWNIDGDNCERGVSFIQENMSRLVEEEQDWMPSGFEINFPALLEKAKDLDLDIPYNHPVLEEIYTKRNLKLSKIPLDVLHAVPTTLLFSVEGMVDLQLDWEKLLKLRFPDGSFHSSPAATAAALSHTGDKECLAFLEHLVRKFKGAVPCCHSMDNFEQLWVVDRLMRLGISAHFTTEIEQCLDFIYSRWTQKGLAHTVHCPITDIDDTAMGFRLLRLHGYDVTPSVFKHFEKDDKFFCFSMEMETNHASVTPMYNTYRASQLMFPGDDDVLARAGCYCRAFLKQRYDSNKLYDKWIITKDLPGEVEYTLNFPWKASLPRIETRMYLDQYGGSTDVWIAKVLYRMDLVSNDLYLKMAKADFREYQRLSRLEWNGLRKWYFRNHLQRYGGTPKSALTAYFLAAANIFEPSRASERLAWARTAVLADAVTSHFRHAGGPMDSTENLEELIDLVSFDDASGSLYEAWKQWLMAWTANGSHRSIDGDTALLLVRTIEICSGRQVSAEQKLNLWEYSQLEQLTSSICRKLAAKVHAQNGGSVDTEDLDRQVDLEMQELSWRVHQSDHGINRETRQTFLHVVKSFYYLAHCSPGTVDGHIAKVIFQDVI >SECCE4Rv1G0227770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:127843665:127844393:-1 gene:SECCE4Rv1G0227770 transcript:SECCE4Rv1G0227770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMASGRVAMAPTRARFVTPLSLFNWGRGAKEAETPPPQFQYHGVKLPFPMSLVANTHLRGRELSCCYRATVDGFSATDFHRRCDFKGPCVVVGYMAGGSFRFGGFSPEGYRSTDDYYDTLDAFLFYWPPAAPEDMDTAAAVPVVLPKVGGSGAALFDYSRGGPQFGADGLLIGPPLTAVMGVFTGPDASVGVGDLRRARSRLGLSYAKREDGKESLFGDESKVELDEVLVFCSPQIASMY >SECCEUnv1G0549680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:206398468:206398932:-1 gene:SECCEUnv1G0549680 transcript:SECCEUnv1G0549680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEHAHSSAVERLLNCEVPLRAQYIRVLFCEITRISNHSLASTTHAMDVGASTPFLWAFEEREKLLEFYERVPGARMHASFIRPGGVAQDLPLGLCRDIDSSTQQFASRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRGRAT >SECCE3Rv1G0194490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:781608700:781610892:1 gene:SECCE3Rv1G0194490 transcript:SECCE3Rv1G0194490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSNFMPTPDQDVLDIQPLRTLAPMFPAPLGVNTFNLPNSTPPLIFVTSAGQFPGGFGAPGHPAFRSFAAAFGAQDAYGGRPASSRGRDGCGGQATTFADKYAANGGHTAANGTTNPGASVDNPIDVIPISVYRPTPPPVIPLDDDDDDNEHFTGNQTSASGRKIKRPSHLSGYKMSGGLGSDDNNGVKTKRNKSSHTKAGADNEFTSAPLTSSNPREAVEEVLMIFEAMRRRHLQLDVAQESTKRPDLKVGAMMMARNLRANIGKRIGVVPGVEIGDIFYFRMELCIIGLHAPTMAGIDYMTHTFGDKGDDSVAICIVAAGVYENEDDATDTLVYSGSGGNSKNNEEMHDQKLEKGNLALQMSLLRKNVIRVVRGFKDPGCLSGKVYMYDGLYRIHESWKERTKTGINCFKYKLLREPGQPEGMSIWKMTRKWVENPGTRGRVLHPDLSSGTENLPVCLVNDVGSEKGPGLFTYITQVKYPKPLSSMKPLQGCSCINACLPTDTDCGCAEFNGGNLPYSSTGLLVCRKNRLYECGESCQCSVNCRNRVTQKGIRVHFEIFRTGNRGWGLRSWDPIRAGSFICEYVGEVIDESKLNLDGEDDYLFQTVCPGEKTLKWNYVPELMGEQSTNNSADTFEPLPIKISAKKMGNVSRFMNHSCSPNTFWQPVQFDHGDDGHPHIMFFALKHIPPMTELTYDYGDIGADSRGIGSPGAKRCLCGSSSCRGYFC >SECCE1Rv1G0053850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:671838815:671840076:1 gene:SECCE1Rv1G0053850 transcript:SECCE1Rv1G0053850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDGVAPVAVAAAPGLVVSFGEMLIDFVPDVAGVSLAESGGFVKAPGGAPANVACAISKLGGSSAFIGKFGDDEFGHMLVDILKHNGVNSEGCLFDQHARTALAFVTLRSDGEREFMFYRNPSADMLLTEAELDLDLIRRARIFHYGSISLITEPCRSAHIAAMRAAKSAGILCSYDPNVRLPLWPSAQAARDGIMSIWKEADFIKVSDDEVAFLTQGDASDEKNVLSLWFEGLKLLVVTDGERGCRYFTKDFKGSLPGYSVDTVDTTGAGDAFVGSLLLSVAKDDSIFYNEAKLREVLQFSNACGAICTTKKGAIPALPTTATALELISKGSN >SECCE6Rv1G0442960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:819926171:819930369:1 gene:SECCE6Rv1G0442960 transcript:SECCE6Rv1G0442960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTHPRLLLLLKTPPHTPHTTRAQRRERGSHEQGRPANMAASSSLLAAVPALLLLLIAALAPAPAAAAASPSKRPTHEPPPFPARVSPLHQRAAGSSGRYAASAVSVSAAAKPFTAHYFQQELDHFTFTPNASRLFSQKYLLNDTFWRRKPAAGPLFVYTGNEGDIEWFATNTGFLFDIAPQFGALLVFIEHRFYGESKPFGNDSYKSADTLGYLTSTQALADFAVLITSLKHNLSAVDAPVIVFGGSYGGMLASWFRLKYPHVAMGALASSAPILQFDDITPWSSFYDAISQDFKSESLNCFSVIKAVWDVLDDRVSNDTELLELSKTFRACKTVRYRSSLANWLWTAFTYTAMVDYPTPANFMMNLPAYPVKEMCKIIDSFPAGADVVEKAFAAASLYYNYTGDQKCFELEGGDDPHGLSGWGWQACTEMVMPMTVSNESMFPPSGFSYEEKIIGCLDSYDVRPRMNWITTEYGGHKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEKGAHHLDFRSATKDDPDWVVEQRRQEVEIIHGWIDQYNKDIAQM >SECCE6Rv1G0383970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:59105408:59107104:1 gene:SECCE6Rv1G0383970 transcript:SECCE6Rv1G0383970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVIVHHHNRYKTYPGEVTGFVFYSCLVASVGGCIFGYDIGMAASLTSTESFLLLFFPDIYQQQKDQVITNQYCKFDSQELSLFGSSLFLSAATASLFASPMARAFGRKWTLFYAAVAYIIGACMGGIATTFAMLITGRLLLGVGVGLCIHASPLYLSEMAPAQQRGMLNILFQLMITIGILSASLTNYWTSRFLGGWGWRVGLAMGAVPGSIIALGSLAIPDTPISLLSRGETELARATLSQIRGIGPDDVRQEFDDLAAACEESKAVVNPWWELLFTGKYKPQLTFALGVPFFQQLTGINVIMFYAPVLFKTVGFRNDASLMSSVITGLVNVFSTFVAVVTADKVGRRALFLQGGTQMIISQILVGTFIGLQFGLSGTGAISEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEVYPLGVRSQAQSITVAVNMCFTAFIGQVFLTLLCHLRFGLFYFFGAWLLLMTIFIAVLLPETKSVPLEEVSHVFRKHWFWRKYVIDTSADARGAEMRKRIALEMS >SECCE1Rv1G0036400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:509428286:509432309:1 gene:SECCE1Rv1G0036400 transcript:SECCE1Rv1G0036400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADPGASSPSPPAASPSRQPRQGEEELRAVEPAGHLSPSRHANSSPAPPPSPASAAPVPRHPEVSGEDAVLTGEDAVEEQPALEEVVEEKPSVEEADAAVAGGEGEALRGFLEEIGGQADDCLIPSPRLKGIATPDCPAALQFLGGRYNILMEKYKQQVAKCAEECAPRFDGLKKKYTAECAERRRLYNELIELRGNIRVFCRCRPLSSDEISRGCSSVVEVDPSQEMDLQFVPTEKERKTFKFDHVFGPADDQESVFAESLPVVRSVMDGFNVCIFAYGQTGTGKTFTMEGVPENRGVNYRALEELFRISEERSSSVSYSFGVSILEVYNEKIRDLLDDNSEQTSKRLDIKQSADGAQEVPGLVEAPISTIDGVWEKLKAGARNRSVGSTSVNELSSRSHSLVRVTVTSEHLVTGERSKSHMWLVDLAGSERLAKTEVEGERLKEAKFINKSLSALGDVIAALASKNAHIPYRNSKLTHLLQSSLGGDCKTLMFVQISPSSTDSGETLCSLNFASRVRAIEHGPARKQMDPAENFKIKQMAEKLCHEEKENAKLNESLQLMQLKYASRENVFRTLQDKIRETEQACRTHQQRARELENELANEKKAARDTGKSTKQPSFAAPVRQRPPLAPMRQRPPSNNMPQPSGPSRPRFAGKGSSVQNKENINMANKTVVDKAAGKARRVSLVPTMRQIPLQPKRRSSIAILPSERERMSIFPEKKAMSRLSHVQMSRTARPQQAFNSIPETPQAAVDATPDVRGKFRRMEFGSSSRFSSPPTLSMRKSRNNISSPQQRLRQQSGSGNASKLCFSIQKRVALGSPAPARPASLTSGTGIFDPALREQIMAGRFGNAQRVFNAKRRMSVL >SECCE6Rv1G0429160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:733692999:733694655:1 gene:SECCE6Rv1G0429160 transcript:SECCE6Rv1G0429160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSLAFSPHRLTPSSAAVRRRTSSAITMRDRGKNRKPIQRGRYLSTEAIQAVQSLKRATLSGAPAAGAVATDPKLRRLLKADMVAVFRELAAQGEAHLALKVFEEIRKEHWYKPRLFWYVDLITVLASKGLRSEVGKACSYLKREQLEPDTDGFNLLLKTLLDAEFTQLAMDCFRLMKLWDSEPDRITYITLVKGLESLGEMDLSAKMRLEAESDYGALWDFFDEEETIET >SECCE6Rv1G0412070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:612454463:612454837:-1 gene:SECCE6Rv1G0412070 transcript:SECCE6Rv1G0412070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDQAEKRGKVKKGWLAVRVGQAQAEHQGDGFRRFVIPIAYLYHPLFQRLLEAARDTYGYNSAGPLWLPCSVDEFLRLRALVDRETAHSHSSSSSHRVHVQAGGHQQQHGYSFAPCTRAKVTS >SECCE7Rv1G0511680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804773092:804775173:1 gene:SECCE7Rv1G0511680 transcript:SECCE7Rv1G0511680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSA32 [Source:Projected from Arabidopsis thaliana (AT4G21320) UniProtKB/TrEMBL;Acc:A0A178UV51] MRGWREEVVALSLEAHGPGDDRPEKPRRYGVTEMRSPCYSFRPAHHALQEILDNIGPFVDGLKFSGGSHSLMGKELIREITDLAHKHDMYVSTGDWAEHLLRQGPSSFKQYVEECKELGFDTIELNAGSLKLPEEAILRLVRLIKNTGLRAKPLFSVKFDSSDIPAAGDRAFGAYIAPVKQSSERVEDIDLLIRRAERCLEAGADMIMIDADDVCQRTDSLRADIIAKIVGRLGLEKTMFETSGANTSEWFVKRYGPRVNLFADHSEVMNLERLRGLDVRRSVRPLLPSPFFLM >SECCE3Rv1G0163930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:153850431:153854979:-1 gene:SECCE3Rv1G0163930 transcript:SECCE3Rv1G0163930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGESPRPQQNHRMPEREGNNNHDIEGMDGAAEGDWWQNSSSNALLRYDDRGSVCEPLMRKRTINTTSQIAIVGANICPIESLDYEVVENNLFKQDWRSRKKKQIFQYIVMKWTLVLLIGLLTGLVGFFNNLAVENIAGLKLLITSDLMLNQRYFTAFLAYGGSNLVLAAAAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGCAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRAFFTTAVVAVVLRTLIEFCRSGKCGLFGQGGLIMFDLSSTVATYSSPDLLAIILLGIIGGIFGGLFNFLLDKILRIYSIINERGAPSKILLTITISIITSMCSYGLPWLASCTQCPEDAVEQCPTVGRSGNYKNFQCPPGYYNGMASLFFNTNDDAIRNLFSTGTATEYHMSSLFIFFVAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGSISNLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLQMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGIEKVGDIVTALRITGHNGFPVVDEPPLTEVPELVGLVIRSHLLVLLKGKMFMKEPVKVSGSFVMERFGAFDFAKAGSGKGLKIEDLHFTDEEMQMYVDLHTIANTSPYTVVETMSLAKAALLFRELGLRHLLVVPKTPYRPPIVGILTRHDFVAEHIHDLFPSIDPHNFHSASMGG >SECCE4Rv1G0254340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:635037623:635038320:-1 gene:SECCE4Rv1G0254340 transcript:SECCE4Rv1G0254340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIAARFMDKVEEQAVAAAAAEDDDEDVAGGVDGDEVEMEPVEPMPEPPDDAGPVCWPMPDFCPLTIDGEVKESFLETLRKEKDAEQLLGEAEPEPTPSPDSRPSSSKRQRAVAGSPSSRSPYSNLLQVFQQCKQDVA >SECCE7Rv1G0455010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5232365:5232766:-1 gene:SECCE7Rv1G0455010 transcript:SECCE7Rv1G0455010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITNLGVAAMLVILVQVSTLCAVAQHHGVMTLNGFEKGQDGGGPSECDGKYHSNKEMIVALSTRWYGGGRRCLKMIRITSEQNGRTARAKVVDECDSSNGCKDSIVDASAAVWKALGLNTDIGEVPVTWTDA >SECCE2Rv1G0133350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:896130613:896132136:-1 gene:SECCE2Rv1G0133350 transcript:SECCE2Rv1G0133350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVELSWGARCAGLAFFSLPIFTVALGAVLLLVRRWPNPWCGCHVCRAYLTGSWAKDFTNLADWYAHLLRESPTGTVQFHVLGCTVTANPANVEYMLKTRFDNFPKGKRFAALLGDLLGAGIFNVDGDAWRHQRKIASLQLGSVSVRSYAYKIVAQEVETRLLPVLADAADKGKVVDLQDVFRRFAFDTVCKISFGLDPGCLDLDMPMSDLANAFDTASRLCAMRGAAASPLVWKMKRMLNVGSERELKKAIKLVDDLASAMILQRRTLGFDNTHDLLSRFMASDVAMDDRYLRDIVVSFLLAGRDTVASALTTLFIHLHKNPEVTVAIRAEAGGDKPSSTYEHLMSLQYTHAVLFENMRLFPPVQFDSKFCAAPDVLPDGTYVEGQSRVMYHPYAMGRMPSIWGADYEAFRPDRWLTGPGGSFAPANLYKYPVFQAGLRVCLGKELAITEMKAVGVAVVKAFDVEVVGENGRSGWAPTFVPGLTASISGGLPVRIKRASTQSSF >SECCE3Rv1G0150670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:33823915:33826286:1 gene:SECCE3Rv1G0150670 transcript:SECCE3Rv1G0150670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLAGHLLPRLRLGARCPAPHPSPALAATRRLARGPAPSPPLRAPQGSRFFADDRSHYDLFGKRRPGDEEFRKAWQENVDEEDCLWTASEDEDEEKENDTKMEREIKKVKKQAKENANLIDGDDSDELRSICPESDEDDMNLWSGSEEDDDNDIPTEPHPNERSDSYIDKVFEFDETPKYHTISELLKAEKEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNIQFFKDIQARMRDPNFNFSPELKLKPKSKLVPKKKWQKAQSRKRKNDKR >SECCE4Rv1G0293200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885092068:885093222:1 gene:SECCE4Rv1G0293200 transcript:SECCE4Rv1G0293200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCFLSQLLSSRSAAPLHRLLSAAAPAVSPKDPSFAVEEYLVSTCGLTRPQAVKATPKLSHLKSPANPDAVLSFLAGLGLSGADVAALVAKDPQFLCASVEKTLARNVDELTGLGLSRSEVARLIWLTSAGGHFRCRAIVSKLRYYLPLFGSSENLLRALSRSFYLVSADIERRIKPNVALLEECGLGACDIAKLCRSAPRMLLTSLESIQAMVECAEGLGVPRGSAMFKHALDAVSFISEEKIATKVDYLKKTFRWSDAEVGMALSKAPSLLRCSKDALQSKSEFLISEVRLEPEYIAHRPVMLNYSLNGRLRPRYYVVKFLRAKGLLDRDRDYYSVFCLVEKVFVQRYICPYKEAAPHLAEDYDAAHSGEVPANFKFT >SECCE5Rv1G0300490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:26453925:26455314:1 gene:SECCE5Rv1G0300490 transcript:SECCE5Rv1G0300490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELAYAPPMKSGKAGFEGPQEAQHRIRITLSSKSVKNLEKVCSDLVRGAKDKLLRVKGPVRMPTKVLNITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSPDVVKQITSITIEPGVEVEVTISDQ >SECCE3Rv1G0207800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:924716261:924717706:1 gene:SECCE3Rv1G0207800 transcript:SECCE3Rv1G0207800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNKLGNLLKKATSSNPTLCQAIRCMSSSKLFVGGLSFNTDEGSLRDAFSHYGEIIDAKIIVDRDTGRSRGFGFITYAAEEQASSAIMALDGKDLHGRNLRVSVATERTSGFRNDGGGGFGGGGYGGGGYGGGGYGGNSAGGGYGGGGYGGNSGGGGGYAPPAGNDAFSGGNAGGDNFAAGNFGGDSGLGGNPAGNHGAPAGSTPGSSFESAKNDDVMDDLFKDDEPDKYTSKNV >SECCE5Rv1G0326460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:480303568:480303990:-1 gene:SECCE5Rv1G0326460 transcript:SECCE5Rv1G0326460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPEVVHSGGCHCRRVRWQVEAPASVVAWICNCSDCSMRGNTHFVVPKDKFALQPGAGEFLTTYTFGTHTAKHTFCKVCGITSFYIPRSNPDGVAVTAACVDPGTLAHVEYRKADGRNWEQWIEGSGISEFSKPKAAE >SECCE4Rv1G0244440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:515224493:515226270:-1 gene:SECCE4Rv1G0244440 transcript:SECCE4Rv1G0244440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNAAVEADAEDDYMGDLSHFLPPSPSSPSRSLGVRKQPPKPVPPARGQAKRAKGLPWKERRRQERERSQREEDARTLAGMAEAIPESNVGFKMLKQMGYDPAARGAGAEPVGIEIRRSRAGLGAEPDASVAPLPQPSEPKTREEEERERKREEEMVVELRSRKSTQWKGRRLVWDYRKAEAALAQLENREVDPPAPDGEEKEKGEDEEEEVITEEGLQTILHQLRDQHHYCLYCGCKFESAEALANECPGPNEDDH >SECCE4Rv1G0231450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:184236466:184241818:-1 gene:SECCE4Rv1G0231450 transcript:SECCE4Rv1G0231450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKREIVASEVENLQKKTRPAAADDAMAMAGRPTEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGNVELWDLSSNFFLSEKDVGQNRAQACVLKLQDLNNAVIISTLTGDLTKEHLSKFQAVVFTDITLEKAVEFDDYCHNHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKVKNARPYSFFLEEDTSSFGTYARGGIVTQVKPPKVLKFKPLKEAMKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNELRRFPVAGSADDVQRLVDLAISINETLGDGKLEEIDKKLLQHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPGDLRPENTRYDAQISVFGSKLQNKLEQAKIFMVGSGALGCEFLKNLALMGISCSQNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNGFLSNPSAYVAAARTAGDAQARDQLERVIECLHGDKCETFQDCITWARLKFEDYFSNRVKQLTFTFPEDSMTSSGAPFWSAPKRFPRPLEFSSTDPSQLNFILAASILRAETFGIPIPDWAKTPNKVAAEAVDKVIVPEFQPKQGVKIVTDEKATSISSASVDDAGVIEELITKLEQISKTLPPGFHMNPIQFEKDDDTNFHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSIAEPVPPKTIKHKDLSWTVWDRWTVTGNISLRELLEWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDRKVVDVAREVAKMEVPSYRRHLDVVVACEDDDDNDVDIPLVSVYFR >SECCE7Rv1G0511510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803694341:803697374:1 gene:SECCE7Rv1G0511510 transcript:SECCE7Rv1G0511510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGLRMLLLGDASPWSIAGAAAAVALLWLGAWVLEWAWWTPRRLGRALRAQGLRGTRYRLFTGDVRENARLSREARLQPMPRGSHDITPRVQPMFCNVIKEHGKMSFTWFGPTPRVTIHDPELVREILSNKFGHYGKQRSSRFGKLLADGVASHEGDKWAKHRRILNPAFHHEKIKRMLPVFSACCEEMITRWENSMSTEGLSEIDVCPQFQNLTGDVISRTAFGSNYQEGMKIFQLQGELGERLIKAFQTLFIPGYWFLPTKNNRRMRAIDREIRVILRGIIGKKERAIKNGEASSDDLLGLLLESNMQQSNGKANLGLSIEDIIQECKLFYFAGMETTSVLLTWTLIVLSMHPEWQEKAREEVLHHFGRTSPDFENLSRLKIVTMILYEVLRLYPPAILLTRRTYKAMELGGIRYPAGVNLMLPLIFIHHDPDIWGKDASEFNPQRFSDGISNAAKHPAAFFPFGGGPRICIGQNFALLEAKMALSTILQHFSFELSPSYIHAPYTVLILQPQHGAQIRLKKI >SECCE3Rv1G0184620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:664750161:664757353:-1 gene:SECCE3Rv1G0184620 transcript:SECCE3Rv1G0184620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRWGPQFEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSRIIATHTDSPDVLIWDVEAQPNRHAVLGATDSRPDLILRGHQENAEFALAMCPAEPYVLSGGKDKSVVWWSIQDHISGLGDSSKSETSPGASGSKHSKTANEKDSPKVDPRGVFHGHDSTVEDVQFCPSSAQEFCSVGDDACLILWDARTGTSPAVKVEKAHGGDVHCVDWNLHDVNYILTGSADNSVRMWDRRNLGPGGAGSPINKFEGHKAAVLCVQWSPDKASVFGSSAEDGFLNVWDHEKVGKKKNPNSPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEDEVVSELETFKSHLASCTPRA >SECCE4Rv1G0256420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:656636300:656637676:-1 gene:SECCE4Rv1G0256420 transcript:SECCE4Rv1G0256420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYIESGVSLLVLNENDSEPTIEWVFLKPLTMELWLAIVGGFFFTGIVVWMIERPKNLEYQGSSSRQFSTALYFSFSTLTFSHGQIIRSPLSKIVVVIWCFVVLVIVQSYTASLSSILTAKRLRPSVTNLGQLLSNGDYIGYPSGSFVYSILKKQGFHENSLKAYAMEVEYADALRKGSKNGGVSAIVDEIPYLISFLSDPQYHNEFQMVNVLYKTPGFGFVFPQDSPLVHNLSVAILGLIGGDEGSRIEAKWLGTATPLPSYGIPNTDATPLTLRSFSGLFIVTVCISALMLLIRIAKLVHAQYSKVRDSGVQSADGDGGSEGHGGSDPLQNMAGQPHHEARNDDPQGVNGGGESTGDIELSGSVSEDSILIEMNTG >SECCE1Rv1G0035780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:501337517:501338209:-1 gene:SECCE1Rv1G0035780 transcript:SECCE1Rv1G0035780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVLDSPPAQLPAAGAWGSLYGVQEPLKCQRVPVVPAAAKKKKPATAYGVKRNLEMCTEALGSETGGVDTAADDVDVGMARKRHAWEHEEETKAEPMERRVRVLPPPLTTLAAGAPRMRMVHERRAGRLEVYAVRSSGVEAERSDGRLRLRFLPCAACKCNAAKCSQQESQEGGEHEADQRQRPEDAHVVAKYARGGRCVEAEGGATAARRGGKWEPEQAAAFWVAIT >SECCE2Rv1G0083600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:168646018:168651154:1 gene:SECCE2Rv1G0083600 transcript:SECCE2Rv1G0083600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWSMAVAAVGFRLVLVLFGGDLHLASRPEVSTPLTSLRRLAEGYWLKQASMSPYSGSMYHGSPLLLSVLGPLTSKRSGGHHSHIYCSLVFVAVDFLAAMLIRSTGRTLQMARNRSLKSLDLTKSVNNSVNVSAGDTASLIYLWNPWTIITCVGSCTSPIENLMVVIMLHGACSRLAPLAAFGYVMATHLSLYPAILILPVALLLGYGPDTPPTKVFLQKGLTANEIDMSDNGKGTSQKGFGQFSWKPILHFILWVFIWSCYVLLLNSIILNKVGGLQEMFEKTYGFILTVKDLSPNIGVLWYFFAEVFDFFRSFFLIVFNMNIIFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSAGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVVETVSSMIKHDRKLRLLTKA >SECCE2Rv1G0124990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:837541761:837546034:-1 gene:SECCE2Rv1G0124990 transcript:SECCE2Rv1G0124990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHQKQYTSVGMIDDKQNVKVKEEGGENINAQEKKKQNKEEGDKKKEEKKMTKYEKERTRGNDKYNEKEKEKGDRRKRKENEKKMKAHKEREEDKENDKEEEQSKEQKDKKNCPQFFRSLITSSSMEQETIPEDCHKYLEECTGAVSLRGPSGNLWPVELAKISGELCFARGWKEFLCDHRIVYGYLLVFRYDGNSQFSVTVFLPSSCEAPYAFLAQPQRIGAAAVAAEDENGHTGTNADGTALLEEDSHIGTGVDCTPQNEGEEEEDASEEYEGSDNTSVDADGTGPQKEEEDALSENPENAEDSEWRSTPSQQQKEERDMIDNGFVVGKRNRFRKVDYIMTEVVRSKKSKAKEGKRHEALSGDSESEGEALGDSLAKSGRRPPRKSKATEEKRPEAPSGNSLAELVHRSPKMSKAEGKRSTALACKRAASRGSLAEESKRRPPRKPKAAKGKRPQAPCGHSESEGAASGDSLAELVRRPPKKSRAEGKGSAASSASKGMTSSDSLAGVFAPESVCKDLTKLHKSFGKKHSLKTQFPMFNKSNSENQPGRVIVKVQRRPELKSQRRPITQRDKEYAMDRALTFKSKRPFVIKEIKQTDVYVSYFMIIPDMFVEKFLPKDSRKMTLWDPQAKPWKVWYEYTGGECPRGAFSSGWGALAIGNHLEKLDVCVFELLDDDYNIKLHVYRAVVEITLPVVAPKHHP >SECCE6Rv1G0385360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:75937275:75939183:-1 gene:SECCE6Rv1G0385360 transcript:SECCE6Rv1G0385360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQATPPAAAGVDLSPAATDLGRVHLLPCGIRHNSAAAVSDYFKPRDTGVEVDGVKVEEAFFRGRNLHGATVALPDGYRGYVLEKKKNEEKDAQGMDEEASNFVSRAEFQNITYWNHDTMPSAEDPLPRCFHWLAIANAMHKPVTAEDMANMSARQNQNS >SECCE3Rv1G0163560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:148839570:148839911:-1 gene:SECCE3Rv1G0163560 transcript:SECCE3Rv1G0163560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEDFRVCHDIASLAKKMVELERHVMFPAVYRLIEVALLLPVVTTIVERAFSSMKIIKTELRSKMADGWLNDLMVCYIEREIFKSIDLGKIKEDFQKEGRALPLPRSSTCH >SECCE1Rv1G0042160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:577726290:577726862:1 gene:SECCE1Rv1G0042160 transcript:SECCE1Rv1G0042160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEGAAGTTNQAARVRYGDVDDSNFALRGRAVPLLAGLLALLVAFVAVCLYLRWWCGRRRASRDADREASSSSAAASPVPGLDADAINGLPVTLYSPPASSPARSPSRQKGDGGDEEQAAAVECSICISALVAGDKVKALPPCGHCFHPECVDAWLRSQPSCPLCRTLLLPAVAKPADVVNGGDPPPV >SECCEUnv1G0529260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7020461:7021306:1 gene:SECCEUnv1G0529260 transcript:SECCEUnv1G0529260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKLSLLVLLVLLLPAAGDAGANVAADAPPGGGFNVSEILAKFPEFTLFNYLISKTRVAKDINNRSSVTVLAPVNADVDWLLRRSARLPRAALLELLSVHVVLDYYDAAKIAALPRGRGAKPVVATTLYQTFGPANGDKAGFLTITPAPNGGAVFASAAPGAIVNATFKKAVTARPYNISILQISNFVVPPGVITKPRAPPPPKMMSSVSAVAPSPAPVPSPSPSALPCPPVTMPIEEPMEETPASAPAPSQGHAVQAMMGWWSGAGVALGMACVLAHL >SECCE6Rv1G0426990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:717119162:717122044:-1 gene:SECCE6Rv1G0426990 transcript:SECCE6Rv1G0426990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFALIDFVSSTVASHLWNPVITRMRYLIEKEENIGKLDSTIRNLEARKQEIQIRLMNSERKQETCNPEVAEWLEKVAVIESEVTEMKHGQRKRRAQSSSYWSNYETGMQAAKKLREAEMLHEKGSFKQVSIEVPPCFVQEMPTVPSVQGTDCNLRRVLQYLKDDRIGIVGIWGMGGVGKTTLLKKINNHFLVVNEDYGYDLVIYAVASKACGIGQLQADISEKIGLFLKPGSSNETRASVMLSFLRRKKFLLLLDDLWNYLDLAEAGIPYPNGLNKQKVVLATRYESVCGHMDAHSRVFLECLDQENAWKLFKEKTTEEPINSDPRIEKLAHAVAEECGGLPLALVTIGRAMSTKKSCHEWALALSFLKKSRIHEIPNMGNVGHLYTRLKISYDYLQDKQIKECFLCCSLWPEDYSIWKVELIDCWMGMGLIEYDTMEEGYNKGYAIIEYLKDMCLLEAGYLEDSEVRVHDIIRDMSLWISSDCSKDHRKWIVQAGLGLHNISNMDIKTFRSARKISLMCNYITELPQALNCPNLQFLSLQQNFRLKVIPPSFLKSILSVTYLDLSWVPFKELPEEIGILVELQYLTLKQTHIRLLPIAIGLLRKLKFLDISYMDFLEKIPYGVFTNLTMLQVLNLYGSRYAGCEADFDPGNHMDYDEFRVEELSCLSLGITAKRVSTLQRLFDVPGVHLRCLGLYELNGERSLTLTLTESIFVLNVMGCSDLEDFFIMNKPQCYGDHLSRLEFLTFWDLPRLEKISMEHLQNLRVLTVGRTYQLVDLTCILKLPYLEHLNVSCCDKMKQLVDIQNVIDTEGRYQIPIQAFRQLRILQLNSLQSLDRLCNSKLDFPSLEYIDIFACPRMKKLPFGKMGKLKRIRGEQTWWDNLKWDDESSSLPLLPFFKSSEICSASFRPELHATVISSSPKAFFTKRQPMLNSSVRFTSYPHSVFETEEFDGHMKME >SECCE7Rv1G0517150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852319868:852320272:-1 gene:SECCE7Rv1G0517150 transcript:SECCE7Rv1G0517150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLALFIALNLVLLAAAQGCGPYCPPVIVPTPPIRPPPFVPSTGGGSCPINTLKLGVCADVLNLVKLRTGVPENEQCCPLLGGLADLDAAVCLCTAIRANILGIKLNVPIDLTLLLNQCGKNCPSDFTCPI >SECCE6Rv1G0400630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:455792475:455796347:-1 gene:SECCE6Rv1G0400630 transcript:SECCE6Rv1G0400630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRFEEAAGHFSDAIALAPANHVLYSNRSAALASIHRYSDALADAEKTVELKPDWAKGYSRLGAAHLGLGDAPSAAAAYEKGLALDPSNEGLKAGLADAKKAAAAPPRRPPSGGADAIGQMFQGAELWTKIASDPNTRAYLDQPDFMQMLREVQRNPSSLNMYLSDPRMMQVLSLMLNIKIQTPQDSDFPQSSSPSQPPSQQQKQQPETKAREMEPEPQPEPMEVSDEAKERKERKAAAQKEKEAGNASYKKKDFETAIQHYTKALELDDEDISYLTNRAAVYIEMGKYDECIADCDKAVERGRELRADFKMVARALTRKGTALAKLAKNSKDYDIAIETFQKALTEHRNPDTLKRLNEAEKAKKDLEQQEYYDPKLADEEREKGNEMFKQQKYPEAIKHYNEAIRRNPKDARVYSNRAACYTKLGAMPEGLKDAEKCIEIDPTFSKGYTRKGAVQFFMKEYEKAMETYQAGLKHDPNNQELLDGIRRCVEQINKANRGDISQEDLQEKQSKAMQDPEIQNILTDPIMRQVLMDFQENPRAAQDHLKDPGVAQKIQKLINAGIVQTR >SECCE4Rv1G0267670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736757290:736759371:-1 gene:SECCE4Rv1G0267670 transcript:SECCE4Rv1G0267670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRAASLLLARRTALAAHRAPAISGSAAGAIRRAPAFFSTLDAGQARTRVEDVMPIATGLEREEIEAELQGKKRFDMDAPVGPFGTKEDPAIIQSYFDKRIVGCPGGEGEDEHDVVWFWLKKDKPHECPVCTQYFKLEVIGNGGNPDGHDDDDDHHHH >SECCEUnv1G0561530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:355732999:355734144:-1 gene:SECCEUnv1G0561530 transcript:SECCEUnv1G0561530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRQRVLSAAPSRSTSPLHRLLSAAAPAVSRNPSFAVEEYLVSTCGLTRAQALKASAKLSHLKSPAKPDAVLAFLAGLGLSGADIAALVAKDARFLCAGVERTLSPVVAGLTGLGLSNAETARLLSLVPHRFRGRSIVSKLEYYLSLVGSAENLLRLLKHGSGILGSDLERVVKPNVSLLAGCGLGACDIAKLFVRIPRMLCAKPERVLEMVACAESIGVSRGSGMFWQALHTVAYVSVDNIAARVDYLKKTFRWSDIEVGIAVSKGPFLLRRSKDMLKHRSEFLITEVGLQPAYIAHRPAMLTYSLEGRLRPRYYVVRFLKENGLLEHGRSYYTTLIRTEKVFMEKFIRPHKEAAPHLAEDYAAACKGQVPARFRFT >SECCE3Rv1G0205330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899830084:899833207:-1 gene:SECCE3Rv1G0205330 transcript:SECCE3Rv1G0205330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGQVCRSWRGAARDEHELWRRINMLGHADLEHELNFPGMAQAAVRRSAGRCEAFRCEYAADHDFLLYLADQAPSLKNLRLISCYDVFDDGLTEVIMKFPLLEELELSLCPNVDDSGVFGVIGKACPQLKRFRLSKGVFFDYEASDQEKDEEAMGIATMHELRSLQLFGNSLTNKGLTAILDNCRHLESLDIRHCFNITMDDTLRAKCARISTLRLPNDSTDDYDFIVKIPIWGNSESFLGDYMGSGSDYELGSEDYDDYCDPSRYLDGVYEDELNDEARMLLRGMHMLMK >SECCE1Rv1G0047560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:626417009:626419967:-1 gene:SECCE1Rv1G0047560 transcript:SECCE1Rv1G0047560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPAAPAMAFKAYKYKEVLVRDYLLADSYAPYAAVLGGILMCKLAYDFTRIISSFNFKGYASLNKMQKIEWNNRGMSTVHAIFITVMSVYLVFFSGLFSDQLDGPVTVRSSSLSSFTLGVSIGYFITDIAMIYWLYPALGGMEYVIHHMLSLMSTMYAMLSGEAHVYIYMGLITETTTPGINLRWFLDVAGMKNSKAYLVNGVAMVVTWLVARIILFMYLFYHMFVHYDQIKKMNTFGYFLVLIAPSIIFVMNVLWFSKILKGLKKTMAKRHAE >SECCE2Rv1G0114300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:733277682:733281306:1 gene:SECCE2Rv1G0114300 transcript:SECCE2Rv1G0114300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSVKRGGAAAARKGSATKARPPKPQPVAEEAPVEVVKVAEEAPPKVEEQKRQPSPPPQQPAAEEKAKADAAENGASHAEDDGAAKETYEEEDKGERLEFEDEPEYEEDAAVDYDEKDLEQYEEQYEDGDEGLEYTEDVVEEETEMVDEEELENGGDDGEGEEYENADEDHDVDVEDEDHHEMVKAHRKRKEFEVFVGGLDKDATESDLRKVFSEVGEITEVRLMMNPVTKKNKGFAFLRYETVEQAKSAVSDLKNPMVRGKQCGVAPSRDNDTLFVGNICKTWTKEHLKEKLKIYEVENFDDLILVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRTAKVSFADSYPEVDDEMMAQVRTVFLDGLPPSWEEDRVKKYLRKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACVDGITSSEIGEGDSKAKVRARLSRPVQRPPRMKHGLRGNFRIGHSAPRGGRFPYTRPPLRRPLPRLVRPDTRLPPIRRPPLKRPIDIRDRRPVMSMPDRVRRMPPPERSYDRRPPAPVYPKRSPRREYGRRDEPPPPRSRATFADYSARVPVDRRHTYRDEYSPRESAYSDLAPRSAPRFSDRRAYADDGYVEKIDRPLPTYREGRGRDYDTISGSKRSYVEMDDVPPRYHDISVRQPKARLDYDVGGSSARYADAYTERLGRSHVAYSSSRSVAGDPVYSSSRHGLSYGGSTSTGDAGGNYSSNYSADYMPRGSDVGGSSYSSHYSGRNTGSSSGYFGGSGSSSYY >SECCEUnv1G0562390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:365629155:365631247:-1 gene:SECCEUnv1G0562390 transcript:SECCEUnv1G0562390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNVVQVLAAVLTLLVTTRALWYLLWRPYAVARWFGQQGIRGPPYKFLVGSLRDCQRMLVAGRARDLDTSSHDCISTVQPFFRKWASLYGKTFLYWLGPTPALCITDMELVKKVLSDRTDMFQKDYLNPSLEAILGNGVIFANGDDWKRRRKFIHPAFSQEKIKSMSAITLECTQQMMEQWRTQMQESNMQQAEIDMMHDSDDIAMRVIARVMLGKNYKEAWEVFMAGREQLKLAAYAFADPPVPGFRYLPTRRNRRTWQLDKLVRTKISEIIKARLASSAYGDDLLGQMLWLQRLGAGATAETLSTDEMVGECRTFFMAGYETSANLITWAMFLLASYPRWQEKIREEVIREYPAHQPPLGDALGKLKLLNMLLLETLRLYGPLSFLQRKTASDTILAHVKVPKGTMITIPLVMLHRDKEVWGPDADEFNPMRFQTGFSRAAKHSHALLAFSYGPRVCVGQNFAMVEVQIVIATMLKSFTFSLSPTYVHKPSNFVTLTPKYGLPLIVKNLQLTR >SECCE5Rv1G0324770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:456815761:456819776:1 gene:SECCE5Rv1G0324770 transcript:SECCE5Rv1G0324770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVEPPAPPSRTPIGARLAARGVRPRRVSAKRSWPPGCGRFRAAPPAPEAGDGERGATNGVIEGRVEEAASHAGVSSAAAPPQPDTEGLAEGTKEVGDAIDTVVVSSAACNGDPPHAPPQPETMPEERKEGRETHMDRAGVSSMACNSDLPHALPQPETEGMVELRKEGGEAHMDTAGVSSVVCNGDLPHAPPQLETEGMVELRKEGGEAHMDTAGVPSLANNGDLPHTPLQPETEGMVEVREEGGEAQPSSGDARGQAESSAIEVMPLAFAAPRSFDVAAVNGSVKNGGDGAKSLLTEGNRGLWSGRLIREEDVAGNGDGKVMKNRAGNGELERKEDGHDTVRKKRWLMSAVNPPPKRRAISAVRRFPPGCGRSAVTGTGSIVEEGSVLEATPISFAARGASVVDALTTVSAPGHGASPVVMRDASNEEVQGKRAEVEGAGDGEVRGKFKGSLREGTLKTYVRGGGVDAKAKGKRPKSVMINDTLLNDVGASADGTPRNKNSSTKRDDVRSNSNAKQGVVKLKSNSIGDDSLIRSSKESRCGNHVMTDQSEETDDLTFITDRLIVQALMAPDKCPWTKGRKSIGSASKSLTPRNKSLPPKKPKKKDTTPRKELPSKVTPSTSTMHEKIEHGEYSCLEDDDNSMALVVPKRKELSVTLPPCAPFGDQSVDARSKVRKLLKLFQLICRKLMQAEEQHLRNFGRIDLEAVEVLKKYDGYSKPDAIVGDVPGVVVGDEFHFRVELSIVGLHRIYQGGIDSAIVDGSRIAISIVASGGYPDELSSSDELIYTGSGGKATGKKEAEDQKLKGGNLALKNCIKTKTPVRVIHGFKGQSRSEVGHSKSKQISTYTYDGLYLVVDCWQEGASGSMVFKYKLKRIPGQPELALHIVKETRMSKVRKGLRCPDISLEKERIPICVINTIDDMQPTPYEYITKVIYPPSYAKEPPQGCDCTDGCSDSSRCACAVKNGGEIPFNFNGAIVHAKPLIYECGPSCRCPPTCHNRVSQHGIKIPLEIFKTGETGWGVRSLSSISSGSFICEYAGELLQDTEAEKRENDEYLFDIGHNYDDEELWKGLPSMIPGLESSTSETMEEDVGFTIDAAKRGNVGRFINHSCSPNLYAQNVLWDHDDKRMPHIMFFAAENIPPLQELTYHYNYTIGQVRDKNGVEKVKECLCGSADCCHRLY >SECCE7Rv1G0504390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722452364:722453338:1 gene:SECCE7Rv1G0504390 transcript:SECCE7Rv1G0504390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWLKLCIALTCALLLSSSCHGLQVGYYKKTCPRVEAIVRDEVKRFVYKNAGIGAGLIRMFFHDCFVQGCDASVLLDPTPANPQPEKLSPPNFPSLRGFEVIDAAKDVVEKACPGVVSCADIVAFAGRDAAYFLSRMTMKINMPAGRLDGRVSNSTEALDNLPPPFFNLDQLIASFAAKGLTAEDMVVLSGAHTIGVSHCSSFVSDRLAVPSDINTGFANVLRRQCPASPSPANDPTVNQDVVTPNALDNQYYKNVLAHKVLFTSDAALLATPATTQMVRDSANIPGQWEAKFNKAMVKMGAIEVKTGYQGEIRRNCRVINH >SECCE7Rv1G0474710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:175799318:175804743:1 gene:SECCE7Rv1G0474710 transcript:SECCE7Rv1G0474710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVIPSPANGAVPEPAGWLMDERDGFISWLRGEFAAANAIIDLLVVHLRAVGDPGEYDHVFAAVQQRRHHWAPVIHMQQFFPVADVAYALQQSGWRRRLPPPQQHGPVVSPAPPPPPPRRASFAPSHNHSHHRHAAHHRYDPPRAAGNVPPAGSDKDGREFHNKEGKGLKEGENVADAKGPRLDSPVTDAGEKNSSLQIIAEGSSKVVPTPVEYTTSEIIDGKPVNTVEGLKVYEGLVNVTEINKIVSLVNETKASSRRGGFEVGQTVIVGKRPLKGHGSVIIQLGVPIIEGPLEDENQRETRVEPVPGLLHDLFDRFFQQGIVPSKPDYCVVDFYYEEEYSHPQQPPSWYGRPLCTLCLTECDMVFGRVIFGERGDNRGPLKLSLSTGSLVVLQGRSADVAKRAIPATRKQRILLTFGKSVARKLAPPESASRPTAPLTPPSMPWGPPSRPANVRPHSPSPQHFGYTPTSSVLPAPTTGPHHIPPSDGMQTLFVAPAPVAAAAIPFPAAVPLPNATAAWMPEAAPRPAPPRFPVPGTGVFLPPGSAHQLPHQMIQASHAHAEPNSPRGSAAYVANGSASPKSSGTARRADTIEAKPECNGGSNSVGEEQQNGGMKNAGLSKVEPSAAK >SECCE6Rv1G0444180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827146379:827151664:1 gene:SECCE6Rv1G0444180 transcript:SECCE6Rv1G0444180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLGTAAYKRVPSRDAAMDPDLETPEKTPDGGAGAGPSWRRSLPHVCVATVTSFLFGYHTGVVNEPLESISADLGFAGNTLAEGLVVSICLGGAFVGCLFSGSVADGIGRRRAFQLSALPMIMGAALSALTNSLEGMLFGRLLVGVGMGLGPPVASLYITEVSPPSVRGMYGSFVQIATCLGILFSLLVGTPVKDIDRWWRVCFWVSAVPAALQAIAIEFCAESPQWLYKCGRTNEAELQFEKLLGPLHVKSAMAELSRSERGDDGESVKFSELFYGRHFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPSSLANICMGIANLSGSIVAMLLMDKLGRKMLLAGSFFFMAFSMGLQAIGANRHLGSASVYLSVGGILLFVLAFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWGVNFFVSLLFLRLLDQLGPQVLYTMFSSACVVGAIFVRRHVVETKGKTLQEIEVSLLQTQ >SECCE5Rv1G0330380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:528241222:528250886:1 gene:SECCE5Rv1G0330380 transcript:SECCE5Rv1G0330380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAAAPPRLRGGSPATTPPPPADRETDVGNVRKNIFLAYKTLGVVFGGLVTSPLYVYPSMNLSSPTEADYLGIYSIMFWTLTLIGVVKYVGIALNADDHGEGGTFAMYSLLCRHADIGILPSKRGYSEEEPLLHEQSAAIRPSKLGKFFERSITARRVLLFMAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKPVVEALSAAILIGVFLLQKYGTSKVSFLFSPIMAAWTFTTPIVGIYSIVRYYPGIFKAISPHYIVHFFIRNKKQGWQLLGGTVLCITGAEAMFADLGHFSKKAIQIAFLSSIYPSLVLTYAGQTAYLINNVNDFSDGFYKFIPRPVYWPMFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSHQKEGEVYSPEINYILMVLCVGVILGFGGGKEIGNPFGVVVIMVMLITTIMLTLVMIIIWRTPPVFVGMFFVPFVIMEGSYVSAVFTKIPEGGWLPFAVSMILAFIMFVWYYGRQRKIEYEMANKITVERLGELLAMPEVQRVPGLCFFYSNIQDGLTPILGHYIKNMSSLHTVTIFVTLRYLLVSKVDQRERVLIKRLGPRGVYQCTVQYGYADNLSLKGGDDLVAQVMTCLKRHIAMSTDRRSSVSTEEEIANLEAASSAGVVHVRGKMRFYVGDDAGCFDKVMLRFYEFLHSICRSALPTLGMPLQQRVEIGMLYKV >SECCE4Rv1G0292950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884233716:884236458:-1 gene:SECCE4Rv1G0292950 transcript:SECCE4Rv1G0292950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMETGDRGSVPCSYAQLPDDAEAGCTRSGRTGPLCAAILLTSAAVLLAVAALAGVRLAGQLPAAGVIMSGHPTTVDVAPRSTSSRGPESGVSEKTSGAGSHGGMLGADAGGNAFPWSNAMLQWQRTGFHFQPEKNWMNDPNGPVYYKGWYHLFYQYNPEGAIWGNKIAWGHAASRDLLRWRHLPVAMSPDQWYDINGVWSGSATVLPDGRIVMLYTGSTNASVQVQCLAFPTDPSDPLLINWTKYENNPVMYPPPGVGEKDFRDPTTAWFDGSDDTWRLVIGSKDDCHAGMVMTYKTKDFIDYELVPGLLHRVPGTGMWECIDLYPVGGMRGIDMTEAVASASTNGGDDVLHVMKESSDDDRHDYYALGRYDAAKNTWTPLDADEDLGIGLRYDWGKFYASKTFYDPAKKRRVLWGWVGETDSERADVAKGWASLQSTPRTVVLDTKTGSNLLQWPVEEVKTLRTNSTDLGRVTVDHGSIFPLSLHRATQLDIEATFRLDSLDIAVANEADVGYNCSTSGGAAGRGMLGPFGLLVLADTRRHGGDMERTAVYFYVARGLDGGLHTHFCHDETRSSRANDIVKRVVGHTVPVLDCEDLSVRVLVDHSIVESFAMGGRLTATSRVYPTEAIYANAGVYLFNNATGARVTTTRLVVHEMDSSYNQAYMASL >SECCE4Rv1G0245490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:530744258:530746303:1 gene:SECCE4Rv1G0245490 transcript:SECCE4Rv1G0245490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAYARGSKPPAGPGERRQPRLAKELSRIEPKKLGIGLVAGCCLALLTYISFARLFAIYSPVFESTSMVMKNAQPASTAAVPSSTEEAVPVQKKIEVEEHKDLAGGDTEPKEPGFPEETKIDEKEEETPAETKSTQKEETAVTKPDGGDTTEEAKAKMTCDENAVDEGFPYARPSVCELTGDVRVSPKEKTMFFVNPSGAGPFDDNGEKKIRPYARKDTFLLPGVVEVTIKSVASPVSAPACTRRHDIPAVVFSTAGYTDNFFHDNTDVMIPLFLSTAHFTGEVQFLITNFKPWWVKKFAPLLKKLSNYEVINFDKEEEVHCFPGGQLGLYRDRDLIIGPHPTRNPHNYTMVDYNRFLRRAFGLPRDAPAVLGEKMTVRPKMLMIERKGTRKLLNLREVQALCEELGFEVTVAEAGADVRAFAETVNAADVLLAVHGAGLTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMQLRYVEYYVSEEETTLKDKYSRDHYVFKNPMQIHAQGWPALAEIVMKQDVMVNVTRFKPFLLKALDQLQD >SECCE6Rv1G0377950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:4074394:4084337:1 gene:SECCE6Rv1G0377950 transcript:SECCE6Rv1G0377950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGFDDGWWEANQQYLDNICREAEESSASRNPSTATTQHHAPPASSAAPLSRVPAASYPPPSSAAIPLPRAPAPPLYRAPAAPPHAAAAASPFTHPSHVPASAPRAPLHFSPPRELSQRVPDSGCGGGFSPPREFSQRPSSSAAAEDSDCQITAVAAGPDRHRGAPRERDAKAHGDRVKTVPPRGRLPRAAKEKESKSAEELRRELERTLKQMNDLKHEHIELRKGMKEKDLEIQAKEAEIHNLKKANVRDISSKGMDIDQPCHTPANEALHARGSCWTSTKRVSLEESAHPELERNKSKDIKTKGVQTDLPLNSGHLERKKVLMNNISSNLCAIWGRPANSMLGRSLISKILASCSEEMLTLFQSARLPDKCETSTEASSSMNNAISEVYDIIVKMNSDTIPIQTLLEALLNLCVVGNAVVVGRALRILHSILQNLLTHGTRSNQRNNVSIETYVDNNMEMERNNQEHSSALLNTPDPEEDGLHIGNMFLSSTFWTPFFTGVLQIALKYSEEGIRVDALSIMILIVRTSDSKGEREKFGFISVMESLHQFLQKENALLVKKHSVHLLFLLLNCPVMLKMLCSGGKDGSELMETVGCENEPQQAINSVLKDLSECLTCEATTSLELKLCRLVVNLLAYIASSGKLGYEVLLGSVTAQGASFLELTMEVLASQMECKVDFSTEVHELLNERYLLMREVLILLNRLASHAMFSKPTLEVLMGSKRCAGLTIDMANRLPQRSKYPLRQLNPQMANDLADLAQKFRSRVYGFLEEQQHSTAERCDAGASGKPPRVPR >SECCE4Rv1G0245710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:535286839:535288290:-1 gene:SECCE4Rv1G0245710 transcript:SECCE4Rv1G0245710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFFCLARCRFSRLMVAMQLVMGVFVICISMASLHRFYTTDSFLPGLDDSANCARLHTVGADGYAGFDIRALADRVDDVLVQLAELQDKLEATALKIGKKTKKGKAHGKQENMTLPEFRRFLEDEVIHPLYGAHISLRLIRIPRPDPDGGDDDTPVVDPLVNFFMAEETRKYVTTKGNREGRPNVYGTNRTYGTIGHACVLMRRELDEYMSYDVGSYCPDDWDLGQRLMLGGCDPLPRRRCLARASKLFQRPLPINESLWKLPDDGNVRWSLYHCRGYRCLSAKNPRPGYSRCVGCFDMDREKRRWVNASRNASTTSLADFRIDEVLAVKPGEVRIGLDVSVGTGSFAARMRERGVTIVSAALNLGAPFAETVALRGLVPLYATMSQRLPFFDNTMDMVHTAGFFEGWVDLQLLDFVLFDWDRVLRPGGLLWVDRFACARRDLDDYMYMFLQFRYKKHRWVVSFKSKDEVYLSALLEKPPRS >SECCE1Rv1G0005070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:23296628:23297846:1 gene:SECCE1Rv1G0005070 transcript:SECCE1Rv1G0005070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKPTMAMVAVVVMYAVMNTLTKTAFNQGMGTTILLVLRLLVATLFLAPIAYFKERKSRPKLTTVIFVYLFFSALLGNSLAQWLFFRGLRSTTATFASAFNNTTPMFTFLLALAFKLEKLDVATCSGAAKLTGTAVGLAGATVLALYQGPALTGAPSADHLAVTAHGSARSWAVGSAALLGYSGSLSLWFILQSKIGTKYWALYSGTAWMFLLSFIQMAVVGAATEKRTCQVWVPGTALQVVTVLFAGVAASGLGFLAMSWCVERRGPVFTTTFMPLIQIVTAGIDVAILHEQLHLGTVVGSAARFWIPNG >SECCE2Rv1G0140180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:930454253:930461393:-1 gene:SECCE2Rv1G0140180 transcript:SECCE2Rv1G0140180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAFSPACRRLPCNPISASTAAPSLVRLAGSSRRLRPASATAEPADVLPVPGSGAGELDGVVPAAAVLLEELPEGLAFQGAPSGSGGDKMLDLGINAAIVLGAITFALTKLLTVDQDYWHGWTIFEILRYMPQHNWSAYEDALRANPLLVKMMISGVVYSLGDWIAQCCEGKPIFEFDRTRMFRSGLIGFALQGSLSHYYYNFCESVFPYKDWWAVLIKAAFDQTAWSGLWNTIYFVALGFLRWESPSTILSELKSTFFPMLTAGWKLWPFAHIITYGVVPIEHRLLWVDCVELIWVTILSTYSNEKSEARILDDSSTTDTRDNSR >SECCE7Rv1G0483930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:333770955:333773272:1 gene:SECCE7Rv1G0483930 transcript:SECCE7Rv1G0483930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATAAAAAAAASNSLPPTTSQRPSPSSRILTTPRGSLSCRLLSSSPSQFPLGPVSAMASPGAAGEAASRKKLLIFDAEKDLAASLAEHTAGLSEKFAAKRSAFTVVLSGGSLIKALRELAEPPYLEAVDWSRWHVFWADERMVPKDHADSNYKLAMDGFLSKVPVPANQVYSMNDALSVEGAADDYENCLKQLIKNGVIAVSPVTGFPKFDLMLLGMGPDGHIASLFPGHPIVNENQKLVTYVKDSPKPPPERITFTFPVINSSAHIALMVTGAGKAGAVHKALSGNECSSDLLPVEMVEPQDGEMTWFTDKPALSMLSRI >SECCE6Rv1G0445750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:841006261:841010425:-1 gene:SECCE6Rv1G0445750 transcript:SECCE6Rv1G0445750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLSFKRMDSIAETMPDALQQSRYQMKRCFQRYVSKGRRLLKNQQLVEELEKSLDDKAEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEVDFGAFDLSTPHLTLPSSIGNGMQFVSKFMSSKLSDKPESMKPLLDYLLTLNYLGEKLMVNDTIDTVDKLQTALLLAEVFVSGLPKFTPYLKFEQRFQEWGLEKGWGENAERCKETLHFLSEVLQAPDPINMEKFFSRVPSIFNIVVFSIHGYFGQEKVLGLPDTGGQVVYILDQVRSMEEELVQRIKQQGLHITPKILVLTRLIPDSKGTKCNVEFEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYTQDASAKILDILEGKPDLIIGNYTDGNLAASLMSSKLGVTQGTIAHALEKTKYENSDAKWRELDQKYHFSCQFTADMIAMNNTDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRFATGINVFDPKFNIAAPGADQSVYFPYTQKQKRLTSLHPQIEELLYSKEDTDEHIGYLADKNKPIIFSMARLDKVKNITGLVEWYGQNKKVRDLVNLVVVAGLLNAAQSKDREEIDEINKMHNLIDKYQLKGQIRWIKAQTDRVRNGELYRYIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPMNGREAGTKIADFFQKCKEDPSYWSKVSTAGLQRIYECYTWKIYATKVLNMGSIYGFWRTLNKEERAAKQRYLQMFYNLQYRNLVKTVPRVGEQPPRTSASASASTSTAGAAVVRDEIVVRPKERKPRNRIQRMMGSLLGPKRRANT >SECCE1Rv1G0042870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:584775341:584779378:1 gene:SECCE1Rv1G0042870 transcript:SECCE1Rv1G0042870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01920) UniProtKB/Swiss-Prot;Acc:Q9LZV4] MASSSLLPPPTFANKYHALLHPHGARHRLVRCGAAPTDGGAGDELLSGALNLNLGRPDPVVATVVSDTGTDGWGDLFTELKRSLQADSPDQAAGDASPIGAGAGAAVPDGLLSSPLASEAANASAALVVPDGVVTSAPDMATDALDAIPDELLGALHLDASSPAVRVADDALSRMEELTAGMNEAERWALFGVVAVTWLYLTARPGVLSGAVDTYVFAPLQQALDTLLGRRSLKMSDFVVGERIGEGSFGVVYSGAVVPRGGPAIEERAGRAKTKLQLDDRYKEKVILKKIKVGTVGAKECGDYEEWFNYRVARAAPESCADFMGSFVADKTKSEFVKGGKWLVWKFEGDRTLGNYVTDRGFPANLEPLMFGRALRGVDSLTRAALVVKQVMRQLVTSLRRIHDTGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRTLLDPDYCPPELYVLPEETPTPPAEPIAAILSPILWQLNSPDLFDMYSAGIVLMQMATPALRSPSGLKNFNSELKAAGYDLNRWRETTRRRPDLQILDLDSGRGWDLATKLIAQREKGRLSAAAALRHPYFLLGGDRAAAVLSKLQLTK >SECCE5Rv1G0300690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27751271:27751957:-1 gene:SECCE5Rv1G0300690 transcript:SECCE5Rv1G0300690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPKSPPPEELMKRKQERYRKSLVVALNAYAKRNNMQITDFEFVEEKERNLVGGITAGYVHSNFVAKGVDGRPTLFFAEMLHGCFLEEHVIHCTPLEDTDSGCCFGCNHHARKLRHPTCGGYLGGLEDVPFPYAEEDSDDDCFID >SECCE1Rv1G0029940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:421900126:421900532:1 gene:SECCE1Rv1G0029940 transcript:SECCE1Rv1G0029940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAKVEFDERPPDDFDPKNPYGDPVAMLEYREHLVREKWIQIETAKIIRDRLRWCYRIEGVNHHQKCRHLVDQYLESTRGVGWGKDHRPADLHEPKKVVEVEE >SECCE2Rv1G0114350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:733811716:733816362:1 gene:SECCE2Rv1G0114350 transcript:SECCE2Rv1G0114350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G07440) UniProtKB/TrEMBL;Acc:A0A178UEW8] MNALAATSRNFRQAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGDLSRSELERLTRVFTQKIHDLIGTHTDIPAPDMGTNSQTMAWIFDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEYGKSISGSTFVIQGFGNVGSWAAQLIHEKGGKVIALGDVSGTIRNKAGIDVPALMKHRNEGGQLKDFHGAEVMDASELLVHECDVLLPCALGGVLNRENAPDVKAKFIIEAANHPTDPEADEILTKKGVVVLPDIYANAGGVIVSYFEWVQNIQGFMWEEEKVNMELHKYMNSAFQNIKAMCKSQDCNLRMGAFTLGVNRVARATILRGWEA >SECCE4Rv1G0215400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4641238:4645490:-1 gene:SECCE4Rv1G0215400 transcript:SECCE4Rv1G0215400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEIGGGGGGGFRCLMRRKPVDSDRVRAEGQQLAKELNILELVAIGVGSTIGAGVYVLVGTVAREHAGPALAVSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICIGEGVAWLIGWSLVLEYTLGGSAVARGISPNLALFFGGPDSLPWILARHQLPWFDVIVDPCAAALVLAVTALLCLGIKESSFVQAVVTIMNACVMLFVIIAGCYIGFQIGWEGYKVTDGYFPYGVNGVLAGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGAALSICCFLYMMVAVVIVGIVPYFAMDPDTPISSAFAKHGMQWAMYVVTSGAVLALCSTLMGSLLPQPRILMTMARDGLLPSFFSDVNKQTQVPVKGTIVSGICAAALAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPVEVPLPSSLRESMDYIQEYDEEKGRDPRGDEICNTSQIKDLIVVEPLKDPLLEKKQYTGTMNEMKRRKLAAFSIGSVCLGVLILTSSASATWLPFLPLCIGCIVGALLLIPGLGVLCWIDQDDGRHSFGHSGGFMCPFVPFLPVVCILINTYLLINLGGDAWLRVGIWLLIGVLVYIFYGRTRSSLVDVVYVPVAKADVRRSSSGLMS >SECCE2Rv1G0092610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:364183987:364184784:1 gene:SECCE2Rv1G0092610 transcript:SECCE2Rv1G0092610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKGSKMLQFVNYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPSKSSKTTGEREERRTLGLLLLRGEEVVSMTVEGPPPPDESRAKASAGGGVLSGTGVGRAAGRGVATGPLLQAQPGLSGPVRGVGGPAPGMMQPQISRPPMPNLSAPPVAYPQVVRPPPMGMPPMRPGGPPPMQMQFQRPPGPPPAPYPGGPPQQFMRGPPPMGPPPGRPGMPGMPPPPGMRPMPPPQFGQPPRHGMPPPPPGPQQPGQNPQQ >SECCE6Rv1G0445960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842027020:842028562:-1 gene:SECCE6Rv1G0445960 transcript:SECCE6Rv1G0445960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASREATGGAPQPLHVVVFPWLAFGHFVPFLQLSEQLARRGHAVTFVSAPRNLARLRPVSPRIRLLPLLLPSVDGLPDGAESTADVPPEKVDLLKVAFDGLAAPFAGFLDEACAGADGATEFGKRPDWIFVDFAHYWLPPIAEQHKVPCAFFHICSAPFMAFIGPKTANDAHPRTTAEDLMAQPRWIPFPTTIAHRLHEAKDMLQTFRANASGPSDACRLWQTEQRCPLVILRGSREVDGPLCPFLADLFGKPVALCGLLAPYDAALADHVGDESGSESASLMRWLDEQSARSVIYVAFGSEAQLTRDHVRELALGLELAGARFLWALRGPSAALLPDGFEERVGVAGRGVVRAGWVPQVRILAHGAVGAFLTHAGLSSLMESFLFGHPLVMLPLFLDQGVTARGMAARGVGLEVHRDEGDGSFVREDVATTVRRVMAEDEEGKAFTRNARELQAILWNRAKQDEYVDDLVEHLLRL >SECCE2Rv1G0081010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:135224693:135229185:1 gene:SECCE2Rv1G0081010 transcript:SECCE2Rv1G0081010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEMSKTQKNKATAHHLGLLKAKLAKLRRELLTPTTKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIMYKGAKVQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNMTFRRKEKGGINFTSTVANTHLDLDTVKAICSEYRIHNADVSLRFDATADDLIDVIEGSRIYMPCIYVVNKIDQITVEELDILDKLPHYCPISAHLEWNLDGLLEMVWEYLDLCRLYTKPKGLNPDYEDPVILSSKRKTVEDFCNQIHKDMAKQFKYALVWGSSVKHKPQRVGKEHELEDEDVVQIIKKI >SECCE4Rv1G0231730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:188294057:188322932:1 gene:SECCE4Rv1G0231730 transcript:SECCE4Rv1G0231730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MEEEENIEEELLLVESQLNGLQDKIKTLLDQQEELYERQAQLKALLEISKTSRDASNSAPSVALEDWSGKFSWDSQADDTRFNVFGISSYRSNQREIINSIMSGKDVLVIMAAGGGKSLCYQLPAVLRDGITLVVSPLLSLIQDQVMGLAALGIPAHMLTSTTNKEIEKFIYKALEKGEGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLVAIDEAHCCSQWGHDFRPDYKNLGILKIQFPSVPVIALTATATSKVQMDLIEMLHIPRSVKFVSTVNRPNLFYRVYEKSSVGKVVIDEIANFISESYPNNESGIVYCFSRKECEQVAKELRGRGISADYYHADMDVVAREKVHMRWSKSKSQVIVGTVAFGMGINKPDVRFVVHHSLSKSMETYYQESGRAGRDGLPSECVLYYRPGDVPRQSSMVFYENCGLQNLYDIARYCQSKKNCRRGAFFQHFGEAVQECNGMCDNCASSIELKDIDAAYHTKIIVSLLQEMQQNDQRATLLQLVDKFKTKWKHSVDLKKEEIEQLIVQLILDRVLKEEFQHTAYTTNAYVVLGPLWKPALQGNRPVKLTSAIHSQDSGDRFKSAKRNQMSNLEAKLEDLRRTISARNGGIFPHAVLSTQQISLLKCHTPTTIAELEKLIGKVKTDKYGSDIIEVMLSETGSGKDSGDGAKRQKKDKGVVFVESSEEEGVSQVCML >SECCE7Rv1G0453900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:199425:201074:1 gene:SECCE7Rv1G0453900 transcript:SECCE7Rv1G0453900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRFEAIKTVLMKSYDGLPYYLKSCFLYMSIFFEDRTLSRRRLVYRWAAEGYSEDTSIGDMHFMELVGRSMILPTQTSLCSIQGIDSCQLHDLIRDIGMAKAAEENLVFRLEEGCSSNTHGAVRHLAISSNWDGDKHQLETTVDLSRIRSLTVFGKWRPFYISNKMRFLRVLDLEGTKGIVGHHLEHIGEHIHLRYLSLRGCDGVCYLPDSVGCLKQLETLDIKYTGILMLPKTIIKLTKLCYLKAGNEFYVGEEQLIQSCCVPLAYCSMGPVRAYAVKVPVGIGKLKSLHTLRSVHLAWENNIIEEIKCLTSLHKLGVFGIDKGNSLEFCGAILGLRNLESLTVHSAERDLYDCLQGIVISPPENLRSIKLHGWLRKLPKWIMLLQNLVKIKLEYTEVSGNIRAMQALGDLPNLSILSLKEKTFQSEGPIIFQSGLFGSLLVLDLVYMEVEVESLVFEETTMPTLEVLSLRLTDCKTGFCGLELLPSIKEVLLCVLVSPMLIVIKENMAIEEAEEEAGHMEEKVKEDIGKQLISNKNSPILKVRSMI >SECCE2Rv1G0125680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:842621805:842627276:-1 gene:SECCE2Rv1G0125680 transcript:SECCE2Rv1G0125680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIDLCSDSEDDVKLCSKGKDTLLCSEDRKDNLVPIDGVDGSSLLLLNTPFAKNNAEQPITLDDDDWLSSNPASCSYRSPAGSSHRIYPLSGSSLMLYDSSYGKTKQEVDDDDDVYMYSAPPQSFPTSHLSLSDEEDLTARFNYADGGTGMFSSTSSIDWKLPFGTSQNVKTDSDSDDVYTYEGLHSQRMFPPSMPSFNPVNNGPEVSNGFGAQSQPNVEKRPLGCDERAIYEEALQHISQETKEEDVPEGVLSISLLKHQRIALAWMLSKENSSHCPGGILADDQGLGKTISTIALIQKERVQQSKFMSADSDSKNSVPLDLDDDDIVMAMDKNKPKGEPSDRLDHEFCASSSGSAFNRMAEAVKVEPKKKGRVNLPSSASTSRSATRPSAGTLVVCPASILKQWASEIKAKVAESSRLSVLVYHGGSRTSKPTELAKYDVVVTTYTIVGQEVPKQDSDDDMEPNHDEKYGLCPDFAARKRKLSKQTKKKAIKKKKVNSSAADLDGGPLARVRWFRVVLDEAQTIKNHRTKSARACCGLKAKRRWCLSGTPMQNTIDDLYSYFRFLKYEPYSSFSLFRSMIKGPISRGSSQGYKKLQTVLKIILLRRTKETLLDGEPIIKVPPKTIELKKINFTQEERYFYLALEEGSREKFKEFAAAGTIKQNYANILVLLLRLRQACDHPYLLKDDNQANYTDPASIEMAKQLPREIVMNLLEKLEVRRPICMICAEQRKNDMDSRTGPKEPPENAVITTCCHIFCYECAQESLSEEEVCPVCKQKLSSELLFSRPVLRLCISDELESYTITSSAAAAAAGDAASASGSSAAAVAAAGADSSAAGAVSSAAAGGADSSAAAAAAAAGADSSAAAAAGGADSSAAVADDLSSICEASYISSKIKAAVDTLKSIFNTHGPTDSDTPKAIVFSQWTGMLNVLELSLNSNFINFRRLDGSMSLDDREEAVQEFKADPDVRVMLMSLKAGNLGLNMIAASHVIMLDPWWNPYAEDQAVDRAHRIGQTRPVTVTRFTVNDTVEDRILALQAKKREMVASAFGDEKSGGIATRLTVEDLGYLFNV >SECCE4Rv1G0248710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:574626379:574629142:1 gene:SECCE4Rv1G0248710 transcript:SECCE4Rv1G0248710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKVLVVGGTGYIGRRIVRASLAHGHPTFILMRPEIGLDIDKLQLLLSFKAQGALLVEASMEDHRSLVAAVKQVDVVVSAMSGLHFLLQLKLVEAIKEAGNIKRFLPSEFGIDPARMAHALEPGRVTFDEKMEIRRAIEEANIPHTYVSANCFAAFFVPNLSQMRTLLPPKEKVRVYGDGNVKVIFMDEDDVATYTIKSIDDPRALNKTIYLRPPVNILSQNELIAKWEKLSGEVLERIPIPSDEFLASMEGTDIANQMAVGHFHHIFYEGCSTNFDIGEDGEEASLLYPEVHCTRMEDYMKRYL >SECCE4Rv1G0222930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:64529009:64529379:1 gene:SECCE4Rv1G0222930 transcript:SECCE4Rv1G0222930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGAASRSFLAAVRGRTASAAPRVRAAPIPAAPPRRIPASAPSSPLAAARPLAALMGSPAFVAARLTGHCAASARACCELSQGTPFCRTCQDR >SECCE6Rv1G0378920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11412154:11425414:1 gene:SECCE6Rv1G0378920 transcript:SECCE6Rv1G0378920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRLLETTKKVAAAAPELATRKRPSTSRGPAVSPATAVSRASLLRLKQAAASKKTALPSSLPHALANDGEEADNSRDFTKEILSILNGPDEAEESGTTEALLEESEDEVDAIGNKILDMEWFAGSQPSNAMMHLRKEVAREKKKRYIFKNTESRRFTRLMRMCADKLGTESALEFFGRLGRDTGPKEFNALIRVCLEKARACGDIDSAVEHIFRAYRLFEMMKDRGFQIEEDSYGPFLLYLVDVELLEEFEMFSAFFRDANPRSCTRIPYYEMLLLIRAQDEESIRELCGSVEDCSDEADYCIAESYMLAFAESNRKMDFVTFLEFLDPTKVLGSKYISSIFKYMGKLELENHAEKLLQKMTSREYSDVKVSSLIFDYAANIPSIEAEDVISAFNKWQEKFKVAPSVGVYDRIISFCCSSSKTSLALDVAECLCKSNPSVPIELLNPIIQCCEQGYELHMARPLYNLMSLYKLKLKPETFRSMISLCVKMKDFEGAYTILTDAEESGETSTVSLYNVIMAGYFREKNHNGAQMVMSQMQIAGVKPDSETYSYLISNCDSEEKISKYLDELRRDGVQMTKHAYMALINAYSRLGNFDMAKQIALDKEIPPKCLSEVRSAVVGALASNGKVSDGLELFDEIKQSGGYLEPKAAIALIEHTQTEDQLDRLYQLLEEVSEPGMWFDGCGRVLQYCVQHNHPDAAVDLLKQLKEANEMSTYMIVDQVFCQIWEMEPVNLDVGMELLRAVKELGLNVSRTSLDFLLSACVKAKDSQRAQQVWEEYESAGLPHNVLTSLRMYQALLSAAQMSTAKKPAQLSAAKKLYKTIPREDPHVRCIIDSCRKTYNSKGGKRSAATKPSSGKQES >SECCE6Rv1G0451570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872890657:872892627:1 gene:SECCE6Rv1G0451570 transcript:SECCE6Rv1G0451570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAVVKMGRSEHHNDIANERSSPPSFRMEDLPAEVQSIIISLLPLKEVVRSRIVSTSWRMVWRFHCNLCFDGIATLDCDTDDEFKGTTKIRQANFIETINWVIQQHSGIGINKFGIRCGLHKEDSDNLDKWIEFAATSKAEIIAFSLVIIDYPFEFHHFPLEVLGTHEGSSFVRSLFLTGVSIKPQSGICSFTVLGKLVLKCVQIFGDFTGFLANCLALEDLEMIKCSGVTNLSIPHQLDKLQHLLVKKMDVEMIESHAADLAHFEYKGKEIPIVFNGRSKLEKATIMFEGRNGLARVFTTVPIIFRVKMLIVHARISAYEQLQKLTPRPDHKFIHLRHMNCQLSVLYLNVPNCDNGVLQLAHCLDVAPRLETLHLDMKYVHNMAWVNDDLVEEEGPHMRRHDHLKTVYISGFRCYTAQTKLACCILENACVLEHMRIQPWVSTRIYRCAIKLNVGVRRRFLPEVHEWARLTSERFGKAITVLDDPSE >SECCE3Rv1G0168360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:219441663:219447391:1 gene:SECCE3Rv1G0168360 transcript:SECCE3Rv1G0168360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPDAATGEASTPLSTRVRAFKIHPNVVRNFLDHHIRVKDQAARCGGSSFSSKSKDAARMFGCVIGVKSGQTVEILDSLDLLLDPVSGTLCRALLEKKEHYEKFFPGSVVLGWYSSRTIGAQQDTDMQIHKALMDAKGRALYIVLNPAINLSQMDLRVTIYDCVLGATDGSPQLNLVKFDCTTEILEADRISSNRGTGFKRCFLCPNRAISDANLLPLEKIDVAQRPHQAFRTMLLETPSGFAMFSVSARLLKYPEEIWSWFTRLSDARDAISTLGFIKVHDKSVARDSDVGPGEDLERFILKFTTTHALIVPDADLKFVIEKKLKVICWLDAHIVDELKWGLKYVLDKFLPEEKDSPCHLPLSKELEKKIKSYGFSVSPQVIGREFITTVGYLYELELTSESLSVFLHHKFDDCFYGRRLSDLEFAKAISDRLKSSEEMIPNYGEYSKQDIVRFTDYVLAAPGLKNDAISHLKKLERAASKYRLAVPDEQKKSMEFLRKAEARDKESKLVVNIEAAASKSKAVGKNKKDDDSDDPKPETRKRGVAGSVLKMPASNKKAKVATVRVII >SECCE7Rv1G0517660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:855390803:855391366:-1 gene:SECCE7Rv1G0517660 transcript:SECCE7Rv1G0517660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILLFLILAPLCVSITARLKCPGVPHNGAVATCYHGCGAKLIYDLCIRTMRRGYIDMSPSHKEETTVYAILVLSAAVESMDITSNMLTYQLQKNASISVQEWTFYKACLTDYDAALNSLYHSHDVMLPNCFFKGINDDYLSALAYLNSCRDRFIGSVMLTSPVYPMVLADRNKAVLAYSIGKLLL >SECCE3Rv1G0152070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:43963360:43963707:-1 gene:SECCE3Rv1G0152070 transcript:SECCE3Rv1G0152070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSATKLVPVALLAALLLVASDAAISCGQVNSALGPCIAYARGSGASPSAACCSGVKRLAGSVRTSDDKKAACLCIKRAAGGLNPGKAADIPTKCRVSVPYKISSNVNCNNLH >SECCE1Rv1G0014020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:109320494:109321951:1 gene:SECCE1Rv1G0014020 transcript:SECCE1Rv1G0014020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGFSTTGPLRIVICPWLAFGHLLPYLELAERLASRGHRVTFVSTPRNLARLPPPASRCNVDLLPLPLPRVDGLPEGAESTNDVPDEKRELHWKAFDGLAAPFAEFLAAACADDGRRPHWVIADCFHHWAAAAALDHKVPCAVLLPTAAMLAAAPRQQPLESEPVEAAAASVLRQAAAAVRLAVPRYERDEVAPAYADDCASGMSIAQRWFLAKEKCTVLAIRSCVEWEPETFPLVEALLGKPVVPLGLLPPSADGGRRRAAGSSEDHVTLRWLEEQPPDSVVYIALGSEVPLSVEQVHELALGLELAGTRFLWALRKPAGAVLDNDDALPPGFQDRTRGHGVVTTGWVPQISILAHAAVGAFLTHCGRNSLIEGLLFGHPLIMLPIFGDQGPNARQMEAKKVGLQVARDDDDGSFDRHGVAAAVRTVMLDGEARRGFVASALKMQAIVADKERHERYIDEFVQQLRSYLPTDDLTTTTPNSSS >SECCE2Rv1G0080540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:130714397:130717169:-1 gene:SECCE2Rv1G0080540 transcript:SECCE2Rv1G0080540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPGSPAPRWLPHSTLLLLAAVLFAASPSARALRFDLESGHTKCISDEIKVDSMAVGKYSVVGPDPSYPDAQLPESHRVSLRVTSPYGNSMHYSENVQSGHFAFTAVEAGDYLACFWAPDHKPPVTVTFEFDWKSGVTAKDWSNVAKKGKVDMMELELKKLEDTIKSIHEEMFYLREREEQMQNINRQTNSRMGWLSFLSLGICLSVAGLQLWHLKTFFERKKLL >SECCE6Rv1G0444400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827675295:827679642:1 gene:SECCE6Rv1G0444400 transcript:SECCE6Rv1G0444400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT5G34850) UniProtKB/TrEMBL;Acc:A0A178UD94] MRGHGFVALSLAALLCLVDGVYSGRTSSYVRTEFPSTDMPLDSEWFAPPKGYNAPQQVHITQGDYDGKAVIISWVTPSEPAPSQVFYSKEENRYDQKAEGTMTNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGTGDSAREFWFQTPPAIDVDASYTFGIIGDLGQTFNSLSTFQHYLKSGGESVLFVGDLSYADRYQHNDGIRWDSWGRFVERSTAYQPWIWNSGNHEIEYRPDLGETSTFKPYLHRYSTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWMWLRGELKRVDREKTPWLIVLMHAPMYNSNDAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNINYNVTSGNRYPVPDKSAPVYITVGDGGNQEGLAWRFNDPQPDYSAFREASFGHSTLQLVNRTHAVYQWNRNDDGKHVPTDNVVFHNQYWAGNTRRRRLKKKHLRYESLEGLMSML >SECCE7Rv1G0494800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:589518682:589519209:-1 gene:SECCE7Rv1G0494800 transcript:SECCE7Rv1G0494800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHIQADHESADDSGGAAGAALVLWDCGSALYDSYELTAFKRQLDAAVLAGRSLSMPHLPGASPAAQPAGRRKRARRLPALLRRLFSKVLRLASARGARHDRYRAYEGYGHGGYSGTGSPWSGALTSIPEESGGSPEAGTSPVVPGPSALRRAQSERFIGSKTATPMVQFDVVL >SECCEUnv1G0550220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:213810815:213811393:1 gene:SECCEUnv1G0550220 transcript:SECCEUnv1G0550220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSTDMKDRNMLFAAIPSICASSPKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNEVTPEESNEQQRLLRISLRICSTVVESLPTARCAPKCEKTVQALLCRNLNVKSATLLNATSSRRIRLQDDIVTGFHFSVSERFVSGSTFKASTIDLIREGLIVLRKVRVGGSI >SECCE5Rv1G0310390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:168407447:168408643:-1 gene:SECCE5Rv1G0310390 transcript:SECCE5Rv1G0310390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAQMSMAPPTNGGKRRCSRTGLGDIHEDMLERVLARLPPASFFRLRAVCREWRAVAASPTFLDACARVPPRDPWFLMLSERPHPVVAFDTAGRSWNACRAPTGSMPVAASGGLVLYSVLATGALCITNPLTGASRALPTPLHGQGQGVPQLHAIAMYGSPYRVALFTGELPALSMAVFDSSGGSWEGPVPLARRSETSSPDAPPHGGDETVYFLSKSGDVVASNMKRSSLKQYSSVVVPSECGAVVYFLSHSGTVLACDTASRTFAELPRILPVHFEYSIDVVACNGASYAVVLSDYLDTASLRVWQFAEGVWRQVAAMPPGMAHGFYGKKADINCVGHGDRVMVCVSSGEVNGCFMCDVRSNQWEELPKCINGDGEIIDFLAAFSFEPRVEINV >SECCE6Rv1G0411230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606281168:606283001:-1 gene:SECCE6Rv1G0411230 transcript:SECCE6Rv1G0411230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDRSAPLLANGSGAGAGRPSLRRRDSARSLRSSFLRRLPDKMRTELDPERGADVDVARVKDLSQGEREYYRKQLAALKTFEEVEALCMPGEFSSDDGDPDADDEEQKQSEFAMKISNYANIVLLAFKVYATVRTGSMAIAASTLDSLLDLMAGGILWFTHLSMKKVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLVTAIEQLVKNEPGDKLTSEQLIWLYSIMLSATAVKLALWFYCRSSGNSIVRAYAKDHYFDVITNVVGLVAAVLGDRFLWWIDPAGAVLLAVYTIANWSGTVLEQAVSLVGRSAPPEMLQMLTYLAMKHDARVQRVDTVRAYSFGALYFVEVDIVLSEDMRLREAHAIGESLQERIEKLPEVERAFVHADFESTHKPEHTVRSRLPATEP >SECCE1Rv1G0034800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:491211989:491212943:1 gene:SECCE1Rv1G0034800 transcript:SECCE1Rv1G0034800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRINTSVLCLMALLMVSTTLLSCEASARTIGLEDALCGGTYHEPYIPCVDAKSCDIYCKQDNKGGGACRNGACCCFKSL >SECCE4Rv1G0250290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:590834122:590836182:-1 gene:SECCE4Rv1G0250290 transcript:SECCE4Rv1G0250290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSNKRAKLSHDRSVSTKKQQSNDPFQSLPQDLLCLILSKLPLNEIVRTSTLSTKWRHTWTSCPSLIFDGATMCVNSTTSSKERCTQVFIGSVNAVLKQCGATAVEEFQIKFPFHNLLVDHLNNWVKFAVLSRAKNLAIELETEDLRCCKHRHVFPFELFDKQSMSRLEAIRLSLVSLKAQPNFSGFPNLKALDLRLVDVSSNDLQLVLSSCFNLERLSIVMCHPRDDIRVSLPHLQHLHVAHSCINKIHFISKNLRTFVYDGFMVPLDLSESLQLGHVEMNFPSLTLEHAITELPRAMPHAQNLNLSACMTLKVFYLPEGVTKFSHLKYLQLRLYLSGQDNFLSLASFLKAAPLLEELDIHFLRHSFPLNDYEKLPIRSLPPCRHGHLKRVLITGFDGARGEIELAAHVADNSTRLQALVIDPVMRDVDRNILTLTPEGRAMYRDLARENAKKYLARRVAKGARFDVL >SECCE5Rv1G0335840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:579860848:579861117:-1 gene:SECCE5Rv1G0335840 transcript:SECCE5Rv1G0335840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRSASRGGANPEWSKKENKLFEDALAYYGEGTPDRWLKVSRAMGGTKTADEVRRHYEILDNDIKLIESGRVPFPKYNTQGQGTWN >SECCE3Rv1G0201090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:859784968:859786321:1 gene:SECCE3Rv1G0201090 transcript:SECCE3Rv1G0201090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWNDEETSSEEECEVVILDMGLMEEPDTIVEPLFCSQLELAQPKCILHQMRPIKHVAFEGILTGRRFYGCPVQENGVNCDVVEWVDGSWPPVLQRCLSKLWEMFHEQNCGRVLDKEKFEKELVKLKSEHERELAKLKAENDKLCIEYTKLVDDVSKMFDWQDGRVDKVNQKQVDEEELEKKKKKKKKKVEEKARLESQADIIKNTRKAMKDVELDIDVLKKEKAKLELVAAELLKDGYGSKEKLEQIKAILES >SECCE5Rv1G0324020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:441714105:441720198:-1 gene:SECCE5Rv1G0324020 transcript:SECCE5Rv1G0324020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPENTEQAPSLKSKPPNEEVEFEPHPELLMAARRGDRGQLERLLRQKDEAAAAPQSAPVRPARRDVIVHIEEAVHVKSASTVTSADAVTVARDSVLHVVASRGDAEQFLQSATVIYDKARHLLDARNGTGDTPLHCAARAGWGGMVAHLVDLAKAENGGGGGDRVKAMLRVQNEQGETVLHEAVRLGSRDMVARLMSEDPQLARVPPADGASPLYLAVSLDHEDIARQLYQNDKGLSYSGPDGRNALHVAALKGKETVKMLLEWNKDLIRQADRSTRSTPLHFAASWGEHEVISLLLDADQSAAYQADIDGSFPIHVAAYAKKVKAVSVLLDGRRDCAELRDANGRTFLHVAVLEESQLVVTYACKMQSKKFASSVMNMQDKDGNTALHLAIQMGNVWIFGPLMKNREVKLNLRNNKGQTPLDLSWITTPAGVHYGLNPRIMIHKLLQDAGAKNGTFRCDHFHKEHIVLLDPKEEAQKITDSTQTIGIASVLIATVAFTAAFALPGGYRADDHPNGGTPTLARHYAFDVFIIANTLAFICACLSITSLMYAGVTTVDIRTRMISFVISVVFMAGSARSLGAAFVFGLYVVLAAVARTTAIASCAITALVLVDAVWAFSMVANGELMLLKRLGVRAWWRLPWAILLTFLSQFWPYIVIAGVLVFYKVDRVH >SECCE1Rv1G0043830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:596559248:596559927:-1 gene:SECCE1Rv1G0043830 transcript:SECCE1Rv1G0043830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISLCGSRCVGPSPRPESRFASQAAAAPCARPSSSRRRTVVVAAKSSGKKADEKVPSWARPGSDEPPPWARGEDGASGQEGDAAQVPFYAYLLASAVTAIAAIGSIFEYTNGRAVFGIVGTDSPLYAPILGFFAVTGIPTSGYLWYKAVQTANKDAEEQDRRDGFL >SECCE7Rv1G0467960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:93742754:93743596:1 gene:SECCE7Rv1G0467960 transcript:SECCE7Rv1G0467960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNRNPAPNPTPPPPAAVAGGIAAVRADCDKALAYLQRGNPSKALRLLRDAVARHGEGSPLLLRAQGTVHSRAAASLNDPAARARHHRAALQAARRAVELAPDSLELAYFHALLLYEAASGNRDYEDVVAECERGLGIEVPSDPAPHCLKLPAPEPDQLRDDLHNLVQKANLASISTWVKTLGVTDDKLGFFRLADEPLELHPLPAAPAPHHPIGIKKATKTPEERRKEIEVQIAAMRLVEQHQLPHNPVVTASSPPLSEEDEPPCSSCQSGVKLRRS >SECCE2Rv1G0107960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:656282940:656283206:1 gene:SECCE2Rv1G0107960 transcript:SECCE2Rv1G0107960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVTMTNQCTGDERTGRPCVISRIRLQCGNFRSVIPVDPKVLRAVVPGVCLLNAGHYIPQDSNVSFIYTSYLRENLYVLSAVCSLGR >SECCE2Rv1G0087850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:233511689:233511913:1 gene:SECCE2Rv1G0087850 transcript:SECCE2Rv1G0087850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCFLVDQRRKVRSSKPAAGICSRCGGCASVADMETATRLCYLLTVHRVTWRAIICTFCGAMLKSYRHYRLY >SECCE1Rv1G0024010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:317938083:317942066:1 gene:SECCE1Rv1G0024010 transcript:SECCE1Rv1G0024010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTRLEAGGSDGEYTQDGTTDLHGNPILRSKRGGWRACAFVVVYEVFERMAYYGISSNLVLYLTTELHQGTVLSANNVTNWVGTIWMTPIIGAYIADAHLGRYRTFMVASIIYLFGMILLTMAVSLPSLKPAKCGVGTADTNCDHKATSVQLGVFFLALYILALGTGGTKPNISTIGADQFDEHEPRERKQKLSFFNWWMFSIFFGTLFANTVLVYIQDRIGWTVGYALPTVGLAVSIVVFSAGTPFYRHKPTSESSFAKMAGVIVAAVRKCGVPAPVDPRDLHELDPNQYEKKKASPLPHTPNFSVLSKAAVKIEGSTSTSRWSLSTVTQVEETKQMLKMLPVLFITFVPSAMLAQINTLFVKQGTTLERRLHHFEIPPASLQGFVTISMLVSVVLYDRLFVPFMRRLTKNPRGISLLQRMGVGLVFHIVIMVIASVTERHRLSVAMENGIFESKGTTIPLSIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYSMTSLGIGNFLSSFMLSTVSRVTGRHGKEGWIQNNLNASRLDHYYAFFAVLNCANLLVFFAVCRMYVYNAEVTHVVDGGGGEKQRPEVAMQPPAPVGAVEVHP >SECCE4Rv1G0289160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:863961342:863961788:1 gene:SECCE4Rv1G0289160 transcript:SECCE4Rv1G0289160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMKTMFRVLLLCMLVATPMAAEYDVGSDNSGPWMWCDPAMGHRVSPLMGCRAMVKLQCVGKGNQVPEAIQRDCCQELANITNDWCRCHDLSSMLDSVYEELGVREGTEVFPGCRKEVMKLTAASVPEVCKVSIPNSSGGRAGVCYWA >SECCE2Rv1G0130090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:873456379:873456934:1 gene:SECCE2Rv1G0130090 transcript:SECCE2Rv1G0130090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILTMSLVAALLLLSVRASVAQPSTPTPAPTLCDDKYSCPEGTTCCCIYQQGDECFTWTCCPLQGTTCCDDSYSCCPHDYGFCDTNLGTCLMGRDNSLSVKALKRTMAKLHWAFSSGPKTTMSEYSQELDTCDSSNQICSTL >SECCE4Rv1G0250100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:589534514:589540977:-1 gene:SECCE4Rv1G0250100 transcript:SECCE4Rv1G0250100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERARQLLLLAAVAGVGAAFGALSTAAVFSFLSRAKRREGYVRKLLESNGGAGGTRAGSTGPGARLHDSSDLLSDEVVAEQLTRNIQFFGVESQKKVTESFGVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATREDVGTPKAYCLKKHFSLIYPECQIEARVQLYDSSAEDEILSGQPDFVLDCIDNIDTKVSLLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSMEKPKAKLLPFQGSKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAQLDFQTEPIVNLDLDHYRVLHHRLLEHEELIYGSAEQVLVDAEEVMYIVKELWRGRSARDQSMKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFSEADAHESTTLDQIKDEEPEFYAMVSRVLKRAETEFAL >SECCEUnv1G0534820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:46574953:46575552:1 gene:SECCEUnv1G0534820 transcript:SECCEUnv1G0534820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCWCTHAPKGAMATPLSSPFSVPTLRAPLWHGRLHAPLRASSGDDAAAGPEAPAPVATAPAADEEFEKRVLQLKSRVGPKKRGSAGARKRKAAAESNAVTLPPVPLREPRSALGLPVEFGFTAYSERLNGLLATVGLTALLLVELGSGKSLVKYHQAPTLFLQVYTIVAAGTVYVKYEKERISVWPAPAPPKAPTSGE >SECCE3Rv1G0187370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:698632354:698634004:1 gene:SECCE3Rv1G0187370 transcript:SECCE3Rv1G0187370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNGLFVYHILGLASLVAVFYFSLLGEVDLRFPGLFPSPGASQSHDASLPFVERRGAQLFLEGRPFYINGWNSYWLMDQAVEPASRHRVSDMFRAATGMGLTVCRTWAFNDGAYNALQLTPGHFDERVFRALDRVVVEARRHGLRLVLSLANNLEAYGGKTQYVRWAWDEGVGLTASNDSFFFDPAIRDYFKVYLKALLTRKNHLTGVQYKDDPTILAWELMNEPRCITDPSGNTLQRWIEEMAGYVKSIDRRHLLTVGTEGFYGPTSPPEKLSVNPGHWFNNYGLDFIRNSKISDIDFASVHLYPDNWLLDANLEEKLKFVTQWVSSHFEDGDTELGGKPVLLTEFGLSHMVQGFEQSHRDAFYKSVYDIVHESAKKGGAGAGAMVWQLAAEDMEEFHDGFAIVPSERPSMQKLLTEQSCRLAALRHGEQEAKRILKAVCG >SECCE6Rv1G0408790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:576818707:576820811:-1 gene:SECCE6Rv1G0408790 transcript:SECCE6Rv1G0408790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGETLPASGDASNSEQQPHDTDTKSRVEDMWKKMNSGLPAKMPKPAMIKQKAAGKEKKTKATNNWMTILGLPPKKVSANDQVPQNEQQQTQHKTSEDAKKLAANALAAVRDAAAVASGRGKVEITEVRDFAGKDIEIKKLVDADSKEAVEKASAAGAAPSAVDNILEQIRKKQKLSVLDKTKKDWGEYKVEKGVEEELGAYKKSSNQYLDRQSFLKRADYREYELERDARLSLMAKRKSESMQDDDV >SECCE7Rv1G0520040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866818909:866822437:-1 gene:SECCE7Rv1G0520040 transcript:SECCE7Rv1G0520040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT2.4 [Source:Projected from Arabidopsis thaliana (AT1G62340) UniProtKB/Swiss-Prot;Acc:F4HYR6] MSPCCHDLILILTTVILFAALPLARAAAAKLADVDDHGGDLQADAIFLVLLHGEPSLPAPPRRLHGNATSWSSDHERELRAQRKKKRRQRARLHDGILRRAMDGAGAGEYRKLYSFHHAVNGFAVHAAAASGAADRLRAAPEVAAVEEDTGTRLMTTYTPRLLGLPGGVWRHKHDGGGSGEGVLVGVVDTGVDPDHPSFAYVPSATGEPPHTGVCIAGPRFPPGSCNGKIVTARYFAAGATAVLPLDASRDLSPFDADGHGSHVASIAVGNRGVPVVVGGAMYGFASGMAPHARLAVYKAMYPAGGTTADLISAIDQAVQDEVDVLVLSIGPDARPPTKVTFLGTLDVALLFARRAGVFVAQAAGNGGPVQSSVTSYSPWVTAVAAGTTGRTYTPWLVLGDGQRIPGIGLSAPTQGGGLLQHRLVAAGEDEECQDAEALQRLTGVVVRGSIVVCSFSRGFYQGTSTLAAIVAVVETLGLAGFVLLADARYGDFVAQPIPFPVSGIMVPRVADAQMVWSYYKERTTFGGLATAAITEGRAAAFVDVAPVVARYSSRGPDVVDAQSTPADVLKPDVLAPGDQIWAAWSALSTNEPILAGNHFAMISGTSMAAPHVGGIAALIKQQHPSWGPSAIASALSTTALRHDNHGRPIMAEGFQLGMSQPATPFDYGAGFISPADAMDPGLVLESGFDDYISFLCSLPQLSPDDVRGATGVTCQATLDSPADLNLPSITVSTLQGSLTVRRRVTNVASNAERYLCSALPPEGVAVGVRPGWFDIAPGETQEVVVELNVSRASGAFSFGEIVLTGSLHHLVRLPLAVRALSTT >SECCE1Rv1G0041770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:573986390:573988309:1 gene:SECCE1Rv1G0041770 transcript:SECCE1Rv1G0041770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLSGAAAGGLRRSLSSSSAASRPPWALIQLTDVDKSGAPAPGATLHLDAPPFVTDLTVPAHFIHPRPLPDLATGVHGDVPGHVAATSSDGFLLVRFWESRFQFDVPANGDHSEALVSAFLNFSFTGMDTSPEVTRFVCNPLSGELYRLPDLDGTKRTSRYRHIGLLTQSQGGHGPPDRYAVADMFTTRGREEEEDGFVLRRFLSETGKWEKMAGLPSPLPAGRRMHIDSAVVPFGDRLWWIDESWGAISVDPLSERPELRFVELPRGSVLPDLQGVVFMRKLNRYRRMGESEGKMRYVEVSKEKPYVVSSFSLDDGGTSWTLDHEVAFTTIWDDEQHDVPLKEMPAIGAIDPLNSHVVYLVCGDQLLGVDMEKEKITGSSRLAIPSVPILPCVLPTWLESSQIPSSDWSKKTAVKRETSPDMIKKETLRVEVELRK >SECCE2Rv1G0103850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:595550864:595551887:1 gene:SECCE2Rv1G0103850 transcript:SECCE2Rv1G0103850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 104 [Source:Projected from Arabidopsis thaliana (AT5G64530) UniProtKB/Swiss-Prot;Acc:Q8GWK6] MTLKLAGFSKQPCCSRLQNLSTLLELHVSPSLSLSLHTNTHTHTTPINTTKKPREASTRALVFLPHSLPSSSTLSTPAHMGGSTNLPPGFHFFPSDEELIIHFLRRKAALLPCRPDIVPTLPQNRYDPWELNGKALQAGNQWYFFSQATQSRTSRNGCWNPIGADEAVSSGGSHVGLKKTLVFSVGEPFQATKTNWVMHEYHLLDGNGGTSTSGSSRKRSHKKKGHPDKECSNWVVCRVFESSYDSQVNFHEEDMELSCLDEVFLSLDDYDEVSLPKN >SECCE4Rv1G0283050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:832760367:832761753:1 gene:SECCE4Rv1G0283050 transcript:SECCE4Rv1G0283050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGEASKHGRTGKEQSHPPAIRRRDESRPAPPFPAEDSQKQRRKKRRQRQPATEVVSVPEGLLVDILARLPFRSLCRFRCVSKQWLKLCSDPQIIKTASQTLSGFFHNHLARGLCFNNLSGGRPLVDASLPFLRERYARIKLEQCATSLLLCKCWESESEDEDECDFVVCNPMNEQWTVLPPIEWLDEDGEDTECFDLSYPFLVFDPSAPSRFVVFAPLIETDDVLAVYSWGTGQWTPSSGWDNYPYPAVVSECAVFMNGMMHFLHLFLDEPLIAAVDIEGEVCREIYLPDEMIGATPGYTSLGCSQGLLHAWFMDPRDYELYVWVLKDYATKEWTLKHTVNVPELFEEEAESDREENGTHKYDMFAIHPEHNVIFLTDWKEVNLSYNMDTGQVHHMCTFGDFLGGQPFIPCFADLGIWVSNDQ >SECCEUnv1G0567090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:411392224:411393311:-1 gene:SECCEUnv1G0567090 transcript:SECCEUnv1G0567090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVPAMGFFHGLMLEAKKHIAVRFDNDESMYKVAWDIIDKRWDNKLKTPLHLAGYYLNPHYYYPNKQDIEHDGSFRAAVITCICKMIEDEEIQDILIEELNMYQDQQGCFGHEIAIRQRRNKNFNPAKWWLNHGTSTPNLRTLASRILNLTCSSSACERNWSVFEQVHTKKRNRLLHDRMRDLVFVKFNSKLRNKRENKKRDPIEKEVEDVLADYDNEFITGKEPTADADQEQEKSHEDASKEAPNRASSSQGQAKRKRSYRPRKKIKTVSLQSLMATRVEPMQQAPSSSESESDKSPSDSGDD >SECCE5Rv1G0315560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:292460061:292470979:1 gene:SECCE5Rv1G0315560 transcript:SECCE5Rv1G0315560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEAETPAKANKASAPQEQQPPATSSTATPTVYPDWTNFQGYPPIPPHGFFPSPVVSNPQGHPYMWGPQPMMPPYGTPPYVIYPPGGIYAHPSMRPGAHPFAPYATTSPNGNPDVTGTTTTAATAGGETNGKSSEGKEKSPIKRSRGSLGSLNMITGKNCVEHGMTSGASANGTISQSGESGSESSSVGSEANSQNDSQHKESGQEQDGDVRSSQNGVSPSPSQAQLKQTLAIMQMPSSGPVPGPRTNLNIGMDYWANTASSSPALHGKVTSTAIPGAVAPTEPWMQDERELKRQKRKQSNRDSARRSRLRKQAECEELAQRAEVLKQENASLKDEVSRIRKEYDELLSKNSSLKDNVGDKQHKTDEAGLDNKLQHSGDDN >SECCE7Rv1G0492140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:544088883:544090553:1 gene:SECCE7Rv1G0492140 transcript:SECCE7Rv1G0492140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSMALSRGLAARHSAHHLQLHHLLAVSTSPPRLPLLPRGPPAGIAPLHLPHGGGTARRIMPVCPRLGSGGSDDLAPTPKSERTMTGFDLASLWVGLVVGVPAYYLAGSLVDLGMSAIQGVATVTLANLIVLGTLVLTAAPAVTHGLPFPVLARAAFGVHGAHVPAVVRAVIGCGWFGIESWIGGRAIFLLLPAWLKTHAPLLKPVPGLGASPLEIACLLVFSAAQLAVIMRGMDGIRKLEKYAAPMLVALTSALLAWAYVSAGGFGPILSLPPRLVGGDFWKLFFPALTANISFWSTVAINIPDFARYARSQTDQVLGQIGLPVFMGMFTFAGLAITSSTEAIFGQVISDPIELLSRIGGPATRVLAIFGITLAIITTNIAANVVAPANTLVALAPQTFTFAKGALATALLGIALQPWRLLGSSESFVFTWLLGNAALMGPIGGVVLADHYIVRRTALDVDALYSEEADSPYYFQGGFNVAAMVAMAAGFAAVLPGFLHKVGVLPTISKALVVAYDNAWFVSFFVAGAAYCLLRLRRGVEVKRRYSHATSYSY >SECCE7Rv1G0488950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:448641759:448643479:-1 gene:SECCE7Rv1G0488950 transcript:SECCE7Rv1G0488950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPLRVLLRCRRPPIRAAAATFFTSSGSAPLAPPPAPVESADESEQEGSLAQRVERAASACAAIRGWMAAGRAVHRGHIFHAINRLRRRRLHRTGLQVMEWVIRERPYKLSELDYSYLLEFTAKVNGISEAESLFLRIPQEYRNELLYNNLVMACLELGLIKLSYGYMRKMRELSLPISPYVYNRLIILHSSEGRRKTVSKILTQMKASRVTPHTSTYNILLKIQANEHNIDGVARVFSDMKRAKVEPNEITYGILAISHAVARLYTVSQTYIEAIKNSMTGTNWSTQEILLILYGYLGKEHELKMTWNLMQCLPHIRSKSFTLAIEAFGKVGCVEQAEEIWGGIKSTRKLKLTEQFNSMLSVYCRHGLVDKAAAVFKEMRASGCQPNAITYRHLALGCLKAGLVKQAVNTMDMGKKEVVTRKVKSSTPWLETAHLLLENFAEIGDLENAKKVFAELNESKYCRNSFVYNTLLKAYVKAKVYEPDFVKTMILRGAMPDAETHSLLRLIELYKT >SECCE6Rv1G0421570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:684243296:684245477:-1 gene:SECCE6Rv1G0421570 transcript:SECCE6Rv1G0421570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDPSTSLAVTAADAPQSSAKKKPAPKRFIHTPIPASILNDPTLAAAATSLLPAAYNFELHKTAHRIRSSGARRAALQLPEGLLLFSLPLSHLLGPFLAEDPSNDVLILADPTYGACCLGDRPAKALAADLLVHYGHSCLVPVTSSLLPVLYVFVEIRVDALRLAAAVRGAFPDPAVAPRLALAGTVQFIAAVHAAREMLTRDGYRDIVVPQAKPLSAGEILGCTAPTLKKAEGVGVVVFVADGRFHLEAFMIANPAVKAYRFDPFLGVLVLEEYDHVGMKQARKEAVLAARKAKSWGVVLGTLGRQGSLKVLDRVVEHLEEKGLDHTVVLMSELSPTRMELFGDSVDAWVQIACPRLSIDWGEGFKRPVLTTFEFDVALGYVPGWWEKGSRQNGGGGVGSGCCSGSGTCGNGDCSSGGDCGGDDFGGEYPMDYYSQDGGDWNGCYMKKKPSTGERKPRVRIGSSVQVEDKQ >SECCE3Rv1G0165260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:171535745:171545099:1 gene:SECCE3Rv1G0165260 transcript:SECCE3Rv1G0165260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MHLLVVPTCCCFPATWPHPQPPPLPRPLPRSLGHSASPRSGPAFRRAVVAPAMRRRGRRRAAAPPPAAAADAGEASGDSPGPPEGEDKRAGTDLKTLARRFWKVAAPYWWSEDKVQARLQLAGVFALTLATTGISVGFNFLGRDFYNALADKDQEQFTKQLLYYLGGFAVGVPFFVLRDYARETLSLRWRSWMTSYYMKRYFKNRTFYKIQSQSLIDNPDQRINDDLSAFTGTALAFSLTLLNAVVDLVSFSNILYGIYPPLFVVLIVYSLGGTAISVFLGKSLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAYENLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMFFAGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLGENESSLSPQRDSIDGINISFKSGTPVLSSNGSQMQSDPCIVLEICDLTLLTPRGGNILITDFSMELKDKDHLLVMGPSGCGKTSLLRALAGLWTSGTGNIIYHSRQLQTATLGSNEPSNIKPEGEELLQSSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWTANVHQSPTNDAQSKAALSFLSEVSTSDGVGAKPEMPSTDELTRVLEVVRLGYILPRFKGMDSVHDWASVLSLGEQQRLAFARLLLAKPTLVLLDESTSALDDINEVHLYSQIEAAGITYISVGHRKTLHRFHDKVLYISKSDSTTSSPRNWELKPTDQKSIEESSPFAS >SECCE3Rv1G0206600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:909798155:909800427:-1 gene:SECCE3Rv1G0206600 transcript:SECCE3Rv1G0206600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPELLSRLRLAPLHHHLRRSLSAVAPPPHEPPPPHPAPPPQPASNKHKLFVAGLSWSADERSLTDAFSSFGTVTEDGARGRCSTKRLPGPGRPGSAQPRELFDIMPVRDVVACSAAIYRNARAGSFDEAVGLFVGMVRAEVCPNSFTLVGASLAAAGLGDAVLTECIHGWVVKLRLDSNPFVRTALLDSYAKCGCPIKARALFGEMRDPGIVTWNAMISGLVHNDLFEEAVLVFKRLLRSLGPVHNVVTMISTAQACAGCGDAGLCGTAHAYAVKMGLDLDVSVTNSILGMYLSFASLEIGRGIFRKVPVKNVVSWTMMMGFLLEKGHAGEVICMFVKMRSNGIVPDMVSMVGLVQACALMGDGSRGKLVHNQIVIRGFSRELPVVNSLITMYSKCKDLSSSRKLFDGRRDKSLVSWTAMVAACVENGDVLEGLHLFAEMRHGGLFAIDYVTLVTLLLACYVIAKFDLCVQLHAYSCKSGLSLYRPVLNTLMAVYGKCGHVALSQRLFDEMICRDTVSWNTMILSFGINGQGEEAITLFNEMEKSSEDRDSVTYLNTLLACSHSGLVDEGLIIFRRMINDKGINPCQEHIGCIVDMLARAGRLDEAAGVASLTDNKLGLNAWKALMGGGHLHSDMKFTNVAAEKVLTVESFDYGHVLLLSNTYASLGKFSAAESVRSCYTKQIAKKTLGLSSI >SECCE1Rv1G0031490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:443285274:443290280:1 gene:SECCE1Rv1G0031490 transcript:SECCE1Rv1G0031490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotene epsilon-monooxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53130) UniProtKB/Swiss-Prot;Acc:Q6TBX7] MPAAALASAFASPPPPWAPRPPPRHASLRLPPPRSSGGGGGDKPTTSWVSPDWLTSLSRSVLGRGNDDSGIPVASAKLDDVQDLLGGALFLPLFKWFREEGPVYRLAAGPRDFVIVSDPAVAKHVLRGYGTRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRAVVPSLHKRFLSVMVDKVFCKCAERLVEKLETYALSGEPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAEARSTDLLPYWQIDLLCKIVPRQIKAEKAVNTIRNAVEELITKCKAIVDAENEQIEGEEYVNEADPSILRFLLASREEVSSLQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPVALRKAQDEVDRVLQGRLPRYEDVKELKYLMRCINESMRLYPHPPVLIRRALVDDVLPGNYKVKTGQDIMISVYNIHRSPEVWDRADEFIPERFDLEGPIPNESNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIVIQKMDIQLVADQKISMTTGATIHTTNGLYMNVSLRKVEQEADLALSPSG >SECCEUnv1G0529610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8748520:8749065:-1 gene:SECCEUnv1G0529610 transcript:SECCEUnv1G0529610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVWMGKLLALAVTGVLFMSKGVGVAATPQTVCKAAVDIDKRVNYNFCVSQLLDHHESTEADTWGLAKIAALMGANNAAHTKAAIDALLAKPGTGDQMKRLLGQCKGLYDRTFMTFILAHEEIDKRRYASGKEKAAETVAPVHRCDEAFAEARVPSPLTKQSADSVQLAIICAAITNLIK >SECCE4Rv1G0234670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:295786238:295787173:-1 gene:SECCE4Rv1G0234670 transcript:SECCE4Rv1G0234670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDLPSLAFAIARFLQKENRRFPRTHRHRAASCRRRRGLGARSGPRRRFFARNHRQNRCRGYARQANRTLHGQAPTMHQAGPSALVIAANHAGPSAPAPAVADDNIVPATPPPSVFTIPPMDWLLAGPSAPFLGEEEMFPCELAPPPLAPYCVKHGFGSCPARTGAPPRKPSPTPSDELPEHFIPPGYGPVPDLPSPTLAAAGTGGYSSIPDLNIKIKVEEEEIEDQGSSSTPPPTSQAAPPPPPAPPLPPTPPPTARWILRQFAAAMAQNRAALRGAWSPDALGLTGAPGASSSGAGRAAKRGPPCFH >SECCE7Rv1G0494090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:578602241:578602666:1 gene:SECCE7Rv1G0494090 transcript:SECCE7Rv1G0494090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVSSLRLPFSVCPAAPSAPLASRVALPLSSTAARGMRLRAQATYKVKLITPEGEVDLEVPDDVYILDHAEEEGIDLPYSCRAGSCSSCAGKVISGQLDQSDQSFLDDDQIEAGWVLTCAAYPTSDLVIETHKEEELTA >SECCEUnv1G0565870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:397422890:397423954:1 gene:SECCEUnv1G0565870 transcript:SECCEUnv1G0565870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLLLIWRNKFNWCGLLPIYSDNQGSAGGIVAFRYTDLVRATKNFSERLGGGGFGSVYKGVLSDSTSLAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDHRLLVYEHMLNGSLDGHLFRNRNAAAAAVLNWNTRYQIALGVAKGLSYLHQSCRECIIHCDIKPENILVDASFVPKVADFGLAAFVGRDFSRILTSFRGTIGYLAPEWLSGVAITPKVDVYGFGMVLLEIISGRRNSSPETSHNTRSSSSYQYDEYFPVQAIIKLHGGDVKSLVDPQLHEDFNLEEVERVCKVACWCIQDNEFDRPTMGEVVRVLEGQQEIDVPPMSRLLAAITEQCGAATSM >SECCE2Rv1G0085990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:200662635:200665631:-1 gene:SECCE2Rv1G0085990 transcript:SECCE2Rv1G0085990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPTEPEQPEAAATPAPPKKKRNLPGTPDPDAEVIALSPGTLMATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPEPGCVHHSPARALGDLTGIKKHFCRKHGEKRWACPRCGKRYAVQADLKAHAKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAVPAPPSPRPPDLREVEENVDKDKEKEEENVDKHMEKEDEEDKGGEDEHENSAVAEVDEPQHVEAATEEPQPQRIPSPPSPMPQEQQPMVAVVPNLDEPVVVVEPIVDIKQEEEDKRDEDVCFQEADKYDDAELEDSNLPDNDTPMPPCFLPSPSDAIGTDGSSTSCGTVSSASNSIAPATTTSTFAGLFASATTSTTPQSRSLRDLIGVDPTFLCLAIGTPSSLFPQTDASNPGTFAPPPAPHMSATALLQKAAEAGASQAGTSFLKEFGLASSSSSTPSRPPQGRSMDSSTQSQQLQGRFIESSSTLSRLPQDRFIESSSTQSQQPQGRFIESSSTLSRLPQDRFIDSSSTQSRLPQDRFIESSSTQSRFPQDRFLEGSSTQSRLPQDRFIESGSAQSRLPQDRFIESSSTQSRLPQDRFIDSSTQFRLPQDRYINNSMPSKLSQGRFMDTSLPSQQLLPQGRFFDNSPASNLSQGRFFDNLQPSNPPQGRFFINSPPSNLPHGRFPDYSTPGRLPQGMYIDGLPQSRLSQGRYIDNSPPAKLPQGGRLADSNPQQWHQRSNNHNQLMDMEPGPMVSGSLGLGLAYEGSNPRLPDLMMGQSPLFGPKPATLDFLGLGIGGTMGGSTTSGGLPALMVGGELDMGSAAQPPSPWEEAQRKSNGRTIL >SECCE4Rv1G0239520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:408189400:408192767:-1 gene:SECCE4Rv1G0239520 transcript:SECCE4Rv1G0239520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH47 [Source:Projected from Arabidopsis thaliana (AT3G47640) UniProtKB/Swiss-Prot;Acc:Q9SN74] MVADAESSDSMAGSSSSAAEKHVDRCFDKRAQEKAPKKNHKAEREKLKRDQLNDLFVELSSMLDHDRQNSGKATVLGDAARVLRDLITQVESLRKEQSTLLTERQYVGSEKIELQDENTTLRAHIIELQNELRARIGNNSLNLSGLGLSHPIRSSCTTNLATHPTGHGIWSNASNVSTMHVVPPTNTPSPVQNQQHHSVSPDQVASRPQELQLFPGRSASSDCERSLHTSNPATSSSLVDSLPGQLRLSLPPTSQEESSSSGASGNRKE >SECCE4Rv1G0246410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:543836448:543836927:1 gene:SECCE4Rv1G0246410 transcript:SECCE4Rv1G0246410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAQEIKYFSQAKLGRRWRRPARGFRLSPTRLSVRRLRARLWTVLGLLGRYFRGVRLPQLTRGLVASSSSPASTSSAGSGGGNKKGQQPAASQAAGCNGAKPRRPPCMRSNSFYARAVADCLDFIKGSNAAPVEDSRGAAGAVRHGHVQQHQALKAA >SECCE4Rv1G0256920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:661574978:661578184:1 gene:SECCE4Rv1G0256920 transcript:SECCE4Rv1G0256920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGALCTSTHLILTATTHVLSAPMANLLAIPIIVILHVGLIMPIAVSAAAAAMAAGAAAPVLADDRSALLGFLSGVSADPGGALADWGRSPRFCNWTGVACGRTRRVTQLVLSGRGIRGVVSPALGQLSFLTVLDLSSNAFAGEIPGEIAALSRLTQLSLTNNLLEGAIPAGIGLLRELYYLDLSGNQLSGGIPGTLFCNCSALQYMDLANNSLAGDIPYPGECRLPSLRYLLLWSNELSGPIPPALSNSAILEWVDFESNYLAGELPSQVFDRLPRLQYLYLSYNNLSSHDGNTNLDPFFHSLRNCTRLQELELAGNGLGGRLPPFIGELSRSFRQIHLEDNAISGSIPPNIFGLVNLTYLNLSNNLINGSIPPDLSRMRRLEQLYLSNNLLSGEIPRSIGELPHLGLLDLSGNRLAGAIPDTFSKLTQLRRLMLHHNRLAGAIPPSLGDCDNLEILDLAYNGLQGEIPSHVAAMSNLKLYLNLSNNHLEGSLPLELSKMDMILALDLSSNELAGTIPSQLGGCVALEYLNLSGNALRGALPEAVAALPFLEAIDVSRNRLSGALPEALQVSTSLRDADFSYNNFSGVVPRAGMLAKLSAASFRGNPRLCGAGHIPGIATCGARRADHRRAVVPAAFGIVAAVCMMLCAAGCRSMTTARARRRSTWRVDVEEQDTEREHPRISYRELSEATGGFAESRLIGAGRFGRVYDGTLRGGARVAVKVLADPKGGSEVSVSFKRECEALRRTRHKNLIRVITTCSTASFNALVLPLMPHGSLEAHLYPHDEDDGGGGGGGGSRLGFGQLVGIASDVAEGMAYLHHYAPVRVVHCDLKPSNVLLDDGMRAVISDFGIARLVAGAEASSSSTSDDDSAAPCNSIATGLLQGSVGYIAPEYGLGGHPSARGDVYSFGVLILELLTGKRPTDVIFDEGLTLHDWVRRHYPHDVAGALAHAPWRRSIGAAPPVADMAVVELMELGLACTQHSPALRPTMADVCQEITVVKDDLAKHGAAGDGDRSFSTTTKDSLFSNSS >SECCE6Rv1G0382750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:47322310:47326388:1 gene:SECCE6Rv1G0382750 transcript:SECCE6Rv1G0382750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCARAEDALPSAAVDMVSAALIQKVSGGRRSVESGRALQRTPHVSAGDVEAPAPAPAASCSGDDGKGNRSGRREESRRGRMRSYRSELEQEVKKLQRQLEKEIELHVALAEAVTQNAPPPALNSSAKIPPETQELLVNIASLEGAVSDLEKELNDLYYQLCHERNERLLAENNPGCLPSASSDDRSLSTCTCTWEEHISSLRDLKFGGSESMRSTQQDLFTELEYDPDIGEESEDRQMVSLNRLLEEHQDISLNGLLEKHRDEEMQGSCSVENQGKEDEKIDDLSFEQSIQKLTSMKGGNLWNYPNQLSEEMVRCMRNIFLRLSESSKISGKASSDCSSSSAERLSGSTLASFSDSSILPSMLRSSSVDSYHNDEMMNKARNFDPYKVNGKGTRRDIGNYCSAAEVSWMSVGKEQLEYASEALKKFRFLVEQLSKVNPNCLNSDERLAFWINLYNALIMHSYLAYGVPRNDIKLFSLMQKACYTVGGQSFSAAEIEYVILKMKTPVHRPQLSLMLALQKFKISEGHKKYSIDQAEPLLLFGLSCGMFSSPAVRIFTAANVRNELLESLRDYIQASVGISDRGKLLIPKLLQSYAKGAVEDSLFTDWICHHLSPEQVAVIREYSSQRRQRLLGARSFTVVAFDSKFRYLFLPDSSGSQKPQHRTGSLG >SECCE1Rv1G0002580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9511335:9517216:-1 gene:SECCE1Rv1G0002580 transcript:SECCE1Rv1G0002580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGIPSLPADVSAALAPDVEYRLREIMQEAIKCMRHAKRTVLTADDVDSALSLRNVEPVYGFASGDPLRFKRAVGHKDLFYIDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGVQPAIPENPPIDAISAPTENKRTEHAKDDGLPVDIKLPVKHILSRELQMYFDKIAELTMSRSTTPVFREALVSLSKDSGLHPLVPYFSYFVADEVTRSLADLPVLFALMRVVQSLLRNPHIHIEPYLHQLMPSMITCIVAKRLGHRLSDNHWELRDFSANLVASVCRRYGHVYHNLQIRLTKTLVHAFLDPHKALTQHYGAVQGISALGPSAIRLLLLPNLQTYMQLLDPELQLEKQSNEMKRKEAWRVYGALLCAAGKCLYERLKLFPNLLSPPTRPLLRSNSRVATNNPNKRKSSTDLSASQPSLKKMASDVSMSPMGSAAPVAGNMPGSMDGFSGQLPNPSMMQASSSGQKVESMTAAGAIRRDQGSNHAQRVSTVLRQAWKEDQDAGHLLGSLHEVFGEAIFSFIQPPELSIFL >SECCE2Rv1G0139810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929136038:929138550:-1 gene:SECCE2Rv1G0139810 transcript:SECCE2Rv1G0139810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSLPQTLDGVLWAEAASSAALRQYYALPKKGKPQGRESTVLAAFLLSSPENPLNPTVLSLATGTKCLGAARLGPRGDLVHDAHAEVIARRALLRLIYAEIGADNPPSWLVASGADGRWRLKDGHQLHLYITQLPCGVMPVPPSPLEVRREQLDTMVNGCSDVGFVQRKPGRGDTTLSMSCFDKITRWCVVGIQGALLSHILEPLYLSTITIGQSSDGAPEGFCIEDNVVKVLGARLSCLSSKFPDPFKPNKPLFFEAPVPPKEFQQTSGDVPPLTCGYSICWNKSCLHEVVLGTTGRKQGTSSKAASSPSTESLLCKIRSAEAFISLEHPLVTKFRHEKLSYRAIKDMACEYQQMLELLRKAPFFGRWRAKPTLVDSFTVPRS >SECCE1Rv1G0028250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:396162436:396165518:-1 gene:SECCE1Rv1G0028250 transcript:SECCE1Rv1G0028250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] MDGEQGRQRPDSGKNLIKIPSYQEVFGNGAAASSSTPPSYNPPLPSAAAAAAGSSSSFSEAFSFLKSSEFYSPPPPPPQPSTAPRPPLAGATTTPSQSKNAILVSNRQKGNPLLKHIRNARWTFADIVPDYVIGQSSCALYISLRYHLLHPDYLYYRIRELQKNFKLRVILCHIDIEDVVKPLHEVTRTSLLHDCTLLCGWSLEECGRYLETIKVFENKPADSIREHTDNDYLSRFTHALTSIRRVNKTDVITLGSSFGSLSRIMDASMEELARCPGIGERKVKRLHDTFHEPFKRVTPRPNLVVPDTPDREKASGQPSSTNDGTPEKPGTSKNKKGPDVKSALTAAFAKYSEKIRSQGREAAHEAGEGPSSSTVEGDKTKQLD >SECCE4Rv1G0257960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:673961853:673962217:1 gene:SECCE4Rv1G0257960 transcript:SECCE4Rv1G0257960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSAGFAWLLVAGLLLGSWTTPACSSRLLPAADGDAKVWVAGRPGYGGGGGEAALVVRRSLGQRLKAPPSPTWNTPRASAAPAPPGGQV >SECCE5Rv1G0341850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:627939424:627947242:-1 gene:SECCE5Rv1G0341850 transcript:SECCE5Rv1G0341850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G47040) UniProtKB/Swiss-Prot;Acc:O64948] MAADAPVELPARLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQREDKGLIGVLPVRDSEAAAVGSILSPGVGSDSGEGGRRSPGGSGGESSKQDAKSGKEPIHWHSRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVEELNARGSYHVARVSRLDMTKTELEQAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLETVPVYRLADIFVASFEISFEEQLAMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKDELGDNDDDEDDIAALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYSSSRAYLELIADLPWQKVSEERELDLRAAKESLDRDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLATSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVVFVATANRMQPIPPALLDRMEVIELPGYTPEEKLKIAMKHLLPRVLEQHGLSSAYLQIPEAVVKLIIERYTREAGVRNLERNLAALARAAAVKVAELDSTLRLGKEMQPITTTLLDSRLADGEVEMEVIPMGQDISNTYENPSPMIVDEAMLEKVLGPPRFDDREAADRVSSPGVSVGLVWTSFGGEVQFVEATAMVGKGELHLTGQLGDVIKESAQLALTWVRARSADLNLSPTSDINILESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSNRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLAEIPAPILAGIEILLVKRIEEVLGHAFENGFPLRLHSSL >SECCE1Rv1G0000930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2884902:2888375:-1 gene:SECCE1Rv1G0000930 transcript:SECCE1Rv1G0000930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLSLLLLLLLLLLGLGATAQQQQLPLIARPGCRDKCGNISIPYPFGIGPGCFIAMQFEVFCNDTGGRPRAFLVATYGTYQKTAEATLSPGEYPHVFEGFAAPAILPPTSIELMDISVAASEVRAYGAVSSYCATSPTDRVVKLQQTCVSPSKTYWPLNLSMKRNSLAGVGVDVQARLATHLYVDIQLDGLWTYTTCTSSASSGSGFWAPTNGSCAGNGCCQVPFAGNPDDNPVPIFGVTFQAVNTSYSNVTSTPAPCSYGMVVENSFYNFSSPDMYGFEVLSKKLQRRVPFVIDFAIMAYDKYYGGNGSCPAQGQQALPGYACASSNSFCTNATKSAYSYRCSCMENYEGNPYVTDGCQDIDECKNPDLYPCHGHCNNRHGGYDCPCKRGWKGDGKAGTCKEIFPLLAKVIVGAGLFIGLTALIFVVILLKERRKMRDFYQKNGGPILEKAKLIKLFRKKELKQILMDSNIIGQGFFGEVYKGRLDNEQVAIKKPKNVGVLEHEQFANEVIIQSQVSHKNIVRLTGCCLEVDTPLLVYEFIPKGSLHDILHNNNNKVALSLDLRLSIAAQSADGLAYMHSKTNTEILHGDVKPANILLDDNFVPKIADFGLSRLIVRDTKHTDFVIGDINYMDPVYQKEGLLTGKSDVYSFGLVILELISRRKAVHSETNNLLNSFLEAHEKENRAIDLFDKEIAVAKDLKVLNCVTALALECLHLEVDRRPTMTEVAERLFLMSRSRQE >SECCE7Rv1G0467350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:86834334:86836256:1 gene:SECCE7Rv1G0467350 transcript:SECCE7Rv1G0467350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDNHKEGEGATAWMTVPAFGDWDMKNGAMPDYSMDFSKIREMRKQNKKELSRASLGGDDDLLAHHKQHQQQQQKPVKAPQPTKLGAPAKDHGPLHGRDDSPTGRKRFLSYFQCCIKA >SECCE7Rv1G0467310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:86478605:86481199:1 gene:SECCE7Rv1G0467310 transcript:SECCE7Rv1G0467310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMKSTARVDHSMNTSAAYPSKVTSKTSMSSATSASKTNSTRSTFTLPSIRDRSEPPRTEGEILSSSNLKAFLFNDLKNATKNFRPDSLLGEGGFGHVFKGWIDEHTLAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHANLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWGIRLKVAIGAAKGLSFLHHAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLRDKRRLYRVMDTKLGGQYPKKGAHAVANLALQCICNDAKMRPQISEVLEELEQLQDSKSNIVSPQADIRRTSNTVPKSPMRGQPSPRRSLGMASPSPAFRTAQVH >SECCE2Rv1G0117710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:775329664:775330435:-1 gene:SECCE2Rv1G0117710 transcript:SECCE2Rv1G0117710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRALALAATVLLALAAPAPVLGQAVATSCTASLITSFTPCLSFLTNSTNGGGSSPTADCCRSLSAVVTTSTSCACLILTGNVPLGLPINRTLAVTLPKACNSMSVPLQCKDTSAQLPAPGPVAVSPAMPPLPPMTPESPEPPSETTVTMPPASQTQGQTRPQVVPSSAWRDTAPVSAMLFIVGAMLF >SECCEUnv1G0536720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:65910073:65911296:-1 gene:SECCEUnv1G0536720 transcript:SECCEUnv1G0536720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGDRSSPRRRPQGRVIFPDLSRPSHDLTGTAEWASLQPDLVQSIADRLLSTNGVDEYMAMRAVCPSWRSSVAKPSPHAAVANPHFRPRQWVLLNGADDDQGRPLFLNVTTGRFRRLRRPLLRDYILVGASDGLLVLGDRDRPHASRILNPLTGDMLPFAASVPQERWVLTAITGNEPSIIFSFPPCDQEYQDIPAYMQGGGHVVCSADPTGQLRAVKFHDAAFTHSLLYVRSMVTHAGNVYMLCYAGTLCKIVSTGGHWHAERIMEIGMKHGWLVESAGKLLFVKNKVETIELFCVDVNRKVLKPIQSIGGGTLFLSFGNSMVVNADKLPSIKRNCIYISHTDRAFAWYDLSNCKKTYITSPQVPGDGPGAENGIIHEGPFSLAKVLLTPYPKVKAQLNWIQQV >SECCE1Rv1G0012980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:99438321:99446530:1 gene:SECCE1Rv1G0012980 transcript:SECCE1Rv1G0012980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase BSL1 [Source:Projected from Arabidopsis thaliana (AT4G03080) UniProtKB/Swiss-Prot;Acc:Q8L7U5] MGTAGKGAWVVPAPAYKEVEGWEGSGDDSPGYRCGHSLTVIAPTKGHGPRLILFGGATAIEAGATSGLPGIRLAGVTNTVHSYDVDKRRWTRLHPAGDPPSPRAAHSAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVSVSGNDGKRVLSDAWALDTAQKPYKWQKLNPDGDRPSARMYGTASARSDGMLLLCGGRDASGTPLSDAYGLLMHTNGQWEWTLAPGISPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSNEHDASSDLLRRCRHAAASVGSQIYIYGGLRGDILLDDFLIAENAPFQSETDRVPRSENQNRNHNFNSDSPPFEQYTNNNHETAPGFSTDKKSIDMLTEASAAEAEAVSAVWRAAKEASHASSEDSLSDGIGSESPLSETSPMADDLDDGGSMEPDVKLHSRAVVVAKEAVGDLGCLVRQLSLDQFENESRRMHPANNDQSYSSKKALNRQRSPQGLHKKVISLLLRPRNWNAPADRTFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKVEYPEHVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINSVEQIEKIERPITMDVGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVAEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPINSPESSPERAMDATWMQELNIQRPPTPTRGRPHSAGDRNSLAYI >SECCE5Rv1G0307640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:116959138:116960892:1 gene:SECCE5Rv1G0307640 transcript:SECCE5Rv1G0307640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYFSFARVRVVALFFLVAAHGCCGCGYLPDLFCYPPPPPAPTTSVPATNVPASNIPTSNSSSGGSGTNTDDGGWLDARATWYGAPNGAGPYDNGGACGFKNVNWPPFSSMTSCGNQPIFKDGKGCGSCYQIRCVAHRACSGVPETVIITDMNYYPVSRYHFDLSGTAFGGMAKYGRHDELRHAGIIDMQFKRVPCQYPGLTVTFRVQHGSNPNYLAILVEYEDGAGDVAQVDIMESRLPDRAPTGYWLPMRESWGSIWRLDRLRPLQGPFSLRVTDESGRSLVADQVIPAYWQPNAAYRSLVQFDETLPMSLVTSAASRRLLVLPISAALTYVWPCVRTILAV >SECCE1Rv1G0049960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:643817205:643818863:-1 gene:SECCE1Rv1G0049960 transcript:SECCE1Rv1G0049960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGSDSAASANKPKYSKFTQQELPACKPLLTPGIVIGAFSLIGIIFVPIGLASLSASQEIVELVDRYDEECVTASDKIGFIQDSKVDKACTRKITVPKPMKGPIHVYYQLENFYQNHRRYVKSRSDQQLRDKDYKDPKAVIKACDPEATTGDGSLIVPCGLIAWSLFNDTYAFSVNKKSVTVNKKDIAWASDKNSKFGSNVFPVNFQKGGLIGGGNLNDKLPLSEQEDLIVWMRTAALPTFRKLYGRIEADIMASDEITVVIQNNYNTYSFGGTKAVVLSTASWIGGKNNFIGVAYVAVGGICLFLAMGFVVLYVIKPRTLGDPAYLSWNKESAEYSH >SECCE6Rv1G0386230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:92830357:92832276:-1 gene:SECCE6Rv1G0386230 transcript:SECCE6Rv1G0386230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALVGPPEAATAVGAAVPTGDAFVDLLDASFNKETEAGKALTENSSPTFVSSGDPCLDFFFHVVPGTPAASVASLLAAAWAADPVTALRLVANLRGMRGSGKSDREGFYAAALWLHARHPATLACNAASVAAFGYLKDLPELLHRIVHGGKSTRTPGKKARLEAEGGGFVRRGGRGRRWSGGSSGRKPRREDRAPPVGASEERVAASLERDRELAAAAAVSRRAKRAEAAARALEMYSRDSTYRLLHDRTADLFAELLAEDMRKLSVGQVKDFSLAAKWCPSLDSSYDRSTLLCEAIARRLFPRGSSSDLGDDLPDAHYAYRARERLRRAAIVPLRAALKLPEVFISARAWESVVYTRVASVAMKNYKDLFLKHDAERFNAYLADVKSGKKRIAAGALLPHQIIESLGEDGDGGGVADLQWQRMVDDMRALGKLNNCVAVCDVSGSMSGLPMDVCIALGLLVSELTEDPWRGRVITFSEQPNIHMIKGDTLSQKAEFVRDMDWGMNTDFQAVFDKILEVAVGAGLPAEKMVRRVFVFSDMEFDQASANPWETDYEAIVRKFSEAGYGAAVPEIVFWNLRDSKAVPVMSGQKGVALVSGFSKNLLKLFLDGGGTFTPRVVMEKAIAGPEYDQLAVFD >SECCE6Rv1G0427700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720464336:720465764:-1 gene:SECCE6Rv1G0427700 transcript:SECCE6Rv1G0427700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAKLSRHTAYTNHVFHRVIISALVLLLSCTAAASDRQPPLISQLAKDPETSLYTISVKADKSPLLLDLAGSLVWSTCPPSSAHTTVPCESDTCAVAKQQSSRRCRYVDGGRLWENREPGSSWCACAARPLNPVTGECSTGDLTGLAMSANTTNGTMALRPESFAVVGACAPGRLLSSLPAGAAGVAGLSRRSLSLSSQLAAQRGFGRKFSLCLPVFATFGDTPVYLSTPDPRGLMDYTTSIPYTPLVTNPANAGGYYIPVKGISASWRGPDAAAALPRGALDIDVRTGRGGVALSTATPYTIMRPDVFRAFAKAFDHTIMIGQMERMPGTKGFELCYKGSFPMLKRVGLDMPHIKLELGDGATSNWTLFNDNYMVPAHGAMCVGILPMGPGGMPVDGEPAVVIGGKQLENNLLVFDLEKQVLGFSMLLDFKFSSCRSSYLFEN >SECCE1Rv1G0000420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1503147:1503946:-1 gene:SECCE1Rv1G0000420 transcript:SECCE1Rv1G0000420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGNNVKTLAMILVVVGLVAMERTQQVQASHCCCHFDSVDAYFVCREKADSTVSQCCGDSAGYISDAAGFECKSGYIDIETALQATNYCKMGCTASLCNKLTPSGKGAMEHCTSGCHDLCTKNNAEIAQAVAA >SECCE7Rv1G0519970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866608596:866613199:-1 gene:SECCE7Rv1G0519970 transcript:SECCE7Rv1G0519970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATGAIGDLLPKLVELLNGEYKLKENVREGVLSLEREMRSMHAALHKVAEVPRDQLDEKVRLWAGEVRELSFDMEDVVDKFLVRVDDGSKPAPNSKKLKQLTKMMAGLFTKGKDHHEIIDAIEDINKQVQEVANMHGRYSTDNIVTTPAAVAPIDPRLGALYTEVTELVGIAGKRDQDLMKLLSEGDNVSKKKLKIISVVGSGGLGKTTLVKMVYDKIKEDFDCSVFVPVGRNVDTKKILLKILCDLDTYVGLITMLDVRQLINKLKETLENTRYLIVIDDIRDEKLWKLIKGAFPNSNNCGSRLIMTTPIVSVAKLCCSCANGSIYQMEPLSDDDSKRLFYKRIFSHESGCPLEFEEVSIDILKKCGGVPLAIITIAGILVINHHVKPKDEWHVLLDSIGLGLTKDPSVEEMLRILKLSYYDMPSHLKTCLLYLSMFPEYHYITKDQLIWMWIAESFIQCEDPQTSLFEIGENCFNELLNRSLIQSEYFDGFVIACRVHNSVHDLICSLSSEENFTTILNDTWDSISSIRKVRRLSLQNSIKEEHQNTHLKSARSIATFDDVDIGLMPPFSRFFFLRVLDLTECDVSDHNHLNLRELWSLLQLRYLGLYKSGISEVPEEVGKLQFLQVLDLRGNYDITELPTAITKLRRLMCLQIDSSCSRIPDGIGNLISMENLGSICGDCLGTMKDLGNMERLRNLSISFNVMSLELEQAFVESLGELRNIQSVHIWFLGYHHYVFMDLLGGDWAPPQSLREFSAKFKLVFSKLPTWLRRNPSHLSQLSRLDIFVDAVRQEDLEFLGRLPALRDLSLETMRQDPLLVGADGFRCLTRLKLICNWAGRIVFQPEALPKTEHVDILIYFRAANGRDWFELGMENLQSLGMVIVQIYLSPGVISGDTGAEQGKATLENTLHAHPNRPKLYVSINAEPSGCHY >SECCE4Rv1G0221200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:46469203:46476553:-1 gene:SECCE4Rv1G0221200 transcript:SECCE4Rv1G0221200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPALHLHLHHPPRLHHLLLSCNPLSSSSCAQYPWLSAWSRPRRGLRPPAPALDLRPEPSPTSDSDDEDAVGTSRHSGRSTMSLILRRLKRAGYSPEAQIAPSTVSQHPRRGSVEDVFRADDGVLPNARGGFDDDAESSLVDARFPWERPMPPPEAAARAARSPAWMAELTLPEPELRRLRHAAMRIKSKIQVGGAGVTREIVAKIKEKWRTDEVVRVKVNGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGVAYDIPETTKGTNRTWQDVGMKSSIKGPPIPSSLPNEKVNSMQDNNGGLVSNTEKEETTETVPEIKYEEEIDRLLDELGPRYSDWPGSNPLPVDADLLPATVPGYKPPFRVLPYGVRRSLSRKDTTNLRRLGRGLPPHFALGRSRQLQGLAAAMVKLWERSSIAKIALKRGVQLTTSERMAEDIKKLTGGVMLSRNNDFVVFYRGKDFLSTELAEALLERESSMKSLQDEEQARLNAKLTFTSSTEAFIESTVAGTLGETLEANSKYGNELDGNHVDKMTRTVEAANYADLVRKLEWKLALAEKRIAKAERVLGKVETALKPTEDTKPPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKAKSFADVKRTALSLEVESGGILVSVDKVSKGYAIVVFRGKDYKRPSMLRPRNLLSKRKALARSIELQRMEALSRHIGKLNRRVNQLRSELVQVEDVKDQGDEGLYAKLDSAYSSEDEDVEDEDDEAYLRSFDNPIARATVDDENETAIEDSESSYSNYDDSAEDNGDCDEEDEVTGVEYSDYDDDQTMTTMKTVSPL >SECCE4Rv1G0231200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:179532090:179533689:-1 gene:SECCE4Rv1G0231200 transcript:SECCE4Rv1G0231200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATGTGMEELSWCARCAGLAFLVFSVCVVALAAALFLARRWPWCSCHVCRSYLTGSWARDFTNLGDWYAHLLRCSPTGTVAVHVLGCTITANPANVEHMLHTRFDNFPKGKPFAAVLGDLLGGGIFNVDGDAWRHQRKMASLELGSVAVRSYAYGIVAEEVEARLLPLLADAADNGRVVDLQDVFRRFAFDTICKISFGLDPGCLELDMPMSKLAAAFDTASRLSAMRGAAASPLVWRAKRLLNVGSERELRKSIRLVDELAAAMIRQRRKLGVAGSHDLLSRFMASEAHGTAVDDKYLRDIVVSFLLAGRDTVSSALTTIFMLLSKNPAVAAAMRAEAAAGEKTRTASKTTYEHLKSLHYTHAVLYENMRLFPPVQFDSKFCAADDVLPDGTYVTAGARVMYHPYAMGRMPRIWGDDCEKFRPERWLTGAGGTFAPESLYRYPVFQAGLRVCLGKELAVMEMKAVSVAVVKQFDVEVVGQKGAVPRFAPGLTASISGGLPVRVRDIIRA >SECCE2Rv1G0123620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:825921654:825923099:1 gene:SECCE2Rv1G0123620 transcript:SECCE2Rv1G0123620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTAALPIADILVGLLGHKSTAVRPPGHKKPAAVRLPRRLAALGEKIQGLFFQSVQPAVRRPSSLAITALPPLPLPLPSPKLPEVLESIQGLFIPDVWRQSPQRQATEAEAQPSQKEILESIQKLLRHVKPAVCRPVALPLAAHIAGSPAATAALVVGSAAMAVRQRYRLEVVPYSNRVHIVFHSPDEDNRELDEFEFAHFKKTNARKILCPRHYQSARVRRLTSEIVGAIDRGLAIKSKQGMARIVRPHTRHLDKLNWEVVVAKNKECNAYVHPGGGKIVVFDGLLDRFETDAEIASIIAHEVGHVVQRCSSEWLSIPSSRLESEADYIGIMLLAAAGFDPQVAPKFFDKFADIERNSGWRYEYDYDCEHSTHPPSKKRSQLLSQPEVMGEAMQLYKQVTANQGQEKISSKSEILSLA >SECCE4Rv1G0227910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:129385275:129386963:-1 gene:SECCE4Rv1G0227910 transcript:SECCE4Rv1G0227910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIVPVYINAPELFTILENNAGLEYLTKTRKVCVRRLLSANAHGHPIPPPALEYMKQYHKAYFTDEAQKQLKADDPYVPGSTLTNGDADQSQGDGEPRKFPKGLTENPTLRDQVQTSGASSSLQQRIAKRPKLDIEEIYMTRHPELYQGFLTKDGIMVTENSPIPEEYQTEEFLEAIEILEEVNGAPCYDAESLALGSLKKAEYFLMPDTIQFQPCAVDRICRGPAFWKPDRKLVSQVYALAKAQALQLGIAGDRQIKWAVLRVQAFSAGWYHGQKYSRLCSPPKNSAEIYANDLGVIEKYLSDAWKLVCFLPFLHELAFRTVGSTWSWNSDNATKYEGRARKFADSSSVGPALSYLTGKDLYGPALQWIGVEMPMKVLQRKVGRDAIPKVFALQTKAAPAGKAMITTTHAVVKEMKTLDCWKSIQDMRKFNDTVVSAMAGKIQANPWKYHAMPMPYNLKPLSEEEQLEVATAMTCAEDFAPITFAFTQSVMGHHQLPGYMALKKHACGALYERFKKFFAFMRKKLAKDIGAKVVAEASGIPQDEHTARRRSLPGTSAST >SECCE4Rv1G0245890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:537994266:537996596:1 gene:SECCE4Rv1G0245890 transcript:SECCE4Rv1G0245890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMRSAAAPCSFTSMLLRRLTTSSSASYAIRRQAALALSSLSSSSSSSPSARFTTWSPPPLSGSTRTGGFSAWASAPGPAGPTGSTITQSLETKIKEQLEADTVTVVDASGDGRHVCIYVVSKAFEGKSAVNRQRMVYKVIWEELQSTVHAVDQMTTKTPGEAAGN >SECCEUnv1G0528990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6261861:6262931:1 gene:SECCEUnv1G0528990 transcript:SECCEUnv1G0528990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTVGSARDLKNVNWRNGDLKPYAVLWVDAGARCSTRVDIDNSGENPSWDEKLVVPLPPASRLQDAVLYIDVVHANAAEGVKPLVGSARLPLRDVLDDAGVGGKASRNLKLKRPSGRPQGKLDVRVAVKEPANRYYDSNVPAGYPAPAGYSSSQPAGPYGGGGGSSRDSYGGGYGAAAGAAGGYGAYAAAAPPSGYPGYGSTAPPPQAAAPYGSSAPAYGAAQTAQGAGGYGSSVQPAYGAAQPAQGAGGYGSSASGYGAGQPAPGAAYGTTGVALDQSGAKKKKGMGMAGGLAVGAAAGVLGGLALAGGASYVEHKIEDHVTERVEEDMYRGGGGGGYDDYGGDDDDY >SECCE4Rv1G0277950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806325340:806328821:-1 gene:SECCE4Rv1G0277950 transcript:SECCE4Rv1G0277950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLATHKLLQRLVFTMIPFLIRLPCIHSTDLDALLCLKSRLSDPSRALASWSNVSLTPCDWQGVTCSTLNASRVVSLDLASLNLTGQIFPCVVELDFLDRIHMPDNHLNGHISPDIGRLTRLTYLNLSMNSVSGAMPAAISSCSQLETISLESNSLEGEIPQALAECLLLRQIVLSNNNLQGSIPSRFGLLPNLSVLLLTSNMLTGNIPEFLGSSSSLTSVNLRNNSLDGGIPPALFNSTTISYIDMSHNNLSGSIPPFLQTSASLQYLSLTKNYLSGGIPSSIGNMSSLSSLQLAQNNFQGSIPESLGKISALQVLNLKDNNLSGIVPLAIYTNTSLTYLSLSLNQLVGQIPTDIGYTLPNITELILGGNQLDGPIPASLGNASNLHNLDLRQNSLTGVIPSLGHLSKLKILDVGTNMLKSGDWTFLSSLTNCTKLKMLCLDFNGLGGTLPTSFGDLSNSLEVLLLSDNQLIGEIPSAIGKLKGLTALVMQINSLSGRIPDTLGNLLNMSLLSLSHNKLSGEIPQSIGKLERLTELYLMENKLTGEIPPSLQGCKNLLLLNLSSNNLYGSIPSELFSISTLSKGLDLSYNRLSGNIPSRIGSLMNLNSLSISNNQLSGEIPSSLGQCLLLESLSLDANFLRGKIPKSLGTLRGINKMDLSQNNLSGEIPYFFESFTSLYILNLSFNNFEGLVPKGGVFTNSTAVFIQGNKKLCASSPMETVPLCTTLASKRKKTTHVVAIVVPVTTIVVLALACLAFILMKKKAIETEGHVTQSFKEHNRYSYMDLYKATDGFCSASFVGSGSFGMVYRGHSEFQDIAIKVFRLDQFGASKSFFAECDALRNIRHRNLIRVISLCSTTDPRGNEFKALILEYMEHGNLESWLYPKANKASPKIPLGLGSRITIAMDIAAALDYLHNRCNPPLVHCDLKPHNVLLGEEMVACLSDFGLAKFLHGDSSKGINKSSTIAGPRGSVGYIAPEYGMGCKISTEGDIYSYGIIILEMITGKHPTDDMFQDGMNLHNFVEAALSQEIGGILEPSLITYDREGGENLQVAETKWCVTRLAKLGLKCSDISAKSRPTTEDVYAEIISIKENFTTLHHRQNKWTSSL >SECCE7Rv1G0457830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18768600:18769229:1 gene:SECCE7Rv1G0457830 transcript:SECCE7Rv1G0457830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAASLLPGLLPTPPTKPCLIILPASFASNPNPKPKPGRADSVERWDAHKKDKKPRSPASSCSSSSSPGRASSCERWDINKQLSSGSRTSSSSSLSSQRSSTSSGWSSSPCGRWDSNKQLPPSRATSADRWDTHKKPRPAQAAAVSWTGDEDQEDDTTAMVLIPTAPRIGHMFSGPSFVASPDPSMLPMPPAFFRSRNPGALTVQAF >SECCE7Rv1G0521080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:872958407:872963698:1 gene:SECCE7Rv1G0521080 transcript:SECCE7Rv1G0521080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGSSSGGGAGGREGDWDCGGCGNRNYAFRSLCNRCKQPRLLVDPNTPRDSKWLPRAGDWICNGCSNNNYASRKNCKKCNLPKEEAAMPQLSMGGMMPAYADYMARVQEIASAGYKMNFGNPAMQQQLLANANWPYGMAARYGMQSSAWPFAGNSTNQFQSVPKDWRSGDWLCSCGFHNYSSRAQCKECNAPVPPGMASTTMKTTGADSSSTLGNKRLASEELANDWDNKRLNPGNANYPLSAAGSDNLFMGQGAGNNNGQTTYSAYDNGNSMASGQIPGMSGVVGKGAKWREGDWLCTNCSNHNYASRAFCNRCKTAKESSVHPGAL >SECCE3Rv1G0198040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:825927709:825927954:1 gene:SECCE3Rv1G0198040 transcript:SECCE3Rv1G0198040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESSAEAAAAAGYYGGNCGWETPKREECRIPATLPCPAAPRKAAADFGTPRGPPKNGYFQPPDLEALFALAPRRQASCA >SECCE3Rv1G0164510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:164044448:164047847:1 gene:SECCE3Rv1G0164510 transcript:SECCE3Rv1G0164510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGNLHVTIFEASSLSHPGRASGGAPKFIRKFVEGIEETVGVGKGSSKLYATIDLEKARVGRTRMLGNEPVNPRWYESFHIYCAHLAADVIFTMKADNAIGATLIGRAYLPVSELLDGEEIDRWLEICDDNREPVGESKIHVKLQYFGVDKDRNWARGVRSVKFPGVPYTFFSQRQGCNVRLYQDAHVPDNFIPKIPLADGKNYEPARCWEDIFDAISNAQHLIYITGWSVHTEITLIRDTNRPKPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETANYFQGTDVHCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVCVDDALPSQGSEQRRILSFVGGIDLCDGRYDTQYHSLFRTLDTVHRDDFHQPNFATASITKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLVQLRDLSDIIIPPSPVMFPEDRDTWNVQLFRSIDGGAAFGFPDTPEEAARAGLVSGKDQIIDRSIQDAYINAIRRAKDFIYIENQYFLGSSYCWKPEGIKPEEIGALHVIPKELSLKIVSKIEAGERFTVYVVVPMWPEGMPESASVQAILDWQRRTMEMMYTDITQALEAKGIEANPKEYLTFFCLGNREVKQDGEYQPQEQPEPDTDYVRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLANREPARGQIHGFRMALWYEHLGMLDDVFQRPDSVECVQKVNRIAEKYWDIYSSDDLEQDLPGHLLSYPIGVASDGVVTELPGMEFFPDTRARILGTKSDYLPPILTT >SECCE4Rv1G0242360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:475471613:475475957:1 gene:SECCE4Rv1G0242360 transcript:SECCE4Rv1G0242360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPAVYVTDERPQQEVTLPSFDRLVLERDRIVHEVPPQGDRNVNSEAEDVHGKNSKGNVDLHHQIDTEHKVKVFPPPKIEQVKPVPVPVPPRKDFSDNIMRRPRVPSADELEKAKACQLEFGSYCLWSIEHKEVMKDAIVKKLKDQLFVARSYYPSIAKLKGKEALTRELKQNIQEHERVLSESIVDADLPSFIKKKIEKMDRAIARAKSCTVDCNNVDKKLRQILHMTDDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSTALDSDDSPVHKFNVPDHRHYIILSKNVLAASVVINSSVSSSEETRNVVFHVLTDAQNFYAMKHWFSRNAYRESAVNVINYEHIILENLPEFSMQQLYMPEEFRVFISSFERPTEKSRMEYLSVFSHSHFFIPEIFKDLKKVIVLDDDVIVQRDLSFLWNLDMGDKVNAAVKFCGLRLGQLRNLLGEAMYNPQSCAWMSGLNVINLEKWREYNVTENYLQLLEKFRNNDDEASLRAAALPISLLSFQNLLYPLDERLTLSGLGYQYGIEEKVIRTSASLHYNGNMKPWLELGIPNYRKYWKRFLARDERFMDECNVSP >SECCE1Rv1G0001150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4078173:4079452:-1 gene:SECCE1Rv1G0001150 transcript:SECCE1Rv1G0001150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHTTIFSTCRCSWLGLCVLLVTSCLMLAGCSSESLLTSDDEHLGNHHDLMMDRFHLWMTVHNRSYSTADVKARRYEVYRSNMRFIEAVNAQAATSGLTYELGEGPFTDLSNQEFMALYTGQIPEGDHGEDDEEDQQIITTHAGPVGPAGTYSVHANFSASPPRSMDWRKRGAVTPVKDQGQCGSCWAFATVATIEGLHKIKRGTLVSLSEQQLVDCDSFDGGCNGGLPSRAFLWIKKNGGITNTASYRYKASRGQCMRNRKPAATIIGSRAVKRNSEVSLMNVVANRPVAVAISIDGEHFHHFKLGIYNGPCGDGKLNHAVTVVGYGQQKQNGAKYWIVKNSWGGTWGDKGFMQMKRGTKNPSGQCGIAMLPVYPLMKGGRTTD >SECCE3Rv1G0178210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:493965856:493970579:-1 gene:SECCE3Rv1G0178210 transcript:SECCE3Rv1G0178210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLRVALFLIAAAATWAAALDDPAGLLRRAKEAEFMDWMVGVRRRIHENPELGYEEFATSELVRRELDAMGIPYRHPFAVTGVVATVGTGGPPFVALRADMDALPMQESVEWEHKSKVPGKMHGCGHDAHVAMLLGSARILQEHRDDLKGTVALLFQPAEEGGGGAKKMVEVGALENIEVMFGLHVADSVPIGVLASRPGPIMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVTQASVQRCSAVVDFLDKDKPFFPPTINNPELHDFFAKVGSEMVGPNNVREKQPLMGAEDFSFYTEAVPKTYYYFVGMLNETRGPQAPHHSPYFTINEDALPYGAAMQASLAARYLLEHQHVTAAKVEPRDEL >SECCE5Rv1G0325360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:464892024:464895578:1 gene:SECCE5Rv1G0325360 transcript:SECCE5Rv1G0325360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELRLAPAAAEEERRLSEEDEMLFQDIAREVGRLGLRDPEVEAQGRRPGGAAAAAAQGLLPLPEVLEHHRQQGGLYPPPLSVTRVLSLVVQEAGPVPPPFSPPPTGVPGGRRVLDEWHFAGDHLGRGRAPVHQNFRAAGSVPPRAAWPGDAYARARAFHGRAASTQLVEDMVLFLAKNEQAVLNMLFWGAPEDADAVAALIVQHAVPLIESSHGTRLLVVVLSRCNQMLQEAIVARITRDHKKFFKICAARSGEVVSMINSCISERSLELLRDAIVPWVTPLMMARLVTDSSRLMVVHALVQCVPHPYFAEFIFDAVATNCLALAIHPHGVSLLQSCLEHVGWTEKDNVLSKVSCCSTDLAQNRFGNYIVQYVLKQRNPSYLAIIASSFRSNYVRLSRQRYSSNVVETCLEVFNDRDRSNIVHELIRYHPFRDLVSDEFANYVISRALTTCKVPLRDRLATAILSLQNVNRRHPHCLKMFNTLSELGYRY >SECCE7Rv1G0499310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:653011386:653017766:-1 gene:SECCE7Rv1G0499310 transcript:SECCE7Rv1G0499310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVVEMFSQDRRARAQDVFLPFRRLFGSKTVETVVLEGHSVAEALARYAAESGVRNLVLGSASLSWFRRILWLQDLPNTVLKAMPCSCNVFIVSRHGLTIKLANQTQTDNSNTCSKIQSVSHRAFALQLRSQLQDKQSLYDLPDVNTPKSSGVSSSDSSSQARSSLSNSTSAAQSLESHRRRLFGSLCRKTPGRTGDTDFGATDQLKEFPYVSLSSTEESQRIDEVAKPRMELQDKPMMYVEACENHVHAKKKIQVLSNGCSEDLQKVQDALQWEDFFEQEAAPEKNKHFRAIEEAEMMKEAFTREAYSKHNAETVTNMVTTEKAKVLDALLSTGKSCRRYSRHEIELATKNFSDAKKIGEGGYGIVYRCTLDHTEVAVKVIQQDSRGKIDEFFKEVDILSRLHHPHLVLLLGFCPEIGCLVYEYMENGSLEDQLIDNRGRQPLHWFLRFQIIFEVARGLAFLHGTKPEPIVHRDLKPGNILLDKNYVSKIGDVGFAKLISDLAPDGFTEYRDDTVIAGTMYYMDPEYQLTGTVRPKSDLFALGIIILQLLTGKHPNGLILSAEEAIRKGTFSEILDVSQNDWPIAEAEMLAKLGLHCTALRCRDRPDLEQEVLPELENILSRVTSSRNLEGPNTVVPSHFICPISQEVMDDPCVAADGHTYERRAIEAWLKEHNISPITKHMLPSLTIIPSHSLHEAIQQWKHSSR >SECCE1Rv1G0061830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717527241:717529719:-1 gene:SECCE1Rv1G0061830 transcript:SECCE1Rv1G0061830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHCARATMRSTAFHCAAATGYQTVKLACCKRRVSSEQKGIRRLDRPIQHRGLVSPRPSFRIQACTSRMFDGSMDEQLAHHCSSAFSTRQGDMMGQVQDDCPPLNLELGSAYPGGLSEKEIERRRKIGAANKGKAPWTKGRKLTEEHRQRIKQGTIEALRDPKVRKKMLGHRQLHRQSSKDKISAALRKVWERRMISVRSRQMILRIWSDSIAEAAKEGDHGQDKLDWDSYDKIQSKMISVFIWNKEKEQVTKKLKRVVTKIVAKKLQAAEKMELLTKRTKKAKPEKLVLQKSDAQPRRVLASTRPKLKERLTKWHGRKKELEIVISSRTRGGGGLRKPAVARRRAVERRAEVDLATEPGVTPGRLKELHSPCKDGLPSADT >SECCE1Rv1G0036640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:511064269:511066577:-1 gene:SECCE1Rv1G0036640 transcript:SECCE1Rv1G0036640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G59180) UniProtKB/Swiss-Prot;Acc:P38421] MFFHIVLERNMQLHPRHFGPHLRDKLVAKLMKDVEGTCSGRHGFVVAITGVEDIGKGLIREGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKESEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGGAL >SECCE2Rv1G0125830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:843604569:843606580:1 gene:SECCE2Rv1G0125830 transcript:SECCE2Rv1G0125830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTAYSRPSKLPAGAGDRRPPTRLRGFASKIEPKKLGAGLLAGCCLALLTYVSLAKLFAIYSPVFASTANTSGLLQNAPPASSSSPVPETTDAIPAEDTTFVGRENDNAVDPVDFPEEGPAAAGSQEPGVPEVLSRKEDEAEKAAAAAATTSVPKPSEEGAAKMSCDENGVDEGFPYARPSVCELSGDIRISPKQKTMYLVNPSGAGGFDENGEKRLRPYARKDDFLLPAVVEVTVKSVPSAAAAPQCTKKHRVPAVVFSVAGYTDNFFHDNTDALIPLFLTASHLKGEVQLVITNYKPWWVQKYTPVLRKLSNYDPINFDADAGVHCFAAGFLGLYRDRDLIISPHPTRNPRNYTMVDYNRFIRSAYALRRDRPSALGEAPGMRPQMLIISRGGTRKLLNLEEVAAAATELGFNVTVAEAGADVAAFAALVNAADVLLAVHGAGLTNQIFLPTQAVVVQIVPWGNMDWMATNFYGQPAREMQLRYVEYYVDEEETSLKDKYPREHLVFSDPKALHKQGWQALAETIMKQDVKVNLTRFRPFLLQAIDKLQE >SECCE6Rv1G0378300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:7216403:7216955:-1 gene:SECCE6Rv1G0378300 transcript:SECCE6Rv1G0378300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVRLSPSGSGTGLGRFAAARLAAAARGGRSASAAAPGMEPPRGDDDMHNTKEDVMSHPFGVAYSTRSDEEGFGGVYARDDHRPASSRRTAEAHPSHPPPPPPDHGTSQVKEEKARNLKDDKHAT >SECCE5Rv1G0360030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:767206604:767208118:-1 gene:SECCE5Rv1G0360030 transcript:SECCE5Rv1G0360030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLHFVLVPLLAQGHVIPVVDLARLIAGRGGARATVVLTPVTAARSRAVLEHALREGLAVDHVELPFPGPALGLPEGCESHDMVRDVSHFTLFYEAVWLLAGPLEAYLRALPRRPDCLVADTCNPWTADVARRLGIPRFVFHGPSAFFLLAQRSLATHGVHESAVGDFEPFEVPEFPVRVVTNKATSLGFFHFPGLEKQRRDTLDAEATADGFVFNTCAAFESAYIKGYAEALGRKVWAVGPLCLLNSDAETTAGRGNRAAVDAGQVVSWLDGQPHQSVLYVSFGSMARLFPSQVAELAAGLESSNRPFIWVTKETDDLDAGFDGRVEGRGLVIRGWAPQMTILSHPAVGGFLTHCGWNSTLESLSHGVPLLTWPHFADQFLNETLVVDVLGTGVRVGVKVPAMHVYLNPEAHGKQVGRDDVKRALTELMDEGAGIRAKAKELAAMAKEAMAAGGSADRDLADMARHVGELAQRKKQRVPELGSQKKTDGQGELPVVASQVA >SECCE1Rv1G0054170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673512742:673513056:1 gene:SECCE1Rv1G0054170 transcript:SECCE1Rv1G0054170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSKLFPAAVLLLLLLLATEMGPVREATACSHAPCKKCTGACFDPDECAITCRNEGYDSGDCTGGGAYRCTCSKNC >SECCE6Rv1G0399930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:444973028:444973987:-1 gene:SECCE6Rv1G0399930 transcript:SECCE6Rv1G0399930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGDMDDFSLQYIHEQLRLQLLGVDSCGGLLPLPAADDFAAQPGFMPAQFLPQPLPGFVDLTASEYADAAVYRASEPVMIRFGGEASPVSDPARRPSLTISLPPASHAWAAAPVPAAEAAVVDANDFRKYRGVRQRPWGKFAAEIRDPNKRGSRVWLGTYDTSIEAARAYDRAAFRMRGAKAILNFPNEVASRGAADFVAPRPPLPPSQTARAAPTSHNKRKRQEAAEPCAEVVRDATKYCKADASASPASSPTSTPTSTVTSCTTTPSSSDAGGNYEMFPLASPTSWTWDQLLAEGMFGSLSPRQQLGGFPEVTVN >SECCE5Rv1G0323230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:431645501:431650882:1 gene:SECCE5Rv1G0323230 transcript:SECCE5Rv1G0323230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGLIHHRADGPGPGEVPRSGGAPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTILRTMGVKGLTLFHLKSHLQKYRMGKQTGKETPEQSKDGSYLLDAQGGMSLSPRVSTQDAKESQEVKEALRAQMEMQRSLHEQVEVQKHVDIRMDAYTTYINTLLEKACKIVSEQFASSGFSVSDQSLPELSSGGVMCGTATDALSSSVFHQLSVSSINMHSPGGKPSPSGMEGQMLLQRSPEFKRKSSC >SECCE5Rv1G0315070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:285104748:285107457:-1 gene:SECCE5Rv1G0315070 transcript:SECCE5Rv1G0315070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mediator of RNA polymerase II transcription subunit 26c [Source:Projected from Arabidopsis thaliana (AT5G09850) UniProtKB/Swiss-Prot;Acc:F4KFC7] MDADGRLRRALTAFGGGDVWDLVDAALAAAAPADLRARRDGIVERLYAGGRCRNCDAPESPPQPPRQPAEAASPAWQEEGEEEEADVDGLGLEEETDEGGGLESKILAIRDFLEDPDQSEEELVSLLQNLADMDITYKALQETDIGRHVNGLRKHPSGDVRQLVKLLVRKWKEIVDGWVRLHNSGGDGGNSILTDGDSPEKTQGKSYQNAQVSDFKYTPSPPQRHSGLSSERSRNNNGFESTLERRRASPAPTYHTKQNNGNNYSTTSSSRATREQKDNHLDEKLDSARKRLQENYQEAQNAKKQRTMQVLDIHDIPKPKNKNTFIRKPGGGGLPGRHR >SECCE5Rv1G0359060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:759260856:759263851:1 gene:SECCE5Rv1G0359060 transcript:SECCE5Rv1G0359060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMYLGSSKLRDEQYYKQLDDDKKYFLLLMLGDFQDAMIIPEEVVRRFKGEIPREIKLETRNGYSYTIVIAKNQEKLVLTVGWRQFVENYDLQIGDSLMFRYKGNSQFNVMIFDKLGREKALSVVLDPFLHWVQDRRNEAHEIGSSKKMDVPWERCKSRTEYHYANLDDEKKYFLVHMMSDFQHEMIISEEFVQRFKGEFPREIILQTQNCRIYVIGVAKNNGNLVLTVGWEKFVETFGLEIADTIVFRYNGNSQFNVIIFDELGCEKASSVFVDHFLPPVQERRTSATDTAKTSPFHPQPIQTVLPESPPTNQMEVDESCQGNRTATTIYSDSYDSLSTEYGHGVCDIPGPNYIVKKKKKKKATLSSFHKDQLKDGYITVLKARLTSAQKEVVKQKVQSMHSEIPIFVAVMSKCNIVVEFCLNFPRHYAKKYLGEEPHMYIQLLGKKWKVRFTENSGGKKLRSGWRQFVEDNKLKMGDICLLKLLTDQRRTMDAYIIRANVANYAGREVAFRRGSPAHHAGGARDPNSRLLRITKTEAMEDDDVVAA >SECCE5Rv1G0359480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:762301311:762307554:-1 gene:SECCE5Rv1G0359480 transcript:SECCE5Rv1G0359480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDTGAPAAGGAGKDDEPEPPRELGAAGERTTPDHHAPVSPGASKEEEGASGAAEAGQDDAPEPEEGGDATEDDVDEEDDEEEGDWEEEEEEGDEDEEDAPTHLQFATAEEESLEETTIVDPSYTISLIRQLIPKGSSVEKEFSDKQRVNSDGGDSMQLDNTDPSEKSDKQGLPEERSVSSKDQLEECGCILRDLAASTPQAELMINNLVIEVLLENLRTANSSRVKGICLGIMGNLVCHESLVAAISLKTGLIATVVEQLFLNDGKCLSETFRLFAATLRSSAYVSWAQALLPDEILSRILLIVGKTDSPTLLEKIIDFLSTVIDNRDVIAMLIQPLLKLGLVDRIIGLLTNEIDRSPDEKLNRSGCLDLILHFMEELSAIHCVSKAMSSNDRLIKVLVNMIKWPDKVEVASYCASVVIVMSNILTDAKHLVPKISHDLSFLECLLEVLPKVADDDQARYALWSILSCILAQVQGTELNSSSLDQFASLFSGKFGLIKDDLESQVVDEEKLTPEDALLKEWTSKCLMVISFFMKRWIKEKSSTGASIDNAREVLKYCQKALR >SECCE5Rv1G0341840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:627935640:627938012:1 gene:SECCE5Rv1G0341840 transcript:SECCE5Rv1G0341840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEAEEQQTEPPDDEAYAEADPTGRFIRYDEILGSGAVKTVYKAFDKLEGDEVAWCQTRIDDSVIGSSEKMTQLNTEIRLLKTLRHKNIQKLFASWIDEDKKTVNIITELCTSGSLRQFRKKHSKVGMKAMRGWAIQILTGLEYLHSQEPAIIHRDLKCDNIFINGHDGQVKIGDFGLATFLHQRKMRSIKGTLEFMAPELFTGNYNELVDIYSFGMCMLEMVTCEYPYSECEGKPWIYKKISEGIKPAVLSKVEDAEVRGFIEICLAPAAERLSASELLKNCFLQKDKPIPAPPISVSLVSSVTKDGRQSASFMLWKGEFSLKGDMHVTDHVNLSLRFPDPSGCFKNAEFPFDVDQDTSLSVALEMVDTFGLPKGNMQVIAQLIEVFLLILIPEWVPCVAVGRVVVVPESAHSHSYISKRIMNCRQLRLAVLG >SECCE6Rv1G0417710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659435854:659436159:-1 gene:SECCE6Rv1G0417710 transcript:SECCE6Rv1G0417710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVTASSVLKLGAIVLCAVLAASSMRRTAPGDCDSLCETACSYLESAACNGLCGVPAAVSSEKPTCQSCKEATKKSCNTECVSRCNTTPDSPSTCSGSE >SECCE6Rv1G0385270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:74720793:74722118:1 gene:SECCE6Rv1G0385270 transcript:SECCE6Rv1G0385270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLDLKHLKHISMPARLIICKCLLIVIGLIVLRAIISPFLAISSSEKSFYESPTLDLFPGVRKGKFVEVPQIIWGLNNQKIAFARACLTAKFLNRSLLMPSLSASLFYKEVDLLQPIAFDKVFDLNKFNARCHGFARVARYSEVSNRTEPFRLQKGSGRRWTVERDLDQLQQSRLGEADGFEVIHVTGKHPFLWPDHWPVKDYARIFDCLAVAPEIETEVVRVVSKIKDAGKKARHDAAISHNKKRIDSLKYLPVQYIAVHMRIEKDWMIHCKKWEQRSNLKEICSSKGEIIHKVSQITDLRRPVVVYLAVADSLLEDDSVTSGWRVGMVAYEKKKLGVTDIYDRQPYLIKSAIDFEVCARANVFVGNSFSTFSNLVVLSRTERLYKLGKASSCGEDVGLSSYAYNVIGDDGGPQKWMTDMLDTSLQRISYGTNNISCH >SECCE4Rv1G0214690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:1411918:1412547:1 gene:SECCE4Rv1G0214690 transcript:SECCE4Rv1G0214690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLDSASWSLSPSGDFSVSSTYQALCRLPVLPWLSPLWKAPLPLKIKIFVWQLLRDRLPSGIEVLKRHGPGNGLCPLCHVPETGTHIIFSCIAVQALWCFVREALGPEWEATDLAGFLQVRATQVGRKRRLFWLIFAAMMWTLWTTRNKMVIERVFPRRASDSFFKFLAFLQHWHPLARPRDRDRLQLLLDALVSSARRLSDRSSAT >SECCE3Rv1G0194020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:776662403:776664051:-1 gene:SECCE3Rv1G0194020 transcript:SECCE3Rv1G0194020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATLLPAALALLAIPVTVLLLNRLRFGKLPPGPRPWPVLGNLFQIQPVRCRCFAEWAATYGPIMTVWFGSTPMVVVSTPELAQEVLKTHDQQLANRSRNRSSERFSRGGMDLIWADYGPHYIKVRKFCNLELFTPRRLETLRPIREDEVTAMVESLHRAAGNGNAGKPVAVREFLAMVGFNNITRLAFGKRFLTAAGELDEEGREFKEIVNNGIKIGASLSIAEHIRWLRWLTPVDEVAYQAHGDRRDRLTVKIMEEHAIALERSGASKQHFVDALFTLRDKYDLSDDTVIGLLWDMITAGTDTTVITVEWAVGELVRNPRVQQKVQEELDRVVGRDRVLSETDFPNLPYLQAVVKESLRLHPPTPLMLPHRASAAVKIAGYDVPKGASVTVNVWAIARDPKAWDSPLEFRPERFLHDNIDIKGCDYRVLPFGAGRRVCPGAQLGINLVASMIGHLLHHFTWTLPHGTRTEDLDMMESPGLITFMRTPLQVVATPRLEKEELYKRVPVEM >SECCE5Rv1G0340940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:619650305:619654021:1 gene:SECCE5Rv1G0340940 transcript:SECCE5Rv1G0340940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEILTDKQPSQSEEQGQHPQPHGAFTQEHAPHDQQLQLQQGGGRTPTSSGHLRRKITLIPLVFLIYFEVAGGPYGSEKAVRAAGPLFTLLGFLIFPFAWGVPESLVTAELAAAFPGNGGFVRWADHAFGPLAGSLLGTWKYLSIVINIAAYPALVADYLGGVAPAVAEPGRTRTGTVIGMTLILSFVNYAGLSIVGWGAVALGVVSLAPFVLMTAMAVPKVRPRPWASQVKGRKDWRLFFNTLFWNLNYWDSASTMAGEVERPERTFPRALAVAVVLIAVSYLLPLMAATGATDAPPDAWVNGYLADAAGIIGGPWLKYWTGAGAVISSIGMFEAQMSSGSFQLLGMAELGLLPAVFARRAAYTGTPWVAIAASTTVAIAVSFLGFDDVVATANFLYSLGTLLEFASFIWLRIKHPALKRPYRVPLPLPALVAMCAVPSAFLAYVCAVAGWRVFAIAAGLTALGVGWHGTMRVCRARKLLRFNNAVAAVHQEDAEDTV >SECCEUnv1G0538540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:73082019:73083572:1 gene:SECCEUnv1G0538540 transcript:SECCEUnv1G0538540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTTANPAVVSDFAVASAANHATFQPLNPEDVRAYLHKAVDFISDYYTNIESMPVLPDVEPGYLQRELMASPPTYSTPFDVTMEELRSSVVPGMTHWASPNFFAFFPATNSAAAIAGDLIASAVNTVGFTWQVAPAGTEMEVLALDWLAQLLRLPATFMNHTGTGHRGTGGSVILGTTSEAMLVTLVAARDAALRRSGSIGVSGLQRLAVYAADQTHSTFFKACRLAGFDPSNIRSIPTGPENDYGLDPAKLLKAMQVDVDAGLVPAYICATVGTTSSNAVDPVGAVADVAALFRAWVHVDAAYAGSACICPEFRHHLDGIERVDSMSVSPHKWLLTCLDCTCLYVRDASRLSDSMETSPEYLKNDATDSGEVTDLKDMQVGVGHRFRGLKLWMVMRTYGTAKLQEHIRSDVAMARMFEGLVRADHRFEVVVPRNFAMVCFKFKASGTMNEEDADEANRMLMKNVNKTGNVYLAHTVIGDKIVLRFAVGSTLQQERHVRSAWELIKKTTNEMMMD >SECCE1Rv1G0036570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:510417981:510418955:-1 gene:SECCE1Rv1G0036570 transcript:SECCE1Rv1G0036570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKSSHSFAVKCYDNLKDKKCHMEQLVVKQTEEDIKRNRLHLRVTTDALRWLAFQACPFRGHDESLDLRNQGNFLEMVKLVASYDDEIGAVVLGNAPINAKYTSPTIQKELLHMIAFNVQKEIRKEIGDAKFCLLVDESRDESKREQMAVVIRFVDKPGFTHERFLDIVHVTDTSSTTLKEKLSSVLAQHLLVVNNIRGQGYDGASNMRGEWNGLQAKFMEECPYAYYIHCFAHQLQLALVAASKEVADVHNFFDHLALVVNTVVSSSKRNDELQANQVAEMEHLIKLSGLKPEVGLIKLELYSGLMTLGGVLTMLLSTAL >SECCE7Rv1G0473760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:160597653:160601281:-1 gene:SECCE7Rv1G0473760 transcript:SECCE7Rv1G0473760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMASGGGNWLGFSLSPHMAMEVPSSSEPDHAQPASASAMSASPTNAATCNLLFSQPAQMAAPPPGYYYVGGAYGDGTSTAGVYYSHHPAMPIMSDGSLCIMEGMMPSSSPKLEDFLGGGNGGEHDTVTYYSHQQQQQGQQDQEASRVYQHHQQQLAPYNFQHLTEAEAIYQEATAPMDEAMAAAKNLLVTSYGSCYSNAGMQPLSLSMSPRSQSSSCVSAAPQQHQMAAVAAAASLAASQGGSNGGGEQEQCVGKKRGTGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKDGQTRKGRQVYLGGYDNEDKAARAYDLAALKYWGPSTNTNFPLENYREEVEEMKSMTRQEFVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFTTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMESSSLLPGDEARKVKAIEAANHVPAMHNGGGEVSHAEEGSSGVWRMVLHGTPQQAAPCTAEATGLEKGFMGGGDPRSSLHGIAGFDVESAAAHDIDVSGKVNYSNSSSLVTSLSNSREGSPERFSLPSLYAKHPNAVSLATMSPWMAMPAPAAGHALRGPNSSIPLFAAWTDA >SECCE1Rv1G0002560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9416653:9418116:-1 gene:SECCE1Rv1G0002560 transcript:SECCE1Rv1G0002560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYTMRLSAGGGATPTQQQQQLCPWGEQPLMAAAPDRRSRFWQMDMVAVAAQPARIEVICPLPRRPARPPYPVEPFGRASSRPNNGTLPVYRTDSASDILDLILSKNDPDVDTDSNGQVGFFCGSPPVRTNNPIVNDPQFGRNTPCFSPLGSPFSKMLGGRAEVGSPSCGASSSPKVRIEGFACGNKENHCAVTFA >SECCE4Rv1G0250870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:597344867:597346883:-1 gene:SECCE4Rv1G0250870 transcript:SECCE4Rv1G0250870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPKRKLPASPSRRDSPLEPYHGHAAPSPAQCLAVRDALLAFHGFPDEFAPFRLLRLGLSPEDEGDQPAPCPTVLDGLVTTLLSQNTTDAISRRAFASLKAAFPSWDQVVDEEGMGLEDAIRCGGLAATKAARIRAMLRGVREKRGAICLEYLRELSVDEVKRELSQFKGIGPKTVACVLMFYLQKDDFPVDTHVLRITKAIGWVPPTATREKAYIHLNNKIPDDLKFDLNCLFVTHGKLCQSCTIKLGVQKPKDVKAVCPLASYCCIKEEIQE >SECCE6Rv1G0380150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:19685642:19690338:1 gene:SECCE6Rv1G0380150 transcript:SECCE6Rv1G0380150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYEYETNGYHRAVEDEYEDEYYDEDEYEEEGAGAPEEDEEPPEGQQEFLQIRERLKEQIRRKAQGASASTAGRSSSLHDRRPPPPNFGSFFGPSKPVISQRVIEERKSMKEIQNTVPRERRPPGKDIPSSSRVQVQAKTNGFHQKQKIVNEAKKKAEALKDNRDYSFLLSDDADIPSPPREKPAARSSLTQKSDREVMHSAAKSRAPTSQPARLPNGHGLNNNTSSTQRRPESKFESKGKEMLPSRERAVDNGRMHSVVRNGSSQATGSKAASQKFPSKGQIANKPSMKEVNEQSLRKDHLARKQPVLPNGRPQPSQSQRMQSASYGQRPQQSSQSQRPQQSSQSQRPPQQSSQSQRPQQSLQSQRPQQSSQNQRQLQSSHSQRPLQSSNSQRQLQSSQRERPLQSSQSQRPQQSLQSQRPQQSLQRQRPQQSSQLQSSQSQRQLPQSNRPQQMLQRQRPLSSQGHYPEQRRVQANDRVKPMPSRQVAANGIRDDRAKKKQLGKRRFDDDIEDEDDPMAMIRSMFRYDPSKYAGRDDDDSDMEADFATIEMEEKRSARIARQEDEEELRLLEEEERREQERKRRRVGR >SECCE4Rv1G0288730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861563491:861564810:-1 gene:SECCE4Rv1G0288730 transcript:SECCE4Rv1G0288730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSRILSEPYRDGANPRRGAAIPMSRFGKAALLCFTLFAGWRLSSTLFAAAPAEEAVVGGDASCLHLARVAGVRAAAGTGSNFVFSPLSIHAALAMVAAGARGDTRRELLRFLGSASLHELHYAPANELVGRLNGLAQTSFACGVWVDRRLALRPEFAATGASRYAATAESVDFVSGAEQARLRVNGFVADATKQLIRDILPPGSVDSSTAVVLANALYFKGAWSHPFDVSMAPFHIPGGTTVGVPSMTTGRSQYIALYPGFRALKLPYKNDVSRQADAFYMLVLLPDSDTPSLSDLYDKAVSTPEFIRKHTPVEDVPVGQFMVPKFKFTFEFEASSDMQKLGVTRAFKGGDFSGMVSGGDGRVSISRLYHKATIEVDEQGTAAAAATVVLMDGTSLKEREPPHMLDFVADRPFLFAVVEERTGAVLFLGHVVNPLAG >SECCEUnv1G0560320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:346531764:346532207:-1 gene:SECCEUnv1G0560320 transcript:SECCEUnv1G0560320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVIETEEWVLTPLAYPLLSAASLAAVLLLPHFSRPHAAVVTPSSPSPFDVGTTPFLRFRRAFLLLFCLASVAEGVQSVFGEDEFVRCGFGRDQMAARLAAATAAALFLGGASGVVSDKL >SECCE4Rv1G0280820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:823636779:823637651:-1 gene:SECCE4Rv1G0280820 transcript:SECCE4Rv1G0280820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGNGICRAAPLEALLFDIDGTMCVSDPFHHRAFSELLQGLGYNGGAPITPEFGMAHMAGRSNEQIGRFLFPDWPQARLDAFFAEKEALFARYAGEGLREVPGLAELCRWARERGLKRAAVTNAPRANAELMIGILGLSDFFQLVVAGEDCGEGRSKPCPDPYLRALDLLGASAERSLVFEDSVVGVQAGVAAGMPVVAVASESREAKVVAAGASMVVRDYRDAELWAALEAAAGDPKIDSEPSSVDAKL >SECCE2Rv1G0081530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:140921752:140924405:1 gene:SECCE2Rv1G0081530 transcript:SECCE2Rv1G0081530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTNTADLLAWPEGAQPEPVDGATPPPNRRPHQPSEAIHKVVFGGQVTEEEAESLNKRKQCSAPKWKEMTGSGIFAAGGEAEEDESTNASATPVRTASKNYQAISTISHISFAEDESVSPKKPTSIAEVAKQRELSGTLQSEDDSKLKKQVSNAKSKELSGHGIFSPPEDPRPRNSENGSTSQTPGKNAQVSSIKFGEADEADSVVKTAKKIPTKKFNDLTGNNIFKGDAAEAPGTAEKQLSDAKLKEMSGSNIFADGKAPSRDFLGGIRKPPGGESSIALV >SECCE5Rv1G0342980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:634341405:634342919:-1 gene:SECCE5Rv1G0342980 transcript:SECCE5Rv1G0342980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFLPELVISIVVVHVVIVGFYFIKSSKNPLLPVSWPVVGILPSLVVNLHRLHNYISFDLLTPSGHSLKVAIASIRMFITCDPTNIQYIFSSNHTNYPKGEDYAEIFDMTRGSLFSADGESSRRERANFQSVLSNPLLVGLMTKCCHDKVEKSLLPFMAHMVRTNTHVDMNDMLMRLVFDMYATTIFSVDPTCLSLDMPSVHVANAMDTVMEVGFVRHIVPTFFWKVMRRLNIGPERKLAAAQAVLRCFITDMITKRRKKGHIVGQEVPTDVLSNYVNDQNYNDDLLQATLITYMIAGRDTIGTTLPWIVYNLAKNPHIVSSIRNELAPIMSRKASIVDAGTMMIFEPDEVRPLVYLQATLLETLRLYPPIPIERRSVVSTDVMPSGHVVCAQDIILVSIYSVGRMESVWGSDCQEYRPERWLSEDGRQLQYVPSNKFPAFNSGARLCLGKDIAIMQMKIIIAAIVWNFDVKIVDGQAINTKLSCLLQMKNGLKVNLSKVQM >SECCE6Rv1G0408020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:567445691:567447315:-1 gene:SECCE6Rv1G0408020 transcript:SECCE6Rv1G0408020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLARGERPHAVMIPYPAQGHITPMLKLAKLLHTRGFHVTFVNNEFNHRRLLRSQGADALHGLPAFRFAAIADGLPPSDREATQDVPALCYSTMTTCLPRFKELVAKLNEEAKTSGGALPPVTCVVADSTMTFALRAARELGLRCATLWTASACGFMGYYHFKDLVHRGLFPLKEEAQLSDGYLDTTIDWIPAAPKDLRLRDLPSFFRTTDPDDIMFNFFIHETAGMSQASGVVINTFDELDAPLLDAMSKLLPPIYTVGPLHLTVHTNVPEESPVAGIGSNLWKEEDAPLRWLDGQPPRSVVYVNFGSITVMSNEHMLEFAWGLANTGYAFLWNVRPDLVKGDGAALPPEFSATTEGRSMLSTWCPQEKVLEHQAVGVFLTHSGWNSTLESICGGVPMVCWPFFAEQQTNCRYKCTEWGIGMEIGDDVRRTEVEAMIREVMEGGKGREMLRRVLELRGSAVASARHDGRSMRNIDRLIEEVLLA >SECCEUnv1G0566160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:400952115:400953313:-1 gene:SECCEUnv1G0566160 transcript:SECCEUnv1G0566160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTESGVSMLVLARKDDDEPTMWVFLEPLTKDLWMATVAFMVVTGLFVWVIEKPINEEFKGSKWRQFSTSFYFAFSTWTFTHDQKFKSLQSKVIVVSWCFVMLVIVQSYTASLSSMLTAKRLQPSVTDPRQLLHNGHYVGYQNGSFVHSMLRRLGFEERWIKVCSTQEEYAKALKLGSKNGGVSAIFDETPYINSFLLQYNKGFQKVFPKGSPLVEDLSKAMLNLIEGPEGSNIEKKWFSDPILSLDYGSSDTDSLRLSSRSFLGLFIINGCVLVLMIIINLSRRACAKSTAKRNTTSASNSEAQPSLNCNGVPAIQSL >SECCE2Rv1G0129580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:869089747:869091868:1 gene:SECCE2Rv1G0129580 transcript:SECCE2Rv1G0129580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTNRKRRPSAAAARSGGSSKRRRRSAIPAATPESESPDASSSWVSLPEELLDLIGWRVLAGDLLDYVRLRAACRHWRSSTVSPGGRGLHDPRFHPRRWMLLPEGHGLHPGHTRLHGYIRFINLSTGAIVRSKLLIFSDHCVLDSADGILVLQRDHDTAVRLLHPFTGDTADLPPLASLRTMLTPGQQQILDWSFYKTICATSFTVSADGVVTAMIALFYLGRVACATSMDQQWRLSTWSVSKRWRPMSFQGKIYTLHCDTLDSELQISQIDLPRLGEKVDFGDLLCYLPEPKLIATFPAGRLHMPYHLGECDSEIMLIGREECRLSFHIGVYRVADIMVDKIIPITSIGGNSLFVEDRVLSVSSRVHPTSVADAIVLLHQKEVYLGQYHLASRTWLPTADGGLKGVSVPSPCSLIYHIFTCCYRILWNKGQILFQDMRQPIWRVKRKWRLGC >SECCE3Rv1G0193450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:769980166:769981622:-1 gene:SECCE3Rv1G0193450 transcript:SECCE3Rv1G0193450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKKVLQQFLRIVNYARNYIDNLAKLAGPLYAKLRKNGQKYFNSEDIKLVKAIKEKVKNLKPLDLPLEDNYFIIEIDASKVGWGAILKQKPNKYSPKADEKICRYASGSYKLKMVNNIDREILAVVNAINAFRLYLGFKEFTVRTNCEAICRYYNKINKMRKGLFATRDEYLFFGEENRLKMFQPNTFNFKPKPHIKLDEAQRCILDNFWYQYTLKREEKGYFLSILNSLGEYFNELNKNSPKPEKIEIPKGETLYLIFDGNKPGIYLEWENIMIEKLDAKRNGQDLTFKRYYNIDDALLWARKVLGPDYYIDPKAKEYIQIRRGVPASPAPTKGEASSSKNIKKEESPKYKTYQECLLKGLGPLDSEYIDQEMDKRFEEFSKIIKKELKEEILKELRYEMDEKFEEIKKECDQKYDFNLLNDDDDHMDIAGHGQRPE >SECCE3Rv1G0181570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:615626829:615633329:1 gene:SECCE3Rv1G0181570 transcript:SECCE3Rv1G0181570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVLSSEMAKKVLGLSLWVWIAIGVVALLVAILLMICIWAASRRKTKRTMENLSQTQIPIYSKEIPVDRVGGGRSLAQTMHEREQPSFPTQDKHAPHREPGKTAGHMPLSKSSDHDNLSQGSSVCNVDRVGSVHSGEDAGSGHGRKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLEFATNRFSKENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFTWDNRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKMLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGRDPVDYSRSSNEVNLVEWLKMMIANRRAEEVVDPILEVRPTIRALKRALLIALRCVDPDSEKRPKMGQVARMLESEEVPFREDRRNRRSRTGSMDIESITEGSNSVEFASKVERTGSSRSDRSQT >SECCE2Rv1G0111990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:706034720:706035181:1 gene:SECCE2Rv1G0111990 transcript:SECCE2Rv1G0111990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAAAAPSTSSSLLLRRPIPPNSTAARCLAPPRCRARLRTARQVAVGSVSPSPSPDVADEEAAAAPKLGKRVRVTAPVRVYHVPKAPDLDLRGREGVVKQYVGVWKGKRITANRPFRVEFELKLDGQDKPVRFLAHLREDEFEFVRDE >SECCE7Rv1G0498800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:645750491:645753183:-1 gene:SECCE7Rv1G0498800 transcript:SECCE7Rv1G0498800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxalase I, Abiotic stress respons [Source: Projected from Oryza sativa (Os08g0191700)] MATGSEAGKSAEAVLEWPKQDKKRMLHAVYRVGDLDKTIKCYTECFGMKLLRKRDVPEEKYTNAFLGFGLEDTNFALELTYNYGVDKYDIGAGFGHFAIANEDVYKLSETIKSSDCCKITREPGPVKGGSTVIAFAQDPDGYMFELIQRGPTPEPLCQVMLRVGDLDRAIMFYEKALGMKLLRKKDVPQYKYTIAMMGYAEEDKTTVLELTYNYGVTEYNKGNAYAQVAIGTDDVYKSAEAVELVTKELGGKILRQPGPLPGLNTKITSFLDPDGWKVVLVDHADFLKELH >SECCE1Rv1G0040960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:567737559:567738953:-1 gene:SECCE1Rv1G0040960 transcript:SECCE1Rv1G0040960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEAGVAAGTVRIVSRRMVRPSNGNAPPREDIHLTPWDLRLISVDYIQKGLLLPAPPAGGGRLVGSLTSSLAHALGRYYHLAGRLAVEERGDGTVNVRLRCTGEGAELVHATAPGVAVADIAGSVYTPSSVVWDLFPLNGALGADAAVDSLPVLSAQVTELADGVFIGVSLNHSVGDGFVFWEFLHAWSEINRGGGATSDLSEISARVHRRWFTDASPVPIPLPFSKLQHAVRRFEPPTVQECFFTFSAASVKELKARANGEMAGTATATISSLQALLAHLWRAVSRARRLPPGKETSYSLAVGCRGRLSGIPPGYMGNALVPGTASCTVGEILGRGLGWTAWQLNRAVASFNEESVREWLESWIREPQFRYFGSLMSGGAALVTGSSPRFDVFGNDFGWGEPSAVRSGGGSKMDGKATVYQGPERGGSMSLEVCISPDAMERLVADEEFMDAVTMLPARDG >SECCE2Rv1G0098970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:503260498:503261906:1 gene:SECCE2Rv1G0098970 transcript:SECCE2Rv1G0098970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVRGERPHAVMIPYPAQGHITPMLKLAKLLHTRGFHVTYGADALHGLPAFRFAAITDGLAPSDCEATQDVPALCYSTMTTCLPRFKELVAKLNEEAKTSGGALPPVTCVVADSTMTFALRPARELSLSCATLSTASACGFMGYYHFKDLVHRGLFPIKEEAQLSDGNLDMTIDWIPTAPKDLRLRELPSFFRTTDPDDIMFNFFIHETSGMSQASGVVINTFDELDTPLLDAMSKLLPPIYTVGPLHLTVRTSVPEESPIAGIGSNLWKEEDAPLRWLDGQPPRYVVYVNFGSITVMLNEHMLEFAWGLANSSYTFLWNVRPDLAKGDGAALPPEFPTATEGRSMLSTWCPQEKVLEHQAVGVFLAHSRWNSILESISGGVPMVCWPFFAEQQTNYR >SECCE2Rv1G0065710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:9891027:9892148:1 gene:SECCE2Rv1G0065710 transcript:SECCE2Rv1G0065710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDGGPDLPANAPVDGDADADGPYIPAELVPDIAKHLTSLHDFFALRAGCRAYRAALPNCRALLANQPPHLLVPHTADPSLPLFKVLEPGCAAFSLAIFHVPDRRLLRFRARLPFARTGGVLASDGARVAAVDGATGEILITDLLSGVQARLPMPPLAYSRVILSGGYVFAPAKGRTEIQYCSFWYAHWSVASYGGDFEIQDWRIVNGALYGLLPSCGLVMASLKDNTMELWMLGGEFDEDLEETLKETVGGSVLGECDGEPLLICKVGRIDPEYKIFRWDFNEGKWVRTMSLGGRTLFIGYDDFVACLGPDVPGIRADCVYGSLPWSGGWSEYSLVDGTCKCFTAQYPGEPGVGFGRPQVWVLPSLFCDY >SECCE4Rv1G0226130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:104653946:104657136:1 gene:SECCE4Rv1G0226130 transcript:SECCE4Rv1G0226130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGSARTMKNLLRKGNAFEYHSSPRMVPHVYSPEVKYVKLSIEKYVHCSKKRKVDVSGTPHILNKVSEEDGLLLAQYFSSSKEDTWKPSLVAFPSFQISPCDIYRVPHSYRTTDMLIDAMQQLQDVSFSKTKCGSPLQEPADVAAFEDQALEGHHFSSGEVSLSKSAGVPSSPVNKYPLHSFFSGEYVAVDVRGYISITFVPALTRISEFHKDTRNVNWEHTVQNKTTSKLTADASGQITDSAYSSKMIFAGNALNSAPHFPEYSEQCNQADGTTVLSPSTPSKTVTSPIGKWDTPCNAKLASPVLLGQEDMELSPRLTHYIEEGIVPESPVFEVSHEQLEIDSAADLGFVAKVSSSKSHIQGGQLNRPECSDGPLSFVREGQFPAGVTEHHGSSRENILVQTQAETEEPMCSSKAKIYCSPAAHTPTANLLCDSMSDDWQPKSLGNTSGSVQQLPKYRRLRKCGDKIKRASSLSLNERYNGSVGGQCNQTEHDVGNRRKAKRRMDIYIDEEVEVSEDADISPDEDDDRSEDKYEDSFIDDQTTPTDQFTQSEQGGENSNTNDMMAFYRRSLLSQSTVVLPSRYQDVSDNSVSRAGSASCSSGNLHNPVETPQGIPQIYGTTDPSPIGHQQMSLERASSIKEQGEASVVNCESTTKPDSRKRKLSFEQVASIPVINLEPEPAPPSSHVATEVGNDIYWDDAFFENLDFDAIEAQATEQLRLQKAQSAEKPTETKRASDVSFTPPSFDLGI >SECCE6Rv1G0396320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:363342886:363343383:1 gene:SECCE6Rv1G0396320 transcript:SECCE6Rv1G0396320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKKLVQFGKGFFERKEESTSTDMPLGTAMHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGGKKPTTPWGYPALGRRTRKRKKYSDSFILRRRK >SECCE4Rv1G0247340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:557670383:557672524:-1 gene:SECCE4Rv1G0247340 transcript:SECCE4Rv1G0247340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGGAPEEPRPRSRSRRQPHRLRGAYKRLLLLLARVSVGLRLQSHKLNIDQGEDRISALPDHLLLGILERLDLRDAVRAGAASRRWRHLPHRLSRLHIDVRHFHGAFPAAIMEAFTAATCRLLSPRAERECAIKTLVLDFFPVSDDMSTLGRAVEDLVTHGETECLEFHICPPYEISTARQRAELGQRFMSFSRACPVAFGWLTKLTLNDLAFRHSQVTDLITSCDRLKHLALSFCSLDHSPLKIDAPHSGIQELKFSYFACTRIELVSVPKLRKVCCLSWLCENPPVRFGYVPELHDITLCAIAKAWHAPFALSECLPRSARNLSNLYLGFDTRMIWIQPEHPKHLTATFRNLTSVVLFDIFPECDLSWTLFILEAAPALQSFGLSRDRHSCFDISKDSAEKTTVVWESSKDLKHLNLKFLHILGFEEEDKVINYIRLVMERAVGLKKIILHGELPCKECDAIYPRRSQMDEARRRQIKERLTRGSSSSMDIIMF >SECCE4Rv1G0276500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798175299:798178046:1 gene:SECCE4Rv1G0276500 transcript:SECCE4Rv1G0276500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLFLAIKKIGFALAKGAADQASVQFAKYGTQLLELQGTMGRVASELRVIHDVLCQMDIRNRNNQVYEGWLEEVQKVAHVMEDMVDEYLYLVGQEHDIGCCFFLKKGVKRPRSLLSFNRLASKVKETEKHLAHLSEIKNRWVIMINNGDTDSSNYIVKRSQDLANISRSLDEEDLVGVDDNRKKLEQWLTGDDLEHSVIALLGMGGLGKTALAANVYKKEREKFQCHAWVSISQTYSIEHVLRNIIKEVFQDNGSALSSTISMDIVSLEETLKKFLEQHKYLIILDDVWTPEAFDDLSRVLTHNDKGSRLIITTREGTVAAHASRGHTITMEALPEEKAWDLFLKKAFPKDTNHECPTELKPLSEQITSKCKGLPLAIVSVGSLLCVLDQTVEEWRRVNNQLGWEINNNSRLDHIRNVLHLSFIYLPTQLKCCLLYCSLFSEDYIFQRKTIVRLWIAEGFVKERGRNTLEEVAEGYLKELIDRNMLQLVERNSFGRMKKFKMHDILRELALDLCQKNCFGVTYGGEREESLQDVRRLVVHELKEDNHQSISGMHQLRTFITLDKSVPSSTIHVLCMESRYMTVLELSGLPIEKIPDAIGDLFNLRHLGLRGSKVKMLPKSVEKLSNLLTLDLYRSDIHELPSGIVKLKKLRHLFAERVIDPSGRELARCSGVRIPSGLGNLTNLQTLQALEAQDDSIRQLGELRQLRSLRLCNVKGLHCRNMSESLVRLQYLSCLDVNASDENEVLLLNVCLPSVQRLFLRGRLAEGALEESPFFQAGRGHDLYELRLYWSQLGEDPLPSLSRLSNLTSLRLTRAYKGEQLAFLAGWFPKLKYLSLKDLSNLNRLEIQEGAMANLEKLILRNLNRMTEVPPGIEFLLPLQYLGFKEITRDFLTSLRQCSAIEGKFRYTRRRR >SECCE7Rv1G0486320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:407174049:407176433:-1 gene:SECCE7Rv1G0486320 transcript:SECCE7Rv1G0486320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVPTSRLLAAISAAASSTADLRRLSQLLLTPYTPLPPLGCLNTLLMALARHRMLPDMESFASRMPARNLRTYTTLINAYCLAGNLPAAKRHLASLLRAGLAPDSHAYTSFVLGYCRAGLLAHACRVFVLMPLRGCARTVFTYTALLHGLCGAGMMREAVAVFAGMRADGCVPDTHVHATMVHGLCGAGRTGEAEVFLAEAMAEGFEPNVVVYNALIDGYCNAGDLELAVKIFERMDVKGCSANVRTYTELICGFCKSGKVDRAMVLFSRMVEAGLAPNVVTYTALIQGQCNDGQLKCAFRMLQSMEASGLVPSEWTCSVLIDSLCKRGRIGEAQLFLGSLIQKGYRVNEIVYTSLIDGLCKAGKVDAADKLMQKLVSQGFVLDAHTYSSLIDGLCRQKELSQAMLVLDDMMVKGVQPNSVTYTILIDELVREIGSEGSKKILNKMIAAGIKPDVFTYTIFVRSYCHEGRMEDAEHMMVEMVDQGISPNLVTYNTLISGYANLGLASQAFSVFKHMVASRCKPNEESYTVLLRLLVKKKSSNNILASSVDIWKIAEMEYIQELLEEVVKLQLLSDIEIYNYFLRSLCRVDRLEETKILLGEMQSANLTPGEDVYTSIVGCCCRLKMPTEALTFLDSMTKSGYLPRIESYRHIICSLCEEGSIKTAKKVLGDMLLEEYNYDEIVWKILIDGLLRKGNAAECSILLSVMEEQDYRPGDALYAKLTGFQQCIESYGRS >SECCE4Rv1G0258380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677618979:677620445:-1 gene:SECCE4Rv1G0258380 transcript:SECCE4Rv1G0258380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRLPSSVQQLAASIQEPPRQYLLREQEPLGGNLAGTEMPEPIPTIDLGLLSASNDAEEAAKLRSALQTWGFFKVSNHGMETSLMDSVMTASRDFFRLPLEEKRKYSNIIEGKHFQMEGYGTDQVKTQDQRLDWSDRLHLKVEPEDERNLALWPIHPKKFRDDLHEYTLRSKRIKDDILRAMAKLLELDEDCLVNQFSDRALTYARFNYYPPCPRPDLVLGIKPHSDVYALTVLLMDKDVAGLQFLRDGTWYNVPSASNYTLLINVGVTMEIMTNGIFKGPVHRVVTNSEKERMSVAVFYGLDPEREIGPIAQMLTEDQPARYRKMKVKDFLVAHLEHFSRGERVVDSLRI >SECCE4Rv1G0271110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:759429206:759430201:-1 gene:SECCE4Rv1G0271110 transcript:SECCE4Rv1G0271110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWTPPMSALMLKGLSEVAARGAKTDKGFKEVEKLKVAKRISSFVGYDVSITQVHNHIRKWRNRWTRLVYLKGLSGALWDDDKKMVVLEEQHYLGHTQDHPTDAELLNSPLENYDYMELCFANKHATGNYSMGPGVPLGTPIVVEDKDKPNVMEGEGTTDEVLQHLPGSNFVLPTASATQDPSPTSNKKRKRASGLTEEDSIQCSNMTDAMREIASAINNTCHAETHPDLYNAVMDLLLFDQDERLAVLDYLTEHKAKGLNFVKMNDEVRKASFKRILKANPDLL >SECCEUnv1G0537240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67921113:67927884:1 gene:SECCEUnv1G0537240 transcript:SECCEUnv1G0537240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRFLFSIAAAIAAASLLAASLRRREQPPRLPDQLVPGPMAARNRSFVLWLHGLGDSGPANEPIRTFFAAPEFRHTKWAFPSAPNSPVSCNNGAVMPSWFDIHELPLSPGSPHDESGVLKAVENVHAMIDREVAGGIHPDNIFVCGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFGSSVIERISPEARKTPILWSHGIADQVVLFEAGQAGPPFLQSAGISCEFKAYPDLGHSIAKEELSSLEAWIKGRLKASQDKQS >SECCE7Rv1G0509350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:779751592:779752611:1 gene:SECCE7Rv1G0509350 transcript:SECCE7Rv1G0509350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANVVNRDDASEEARVLPLALFAVEHSSKKRLLFDVSSGNIRGITSALFQDAFVEFENCGWLLMVRHKPFHFQEQVVFLVHPSTGRRIDMPVLRSPNKGYFVFYVNPRRGSGTPLVVACIQIMSIVPTVHVACPGDVYWCVYKHTCPLHLSEAMCKSFHNTLIMDVALVGTQAVCAEFIGQILIFDITEITWRATSCPEWSIQDAHYLVASIGKVVTVSCPRASAFKFLQLDMEALAWSPLDDQELEDTSWFLSQGQSIRVKEEGRRKVYTFHPRGRGNLATESSDGWTITSGPATLKTITNIYAYDLVVGTVETVIPASIVTEVSCWVWPSTFATPK >SECCE7Rv1G0492590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:553249558:553250914:-1 gene:SECCE7Rv1G0492590 transcript:SECCE7Rv1G0492590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCRSAAAAARSAALRSKSRIASPFPATRSPVAAPRLRRSTVKMLAGAESLMPLHSAVAGARLRSCIAADSSCWSCLSQGLIKRI >SECCE4Rv1G0223820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:74384384:74387403:1 gene:SECCE4Rv1G0223820 transcript:SECCE4Rv1G0223820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAAKLFYFQRRRPPPPPLPEPTEPRGLDSSAARRRVRPIRSAHHRPGYEVVRGSQRERGASSGSITEPTRNVLFSTRQGSNASNGRLPDAVQQAKERLHQRLRSVDLFPGRRLAAPAVGTIWAGPDLPSECDICSSEDGGLAGRTFGFNSSASLSGHKVKQITAETFSDAAVVGPHDLRPVCKLGHEALRGTIEGEDDAESSVDCSICLEGCHGASDELIQLRCKHIFHSACLQQWLQSRADCPYCRAGVVLPHGKSDMGYQRRRL >SECCE3Rv1G0184810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:666250251:666252490:1 gene:SECCE3Rv1G0184810 transcript:SECCE3Rv1G0184810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVTLSRKSQSFVVPAAPASGETLELSAIDRVPGLRHIVRSLHVFRPNGDRRADGARPAEVIRAALSRALVEYPAFAGRFVGSVAAGEACVACTGDGAWFVDAAADCSLQDVNGLDCPLMVWEEELLPAPEEGVDPTTIPVMMQVTEFTCGGFVVGLITVHTLADGLGAAQFITAIAQLARGLDRPTVAPVWARSIIPSPPKLPQGPPPSFQSFGFQHFVTDVSSDCIAHVKAEYFQVMGQYCSTFDVAVAKVWQARTRAIKYSPESEIKICFFANTRHLLTQVLPKDGGFYGNCFYPVTVTSTAKDVATAGLLVVIRMIRDGKARLPLEFARWASGDVEIDPYQLVFEHNVLFVSDWTRLGFFEVDYGWGNPSHILPFTYADYMAVAVLGAPPMPKKGTRVMTQCVEEKYLNEFKDEMKGFF >SECCEUnv1G0536580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:64884907:64887970:-1 gene:SECCEUnv1G0536580 transcript:SECCEUnv1G0536580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVQLPVLLLLMVLSAPAANLQATASPPPDPLQCSSGDGKADCTVSSAYGVFPDRSTCRASAVVYPSEELVRAVANATATKTKMKVTTRFSHSIPQLACPGAGDGRGLAISTRWLNRVMGVDAARMEMTVESGVTLRELIEAASAAGLALPYSPYWWGLTVGGMLGTGAHGSSLWGKGSAVHEYVVGMRIVTPAPAADGYATVRELTSADPEMDAAKVSLGVLGVISQVTLALQPLFKRSTTFIQRDDDDLAERVHEFGREHEFADIAWYPGLGRAVYRVDDRLPMNASGEGVFDFIGFRPTSTLVIREARLAEELSEQASDDISKCLMSRVIRTSLSTAGYGLAQRSGWPFIGYPVVGRQDQMQASGGCLMASEDALRTACPWDPRVRGTSFFHQTAFSMPVSRARAFIKEVRQLRDLNPESLCGIDIYNGILMRYVKSSTAHLGKAAVHGESDDMVEFDMTYYRSQDPRRARLHEDVLEEIEQIGLFKYGGLPHWGKNRNLAFVGAARKYPGMRDFLRVKDAYDPDGLFSSDWSDLMLGIGSGALTDNTPGCALEGMCVCSQDVHCAPEQGYVCMPGKVYKNARVCTKV >SECCE5Rv1G0353710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718988516:718993356:1 gene:SECCE5Rv1G0353710 transcript:SECCE5Rv1G0353710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLMTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHVGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTIAEAETIALSILKQVMEEKVTPNNVDIAKVSPSYHLYTPAEVEAVIARL >SECCE3Rv1G0188500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:714687776:714689146:-1 gene:SECCE3Rv1G0188500 transcript:SECCE3Rv1G0188500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVAVFLCLLSAAAAAAAMDPAERETLFLVMDAVSSDRDWRSESPDPCGAPWPGLECKPAPGTAAPLHVTRLDFGVEPNPSCMDAATFPPQVLTLPRLQSLFFVDCFNNPAAVTALVLPSANLSSSTLQQLSIRANPSLSGVMPPQLVRLRSLQVLTISQNGLVRGEIPQGIGELKSLVHLDLSYNSLSGPVPSRISELKGLVGLDLSYNSLSGPIPSRIGDLRQLQKLDLSSNNLTGGIPDTVANLTALTFLALSNNGLKGHFPPGLSGLRNLQYLIMDNNPMDVPLPSELGSLARLQELRLAGSGYSGPIPAAFGQLASLTTLSLEDNNLTGEIPAGLSRLHRIYHLNLSNNGLGGAVPFDGAFLRRLGRNLDLSGNSGLCLDDRDVVRDVGIGVGACHGGRGGDGSLARDSVSSATGVITRGSTGSFRFRLLGPVCVVVISCIFSPSNEYA >SECCE2Rv1G0066930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:16411263:16413237:1 gene:SECCE2Rv1G0066930 transcript:SECCE2Rv1G0066930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTACLTMTMLLLTMIVMKVLGRRTVGPMQKGRSLPPVVGGVSLIAAFPRLVTHGLQAVVQDLHTKLGSVFTVVLFGVRKVTFLVGPEVTAHFFQASESEINLGNLYEFTVPIFGQGVMYDVDLATRSKQIRFCTDAIQPMKLKNHVDSMVREVQEHFKKWGQHGIVDLKHEVRDVLMLMACRCLLGEEIREKMLDEVCTLLHDLGEDGFHLVSFFLPYIPIPSHKRRDRARAKLGEIFRDMVRSRKSSGRVGTDVLQNFIDANYKDGRCMTEDEITGLLIALLFGGHHASSSTTTWTGACLLSHEQYLTAATEEQKELIGQHGERIDYGSLSEMGTLHCCIKETLRMHSASPLLIRRVKKSFTVRTREGEKYEIPEGHTLASSTVVGNNLPYIYRDPHVYDPYRFSPGREEDKVGSKFSYTSFSGGRHACLGEDFAYMQIKVIWSYLLRNFELTLVSPFPEDEWEKFVPGPRGKLMVSYQRRPLVN >SECCE5Rv1G0340570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:615652830:615653474:-1 gene:SECCE5Rv1G0340570 transcript:SECCE5Rv1G0340570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAYAASPCDGHRTVWSEPPKRPAGRTKFKETRHPLYRGVRRRGPAGRWVCEVRVLGMSGSRLWLGTFTTAEMAARAHDAAVLALSGRAACLNFADSAWRMLPVLAGPFSTAKEIKDAVAVAVLAFQRKHPVASTTPSSPARTTDDEKEIGVSPAPSALSMSSELLNEHWFGGMDAGSCYSEGMFTESLDTRPWREDIELGGVETPPWSCLFD >SECCE6Rv1G0400460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:452665960:452668631:1 gene:SECCE6Rv1G0400460 transcript:SECCE6Rv1G0400460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPEQGGAGYPYGPPLTGMAPLLPQPTSATVSASSIPPPSPSPMQPQPQPAGTNFEQLPAASPVGGGGAVNFHDDDNMLVDGGSGRSAGDAGAGGSGSRWPREETVALIRIRSEMDGAFRNAALKAPVWEEVSRKLAELGYRRSAKKCKEKFENVDKYYRRTKEGRAGRQDGKNYRFFEELEALHAAAPQQNHPMPTAAAILPDPRPLAMAPAHPGAGLPDLSLSSNSESESDDGSDEEEDQAGGGGASNVSVMALFEGMIKQITEKQDATQRLFLQTLEKWEADRTAREEAWRRQELARIGREREQHARERAAAAARDAALMAFLQRVGGNSVLPTTMPAHTAPHSDAPAASLQLVVGASEEGCRRGGGESGAGMSRWPKEEVHALIQLRMEKDEHCQDMGAKGPLWEDISAGMRRIGYNRSSKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLDAIYRKKQFAVSNAGGGGSSTASGNAVAAVNASASASEQHNPWRELEGKISNDFDRSYSVGGGSSHSHAPAGDGEMAAASTVLDAVGANKKSQDTVMAMEPSIQSQQKELTATDETDSDDIEGNYTDDGDDDEDGDEDDKMKYTIEFQKHKESGSGSAPAPPTAPTVVTSSAPTSSTFLAVQ >SECCE2Rv1G0114280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:733043146:733050786:1 gene:SECCE2Rv1G0114280 transcript:SECCE2Rv1G0114280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNQFRPDRFNDNTNAARRTGRGCIGGTHHWVVSGGRGSAAPDAQLHSPPKRSGRPGTVQQYRPRSPAGVVPQGNATNYTPVLTAADEHAEPVNRGSLESQKSSNVPDQSDGVNLQSAEGARAEDSSMPVLRSEGYSSSAFTLQFGTLSPGVITKQCIPCSTSVLPDLNEKRHEKAHHGLLSDKPNVVSMPSAGEQQKQETKDNLIICSQTDLIDTYDSVPAMVSSNSCVLTDPELPRTPGLYYVAPAEKVSTDSCKVFPRNPSSPTHQQWQKQDTKKDAIDAGQSDSMHKYPATNPKMSVQIPPPYTTNMAPPPFMLPVNGRPLPAAFQQKQPQVPVEFRGQGVPMGNAPHVPPFFVHGPQPRALQPPAFVHQGQGLGCAPPACPHLPQLGNMRITQELPQQQARSYDEQKRPVRITHPDTHEELMLNRRGHSYIDAASGAMPLHHMNQLAQPVTTFPPLHEVYYRPNMYNTGHIYLPTTNAVPASNRQMCPRMQTPRHSLDPNINNQAVTSISPPMQNPWLGASSRPPINLRSASEVSSSKGLLPSDVSFPGQGALKPPTISLAENNELRYSVESALSSQQRVHKLGLEISPQPEKLVSEANLKLPAATSGVSCSTSPQSVLTQQAQTGSALVDRVTLIAGPQNISACKLTSACVASGTSSVEAKLESSAVPSATSSSGAKGQVYHIVPQSEMDTESKNSKYDGNGILGKPPLMYAQEILPPKSPTSIFTESLKARVNHVPLPETSEANCSAPLQMQDMMREEHTVNAEVTCSKSKAEQVDMTMHMPGASSGSGNGTDVSKLVNVPTCAESGDLHLSLHIGNVSPSDKNNGSSSDVQIGSCSLNDSIQDNESIPMIMAIVSNSTSAHKKIERESIDSAVSNQCSVAAASMVQTKKPVLEAAKPKTTHGRRKKRKEILPKSSDSEEVVQSSSTVDKEICTLDAEMGSSAGSNDNQNKNDIFDWEGGMEISRDTYGCDQKRYSSDFLLTFAQSCVNLPEGFKIGSDIYSAIMNVGSEHNPNRERTKDRVSTISQVNRHMGSNKLNDNNWKKLHPPFSGRDPPSGRGSSRNGSQNQLPSQYNGEILSRAMKEVACQRSMSRGSVDQRWQHRTNQAMSSQSQVSMPLMHKAEKKYEIGKVSDEEEVKQRQLKAILNKLTPQNFEKLFEQVKALNIDNIVTLNGVISQIFDKALMEPTFCEMYASFCFSLAGELPNFVKDDEKITFKRLLLNKCQEEFERGEREQAEADKAEEEGGIKQSENEREEKRLRVRRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYQHPDEEDLEALCKLMSTIGEMIDHIRAKVHMDFYFDIIQKLSANSKLSSRIRFMLEDVIDLRNNKWRQRRKVEGPKKIEEVRRDAVKQKMSQSTRVGSSPNYNSSAGSISSPARPGPPPDYGVRGSSASRGSSQVRAYGSQNVNLDTRYQTSNRAMSVPLHQRRSDKSIRLCPQGDLGREMSICGKPPASNDILPEFPLNSHHGQTLRNSRQSSFTGSTSNQINHQATADAPKIQSWGTADHALPILVTTAGPVGQMHTPSSAMKDICYEAIIFPEEILQEKAILTIKEFYSAKDEKEVGLCMKELNAPSFYPSLVSLWINDSFERKDLERELLAKLLVNLCKSQERLLSQRVLLQGFQHVLSTLEDAVTDAPKATEFLGRIFAKVILEGVLSLAEIGVLLQDGGEGPASDQGLASEVLGSMLESIRVERGDSGVDEIRARSNPHPENLRQPGLCA >SECCE2Rv1G0083450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:166451190:166452632:-1 gene:SECCE2Rv1G0083450 transcript:SECCE2Rv1G0083450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRHLLRRLLPSPVTAGAPLVRHLSANTTPSSTTDITSIASSLAAAVTKLSSTPAPATSPDAYFSLHFSDVRPTNALLAETLSLSPPATSRAAADLFRFLVRRSLHPSDGALALVVRHLARRRDFPAVRTLLQEFPSALGPDTVDAYLFHLSRAGRATDALKVFDELPGELRTRRALTSLVSSLSSEGFPQLAERAVKKIAHEIFPDDNICTLLVSGYADAGKLDHALRLIGETRNGGFQPGLDAYNAVLDCVCRLCRKKDPLRMPVEAEKFLVDMEANGIPRDAGTFRVLIKNLCKIRRTEDAMNLFRQMGEWGCSPDADTYLVLIKSLYQAARTSEGDELMIWMRSAGFGDKLDRKAYYGFIKVLCGIERVEHAVKVFRLMKGYGHAPGTKSYSLLIEKLATHNLGDRSNALFREAVARGVTVTPGVYKIDKRYVKEKKEKKVKKRLTLPEKKILKSKRLYKLKMSFVKKPKRLRRA >SECCE3Rv1G0207190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:918480269:918482952:-1 gene:SECCE3Rv1G0207190 transcript:SECCE3Rv1G0207190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGGEEDKKPAGAGGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMKAIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGRRLPPSA >SECCE3Rv1G0208000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:926092989:926094361:-1 gene:SECCE3Rv1G0208000 transcript:SECCE3Rv1G0208000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGSLYTCGGVEPVAGQKRKRSAAAAGGEVSCGRRKRQASGPDYLDGIPDDLVLSIFSKLAASANSPSDLLSVHLTCKRLNGLGQQELVFAKASPASLAVKAAAWSEPVQRFLKRCADAGNLEACYILGMIRFYCLGSRSGGAALLAKAAVGGHPAALYSLAVIQFNGSGGAKSDRDLRAGAALCARSAALGHVDALRELGHCLQDGYGVRRDPAEGRRLLVAANARELSLALAAAAASATYPFASLPIGAVAGGAGVGGSSPLLSDFGWSLPEAEPHTANQFMTDWWASRGVQACAKKTDAAATGGDGEGELRLCSHMRCGRKETRRHEFRRCSVCGAANYCSRACQALDWKRAHKAQCVPMDRWLVGAAAGAAPQQ >SECCE3Rv1G0208450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:931345669:931346088:-1 gene:SECCE3Rv1G0208450 transcript:SECCE3Rv1G0208450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTGGKGDHDSRLVRDLCTLLVTIIAPVAAASSADSPRRPVARRPRGGMSPAGAASMLLGASMALMLCGSVTFAIGFLLMPWVAGVALLFGFAGVISTLSSGLLPSSSKEQRLRTPTQGRVRASAPMSSVPDKLVAWR >SECCE4Rv1G0221920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:52834068:52834562:-1 gene:SECCE4Rv1G0221920 transcript:SECCE4Rv1G0221920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLHLRRLLPAARPSCAAAFSTAVTPTPRVSSLVDEICGLNLIEASSLADALRGRLGVDQLPPLAILMGGAAPLAGDGAGAGEEAKPKEEKMAFDVKLEGFDAAAKLKIIKELRAITSLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRALGAKIVLE >SECCE4Rv1G0264580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:721318964:721323213:1 gene:SECCE4Rv1G0264580 transcript:SECCE4Rv1G0264580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVGAWAWWLGLLFGAVPLLCLAVWHCTDAWHCAAFALKYGSRRRLPPGHMGIPFLGETLSLLWYFKLARRPDDFINAKKSAYGGGAGMYRTHLLGSPSIIACSPAANKFVFQSADNFGVRWPVPELVGITSVANVEGGSHARLRGFILTAINQPSSLRTITTVVQPRIVEALRTWADKGTIVAATEIRKPMEPSPIMDKIDQWFGGLVDGVRAFPLDLPGTASHNGRKCRRKLNTFFREVLEARKNVEHKCDDLMSGLMHIEDEQGKKLSDEEVVDNIVSLVMGGYESTTTTIMWAIYHLAKSPTILAKLRDENVAMSKSKDDSTLASFMITHDDIAKLKYTAKVVQETIRMANVASMTYRVANKDVEYHGYTIPKGWPVLVWLRSLHTDPNYYQDPLTFNPDRWDEPAKPGTYQVFGGGYRICPGNMLAKLQVTIILHHLSVGYQWELLNPNAKINYLPHPRPVDGVSMAFRKLSR >SECCE6Rv1G0447940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:852577143:852580769:1 gene:SECCE6Rv1G0447940 transcript:SECCE6Rv1G0447940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIGVASGLIGTLLNQLSNELVEAYVASAKLGLNAKKIKDDLLSTQGLLHEARRRGVSDNPGLNGLVQQLRDKADVAEDALDELHYFIIQDQLDGTKYAEPDLGDGLRRHGCHAVRHVVGNWLNCFSCSPMQDDVAPSVDVTSKSRNTTNLDSTNDGPLDKLPFDRVSMSNKIKSVIEEIHSLCDRVIRVSKLLKVTPHQNNTTNGGLNRAPTGSTSVENKLYGRSAIFEQTIQDITCGTYHGETLSVLPIVGPGGIGKTTFTQHLYSNRRTEEHFTVRVWVCVSTDFDVLKLTQQIHNCIPATEKEDINVDNLDQLQKSIIHRLESKRFLIVLDDIWKCDSDAEWKTLLAPFTKGEAKGSMVLVTTRFPKIEERVKKGFLSQPIHLQGLDPHDFFEFFLACVFGENKSDQKHSELIDIGKEIAQKLKCSPLAAKTVARLLEQDLHWEHWRKVLENNEWKNQKSPDDIMPALKISYDYLPFHLKQCFPYFSLFPEDHRFKVVDFNRLWTALGIIDSSCSNKNYLEELVDNGFLMKEVGDLGDHCYVMHDLLHELSQSVSSQQCTNISSLSFSADEISQSIRHLAITIENRYDENFRQEMVKLKSRLHIRDLRSLMIFRRYEERITEILNDTFSEIEGIRVLFIELDSTESLPKNFSKLLHLRYLKIGAACNHAISLPSTIPRFYHLIFLDLQDWKGSYDLPKNFSRLVNLRHFIANGELHSNVPEVGKMKRLEELKEFHVKKESIGFDLEELGELKELCGELVICNLDKVATKGEANKANLSLKRNLKTLGLVWGSTDPDWADEPVAILEDDVVDGLQPHDNLKKLCVKGHGGAGPPSWLCCDIPIKYLESLTLLAVSWCTLPPFGQLSYLKSLELMMIPSVHLIGPESGTGRNKSFMHLKEIVLYGMPLLESWIVEPNCHSFPVLESIKCTGCPNLLSLPFFRECSVSCTRDTHCPSLQTLEIVECPKLFVSPIPPAPALTSIEVHDTHRNVVLNKEEDSLMVSGYSGALALHNTGTSRLILSNCGTLTVDKFIIAVKLKELMVSNDVGHPSTSAMDLLSGVARRTKQLPAGSFQLEKLGVDSISAVLVAPVCSYLAAALHTLTFSCDERVESLTEEEEQALQLLTSLQILEFVHCPGLPSLPQGLHSLSSLRELKVEDCPEIQSLPIGGLPTSLHKIAIPRCDLELRWEVSVLERKNPGLRVDS >SECCE1Rv1G0025630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:357566707:357571953:1 gene:SECCE1Rv1G0025630 transcript:SECCE1Rv1G0025630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMARLPLLPAVVLALASALAPSVVADPQAMLLNLGCSQYNATPTAAFLVALNSTFAKLRANLSAGGFATASEPRAAAPAFALAQCRPYVTGRDCVACFDAAAARIRASCGAANGGRAILDGCVIRYESAAFFDQSTLPGNTQLCNGSAVAGGGFDGAVRALIGDLVAAVPRVPRLAAAAAGAGVYAMAQCVETVGAGGCAQCLQVASRNIGSCSPNSDGRAVDAGCFMRYSDKRFFPANATVDLAAYLRSGKSRGNGAIIGGILGGVAFLFLLGLLALLWIRRSRKLQKPRRGDILGATELQGPTSFYYHDLKVATNNFNEKNKLGEGGFGDVFKGLLKNGKTVAVKRLTVMQTSRAKADFESEVKLISNVHHRNLVRLLGCSRKGSECLLVYEYMANNSLDKFLFGERRGTLNWKQRFNIIVGMARGLAYLHEEFHVCIIHRDIKSSNVLLDDDFQPKIADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVILEIISGRKSNDTRLEPETQYLLESAWKLYENENLISLVDESLDREEYKPEEVKKIIEIALLCTQSAVASRPTMSEVVVLLLSRNSSELQPTRPTFIDSTSRVRGETSSSSSSSASRATVSVSQFSAR >SECCE2Rv1G0084180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:173105985:173106887:1 gene:SECCE2Rv1G0084180 transcript:SECCE2Rv1G0084180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKNTMASLVFLVVLLLSCSTMSNAARHLEEAVPKKEYPPHPIVPELPKPELSPHPAVPDLPKPEPPHPLVPEVPHPMVPEVPKHELPPHPAVAELPKPEVPHPVVPEVAKEPEVAHPVVPEVPKHELPPHPAVPEIPKPEVPHVPEVAKEPEVPHPVVPKLANEHEMPHPMVPEVPKHELPPHPTMPELPKPELPHPVAEVPKEHELPHPDVSEVPKEHELPHPAIPELPKTEMPHHAVPEVPKEPHVPHPEVPEVLKEPELPHPAVPEVPKHEMPLYPKAELPPKPEFHFPEPESKP >SECCEUnv1G0537890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:70390912:70391499:1 gene:SECCEUnv1G0537890 transcript:SECCEUnv1G0537890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSKANPQHAANLHNLQTFAKRKAFEESRKDAKSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSNDDGSEGLPIMSKKFAFQAFLISDVLAMCSSFAVAFICIIGRWGDYEFLVYYRSFTKKLMWFAYVATTTAFSTGLYTVLAPRLHWLAIAICVMVALLPILTKLLGEWPVLKLRFRLGKTFNSDLLDMV >SECCE3Rv1G0171090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:263551370:263562527:-1 gene:SECCE3Rv1G0171090 transcript:SECCE3Rv1G0171090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNTKYFEEKVHAGEWDEVERYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCSPPNGARTSPVSVPLAAVPKAGAAYQPLTGHPPFQPPPAGPSLAGWMTSAAVSSSIQSAAVAASSMSVPPNQGMMKRPAISDYQSAESEQLMKRLRPTGHGIDEATYPAPTPQPSWSLDDLPRTVACTLSQGCNVTSMDFHPSRHTLLLVGSANGEFTLWEIGLRERLVSKPFKIWDMQACSAQFQSVLAKDSSMPINRVTWSPDGDLIGVAFAKHLIHLYAYQQPNETRQVLEIEAHSGGVNDIAFSRPNKQLCVVTCGDDKLIRVWDMHGQKIYSFEGHEAPVYSICPHHKETIQFIFSTSIDGKIKAWLYDNAGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKEGDSHLVEWNESEGSIKRTYSGFRKKASGVVQGVVQFDTAQNHILAAGEDNQIKFWDVDNTNMLTCIDADGGLPGLPRLRFNKEGNLLAVTTVDNGFKILANSDGLRSLRAFGNRPFEAFRSPYEASAMKVSGAPVVAGISPNIGRMDNLDRNSPAKPSPILNGADPASRSLDIKPRISEEKPDKAKPWELMEVLNPQQFRVATLPETPDQASKVVRLLYTNSGVGLLALGSNATQRLWKWNRNEQNPSGKATASVVPQHWQPNSGLVMANDIGETPPEESVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKIRLKGHQKRITGLAFSNSLHILVSSGADAQLCVWATDSWEKKKSVAIQMPAGKTPSGDTRVQFNSDQNRLLVVHETQIAIYDASKMERIYQWIPQGTLSAAISHASYSCNSQLVFAAFTDGNVAIFDADNLRLRCRIASSAYMSTTAINSNPPVYPFVVAAHPQEPNQFAVGLSDGSVKVMEPLESDGKWGTPAPVENGVANGRAPASSATSNPATDQNQR >SECCE2Rv1G0121760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:810956253:810959114:-1 gene:SECCE2Rv1G0121760 transcript:SECCE2Rv1G0121760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDTPTASKRGKRVPCTASSLPEEILFEILLLLPSRSILRFRSVCRSWAALLSSPAFKDVYAAKARARARRMDKFVVFAPSPNGSTAVYSCARGASVADPLFTIDHMRVDFMCLSSKPCHGTMLFSDTRSGVYWVCNPSTGECVPLPKQHRGLMQSSAGLVYDDQTKERKVVHLFSKDRDLHCEIYTLCVPNGRWRPANHDVEMLMGSEIMTKHINALQTQDSVTKTPPVFANGCLHWLVYPNSTDMQMDVVLCFSVATETFQLLNAPASVHVAEYTELDEYLPAVPMHLAELDGSLCMVHDLRRRGQARSWLDVWMLRDPAASEWSLDYRIAVTPLLALDLHSPRFITILGSSSGGDRCAGNVQEKRKILIATSQHQVHAYNPDNGDIELVLSAAPETNIRISRKESAVALWLGLYEDNLVRIEGEIRQEKEALSVVTKILVRLSVKSIARSMLVCRQWCSLIESESFVTTQMSEKRPTRILMINNGRARRAFFDFTSVKNWLQAAGPALADTLVNNDKIICSKPCHGLNLISTYTDDFMCNPCTGATKCLGRHGKSNFTPAGHHHHHAFSVGRNIGFGFDQSTREHVAVEIGYIKGTLACMIKTSSEKQWICIGKPPMMVSDMPPAHVDRTLYWMSDCTRERVIVALDISARAFSILPCEPGLNNDVRHTFLVELKGTLSLVTVNARVGEMGIWMKPKDSSWVGVYKLCLGEQPDYSLKTGQVVMPMEIDGANDGRILLKTGRALGYYDSKTGTMDNLYSMDQLNLPQSSLAFPVLCQESLVRIQEDEPPNRATLFSRPEGFRRCDHPGHADATPDRRRPILLKCEGGACVDIGVIYRSCCRRILCVSCQRRCLEHSPNHIIRLDPVFPTSDYNVTMVRPDSTMPFWHPSVPGPEFIYYYTTKDGDVVCHVFISLEDYVRSRRFSNQVECGYRMEGDVVKESWVRRYLKL >SECCE5Rv1G0334830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:568289750:568293657:1 gene:SECCE5Rv1G0334830 transcript:SECCE5Rv1G0334830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVRRRTPPQHGPAEGRGEGARARARVQAGDALPLPIRHTNLIFSALFAASLAYLMRRWREKIRSSTPLHVVGLTEIFAICGLVASLIYLLSFFGIAFVQSVVSNSDDEDEDFLIAAAQPKPAPAPAPAQCALLQSAGPAPEVMPEEDEEIVSQVVAGKIPSYVLETKLGDCRRAAGIRRESLRRITGREINGLPLDGFDYASILGQCCEMPVGYVQLPVGVVGPLLLDGRRLYVPMATTEGCLVASTNRGCKAIAESGGASSVVFRDGMSRAPVARFPTARRAAELMRFLENPDNFDTLSVVFSRSTRFGRLQGVKCALAGRNLYMRFTCSTGDAMGMNMISKGVQHVLEYLEEDFPDMDVVSISGNFCSDKKSAAVNWIEGRGKSVVCEAIIREEVVEKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVSAIFIATGQDPAQNVESSQCITMLEAVNGGRDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLATVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSRKDMSNAAAC >SECCE4Rv1G0253430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:626633231:626639257:-1 gene:SECCE4Rv1G0253430 transcript:SECCE4Rv1G0253430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERRAIRRIESAAARQVTFSKRRRGLFKKAEELAVLCDADVALVVFSSTGKLSQFASSSMNEIIDKYSTHSKNLGKSDQQPAIDLNLEHCKYDSLNEQLAEASLRLRHMRGEELDGLSVGELQQMEKNLETGLQRVLCTKDRQFMQQISDLQQKGTQLAEENMRLKNQMHEVPTASMVAVADAENVVPDDVHSSDSVMTAVHSASSQDNDDGSDISLKLALPWK >SECCE5Rv1G0340900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:619356659:619357394:1 gene:SECCE5Rv1G0340900 transcript:SECCE5Rv1G0340900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIYDYIPAHRRRVSAADFWPDADHHSDAHSIAPDRAPRAKRGRTNQYRGIRQRPWGKWAAEIRDPVKGVRVWLGTYPTAEAAARAYDQAARRIRGAKAKVNFPNEILAGAPAHEASCTMAAAPPVAVLPSPKKEEEGCSCEEVKALSEELMAYENYMTFLGVPYMEGGAAAAPAAVGVAALEAPAELWSFEDSYYPGPLGL >SECCE4Rv1G0216010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8495908:8496183:1 gene:SECCE4Rv1G0216010 transcript:SECCE4Rv1G0216010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKKSGSEPSAPGAAPGHGEKVPRGHVPMVTSCGERVVVPVRLLAVPCIAELLDMAAQWYGYGQPGVLRVPCDAAHFRRILDCALQRAG >SECCE1Rv1G0038030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:534586156:534587580:-1 gene:SECCE1Rv1G0038030 transcript:SECCE1Rv1G0038030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVAAAEPEPKKLRRDEQKPPATRTREGGGGGEENLDHISRMPNDILDTVISLLSTKEAARTRVLSSRWRHLWRSAPLNLVVDRRLSGRERDRVAIVSKILATHHDPACRFSLAIGAIRLRHDLYAKFDGWFRSPALNGLEELQFYGGSNPLPLSAPRLAPTLRVASFRDCGFPNIDAVPTLRLPRLKHLKLPGVAISEEALRRLLAGCTALESLELQGNGLSTVRIVSQTLRSIAVYVSYYHKSDVVLQELVIEDAPCLERLIPLDSGDVPMTITVIAAPKLTVLGYLSSQIYELVIGTIIVKEMIPISLTASMRTMKVLVLESIGPNLDTIARFLRCFPCMEKLYIQSCFRKDVKNVGPYDILIDPLECLNLHLRAIVVNTYRGMQPDVNFAKFFVLNAKVLKVMIFGVCGTYNEKWMANQQRRLQLDKRASRDARFDFEREVGGCWFSNKKHTHDLWIADPFDSSLCNCC >SECCEUnv1G0539000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74715378:74717608:-1 gene:SECCEUnv1G0539000 transcript:SECCEUnv1G0539000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRRRHCRRSPAASPLEDDNLLSEILLRLPPQPSALPRASAVCKRWRGLVSEPRFRHRFRLRHRRNPPLLGFFNRFDRLSFQPTLDAPDRLPLGPFPFQRDDGDYFVSLGCRHGLLLISLPGRHEVLVWDPVTGDRHRLAVPPVVAERAAKIGVGGAVCRATGDVQHFQVVLAVTDGDDAQPRRALACVYSSKTGLWGSLISTPLPYQAHGSQFSAIVCAEDAALAGGSLYWPLAGNLDGILEFDLEKQRLAVIRVPVHTWGMRERNGFKLMRAEGGVLGFLFISHSDCCAAQLWKRITGCDGVASWVLARTIQLDKLLSLKPKEKGGIEIVGSAEDNNVLLLRTVIGLFTIHLESLKFKKLSETTIVSRYLPFESVYTAVTGIGGRHDASDVMHNK >SECCE6Rv1G0408180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:569075917:569076888:1 gene:SECCE6Rv1G0408180 transcript:SECCE6Rv1G0408180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASYTSTSTSHVALALVSVLLLSLAHGSLGLGARRLMELYKPDPSELLTYHNGAVLHGAIPVSVLWYGRFTPAQKAVVSDFLLSLTAASPAPTPSVSQWWDTIHQLYLSKANGGANAKRATRVALAGQVSDERCSLGKSLELPQLAALAASAKPRKGGIALVLTAQDVAVEGFCRSRCGLHGSDARARTAYVWVGNSASQCPGQCAWPFHKPVYGPQAPALVPPSGDVGMDGMVMNLASMVAGAVTNPFGDGFYQGPKEAPLEAATACPGVYGSGAYPGYAGNLAVDATTGASYNANGVNGRKYVLPALFDPDTSTCSTLV >SECCE5Rv1G0369120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:833769227:833769950:-1 gene:SECCE5Rv1G0369120 transcript:SECCE5Rv1G0369120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELWKDMSLPSTPAALQSYHIRSPAAAVYPQDYLAGPVSLRRGPSYTALTLSSSVQFTFHGGGSGSGTPSGSSSCNDYPYGFPSVSASASASGANSSNNNSKRVQVDAPAAVDRQRRMIKNRESAARSRARKQAYTNELEMELAQLRKENQMLIKRERDFINEHSATAEQAAVLPDCSSSSNGGGRSRSNRSTLHFELEQRQRCRSAPAP >SECCE6Rv1G0398450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:420558997:420562805:-1 gene:SECCE6Rv1G0398450 transcript:SECCE6Rv1G0398450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTDRDVQSFKSELKRTIDLDKVHVEERDAAGGSFSSTKSSTTSLKMLLAKETSKEVVSRSNTPSVVARLMGLEDDFPAKEPVLYDAKRDFRKSQSCNHLTVTKKALQQQEQRNSVQSVTQVIHTSCETIEYDGVYEGCEEKARMSLFQDQSSQEGRHSESKSGRMDTVPEKFREGKSLAMEEKVLHSGELEESLQDLSSEKDFFLKCHEEPSSILPKWMSGLHRTPGSPQTRRITVLKPMRSVQYNGARQSRTDRAIEPNGLGLRKFHQRSSSKEGIPSQPCRIVLLRPTPGKPSIPNAKLTRKAAPFRLIDRNNLNRVLADNGATPGSTEVVNDIIRHRQYGCRQRDDSLLSSTYSNGYGGDESSFSDSEVDRSGDSEVDYIEEDGGSFSDSEGGSPASKYSWDYTRRYGSPYSGSSFGRIPHFPESMVTIEAKQRLSQRWAMVTCDEISEEQVQPTRSTCTLGEMLSLNEAPKEDFTSRQKDDKIGERSRKLPRSKSLPVISDTSDNMVSKVQASNPKSCKAAKEVLMSNKGKSSFTVRVSDFLFPKRKPIRQKTNHHPSDCFDGRVEACPGDSQSHANHSLETNEEQALHEEKIDISALQNSTSTSEGTASVDVPISLTCRSRILDRVGLNEGTRDQPSPTSVLDAPSEDSSCNEPESSGSTTSKNAKAVSRSSAIEAVACSLSWDDAASESLSLGIPRPPNLPSDVDDDESECHVLVQNIMSSSGLEDAQSSMVFAGWHLPDCPLDPVLCKKLLELREQRSYKRLLFDCVNVALIEIGENALLSAFPWSKARTGTWRDSSSPALGVEVWSILKDWIYGARMFVVNKRDNTGITMDRIVKQEVEGGGWVKMRMSQVVDIVEQIEEGVLEELVAESVLDFTT >SECCE5Rv1G0365020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803827574:803828194:1 gene:SECCE5Rv1G0365020 transcript:SECCE5Rv1G0365020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSGMGTKSIVILLVACVLLCITNRGAHAGNADASTSSCRASDLVVTTTTQAGSGGKPQQFVSVINTCKCAQKDIKLACPGFSYSIDVFPASAIRRDLDGVHCTLLGGRPVGPARSVSFIYESSTSFSFQPVSSTSVC >SECCE1Rv1G0027760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:388374673:388379838:1 gene:SECCE1Rv1G0027760 transcript:SECCE1Rv1G0027760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g10380 [Source:Projected from Arabidopsis thaliana (AT4G10380) UniProtKB/TrEMBL;Acc:A3KPG0] MAEAAAGAETPNLSAPATPGTPAPLFAGPRVDSLSYERKSMPRCRCLPVDAWMSPNACVVEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGGVISPFGNAACAGLAVTTIILSTGHISGAHLNPSLTIAFAAFRHFPWLQVPAYVTVQVLGSICAGFALKGVFHPFLSGGVTVPDVTISTAQALFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAAVTLNILVAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLVAPTLGAVCGAGVYKLVKLRDVSGETPRPQRSFRR >SECCE6Rv1G0413040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:619730334:619732007:-1 gene:SECCE6Rv1G0413040 transcript:SECCE6Rv1G0413040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEAEQKVVVHVRSAGNAPILKQDKFKISGRDKFLRVIEFLRRQLHQDTLFVYVNSSFSPSPDELIIDLYNSFAIDGKLVVNYALSAAWG >SECCE4Rv1G0229200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:144831364:144836739:-1 gene:SECCE4Rv1G0229200 transcript:SECCE4Rv1G0229200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEFLSRVLLLLFGYAMPAFECFKTVEARPNDAHMLRFWCQYWIIVAMVIAVESFISWMPMYGEIKLAFFVYLWYPKTKGSDIVYDTFLRPMVMQYEPNIEQRLLHLRARSGQLLTFYIQNFADKGTAFFMDVLRSVVSDEPAASVSERNKKSSGWSPFATKRRPPSPPPQESIFGGPADLDAAAVAQVIRASMAGAKPARRQYSGKY >SECCE5Rv1G0330320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:527216401:527220095:1 gene:SECCE5Rv1G0330320 transcript:SECCE5Rv1G0330320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAPPTNHPRTLSSVILSRRRPDAGARINKCSATASQRPLSPPPLPRHHSLLRLRPGRAVARSSAVSAPPLVPPMTTPAPNPTIRRLDVASPVPADIDIANAVEPLHIADIAAELGVPPEHYDLYGKYKAKVLLSVLDKLQGQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHMTGDIHAITAANNLLAAAIDTRMFHEASQSDKALFNRLCPPNKEGKRRFADVMLRRLIKLGISKTDPDELTPEEVRRFARLDIDPASITWRRVMDVNDRFLRKITVGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGRMVIGNSKAGEPVTADDLGLGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGFVVTEAGFGADIGTEKFMDIKCRYSGLTPQCAIIVATIRALKMHGGGPDVVAGRPLDHAYVSENVALVEAGCVNLAKHISNTKGYGVNVVVAINKFATDTDAEMEVVKKAAMAAGAFDAVVCSHHAHGGKGAVDLGLAVQRACESQAEPLKFLYPLDSTIKEKIESIAKFYGASGVEYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPSGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDIDTATGKVMGLS >SECCE2Rv1G0140490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931480346:931486176:-1 gene:SECCE2Rv1G0140490 transcript:SECCE2Rv1G0140490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSDGEGAGESCWPPPAAAASAHGGGGGGVQLSDVRREIYDRLRAVGNQEALSDPFFDRVLEDHYDRLPPSYYIDLDVNKAEEVLLHRRILAECADPDNRPVFHARFLRYHHDDKPQDAESAPNQNGNCGGSLASNLSNGGLKGFDERLMEDLSLGRRKGIDDFEAISARRDTEVPLLHEIIFSSNDKPKLLSQLSTLLSDLGLNIREAHVFSTTDGLCLDVFVVDGWETEETDGLLQQLKETAKCNPSLSNLTSSASERILELQEKIGESEFDRDLLQIKGKIASGSSGDLYRGTYLDVDVAIKYLRAEHVNDNSKVEFLQEIMILRSVNHENVVRFYGACTKQRKYLIVTEYMAGGNLYDFLHKQNNSLELSLILRIAIGISKGMDYLHQNNIIHRDLKSANLLIGDGQVVKIADFGVSRQRSQEGDMTAETGTYRWMAPEVINHKPYDHKADVFSFAIVLWELVTSKVPYENLTPLQAALSVRQGLRLVIPSGVHPRISKLIQRCWGENPHTRPVFSEITTELEDILQPIQAASSSKGGHRQSKPKIQMKSQR >SECCE6Rv1G0404240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:513300580:513302426:1 gene:SECCE6Rv1G0404240 transcript:SECCE6Rv1G0404240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVEAISCSKVADIAAAGPAEAKECAAEHGNGNGNGADAAAAATDGKKCGGGDVAAPLHCGKGELEEDDEDGEKVPEHIDLGPILSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLSIQSPGRPDIFLPLPAEPSGKGVWFTLKEGSLYKLKFTFSVSNNIVSGLRYTNTVWKTGIKVDSAKEMLGTFSPQPEPYAYVTPEETTPSGMFARGSYSAKTKFLDDDRKCYLQINYTFDIRREWPSSS >SECCE7Rv1G0507240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:760168227:760171103:-1 gene:SECCE7Rv1G0507240 transcript:SECCE7Rv1G0507240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSIVDMKFAKEYKRYMQGMKEELPTLGLKRLKQMIKKCNAMPYCPQLPGDDTTMVVKGHSQCIGAGHCYVCDESFFPSLANELSAIVRCFNERVEKLLKLHVASTRMRKYFMWFTNRSQRTDEELIRQGKDLVTYAIVNAVAMRKITKKYDKKCCSKQGQSFRTEARRLHIEILESPWLHELMALYINLRWNNTISMEFLVDLSLTFGDEDKPTLSCSLLDSMRVDIDLTCSICLETVFDAVSLSCGHIFCYLCCSAAASVTVIDGLESADRGSKCPICRRAGVFPNAVRLNQLNILLKNSEMLLPSYSCPEYWEKRMQIERVERVRLAKEHWERQCRAFTS >SECCE6Rv1G0444440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827869659:827872118:1 gene:SECCE6Rv1G0444440 transcript:SECCE6Rv1G0444440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heptahelical transmembrane protein 1 [Source:Projected from Arabidopsis thaliana (AT5G20270) UniProtKB/Swiss-Prot;Acc:Q93ZH9] MDQESSMGAGQLQEEEAPAAMAKEGGRKARRGKQQRREGGGGGGGARKRRQQQQQQEYRLVSYEELPEYMKENEFILNHYRSEWPLLHAFLSVFSWHNETINIWTHLLGLFLFLGLTLWHLAQYFPQVAHLIGHLSWPISKVAENVSSNIGDVLSGAASFMQASPGLAMAAAAGAGPTTRWPFFVFLAGAMFCLMSSCACHLLSCHSHRLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPRWQVAYLSAISLAGAATVYALMSPRLSDAKYRAHRALLFVGMGLSGVVPAVHAAAVNWHEPRRNVTLAYEGAMAASYLVGTAFYLTRVPERWRPGMFDLCGQSHQIFHLLVIAGALAHYGAAIVFLRVRDEMGCPAN >SECCE5Rv1G0341510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:625366996:625369347:1 gene:SECCE5Rv1G0341510 transcript:SECCE5Rv1G0341510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETEPAAPPRLLGPPVVRDARPPADYASDPASHPFLDLLDAAFNAPSDAEIKAALTPRRALTENCSATYANSGSPCLDFFFQVVPDTPPERVRGLLAAAWAHDALTALKLVCNLRGVRGTGKSDKEGFYAAALWMHEHHPRTLACNVAALAEFGYLKDFPELLFRLIHGPDVRKLAKEAAEAEKWRKKVEKLCGRRPALRTRLASRRRRRRFVCLDEDELDSVPLPPLPPKPTFGDFLAAALYKSMQSKAVLVEAVPEEAKQKPEAMETIPDPVAVPEAAMQKPEAMEVVPVSLAVPEEAKQKPEAMEAIPDPVAVPEAAKEKPEATEAVPDPVAVPEAAKPEVMEVDQKKTSPRKREMSKKVWKVAKLAVQSLETYYGDRAYRFLFDAIADFFAALLASDLEQLAHGGKKMKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSEPAYAQLSDEHYTYCALHRLRREVLVPLRKVLKLPEVYMSAQRWSELPYTRVASVAMRRYKLLFKKHDEVRFGRYMDDVKAGKAKISAGALLPHEIAEAALRGQEDDVSELQWRRMVDDLRAKGSLRNCISVCDVSGSMSGTPMEVCIALGVLTSELSEKPWRGKVITFHSRPSIHLIKGNTLREKMKFVERLEWGGSTNFQGVFDQILRTAVDAGLAPEKMVRTVFVYSDMEFNMASGAYFSRGASWDTDYEVICNKFRAAGYGDVVPQIVFWNLRDSRSVPVTSTQPGVAMVSGFSKNLLKMFLQNDGVVNPEAIMMQAIAGDEYQKLAVFD >SECCE7Rv1G0524120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884513236:884514729:-1 gene:SECCE7Rv1G0524120 transcript:SECCE7Rv1G0524120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMSAVAGEFLSKFISFLMNKYNSSRHLQSEEEKVVERLQQLLMRAGTMVEEADTRYITNSGMMMQLKTLSEAMYRGYGVLDNLRYRDLQDTAGFDEVSISLSSASSLYLPKRSRTTNDKVTRRESHGALESLEIVVANMAEFIVLLGGCERMSRRPYDVYLYTENFMFSRHAEKQKLFSFLLQHNDPPSDHALAVLPIIGGVTTGKKTLVAHVCGDERVRSRFSSVLHLNGDSLLKIREHRRTMEGMMLVVIEFASDVDDDDWKKFHSFFIRMDRGSKIIIISKLKRLARFGSVKPIFLTALSYDELRYLFKTLAFGSVEPTEYPRLLQIADEYAKLMHSADGSLVSANVYADILRKNLDVQFWRCILDKVIRYVKRNLARFSVDPAIHVEQGPPVVVTAIEQGHPVVVTDLALDPLSMIPYKNNVSIKKLPSVTFGELLTDPTVRPNGDFNLISWESRIPPYNTIVAGFATSSALDTDEVNAMPGRKRRGVPI >SECCE7Rv1G0486250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:404198541:404209486:-1 gene:SECCE7Rv1G0486250 transcript:SECCE7Rv1G0486250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSLLFAAATPLFQASASARPFHSLRIVSAPGGAIAAARALVVADATKKAVAVLKGTSQVEGVVTLTQEDDGPTTVNVRITGLAPGLHGFHLHEFGDTTNGCISTGPHFNPNGLTHGAPEDEVRHAGDLGNIVANAEGVVETTIVDSQIPLTGPNAVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPL >SECCE6Rv1G0388310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:132391189:132393979:-1 gene:SECCE6Rv1G0388310 transcript:SECCE6Rv1G0388310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED18 [Source:Projected from Arabidopsis thaliana (AT2G22370) UniProtKB/TrEMBL;Acc:A0A178VRQ8] MECVVQGIIETQHVEALEVLLQGLSGVPKERVRVHELCLKSVPMLGAVPSEVRLLCDLAQPTPSWTIRHVGGAMRGAGAEQISILVRTIVESKASSNVLRYFYGIGYKLDHEILKVGFAFRFQRGAQFTVTVTSANKMPKLHATDEAVQVTPGIQLVEITAPAAANNYNDVVSAVTSFCEYLAPLLHLSKPGNSTGIVPTAGAAAASLMSSGGAKTL >SECCE4Rv1G0266990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734103902:734104990:-1 gene:SECCE4Rv1G0266990 transcript:SECCE4Rv1G0266990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPQKLQVLVVSALLLLLVVGCLASPLEIGFYRDRCPQAEAVVKGVMMEAISQNPGNGAAMIRMLFHDCFVEGCDASVLLDPTPFSPTPEKLSPPNNPSLRGFELIDSIKDALEAACPGVVSCADIIAFSARDASCILSAGKVDFEVPSGRRDGTFSNASEPLKFLVPPTSNLSDLVDSFVVKGLDAEDLVILSGAHTIGRSHCSAFVPDRLNVSSDINGGLAAFLRDQCPADAAPGGNDPTVMQDIVTPNDMDMQYYKNVMSHTVLFTSDAVLLTSEETAKMVVDNANIPGWWEDRFEKAMVKMAGIDVKTGDQGQIRKNCRAIN >SECCE3Rv1G0192470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:758584825:758585659:-1 gene:SECCE3Rv1G0192470 transcript:SECCE3Rv1G0192470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPLLLLTTLLAFAFKASVAQWTPAFATFYGGSDASGTMGGACGYGNLYNAGYGVNSAALSTALYNDGASCGMCFTITCDASKTRSCKQGTSITITATNFCPPNYALASDNGGWCNPPRQHFDMSQPAWETIAVYQAGIVPVNYKRVSCQRNGGMRFTINGNDYFELVTVANVGGSGVVSQMWIKGSKTDWMVMSRNWGANWQSNAYLNGQSLSFRVQTDDGRVVTADNVAPYNWWFGGTFTSWQQF >SECCE2Rv1G0066200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:13106186:13114550:1 gene:SECCE2Rv1G0066200 transcript:SECCE2Rv1G0066200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRLHRPEPPAPHAAAALAAPAPAPAPAPAPADDWVDGSWTVDCSCGVTFDDGEEMVSCDDCSVWVHTRCARYVRGVHTSFSCHNCRHKRAPSSADETEVAELLAELPTHRPPPLYRRWAEVPLPARVHVHGLPGGGADASLFHGSPSSTAFSAALWRCAGYVPKKFGFSYCEFPSWTEDKDKDNNKDKRTEDKDKDGADTLFAMAREKREETAPAPAPAARLSLPIGNKGNKNAQTLSKKAEGSQHAGAKEVPPRTDAKTKGASKIAAEADTRDNGFESKGDLNMPDIRHKDQFADITMANSDFKVVVEANKKMKESLELSGEKRSSEGTPRMMKKDESKESIKLEISSGGRATSAVAEQEAHSRFVKAEVSICKKQIEGSHNVDLQSSIKNAEMDGGTRIHAGSMKVHVGLQKQPNQASTNLQDRERTKATQFINDEHRSDNQGQGIAAGSLTAQRSSAKSISDSGSDLLNSVTKSHMHTIPEQNSALGAQKVCTASSGPTHSHVEISHSLVSAEPSSGGKTGRLMKKEQTKLVTPADSKHDFVKHSAESSKEYSRSSEKVQLKGSLSSAPKSSQTSKSYVPSAKLRVPVSKEQSQKTPTTAGTTARSFHGEVPPLHSRKAMPSNLPQKKEKTHHRFVHVTQEGSTNSASTELRASDATASLSDEQLALLLHQQLNSSPRVPRVPRGQQTGSQMLHQTGASVFSKRSSAHGGRDQTPVLKKRNKDDVALRDNGDNKRSGKVSLVERRHKDYSTERTPSVKDSCRLADNAELEEQNHGMSSNEATTGIEKDDRMDSGLPRSLPGFIDEIISSNRNITYDELCDAIGEHWRDLVKPKGEDYSYSSFLHAVDDCLRTKSEWAHLVYQAPKMNPNKRRKMESDPSSADVMETEKTRNQAERYPGEEGSSESQQGLPRGKRKGRKRSRHEMKSSISKKRRKISNMDSSSEDAAPTLSNSSSNPIVDESQEDDSSGGDRQPNFAGS >SECCE6Rv1G0386900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:103257322:103260701:1 gene:SECCE6Rv1G0386900 transcript:SECCE6Rv1G0386900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPFRLRLRTAAISGLSSSTSVVCPSRQHRGGGSFSCRAAAAAGGPIIVSGDPPTFVSAPGRRIVAVGDLHGDLHQTRAALVMAGVLSAESDCHVWTGGQTVLVQVGDILDRGEDEIAILSLLSSLNVQAKSQGGAVFQVNGNHETMNVEGDFRYVDPGSFDECIRFLEYLEDFDGNWDDAFLNWVNVSQKWKEEYRVSPNGDWLPLNFVKKNKGFAARASLFKQGGPLACELARHPVVLNVNDWMFCHGGLLPHHVEYGIERINKEVSNWMQCSSEDIDDTDLPFIATRGYDSVVWTRLYSQDSVERTRRSWDLSSIIAEQTLKSVGAKGMVVGHTPQTRGVNCKCDGKVWCVDVGMSYGVLHSRPEVLEIVNDRPRVLKGQKDSYDEMEVLDYL >SECCEUnv1G0563860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:378455693:378457323:-1 gene:SECCEUnv1G0563860 transcript:SECCEUnv1G0563860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFTAASFQPPVGDPADANPACYASWVLLDSTAYFADRDDATTVQATTSAGHEFKVTFCLAEPPAVSYFCVHFPRVSVHECCATEPRVVSSANDLTLLCFAFTTGPPSTEDDDSHHLEYFVYKAASGGNQSIRPIPRSPPTYRPSWHAAIVPREDGSFLVADLDLNRDLGHYDLHIFSSETNDWSTKHVQLKEPPPGFLPRLTHKVISLGEGTVGWVDLWRGILVCDVFEKDPLLRFIPVPKPLPKPDYDVHPESKAPSDYDMHPESESEARSVRDVTGFPNGFINFTEIEHCTRWSKFINMRSFKTTAYLDFLDTIPDADLLRYHEEDIMEDEIKSAPDGWKIRTCFRSVSGHWRKGHALHVDDISADPKLARQLLHLWDSTAEKCRVSNLKTTPVCPTFTIYGRGVVYLMCEVGANRNTWMLGVDLGNKSLEVIKPYRASRVYYCNPTFMPCAFSEYLNTAPIPRPCDDEEAAIESAQNEEVAAESAQNVC >SECCE4Rv1G0243090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:495849281:495851887:-1 gene:SECCE4Rv1G0243090 transcript:SECCE4Rv1G0243090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRVSGRKRSVRDRLGSGGGGGGSRPRSDSAKRFRQVDGTWRRELYKDSVGIQSSSVPASRNLQAIQKSHMKQRTVDVKKSSVPDLREKLSGGQRPQLSSTVQIPKPVAEIPKSAKPVQKKQIPAAAAAVAARPVTEEVNASAAPKQSQEKADASLDRLLKSLDLEKYSINFQAEEVDMKALVHMNEEDMKSLGIPMGPRKKILSALASKRKKSSRSLPPTS >SECCE1Rv1G0013630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:107281143:107282186:1 gene:SECCE1Rv1G0013630 transcript:SECCE1Rv1G0013630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASICTASTVRGTHTFKIADYSLHRGLGVDNFIPSATFDIGGCLWRVLYYPDGEMEMENGDHASVFLDLVSEDTEARAVFEVRLVDKANKLPPLVVLSQKTPYVFNSNGRRSSVGDDFLQPAYLLDDCLVLECDVTVLRESKVTLTATTFDIQVPPSDLGEHFRELLEKEEETDVVFDVEGESFPAHKIVVAGRSPVFKAQLFGPMSDRTRRRIAVEDMQPAVFKALLHFIYTDSLPPMENLDGDEGKEMVKHLLVAADRYAIERMKVMCESILCKSLDVESVTATLALADQHHCSNLRDACLEFITSPDRMDDVMASKGYAHLKRSCPSIVIDVFERAKRSRKI >SECCE5Rv1G0327900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:498303660:498324960:-1 gene:SECCE5Rv1G0327900 transcript:SECCE5Rv1G0327900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASEATMKSLLGKLGGLLAHEYTLIRGVNGDLQYINDELATMQSFLRELATSGYGNDDGHGPLMKDWMKQIRDITYDIEDTIDDSSNSLHGLRTSISCYFLVNNVYEVLTWWPRRNVASRIAILKERAQQISERRNRYGVNNPDGIKKAGGSGKASRFEAADNQDARLQLVAMKEPVGVEMHMGELDKWVTYIVGFGGVGKTAIATAMYKNFGDKFECCAMVTVSQSSDLEAILRSIKSQVKPQTSNHEQHGGSKESRVAQALKIVRDRVNQATSAVRNCAGTSEGTGERSSKLDGLKKELEEHFKKKSFLIVIDDVWSATMLDKIIKELPNRNDNKKNRIIVTTRFHAVATTRRGEAEIRKVKGLGDEESKQLFKQAFLESTTSKIEEGNEDVPFPDEVWKVCGGLPLAIVTMGGHVACNPNISRETWSDLRSSLFPESGKDHVKDGGKDITQEEVGRIVSYCYNDMSAEIKTCSLYLSIFPKGQKISRKRLTRRWIAEGFVCEKQGLSVEDVAEAYFNHLIRRKIIRAVEHSSNGKVKKFVVHDMVLEHIVAKASEENFITVVGGNWLMPPPSSKVRRLSLQGSDSKHAKDAENMNLSHVRSLTMFGNLNNQLPSHSFKFGIVQVLDLEGCTGFKHHHTKEICKMLLLKFLSLRRTDTKELPKKIDKLVNLETLDIRETDVVELPKTICQLERLVNIIGGNKKTRMALKLPEEMSKRKKMKALRILSGIEIVGESVDFHHLTELRKLAIYKLKTLGGGASFEELSSSIEYLGGYSLHTLIIVDESSEFLKSLDALSTPPKFLTALELSGKMIKLPGWINQLNALSKLTLSVTALRKDNLENLSKLEALFSLTFSFRAEKLDPETLTTLAENKLHSDGEIRIPDGGFKSLKLLRFSAPLLPLLSFSVDAMPELERLELKFKMLEGLFGVENLAKLKVVHLTLDGKGGGDITKQIQTEMKTAVEKNPKKPKIILDQTD >SECCE7Rv1G0463750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:56883083:56884507:1 gene:SECCE7Rv1G0463750 transcript:SECCE7Rv1G0463750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEGPHPLPEPAAGQGPEEPVAGRRCGRHPAQPITGVCSACLVERLSSVRSPAHPEIVEVASSSSPYLNPDARPVPVSVPGDQEDQGKLRRTLMLLFQMDDSGAGADRQGAARRPPDAGDPDRASGAGHPGGARGNKWKGASWLRAILPRRGPRRRRTKDEEEEGPSRPSGAADPHPGGIGGGPSPLVERRASFRRSCEWMICREPPSSGGRGGSSLDPPRHSWDGSMVGRAFACSFACLDEPSDGVTRVRHSNADESAGERPAAGVAAETRNGDRAAGVAAESRNGGHSADVGGEGPRLERRSCSDAGPEITAASGVRRRRSNRWSRVWDRSITSPLKEFVRKGEHALDRSFSESRRESRRCKNGETTDIENGEIHHGRNGSVLPGRASQGSSRSSQAAAANGDAQNFRTDWLKNKECRIGRSRSVHYTSPGNFDNGMLRFYLTPMRSNRTANRGRRRSSRLFGRGLFGFV >SECCE3Rv1G0161740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:121563303:121564922:-1 gene:SECCE3Rv1G0161740 transcript:SECCE3Rv1G0161740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMGSHHYSAQNMADGEDMSYLFSDHYYGQESVSQSVPGCTGRVPVLEAEALQGHGVTNPWNEMAAEGTGREAAGEMELKRLSCLWETSGGSDGRYSGLALHVVESSLGFQAPQVQGTVNVNLGAEWMGGAPSVSEARGSKRQRSPSGSTETASGRSNEDGGSEIVEGTGEKGVGGGGRRLWKKDRQNEWWDTVSSSGYPAADFRHHFRMSPSTFQFLCERLAAAVRKEDTALRAAIPVQKRVAVCVWRLATGEPLRKVADRFAIGVSTCHKLVLDVCAAIQATVAPNVIRWPDAAAMATNAAKFEALSGIPGIIGAVHTTHVAIIAPKHHVINYLNPRATARKSKTCYSITLQASVDADGTFTDIRVGPGAMTGEQTLLLWSMNKPKLTGETLGQGMRLAGGAGYPLTDWMLVPYSHQNLTWTQHEFNKRVAKARAVAVSAFQRLKARWACLQRRTEVKVDDLSVVLGACCALHNICERSDEPFDLQLMQGLELDLDDDDHMVANDPVPSPAAAQMRDIIAHNMLHRGKVAGAGSFF >SECCE3Rv1G0211810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953424684:953429891:-1 gene:SECCE3Rv1G0211810 transcript:SECCE3Rv1G0211810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLATGAMGSLLPKLVELLKEEYKLKKKVREGVESLEKEMGSMHAALRKVAEVPRDQLDEQVNLWAAEVRELSFNMEDVVDKFLVCVEDGSVPAANPKKLKQLTKMMAGLFTKGKARHEIADAIEDINKQVKQIADRHGRYTIDNIITTPAAVTPIDPRLGALYTEATELVGIAGKRDQELMRLLSAEGDSLSMKKLKIVSLVGFGGLGKTTLVKTVYDKIKGDFDCGAFVPVGRNADAKKVFMDILLDLGKYESQITMLDERQLINKLKECLKNKRYLIVIDDIWDEKIWKIIKWAFSNSNNFGSRIITTTRIVSVAKLCCSGTNDSIYQMEPLSDDDSKRLFYKRIFSHESGCPPEFEEVSIDILRKCGGVPLAIITIGSILATNQQVKPEDEWHVLLESIGRGLTEDPSVEEMLRILAFSYYDLPSHLKTCLIYLSMFPEDHLIMKDQLIWMWIAECFVQCEKAKTSLFEIGQTYFNELVNRSLIQPVYNEDGFVDACRVHDCVLDLICSLSSEENFITILNGTWDSISTQSKVRRLSLQKTIKEEHQNTHLKSVRSIATFDPAIGLMPPFSRFLVLRVLDLTGCDVSDHNHLNLRELGSLLHLRYLGLANTGISEVPEEVGKLQFLQVLDLRGNDDITELPSEVIMLRRLMCLQIGPNCNLPYGIGNLISMEDLGVIDGDSLGNVKELGSMERLRKLEIVFNNISMELEQAFVESLRKLPNIQSVHISVFGNYASMDLLGGHWAPPRSLREFSTKFKLLFTKLPTWLRNPSHLSQLSKLVIFVEEVRQEDLDFLGRLPALRDLSLQAMHQSPLVIGADGFRCLTTLKLLCKWAGRIVFQPEALPKTEHVGIWIYLRATEKEAATNGGDWFDLGMENLPSLRKVVVQINSWSGAMAGEAEHGKAALENALHAHPNRPNVHVLPEDDESE >SECCEUnv1G0565830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:397103835:397104341:1 gene:SECCEUnv1G0565830 transcript:SECCEUnv1G0565830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRVYDRALVDPEGKRRAVHVVDGLVVANGGFIRAPRRPTNQSKSGGLRALGKDALAAQEDEEPQDLPPKDKRFGYYYSKGWQSPFKYEATSGQMLSWKYDAYEHEEVHARQPPPAARSGGRSACKGSRKFKHDEIKTYYLDAADVVDEQLDCLFDFDS >SECCE7Rv1G0492750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:556030016:556034860:-1 gene:SECCE7Rv1G0492750 transcript:SECCE7Rv1G0492750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G14250) UniProtKB/Swiss-Prot;Acc:Q8W575] MESVEALVAHIQALSGSADELAQLHGLLKQADGDALRVHSAGLLPFLSHLHPGAHSLGYLYLLDSFVSSSANLRAHAGGDLLVTVADFLTSCSADQIRLAPDKFLNVCRVLKNEVMQLNAPIRGIAPLRAAVRKIQTSSEQLTPLHAEYLVLCLLAKQYKAGLSVLEDDIFEVDQPKDLFLYCYYGAMIYIGLKKFRKALELLHNAVTAPMSSLNAITVEAYKKYVLVSLIQSGQVPSFPKYTSSTAQRNLRNHTQIYVDLSTCYGTGSYSDLETFIQSNAEAFQTDNNFGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIASSVQLNTPKEAEMHVLRMIEDGEIHATINQKDGMVSFNEDPEQYKSSEMVEHIDSSIQRLMALSKKLTSIDQNISCDHAFLMKSGRERARFDYDDFDSVPHKYF >SECCEUnv1G0535690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:59741382:59743186:-1 gene:SECCEUnv1G0535690 transcript:SECCEUnv1G0535690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGSGDGQSGSARAHFVLVPMMAQGHTIPMTDMARLLAEHGAQVSFITTPVNAARLEGFAADVKAAGLAVQLVELQFPSVEFGLPDGCENLDMIQSKNLFLNFMETCAALQEPLMAYLREQQRLPPSCIISDMMHWWTGDIARELGIPRLTFSGFCGFSSLVRYIIIHKNVLEHVTDDNELITIPGFPTPLELMKAKLPGTLSVPGMEQIREKMFEEELRCDGEITNSFKELETLYIESYEQITKKKVWTIGPMCLCHRNSNRTAARGNKASMDEAQCLQWLDSRKPGSVIFVSFGSLACTTPQQLVELGQGLEASKKPFVWVIKAGAKLPEVEEWLADGFEERVKDRGLIIRGWAPQVMILWHQAVGGFVTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKLVVDVLKLGVEVGVQGVTQWGSEQQEVMVTRDAVETAVNTLMGEGEATEELRMRAEECAIKAKRAFDEEGSSYNNVRLLIQEMRNKTKACG >SECCE1Rv1G0031620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:444486724:444490293:1 gene:SECCE1Rv1G0031620 transcript:SECCE1Rv1G0031620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNARAILRASSMETEPMGEMAAAAAAPVEEEAGGGEETVKVFVAVPEQHKDGRLTLAWALGHLADVAPAAADVVVVVAHVHVPAQTIPVMGSKFHASKLRSDLVSTYRRHEKTKVNKHLDEYTRQCSKMKIKYEKLMVESEDVVKGITELVSLHGASKLVMGAAADKHFSRNSSKMLVPRSKTALAVMERAHASCKIWFVCREHLISISENGDLRSPIPTPAIVSARRRPPISASSIVSARRNRYASSNNAVDGLIQRSVSEKVSPLWLPCRSALRRTLSILSMEHVCVGSWDSVPRDSVPSSCREEATSDSSSSFELPIDDVFVIHEKTARCHDDQAKKTEDVPKVKEDIAKVKEDIPVSKEEVEALKSERDDAIRKLSSAGEAKTELEQRVVDLKERTSLLDLQLRLVEETRTTGPGLDFAWCSEFSLPELRQATRNFSDSTKVCEGVYRGVVRNTTVAIKVLHSHSSSQFQQEVGVVSRVRHPNLVTLMGCCPEASALVFEFLPNGSLEDRLARRDGTPPLAWQARTRIIGEVCSALVFLHSCEPRPVVHGDLNPASILLDANLVSKLGDYGASRLPTMTDPGSSPYTDPELLITGELSPVSDVYSFGVVVLRLVTGQPALGIARKVEEALEKGEMEALVDRSAGEWPFPQAEKLMLLGLQCAELSGRRRPARMSQVWRVVEPLAKAASMSVAPDPLVRSLGESHMPSYFICPISQEVMRNPHTAADGYTYEAEAIKGWLDSGHEMSPMTKLPLVHRHVTPSYALRSAIQNYMQQHQQKMPPRSVRST >SECCE5Rv1G0353910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720558808:720563470:1 gene:SECCE5Rv1G0353910 transcript:SECCE5Rv1G0353910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint protein BUB3.3 [Source:Projected from Arabidopsis thaliana (AT1G69400) UniProtKB/Swiss-Prot;Acc:F4I241] MARRGLAAGAGAVSRVRFAPSSNNLIVSSWDSGLRLYDADKSILRLEANSEAALLDCCFKDESVAFAGGSDGSVIRYDLNSGAQDTVGLQDDVVVSTEFSQLTGQLVTSSLDKKLLFWDTHTKSVNPNHTFMLDSVVISLSVCGMYILVTVESDVYWYDMRNLTGPIKAKDSPLKHHIRCLCASAEWNGYAAGSMDGTVALKYFDHKVDNDMGYTFRCHPRSKDGTSSLVPINSIAVHPSKQTFVTGDNEGYAIAWDALSKKKLVEFPSFLGSVASMAYNHSGQLLAVASNYTHQGADRVLEVEGHQIFIETMRDSKRRKSP >SECCE5Rv1G0316580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:325060313:325063210:-1 gene:SECCE5Rv1G0316580 transcript:SECCE5Rv1G0316580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATATAAAAPEWATKEPCLMGIDEAGRGPVLGPMVYGCMYCARSYHDTLATLSFADSKTLKEEQREELFESLKMNSSIGWEVDVICSKVLSAKMLKRTKVNLNEISHNSAMGLVRKAIDNGVLLTEVYIDTVGDPEKYRVKLTEKFPGIKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDETAQNMHMNTGSGYPGDPNTIQWLKDHKHPVFGFPTLVRFSWGTCTPYFKNGVEVTWESDEVDEDAAGYGSAKRQVTLSSLGFTGFKRKSEEIESSGKGRCKFFQARKLELVRKFQ >SECCE7Rv1G0482790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:312611275:312613660:1 gene:SECCE7Rv1G0482790 transcript:SECCE7Rv1G0482790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSKVEVNLRRLLEAAPRQQNEAKLVHYITTARELLEQLGAEITPEGISSVSKAKLSEYSEKIEALAATLASLVPENEILIDESREQDSSYEREKIGSPISLSSGLRRRSTAQMEVGPSSHERKERDTGAPIKLDAEAQAHIEKHRKLQEDLTDEMVDLARQLKESSLLMNQSVQDTEKILDSTERAVEHSLASTGRATARASEVYSLASKTTCFQWLLIFLMTCMFVMVVLLIRIT >SECCE4Rv1G0282280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:828814406:828824358:1 gene:SECCE4Rv1G0282280 transcript:SECCE4Rv1G0282280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQVKLIGTLGSPFVHRAAAALRLKGVPYELVHEDLQSKSELLLKHNPIHQKVPVLVHGDRAICESLLIMEYVDEAFDGPPLLPADPYDRAMARFWAQFLEQKCAKPFWLAMWLDASEEQKGFVKEMKENLALLEGQLQGKRFFSGDSIGYLDVAACGPAHWIYAFEEVTGVSLMGENHEFPALRRWAKEYTENETVKQCLPPREQLVAFFSANKDKYKMIANAMLHH >SECCEUnv1G0541650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98115733:98121611:1 gene:SECCEUnv1G0541650 transcript:SECCEUnv1G0541650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHFCECYFDLSGPILCPVLGSITPLFIPNSSIRPIRLIGLCVSLINFLYPPVPRIQFDPSTAKSQFVESLRWLPYENIHLYMGIDGLSLFFVILTTFLIPICISVGWSGMRSFGKEYITAFLIREFLMIAVSCMLDPLLFYVLSESVPIPMFSKGPREAFGKRGSLVRKHSISGRTTEPHIFFWWEGVWGSRQRKIKAAYQFFLYTLLGSVFMLLAILLILLQTGTTDLQILLTTEFSERRQILLWIAFFASFAVKVPMVPVHIWLPEAHVEAPTAGSVILAGILLKLGTYGFLRFSIPMFPEATLCFTPFIYTLSVIAIIYTSLTTLRQIDLKKIIAYSSVAHMNLVTIALFLCVGVLYDRHKTRLVRYYGGLVSTMPNFSTIFFFFTLANMSLPGTSSFIGEFLILVGAFQTNSLVATLAALGMILGAAYSLWLYNRVVSGNLKPDFLYKFSDLNGREVSIFLPFLVGGATVR >SECCE3Rv1G0152000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:43682478:43682825:-1 gene:SECCE3Rv1G0152000 transcript:SECCE3Rv1G0152000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAVAQLVLVAVVAAMLLVATDAAITCGQVSSALSPCIPYARGNGANPSAACCSGVRRIAGAVQSTADKKTACNCIKRAAGGLNAGKAADIPSKCSVSIPYAINPSVDCSTIR >SECCE5Rv1G0325000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:459237458:459239154:1 gene:SECCE5Rv1G0325000 transcript:SECCE5Rv1G0325000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKNGRRRRDLNAMQKAACKEASAGNGDGDGDRLSKLPNDLLLNILERVDTLDAIRACVLSKQMLKLPTMLSQFFLSFDSIPAYHDKARVSSLSLSDVFRTNNAVAHVTDNILTTRSPEITISKLKIRFILMQPDSLTIGKSVASAMATQKVDAAEFEIVTEKPYKICSSADLLHHGKQLNDFVIACPNAFAGLRRLWLRNMRFGALDIPNILSTCKLLESLRLTHCDSGIYSVLQVEHAQLVELLVDYGQFERVELICLPKLKRVSYTNWSSCEDPMYFGFVPQFSKLSLTKIGVRSEKNIELSQLLANVPSISELHLDFRSEKIWIIPECPTLLAPVLSKLQHVNLDHLPEGCDLAWTMFILEAAPSLKELCITVWDHWCIIFTDKEFRKENGFCDKADMKWKPYAPNLKHKNLVKLTIYGFQPDDNFVRYIRCIVEAAVNVAEISLYDRVVCGRCGDLDPEIKDKVRPSRYPCTAEERIQATENLGLPSRAAVHFRS >SECCE1Rv1G0040510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:561053431:561055943:-1 gene:SECCE1Rv1G0040510 transcript:SECCE1Rv1G0040510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGAQSASAAEVEDIELGERRRADEFVDDDEEGSQYFTDAEDRSWPSHSRHESAAFEDCISRCASTRASSCGGADSDADIEAGGGHFRKSSCVSECSLDDVDLEAGLGGPDPEKAEKNCRICHLGLESAAAESGAGITLGCSCKGDLSYSHKQCAETWFKIRGNKTCEICSSIACNVVVLGDPEFVEQSNESSITAAGHAFPNETRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >SECCE5Rv1G0370410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841964090:841964608:1 gene:SECCE5Rv1G0370410 transcript:SECCE5Rv1G0370410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGWFLAAGAIFMVTLSGCALTAGMPTPTPPSSSSLDCGTVTSLLTGCAAFVTRGPSAAPLPAPGTPCCEGVDGLYAVAADSPDNWRSVCRCMAGLVRRYWSNASAIALLPGLCGVSPVSTAHAVTYCTSIP >SECCE2Rv1G0106030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:629325863:629326742:-1 gene:SECCE2Rv1G0106030 transcript:SECCE2Rv1G0106030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNNGSWSTGLCGCCDDVGSCCLTFFCPCVAFGRIAEIVDRGATSCCVSGTLYMLLASVTGGWGCLYSCCYRSRLREQYGLKEKPCADCCVHWSCELCALCQEYRELKNRGFDPSLGWHANMEKMGKSAATVAPQAYSGMTR >SECCE6Rv1G0385070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:71974296:71978341:-1 gene:SECCE6Rv1G0385070 transcript:SECCE6Rv1G0385070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPRWRPTVNEREFIEQALQSDLRVDGRRPFDFRKLKIAFGREDGSAEVELGETRVMGYVTAQLVQPYKDRPNEGTLAIFTEFSPMADPAFEPGRPGEAAIELGRVIDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNEGNLIDAANIAALAALSTFRRPECTVGGDDGQQVTVHDSEARDPLPLTIHHLPIAVTFAYFGDGNIMVIDPTYKEEAVMGGRMTATINSNGDVCAIQKAGGEGVMSSVIMQCLRIASVKAADITSKIKREVDDYTTEKALQKVKRTPALVAKKVNAPDVTMKESTHSALENQASKAPNDGQQISKGDDDHQNIKRISPLTVDRTVKHKQTSTFVGGPSNWDPYSKGVSLSSLRISQLPDSPSILNDDKHEDIKPMLTESNPEVKSVSNSGTTGESDEFKESRSPKSLIDAVKPKHRRKKKQHGNS >SECCE7Rv1G0501160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:680100220:680101618:1 gene:SECCE7Rv1G0501160 transcript:SECCE7Rv1G0501160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKLTNRIVSKQRESDKWTGRLCPKIQKKLDKFIEWAANCMVQEAGKGVFQVKSFNNTYLVDLNMNNCDCKRWGLSGIPCHHAVACARHERIEPESLVHPCYSIESYKKAYAYNIMPLRDKGHWEKMHGIDVYPPVYTKVMGRPKKNRKKDPEEKKDKNGGIKLTKAGSTMHCSICKAPDHNKKGHHKHVNHIQNEHAAKQAEEDFDDPTLIVNIMPHRVRPCLDPTQTPESMVFMMQEQERFVYPPVRDFGPLPESNFIANARAEIPAPRVTTAMARGRVRRGGTDASGARGGAATYGARGGGQNARGAANVARRGGHNARRGGGHNARGGHNARGGANVARGDGDHNDRGANVTRGARAAAPGFYNLHFGDDGANRNEAANFMQAEEVLITRNAPDDEWAYDFLSL >SECCE2Rv1G0064650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4683414:4684055:1 gene:SECCE2Rv1G0064650 transcript:SECCE2Rv1G0064650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGATARCALCGARADVHCAADAAFLCAPCDARVHGANLLASRHRRTRVYAHKAATEGEEEEEALSGLTTSSSCVSTADSATTAAAPLGRRPKTRSSPRARGEEVLEGWAKKMGLEAGVARRRAAAAARTLRAVAAAPRRAPLRVAMAAALCLEVMAAHVGGAHEAGDALRRLEACAHVPARILVEVASSMGRARARRPAAAVDAEEGWGECP >SECCE6Rv1G0381490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:32899682:32900554:1 gene:SECCE6Rv1G0381490 transcript:SECCE6Rv1G0381490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTVGSARDLKNVNWRNGDLKPYAVLWVDDGPKCSTRVDLDNGENPVWDEKLAVPLPPSAARLEDAVLHIDVVHANAAEGTKPLVGSARLPLRDVLDDAGLGGRASRSLRLKRPSGRPQGRLDVRVAVREAARYYDPAYPPPYGQGQSRDPYAAPAPYGSGGYGQQPPYAAPPSGYPAAYGAAVPPQSAGYPAAYGGAPQAQPAYGAAPVPAYGSGGYGSVNDPAKKKGMGMGAGLAVGAAAGVLGGLALAEGASYLEDKIEDDVAEKVEDDLAAGGYDDDDY >SECCE4Rv1G0293800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887147451:887148789:1 gene:SECCE4Rv1G0293800 transcript:SECCE4Rv1G0293800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLLMEVSPQELLESLAELQNHVLGYVKSTSLKCAVDLGIPAAIHKRGGTATLADIAADAKVHPAKVADLRRMMALLSASGIFTTPAAAADGDDDGAADDTAAVQYGLTTTGRFLVGWRNLSPVAPFFVNPLIVSSFFDLPAWLTSDPAPAGAGSLFEQAHGCSRQEMAGKDAGFGGVLRDAMTADSELFLEVIIVDKGRIFRGVSSLVDVGAGNGAGTRVVAKAFPRIKCTVLDHPQSVGQAAAAGDGNLRFVAGDMFESIPPADAVLLKNVLRDWGHDDCVKILQRCKEAIPGRGAGGKVIIIDMVRGSLLGDKKIGEMEAMQDMFAMCTNGVERDESEWKRIFSDAGFGDDYKIMPVLGPLSVIEIYP >SECCE3Rv1G0197680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:822176785:822178206:1 gene:SECCE3Rv1G0197680 transcript:SECCE3Rv1G0197680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIINEPTAAAIAYGLDKKASSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEDIEKMVQEAERYKAEDEELKKKVEAKNALENYAYNMRNTVKDDKIASKLPADDKKKIEDAIDGAIGWLDANQLAEADEFEDKMKELEGVCNPIIAKMYQGAGADMGGMDGDAPAGGSGAGPKIEEVD >SECCE5Rv1G0355370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731591294:731595245:1 gene:SECCE5Rv1G0355370 transcript:SECCE5Rv1G0355370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent tRNA 4-demethylwyosine synthase [Source:Projected from Arabidopsis thaliana (AT1G75200) UniProtKB/Swiss-Prot;Acc:Q8RXN5] MPPSPAAAAASGTSHLALLLLLSSSSIFFLYKSITLRRLRRSPRPSPSPSSSPAAAAAPTLLYASATGTSKALAERLSHRLADAGLPARATDAAAFDPDDLPSVPLLLLVLPTHDAGAPPPGAAFLARWLAETAADFRAGALLLSGLRFAVFGVGSRAYGDTFNAAARSFSRWLRALGAVEVLPVGEGDVDEGDLDGVFEDWCGRVLRVVKGEEVEEDGVDGETNGFDGLEGEESDYDDDDDEEEEEEEVASGEVDMEDIAGKATAKKQNGKVEGALPNGGQNGARAMVTPIIRASLEKQGYKIVGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDDPLDIVNTAIDEHRKMVKQMKGVPGVKPERLAEGLSPRHCALSLVGEPIMYPEINTLVDDLHRRHISTFLVTNAQFPEKIKALKPITQLYVSVDAATKESLKAVDRPLFSDFWERFLDSLKSLHDKDQRTVYRLTLVKGWNVEEIDAYANLLKLGQPDFIEIKGVTYCGSSATSKLTMENVPWHADVKEFSEVLASKSGGVYELACEHVHSCCVLLAKVDKFKINGKWHTWIDYDRFNELVTSGKPFKSSDYMAVTPSWAVYGADEGGFDPDQARFKKERRHGAAALKG >SECCE4Rv1G0220910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:42556073:42561336:1 gene:SECCE4Rv1G0220910 transcript:SECCE4Rv1G0220910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lariat debranching enzyme [Source:Projected from Arabidopsis thaliana (AT4G31770) UniProtKB/Swiss-Prot;Acc:Q94K01] MAPSASMLFLSYHQLHHGPADAPHGKDGAGAGTGGFRFGLGNVFFSLGVLAPKRRDAAVHDGKQRARQAGGDEGEEPATLASKFDEAWNMIYSCGLATSAESGGQQRIRPTALTGPPPEFCPKLYLTLLPQPRHRKKRTRHPQPLLLLLSPAFAAPSDQPTHPARHAMKIAVEGCMHGDLDKVYDTMQRLEAAEGIKIDLLICCGDFQAVRNESDLQCVNVPPKFRTMNSFWKYYSGQAVAPYPTIFIGGNHEAANYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGGMSGIHKQHDYYRGHHERPPYDNATIRSVYHVRHYDVLKLMHVKEPLDIFMSHDWPLGITEYGNRERLLREKPFFKEEVNERTLGSESAAKLLNKLKPPYWFSAHLHCRFPAIIQHGENGPTTKFLALDKCLPGRNFLQVIDIPSNPGPYEIQYDEEWLAITRRFNSAFPLTRMPCTIRNEELDIEDDRQWVRSKLNARGAKTFDFAQTAPPYDPSRPVYNPPIAVPCRNPQTESFLQFLELPYLLDSSNPGGVDINVSSSQAAPALDNDDIELPDEVEDDEDDEE >SECCE3Rv1G0211870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953628214:953631115:-1 gene:SECCE3Rv1G0211870 transcript:SECCE3Rv1G0211870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAGDVPRYPAWVLLEEKGYGDEREDATSASCRTAAGRDVRAAFYLVPPPEISYFHVHLSKLQGEGDDFVFQPLFLFSAKGLVLFRILFYTRSDGSILVDYFIYKAGRGAGPSLEPIPPTPLGSSRDSVSVGIVPCPDDDDDGDYFLADLSVGAEIGHYDLHLYSSETRKWSTTPLQLPLWGDEEDLPCQFHKALPCQFHKALALGADELGWVDLSRGIVTCKVLDSDPLLRLIPLPKPGTSNPLPLAGRVELLRDVTYCNGIFKFVEIDHFSKTAMALDETSHVISKTMKDFDSNVIIHDSELIYPVLKQVEPIVVPDGWKIQSYFRFPSRDYWIRAHAVHVDDISEYDPKYCKVSSQWLAFAENSTLRNLKPYDPTFGIQGDTLVYLIAKVKPEDDKTWIVGVDIEKKMLTQIQPYTYAEGGYALPAVLPSTFSYYLNTQLQIHGDSSVPDLGYDPLSCAGEATSAPSGTWNFEETNQVPSSDECSNIGQTIILQSLHAISVSSGSESGYLHPRMKPSLATEEDGEICLSLPLGLHFSNPSGPELKLLKSLMSDQPTVPASLKHWGSIYYERPNIPIFTDVLPPVAVLDCGLRNLARPDQ >SECCE6Rv1G0395390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:325322979:325329288:-1 gene:SECCE6Rv1G0395390 transcript:SECCE6Rv1G0395390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein PMS1 [Source:Projected from Arabidopsis thaliana (AT4G02460) UniProtKB/Swiss-Prot;Acc:Q941I6] MGGASPAIKPIGKSVVHRICSGQVIFDLSSAVKELVENSLDAGATTVEVGLKAYGEEWFKVADNGSGISPGNFQALALKHHTSKISDFSDLNSVVTFGFRGEALSSLCALGKLTVETRTKDESVGTHLEFEHSGVVTSERKIARQVGTTVTIEKLFSTLPVRGKEFSRNIRKEYGKVISLLHAYALIAKGVRLLCTNTVGKNSKMVVVKTQGSSSLKDNIITVFGLNTFKCLEPFNLAVSEGCQVEGFLSKPGPGTGRNSGDRQFFYVNGRPVDMPKVTKLVNELYRSSNSRQFPVAVLNFCIPTTSYDVNVAPDKRKIFFSSEHTILLSLREAIENLYSPQQCSFSINHIEDPEKVYHTEDPVKEDDPTIDEPIKSTYLMDKENVSSPENDNCKEDTYSDDQDPPKDQKVSASATRVATGAASRDMSPLPRGPDTEVDRSPWFSALWYEQTKRPRADFKSNPVRENHVRTGLAAQSSPSTIVQSSLMNFLSLNKRKHEDSCNLITEAPVLRRGTCSKQVRRTSLDANAPGISDANSLQQISLWDHSPQPFVPKRTEVPLQHSEPPNVGSRSTEAHLLNPFDVNSTGFDVDEQNDRCLSNFGVPDQCLKDTEPQNTPSNIPLLDGHDNDTSVCSTSVSYSVQFTIDKLRRRRKHSFVVSHENRVHCSEKTARCYKAATLDNYVPNDDEEKSNYLAAATNELDRFFSKDNFGEMKVVGQFNLGFIIGKLEQDLFIVDQHAADEKYNFESLSQSTTLNIQPLLQPLRLELSPEEEVIVSMHMNTIRKNGFVLAEDLHASPGSHYLLKAVPFSKNITFGVQDVKELICMLSDSQGDCSIISSYKMDKTDSVCPSRVRAMFASRACRMSTMIGDPLTKTEMKKILKNLTGLRSPWNCPHGRPTMRHLADLTSIKSKEAN >SECCE4Rv1G0240910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448181137:448181976:1 gene:SECCE4Rv1G0240910 transcript:SECCE4Rv1G0240910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQISLFTHHMWISRFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHCFGLYIHNDTMSALGRPQDMFLDTAIQLQPIFAQWVQNIHAIAPGVTAPGATTSTSLTWGGGELVEVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSA >SECCE7Rv1G0506960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:757110823:757117938:1 gene:SECCE7Rv1G0506960 transcript:SECCE7Rv1G0506960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRKVLPACGALCYFCPGLRARSRQPVKRYKKILAEIFPRTQDEEPNERRIGKLCEYAAKNPIRVPKITVYLEQRIYKELRAEQYGFAKVVMLIYRRLLVSCKEQMPLLASSLLSIVHTLLDQKRQDDMRIIACETLFDFAVNQVDGTYQFNLEGLVPRLCELAQEAGEDERATSLRAAGLQALSAMIWFMGELSHISSEFDNVVEVVLENYKPQRAQIDDQVTKVPDNEFAQEVPKTEENNSPFVIIEIPTWESIVNAKGGVNLPTEDAKDPKLWSRICVHNMAKFSREATTFRRILESVFRCFGSSSSWSPDNGLALCVLLDMQLLVEHSGQNMHLLLALLVKHVENKAMVKQPDMQLSIVEVAAILAEQSNAQASAATIGAISDLVRHLKRTFHITLGSKDAELVKRNEKFRKAIDECLVELAKKVSDAGPVLDMMAVMLENISSTPVVARSTAAAVYRTAQIIASVPNIQYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVSPILQASATSQARSRDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGESKSNSHSVGEETGSANRQKLPVSQSRRSSMRMPNFSMKKGPSMALRAPSSVKAPSIVLRGPSMALRAPSMSVKEDKHSSSKSDDEVDTLLLKLSSRQITLLLTSIWAQAVSPENTPANYEAIAHTYSLLLLFSGCKASIFEALTQSFQVAFALRHYSLTEAELPPSRRRSLFTLATAMTIFSSRAFNVAPLIPICKQMINDKTGDPFLHIVDESKLQAVKDSPDDPSKIYGSPEDNASALKALEAIEVSESQSRECIVSTIMNNIANIIDAELHSVRSQLLSDFAPDDMCPMSNQFFEESDEHSLSGSHENGRHEEAMLIDLGNDNDIFGEASESTGNSATSVPTADLMSIDQLLETVGADPAHHTEKSHVSADMPFMEMTSQCEALTMGKQQKMSTFMSFQTNMQAAPLPSHQPDQMELALFHDPPLPQAGTQSTNPFADDNFKAYPEHTNTGNPQPADDPFQQHFLKLPASSPYDNFLRAAGC >SECCE1Rv1G0033320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:466300376:466302858:1 gene:SECCE1Rv1G0033320 transcript:SECCE1Rv1G0033320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSCAAAALLLLLLLACFSFSPGTCSSDAQRGRVNNREEDARPADRRVVQALHVREALPQPTRYGSKQSTTFPQWPPWPWAPAPTRTTSSPPLQGADSPSSAPAPSPEVAKPLAVPPRDADKPAHSITVPPTAATVVTHGTATVGEASTEAAGHAPTRQRIYVIAGAGASLLVAISAALFVLCYRSNKVVTVRPWATGLSGQLQKAFVTGVPALKRSELQAACEDFSNVIGCLSDYMMYKGTLSSGVEIAVISTTTKSGKEWSKHCEAQFRKKITSLSRVNHKNFVNLLGYCQEEQPFTRMMVFEYAPNGTLYDHLHVREDGHLDWPTRLRVAVGIAYCLEHMHQLSPPEILKTLDTSTVYLTDDFAAKIADVFFCSDDASSTRAEMASLQSLLALSDRESVVYSYGMVLLEIMSGRFTASAGGLLEGWAASFLRGERQLRDVMDPGLSWSAPLQVETVNRLDSVIRSCTDREARRRPAMAEVARRLREITAMPPDVATPKVSPLWWAELEIISTEAT >SECCE1Rv1G0041650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:573001053:573006018:-1 gene:SECCE1Rv1G0041650 transcript:SECCE1Rv1G0041650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAEEAVGVVGLGFPAHGLALRNGVLAGRRADESADSDDPRLPPLRLGASYSASDGAGAALASPASSSSSDAFLSTTSTPSGLLNPYGVWSPPRAPSEASEFGTAREYDTTDLFFGENWLYDDHLFHREPEISGKSGDGDEEDKFIVDPNVGEIGDDNSRRHERSKGNAQVYTSPPCSCCHGEMEQRNGQEFVRDSWSAVYGRYQIMDDLTEVLDECGADAHQFRRNVNDDATLKGDPLVDSRSGDDQQFDLSALEKELQMLSPYLSEDANAANNHRFNHDFRVNDELHIDLITDDKIVDDKEFLKDSYSVHPFPEISIPEDIYGMEDFGPADTNVQNAAHNINEGPKTEIDVASSIFHQEYEEFELRIFHRKNRTGFEENKDFPIVVDSVVAGRYRITEYLGSAAFSRVVQAHDLRTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKHDPADAHHILRLYDFFYYQEHLFIVTELLRANLYEFQKYNQESSDEVYFSLPRIQVIARQCLEALVYLHDLNIVHCDLKPENILMKSYSRCEVKVIDLGSSCFLTDNLSLYVQSRSYRAPEIILGLPYDQRIDIWSLGCIFAELYSGEVLFPNESVSTILARMIGTIGPIDRQMLALGQETQKYFTEEYDLFHKNEETGQLEYLIPEKSSLRRHLRCSDSKFVDFLSCLLQINPRKRPTAREALRHRWFSHKYR >SECCE7Rv1G0502730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:700073071:700077626:-1 gene:SECCE7Rv1G0502730 transcript:SECCE7Rv1G0502730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAACRRGLLLHSHHHQWPTRWAGPAAARSISQLVKTNGRRAFLVDTLALVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKSEMLQEANISKFKSQVQSSQENHFSLLQRETEKLRGDIDKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNEETTDLTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >SECCE7Rv1G0506820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:753993389:753993874:-1 gene:SECCE7Rv1G0506820 transcript:SECCE7Rv1G0506820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRVYDRDLVDPDGKRRAAHVVDADGQAVVVANGGYVRASRRPTNHSKPGGGRALDALCRKALRALEEEEEEVPPPQPAARYGYSPGWWPPSSPPSRYGAVAQRQAQVQPAPARSGAGKASSKGGRNFKHGKISKTYYLDEDEIDYLYNYDS >SECCE1Rv1G0007210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39492626:39495815:-1 gene:SECCE1Rv1G0007210 transcript:SECCE1Rv1G0007210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFIVFMSSLMFMLLSSYAFQLLTDVRRRLPPGPRPLPIIGNLLDVVGKLPHRSLAQLAERYGPLMTLRLGTVVVIVASSAATAREVLQTHNTVLSGRNPPDAWNGMGHAANSVFVLPPRRRWRALRRIGAEHLLSSRRLDGDALRPMLRDAVLGMLRRVSEIAASGAPVEVGHAAFASMMDLQWSAMFSAGLDVATSGELHGFAREAVAHSLKPNVSDFFPALSSADLQRVRRGFATHLARVYQLIDQQIEKRRDARQTGAGDARKDDLLDVMLDMSEEQGKDVDDGLVNQDFMRALFTDIFLAANDTVSGTIEWAMAELLRDPQTLTKAQQELKSVLGSKTHVEYSDINQLPYLQAVVKETLRLHAVVPLVPNKAEATVKIQGHTVPKGSTVLVNVWAIHHDAEVWPEPSKFMPERFLQDGQEEVSFQGAVDFRFVPFSAGRRTCLGLPMATRMLHAMLGSLLHRFEWTLPREVEEIGVDMSEKVGITMAMATPLQAMARRI >SECCE4Rv1G0226290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:108712338:108720086:-1 gene:SECCE4Rv1G0226290 transcript:SECCE4Rv1G0226290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSRSRSGSLPSKRRSGGGGGSGYGLTGPSLNDDTLRSIFSRVDDHFDLAHCSAVCKSWHTIISTAHVMRDLYYKRNPQARGTGSTISVKSYFEALAMNEHASTLARGSAEVHQWIGHDMRATLCRMKSGSVLTGMGDKVLRLWSAESCKYMNEYNVPNSKMLVDFDFDENKIVGLTSSQVCIWKRSGPRSIFQSRGDTFNHGLCMSYADPEVVIGCEDGRAFVYDMYSRSCSSIHRLHPSPVTCLAVTDDQLIVGGSTFGNVAIADQTSGERLGLLKSAFAPTVIRCLSFSANSHLIFAGSSSGYAHCWDLRTLRPLWETRVSPNVIYSAHHLPGDTSTLVVGGIDGVLRLVCQRTGHTIRSFIMDAGYPAQSSSRPQAEQKNVRPAESGPRQQVEKKRVREIAPDARLDNIPMHLRPPITGLSVGMKKIVTTHGENYIRVWKFRS >SECCE4Rv1G0246490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:545358674:545359999:-1 gene:SECCE4Rv1G0246490 transcript:SECCE4Rv1G0246490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDFDDVFRHFRLNPTEVEAVTYYLPRLLSGETLHAADKLIHRVNISGCEPKDLAARYAPVPRAVSSGDRFFFTTCKSKNGSKLQSVRGAGGGTWSIQKTTEISHAGCKVGEVKNLSFKKMGKSTGWVMEEYRCLLPEATVSDGVKVFCKMHLAQHAPDAARQESAAYTRQQAPTEDVTPSTHAQKRPAPAAAADPHPPRPKKRMRGAVPVPVPASATPPFFMYDEAANFPVQDAQASCESTTTSSRSDIAQAPEISSQSDVLESTQSVVLESVSRQQIVPEAGSSIGRGTSQEDVFEPLEPISNLPEWEEDGFDLEELTRMLEDDPIEVEPVTGANTGVEMFQQEPLYLDALDQGVLEGMLQSDYPAFHDADKEKRYNAASDLDAPSLQGQDHLFKPRTCSFDPFEAAWKAEEALVNEKRCNLHAGGHNNFFSPASVY >SECCE5Rv1G0370380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841717408:841719221:1 gene:SECCE5Rv1G0370380 transcript:SECCE5Rv1G0370380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVTGSPKCVAFRSKHTRKYLGSVQAGSEESAGGGRFFEELSDGTDDVDVLASPYTRFYLEPSKKHDGLLHVRCCHNNKYWVAKHGGEGSGHWIIGIVNEPDDDLSKPSCTLFEPVPLTDTDSNLSIRFFRPQQTTSSESDITKQKGTTEEAYLRLSTGGQEKAVDQVQSLHDFFAIDLSKQLVLPKYVAFKGDNDMYLRARVIQKRNYLEFSSSDIADSTVVNTIFPNYANGNVRIKSNHFNRFWRLSPNWIWADSADSSSRDRDTLFRVVMLPDYIGLQNLGNSRYCKRLTADRKTSCLNADVNTITLEARLRVEEAVLSREIYGVEFKLSEARIYGEKPLTFPSMTSTNDTNETHAKTLSLKYEERQTKTWSSTVSLKIGVTTKLRAGIPVIAEAKVEVSTEFNSEYEWGSSIQTTASQEASYQAVVPPMTKVTVRAAATQGSVDVPFSYTQRDILTTGEVVTYKMDDGLFTGMNNYNFQFEATQESI >SECCE2Rv1G0065760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10307674:10310080:1 gene:SECCE2Rv1G0065760 transcript:SECCE2Rv1G0065760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKKGPWSPEEDAKLKEFMDKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTEHEDRVICSMYASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKLLGSTTMAGAGPPPRAPRQNHHQHRPVLLPYSSSPHSNYSNFFPGAGALLHQSAEPLTLQPQDHYMLGSSGSLNPMANNNGASAVQLQLLHATTAHRQLQVKEECGSGGAMIAFGCGDQQSCSSSDGTQYAGGQYVHGGRHHGKEMSFDNHNGYSAYGAYGSGAGAVEQEHKLSFQLQHQQEQQQQAQLEYNYEEIKQLLMTAAASGADGLIHDPELIGAHAAAGKLTMM >SECCE4Rv1G0294780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:892474100:892475506:1 gene:SECCE4Rv1G0294780 transcript:SECCE4Rv1G0294780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNERSRVLVIGGTGHIGKHIAAASARRGHPTSVLIRDVAPSDPAKMQLLKSFIDSGVALIKGDLFGHGSLVDAIRGADVVVSAVGPRQLAEQTRIVMAIKEAGNVKRFLPSEFGSDVERAHTVDPAATLFAGKVSLRRLIEAEGIPHTYVCCNAFAETYLPSIGDVTAVGAGPASDKITILGDGDAKAVFVVEEDIAAYTVRAMDDPRTLNKILYMRPPANILSHNELIAMWERKVGRTFHIVRIPEVDLLKMIKEAAFPLNILLSLALSIFIRGDQANFEIEPSIGVEATELYPDLRYTTVDEYLDRLL >SECCE6Rv1G0443120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:820617189:820618292:1 gene:SECCE6Rv1G0443120 transcript:SECCE6Rv1G0443120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASESSPTRTASTCAPETAHGSHVFNIDGYSLHRGFGVGKFIQSATFAVGGYDWCVRFYPDGDREDSNGWVSAYLVLKTENTEVRVLYDIWLVDQSIVAPPPQPYARPNPSPIDPSVFDTRDNAAASWGFTKFRRKSELGEWIVDDILGIQCNLTVIKFKEAQVEEAKANVVVQVPPSDLFDNLSSLLEATEGADVSFNVKNQAFSAHKIILAMRSAVFRAEFYGPMRDEQRRNITIEDMQPAAFRGLLHFIYKDSLPPMDDLNDEEYEEMLRHLLVAADRYAMERMKLMCESKLCEVLCAETVATTLALADQHHCSQLKDACIEFMNASNIIRDVVASKGYEQLKRECPTIIADIWEKTAKSRKL >SECCE6Rv1G0450290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:867285830:867287486:-1 gene:SECCE6Rv1G0450290 transcript:SECCE6Rv1G0450290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNGQKSSALADAELELWTNTFSYIKSMALKSALDLRLADAINHHGGAATLSQIIARVTLHPSKIPCLRRLMRVLTLTGVFSVLQQDQAASTDDDPTYGLTPASRLLVGSHNLASMMTMLLNPIMLTPFLGIGDWFKHAQPDPSLFEQTHGEGLWKMAHRDATFGALINDGMVSDSRFIMDIAVKECREVFQGINSLVDVGGGLGAASQAISKAFPHLECTVMDLGHVIANASTGTDVKFVAGDMFDSVPRADAVFLKWVLHDWSDEECVRILKNCKQAILAQEGGKVIIMDIVIGAGLSDAKHREMQAMFDLYMIIINGIERDEQEWMKIFLEAGFSRYKIMPVQGFRSIIEVYP >SECCE3Rv1G0187010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:693439947:693440322:-1 gene:SECCE3Rv1G0187010 transcript:SECCE3Rv1G0187010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRNNGPSPTTSKPRADGAGLAGASTVAADGGQAEVAAPQFVVQLDEAARERLERMNRRLRLLEQQMEMLEAEVGRASSTADD >SECCE3Rv1G0208670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:934274674:934277145:1 gene:SECCE3Rv1G0208670 transcript:SECCE3Rv1G0208670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLAALPPRLPPSPPPIQTPHRHLNPRTPNLQALTSETPSASDAASLLAAAARAGDLRLGRALHRRLLGTELLDTDALVANSLLTMYSKCGHVSAARRVFDGMRGLRDLVSWTAMAFCLARNGAEQEALLLLGEMLQSGLRPNSFTLCAAARACFPGELFRLSGGAVLGFALKTGFWGTDVSVGCALIDMFARNGDLVAAWKVFDGLVERTVVVWTLMITRYVQGGCAGEAVELFLGMLQDGFEPDRYTMSSMISACTEQGSVGLGQQLHSLVLRLGLLSDTCVSCGLVDMYAKLRMEQSMDYARKIFQRMPKHNVMSWTALISGYVQCGAQENNPLELLCEMLNESIEPNHITYSSLLKACANLSDQYSGRQIHARVMKTSIGNVNVVGNALVSMYAESGCMVEARKAFDQLYERNLVSTSSDSGGTERSNASWSSQIESMDVGVSTFTFASLLSAAATVGLPTKGQQLHALSIKAGFESEKGISNSLVSMYSRCGYLDDACRAFDEMEDDRNVISWTSIISGLAKHGHAERALSLFHGMILSGVKPNDVTYIAVLSACSHVGLVKEGKEYFRSMQQDHRLVPRMEHYACMVDLLARSGLVQEALEFINEMPCKADALVWKTLLGACRTYENIEIGEIAASHVIDLKPRDPAPYVLLSNLYAHGGLWDKVARIRSLMRHENLSKETGLSWIHAGNTIHEFRAGDTGHPQAQEIYAKLAALIREIKDIGYVPDTNIVLHDMSDELKQECLLQHSEKIAVAFGLISTSPTKPIRIFKNLRVCTDCHSAIKYISKSTGREIILRDSNRFHRMKDGKCSCGEYW >SECCE6Rv1G0435800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772938365:772938822:-1 gene:SECCE6Rv1G0435800 transcript:SECCE6Rv1G0435800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSKTEAADEQHRKEEKHHKHMQQLAQIGVVAAGAYALHEKHKAKKDPENARSHRIKEEIAATVAVGSAGFAFHEHHKKKDAKKHGRH >SECCE5Rv1G0328990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:511466712:511470304:-1 gene:SECCE5Rv1G0328990 transcript:SECCE5Rv1G0328990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNSAAAAAAAANSNASAVADAGTGAEVRAKPKKRTRKSVPRESPSQRSSVHRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQVYLGAYDEEEAAARAYDLAALKYWGPDTILNFPLSIYEEELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPAAADVAGGAGRSAHPMLASLAAQELPAIDLDAMAFQHDLHQQGMEDGMAAEAAAAQFPVLPARASTLGHTPTTSALSLLLQSPKFKEMIERTSAAESSTTTSSSSMSTPPAPQATRDDGASPQCSFPEDIQTFFGCDDGVAYTDVDGLFFGDLSAYASPAFHFELDL >SECCE3Rv1G0199840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:848755341:848762356:-1 gene:SECCE3Rv1G0199840 transcript:SECCE3Rv1G0199840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGGPPGNGGSSNGNGNPGMAARGRGEIWKKKSGQAAGRGQWPPSGSSSNASHTTAWQACNGSGGSSLPLRNYGTQPSDRRPAAIDNRGPSSQTYPRENPVVWPALANGSQLSSRSHPYGPESNKDNVPSSSFDPEDSSEVEDLSDDDIDDDMSEESDSDESEKSFETRKKNKWFKKFFEVIDTLNEEQIHDQTRPWHCPACQNGPGAIDWFNGLQSLVRHARTKGSRRVKLHREFATLLEQELGTTLLPPGEQFGKWEGLRGSTDREIVWPPMVIVMNTLLEQDNDDKWLGMGNEELLDYFHEYDAIKARHAYGPGGHRGMSVLIFESSAVGYMEAERLHKHFIGERTDREAWQAPSKRRFLPGGKRLLYGFLANKEDMEFFNKHHPGKSFLKYDMRSYNEMVVVGMKQMSLDNQKLNYMKNRVVKTEKHSKVVEESLGVITKKCRETSEDYNVLMRRIKEKHLEHEEEMASQQKFFQDQIENCYKDMEEKESEYEKRLQEERAKAREYDVDSGTTENRRLRKEEVQRFIDCQAKGVEEFMSKRDELIKAHEKKKLQLKREYMEKEVELEKELDAALTVLMEEHKPDTFKASSSSS >SECCE5Rv1G0355130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:729332092:729336917:1 gene:SECCE5Rv1G0355130 transcript:SECCE5Rv1G0355130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFPNLGGGGGSGSSSSSASMASFLQLPPPAASSPSPELAGEQHGSRLALQQLLAAPPPSAQPQQRREISPADAATIKAKIMAHPLYSPLLASYLDCQKVGAPPEVLERLSAVAAKLDAGHGRGKHESPRPDPELDQFMEAYCNMLAKYREELARPIQEATEFFKSVETQLDSITFTESTNCEGAGSSEDELDTSCVEEIDPSAEDKELKHQLLRKYGGYVGSLRQEFCKRRKKGKLPKEARQKLLHWWELHSKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPAPEDMPFSVMDGGVGVSFLPAPQGPALYMDRAPFMVDGMYRLGS >SECCE5Rv1G0355870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:734986936:734989665:1 gene:SECCE5Rv1G0355870 transcript:SECCE5Rv1G0355870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLADSLPSSSSSTPQESRRSVKRRPPPAGSPEPSPRAAAGAAELLRRVEELEAAAARLAMEKEAAQESALGLQGELEAERASAETAASEAMLMIERLQREKAAAQMEARQFRRYAEGRADHEREVQEELASLSDLAASYHSRLQSHGIDPDTFSDEEDEELYEEQRGHADQIDMVAPEAEGNTGELLASVGTEVKAMVDDNDEDQEQSTTPVEKEFEYTVDVRCASPTMAAVAVVGQYVGDVAGNAGGLYARVEALEADRATMHREIAALRAERAQLVMAREMARRLCWEVVSEKKSIAKKAVVPAKRFSALGICKWLLSVIFWRRSSTTRYTFGLSTTFLGLLLLLDRSTTLSPWRRLHRPQQ >SECCEUnv1G0543880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:119635664:119636188:-1 gene:SECCEUnv1G0543880 transcript:SECCEUnv1G0543880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPCAASVLLLLLALAVATVADEVKKSIASEPKSIFPRPSGVIFPRPAAKGIIASEPIIPRTAELDVSATCIGSLLELSPCLAFFRDAGTSKAPAGCCKGLGSIVRDQPACLCHIFNHTLERAIGVGIPVNRALALIRNVCSLTLPQDLMSSCGDNGGVPPLYVCPAPSA >SECCE6Rv1G0408300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:570496521:570498018:1 gene:SECCE6Rv1G0408300 transcript:SECCE6Rv1G0408300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPHEHLACSADMMAAAMSMYAPSLGRGISIVDEFEEKSGVVLQEDAAGATAWAAAVEGNWDGNQCRPVSSPPPAVAPTTPAASGRGKASPSAAARRRRRRPKAVKNREETESQRRNHIAVERNRRRQMNEYLAVLRSAMPPSYAQRGDQASIVAGAINFVKELEQLLQSLEAQKRRRAQQPPTPPFAGFFTFPQYSAGAGTADGSGDAEGRRGARRGLADVEVAVAESHASVKVLVPRRPRQLVRMMVAMQCLGLAVLHLTATATADHLVLYSFSLRMEDECRLSSADEIAAAVHQMVAEVHAGGPCWNS >SECCE7Rv1G0497150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:621233217:621237492:-1 gene:SECCE7Rv1G0497150 transcript:SECCE7Rv1G0497150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein (SRP19) [Source: Projected from Oryza sativa (Os06g0342100)] MDAGGSGSGADLRSSIKKWKIIYPVYLNSKKTVAEGRRIAAAKACPDPTCIEIADSCAYLKIPRAIELDKAYPRDFFQVGRVRVQLTNDDGSPVNPAIRTKKQLMIQIAELVPKHHGRTKKQEPVAGPSVATTNKKNKKKK >SECCE7Rv1G0467930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:93626952:93630463:1 gene:SECCE7Rv1G0467930 transcript:SECCE7Rv1G0467930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAITEIIKKRIPGLHQDTTISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELDTNSPGYQAPLHAEPLKPRYQQVQRYQPQQQPRQNQDSYVRGRGRGRGRGWGGRGGYGGGYGGYDNNQGGYGGYSNQGGYGHNQGGYGNHGGYGHNQGGYGNQGGYGNQGGYGQNQGGYGGGYGYDQGGYGGYDNGGWNYNQNRGRGGGGRGRGNWGYSGPGYERGGRGAGGPGGPGGRGYVRGRGRMGPGRGRGNQNY >SECCE5Rv1G0353660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718574330:718575788:1 gene:SECCE5Rv1G0353660 transcript:SECCE5Rv1G0353660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAQARVMVLPFPAQGHVIPLMELSRKLAGHGLEVDFVNTEFNHDLVMEAMAEKGAIPDGIHMLTVPDGLGPGDDHADIGKFVKDLPAAMSGRLEEMIRSRKIKWVIVDVSMSWALEVAAAAGARVASFSTYSAAVFALRMNLPKLIEDGVLDGSGNVKGQERIKMMPPIDAAEIPWISLASTSAPERRRTNIQNVLKTNLSMPLAEVVICNTSTELEPDALALLPNALPLGPLVARTSRPAGHFLPEDLACLAWLDARPAGSVVYVAFGSSGFLDATQFQELADGLALSGRPFLWVVRPDITIGAGQDRFDLDAFRRRVEGQGLVVGWAPQQRVLSHPAVACFVSHCGWNSTVEGVLHGVPFLCWPYFADQFCNQSYVCNVWGTGAKLRRDERGVVAKEEVQSKVARLLEDGEVKARAASWKKVACGSIREGGSSHGNLLKLVSLLRQQ >SECCE2Rv1G0132030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888089267:888089571:-1 gene:SECCE2Rv1G0132030 transcript:SECCE2Rv1G0132030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASQLLDVFYDLVERVTGYSARAEDDKDLQKHSKLATTTAYRKEEVVEIRSRNHLDVSGGSGAQVNMDGI >SECCE7Rv1G0525150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:888992467:888996313:1 gene:SECCE7Rv1G0525150 transcript:SECCE7Rv1G0525150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNSRSSSKRAKLLVMKMSPQIKKLSVKQQNKRLEIENKILAIENHKMREELRTYRCVACLKIENVCLKAELARSFRNAAAVLEAEVQSELGFGFSSTTPQIPAETSATGPYQSGAAGSQDEPRE >SECCE5Rv1G0339960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611553452:611553925:-1 gene:SECCE5Rv1G0339960 transcript:SECCE5Rv1G0339960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDASARAAAINFWKDPNAESCCICGEEDAGEKHGELSCPYDYLVSSAGYVPCKARLAAWRDDRDAPSSHRAFLRRFVRVTNLPERCPCPARIAALFARFGPLRMWHVAMDAPAACKGFACVVFERREDAEKAIDELNCYCFDGHSLRIDWFYPSA >SECCE6Rv1G0433690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:761170861:761173339:1 gene:SECCE6Rv1G0433690 transcript:SECCE6Rv1G0433690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLLSRQNLRKLSASFTLLNPSQKILLSPSHPALRPDVISPSKCVSPFYPFVNSRGVRWASYESVNLVLSDDGKPKFEIEEVEPSKKGRFLTKRRLKLQRKREKRKRKEANKNDPRRIRPKGKKIKQKFPTPEARLKYKIEKSKLKEAMLVEKLKRYEVAKAEGPVAKPDDLDGEERFYLKKVSQKKSNYVPIGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYANEIARLSGGVPINVIGNDTVVFYRGKDYVQPEVMSPIDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHVALYGKPQPQNADGSQASSLEMEELNHGKDQGPHWARNSFSDVDIMDTSQSDDEYDSSSESDANDVAAGDAVVSSEDADVSDHRMF >SECCE4Rv1G0246000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:539724767:539728354:-1 gene:SECCE4Rv1G0246000 transcript:SECCE4Rv1G0246000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLLHIPSLPINPPSAPPPRRRRCPPPMAAFASAPQQSKPLVLGCGAVSVDYLATVASFPNPDDKIRSLALKVEGGGNAGNALTGAARLGLSPRIISKASNDALGKSILKELQDDGVDTSFMTIADGGNSPFTYIIVDNQTKTRTCIHTPGYPPMKPEELTKENLFAALHGVDMVYFDVRLHETALLVAEEASQRKIPILVDAEKKREGLDDLLNFASYVVCSAKFPQAWTGASSTPVALVHMLLRLPNLKFVIVTRGEKGCLMLERSMTDASETEETDVEDLLESLEKKVDLSSSMAKCVASKSNLRISADGVGSMSGRLLLGTAEAIPSEELIDTTGAGDAFIGAVLYGLCTGMPVEKMLPFAAQVAACGCRALGARTGLPYLTDPRLSL >SECCE4Rv1G0273770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:779820566:779822748:1 gene:SECCE4Rv1G0273770 transcript:SECCE4Rv1G0273770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRAVQYAMVDAFTGEPFKGNPAAVCHLEDDADADDRWLQSVATEFNVSQTAFLSRCSNASSSSSTPWFRLRWFSPSIEVALCGHGTLASAHFLFTAVLAEQHDVVEFVTQSGILTARKVPAASSLPRVTEAEEGKPFIELDFPTGDVFVDCSSTHEHELPSIFQKAAPVVSVHRAAFTDDFIVELSSGEEVVEVLPNMEQLKKCAGRGVIVTGQAPPRSGYDFFSRFFSPKWGIDEDPVCGSAHCAVAPYCARKLGKQKLTAFQVSKRTGTLYLELDAANQRMKIQGQAVTMMVGTLLA >SECCE5Rv1G0301960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34458966:34461611:-1 gene:SECCE5Rv1G0301960 transcript:SECCE5Rv1G0301960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVPRQVALASLPSTLPAAAAAAMAKEEAKKEKKSKSKGTAAKASPAPDARVLAAVAAFLESSGLPRTLAALQSEANLEGDAWRSSPVNLEDAVSKLLESSTSAPGADIAASNEQEKTDDAVAEEKGAGKKKKNKKGGDEAHKSESKASEPSAPEEPSEKADDETKEKKRKKKKDSSSAVDDDEANAVVKTDGKKKKSKKQENNEDVEARLEKVELAVKAKLEAAGKLKDHDKKSGEDEPKTQNDEATENGLSDGAPLDKGKKKKKSKSTSETSDKTDAATAPAEAEVKSNGASENNNAVGEGKDVNEKKSKKKKKKSGSEENVQVEDKQVAGKDSAPKPDDENKNAMDIENGEDGKASADDAVVSKKRKLEEVEGSKPPAKEDITATDDDDVKEPSTASKSNKRQKLSEPKIAFQRVKAEGIKFADDRLQDNSYWAKGGADSGYGAKAQEILGQVRGRDFRHEKTKKKRGTYKGGFIDLQTHSIKFNNSDDE >SECCE3Rv1G0207090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:917529198:917529635:-1 gene:SECCE3Rv1G0207090 transcript:SECCE3Rv1G0207090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASMPKLLFLLLLLAAAAHSSPVVPDAADQAEQSAVRMVPMAPAGGAGAGFSGVVLNETRRRLGSFQLCAPCTCCGGPRGVCVLSPCCYAINCNIPNRPFGFCSFTPRSCDCLHCNV >SECCE6Rv1G0412130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613222984:613223913:1 gene:SECCE6Rv1G0412130 transcript:SECCE6Rv1G0412130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAATPSPPGSRKRSSRPRPRPDDGRPEPYPSPRGSPSRRSERTRRPRAPPDSDAVTAPAERRTRALQDSSPSPALRRPVRAFQEAAALAALAASAPAASSSGARSYGVVWSDADEVALLNAAAAFRVRHGRIPGLPDMGALFDAIRGSISPHIDQPKVYYKLKRLKSKFDHAVPSAVVSRHEQRLRDLCKKVWGANFGLPAEKDFARGEDEEDDEEERGRGSVPDAAAMLPVATEVLDAYWKTDGPALSGVSLEKGLSKLATEDARWIEAKWRRQLDAEVHSQIKRHDLAKEVYGLLLDAIKGLGP >SECCEUnv1G0553210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:266208316:266211154:1 gene:SECCEUnv1G0553210 transcript:SECCEUnv1G0553210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKDRFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHMGLSNFTTGKGKVSLSESNVRPLEVFMCSIVRKMGYGEGFKWMSQYIK >SECCE4Rv1G0277130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:801861218:801864944:1 gene:SECCE4Rv1G0277130 transcript:SECCE4Rv1G0277130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYNIITEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKSKGKAFSETEIRNWCFQVFQALSHMHQRGYFHRDLKPENLLVTKELIKVADFGLAREIISEPPYTEYVSTRWYRAPEVLLQASVYSSAVDMWAMGAIIAELFSHRPLFPGSSEADEIYKICSILGTPNQHTWAGGLQLAASIHFQFPQSGSINLSEVVPTASEDALNLISWLCSWDPRKRPTAVEVLQHPFFQPCFYVPPSLRFRSTGYATTPPSVGAKGATDQKNARRYPVGTLSNGRPAVNNSYLSTNAPARAAGVQRKLELDHQVKPEGNHKLTKENAMNQPWSRLPPAPVRSNANYLAAKEQIPRAGAPDIAEKLSQLSMASTTNRAPAVSSDRFADLKGTTRAHGEPVRRPVPLGPRDTWHARNDPFRRTYEMPGERALIQRKLVS >SECCE1Rv1G0004530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:19216910:19221635:1 gene:SECCE1Rv1G0004530 transcript:SECCE1Rv1G0004530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAPASRHLRLWWRRRGRAGAVAATFAAALLAAALLLSLSYFASLPLAPASASSSALVGLTLLRRAEEKGALCLDGSAPGYHLQRGSGSGSQSWLIHLEGGGWCRNLKSCASRQKSMLGSSHYMERQVEFAGMLSDDEDQNPDFHNWNKVKIRYCDGASFSGNVKDELQNGTKFFFRGQRIWEAVMDELLMKGLRHAKQAFLTGCSAGGLATYIHCDGFRALLPKDSRVKCLADGGFFLDVEDISKQRTLRAFYSDVVRLQDLKRKFLHCSSSMDPGQCFFPREVVKDIRTPVFILNPAYDAWQVQHVLAPEASDPQHSWQDCRLDISKCSPDQLEILQGFRGELHDAMREIKQKKDWGIFIDSCFIHCQTLNSVTWHSPSSPRVNNKTMAEAVGDWFFDRREVKELDCEYPCNPTCHNLVFSKPFKG >SECCE3Rv1G0152270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:46033655:46036441:1 gene:SECCE3Rv1G0152270 transcript:SECCE3Rv1G0152270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGQLVVTLGAALTKEAALFGGSLLGKEATALRGLFGKIRRSKAELESMQAYLQEAERFKDSDKTTAIFVGEIRGLAFQIEDVVDEFTYKLEDCKRGGFAGKVKKRLKHINTWCRLAAKLQEIEANLQDAKRRKKDYAVIAGIGESASKLANQALHFTRDEDLVGIEENRDRLIRWLTVSGGSGHGLEQRNSRVTSVWGMPGVGKTTLVAHVYNTVKADFDTAAWVTVSESYRLEDLLKKIAAQFGITLDVSDIEMRGLAACIHNYLQGKKFIMVLDDVWAARVWSEIRNVFPTSNCTGRFVITSRKHEVSLLATRESAIHLEPLQEHHSWLLFCNGAFWNTDDKECPVELQELARKFIAKCQGLPIAIACIGRLLSLKPPTSAEWEDVYRGLDSQLAKDVIPDAHMILKVSLEDLPYDLKNCFLHCALSPEDYVLKRRKTMRLWIAAGFIKEKGESKTLEEVAEGYLAELVNRSLLQVVERNYAGRVKYCRMHDVIRLLALNKAKEECFGKVYNGSATGAFSVEGSRRISVHGGNLEQLSRSGTTHLRALYVFERYIEVDLLKPILTSSKLLTMLDLQGTCIKMLPSEVFNLFNLRYLGLGNTGMESLPEAVGRLQNLEVLDASKSKLTYLPNSVVKLKKLRCLYAWSVVASDDFEIRNLGGVKVPNGIQQLAGLRALQSVKATPEFLREVSALTELRTFGVCNVRSEQSTDLSNAIARMSHLVHLEIVSAAENEVLQFEGLYLPPTLSSLGLAGQLEKTSIPQLLSSWSHLNSLTRLHLAFSNIDEQTFSCLHMLHGLHFLEVMKAFEGKRLEFYVGSFPKLRFLHIWGAAQLNQVGIEEGAIKTLAELCFTDCPELKFLPDGIEHLAALEKLILKDTSEELVKKLRHMRDSDECSEDVMKISHIRNVTVALSNNGFEERIR >SECCE2Rv1G0067230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18133362:18134464:1 gene:SECCE2Rv1G0067230 transcript:SECCE2Rv1G0067230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRAMHHLLLRSKMSAAAVAISSGVGVGLARFSTASSSMRLAGKVAVITGAASGMGKATAAEFVRNGAKVILTDIQDDLGHAVAAELGPDASYARCDVTDEAQIAAAVDLAVARHGRLDVLHNHAGVTGEDDSGVRRISRPCGLRPHHGSQHPVCRRRDQARGARDGATPERVHHLHGGHGGGAWERREPRLLHLQGRRDRRRSGVGRGAGEAGRARERHIAARHRDAVRAAWAGRAAPGHERGGAEADGGTVLEVEDIARAAVYLASDEAKYVNGHNLVVDGGFTVGKLIHTPDPVSTA >SECCE1Rv1G0037780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:530910056:530911999:-1 gene:SECCE1Rv1G0037780 transcript:SECCE1Rv1G0037780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAAAAAPASQLSWPSPLSPTPSGRLKDALHHPFRDVLWSDPNLFAHVFRACRAIPLLHQLHAFAATSGAGAYCFTTNNLMLTYADLGDLPTACSLFERIPKPNVTSWNILIWGYIKNGDLGSARKLFNEMPTRNVATWNAMVAGLTNAGLDEDSLGFFLAMRREGLHPNEFGLGSMFRCCAGLSDLVSGRQVHAYIMRCGMDIDMCVGNSLAHMYMRCGCLAEGEAALQALPSLTVVSFNTTIAGRTQHGDSEGALEYFSMMRGVGIAADVVTFVSIITCCSDLAALAQGQQVHAQVIKAGVDKVVPVITCLVHMYSRCGCLGDSERVYSSYCGSDLFLLSAMISACGFHGQGHKAVELFKQMMNAGARPNEVTFLALIYVCSHSGLRDEGLEFFELMTKTYGLQPSVKHYTCIVDLLGRSGCLDEEEALILSMHVRADGVIWKTLLSACKTQKNVDMAERIAERVIEFDPRDYAPYVLLSNIRATSKRWGDVSELRKNMREKNVRKEPGVSWVELNGQVHQFCTGDKSHPRQGEIDEYLEEMMAKIRQCGYAPDMSMVFHDMEDEEKEVRLPHHSEKLAIAFAFLSLPEGVPIRIMKNLRVCDDCHVAIKLMSQVTGREIVVRDVSRFHHFRDGKCSCGDYW >SECCE4Rv1G0232370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:197334102:197334458:-1 gene:SECCE4Rv1G0232370 transcript:SECCE4Rv1G0232370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSSTTLCSSIQPHPSSICSCTMPPPLVVNDAVDTTPHHLATSTPSPLLELLGAHHPQHLSPEQQFLPRRRLHGGYDAHHAAAAQSRLNFGLSSGRGLGVDSGDLGFASRKGNGVK >SECCEUnv1G0537160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67723650:67724006:-1 gene:SECCEUnv1G0537160 transcript:SECCEUnv1G0537160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSSTTLRSSIQPHLSSICSCTMPPPLVVNDAVDTMPHHLATSTPSPLLELLGAHHPQHLSPEQQFLPRRRLHGGYDAQDVAAAQSRLNFGLPSGRGLGVDSGDLGFASRKGNGVK >SECCE3Rv1G0146640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12024632:12025414:-1 gene:SECCE3Rv1G0146640 transcript:SECCE3Rv1G0146640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKFLQMVEDKKKRLLEKKEAPLKWQQKLEAAIKATEEKEKKLKSKKHRRRSYSSSESDSESESDSDRKHRKRKDRKRHRKHGHSDSDGARRRKHRSKRRSSDSSDESDSDEYDSESEEERPRKKHSHRRKHRRHSSKSESDASDYSSDDDERRSTRKDHTRSRRRRHRSSDDEAEDKIRSRHRKRHRSSDEDKPSDSDNHKRHRSRSMSLDDGAAGEPDKMNDGKGSHKSRHHRRHHHHHHDHHSNSAEPSDGKQLV >SECCE2Rv1G0119160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:788876619:788882918:-1 gene:SECCE2Rv1G0119160 transcript:SECCE2Rv1G0119160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MTRITAPELSPSPPDSPPPARRSPAPATPPSRRRPSPSPSLALTPSSASTSATSSRPRPRPSPKRTYSPAQWVPVSSHPAFALRGGVGGGGGAAWDAAGSRLYVWDPSARGAHRVSVRIRDAEAERDGEEVAVEAAVPSEMLMPETNLGYEVTHISLNTDGSSLLLAGSHNINVLYVHERVSEDGDTIVCRTAPVASQILPTNNDGIKVLQTSWHPFSSSHFGVLTSDAVFRLFDLSFDLEQPEQEFYLQPILPGRCQNASSICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPVVPFGCDYSKKHIEEIYEDVNTFGLKSSNPNVVTNSHLAIAWLEATFPELLRQSADSSTLMSRARAYAPVDDSLTLQGPLCRVCEENNELEGKSSSCEGKAVAFVYSSVGKDSVLVTAWGSGQLQIDALADEIQPQWSIGVPTRLNVDSHGRIKSVAMICDSNPQDSWALRSHRSSSMGSNVKSNTEAVWMGHSPPLLRLSIVDLALPKTSNGSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPVLTTGNSDTSSPFLSGFVAIADAYGHVQLVGITYTGECFVVEMKGWKEPTPLQLDICSKDIKDVESSTTGMISKELLAGPDPPILPSSTSLRSLTPDSIEGKSTLHHYIKVFHEHYVEYGHKVFIELKEHEDYVKTEIEDKQKRLQAVKQSLLSIEAKDEEINRRLDRASKVYDLLEKRIESFKMLPAANKKPLSQAELEFKSQLDRFADVELDALRTSIAALSARMKRFTHPSLGGGAAGTGVVAPWQAPKAGRGHVSESQMSLMKSSLERLSLINEENNQKLRVIETELKNKEQQ >SECCE1Rv1G0014240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:111162492:111164135:-1 gene:SECCE1Rv1G0014240 transcript:SECCE1Rv1G0014240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVEDLMSDIEIEELGNSMKGELENCLSSDIVCDRGSEFCLIPKIHEHIRAIDRYSYEPIMLSIGPYHNGSPELSSVEREKWNCLDYVLRLNCGKGLKEYLTTINRLEKRARTCYSGDIKMNKRKFVKTLLLDGCFVLISLGKFNEFIRSGPQIHSPSSSHADASEEDSSSRRPEVTGGTKIQQINTSKLNSVDSTVPEKHIVDCKHSMEGNSVLEIESCSETSGPQTSQHRYQDNTRQIGRWYGIFVSHDLFLLENQIPFFIVDGIYDVVTSNKMPPPTTCKSSIAQYIEKYVSFYPIAIQESSGLKDFDHLLHLCHMYFRPSSDQDEHHLHATQNYIHHFLEAANLGSSPDGHSLNRWRRATQYHEAGIEFRRRTYSEHNPHSLLDIKLRDGVLEIPFVYVDEQTIVLFRNFVALEQTCPQVGNDVTAYIVFMAKLMSMPDDVALLARKGVIAHHMRTDRDVSQLFTRLTKGVVFDLYGNYYLKRLCLALEAYYQNRLHRWVAWLRHNHLSNPWLVVAAFAGVIVLFCTIAQTVLTVESYVNPK >SECCE6Rv1G0415420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:641484642:641485256:1 gene:SECCE6Rv1G0415420 transcript:SECCE6Rv1G0415420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITNDPEHSPRDCATKHRHHHSAGRRRLLIGALSAAASLLALAIILWLTLRPSSPRFTLLAATATAPNATTGGIVRLDAAFVAHNPNARAAALYGRLQARASYAGVQLVAAAPLPPFQQAQGDAVITASLSASSAAAPAAETAEAGRSTLLLRVRVEGQLRWKVAAWVSGSRALATECVAVVVPSQLTAVVVQGSQCATTLQ >SECCE4Rv1G0248590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:572949249:572950211:1 gene:SECCE4Rv1G0248590 transcript:SECCE4Rv1G0248590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNTFSWVKEQITRSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLASKPVDIEVPQAVLPDTVFEAVLRIPYDMQLKQVLANGKNGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLAFQSYRPDKKNILVIGPVPGKKYSEIVFPILSPDPATKKDAHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSTGIVRKILRKEKGGYEISIVDASDGRQVIDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >SECCE5Rv1G0327360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:491607398:491609727:1 gene:SECCE5Rv1G0327360 transcript:SECCE5Rv1G0327360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSRNPFLCCVGGSRVADDDYDGRTSRRRIGRSAKGCPRSSSRMSFKSLSSSGTLSPEDLSITLSGSNLHAFTYAELRAATGSFSRANYLGSGGFGPVYKGTVDDELRPGLAAQPVAVKYLDLDCGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYENEHRMLVYEFMSGESLEKHLFKSINGSLPWMTRMKIAVGAAKGLAFLHDADPPAIYRDFKASNILLDSDYNTKLSDFGLAQDGPQGDETHVTTCVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGLRSVDRSQRLREQNLVDWARPYLKHSDRLYKVMDPTLECQYSCKGAEVAALVAYKCLSQNPKSRPTMREVVKVLEPILSMEDFFPVGPFVFTVIVEEDKVVDMKVEVEENQQYKCQNHHDRHRQKYPDSAIHDGIVLRGRDGFITGYTGAQRRQQRSSSYHRERGAQD >SECCE3Rv1G0211930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953821313:953823144:-1 gene:SECCE3Rv1G0211930 transcript:SECCE3Rv1G0211930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTAVVAGVAASVATLALLAVAAALCWWRLAARRSRTSDTASDETPPTLAEWGRCGRTSSAPEYQGDRRFTLEEMSHATKNFSDANLIGAGTFGKVYMGLLLDGTVVAIKRRVGAPRQDLVDEVRRQSEIWHRNVVTLIGYCQDGGLQMLVFEHLPNGSVCGHLYDTGKESMTRLEFKQRLSIAIGAAKGLDHLHSLAPPLIHKGFKTSNVLVDENFIAKVSDAGVDRLLRGLEDPASPLNGFRSGVYQDPEAHSLAQLSASSDVYSFGVFLLELIAGREASSLVSPESTESLAHWLEASGDEAADPRLGGSFTSEGMKELVGLTLQCLSPSAVRRRPKMRLVSAELDRILEKEMTLTTVMGDGTAIITLGSQLFTS >SECCE2Rv1G0113330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:720883133:720883594:1 gene:SECCE2Rv1G0113330 transcript:SECCE2Rv1G0113330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIFSFGLSTGKHAMRSGEPLGSPMPYFPGTPDVEVLDCPDKPFEKTFDKPFEPIHDRKRKRERLTEEEINIFGSMTEAMKEVATTIMECKPLDVHPDLYGDVMTHGGFSDEALMAALSHLLDNKAQGVGFVAMADAHMVLWLRSWLGKHYY >SECCE1Rv1G0029070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:409100016:409100799:1 gene:SECCE1Rv1G0029070 transcript:SECCE1Rv1G0029070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGDEVKLLGVWDSPFVNRVQIVLNLKGIGYEYVEEDLHSKGELLLASNPVHKKVPVLIHNGRPIPESQVIVQYIDEAWRGTGPSLLPADPHDRATARFWAAYVDDKVGSPWFTILFARKTEEKMEAAVRAISAMETLEGAFGEYSGGKPFFGGDGIGFVDVVLGSYLGWFVVIEKMIGVKLLDAARTPALAAWAERFRMADAVKGVLPEDVDKVLDFLQTFLD >SECCE4Rv1G0223520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:70756289:70757907:1 gene:SECCE4Rv1G0223520 transcript:SECCE4Rv1G0223520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTAPWRRFSEAEAAVNRSVAASSVGKYFKLEPRKSSFTKELRAGAATFLTMAYIISVNAAVLTDSGGPCTVLDCTPVGNSTAVPGPECMLGTSNPGYEQCLARTKSDLIVATAVAAMVGSFAMGSLANLPLALAPGMGANAYFTYNMVGFHGSGSIPYRTALAGVMMEGIIFFLLSAVGLRSRLARMIPRNIRLASAVGIGLFLAFTGLQANQGLGMVGASPSTLVTLTACSETDPVTGACLGGTLHSPTFWLGVAGFLITATCLARDVKGAMIYGIVFVTAVSWIRGTSVTVFPDTPAGNAGFSYFKKVVDFHTIKSTAGQLSFGGFRHGSVWVAMLTLLYVDVLDTTSTMYSMAEYAGFTDGAGGFEGDYRAFLVDAGSTVLSAGLGSTTVTTYIESTAGIREGGRTGVTAITVSAFFLASLFFSPLLMSVPPWAVGPSLVLVGAMMMRVTKEIEWGDMKEAIPAFVTMALMPLTFSIANGIIAGLAVYVALHLYDWASLACGKVGKALDDRRNQVAAATPEVGPGPGPAQDVV >SECCE2Rv1G0128640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:863680227:863683140:-1 gene:SECCE2Rv1G0128640 transcript:SECCE2Rv1G0128640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVASWGLTPLADADPDVFDLIEREKRRQRSGIELIASENFTSFAVIEALGSALTNKYSEGMPGARYYGGNDVIDEIENLCRDRALAAFRLDAASWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSAADGYIDYDKLEEKAMDFRPKLIICGGSAYPRDWDYARLRAVADKVGAMLLCDMAHISGLVAAQEAANPFEFCDVVTTTTHKSLRGPRAGMIFYRKGPKPAKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALKQTLTPGFKAYAKQVKANAVAVGKYLMSKGYKMVTDGTDNHLVLWDLRPLGLTGNKVEKMCDLCSITLNKNAVFGDSSALSPGGVRIGAPAMTSRGLVEKDFEQIAEFLHQAVTICLNIQKEHGKLLKDFSKGLVNNKDIENLKVEVEKFALSFDMPGFSLESMKYKE >SECCE1Rv1G0044200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:600443756:600445429:1 gene:SECCE1Rv1G0044200 transcript:SECCE1Rv1G0044200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDVKQRQIVEDRARNISHNVRCTECGSQSIEDSQADVAVLLRRLIRDEIKSGKSDKEIYRKLEDDFGETVLYAPKFDIQTAAIWLSPVIVGGVAAGVWAYQKHRQRTNVHIMALNLVRGVPLSPREKETMIDILTPPAPTRRWWWPVK >SECCE2Rv1G0088650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:246939241:246943198:-1 gene:SECCE2Rv1G0088650 transcript:SECCE2Rv1G0088650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMTTSPVTDAGAATDLSRPLLSNGSGVHAGALVVTPVVANGHGGHKLKGDLKAKDKYWKDVDQPDDVAAEADLENGGGRPLLFSNRRVKNIILYPYRALILIRVIAVILFVGWRIKHNNSDVMWFWVMSVVADVWFSLSWLSYQLPKYNPIKMIPDLATLRKQFDTPGRSSQLPGIDVIVTTASATDEPILYTMNCVLSILAADYHIGRCNCYLSDDSGSLVLYEALVETAKFAALWVPFCRKHLIEPRAPESYFELEGPLCGGTSHKEFIQDYKHVRTQYEEFKKHLDMLPNTIRQRSDIYSKTGTKDEDVKVTWMDDGTQWPGTWLDPTEKHRAGHHAGTVKIVQSHPEHVVPLGAQESNDNPLNFDNVDMRLPMLVYVAREKSPGVEHNKKAGALNAELRISALLSNAPFFINFDCDHYINNSEALRAAICFMLDPREGDNTGFVQFPQRFDNVDPTDRYGNHNRVFFDGAMYGLNGQQGPTYLGTGCMFRRLALYGIDPPCWRTEDIIVDSNRFGNSLPFLNSVLAAIKQEEGVTLPQSLDDSFLEEMTKVVSSSYDDLTDWGRGIGYIYNMATEDIVTGFRIHGQGWRSMYVTMEHEAFRGTAPINLTERLRQIVRWSGGSLEMFFSHISPLFAGRRLSLVQRLSYINFTIYPLTSLFILMYAFCPVMWLLPTEILIQRPYTRYIMYLIIIIAMIHVIGLFEIMWAGITWLDWWRNEQFFMIGSVTAYPTAVLHMVVNLLTKKGIHFRVTTKQPVADTDDKYAEMYEVHWVPMMIPAVVVLFSNILAIGVAIGKSVLYMGTWSAAQKRHGALGLLFNLWIMVLLYPFALAIIGRWAKRTGILFILLPIAFLSSALMYIGFHTFLLHFFPSMLV >SECCE1Rv1G0001790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6319442:6323021:-1 gene:SECCE1Rv1G0001790 transcript:SECCE1Rv1G0001790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSSNPSTEFLYLGTLATPPPGTAPPPSHPRPGSPELRPLPPLPRVGPPSGEFGSRSSASELSTVPRAAAAAAGDASSSSLSPSSPSASSPTLGSSPVHIRPPSIPQPRGRAPNPSPPKRRPPPPPPPTQSWNPFVPVPPTQAAPTSDDDGDSSSTIAAAMHKSRPLHSDKLKPGSLHMKDEMIQLYLNNSAAVAAAAAREVCLLGAARCHGIGAVLGALGFSEEQVRDALLEGNAHGLGVEALRMLAQLVLTNEEELKLRYFKDDPPAKLCAVDAFLKTILDVPFAFKRVDAMLYVSNFYLEVNQLRMSYATLEAACEELRSSRLFHKVLGAVLNFGNMMSISTGSPTSHALEPNTLLKIVDVKGADGKAALLQFVVQEIMKPEGHPGSAACKTNAGTSPPYDIDCRKHGLQVVSKLAAELTSTKKAASVDMTGLSRSVSELGVGLGKVHDVLRLNDMMASAESARRFHNAMSAFLRQAEEEIVRLQGQESVCLSAVREMADYFHGSDSPGDEARLFRVFAGVREFVSMLDRICREAGEVQGDQVGSTLVMSWMAAGAPMGTTP >SECCE4Rv1G0247350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:558183491:558189459:1 gene:SECCE4Rv1G0247350 transcript:SECCE4Rv1G0247350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g14620/T5E21_15 [Source:Projected from Arabidopsis thaliana (AT1G14620) UniProtKB/TrEMBL;Acc:Q8L7U3] MLPRSPASLHLRSLRWPRGLSSSSAQAAAAKEGADGKIVAAVVFERLPVVIPKIHPVVYAFQEFSFRWRQQYRRQYPDDVLGKADARGKGDYQIDYVPAPRITEADKANERKSLQRALDNKLYLLLYGKTFGAPDGKPVWHFPEKVYENEDTLRSCAESALKSVLGGLDNTYFVGNAPMAHMVAEKKEDSSVSPFKRFFFKSQVIGATHFDIRKCEDHAWVTKAELLEYFPEHKDLLNKMIIHVR >SECCE7Rv1G0467560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:89329452:89332923:-1 gene:SECCE7Rv1G0467560 transcript:SECCE7Rv1G0467560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase, chloroplast precursor (EC 5.1.3.1) (Pentose-5-phosphate 3-epimerase) (PPE) (RPE) (R5P3E) [Source: Projected from Oryza sativa (Os03g0169100)] MASPSSSLCSSLGYPRAASLGARRRVGFSSSRKLFQVKASRVDKFSKSDIIVSPSILSANFAKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLIVDALRPVTDLPLDVHLMIVEPEQRIPDFIKAGADIVSVHCEQTATIHLHRTVDQIKSLGAKAGVVLNPGTPLSAIEYVLESVDLVLIMSVNPGFGGQSFIESQVKKIADLRKLCEEKGVNPWIEVDGGVSPKNAYKVIEAGANALVAGSAVFGAKDYAEAIKGIKTSKRPVAVAA >SECCE6Rv1G0448470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:856214547:856215095:-1 gene:SECCE6Rv1G0448470 transcript:SECCE6Rv1G0448470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGSSSQVFIMPAAGHVNYLLAGGSGGGDDPRYPWIFKSLHEVDAVVPAIARAGKRPVAVPGGPQVEPYGCPICFRIFATAKAVHGHMRSHTDRSWRGMEPPRPPPLGLGEVHYPYMCDRCKMPFQTRQALGGHRASHSGKKGCSWLEREELAAAEEAQKPIVFDVDLNLPAPEAEDRDGE >SECCE2Rv1G0102370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:576583844:576584846:-1 gene:SECCE2Rv1G0102370 transcript:SECCE2Rv1G0102370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLEPLVVGKVIGEVIDNFNPTVKMTVTYSSNKQVFNGHEFFPSAVVSKPRIEVQGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVSDIPGTTDASFGREVVSYESPKPNIGIHRFTFVLFQQKKRQAMNPPSTRDYFNTRRFAEENDLGLPVAAVYFNAQRETAARRR >SECCE5Rv1G0308340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:128888733:128892225:1 gene:SECCE5Rv1G0308340 transcript:SECCE5Rv1G0308340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGDASRLPTSLDVLASDVHSRLEFHRYAFMNCVGIKNALHCVIEERENLQQRQNAIDQLVAEKDSLTKKNEELAAEIESLKEQLQARESSNQQQGSGFQQSQFGMAHTRSTVKRKRQSGDEDADEHELTEDLSVIDNPDQGLNTELEATKMEISDIHSKLIEGFTAISNTGGRNIALKNIGQLDDRPFLAACRKKLPAEEAQEKAKEVHRVWQGHIQNPEWNPFKTVTVEGIPKEIIDVDDDKLQGLCAAWGEGVHKAVVCCLVEIQESGRLGDRNIEPVVWNYKCKRKATHCESIEYLFGQVKRLTDAKSRTRSAR >SECCE4Rv1G0266980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734082033:734082290:-1 gene:SECCE4Rv1G0266980 transcript:SECCE4Rv1G0266980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVVTPNDLDKQYYNNVLSHTVLFTSDAALLTSEETARMVVDNANIPGWWEDRFEKAMVKMAGIEVKTGNQGQIRKNCRATNYY >SECCE4Rv1G0233240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:231605866:231607452:-1 gene:SECCE4Rv1G0233240 transcript:SECCE4Rv1G0233240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGGFRGRGGDRGGRFGGGGRGRFGGGGGGFRDEGPPAEVVEVSTFLHASEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESYFSVKMFEGIIATSYNEGDKFFIDPMKLLPLSRFLPQPKGLTPRGGGRGGRGGARGGFGGRGGFRGRGAPRGRGPPRGGGRGFRGRGRF >SECCE3Rv1G0158560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:89228271:89229096:1 gene:SECCE3Rv1G0158560 transcript:SECCE3Rv1G0158560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEARKQSGVGWDDTRCMIEADTDLWDNLLISYPNIGKFKKKFFPHFDLLGELYDGHTAEGTYNFTSIEQPSQIGEDFEDEREAEEVKETDDLEMMNQVQNDDDDLQILDQMDATHRNEDVDPIEQGGRTMAGSGKMPQKKPKKEKPNNSGDVIAGALGKYIELKKRQVDDEATYLANERAEATKLDDFSITKCMDVLKTMEDVTRAEKIKAFNVFKDASNR >SECCE2Rv1G0139020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:925070234:925071379:1 gene:SECCE2Rv1G0139020 transcript:SECCE2Rv1G0139020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDKLLRRSQAAGPLGDDNLLAEILLRLPPQPSSLPRASLVCHRWRHLVSDPGFLRRFRLHHLRNPPLLGSFSERRLELSFMPMLEAPNRVPAGRFSFSLQLDDMRPAAAAQGGGLDGKGFNVLGCRHGLVLISIPSRNQVLIWDPVTGDQHRIALPLGFDAKKTYISGAVLRCVHHFQLVVVRNDKQHTRVVACVYSSETDEWGNSISILIPFSVTGRRPTVLVGGSLYWLLAAGNLVGILEIDCKRQSLALIQPPMDKGKFSLMRAEGGGLGLFFLSDLGAQLWKRRTDCGGVASWELGKTLELNELKTPSGLKSLTIQGFAEDNNVVFLCRGSTFFMLQLEPLELKEFSEVDNWCFYYPFESVYTAGIAKLSDLIFS >SECCE5Rv1G0350550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691265955:691266740:-1 gene:SECCE5Rv1G0350550 transcript:SECCE5Rv1G0350550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEMMMRPPMPAPYQVWAAQQQLPPEAPAGFKPARPAWKRAARQQQPGWKQRKAQGRWGGSAAPRNTTSYLIRAKRAGGVASLVSPCPVTPAVLPTPRLSPAREVLVEMAKEAWGVDGYGSMKGLIRLRPQAPDAGDGDGDGADSGSGESDVEEHVEVERRLDHDLSRFEMVQLPAAPAGADDYDGEDEDARAARLEEENLTLRARLFLVERDMADLRRRLLAVESLCRDRHRDGCVVDAALPPSAETDEADATEDAMVL >SECCEUnv1G0529340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7421583:7431263:1 gene:SECCEUnv1G0529340 transcript:SECCEUnv1G0529340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNQLRVALPNNTEDIASFVFALNENCAAPFRDYLAGALRAEEGEEGEDGRVAFVVADVDWFAPLSVARELGVAALALMTSSAARLLVYLAYPRLCHNGYLPVQESNFNTAVEELRPFVVGDLYRVMDMTRHVAYTDLLAHIVAGVRRSSGLIINTFEDIEGMEMERIRSEIGLPVFAVGPLHMMTSSSSVESSLLTEDGSCLDWLDTQQPNSVLYVSYGSLVGIDTDEFLEMAWGLADSQRPFVWVVRPRLVHGRDSSALPGELQEKMGNRGRIVSWAPQQEVLKHPSVGAFLTHCGWNSTTESISEGVPMICRPLSGDQMGTARYVCDVWKVGVRVEVEENQLMRGQVQAAITRLMDGEEGEEVRERMRNLRHAVVKCTREGGTSDGALQRLVDSCV >SECCE4Rv1G0223940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:75513444:75515890:1 gene:SECCE4Rv1G0223940 transcript:SECCE4Rv1G0223940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQRLLAASTKIVGAGRNYISHAKDLGNPVLKEPVLFLKPTSSFLHAGPTAGPIEVPEPLESLHHEVELAVVISRRARDVPEASAMDFVGGYALALDMSSNDLQSASKSAGLPWTLGKVQDTFTPISAVVPKSAIANPYDLELWLKVDGELRQKGVTSDMIFKIPFLISYISSIMTLMEGDVILTGTPPDGVGPVRVGQKINAGITDLIDVEFDVQRRKRSFSN >SECCE3Rv1G0163880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:153530629:153532260:-1 gene:SECCE3Rv1G0163880 transcript:SECCE3Rv1G0163880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDGQSASARVHFVLVPMMAQGHTIPMTDMARLLAEHGAQVTFITTPVNASRLASFAAQAEETGLAVRLMELHFPAAEFGLPDGCENVDMIQSKDLLLNFFEACAALREPLKAHLREQQESPPSCIISDTMHWWTGDVARELGIPRLAFSGFCGFSSLVSYIICRDNLLKHTTDENELITIPGFPTPLELAKAKCPAGIPIPGMEPIREKIYQEELKCDGVVLNSFKELETLHIESFEQVTRKKVWTVGPMCLCHQNSNTIAARGNKAPIDEAECLQWLDSMKPGSVIFVSFGSLTCTAPQQLIELGLGLEASKKPFIWVIKAGDKFPEVEEWLSDGFEERVKERGMIIRGWAPQVMILWHQAIGGFMTHCGWNSTIESICAGVPMITWPHFADQFSNEKLVVDVLNIGVEVGVEGVTRWGHEHKDAMVTRNDVERAVYALMDEGKAQEQFRVRAKDCAIKARRALDEEGSSYNNIKLLIQEMGNKKNACG >SECCE2Rv1G0117230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:770703470:770704136:-1 gene:SECCE2Rv1G0117230 transcript:SECCE2Rv1G0117230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRLPLLWARGRRLLLAVVLSQLGALSCQTLRGSVACLDCPAGHHLSGVVVAVECSGVDGGSTGPHIFVAQTDGGGNFSVAMPESVPASRCAARVLGGAEQLCAPHRLTVARVVVAGRPNSYALGSRLAVFTRCGGAVATMSAAGGGSGQRSPPRLAPRLPSPSLPPLVGRSSPPYGLGIPLIYVFPFIPIIGIP >SECCE4Rv1G0239790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:422754818:422775809:1 gene:SECCE4Rv1G0239790 transcript:SECCE4Rv1G0239790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MGLCFAHLAGGVARAAFSRRGPHLSPACPRRVLAPLASPGEPSGGNWLVPARGHGGHSHHHGEESGEASEKIFRLGLAADVVLTVGKAVTGYLSGSTAITADAVHSLSDIVLSGVALMSYKAAKVPRDKDHPYGHGKFESLGALGISSMLLITAGGIAWHSFEVLQGVMSSAPDIIGSTLHMNHNHGIGGHNHAIDLEHPVLALSMTTLAISIKEGLYWITKRAGEKEGSGLMKANAWHHRADAISSVVALVGVGGSIVGLPYLDPLAGLVVSGMILKAGVQTGYESTLELVDAAVDPLLLEPIKVTIVKVDGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHHIQKTHSQVAEVFIHIDPSYSMGANTDQKRILENLERRNSDVIPRQRSAEAIVSDIISSNFPKKMCLEHLMLHYLQGRVLLQLQVSMSPEILIRDAMDMAKKAEEEIMKADASICQVSVQLRLGQQIEQLQLSTSKSGANDLHAENQ >SECCE6Rv1G0379440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13851384:13853780:1 gene:SECCE6Rv1G0379440 transcript:SECCE6Rv1G0379440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGSEDENAPLMSATDRIGTLPDHMLHHLLSFLPAQAAVRTCVLARRWRHLWKSTTGLRIVGLDDEDTVQVQDLRKFMDHLLVLRNRTDLDTVEIKFDLFNHDDEPYVNLWTRFAVMCKARALTLHIHDEVEYLYLDDLPLVSQHLRTLNLHGVALRKVFLDFASCTALVDLKIDDCVIHFHKISSCSLKHLSITSCQSDLDCRVRVSAPGLVSLELEDFIGITPLLEDMVLLEAACVNLSDECKDVCLNYDSGVFCGANDNTCKNCVPLSDDCSSDCVLLGGISSAKHLKLISDTGKFIFTRDLKHCPTFSKLKTLLLNKYWCEAPDLDPLSCILNNSPVLEKLTLELSPEAQNPKLEIKGSYCCMERPSAISKHLDIVEVKCDVIDEIILKVLKFLCAFNIRFSFE >SECCE1Rv1G0051140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:651457471:651458442:-1 gene:SECCE1Rv1G0051140 transcript:SECCE1Rv1G0051140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYERLEKIGEGAAGVVYKARDRRTGATVAVKRLRGGGFGDHDGRQLSEDLLREAACLEACRGHPSVVELRAAHRDADSAFLVMEYVGPSVAQVMRERGRPFPEADARRLMRQLLDGARAMHDLGVLHRDLKPDNVLVDAHGDLKICDFGMSRFAAATPPYTSPVVTLWYRAPELLLGSTEYDARVDTWALGCIMAELLAGAPLLPGRSEMDQLNRVFDTVGTDDMTDWPGFCRLPRAGSPLCQRSRPPSRLREMFPSLSAAGFDVLNGLLACRPDRRLTAADALRRPWFTDAESPDVDQPRSACGGRFTARVGGVADAIVV >SECCE4Rv1G0231560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:185535684:185537884:-1 gene:SECCE4Rv1G0231560 transcript:SECCE4Rv1G0231560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDSQSVDRAEDSEHFPSVPALNQAASNLAQIASYFTQCLPVPGYTGIPEEGQELAILPPVSTSGRPALQTSSADLDGSSLSPSVIGSSQETSEISGQMAPFRVLQNGASLFQGLVDRARKTVRGSADDIGWLQRTQGLPAAEDGTARFMEILDSVRKNEHKLPDAVVYLLVPGLFSNHGPLYFVKTKAYFSKMGLVCHIAKIHSESSVSKNAREIKEYIEEIYWGSRKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLVLAQSPYGGSPVASDILREGQLGDYVMLRKLMEILVSKVLKGDLQALEDLTYERRKEFLAQHPLPLEVPIVSFHTEASITPSVLTALSHVAHVELPVVADGNPARIPVVMPLSAALAACSQLLVARYGEKSDGLVTRKDAEVPGSVAVRPERKLDHAWMVYSSMNEEPGDQANTSQVCEALLTLLVEVAQKRRHETAMKDE >SECCEUnv1G0529980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:10154937:10157767:-1 gene:SECCEUnv1G0529980 transcript:SECCEUnv1G0529980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSSNGGGGSRSQQQQPPQQDKSIWAGCFSGLSCFGAQKGGKRIVPAARMPDGNASTNRGNAQSGGNPNQNGAMNLSLLAPPSSPASFSNSALPSTAQSPNCFLSISANSPGGPTSNMFAVGPYANEPQLVSPPAAFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLSSSMGLKTAGKEHNMHYYSGGSGLQGSYPLYQPGSPSSSLISPASVTPRTGLSSPIPEQNVPTAAHWKTSRSACDTPYSIASPIPEQEVPTSHWKTSRSACDTPYSRTSPSNIFGLDSAATRNSLLDSNFFRPAASAQFYLDQAYNGGRLSVSRDKQDADEVEAYRASFGFSADEMVTSTQRYAEAPDATLDEGFSISPFGNSAPANNTEEVCLFSDPLPNNEVQKVGKMSRSLSNAKEMMSSPSKKPADQLPHKAVHLDIFKGTKGGYLSEDDASAAKDCHPFRMTRDEISLKPIEVRKKSPGGQQACSDAEVEFRRARSLREANSVLSWRSTLSRQLQ >SECCE7Rv1G0508610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:773225214:773226050:1 gene:SECCE7Rv1G0508610 transcript:SECCE7Rv1G0508610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTSSPLRRWKRFFGAFDTVDAAIEAADPDMCRDELRRARGDVFEGLCNTADDGKAEKLCGVLDGLMAESLETLRLTPVTPKVLATTDLAKAVRALQKHESERVRVLARGIVSGWRASALDDLAGDNFDVPQHKETVEQQNVWATTTERSSSIEIDQQHASADLDSKKKKTVEMSSKASGLASGINTAKPKEVTAVRLHANVPADPDAKAMEAAKRKLHESYQQASDAKRQRRVQLVEAPEMVKQRRERSLAGCGSSMLKKTFSVSRHTTRQLHGV >SECCE5Rv1G0344280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644465676:644466980:1 gene:SECCE5Rv1G0344280 transcript:SECCE5Rv1G0344280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSRLVKPAYSAGEAPVRATEYIPLSIFDKVTFEMQMAIIYAFAPPAPTTASIEKGLAKVLAQYPAFAGQLGESPDGTPSVILNDRGARLVEASVDADLADMAPSKPTLELLKLHPDLEVEHQEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLPMGLPPLHHHKDLFKPRSSPRVEHDHRNREYYLPSPSDVVGHHGDAADNIVIHKAHFTKDFIAGLRAKASEGRGRPFSRFETILAHLWRTMTRARDLSPEETSKIRLSVDGRHRLGQPAEYFGNMVLWAFPRSTVGDLLNRPLKHAAQVIHDEVARVDGAYFQSFVDFASSGAAEKEGLARSAVCKDAHCPDVEVDSWLTFPFYELDFGTGSPSYFMPAYFPTEGMLFLAPSYLGDGSVDAFVPVFEHNLQAFKECCHSME >SECCE5Rv1G0366380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:813089622:813090164:1 gene:SECCE5Rv1G0366380 transcript:SECCE5Rv1G0366380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFHRALGSVAAPALLLLLLLALVLPSIQTHSQKQSAGEKAAMAEPARRLAGGIVESPGREKDPYIVGLARFAVSEHNKEANTRLEFDKVVKVKEQAVAGRLYYITIQVDEGGAKKLYEAEVLEQLWLDVKKLLEFKPAVAASPNV >SECCE5Rv1G0342990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:634385907:634386140:1 gene:SECCE5Rv1G0342990 transcript:SECCE5Rv1G0342990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIQAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE3Rv1G0200710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:856507042:856516603:-1 gene:SECCE3Rv1G0200710 transcript:SECCE3Rv1G0200710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAPADAAASGRRTRTRGTEAVARSAALERLRAIRGGGARSAAAVQVRMEDPIYDTVAEEDYAALVARRRRDAGAFIIDDDGLGYVDDGREEDWTHRALPSSSDEGSGGEDGAPRKRKPPRPPQAKRPPQQSAAAASLSAAGAMTGKDRLSAMFTSSFFKKPGSDRTKGSSLAADSIVDDVIAEFAPDENDREERRRRVGRVSAPAPPPAPIAQIKAIQTAVHAEMEVRSDNGFETDVVSDHGNDMEAELQPEEVELKPDVEMQPKLEAAPGSSAELVDENKSSEELKQEANGEVKIEKVHRLNAKIKAEGTRNGDMLSATAGWMKICGEGENAGGEGEVSVNGNTDVDESSEFELKDGALPFYVLDAYEEPFGINSGTVYLFGKVVIGKRFHSCCVVVKNMQRCIYAIPSSSVFPRDTISRIEKNSTSSDASPSLRATLHELSSGLKSEVAEKLSDLNVLNFVMTPVKRNYAFERTDVPIGEQYVLKINYPYKDPAVPADLRGEHFRALLGTNNSALELFLIKRKIKGPSWLSISKFVACPSTQRVSWCKFEVTVDSPKDISVLMTSTTLEVPPVVVAAVNLKTIINEKHNVHEIVSASVICCHQVKIDTPMRSEDWQKRGTISHFTVMRKLEGSIFPIGLTKEASDRNQKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSSMWSKIGRLRRSVMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLREVSYSLTQLAETQLKKDRREVSPHDIPPMFQSSGTLLKLVEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHSFHAKKFIVPDKFAARNKELNSAKRKLNADTEGGNAADGAADPSIDDEVHNGDQGKARKGPSYAGGLVLEPKKGLYDKYILLLDFNSLYPSIIQEFNICFTTVERSSDGNLPNLPTSKATGVLPELLKSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANSMYGCLGFSNSRFFAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIYTGLDDISKAKAIAGKVIQEVNKKYRCLEIDLDGVYKRMLLLKKKKYAAIKVALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGTCDDVIESIHNSLVQVQAQMKSGEIELEKYIITKSLTKAPEDYPDAKNQPHVQVASRLKQNGFSGCSAGDTVPYIICSQQDSDNTHSGGIAQRARHPDELKRDPNKWMIDIEYYLSQQIHPVVSRLCASIEGTSPARLAECLGLDSSKFQSRSIGSSNEDTSTMLLSVIDDEDERYRGCEPLRLSCPSCTNTFECPPVSSLIAGLSDPNEGKDATVNFWRRMRCPRCPDDTAECRVSPAVLANQIKRQADNFINRYYKGLLMCDDEGCKYSTHIVNLRVMGDSERGTICPNYPQCNGRLVRQYTEADLYRQLSYFCYVLDATRCLDKLDQKMRLPFEKEFSVLNQTISSAFLEIQKIRDRCAFGWVQLTDLAVSI >SECCE7Rv1G0458570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22162451:22164749:1 gene:SECCE7Rv1G0458570 transcript:SECCE7Rv1G0458570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGEARGSATLKAITFFCVLAVLVADIQGRRHACPPFSCGHLQDVRYPFRRRGDPGECGVTLYELACTDSRATITINSWTYFVTEINYANSSFLVVDARLDLSSSCPIPRRNQQPYLLGRERLEGSEYMYELAPRSVMFATFVNCSQEVRNNSPYLPVACRSTSSSFVYVLIGDPTDIFALKPSCGYLAMIILEGERSPYYANYGDVVESMRNGFAVSFPQDLGCTTCGFGPFPLLSIGELISRLKSRSYTWEKILDLLLTRLFACILGKYGYATLAMWIAQWIAITAVLCRFMLGPLVVLTFLAYKYWRTRITVDAVEKFLRMQQMVGPIRYSYTDITAVTRPFRDKLGQGGYGSVYKGVLLPGDIHVAVKMLAGSSNCNGEDFISEVSTIGRIHHVNVVHLMGFCSEEMRRALIYEYMPNGSLDKYIFSSERSFSWDKLNEIALGIARGINYLHEGCDMQILHFDIKPHNILLDTNFVPKVADFGLAKLYPRDDSFVPSSAMRGTIGYIAPEMVSRSFGVVSSKSDVYSFGMLLLEMAGGRRNADPNASNSSQAYYPSWVYDRLTTSGQQEVGEISAADEMHELERKLCLVGLCCIQMKSQDRPTMSEAIEMLEGGADGLHVPSRPFFCDDEHAPVATDSHHVFSELTALSEEDEFDDASTQDNSAGLQNAE >SECCE6Rv1G0390480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:185312349:185313608:1 gene:SECCE6Rv1G0390480 transcript:SECCE6Rv1G0390480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPSSKESLNYDNNSQKVYPQPIDENMNQNMGSMIGRIFNNISSLKAAYIQLQEAHTPYDPDKIQTADKLVIDELTSLSELKHTYRERNPKPVAASPQDSRLLSEIQEQQNLLKTYEVMVKKFQSQIQNRDTEITFLQQQTDEAKHRKTKLEKKLKQRGLLNKESEESDEEESYFSVELTPSLFTSTADNAYQSIHEFSKPLINMMKAAGWDLDAAANAIEPDVVYTRRAHKKYAFESYICQRIFSGFHQENFSIDTANATVSNEAFFHQFLAVRAMDPLDVLSQNPDSVFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKVRVFQVKKGSEFSEIHMESIVKNIVLDENAERPRVDLMVMPGFLIGTSVIQSRVYLSGVKCAD >SECCE2Rv1G0121080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:804546314:804549033:-1 gene:SECCE2Rv1G0121080 transcript:SECCE2Rv1G0121080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLACATLVRRAAASSTGSGAATTARRHLAGAAEAAEAELKKTALYDFHVAHGGKMVPFAGWSMPIQYKDTIMDSTLNCRANGSLFDVSHMCGLSLQGSQAIPFLESLVVADVAALKDGTGSLTVFTNEKGGAIDDSVVTKVNDHHVYLVVNAGCRDKDLAHIGAHMEAFQKKGGDVKWHVHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGSACFLTRTGYTGEDGFEISVPSENAVDLAKALLEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGAEVILKQLKEGPKIRRVGIFSQGPPPRSHSEIVSGTGENIGEVTSGGFSPCLKKNIAMGYVKNGLHKAGTEFKVVVRGKQYDAVVTKMPFVPTKYYKAP >SECCE2Rv1G0079500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:120426095:120427684:1 gene:SECCE2Rv1G0079500 transcript:SECCE2Rv1G0079500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSRTTILHLVLTVCILSVQNTPSLASTAIDEFLGCLSADIPSRLIQTPATPSYSSLLLSTAWNLRYILPDTSKPIGIVAATEHAHVQTTVRCGRRHGVRVRVRSGGHDYEGLSYASVHLHNQPFAVLDLAALRAIHVDPARAEAWVESGATIGELYYAVGAASRSLGFPAGSCPTMGVGGHLSGGGFGSLARKYGLSADNVLDAIVVDADGRLMNRSTMGEDLFWALCGGGGESFGVVLSWKVRLVAVPETVTVFSIVRSRNQSAIELITKWQEIAPVLPQNLYLRVLVLNQRATFQALFLGRCGCLHGLMQDRFPELGMTKQHCQEVSWVQSTVFFGFSTTSIPTEQLLNRSSNPHYYLKAKSDHVQEPIPRDVWESIWTSWLEKPEAALLMLDPYGGLMSSISPSESPFPHRQGNLYQLQYYSFWYENGTAAAEKRMSWVRGLYKEMEPYVSKNPRAVYVNYRDLDLGTNELDGNVTSYEKARVWGEKYFKGNFKRLAAVKTMVDPDDFFKNEQSIPPLPAAKI >SECCE2Rv1G0085370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:190148012:190151629:-1 gene:SECCE2Rv1G0085370 transcript:SECCE2Rv1G0085370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRREPPLMRTGLGSGQPPSRGSRIAAAVAVGVTLGCVCAFLYPDGLISRSTDSALHWRRRADSVTCETSEEVTNLQSQLASLERKNADFRKQINELSMKLQLAGQGKNQALYSAGPFGTVKALRTNPTVMPDESTNPRLAKILQQVAVKKEIIVAVANSNVKETLEMWFTNIKRVGITNYLVVALDDSIENFCKSKDVPVYRRDPDEGIDSIGKTGGNHAVSALKFRILREFLQLGYSVLLSDIDIMFFQNPFDHLYRDSDIESMSDGHDNMTAYGFNDVFDEPSMGWARYAHTMRIWVFNSGFFFIRPTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSHPGYEGLHASKRTMDIYLFMNSKVLFKVVRKSAQLRKLKPVIVHLNYHPDKEARMKAVIEFYVHGKQNALDHFPDGSE >SECCE5Rv1G0371160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845714609:845717425:-1 gene:SECCE5Rv1G0371160 transcript:SECCE5Rv1G0371160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGNGEEQQGRRLFGVSLTDRPVWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYLGLIRLQGFTTKQMVNPWRTYVRLSAVLMGSNGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYVSAVMLVIGLILFTLADAQTSPNFSMIGVAMVSSALIMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLAVPMVLTGELTTAWSACSQHLYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPMTEQHVTGLLLITMGIVLRLLPEDKEKKGTAERLQAKAQRGEEKRRGDGVEEETSPLV >SECCE6Rv1G0416760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:650626520:650629137:1 gene:SECCE6Rv1G0416760 transcript:SECCE6Rv1G0416760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWQAFGVALLMSYLVLAIRGEDVRTTKNRENIDQIRQVDKTMVTDGGDVYDCTDVNLQPALNHPLLKDHKIQMEPSSLPNGLNIKSPFLNVESEAHPSVIECPIGTIPILRNNRREDVAGHNIDAVFTKFQQQGVAGIKYYDDVYGARAILNVYEPKVKKDSKDLSATGVQIDNGPNGIDGIIVGYSVAPNLVGDSFARFHIAWDAGPSNKSCYDHTCPGFVQVNRNFGLGGRLQHVSVYNGKQYVLKILIFKDTKTKNWWVAYGEANIPIGYWPSSLFTYLRDKGNYTLWGGHVSGPTASSDSPQMGSGHFASEGRGKAAFIKSVQIIDENNKLVTPNENRVVTGTSDITKYTVDGYGIDKEGMHIYYGGPGNFV >SECCE4Rv1G0247080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:552782993:552784736:1 gene:SECCE4Rv1G0247080 transcript:SECCE4Rv1G0247080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVPCLLLCLLVLAPHLGSSYRTGSINGGKHVITRSSHESKKPSTCSSDPSGDGNGLAVTHRLSPCSSSAAGLGQSMPSVGDAFSRDALRLRGLLDESARKPGLTIPSTGTPLVDLPDAPEYHVVVGLGTPAQNITVGFDTATVGATLLQCKPCAAGDPCDKVFNPSHSLSSTLYDIPCGRTWEGCPITGCTTPGCTFRLTHNGSLVFNATMEKDTLTVAPSVRVRGFRFLCMEMMSDVPTDGTSGVLDLSRDRYSLASRVVLSPDTVAFSYCLPRGAESQGFLSFGTTRPELAGRRVSYATLHSRAPRRNLYFLRLVGVSIGGLDLPIPTGPHPSDALIEVQTTFTYLQPRVYEDLREVFRLSMSSYKVAPSSGELDTCYDLTGLNAVEMPVIALSFEGGASLELGIEQMMYFSDPHNIFSVACLAFAPAPAYGSGVSVIGSLAQANTEVVYDLRGGKVGFVDNRC >SECCE1Rv1G0035090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:495393184:495398298:-1 gene:SECCE1Rv1G0035090 transcript:SECCE1Rv1G0035090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRDGSSLPAFLELQRTRVLCKADAPTNTEGFQYSGAFAAMGVDTSVSVEKFCKNFKIEINRLTEEDMEFDMIGIDASIANAFRRILIAEVPTMAIEKVFMVDNTSVIADEVLSHRLGLIPLNADPRIFDYISDDVPNERNTIVYKLHVSCEKGSQRLTVKSSQLEWLPEGSQLTMASPAQSGDNQRTYTSFGQSQQNTSERPLGVKYNDITIARLGPGQAIELEAHAIKGVGKVHAKWSPVATAWYRMLPEVVLLKEIKNGDAEALVKKCPVNVFDIEDLGNGEKRAVVAKPRSCTLCRECVRLADDQVELRRVRDHFIFTIESTGALPPEVLFTEAVKILEEKCERVISELS >SECCE3Rv1G0149080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:22286202:22289147:1 gene:SECCE3Rv1G0149080 transcript:SECCE3Rv1G0149080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLWRWKWCGGCFEPLQYFATGVRCAFEPFRRDLDGRPSRLYIRPAAVIPPQPHRTTAAPATDDKHTPPLPPTASAMACSCAPDVVFDANVIRNSALEDGLAGWAPLGSCTELSVRHEEPARVPTETINDVEDGYRPSGRYILAAGRGDVEDGLCQAIPAGTLKPRVTYRVAGWIGLGDGAAGEHAVRVNIRIDGGEGEECALVVEGGAVCAEAGKWTEIKGVFRLKASPASGAAVHVQGAPAGVDVKVMDLQVFATDRKARFKKLRKKTDKVRRRDVVLKFAGAGASSAVSGASIRVMQMDTSFAFGACINPAVIQEPAFVDYFTKHFDWAVFENELKWYHTEAVQGQLNYADPDALLDFCDSHGKPVRGHCIFWAVDRMVQKWVKDLPNDQLTAAVQGRLTSLLTRYAGRFPHYDVNNEMLHGSFFQDRLGDDINAFMFKETARMDPGAALFVNDYNVEGGGDPNATPEKYIAQVNALMEKGAPVGGIGLQGHVTNPAGEIICDALDKLATTDLPVWLTELDVCESDVCLRAEDLEVVLREAYAHPAVEGVVLWGFMQGHMWRQDACLVNSDGTINDAGQRFINLRQEWTSHARGKIDSDGNFKFRGYHGSYVVQLATATGKMHKAFSVEKGDTPLVLDMDV >SECCE4Rv1G0216690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12178594:12178920:-1 gene:SECCE4Rv1G0216690 transcript:SECCE4Rv1G0216690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGEGRHEAALRAVQRAPAKPWRGAPAPPRVYRVEPRDFRELVQRLTGAGTAATATATQAPAHAAAAHGRREAAPAEQFDYSSWFSAPLLSPASMPAGMDAHHGALM >SECCE5Rv1G0302640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:40237478:40237789:1 gene:SECCE5Rv1G0302640 transcript:SECCE5Rv1G0302640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVTKLAGQRAVVIFGMSSCCMCHTVTSLLRDLGANPTVVELDEDPKGKEMEKALARLVGRNPAVPAVFIGGRLVGCTDKLMSLHLSGKLVPLLRNAGAVWV >SECCE4Rv1G0226030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:103386039:103386737:1 gene:SECCE4Rv1G0226030 transcript:SECCE4Rv1G0226030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDKVHQHNERDLEAGHATPPSSLVTAKAPRRERDRRRSSCPRFACVALLVVAAVGIALAAFLGALYVALDPKMPLYTVHALNVTAFGMDDDLTARARFDAAVRFENPNRAIGISYEEGSSLAVWYGEYRLSEGALPAFYQGHRDAAVVHVAMSEARLRGTGVVEAMRHVNAVGGELPLVFRGEVPVRVKVGPVTTGKVTPRVRCDLVLDRLSTEGGIGVKKMSCKLKLW >SECCE2Rv1G0089950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:264672476:264673987:-1 gene:SECCE2Rv1G0089950 transcript:SECCE2Rv1G0089950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSAASSPSTYKLVDTYKKAAATAASVTAYAVLARGMARELLPPDLCAVVTWGASLLRGHLETPSAQRRTVVVKRLDGCAINCARFNSFYDDVNEYLATRIDPQSMRRLCLTGSGARKVMSMDSGDSMTDVFEGVQFKWTSVSGEGRKGPESLELTFDAEHTDMALGRYVPTITAAVEQARRRDRALKIFMNEGSSWHGISHNHPATFDTLAMDPPTKQAVIADLDRFLKRKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAVANYLRFNLYDLDLSEVRYNAALQKLLIGMPNRSILVIEDIDCCFSATSREDGKGRKKLARADYGQGDDDSDDCSTKSITLSGLLNFIDGLWSTSGKERVIIFTTNYKDRLDPALLRPGRMDMHVYMGYCGWEAFRTLARNYFVVDDHPLFPEIQELLAEVEVTPAEVSEMLLRNEDADIALLGLVEFLTAKKQGEKDSVKA >SECCE4Rv1G0274480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:784830713:784832550:1 gene:SECCE4Rv1G0274480 transcript:SECCE4Rv1G0274480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQALLTDPSRRPRSSHKVVVATPAMEHQEFLLRKHAVLLTAASPRHAANPMSVGRAIEEQLHTPPHLLRITSHDPEDFLVHFELPAHKESAVCRGTLKVDGIEFAIKGWHEDDHELLEDCNLHVRVVIEHMPMQLWSLEGAAEALGDLCIVDRLDSRTHERGHTKSFACWVWTTDTALIPTKRALWHAARGAGRVEAMLGFSPPSRQVAPPPGIHRRELLVHVDRIEDWTPRTPPSSCSRQSGLPSSESGDDAPFPAVYPATWTWHVEDGQGRRHPPAVASSGCHGLQRGAGRRDHDDHDKDRAGRRSWADSLLGRGHSGRAPAPEKERSKQPRQRSRTPTSRHRGMSCMGREVAIRATPPLPLRPSTTPPPPPPPPPPPAREGLDDDPELEEAVADVLATPLEFGIGQPSAANDRAPDGDGPAAQLRYDAVLACKTTSPLPETNTELGAVTHKVQQLQIEHDGAAPRLLFRDIPEPIIPVAPQARRPTAPPKTRTPSAPVKHSARQAARHSSTPVAQRATLRLVKELGVLGPKARMTVKAAEALLRRFDEPLSEDDINCIAKLTRLDVQALRTMASLAGPDGVDEE >SECCE3Rv1G0202580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:875237504:875239265:1 gene:SECCE3Rv1G0202580 transcript:SECCE3Rv1G0202580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGGGGGDGAAASSSPPPDGAAAPGFSYLAVFHNFPLVAALLGFAIAQSIKFFLTRYKEKRWDPKRLIGSGGMPSSHSATVTALSVAIGFQEGFGSALFATSTIFASVVMYDASGVRLHAGKQAAVLNQIVCELPAEHPLAETRPLRELLGHTPTQVIAGALLGCMIGIAGQIIIAVTSVV >SECCE5Rv1G0311950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:212733009:212733383:-1 gene:SECCE5Rv1G0311950 transcript:SECCE5Rv1G0311950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDMPVPEGEEPKSVAEIVDEVLKTKVKQSTFLMNVGLQSSRNNSRKVTAAMAALVHDLEQKLERSELQAEVMQEELASIKMKAEEAEAAREKEFELLRKKYQEQDEKLAHLMALFGAKAV >SECCE7Rv1G0501200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:680585813:680587375:1 gene:SECCE7Rv1G0501200 transcript:SECCE7Rv1G0501200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLKGLALALFVSFFSCYLTSVPSLASPDEFLQCLREKIPSELVYTQSSSSFAGVLVSSIRSPRFFTNTTVRPLCVVTPTDASHVQAAVLCGRTQGVRLRVRSGGHDYEGLSYRSARPEVFGVVDLTNLRAVSVNHLESTAWVDSGATIGELYYTIAKDNSQLAFPAGLCPTIGVGGHFSGGAIGMMMRKYGLAVDNVLDAKFVTANGDLLDRAGMGEDLFWAIRGGGGGSFGIVLSWKVQLVQVPPMVTMFNIAKTLGQGAVDIVTRWQDVGPSLPNDLTIRVIVQGQQALFQALYLGTCSSLVATMGDQFPELATTSADCQSMTWLQSIAFISFWNRDTPVEALLSRTTSLSTFTKNKSDYVQSAISKGVWKDIFSWFTMNGAGLVILEPHGGFMGSVPSDATPYPHRSGVLYNVQYMVFWQGDGGTAANTWLGNFYDFMGQYVSQNPRQAYVNYRDLDIGQNVVVDDVTTFDGGKVWGERYFGSNFQRLSSVKAAVDPTDYFRNEQSIPPLVQGRK >SECCE4Rv1G0267660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736755022:736756383:-1 gene:SECCE4Rv1G0267660 transcript:SECCE4Rv1G0267660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKAKVLAVAVASAVLVAAEVALYLCLRLSRPFYLSTAAVLAATVLTLLLLLHCTAGRAERMAARRALDDGEELRVEYSYFRKVAGLPRKFSLHALAAATDDFRCVAGRGASGTVFRGVLDDGTPVAVKRIGDDGPGAGRADKEFRAEVAAIAGAQHVNLARLLGFCLGSPRFLVYEYMDHGSLDRWIFPGDAAGDEARPRGCLPWPRRYQVAVDVAKALAYLHHDCRSKVLHLDVKPENILLDDGFRGVLSDFGLSKLAGKDQSRVVTAVRGTAGYLAPEWLLGTGVTEKSDVYSYGMVLLELVAGRRCVRPEEDGGWSYLPKIAAEMTRAGRVMEVVDRRLVGAGFGEAEQAAVRRAVHVALWCAQEKAGARPSMARVLEMLEGRVAGEVEAPPPSDTIMEDLLALGHARTRGGGPFRLPSAGPAGRAASSASVLSKYDSFAMSYLSGR >SECCE3Rv1G0193160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:766465952:766470261:1 gene:SECCE3Rv1G0193160 transcript:SECCE3Rv1G0193160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNRGGRRRKAPQQGHRARDNEDISQGNFDGQDGAEGSQPKRRLQRLTPQQTQVLEGFFSICAHPDENQRMGMSESTGLTMQQVKFWFQNKRTHVKHLTGKEETYRMKAQNEMLREENKRLASAAKTAFCPSCVALPGLSPSAEVLRLKQENESLKQQLSQLRAEVHAHPSSSRPFQLEPPTENVNGREIDMDAIAELAQNAVHEFVVLSESGGPLWMPVPGASLELLNKMAYTQTFGARSNANVIGFITEATRADAMVMMEAKQLVGYIMDSECYTSLCHGFLTSANTTKVYKWPTGAGYNGAMHLMTTETVFPSPLVPSRKCTFVRCCRDMQNGTVMIVDVSLDNGDGTSFKCRKMPSGILIRSLNADASQVTVIEHVQVNDAGVHELYRPTLSGLIFGARRWVSSIARQCARMRDLFIVGKSTSNGKTDGRKTLMKIADDLLAGYASGIAAAPGSGWTILRGAGTEDDIRIAYRKNHENSDTAIVSVCASFHMPMPLRVTFDLLRNHLLRPKWDVLMNGNSVREEVAVCKGAGLGIDDVVSIVHIKDPPPTAEHKEPTMILQNSCYDVSGSFMVYCPVSIQLMNEIMSPSDPAESNKLYPTGFYLLPVEDTALGLGEGGATLVTVGFQIMLKLAHGTGLYPRSVSTAIGIMTENIATIKRTLTNSHPIFYRKQHPNNHS >SECCE5Rv1G0318980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:369591785:369592729:1 gene:SECCE5Rv1G0318980 transcript:SECCE5Rv1G0318980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLCVCLLQLMFLRSSPVAAQQATPSARALDARLQEYAYRALVRPHTGIVYNATIPGNLAGVSVSALRLRSGSLRRKGLSHYFQFGLPTGVIAQPRVERMVLVYHNLGNWSQHYYPPPPGYTYLSPVLGLLAYDAVNLSAVGLPELNIVASGSPILVHFSNVRAAPAGGPAPRCVWFDLDGVPQLRDPVASNVCATYRQGHFSIVVNSSEVASAPLPPGAIAPPIPAAGGPTKGVSQAWKVAVGVVGGAIALGLLASVLLCLVRHKRAKKLEAMERNSEVGETLRMAQVGRAQAPVALWTRTKPVIESEYAA >SECCE4Rv1G0293830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887184723:887185880:1 gene:SECCE4Rv1G0293830 transcript:SECCE4Rv1G0293830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCLVAHLLSSPTPSPLPSLHRLLSAAAAPAVSPSSGFQVEEYLVSTCGLTRAQALKAAPKLSHLKSPANPDAVRSFLAGLGLSGADVAALVARDPLFLCAGVEGNLGPAVAGLTDLGLSRAEVARLVSLSPDRFRRKSVVPKVRYYLHLFGSPADLLSGVKTGLFLLSVDLDRVVKPNVAVLRKCGLDVCDIAKLLIQMPRIVTASPERTLAMVACAERLGVPRGSGMFRQALQAVACLSEDKIAAKVEQLKKTLRWSDADVGIAVRKWPTVLRWSRDMLQRKSEFLFSEVGLEPAYIAHRPAMLGLSLERRLKPRYYVMRFLKENGLLSHARDYYCMVLVSEKVFVERFIRPHKQAAPHIAEDYAAACIGEVPARFRFT >SECCE1Rv1G0016340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:137458434:137461404:1 gene:SECCE1Rv1G0016340 transcript:SECCE1Rv1G0016340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRSPATTHHRLLLLLLPLLLISSFLLPLSSAYRPGDIIPMLRSGQYHGSRSVWFDVIGRHCPVFAVNREVLMPIPKPTGFTGADPYKITFQIGHEKFHVPWLYVINRKSSEVPLIDFHLKYTGNDLLGVTAKVVDMPHHFVELHPDIKKHFWDPQNWPKHVLVSYTWEEQSEIDVTAGFYVLFGSGLVLSFILAIYVLQSSQEKLTRFVREAVSDSSLPEGGVAKVE >SECCE5Rv1G0306440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:93643310:93645891:1 gene:SECCE5Rv1G0306440 transcript:SECCE5Rv1G0306440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDSRMVMEVSFSHALLLASLLLLLYLLSSRGKNSSNGSIPSPPALPVIGHLHLLKKPLHSSLAALAVRYGGGRDGAGLLLLRFGARPVLLVSSPAIADECFTVHDVALADRPGLASRRLLTGDACPSIASASYGPVWRHLRRIATVHALSAHRLSLTTAARDAEARAMARKLWQATRLGGTAVSVKFTAYEFVVNVIMAMVAGWRMPEDEVLRFKAMTEAGFAAAGAANRHDFLPLLRLLDLGRTGRKLAGLAKERHEFGQGLVDEYRRLHHRHGVVGAVTEDTTSTPAQRTVIGDLLRQQEGSPESYSDVVIRTICLSLLQAGTDTSSSTIEWAMALLLNNPLVLAKAKQEIDVVVGTSRLLEERDLTCLPYLRCVITETLRMYPIAPHLAPHQASSDCIVAGGQYMIACGTMVLVDVYSMQRDPTMWDDPKKFIPERFEVGNGEDGDKQVVRMMPFGMGRRKCPGEGLAWRTVGVALGVMVQCFRWERMGKEEVDMSEGSGFTMPMVVPLVAMCQPREEMDEILKRI >SECCE4Rv1G0264590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:721328849:721330519:1 gene:SECCE4Rv1G0264590 transcript:SECCE4Rv1G0264590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADRLSALSDELLQHVLSFAPAKEAAASAALSRRWRPLWRRASALNLDSRPYSRKRSLADRFDDFFRHAKAALAGRLVLGTPPKRLTLILVEGAYLVGGRWHYYMNEDAELEYDARVAALLADPAAAALEELRIASDPYTIMYVPPLASLPCAAITLRVLELHRCDLQPSARLAFPCLADLSLCSCTYLQGYLQDMVDAAPALTRLALVDVTNKPPKQAGSIKKDRYIKSKSFNLPLRLRSPTITTLVLETNDSRGDELDESRNIGIQLDMPSLRSFHYKGFPVKLSLTSPAPGLARVDLDTNRRERGYYKREPAALMLASFSTTRALKLHLSAIEEIICDPNDESGYQNNPGEATNLPIFPNLKLLEIHGLFKYRNNNTALALTTLLRACPALSELRLWLNMASDYHYDRKTKEREVGGPFAQSLERFKMLASMCPEHRDDMELGTVSDLPDPFTNSSAFGCLRTSLRKVTLQFRSKELNCFQVQLAKFLVENAMVLEEMHVEDGDQFWPDHLWDKLTRWRADALQRKNLPDTAAGFRVYQLANPVEDPRIHY >SECCE6Rv1G0381590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:33942894:33944808:1 gene:SECCE6Rv1G0381590 transcript:SECCE6Rv1G0381590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLDSEDSKRLFFGRVFRSEDDCAPQYEEVSTQILKKCGGLPLAIITIASLLASRQAKSRSDWESIVNSLGTNFATDPTLEGMKNILNLSYMHLPPRLRACFLYLGLYPEDREIERDDLARQWVAEGFVSNVPGLDLEDVAKSYFNELINRSMIQPAETIYGEVSSCRVHDMILDLILSKCAEENFIHTAHSHGEMEKMHSCKYKVRRLCLNLSAGGATPWSTLATGLSQVRSFAQFGESIHAPALESFKHVRVLVFEVSGKWRSKLGAICHLFQLRYLKVSAQRVELPAEIRGLVHLKTLEMNCWSGSEISSDIVHLPNLFHLLLPHDTGLPEGIRNMTSIRTLRCSSMEKSSVEDITGLGELTNLRDLSLSVCYGESLTVEGVDALVSSIGKLRDLRELYLDCHLKKYKDQLDSLPDPPSRLEVLNLKYWSFYVVPKWICGLRYLRSLSLTVTDLSTDELRVLGELPSLVHANFTVLRIPEDKIVVGSGSFPVLEEISLISNEDVAAYMRFEAGAMPSVRALGFKFAVQEWSGCTPDGMEHLLGLEQVKLHLKITAGTKHGQAEQVIPDVSSAYSKAMEVHPRRPTFALKRI >SECCE2Rv1G0078680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:110698436:110699230:-1 gene:SECCE2Rv1G0078680 transcript:SECCE2Rv1G0078680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRVNSSNWRTPISLCVTTGNPNAEAQDAGRSDVLYGINMFNSSDFEALVHCKPSDSHFGRIHGAKAWHWVKLPPPPYLDDPVPGQDHTIQSYTLLEDGKTICFSSLRDNGFGTYCFDTSGYEWTKAGSWALPFIGRAWHVPELHNLWFGFSGNNPNTICAIHLSSLDGPPKVLHEWRGFNTPRNWMLVNSTMVYLGGNRFCVVRFFGVYNGPPDRSDEPTDTVSIITGLEIVKGQTSETVLRMVRHKSNTYVFERCGIESVF >SECCE1Rv1G0059360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:705487674:705490467:-1 gene:SECCE1Rv1G0059360 transcript:SECCE1Rv1G0059360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAPVGDGDGAAMRRSVRRLSLGAASEEERAEAAGEVGRLARSDERTKRALPELGVVPPLVSMLADAGAGAGARLAAARALLELARGTHRNKVHIVKAGLLKKLPKLMDLSTSHDLALLLLSVSSLANTDFPLSTDDLLPFLVATLTATDVPADTRLACLATLRNLSAKLEHVRAVVTSGAVRALLAPSLLERTEAIAEAALGVLADVAAASAAGRREMAEDEEAPRALVEAMARHESAGCQEHATYLVMALAHGGGGGGRALLRRMRHLGAVQALLEVSLLGSPLARSRAAKILQWFKDDGQDRIRAHSGPRMEACHGNDGGADGTTKACRSAVDRIVKQSLDMNMRSIMRRATASVDMTNAKQLVASSSSKSLPC >SECCE5Rv1G0355720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:733634067:733634612:-1 gene:SECCE5Rv1G0355720 transcript:SECCE5Rv1G0355720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNASATTAQKRKYAEEEETAGLCANGCGFFGAAATGNMCSKCYRDHVHAAAATATADAAAAGSVCFIDPAGSTAPPPAKKARTIVAVPSADGAAASSAPAAVDPAVAPVKQPAVAANRCAACRKKVGLLGFRCRCEGTFCSVHRYSEKHDCGFDYKAAGQEQIAKHNPLVVADKMSGRI >SECCE1Rv1G0035550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:499444673:499445413:-1 gene:SECCE1Rv1G0035550 transcript:SECCE1Rv1G0035550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQDKASYRAGEAKAHTEEKAGQVTGAAKDKAYEAKDRASGAAGHATGKGQGAAEATKQKAGEAGQKTSETAQTAKDRAAEGKDQTGSYLGHATEATKQKASEATGYTQGRASDAAQYTKDSAVAGKDKTGSVLGQAGEQVKNAVVGAKDAVASTLGMGGDNTSSATKDTSTTETITKSRH >SECCE3Rv1G0152430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:47435514:47438963:-1 gene:SECCE3Rv1G0152430 transcript:SECCE3Rv1G0152430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGTGAGAPVVKVYHEKSMILPDVSRVLACLYEKNIEFETVKDSYKDILRLQSTRSVPVPFYDGPTFRQDSRAICRYIAETYEQRGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCYLAFPLHDEDQYEDMSDINREKRKLEDVLDVYEQRLGESEFLAGNKFTLADLVHLPNTHHIVTSDEFAYLYDSRKNVQRWWNTISARDSWQQVVRDMQDVEEQYQMEELEKQQQQQQLEQQWQWQPEGPEPPATYSGRTIRIDPRKQAGTESRTILVPPPSGGTISSSSFTVQPLQAETTSHGKNSPSQTKESTFFTTTEKTPAPSKQRSPTTQKPPSSVQSTTSNFFTPAAPPSTTKMSQRTYTEISTSKDASSPTRPGQESSKKAPDKLHLSDDGAATLSKPRLSQEAPKPTDKTPYAQQPSEQAKKAAADQKDAAHLPKHVAFRDIQNETAPQARSRGAKDSTKEGREADQKRAASAPTRELPPGSQNTPQAPPADLKVSNSSPMKEGAISEDGQFSTKRLRKMLEQSDPAVLKPQSTDMQPPPVQADPRTPITADERRATSPPKGGMAAGESAAPAPLQAPPSDGNKPVSSKDSSKQTNETLYYHSSETSREMPSSAPEKSMRQEQLQSDKSIRSSQDMGKQGSEAAPPVSGTEKAGRTPGQDLLESQKQASPDTQQVKNNRNNNKSDGSSKPTQFDGNKGDVPESKRDGSSANL >SECCE7Rv1G0478170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:234307385:234308020:-1 gene:SECCE7Rv1G0478170 transcript:SECCE7Rv1G0478170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMMAAATTSCSPRRALLKPVASSSSPPPPRPQPRSFLKQLPGLAATAAAAAVASVPLPALAVEMEKAALFDFNLTLPAITIEFLLLMVALDKLYFSPLGKFMDERDAKIRAELGGVKDASEEVRQLEEQAQAILKAARAEIAAALNKMKKETTKELEAKLDEGRRRVEAELVEALASLEGQKEEAIKALDAQIVSLSDEIVKKVLPSA >SECCE6Rv1G0427950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:722291830:722293248:-1 gene:SECCE6Rv1G0427950 transcript:SECCE6Rv1G0427950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G17050) UniProtKB/TrEMBL;Acc:W8PUE7] MEASPHVVVVAFPFASHAVKLLRIARALAAAAPAATFSFLCTAAQLQEQQKHNTLLGNLRFVEVPDGLSPSSQSDGAAAVPPPHPKMRLKLFMAAAEAGGLREALETTRASAGGARVTCVVGDSFMWMAAKAAAEVEAPWVAVWTGGPSALLAHLRADALRDDIGDKAASRADELLTSHPGLGSYRVRDLPNGIVSGDMNSPIVSLFRRIAEHLPRAATAVAFNTFPGLLTLLPDDLTAALAAELPECLPVGPFHLLPFPGNMDDTVETNTDPHGCLDWLDRHPARAVAYASFGTVVTAVAGNPEELRELRELAAGLEASGAPFLWSLPKESWPQLPAGFLDLERGKVVPWAPQAAVLRHASVGAFVTHAGWASVLEGVSAGVPMACRPFFTDQKMNAQLVAHVWGFGVVLEEPMTREAVAAAVPSLLAGDQGIQMWERMQEMRDMAANAFAPDGCSRKNLDKLVKIVCREL >SECCE2Rv1G0095760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:424064799:424095056:1 gene:SECCE2Rv1G0095760 transcript:SECCE2Rv1G0095760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSSPWIEWAGEYTKAAQAEALPPNEWAARVATAGAVAGERGDVQFSAGLAEMLARVLLSGESSGAAPAAVWKYAEAALAARLASPALLLALLSSRVIPHRVARPTAYRLYLELLRRHGFKLCFQMKAENSNKIRQLIDDNLNLSKIFGFSACEPGVFIVEFVLCILWQLVDTALDDESLLELTPEKKAQWPTRPQDISAFEASFSEQKPEKIEKLQRMNIVITIELIGHLLHDKVITRILSLARENMQTQWGLFTYRLQLLVANSSTLQASTMSLEAFQQLILDDHNVHGENKHSLHKKFHPMVASNPLSSPNGHCLGGSYSALWTPIDMYLEDCLDGSIAATNSIEILSGLVKALQAVNRSSWHDAFMALWIASVRLVQREREPIEGPVPHLETRLCMLLSIATLAVADIIGEADSRGGETDLTSLWKQKTSSDDLRKELMLSLQALGNYESLLVPPPCIISAANQAASKAAMLVSGINSSSGYMESINETGNMRHLIVESCISRNLLDTSAYYWPGYINGHVKSMSHAIPSQLAGWAAFMKGTPLTQSLVSVLVSSPASSLAELEKLFEVAVNGSDDDKVSAATVLCGATLLRGWNFQEHTVRLVVKLLSHSDPADYSGRESQLIKHGPMLNVILTGISPVDYAPIFSFHGLVPELATVLMAICEVFGCLSPSVSWTLGAGEEISAHTVFSNAFILLLRLWKFNHPPLEYCIMGDGAPVGSQLTPEYLLLLRNSQVLSASSSSKSRSSQKQLPVTSSRSSQNPIFMDSFPKLKLWYRQHQACLASTLSGLAHGTPVHNIVDSLLNLMFRKANKGSTSIGSVSGSSTISNSSGPGGEDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLADFLPASTATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLATGGTTLGRLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMAIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVVQLLRSCFTSILGMSSTSLCCCGGVASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILSLLMLSVKDIAETTVSRHGSDKLKRTKYAMGHGQISLAAAMTQVKVAASLGATLVWLSGGTTLVQSLFQEMLPSWFLSVQDLDRGGASGGTVYKLGGHALAYFAVYSGMFAWGIDPTPVPRRRERVMRSHLEFLASALDGKISLGCNMSLWRAYVSGFLELVVDRAPCLLHEVDLKVLKKLSIGLRQWKEKELAVAILCRGGPKAMGVAAELILDSEW >SECCE6Rv1G0405990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:538990643:538992564:-1 gene:SECCE6Rv1G0405990 transcript:SECCE6Rv1G0405990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSPQATTSLRSPCTTIPSSGLRQNQVILFTTRSSRRSNTRHGARTFQVSCAVEKPIVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPKANDFDLMYEQVKAIKEGKPIEKPIYNHVTGLLDPAELIQPPKIFVIEGLHPMYDERVRELLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGIKFFNPVYLFDEGSTINWIPCGRKLTCSYPGIKFSYGPDTYFGQEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQIIAERAGVPAEAAKV >SECCE2Rv1G0106160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:631044980:631047810:-1 gene:SECCE2Rv1G0106160 transcript:SECCE2Rv1G0106160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHLAAASSPAATATALRARATSPAAGFARLPATLHPENGGLALRGRRPVTPVVVAAGAAAAAPVADRDGTPAAVKQPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEEVKRMKPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRVPSGVMHGKSSPVYYDEVLGKSIFEGLSNPFTAARYHSLVIEEESFPHDELEVTAWTEDGLVMAARHKKYTHIQGVQFHPESIITPEGKRIILNFARYVEEFEKQTSEGK >SECCE5Rv1G0336150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:582672178:582672916:-1 gene:SECCE5Rv1G0336150 transcript:SECCE5Rv1G0336150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPMLSSKFLHLKYLHISLIGNKAISPAYDYLSLVSFIEASPCLETFIFEVQQPDMKHDSIIGDSSHLRRLPQHSHNNLKSVTIIGFCSAKSLVELACHIIENATSLERLTLDTSHGCRSPGGHSVDKPERWYYTVSGSLMAAPPDRCLPMWGRGIEESLRARFAIRRHIEWKVPFGVVFKIVVPCSRCLMLNF >SECCE6Rv1G0439400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:796057520:796059045:1 gene:SECCE6Rv1G0439400 transcript:SECCE6Rv1G0439400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIAYEEQRRRQIEENNRKLEELHLHQLSASVREAAGPKPSPVRSEAKSVKRKRVPPRDAPVRQSGRVASLAKQPKYRYDEDDYPTLVEKKNRRASSTRSDLINRVYATDEARRHAISMAKELLGKLERGGNPSFVKPMKQSHVTGGFWLGLPAHFCQMYLPGKDDTMLLEDEEGVEYKTKYLALKTGLSAGWRGFALDHNLVDGDCLVFEWIEWNKFYVIF >SECCE7Rv1G0455860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8307074:8317232:-1 gene:SECCE7Rv1G0455860 transcript:SECCE7Rv1G0455860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTGIGGLAQAILGALSAAQLRAWIRRAGLGRDMEMLESEVEMAHIAYAAVGERAAGNNRPLLRPLARLKDLLYDADDLVDDLDYCRLLDGPAAAAASSVRCEDTPIQSTYADLFIDSPTTDSSDNALGEQEDIIGAVQVHEKSTGHMDIVSSQVGKRRLSAISEHYELVDQDGNDCRVKCIHCGSEPMVGYGLSQSHLRRHIKTVHCENKKKAAEFLNDTHNARREQEDIFGAVLVDKKSRRDVDIQSRQGGKTRSPVWKHFVISETDENNRPVKAKCVHCDSELNCGPKHGTSGLKRHIRSAGCTKKKEAADQPLIPSSSADGIENVETVAIGDSHSRKRIRMDDESTCGTKPKTHLWNKADFLQRIKEITCLLQDISGFLSKVLKLHGSDFVASSNHYRSITSDQHLRTSSVVPGKVYGRVAEKNYIIKFMTEDKPDGSYVLPIVGSAGVGKTALAQLVYNDSTVAEHFDQRIWVSVSNNFDELRLSREILDCVSQQTHAGLCSFAKLQEVLKMHITSKRVLLILDDVWDDCNFRRWSQLLAPLQCNTKGTVILLTTQKLSVAQTVGTVEPIKLRSLACDDFWLLFKSCAFGDENYERNQNLSIIGLQIVKKLKGNPLAAATVGKLLRRSLTIDHWYNILKNEDWKSVQLSGGIMSALKLSYDQLPYNLQQCFSFCSLFPEKYQFLDEELVQIWIAQGYLKCDNSSKTLEEKGFDYLADLVNLGFFQQVEREETDPNSQTCYIMCDLMHDLAREVSRTEFATIDGLQYCAMLPTIRHLSIVSDSGYNTDQHEDIPRSKKLEKKMLAGCTSLRKLRTLVFIAQYDMFFYKLFQDILQQAHNLRLLQISAASAVLNPLLCSSVNFTHLRYLKVEAADGLGALPQILSKFCHLQVLHVGSFTKPTIPVRIDHIVGLRHLVTEEGAYSSIANIGYLTSLQELPNFVVQNSSGFEITQLQSMKELVRLRVSHLENVKTREEAYGAGLREKQHLKELHLSWEVASSDDEYCRDMSSCTDWLSVDVIEGLEPHNNLKHLWISGYNGNLSPSWLANSISLQTLHIEGCAKLEILPSFEQLPFLRKLKLTEMPSMTEVSIPSLEELVLIQMPKLERFSCISTKDLNSNLRVLKIQRCPAMKTFPLFESSQKFKIEQKSWLPSLRELIIHGCPNLLVPHPLPPSPTISKLSIANVPTLPRIGGSSGDTLTIGSRSEGYDNFDPSSDVMTILDCKILAFHNLRGLRYLRIDGCQNLVSISFTGLGELISLRSLEICSCRRLFSSNVIPEHTREDVTTAKCNSLPSLVTLRIKCCGIAGKWLSLMLGYMQALDELFLEDCPGITQLAEEEENIQSYGFSSPGGPDDTLLASSAQDGIFCLSLNLMSSLKKISIREFPHQVFRSKNEDLSTFTCLEKLTIWGCPRLLSSLVDKYGRWLLPKSLEELEIRGDSPGMLQPCFPGNLTRLKKLQVWFSPSLRSLQLHNCTTLEELVIGNCGSLAAVEGLQLLGSLKYFKVFRSPGVIPCLENLSRQGYALFPGLERLMIDGPSVFTMSVCKQLTSLQHLELENWEFVTRLTAEQEGGLQLLKSLRELEFRDCSYDLRYLPAALHSLPSLKRLKISGCLGISRLPEQGLPLSLQQLDISNCSKVFNDHCKSLPTGKLKVNIVGNSQSA >SECCE1Rv1G0059670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707370675:707371262:1 gene:SECCE1Rv1G0059670 transcript:SECCE1Rv1G0059670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVVAGKTTAAQPKSVGRRLWRLARTVVYLLRRGALSSGRKLAMDLHRSRDASKTLGGFVNFHRRAAARSRPSSVAAKHRGDETAGCYNSYDAADIARVFEMLSDSGHLFDDEDRLAAAAPSPAAWASPAFRRSAATGQPRITDSPFTAGEHQQVDRKADEFIRRFYQQLRAQKSVSATPENYGHVVPRPVAA >SECCE6Rv1G0408010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:567288515:567292229:-1 gene:SECCE6Rv1G0408010 transcript:SECCE6Rv1G0408010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPLPLLLAAFSLLFAVATPIRDVADTCSSQVQAADFEHLNSSAMHLPLHHSRGPCSPLSVPTDLPFTAVLTHDDARIASLARRLAKAAPSSSARPTVTVASLYQANDEDAGIAGSLASVPLTPGTSYGVGNYVTRMGLGTPAKPYAMVVDTGSSLTWLQCSPCRVSCHRQSGPVFDPKTSSSYAAVSCSTPQCNDLSTATLNPAACSSSDVCIYQASYGDSSFSVGYLSKDTVSFGSNSVPNFYYGCGQDNEGLFGRSAGLMGLARNKLSLLYQLAPTLGYSFSYCLPSSSSSGYLSIGSYNPGQYSYTPMVSSTLDDSLYFIKLSGMTVAGKPLAISSSEYSSLPTIIDSGTVITRLPTTVYDALSKAVAGAMKGTKRADAYSILDTCFVGQASSLRVPAVSMAFSGGAALKLSAQNLLVDVDSSTTCLAFAPARSAAIIGNTQQQTFSVVYDVKSNRIGFAAGGCR >SECCE2Rv1G0095900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:427621182:427624982:-1 gene:SECCE2Rv1G0095900 transcript:SECCE2Rv1G0095900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIHAHGHALPILLSVASLALLLPGATPLPPSQAWSLFKLRQLLGDPPVLGTWRNYTDFCYGGDYKTTSAFVECYEDSVTQLHIMGDARARPLPSTFSIDAFFTTLSRLPDLKVLTLTNLGLWGPLPGKISRLQKLEIVNVSSNYLYGELPRGLSQLGSLQTLVADHNMLGGKLPGWLGGGVPLLAVLSLRNNTLQGTLPESLKDMPSLRSLVLASNNLSGNLPDLSGLKNLQVIDMADNALGPEFPRLGRKVATVVLAGNKFSDGLPADMLASCYLLERLDVSGNRFVGPFPAALLSLPSIEYLSIAGNRFTGRLSGNASCGENLRFVDLSSNLLTGSLPACLAVPGRSSDSKTVLFAANCLSTGDDSQHPSPFCRNQALAVGIVPGPGQKKTGRGAKAGVVAGIVAGVLVGALVVSAAVLFVVRKASAPKARPARRLVEHASSAYPSNLLADARYISQTVKLGALGIPAYRSFSLVELEAATDNFQVSSLMGQDAHGQMYRGRLTNGTPVTIRSIKVKKSQSSQSFTRHIEMISKLRHRHLVSALGHCFQYNLDDSTVTQLYLVFEYVHNGNLRGRISQGTEGRRLSWGQRISTTIGVAKGIQFLHGGIIPGLFANNLKISNILMDHNQVPKIGSYNIPILSETMKSEGGAGSKYPPDRVPNGDKIDMYDFGVILLEVVSGRPIGSIFEVEIMKEQLQWAQTTHGPSKRRNLVDPTVSKGCSDDSMRTVMEICLRCLAKEPTQRPSIEDVLWNLQFAAQVQDDSRSSEESPLSPSQLHAQSADN >SECCE3Rv1G0152660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:49418711:49424143:1 gene:SECCE3Rv1G0152660 transcript:SECCE3Rv1G0152660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWITTASFNPSSLAGPYVAGGGGGASPRSSAAYPDWVLLRKTARISALRNATTAEGRTAEGRAVEASFWLVDPPGVSYFSVHCPGLHFSGEPQVVCAEAAFVLLRVRFSFPTERRISTHHFVYTARGAAGPPSLQLLPEPPGVEAVKSHQLGLLPRGGERYAVAFLDRSWTARGDAWQWQFDAYVFSSETCSWSKKEASLGLPESDAALLRPYATSKQIVVGASALGWVDISRGITLLCDLFDECPVVKYIPFPATGVCITPYYRLTRHSAQCFHDVACYDGLIKFVEIGFDEPDCMVKGKGWRATTWTRDISWGSWRKHTRVDVANILVDPRYSALLPDLWNHETHKLELKKLVFLLPTLSVRNDDLLYIMAKVGGEHDKAWVIAVDMKSAVVEGLAPVLTGRSCPSTMFSPCAFPSYLNNMTQGNKSEEPLVGCDGGILEDEEIQAEHRTRGPDMGNPVDKYFKRMSASQCALQVLLTQRWFRELDEWLDSQGSACDACCKSLPYWCPASALCFDIKAVVNYASYNGEGEGASMAVDSCLRALDDFYKLLKQTPLSDPSTTEAMKRQIRVALGALDSIMEFVPLNRMPRSIQKVLVDVCLERKEKTLVCEHREKPDDESDEWQQRGSDSGDESDEWQQGSSDSEDESDEWRPDLDDSLETRGEKQAQVPDRRPGHSYWLYFVCCVLVAVAARALSRLTFMS >SECCE7Rv1G0523430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882064547:882065152:-1 gene:SECCE7Rv1G0523430 transcript:SECCE7Rv1G0523430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLAATSKLSLAIVAAVFLLGSWAAAAHGMRRVVSSSSDEPCNEMTLYYHDILYNGVNNTKNATSAAATKPTALSTTHWKNGTYFGTLVVFDDPMTVGKALPVAGEDPAARAQGFYFYDKQESYTSWFGFSIVFNSTAHKGTINLVGADLMDDKTRDLSVVGGTGDFFMARGIATLRLDASEGTVYFRLQMDIKLYECYV >SECCE3Rv1G0145900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8723253:8724225:-1 gene:SECCE3Rv1G0145900 transcript:SECCE3Rv1G0145900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGQEEAGLALGLSLGPGVVHHRHHLLQPKEAAPPEPYLSLSLAGAGAVKREEEERALIYCSAASSAADDQAEGYNSSSGGGGSRKKLRLTKEQTALLEDHFKEQSTLSHKQKASLAMQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHRELQHLRALHHHHHHHHAAATAFFMPSAATAASVCPSCAGAPPAHPADRPAAKRSFFATKSTAC >SECCE4Rv1G0226250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:107544500:107547288:1 gene:SECCE4Rv1G0226250 transcript:SECCE4Rv1G0226250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAGEAEAALGRALTWAEAAWLRYSASVPDRYLHWPNIAITLVVYTLAPLPLALFDLAAPAAAAPYKLQPKVQHPPATFFRCYMDAVRVSLLIIGPYQLISYPAAKIMDIRTGLPLPSMGEIAAQLTVYFLVEDYLNYWLHRLLHTKWCYEKIHHVHHEFTAPMAYAAWYGHWAEMLILAVPSLAGPALVPCHVTTLWIWFAARLVESLNIHSGFKLPFNAEKYIPFYGGAEHHDYHHYIGGQSKSNFAPVFTYCDYIYGTDKGYRYHKATLAKLKELAGSDAQKGVDNGFNSGKQD >SECCE1Rv1G0048470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:635303637:635306721:-1 gene:SECCE1Rv1G0048470 transcript:SECCE1Rv1G0048470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNFTHKTNEALVAAHEAASEAGHAQITPLHLAAALAADKSGILRQAVAQASGGNASAGDSFERVLAGALKKLPSQSPPPDNVPASTALIKAIRRAQSAQKKRGDSHLAVDQLLMGLLEDSQIADCLKEAGVSASRVRAELEKLRGGDSRKVESASGDTNFQALKTYGRDLVEVAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRVVRGDVPSNLLDVRLVALDMGALVAGAKYRGEFEERLKAVLKEVEEADGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVPDTISILRGLKEKYEGHHGVRIQDRAIVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVRKELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELQFTLQEAERRMDLARVADLKYGALQEIDAAIAKLEGETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGMADRLHTRVVGQTEAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVVFDPLSHEQLRKVARLQMKDVAVRLAERGVALAVTDAALDVILSLAYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAANKDELAYRVDRSGGLVNAETGQRSDILIQVPNGAVANGGGEAAKAVKKMRVMEDGDEDGMDEDV >SECCE4Rv1G0286130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:848207682:848208812:-1 gene:SECCE4Rv1G0286130 transcript:SECCE4Rv1G0286130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAAAPPLDDENLLSEILLRIPPLPSSLPRASLVCKRWRRIVSDTRFLRRFRLHHRRGPPLLGYFIHDGRGISYAPVMDSPDRVPAGRFSFQLDDSPSFCLLGCCHGLALIFLASWKQVLVWDPVTDDQHRIAVPSVFDVDENPIHGAVLRAAGEVGHFQVVLVEASAVDEQHTRMLACVYSSETGVWGNLTSTSVQPEGTSSLYFTGMPSVLVGNSLYLPLVGDFVGILEFNLERHSLAVIQVPVDMFERYSDLAVMRADGAGLGLIILSGCNAQLWKRETDFDGVASWGMRKTIELDKLLSLHSEKERGALMILGFAEENNVVFLSAVDGVFMVQLEPLQFKKLHVSRNWLYHYPFESVYTAGNSMPLTFNT >SECCE2Rv1G0085530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:192513251:192515844:-1 gene:SECCE2Rv1G0085530 transcript:SECCE2Rv1G0085530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIVCGCAGKPERENSSSIRTNCAAMIRLHRTDDGGWYVSENCASHNHVLLRTCAEKLHCPSHQHIDTYTKDLVKQLRQNNVNLGKVYSIIGSLFGRMENVPFTKRCLRTFCGKLSREQADDDVRKTMVAFSELGANDPEFSYVVEVDKESKIKTLLWTNDRAKMQYHNFGDVITFDTTYKTNLYDMPFGLFVGVNNHFQSIIMGGVIMREETIESFNWVFTEFIWLMGGKPPKTILTDQARAMEVAIQQTMPDTTHRWCKWHVLRKAKEHLGPHYTKRSDFRAALHKVVNEMLTIDEFEDAWAGLLDKYKLHNNTFLIQIFEVRHKWAKPYFSGKFCAKQTSTQRSESANHLLKGYIPPACPMNLYVKQYSKLQFDREAEEGFQEKRTRLGGAVLRYNFPLEEHASQVYTRTMYEMFGQALYRAGRYDVEEVERGVRYHVRHVEAEKREKWCREMHVVDVQDGGARYTCECGMFEHMGMLCCHAIKVLIHLGVRKIPRAHVIKRWTTDDRDNLPLHLLHYQKDQGPPRLSSYRHTTLHLTALEFVQLGDSNVEAFDRAMGILNAGKAELSVLAATKDGKSLLDQTQVGDSANPAHALDGGCSHVDDMSSEMFVSTECGLSSVSGSARSSSSLSMLLAPDRKKQKGRPTTVRDKPGYEVQGKRSRFCTVCREKGHKSTTCPRRGDLPKKPRKIPTCGNCGVAGHKKTSCFNPVLPFVKRPRDGPVE >SECCE2Rv1G0122570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:817249591:817251813:-1 gene:SECCE2Rv1G0122570 transcript:SECCE2Rv1G0122570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWLEKIASACWDRVRRYAPTSTRRDEDGGSGSGADADDLLLWSRDLGRHAVGDFSFAVVQANEVLEDHSQVETGAAATFVGVYDGHGGAEASRFISNHLSAHLVRLAQESGAVSENVVRNAFSATEEGFLSLVRRTHLIKPSIAAIGSCCLVGVIWRKTLYVANLGDSRAVVGCLVGSNKIVAEQLTRDHNASIEEVRQELKSLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKQEFAVDPSITRFHLSEPLRRPVLTSEPSISTRLIRPQDSFVIFASDGLWEHLTNQQAVEIVHNNPREGIARRLIKAALKEAARKREMRYNDLTKLEKGVRRFFHDDITVVVVFIDHGLLQEGDASAPELSVRGFVESGGSSSFSGLNSIS >SECCE4Rv1G0219890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33853592:33854014:1 gene:SECCE4Rv1G0219890 transcript:SECCE4Rv1G0219890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSRAWLTLLLLACALVQSSYGSRPYPGVPSPTMVHGAAEPRRLDARATEVRSSTQEGATGGCHRGANADDDAIATSALGGGGVLPEQRKGSGSPELQRALGTMLARRVLGGEAEDSAAGPSCRSNNAQITCAPPAQH >SECCE6Rv1G0384900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:70349247:70352597:1 gene:SECCE6Rv1G0384900 transcript:SECCE6Rv1G0384900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDPVVSAQWLQQHLGQPDIKILDASWYMPHESRDAWQEYQVAHIPGALYFDIDGISDRTTHLPHMLPSEEAFAAAVSALGISNHDKVIVYDGKGFYSAPRVWWMFRILGHDKVWVLDGGFPQWQASGFNIGSSCPDDAVLKSKAANTAVETAYNGELANAATFQTEFRHQLLWTLEKVKHNVAAKAHQVVDARVKGRFDGVMPEPREGVRSGHIPGTKCVPFPEMSDGAQTLLPADELSKKFEQAGISLDDPIVLTCASGVTACILALGLYRIGKHDVPVYDGSWTEWEALPDNDYPIVTSTGS >SECCE5Rv1G0303890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:51442116:51442952:1 gene:SECCE5Rv1G0303890 transcript:SECCE5Rv1G0303890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTFETTRGRRFVVEIWYFSTVRRIKEYILKQEGIPVESQRLFFQGQELQDDLDTEHYPIVEGSHVLIVLPDDSPAAAVAAAAADGHAANSPGALVHVVASGPALGQGRSVALQLDASCTIFRLKEMLQERTDGALPAAKVSVFLDKAEMEDDKALAEFEPPADGMKMEVRVVVRQPPACNNGNGNGNGVAKVNKRMSVEVKWGAKTATLEVSDMDAVKELRAELGSAAPHLLLPNDGAYFFIYKQNVMEEDRTLRWHDVKTGDTIEIFNGRVTGGA >SECCE5Rv1G0318700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:366340930:366345642:1 gene:SECCE5Rv1G0318700 transcript:SECCE5Rv1G0318700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHHHHHLAPLSPATAAKRRCTGMAAAVPALVFCSVLLPLAFLLGLHSTGYGSEERAAVVISTELGLGKHKHLDGPGMKHKLLKDVSKMLTSGSNGISGEKSSRSKSRNLAAKSKIKDDFSFVELNNDTSKKRGSHTQTRYQLKDLSWTSMDTAVDGKESQGQEVAQEENPKSCELEYGSYCLWSVEHKEKMKDVIVKRLKDQLFMARAHYPSIAKLNHQERFTRELKQHIQEHERMLSDTIADADLPPFFAKKLEQMEGTIERIKSCEVGCSNVERKLRQLLDLTEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSASLQRELLNKQKFEDPAFYHYVMFSRNVLAASTTINSTAMNSKDSGSIVFHVFTDTQNFYAMKHWFDRNSYLDANVHVTNIEDHNKLSKDAASVEKQQLWPAEEFRVTFRNHSQPLQRQMKTKYISVFGHSHFLLPDLLPSLNRVLVLDDDLIVQKDLSSLWNLNMGDKVIGAVQFCGVRLGQLKAYIEENNFDADSCVWFSGLNVIELEKWRDLGITNLHGQLLQKDSLVSHRLKALPRGLLAFQDLIYPLKGSWVQSGLGYDYGISRVDIEKAATLHYNGVMKPWLDLAIHDYKSYWRRYMTNGERFMAECNIH >SECCE5Rv1G0371840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:850081705:850083036:1 gene:SECCE5Rv1G0371840 transcript:SECCE5Rv1G0371840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAARYLLGSPGASGFGSKSTAEEVTAACPDLGALTAIITGATSGIGAETARVLAKRGTRVVVPARSVKAAEDMRARILAECPGADVLVLHLDLSSLASVRDFARRFLSLGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAATGVQGRIVNVSSSVHGWFSGDWADYLQLVTRRKIPYDATQAYAVSKLANVLHTKELAARLEEMGADVTVNCVHPGIVRTRLNRDREGLVTDLVFVLLSKLLKTIPQAAATTCYAAVHPRLAGVSGRYLADCNEALPSPAAASRREAARLWQASEDMISCSSQQGNTKGTSTPKPLLDRNF >SECCE7Rv1G0501680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:686311324:686312121:-1 gene:SECCE7Rv1G0501680 transcript:SECCE7Rv1G0501680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRPLEPSSGMPAQPDVKPVISPASKSTSAPAPAPATASTPEILPASILNNLPGPEREVYKRIYEAGNKGMWSQDIRYAMKLAAPTLTKLTRALVQRGILKEVTDVRHRGKKVFMDARIEPSPEITGGTWYHNGQLDTDAVAAVRRRCLDQIDRLGAATPDMVHKGVEREDPRAGYSIDQIRDILQTMALDRVLEECRSTGEGEFTAVRAGRVCYRRGGAPQGGMIEGIPCGVCPRIDECSPDGVISPTTCVYYKKWLQMDF >SECCE1Rv1G0041200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:569279784:569284815:-1 gene:SECCE1Rv1G0041200 transcript:SECCE1Rv1G0041200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAAISVAGGPATSLSRRPALGLHSRRLLWTRATSQYTMSRIAPRLSSAMVGAPTPDHDEARVGAQGGVKQALISLSDKTDLANLGNGLQSLGFSIISTGGTASSLEAAGVNVTKVEQITHFPEMLDGRVKTLHPSIHGGILARRDQEHHIKALNEHGIGTFDVVVVNLYPFYDKVTSGAISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHHDYPALLKYLQEKQADPQFRRMLAWKAFQHVASYDSAVSEWLWKQTNSGDTFPPSFTVPLSMKSTLRYGENPHQKAAFYGDRSLSLVNAGGIATAVQHHGKEMSYNNYLDADAAWNCVSEFENPTCVVVKHTNPCGVASRQDVLEAYRLAVKADPVSAFGGIVAFNTTIDEDLAKEIREFRSPTDGETRMFYEIVVAPGYTEKGLEVLKGKSKTLRILEAKRSGKNMLSLRQVSGGWLAQESDDLAPEDITFTTGSERAPTHSELSDAKFAWLCVKHVKSNAIVIAKDNCMLGMGSGQPNRVDSLRIAFRKAGEAAKGAALASDAFFPFAWKDAVEEACENGIGTIAQPGGSMRDKDAVDCCNKYGVSLLFTGVRHFRH >SECCE5Rv1G0299810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:20014213:20015360:1 gene:SECCE5Rv1G0299810 transcript:SECCE5Rv1G0299810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERWCGGIGSAEDMQAKVLKKQEAAAKRERAMAYALTHQRQAGSRKLKAADVQGPEADENQWGRNWVERWVAVRPWENRLLDGNAKENVPIGDDKEAEENGDRDANKPKGKAAVSGIQSNGSSQTKDAKHKKSHSDASGSSSGQSAAAPPTASLGSSKLKPKPSDETSEEVSPQPTDPAPRSPSNPKERPAAQVSAPTKKRLSLPNNATASGGARKGQASSSEKTRSVGSKNTAKGASKSEPKQRQSPGSATAKRVQAQA >SECCE7Rv1G0457790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18721742:18723808:-1 gene:SECCE7Rv1G0457790 transcript:SECCE7Rv1G0457790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPATPSPPPRRGLRREAAEVVRDCKRLDGLMKAGRVADALDLFDRMPRKNVVAWTSAVSGLTRGGRPEAAVEMFADMVGSGVAPNDFACNAALAACAAAGPGALRAGEQLHSLAVRAGLAADAWVGSCLVELYARCGSPRAAEAVLRRMESPDVVAYTSLVSALCRGGEFGRAAEALGQMVMRGVEPNEHTVTSVLAAAYCPLVLGVQIHGYMVKAMGSSQSVYASSALIDFYSRNGELDTAKTVFDSLQCKNVVTWCTMMQLHIRDGKPEDALQLFDEMISEGIVNLNEFAFSIVLGACESISLGCQLHSSVVKRGLASDLRVSNALLSVYGRSGLVEELEAVLHGIEDPDIVSWTAAISAYFQNGHGEKAIAVLSQMHSQGLTPNDYAFSSVLSSCADLALLDQGRQFHCLALKLGCDMKICTGNALINMYSKCGQIVPARLMFNVMDHRDVTSWNSLIHGYAQHGEVDMALKAFSEMTSIGGEPNESTLLGILAACNHAGLVDEGVAFFRSAMAGRYGAFLTPSHYACVVDMLGRSGRFDDALCLIEEMPFDPGVLVWKTLLASCRLHGNLETGRLAAEKLVELSDQDSASYVLMSGIHAMHGEWHDADMVRRRMDEAGVRKEAGRSWVEVRNMVHAFVARDMSHPDSPSIYRTLQGLSDAMRDTAYDEDVEFFDVHMQI >SECCE7Rv1G0488210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:438465022:438472196:1 gene:SECCE7Rv1G0488210 transcript:SECCE7Rv1G0488210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAAAPIVGQVVERFRARLREEAGEEPEAAAVVGVYKEALAELTFNCKPIITELTIIAGQHAALAARGIADAICARILEVPVEQKLPSLYLLDSIVKNIGREYVEDFATRLQKVFCFAYREVHPKQHPAMRHLFRTWSQVFPSSVLKGIEDELQFSPSENKRPATTTIPRQSESLSPRPSHGIHVNPKYLEAQHQFKNGTKVDQLATRGRQMLDVMEDHINGLTTNSLQGFPSTSSKLQRSTIPYTENPDQQETFQSRIGLIRGDISRSPPPDVLPRNASPKRPLEMPRLSHSALGHDPRRLPDRNGWFERQRAFEDSAQRPSVSTLDEEYRKQSARELIDAYGNSQGNDADERLPKMQRLESNGMSNRSSAQKWQTSEEEEYTWEDMRPTLSGNRNNIPSLPSSETLRAGFPGSNTGRSQAPRAALNLEDRTSHVDIATSRRYPGNFGLHNGAISEYHSSENTLDPGRILAISASQWQKTNSLPLRIQAPQPSSTLNRLALPTDVEMPVKMLATGGTYDAMHVELPLTNRSSPAPAPIEWPLHHYSQPPHNTKDIRGATDSLEVRPFIRHGVNSSVFVPRHLYDALDRKTVSTSNLAQPPYQHPDLLSSSQRNQGTNFGNQSQPHDVPQFHPHSCSHPPEAFRSFAPSMPVAAPQNPFQGQGGSAAVPPVTPLPKPFSVTPTVQPYGVSPFSSFALPPIHRGLPPASLQMGPSSSQVGGPTAYFSGILSNLMNHGVITLEFSSQPQDSAGVDFNVDLKVRNESVINALYQDLHRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQSSRKYFVTVGEWLRAAETVGNDGVPSFELTEPIPNRNEEKEMAVSADENQTTCALCQEPFEDFYSDETEEWMYKGAVYMNAPDGNIVGLQRSHLGPIVHSKCRSGPSNIS >SECCE4Rv1G0276490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798123415:798125805:1 gene:SECCE4Rv1G0276490 transcript:SECCE4Rv1G0276490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVILLAIKKIGNALAYGAADQANTQFAKYRMQLKELQGNMGRVARELRVMHDVLYQMDIRNCDDQGYEGWLEEIRKVAHVMEDMVDEYLYLVGREQDTRCCFYLKKGFRKPRSLLSLNQIAIEVKEIEKDLAHLSETKKRWVPMINNRDTSSSKYIIKRSQDLANISRSLDEEDLVGVDINREKLEQWLACVDLECSVIALLGMGGLGKTSLAANVYKKERDKFQCHAWVSISQTYSREDVLRNIIKEVFRDKANVVSNTAAMDITCLEVTLKRYLEQQRYLIILDDVWTPEAFDELSRVLIHNGKGSRLIITTREGDVAALASPGHILTLEALPEDLAWDLFCKKAYPRDTDHECPAELKPLSKEIVNKCKGLPLVIVSVGSLLRVREKTIDEWRRINDQLSWELINNSRLGHIRNVLHLSFIYLPTHLKSCFLYCSLFPEDFLFKRKRLVRLWIAEGFIEERGESTLEEVAEGYLKELIDRNMIQLVERNSFGRIKEFRMHDILRELAVHLCQKDCFGVTYEDKCGKSLEMDVRRLVLHKVKKDNYHTLSGMHRFRTVITVDNSMPTFTILPLLSKKSRYTTVLELSGLPIKKIPDAIGDLFNLRHLGLRNSKVKMLPMSVDKLSNLLTLDLARSDIHEVPSGIVKLKKLRHLFVEKKIDPNWRAFKCSSGVHIASGLGNLTNLQTLRALEAHEGSIRHLGDLTQLRSLRLWNVKGIYCGRIRDSLVQMRYLSNLSVSASDENEVLLLNVPPNLRKLVLRGRLAEGALNESLLFQPVGGRTCIDCLYLDHN >SECCE4Rv1G0296680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904148652:904149824:-1 gene:SECCE4Rv1G0296680 transcript:SECCE4Rv1G0296680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAKSSVLVLLVLLAVATGVSSRATYTGSSAAAAGLTPATGKKQGHGHGVKPAPQTKEPNTKQVPALVVFGDSIVDPGNNNAIHTIVKANFPPYGHDFGADRRPTGRFCNGRIPTDFIASKLGLKQLLPAYLQQNLTKQDLLTGVSFASGGTGYDPLTAQLASVISMTDQLRMFHEYKAKVRAVAGDAALSEILSKGVFAVCAGSDDVANTYFTMRARSSYSHADYASLIVSHASAFLDGLLSAGAKRVAIISMPPIGCVPSQRTLSGGMARDCSSGHNEIAEMINAGMGTTVESLKAKHPGARVVLMDIYGYLLDMMMRPEGYGFKESTLGCCGTGMMEVSVLCNGVTSAVCGDVTDYLFWDSYHPTEKAYRILVDFVYDNYLKELID >SECCE5Rv1G0334360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565252566:565252838:-1 gene:SECCE5Rv1G0334360 transcript:SECCE5Rv1G0334360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISILGIRGILLNRRNILIMSMPIESMLLAVNLNFLVFSVSLDDMMGQSFASLVPTVAAAESAIGLAIFVITFRVRGTIAVESINCIQG >SECCE7Rv1G0487710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:429035257:429043133:1 gene:SECCE7Rv1G0487710 transcript:SECCE7Rv1G0487710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVQPHSPDPTAITTTPAPPPPPPPSPPRQGQENPTAAGEGVEIAALDEQPAAVADKGKTAPGGGKLVAEAMRKCAAPRSSRYHGVTRLKWSGKYEAHLWDNASQVEGRKRKGKHVYLGSYVTEENAARAHDLAALKYWGITQPTKLNFNISDYAKEIEIMKSMNQDEFVAYIRRQSSCFSRGTSSYRGVTRRKDGKWQARIGRIGESRDTKDIYLGTFETEVEAAEAYDLAAIQLRGVHAVTNFDISNYSEEGLKKLEGSSEVVNLEDQSEVTKLAVTNFDISKHCEDGLKKLDGASQVVNLESQSEVTKLSVTNFDISNCCEDGLKKLEGSSEVANLEGQSEVTKLAGQ >SECCE5Rv1G0308650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:135428207:135433725:-1 gene:SECCE5Rv1G0308650 transcript:SECCE5Rv1G0308650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRMLLPLVTTTVLLSIPAWQPLAAAPACQRQCGGVDIPYPFGIGRGCFLDTGDGTFEVTCRKATASDGARPFADDFGVLGIDPGRGKIRIRSPVSSWCYDGARRSMGAPDTWSFNSTALRVSDADNKLAVVGCSALAVIGSQDGAVENRYVVGCHAECASAASLSDGPCNGTGCCLAPVPPGISSFDVAFDDGYNTSAVAGFSRCSYAVLVEAAAFEFRATYVTTGALGDAGGVQVPAVLDWAVGNQTCRDVLRKKTGAYACASANSECVDAKNGPGYLCNCSKGYQGNPYVIQGCQDINECEEKASYPCAIRDSCINTIGGYKCPCPAQKRGYSDGTCEADKSISKLQVAIGFSIGVVMLALGMTCTYAIQEKRRVAVVKTRHFRQHGGQLLFEEMKKYNKTGISFTLFTRQELQEATGNFDERHVLGMGGNGTVYRGTLQDGTAVAIKRCRIAGEDERQQREFGMETLILSQINHKNIVKLYGCCLEVEVPMLVYQFIPNGTLYQLIHGGAAVVPFAVRLRIAHETAEALAYLHSMASPPIIHGDVKSPNILLDENYGAKVSDFGASVLAPAPTDEAHLVTFVQGTCGYLDPEYMQTCRLTEKSDVYSFGVVLLELLTSRKALNLAAPDDEKSVVASFLTAARDGRLDGLLDARIKSEVRAETLEQVAKLAKLCLEMSGERRPSMREVAEELDGIRKASSQNPCLLLGDGGEEALSHHSVVDVCDR >SECCE5Rv1G0325250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:463542435:463552882:-1 gene:SECCE5Rv1G0325250 transcript:SECCE5Rv1G0325250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGAPPPPPMAAAPPPPGTVGAVPAPPPHSAAPPQAGQPLTPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDPEEDGAVYKWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLCIYHTPMIMYIKTEDPDLPAFYYDPLINPITSTSKIDRREKKASEEEDEDDFSLPDGVGPLLKETPLYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWFKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQSTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDIWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFLTYTDTKVETRHPIRLYSRYIDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENGFVSVYSKDNPNLLFSMSGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKVHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSYTAFSRLVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETPQSNAVTTRTTNVHGDELIITTTSPYEQAAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQVAGFLYGLSPQDNPQVKEIRCISIPPQHGTHQLVTLPANLPEHEFLADLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDNGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNAPWNYNFMGVKHDPLMKYSMKLGTPRDFYHEDHRPTHFLEFSNIEEGEVAEGDREDTFS >SECCE7Rv1G0454200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:1907654:1908213:1 gene:SECCE7Rv1G0454200 transcript:SECCE7Rv1G0454200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNMPQGLTPAPASMTIPMSHSSRPTLGFPLGTALLLLVIFSLSGIFSCCYHWDRLRSLLWSRHPGMLQDGPHTVISIGSVPSKAASEHKSEKAGKECGLPVIMPGDNIAKFYARPCPHEACLPAAAGEKGDVEVQVRCSVS >SECCE1Rv1G0049330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:640029107:640029817:-1 gene:SECCE1Rv1G0049330 transcript:SECCE1Rv1G0049330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARLVRAAPLLVRTAATAATADPAAALKAGDALRSRRRRFTEDDVAAYAGVSGDRNPVHLDDAFARGAGGFQRGRVVHGMLVASLFPALIASHFPGAVYASQTLKFAAPVYVGDEVVAQVQALHIKAAGARHIVKFATKCFSDDDQTLAIDGEAMAFLPTLQLNTEAIE >SECCE3Rv1G0152340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:46840766:46841862:-1 gene:SECCE3Rv1G0152340 transcript:SECCE3Rv1G0152340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVLATTTAVAVLVMLLATGPAPASAMNFTEEDLASEESLWALYERWRTQHTVTRDLGDKARRFNVFRENVRLIHEFNRGDAPYKLRLNRFGDMTADEFRRAYASSRIAHHRMVSAPSSVDWRQKGAVTAVKDQGQCGSCWAFSAISAVEGINAIRTKNLTSLSEQELVDCDTADGNSGCNGGIMDKAFQYIADNGGVATEDAYPYTAQQASACNTRAPRVVTIDGYEDVPANKEKALRKAVAAQPVSVAVDAGGFQFYSEGVFTGECGTELDHGVAVVGYGTTVDGTKYWIVKNSWGEEWGENGYIRMERDVKDKKGLCGIAMDASYPIKTSPNPESVHDEL >SECCE2Rv1G0133110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894974917:894975174:1 gene:SECCE2Rv1G0133110 transcript:SECCE2Rv1G0133110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAKASWMVAMSVGAVEALKDQAGLCRWNYALRTIHRAAKANVRGGLSQGTKQLPAAVAERRRAEKAEEGLRTVMYLSCWGPN >SECCE6Rv1G0446360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:843678651:843680760:-1 gene:SECCE6Rv1G0446360 transcript:SECCE6Rv1G0446360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II stability/assembly factor, chloroplast (HCF136) [Source:Projected from Arabidopsis thaliana (AT5G23120) TAIR;Acc:AT5G23120] MATATAALHLLLPTRRRGRLLAPRACQPDSTTTTSRRGLIADTATAAVAAAAAPLLLPRLPAARAEDLSEWERVGLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKDGGRTWFPRSIPSAEDEDFNYRFNSVSFSGKEGWIVGKPAILLHTKDAGDSWERIPLSAQLPGDMVYIQATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGSYVAVSSRGNFFLTWEPGQLYWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGTGIVEDFEEASVQSRGFGILDVGYRSKDEAWAAGGSGVLLKTTNGGKTWVRDRAADNIPGNLYSVKFIGDNQGFVLGNDGVLLRYVG >SECCE1Rv1G0013310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:102036035:102037984:1 gene:SECCE1Rv1G0013310 transcript:SECCE1Rv1G0013310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQTWRSMFCCVGGAAAAAAADADDEGPSPSSTPRQRRGDRRALLPSSCASSASRVSLSSLGSTGTLTPEDLSLTLSGSNLHAFTYAELRAATAGFSRSRYLGCGGFGPVYKGQLAAELRPGLEAQTVAVKYLDLDCSSQGHNEWLAEVFFLGQLRHRNLVKLVGYCYEEEHRMLAYEYMGTGSLEKHLFRSIDGPMPWMTRMKIAVGAAKGLAFLHGADTPVIFRDLKASNILLDSDYTAKLSDFGLAKDGPNGDATHVTTRIMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGRRSIDRARRSREQSLVDYARPYLKKQDKLHRVMDPALECQYSCQGAELAARVAYKCLSQNSKSRPTMKEVVQALEPILKMDDYLQVGPFVFVVVENTDKNVESKGKLIDDEWKVDMKVEKVVEDKHQSHQDRHRQKFPNSMIHADILLQRDGAIGPYTTALQRHRRASSYTEERGA >SECCE7Rv1G0462470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:46228324:46229865:-1 gene:SECCE7Rv1G0462470 transcript:SECCE7Rv1G0462470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPKVVESKDGATSVTSAFPGHPEAAQDRDHKFLSIAVEEAYRAVDCGHGRPFGAVVVRNDDVVVSCHNMVLKNTDPTAHAEVTAIREACKKLGKIDLSDCEMYASCEPCPMCLSAVRLSRIKRLVYGAKAEAAVAIGFDAFIADALTGAGHYHTANLEIKRADGNGALLAEQVFENTKGKFRLK >SECCE4Rv1G0269530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749386671:749389615:-1 gene:SECCE4Rv1G0269530 transcript:SECCE4Rv1G0269530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEMDRIAARLSAVDGLYFPTAFLRTSPAPPARKSALLDLLSRDAPLFLERYGAALRPDELAPFDALRDDYEVGWHLRRLRAAAAGEAPAPARVRNRRRAYLHRLVSQGDYFSEEAMREREPYLHHEYLGRFQDPFGRAMVRPGEKFSETLMRRAEEAVIVEKIRGEQIRRGVDPSEWVGGPVERPMMEQEEEEEEEEEEEEEEEEEEENIGMEENTEAEVVAHDDNGGCPNEPAGPPAGTFKPILSPEEMEDQLEQFTSVMQEKFLTGQDSEHMDYPQIDNDEMLDDHWSREANYDAEDKYFNED >SECCE4Rv1G0220440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:39399705:39400291:1 gene:SECCE4Rv1G0220440 transcript:SECCE4Rv1G0220440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGAGVAGRGKAKASKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGSVTIANGGVMPNINQVLLPKKAGPKGDIGSASQEF >SECCE5Rv1G0358210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:754935919:754936512:1 gene:SECCE5Rv1G0358210 transcript:SECCE5Rv1G0358210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMKLLAVLVLVQFLSHVHVHGFSAFADMPTETTSGGSCHISGYLPGQSGNCNPEHGSTCCVDGHRYPQYKCSPPVSAETPAILTINSFAEGGDGGGKSFCDNRFHKDSELVVALSTGWLRLDGTNRCNKTIRINGNGRSVTAKVVDECDSVYGCDKEHNFEPPCPNNDVDASPAVWKALGLNGNIGEFKVTWSDV >SECCE5Rv1G0297230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:830979:831764:-1 gene:SECCE5Rv1G0297230 transcript:SECCE5Rv1G0297230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDLDFSNPEAYLDAGGGCSMDSYFDEFLNDTEHLACTHTHTCNPPVDDSSHTHTCVHVHTKIVSASSDGGAADSPAENSASKKRPSGNRAAVRKYREKKKAHTALLEEEVAQLKALNKQLLKKLQNHAALEAEAARLRCVLVDIRGRIDGEIGAFPYQRPVRSVDLVSGVDQGAFLGSAQVMNSCDFRCNDQMYCNPGMQMRTMGDDGAMSGQVFGQGTGDIADIQCMGVAKSGFTMPPGCGGMGTMPSGCLPSSEKQ >SECCE1Rv1G0000780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2524372:2525154:-1 gene:SECCE1Rv1G0000780 transcript:SECCE1Rv1G0000780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDELKLLGTWASPWVSRVKLALHLKGLSYEYVEQDLDNKSDLLLTSNPVHKKVPVLIHNGKPICESVVILEYIDEAYGSTGPSLLPTDPYERAIARFWVDYIEQKLVVPWKVAFTANGEEEKTEGIKQMLAAVHTLEGALKECSKGKPFFGGDSVGYMDIALGGLLAFLQGTEELCGTKPFDIANTPLLLAWVERFTMLDAATVALPDVSKLVEFAKTRRAQMALSIKK >SECCE5Rv1G0316150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:310259915:310265202:-1 gene:SECCE5Rv1G0316150 transcript:SECCE5Rv1G0316150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEALRRLCEEVGWSYAVFWKAIGAADPVHLVWEDGYCGHASCPTGSEASEVGCESGGTVCTLVRKVMASQVHVVGEGTIGRAAFTGSHQWIIHDTANDHRLRSEVAAEMNYQFRAGIQTIAIIPVLPRGVLQLGSTSVVMENTTYVLQYKKLCSQLNNRSSMVASTSAKNDSSQKVQSRSSHGLLTVYPTDGCSKAFSGSPATYEQCYVPDATAVSSSASVNIGRKASLLKVAQRNGEAIRDHSLYAPDVRFRRQTPYCDRRVESTTQSSVVSSDFISSTSTSAEKHPLLMNDGQLGMENMEEGSDTRNLLLRSLAFRNLPPSHGGGEVLDFLSSRGNFDFLPEGNSIVKSNLYDCSTSQLLDQRCNSSSGMMGHKPAISYKAPQSAQFIMKMGSPKRDSCHAVLAPSSGSEAQTSSEFKTDFSQDNQVDLSDRVYQNRKAKEVNDSSFAVRIQGLKNMDRQKLPDISSERSSSLLVDPTAGNDLFDIFGAEFPHLCHNVDGDSTWNTAKLASSGKDALESSVYLDTSPVFGALDDEFPFSGIFSLTDSDQLLDAVISSVNPGGKQISGDSASCRTSLTDMPSSSYCGSKVMKQHESSGAPPLLVKDELAVSNFVKQPSFLEKTEDGCLSQNNGMHKSQLRLWIESGHNMKCESVSASNSKGHDTPNKANRKRSRPGESSKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMVFLQSVTKHADNLKDSNESKILSGDNGPLWKDYFEGGATWAFNVGSQSMTCPIIVEDLDRPRQMLVEMICDDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLVRLLEPSCDGSGSGENQENGKLPLGIPQHQIIPATGHLR >SECCE3Rv1G0182010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:621241199:621244353:-1 gene:SECCE3Rv1G0182010 transcript:SECCE3Rv1G0182010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSPSGLELTMAVPGLSSSSGSEGFVCNNNMSNNGGGNMRDLDMNQPASGGEEEDFLMGGVEEDEEERGAGGPHRPKKLRLSKEQSRLLEESFRLNHTLSPKQKEALAIKLKLRPRQVEVWFQNRRARTKLKHTEMECEYLKRCFGSLTEENRRLQREVEELRAMRMAPPTVLSPHTRQPLPASALTMCPRCERVTAATGPRITRPAASPFHPRRPSAAF >SECCE1Rv1G0010710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:65144656:65146823:1 gene:SECCE1Rv1G0010710 transcript:SECCE1Rv1G0010710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARKYTLSYCSRQHWHLPVPYHRTKHSTMSNGTMNQLTPAPDAWNFAPDEALLGLSALSVRSVLGSIKAGMDPSSDRPVIPLGHGDPSAFPCFRTAPEAVEAVSAALHSGEHNSYPTGVGLEPARRSIARHLSLDLPYELSPDDVYLTSGCSQAIEIVCSVLAGRPGASNILLPRPGYLFYEARAAFNGMEVRYFDLLPDSDWEADLDAVEALADGNTVAMVLVNPGNPCGNVYSYEHLAKVAETARKLGIFVIADEVYAHLTFGKKRFVPMGVFGSVAPVLTLGSISKRWVVPGWRLGWIVTNDPNGVFRRTKLVESIKSYLDISCDPATFVQGAIPELLENTKQEFFDKTVDILGQTADICWEKLKGISGITCPSKPEGSMFVMVKLDLSCLQDINDDMDFCCKLAKEESVVVLPGCAVGYKNWVRITFAIGPSSLEDGLDRLKSFCSRHSETKK >SECCE2Rv1G0119320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:790373411:790378692:1 gene:SECCE2Rv1G0119320 transcript:SECCE2Rv1G0119320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREGRLPLLLLLVLCLLAQSRIAAAATHPRDAAALKSLMRRWSNVPASWRKKSNDPCGDKWDGIQCNGANSRVTSLNLFGMNMKGTLSDDIGSLTELRVLDLSSNKDLGGPLTPAIGKLIKLINLALIGCSFSGNVPSELGNLAQLEFFGLNSNQFTGRIPPSLGKLSKVKWLDLAGNQLTGLLPNSRDNGAGLDQLLNAEHFHLNQNNLEGPIPEYMFNSGMHLKHILLDRNNFSGTIPSSIGVIPTLEVLRLNNNSFTGRVPTMNNLTKLHVLMLSNNKLSGPMPNLTDMKLLENVDLSNNSFTPSGVPSWFTELPKLMTLTMQSVGISGKLPQKLFGLRDLQHVILNDNELNDTLDVGNNINDGLDLVDLRNNKITSVTVYSSLDSKLLKLEGNPLCTDSLLSNTLLCTDQLTEFPTMHPSSDVQCAHPFVETIFFRSPSFGDVRKFLPELHNNLSRTVSSCTPNKLGLIPYIDDVYLKVDIKACPVNQKRFNYSQVLNCFNLTLQTYKPPENFGPYYVNAHPYLFHDKASRAILIGVVTGSLLLVIGLALIGLYAVRQKKRAKKLVSQNNPFASWGSTPEDIGEAPKLKSARAFTLEELKLSTNDFKQINAIGEGGYGTVYRGKLLDGQLIAIKRSKQGSMQGGLEFKTEIELLSRVHHNNLVGLVGFCFEKGEKMLVYEFISNGTLSEALYGIKGVQLDWSMRLKIALDSARGLAYLHDHASPPIIHRDIKSSNILLDSKMTAKVADFGLSLLVSDSEEGELCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAKPPIYEKKYIVREVKTALDMEDTMYCGLKDVMDPVLYKMGGLLGFPRFVMMALQCVEEVGPDRPKMNNVVREIEMIMQDNGLTPGSMSASSSFSVDSTTRTFAPRYPYSSTSTPSTTYEMDSRAFEYSGGFPSQGNLKNRNTSPKPLGSRERRLPG >SECCE3Rv1G0191060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:745450872:745454099:-1 gene:SECCE3Rv1G0191060 transcript:SECCE3Rv1G0191060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRRLPLLLILLGLLPAVARALLPPPRFPGPHARPRPRAGVGGGYEFETRYFRQRLDHFSFSGEEEFFQQRYLVGRAGGWAGPGGPIFFYCGNEGDIAWFAANSGLVWEAAPRFAALVVFAEHRYYGESMPFGSKDKAYNSSRSMAYLTAEQALADYAVLLTDLKRNLSSESSPVVLFGGSYGGMLAAWMRLKYPHIAVGALASSAPILQFEDIVPDTIFYDLVSNDFKRESLSCFQTIKDSWKELDEQGNGQDGLLKLSKTFHLCQTLNTTGALSDWLSSAYSYLAMVDYPMPSEFLMPLPANPIKEVCRNIDKQPQGSSILERIYAGVNIYYNYTGTVDCFDLDDDPHGMGGWDWQACTEMVMPMSSSEGLSMFPPDEFDYALYADDCVKNFGVRPRPRWISTEFGGHNISSVLEKFGSNIIFFNGLLDPWSGGGVLKNISESVVAIVAPLGAHHIDLRPATKEDPDWLVSLRESELEIISGWLSDHYRARGSAVFQRATNKGLAAS >SECCE2Rv1G0114690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:737649018:737652269:1 gene:SECCE2Rv1G0114690 transcript:SECCE2Rv1G0114690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGGARKRKKGQDDDDELVNLIFSWSLQDVANQDLLRDKVNTIPDRFFGLKSYLDSFRAPLLEEIRAEMSSALDPQPNGSNPVEIRSLVSLVPKGAKGVKITPFYRVTVSGRRGACSPCIGDIVALSAATPLRPSEHASDGSPYCLAHVKDVPNKCSFVVRASKVMEDVSCYAFVVSLLSFIPYARIWRCLNYEAAVESDADLVKAIAGDNTIQGTSLTGGTGVLPTAGTLSPFGLNESQADAILSSISAVQRGGASSKFSLIWGPPGTGKTKTISVLLLVVMTMTTKSQRKCRVLTCAPTNTAICQVASRLLALRKQHPNAGAGGCHGDLLLFGNRKRMAIDNDLNEIFLDTRVKRLSKCFSPATGWKPGLLSLEVFLTDPITLKYQYHQAREKNTSSTNLPESSFVRSRFHEISQKLTACFRTIMSHVPRDIILEKNCENIASLTKMLGDFGKLLAGKNAGNEVVTDVFMRTATGEQRHCSETARALRKSMATILGVTRALARDLKLPRTRQGRAIKKFCLRSASLVFCTVSGSAKLNEQKMDLLLIDEAAQLKECESLVPLQVSGLKHAVLIGDECQLPATVKSKVSDSALLGRSLFERLGLLGHKKHLLNMQYRMHPSISVFPNLSFYDRQILDGPNVTQTTHEHNYLPGAMFGPYSFINVDGREDRGRSKRNMAEVAAIMEILQSLKQACISTGQVVSVGVICPYAAQVEAIQAKIGDVKAMRPLVLRVNSVDGFQGSEEDVIILSTVRSNATGSIGFLSNRRRANVALTRARHCLWILGNATTLSASGSIWGELVQDAVERRCFFDWDDGGTSASPAISHRARLIGPERDGAASASDTHQVRCEADSICGALGSLRLA >SECCE4Rv1G0292150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:880520737:880522092:1 gene:SECCE4Rv1G0292150 transcript:SECCE4Rv1G0292150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLVLTALLLAHAVATLVRAAVARRRRSRCYLLDYVCHKPCDDRKVSTEAGGSLILRNKRLGLPELRFLLRVIVRSGIGEHSYGPRSILQCREDSPTHRDALDEMDAFFDEAIAELFVRTGLSPRDVDVLVVNVSLFSPAPSLASRIVRRFGMSEDVAAYNLSGMGCGAGLVALDLARNAMRARPASTLALVVSSESIAPSWYAGTDRSMMLGNCLFRSGGAAALLTNDPSLCCRAKMALRHLVRTNTAADDKAHTCAQQREDGDGRVGISLSKALPEAAVGALTLNLRRLVPLVLPVSELLRFAAQHVLSRLHVKADGRPPRINFKAGVEHFCLHPGGAAVIEAVKESLGLDDDDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLKRGDRALMLTFGSGFKCNSCVWEVTGDMADKGAWADCVDEYPPESTTNPYMDKYSWVNQEA >SECCE5Rv1G0353600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718180005:718184857:1 gene:SECCE5Rv1G0353600 transcript:SECCE5Rv1G0353600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPHVMVLPFPAQGHVTPFMELSHRLVDRGFQVTFVCTELIHALLLGTGTGGDALCGIRLVSIPDGMADGEDRRDLSKFVGAITRYVPGYVEDLIMETVASGEKKVKWLLADVNLGFCFQGAKNLGVRVAAVWPAAAASLGMWSSIPKMIEDGFIDDKGVAKREGIYEIAPEMPPICTSRLPWCIDGPPEGQQLVFKLVVTDNAQAISLAEIVVCNSFLDAETVAFGLFPEILPIGPLFADQELRKPVGQFWLEDVSCLEWLDTQSEGSVVYVAFGSFTIFDVRQFRELAEGLELTGRPFLWVVRPDFTSDVLGKAWFDEFQSRVAGKGMIVSWCPQQQVLAHPAVACFVSHCGWNSTMEAVRNGVPILCWPYFADQFTNRSYICDIWRTGLAVTLGDGVVTKEEVKSKLEQVIGDEAIAERVGMLRDAARRSIAEGGSSYENFQRFVTLLNE >SECCE4Rv1G0258880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679908850:679910602:-1 gene:SECCE4Rv1G0258880 transcript:SECCE4Rv1G0258880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSANPGHGAGTAAATSRPTAAEGFASILATQGEVDALCRGQGVPERFTALPAGDLRANSTPPPGAICVYARALEAGMRVPFHGFFLEALAHFGLAPAQLTPNGWRVMAGFLALCRSAAVPPSLAVFQRFFFLCNLSQEHKKGWYIFRPRDGPGLRFTGMPNPNSVAMKDWKREFFFLSSPEPWPCAVEWVEPSRSALMKPVLTAQETKSAAKLLRVHGGATVDLRTYLCNGNLAAAKIGTTASPSSPPPPSTSTTPSSKGMDPAIQDMMEAMLAEKAAAQAPAKKRIREEASGEEEFPPLSALNPDPGSPPRGFSGKPQHIPSRHDGDTTDWEAARELLQGAVAPPLERAFAASAPSEVVKSSYAAILQAANYASFSFRRALELEEKLAAREREAAALRGQLEEVKAELAAAKQAAEEKRAKARDVLAAAGAGIEMAEGGLAVAKRAGEAQAELAAARAEAVKKRAELAALKQAAEAEAEKAKAGLAAVEQLLASEEDVRRRAERALEGYERWRGRHAPVGA >SECCE4Rv1G0232020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193286289:193288058:-1 gene:SECCE4Rv1G0232020 transcript:SECCE4Rv1G0232020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPHIFPDLPLVAHNDRNHPAVQDHLALPNIARILMEEENDIDEDNPALLKAQQPFAQILSSSMHASTLMADQGGALASDMHSPSSGILKFKGVDETHSLPLLTNGEYSTDIFSTAFLKGMEEAKKFLPTNSELTTTGEQDQLKEKSGRGRKDLSDEVEADVSRTYRFVEAGACEVLYLNQMCSSMDNETGKSNKKGRKSKARVIDLHTLLIHCAKAVMDDRRRAGELLKEIQQHASPTGDAAQRLAYWFAEGLEARLTGTGSHVYGTLTAKGTSAVAHSEAYQAYISACCFRNVSFLFANRAIFNAAVGRSRLHIVDYGFRYGFQWSELLRWLAARDGGPPEVRITHIDLPQPGVHPEKHMEEIGYRLTDIARELGVSFKYRAILAQWQTVSIEDLNLDLEPDEVLAVNDLYNFRTLMDESVVIGSTNPRDTVLSNISKMKPDVFVQSTVNGSYGTFFLSRFREALFFYSALFDMLDATMPRENKLRLVLERDIFGWVVLNAIAYEGMDRVERCETYKHWQVRNQRAGLRQLPLDRETVKMARDMVKNKYHKDFLIDEDHHWLLQGWKGRILLAHSTWEADGASSHC >SECCE2Rv1G0128070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857803513:857804551:1 gene:SECCE2Rv1G0128070 transcript:SECCE2Rv1G0128070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPTLLALTLDAALLRIASLRDLSRLPDHILIDLFRRTLSAGKLTEKVLKLFLATDCEEIILLVQLLNIKQPLIPVLPTRCSEKF >SECCE6Rv1G0427880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:721392204:721399360:-1 gene:SECCE6Rv1G0427880 transcript:SECCE6Rv1G0427880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGMIASAVANQIASKLGELVKDEIALLWGFQDEVEGLREKMEDLQAVMLDADERMRRGERDGKAVGRWLAKFKSVAYDVEDVLDELDATMLTEKTQSKVKLFFSGNNQLLQRSTIAHDMKDVKEKIDKIVNEGQSLNFVQHEARVEGSKKKETFATSNYECKKTGMVGRDIEKEKVISLLLKSDADEDISVIPIIGMGGLGKSTLAESVLADNRVNVFGVKIWVHVSEQFDLHKIASAILKSINSCINLENCTLQYLHDNLKKELATIRYLIILDDLWEEDVNRLEELKRMLQYGCKGSKIVLTTRNQSIVRTLSTGYLAKEKKICPVPESEQINLGVLAPDDCWELMKQHALGPNDDQSGLQEIGKEIAVKCGGLPLVANALGQVMFELRTVKAWKDIRDTKIDLGLREVHQKNTLERLMLSYYYMKLEFKMCFTYFSAFPKGFIVDGHRLIRQWIALGYIPGEDDGERCINYLLGMSFLQISLSSSVSPGTRHDKSPWELTMHDMVHDLASIITVNEFLILDANCTEPRTWNRARYCRHAQLINFRDQPRVFKALPRTVRSLHFRDSGKLQLPRLAFSRSKYMRVLDLSGRSVGVQTTSSNLDLVRCLVEGQSTSSNIELPSFLHQSKLLRYLDATALPITSLPKSFHTLKYMQTLILSKCSLETLNDNICSLHKLSYFDISYNNNLNKLPPSLGKLSELSYLRLSGCSKLQELPESICELTSLQHLDMSECRAIQKLPYKFGSFPKLIFLSMSNCSKLIKLPDNISMESLEHLNLSKCHELQNLPKDFGNLPKLELLNLSDCYNVSVLPESFCQLIHLKDLDLSDCHDLKDLPNCFGNLSHLESLNLTSCCKMQVLPASICRLFKLRHLNLSCCMRLKNLPPSLGDLQLETLNIRCALSLRDLPDSINNMTSLTEFEFIPAVVEVSPKARVMKKHLNMPDPTLHIVHQIENRGCSSLVEIAQLTCRYLNVYGLENVWHLEDATRAKLRDKSDLRELALGWGLQREEDKALLERLVPPRSLEGFLLCGYMSKDFPNWMSHISSYLPSLTILHLTDLGCDVLPPFGWLPNLRILMLSNIPNIRKIGMECYGERGTYTKLRAIILSSMENLVEWWTTYSGEEDEEFLIPNLHYFESRDCPKLKFLPYPPRSMLWRLDNSDDVFPVRGFGKFSSSTLPIEMIIRNCSYSADKWDRLQHFPTLETFHVQFCNGLRALPEVTRCFTSLTVLSLRLLGDLELLPEWLGHLTSIEYISIIMCPKLTSLPESMKDLSSLRKLCFADCEGLDMLPEWMGQLKSLEEFYITGCHNLTSLPESIQSLMALKELHILDCPSLVQRCQREDAHKISRIPTVTLDGGKNKKPRKSSFLLVTYNGTICTFLVVV >SECCE3Rv1G0171970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:280197003:280199823:-1 gene:SECCE3Rv1G0171970 transcript:SECCE3Rv1G0171970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRVEACDSWRPPPAHAHAPSLLLRAGNPWGRSYPPCAFASLSVREGAVKAEDVGEDKERTLAQVAAEVSGTKGKIPPVAQLLKHPLALLALVPSSVALFAAGAGAGAVAKTVTAPLDRVKLLMQTHSVRMAGESTKGIGFVQAMAEIGKEEGIKGYWKGNLPQVIRIIPYSAVQLFSYEVYKKVFRRKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHGTMSQVALNMLREEGLASFYGGLGPSLIGIAPYIAVNFCVFDLMKKSVPEKYKSRPETSLATALLSATFATLMCYPLDTVRRQMQMKGTPYNTIFDAIPGIVERDGLVGLYRGFVPNALKNLPNSSIKLTAFDTMKILISTGQKELEKIMQENQEKTS >SECCE5Rv1G0349040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:680617868:680623939:1 gene:SECCE5Rv1G0349040 transcript:SECCE5Rv1G0349040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVSTNEDTGKGAMWELEKSLDQPMDAEAGRLRNMYREKTYPTVLMLQLAFQSLGVVFGDLGTSPLYVFYNIFPEEIEDTEQIIGALSLIIYSLTLIPLVKYVFIVLRASDNGQGGTFALYSLLCRHAKISIIPNQHKTDEDLTTYSRQTYDEKSLAAKIKRWLEGNQFRKNVILILVLFGTCMAVGDGILTPAISVLSATGGIKVEEPRMGNDVVVIVSVVILIGLFSMQHYGTDKVSWLFAPIVFVWFILIGVLGAVNIYTYDRSVLKAFNPIYVYRYFKRGKTSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTTVVFPCLLLQYTGQAAYIATHNDKVSHSFYFSLPARILWPAFVVATAAAIVSSQATISATYSIIKQALAVGCFPRVKIIHTSKKYLGQIYSPDINWILMILCIAVTAGFKNQSQIANAYGTAVIMVMLVTTFLMVPIMLLVWRSHWALVVLFTTLSLVIEIPYLTAVMKKIDQGGWVPLVFAAAILLIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPLDERFLVKRIGPKNFHMFRCVARYGYKDIHRKDDDFEKMLFSSLLLFVRLESMMEEYSDSDEYSALDRQELADEASSDARSAADLSYASRDSIVPVRSPNRLGAMSSAQTTTATPGFETVGDEVAFLNSCRDAGVVHILGNTVIRARRDSGPLKKLAIDYLYAFLRKICRENSAIFNVPHESLLNVGQVFYV >SECCE4Rv1G0272290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:767875923:767877360:1 gene:SECCE4Rv1G0272290 transcript:SECCE4Rv1G0272290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELAYAPPMKSGKLGFEGTQEVQHRIRITLSSKSVKNLEKVCSDLVKGAKEKQLKVKGPVRMPTKVLNITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSPDVVKQITSITIEPGVEVEVTISDQ >SECCE1Rv1G0001030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:3279838:3282219:1 gene:SECCE1Rv1G0001030 transcript:SECCE1Rv1G0001030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAILLAVSKIGTIVLNEVVTDVVQKLSRKLDALKELPAKVQRIEIELNTMNDIIQDLGSTHLNNNVIKGWIGNVRKLAYRVEDIIDKYSYEALKLKDEGFLHRYIITGSRHVKVFSKIAEEVEEIEKDIVQIKGLPKYWRDTIQPTKNEHAKIDKQRSGSCFPELFSDEDLVGIDENRSKLIEWLATKDKESTVITVSGMGGLGKTTLVKNVYDREKVNFPDAHAWIVVSKEYDVIDLLGTLLAKIRHTQESTTPPPLSMGAKADVYDLTEAINKTLQDKKCLIVLDDVWNKDAYNQMCNAFQGIQGSRVMITTRMEDVAALAQPKRRLVLQPLGSTESFKLFCSRAFHSNLNRECPLELKAVATKVVERCRGLPLAIVSAGSLLSTKQPKRFGSANGPKEMIRMDKEIRRLSTSGWRDSKSIVGVKFPRLRTIMSLTTASPCTNMLSSVLSGSSYLTVLEFQDSAITQVPASIGDLFNLRYIGLRRTNIQSLPHTIDKLSNLETLDIKQTKVEKLPPGIVNIEKLRHLLADRFADEKQTEFKYFVGVEAPHMISNFQELQTLETVHASKDLSLQLQKMMKLQTVWVDNINASNCDDLLKTLSDMPLLSSLLLSACDENETLSFQALKPVSTNLHRLIIRGGWADGTLKCPIFQGHGKSLKYLALSWCNLGIEDPLQLLASHLPDLTYLSLNRVSSAGILVLSAGCFPKLKTLVLKRMPNVKQLEIKKGAILDIDGIYIVSLSKLNMVPHGIESLETLKKLWMLDLHKDFKAQWNLNQMHNKMKDVPELRV >SECCE1Rv1G0003640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14849019:14849252:1 gene:SECCE1Rv1G0003640 transcript:SECCE1Rv1G0003640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGQSVARGCPTGEEKKTSWPELVGKSIEEAREIILKDMPEADIVVLPTGSAVTMDLRSNRVRIFVDTVATTPHIG >SECCE3Rv1G0171760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:277821522:277823535:-1 gene:SECCE3Rv1G0171760 transcript:SECCE3Rv1G0171760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKRCLAAILVASFYFSASLAYFPAHHEGEGAHPVEHGPKPGLSPHFYRSTCPQADEIVVSVLKKAIAKEPRSAASLLRLLFHDCFVQGCDASVLLDDSKAVASEKNAIPNKNSIRGFEVIDEIKAALEEACPHTVSCADTIALAARGSTVLSGGPYWELPLGRRDSKTAYMKLANKNLPPPNATLHRLVKFFGRQGLDKTDLVALSGSHTIGMARCVSFKQRLYNQHRDNKPDMTLEKRFYHKLASVCPRTGGDNHITALDFASPPKFDNSYYKLIVEGRGLLNSDQVLWTGKDPEIAHLVKSYAENESLFFEHYVNSIIKMGNTNPLLGPDGEIRMNCRRVNHVR >SECCE2Rv1G0142690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:942188331:942196000:-1 gene:SECCE2Rv1G0142690 transcript:SECCE2Rv1G0142690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEASIARSDLEQMLIDETIEPKALPLSLLKAITDDFSDDREIGRGGFAVVYKGILDNRSVAVKKLSRAYMHETEFDREIACLTRAKHKNVVRFLGYCDDRQRSAETYDGKLIMADVQQRLLCFEYIHNGSLDLYIKDAHRDWDTCYKIIKGICHGLQYLHDNRIIHLDLKPANILLDNDMVPKITDFGLSRCLDENQSQVLTRNILGTTGYLAPERFEGSGIARSGDLYSLGIIIMEILTGQKGHQTSEDVLESWSGRLEKSLQDTLYEQIRVCYEIALNCIQFNPKDRPASARDIIDRLHKMESIQKLRKSAIGILEVEILGARGLAAGAENTYVVAKYGKKWVRTRTLLNTTAPQWKEHYTWDVFDVSTVITLAVFNDYPGDANHQRIGKVRVRLATLETDRMYTQYYPLMALTPSGLKKMGQLHLAVRFTSKSWAKMLAMYGKPLPPKMHHTKETNTIYTPQTDYLRIQAMEMVASWLGRAQPPLRRDVVFYMLDYELHMFSLRRSRANKCRLMALFSGVIATWKRFDDVCKWKKPLTTILVHVVYLNLVCYPKLIPPFVFMCFIMTVAWNYRQRPRNLPHMDAVLSYAELSRPDELDEEFDSFKSSRPAGIVRMRYDRLRSIAGRVQTMAGDIATQGERLLFLPRWHDPRATTIYMMLLVMMALVLYLTPFRVVAMVIQLYFLRPPWFQSRTPNLLFNFYSRLHSNEGLMM >SECCEUnv1G0528400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3877877:3881686:1 gene:SECCEUnv1G0528400 transcript:SECCEUnv1G0528400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPPPAPPVTAPRRRPRREPSEPRSESDWEGSSSREGSPGADSADGDAVRRAARRWSDDRAARISGTAADAGRWLGEIERDRVRLVRDWVQVQMEAARDQGADGADPPHPPPSPAAGAGPRIRGRQARLELVMRLAADRHAELQRLSLRRAVSGFPHRNRIHALLRGRFLRNGGLPEEERRQPSVAARELGQLRQRHPVSGLRLENLVRGQAASRSDSSSAQTVELSTIDHSESSRTASSEDTQDTRQQANDNVDLQRIGETAIGSEYGSNAPSIAEGLSEPHSQEEGWQEDLEVVDGRRDWDQFSHAITTTGEGSGRNWIENADSSSSSSDERTTEAGDHQGASYLLETSDESTISDNNLPEAHEEQLDSNHLPEALEGNNHLQEARGEWNGEGNDPAEVRDEWHSEDHFPEINEVWHDDDESNGSAHNWHDDHSEQPVDQESTLVRRANTFTPGDDDNVYSTELRELLSRRSVSNLLDSSFRENLDRLIRSYVERQGRGPLSLNLQGTPAVAAATPDQQEQDQEEQRHGDDDEEQQLLRDAANVRPRLVIPPPPMPPRQPLWHSELHHNNWMRQNINRSDIEWEAINDLRADMARLQQGMGHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGEPKETIDDGSKWMNVRKGTCCVCCDTPIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIIEVIRAYFIM >SECCE3Rv1G0146850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12814542:12815006:1 gene:SECCE3Rv1G0146850 transcript:SECCE3Rv1G0146850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALSLPATVRQFYGSPQRHAPVLRSRRPFPCHGLLALRRRTRRCGAFGQDHYGGALVDEGMAVLRRRIREARMAETNYEAPAGWADWEKRYYPAYVSDVSALAGALQLLAMGTRPGVAAAVAALLLAGVPVSALAVLHLLGQAVESVMHHVS >SECCE3Rv1G0164680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:165179300:165180286:1 gene:SECCE3Rv1G0164680 transcript:SECCE3Rv1G0164680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTAPHVVDDLLGLVQILTDGSVVRGDESVLGPKEPFPDVPGVQWKDVVYHTAHGLRVRVYRPTSVVAGGDKLPVLVYFHGGGYCIGSFAQPTFHAFCLRAAAELPAVVLSVQYRLAPEHRLPAAIDDGAAFLSWLRGQAELGAGADPWLAESACFARTFLSGVSAGANLAHHLIVQVATAHLAISPVRIVGYVLLSAFFTGAERTAAEADPPADVFLPVELSDQLWHMSLPVGASRDHPVANPFGPESPSLAPVELPPALVVAPLGDVLRDRSRGYAARLKDMGKDVELVEFEGQQHGFSALQPFAEAANELMRVLRRFVYPAER >SECCE3Rv1G0205420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900228193:900229158:-1 gene:SECCE3Rv1G0205420 transcript:SECCE3Rv1G0205420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARCSLLLTAALLLALSHGAHGHGGAGAGLSSSFYDESCPGVGDIVRRVIQKARVTDARIPASLIRLHFHDCFVQGCDGSLLLDNDLPAIMTEKEVPANDRSARGFKVVDSIKRALENACPGIVSCADILALASEISVELAGGPRWSVSLGRRDGTTTNIESANNLPSPFDSLETLQEKFRNLGLDDTDLVALQGAHTFGRAQCQFTQRNCSAGQDEETLVNLDAVTPDAFDNKYYGNLLRGGAPLPSDQVMLSDPVASATTAPIVRRFSGSQKDFFKNFAASMVKMGNISPLTGRAGEIRNNCRRVNRKPY >SECCE7Rv1G0507620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:763822201:763826295:-1 gene:SECCE7Rv1G0507620 transcript:SECCE7Rv1G0507620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFVPSIFEPKVPWQSHACSLFFPSFSFFLSCTTREEKKQQRTAKQSHHPHHPCSAPSLPPSHAFLTLPSPRAPLAPIIPSTAPMASSDPPLIPVVLLLLLCAAPFLAFSSEPLNAEVMALVAIKQGLVDSHGVLSNWDEDSVDPCSWAMITCSPHNLVIGLGAPSQGLSGTLSGRIANLTNLEQVLLQNNNITGRLPPELGALPRLQTLDLSNNRFSGRVPDSLGDLSKLRYLRLNNNSLSGAFPASLASIPQLSFLDLSYNNLSGPVPHFPTRTFNIVGNPMICGSHGDCAAALAPATGPFPLESTSTASSTASRSKAGAVGVGVGTGLGASSLLLFAVSCLLWSRRRRQRCPSLLGEQGGRDVEAARLGNVRQFGLRELHAATDGFSGRNILGRGGFGDVYRGRLADGTVVAVKRLKDPSGASGEAQFRTEVEMISLAVHRHLLRLLGFCAAASGERLLVYPFMPNGSVAARLRGKPALEWGTRKRIAVGAARGLLYLHEQCDPKIIHRDVKAANVLLDEHHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGVLLLELVTGQRALEVGKGSGLTLTHKGVMLDWVRKVHQEKMLDLLVDQELGPHYDRIEVAEMVQVALLCTQFQPSHRPRMAEVVRMLEGDGLADKWEATNRPPHDGLGDDHRHDSIGWGSFNDYHDNGGSSLGSDEARSVDMAEEMELSGPR >SECCE7Rv1G0464860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:64795051:64799308:1 gene:SECCE7Rv1G0464860 transcript:SECCE7Rv1G0464860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRIPLFGSQQQQQQQQPEPNFQNISTQSWYPPSVGGSSSHPSTPSSSGASPHQRASDHPQPSSRGQPSPAEAAGIIARLRDKSVDELQRLLKDKESYNAFFNSLDQVKTQNNLRDELRKETLQLARENLEKEQRISELRNQCTIIRTTELAAAEDRLAELERQKDEIMKSYSPAALLDKLQSTMAKLDEESEELHQKFLEKDIDLPTFVQKYKKLRAAHHKCALLHLSGKASLR >SECCE2Rv1G0076250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89679199:89679764:1 gene:SECCE2Rv1G0076250 transcript:SECCE2Rv1G0076250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMAMSALNPDAPMFIPAAFKKVEDYSPEWWELVKTTAWFRDHWFRQHQLYEDLADDVDDVAALLPDDSVDLLDADDLFYSPPSPQPQPDFYHYKPAGFGGDMDAVLKTLSLNSPRGGGPAPAWAPMRHAEKPAQHVGPRAGGAARRAIHQPR >SECCE2Rv1G0128720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:864486650:864487444:1 gene:SECCE2Rv1G0128720 transcript:SECCE2Rv1G0128720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLATVGQPHRPSKVISHREHSELGHGLTREPTSEAKFKCNGCLEEVTRADRDTCKPCDFDLHQACNLEEGMTLVHPLLPKSKFKLHLEAPPSTERCSACGTHTQGTHYHCARTGHYLHPCCAMLPMEIKLSDDITFKLHKKGSNCCTKCKKGGGLRDYWFYCSTSTSKNVYLHVACAREDFLLQSSSSTESHSHVDGNGRVGKETALQKYGSGEAGMNLDTIGDIVKALAGIVLAVLTGNPVALISAGIDLGSNVIKSLKNK >SECCE5Rv1G0329560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:518572282:518573826:-1 gene:SECCE5Rv1G0329560 transcript:SECCE5Rv1G0329560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRCVHSDAGGFRLWPVFSAATMRRKLLEVLTCGGGGGSCRGRTSFRSPQPRAQSQPRPRSDRLAELLRAEPSECGDDEGEADAAARKAAALEELKVVVAALQDREGDVAGGVSWRVEAATVVRRKAKDDAMAREMLAMLGAIPPLVAMLDQGGGGEELLAAALYALLNMGIGNDTNKAAIVQAGAVHKMLRIAEGASGALTGALVANFLCLSALDANKPIIGASGAAPFLVRAFEAAASEQVRHDALRALLNLSIAGANAPHLLAAGLAPSLIAAIGDMSASDRALAALCNIVAACPEGRRAVSRAPDAVPVLVDVLNWSDDAGCQEKAAYVLMVLAHRSYGDRAAMAEAGATSALLELTLVGTALAQKRASRILEILRADKGKQVADASGIAATVSAPQERGGRGRGRRQGEEEEEDAAEGEACCMSNEKRAVRQLVQQSLQSNMRRIVRRARLPQDLAPPDGLKALTSSSTSKSLPF >SECCE2Rv1G0115980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:759646752:759653046:1 gene:SECCE2Rv1G0115980 transcript:SECCE2Rv1G0115980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQVEEWKGYYINYKLMKKLLKQYVQQTQIGGKDCEQVLKEFSRILDDQIERIVLFLLQQQGHLSRRIEELGAQRAAIMQQVDTSRVFQLRDDYREVGRDLVKLLRFVDMNATGLRKILKKFDKRFGYKFTDYYVTTRSNHPYSQLQQVFKQVGIVAVAGALSRNLAYLEHDHRGSFLSIYDNPSVVLQDPIIDQVNHAVQKLTHATSFMQYLGQHALIVQDDTPSGSEDNVDDESYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGIIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFSGNLLYALAYDLNSLTVLLIGRILCGLGSARAVNRRYISDCVPLKIRLQASAGFVSASALGMACGPGLAGFLQTKFTIYSLTFNQSTLPGWIMCVAWLLYLVWLWFSFKEPEHFAKEAARAAASTPQPSESGQQESGNLEEGLAQPLLLGSEERVDDNSEDNDDDEDAKSSHEPATSFASAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFNWDTSAVAIFLAILGLTVLPVNAIVGSYVTNWFEDRQILVASEIMVLIGIIMSFRYTPHYSIPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADATITAAGYLGTDMLLNVTLLPPLVITIVSITATFCTYNTLY >SECCE7Rv1G0459410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:25542999:25544946:1 gene:SECCE7Rv1G0459410 transcript:SECCE7Rv1G0459410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSCSFVSLSQVLIVITLIYLVLSKGKGRSGTCSLPLPPGPWSWPLVGSLPEMVLNKPAFRWIHRVMKDMGTDIACFRLGGVHVVPITCPKIAREVLKKQDKNFLSRPLTFASDAISCGYKDAVLTPFGDQWMKMRKVLTSEIICPSRHKWLHNKRADEADNLTRYIYNLTTGGSSSTLGLANVDVRHVTRHYCGNVIRRLVFGQRYFGEPQSDGGPGPMEVEHMDASFNLLGLLFSFCVSDYLPCLLGLDLDGHEKIIKEANTKVDRLHNMVIEERWRQWKDGERRDEVQDLLDVLITLTDDDGQPLLSIDEVKAQCKDIILAAIDNPSNAVEWALAEMVNNPELLAKAVEEMDRVVGRERLVQESDIMQLNYLKACIREAFRLHPVAPFNVPHVATADTVVAGYRVPKGSHVILSRLALGQNPTVWVEPLHFKPERHMGDNINVVLTESELRFISFSTGRRGCIAASLGTTMSVMLFGRLLHGFTWTKPAGVSAINLNESKHDLFIEKPLVLHAEPRLGVHLYPLMHR >SECCE4Rv1G0259490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:687636511:687638520:-1 gene:SECCE4Rv1G0259490 transcript:SECCE4Rv1G0259490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPPPPPESAATGGCGGGNDKVLAAAQHIVKSLATSKNAADDMIRILSGFDNRLSSITADLFPSPDLSSVEPPEISSAAALDAAEQLILLWDATPEALVFEAHEEDIAQYLTAVDVAVEHLARGGAGAGRAGVAVQLAMARLEEELRHHMVRHAVPLDPTGLCFSLRRLSLGSFDDLDFDAATPHSVDATPETARGGPLVSPFDDHAFDPVRPEAVDDLRAIADRMARAGYARELADAYCNIRCDLLDEYLSVLGVERLSIDEVQRVEWKQLNDKMKKWVQGVKTVVRVLLAGERRLCDQVLAVSDELREECFVESTKGCIMQILNFGDAVAVCPRSPEKLSRILDMYEALAEVIPEMKDLCLGSSGDGVISDVQAILDRLGEAVRGTLFEFGKVLQQESSRRAMTAGEIHPMTRYVMNYLRLLVVYSETLDGLLDDGGDESNALERPEDKDQDTEHLESMTPLGRRLLKLMCYLEANLEDKSKLYEDAALECIFSMNNLLYIVQKVKDSELGKILGDHWVRRRSGKIRQYSKSYLRISWMKTLSYLRDDVHGSGGGSGSGSGSGHSGSRMSIKEKFKNFNLSFEEIYRNQTLWKVPDPQLREELKISISENVIPAYRAFLGRYGSQVDGGRNSGKYIKYTPEDLEGQLSDLFEGSPGSANHSRRRT >SECCE5Rv1G0309540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:155248703:155249176:-1 gene:SECCE5Rv1G0309540 transcript:SECCE5Rv1G0309540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSPGGGRLSGSDGDSGATFVAGDNRREKRRLSNRESARRSRLRKQQHLDELVQEVARLKAENARVLARANDITGQFVRVDQENTVLRARAAELGDRLRSVNQVLRVVEEFSGVAMDIQEECPPDDPLLRPWQIPYPATAMPIAATATHMLQY >SECCE7Rv1G0500800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:675023555:675026740:-1 gene:SECCE7Rv1G0500800 transcript:SECCE7Rv1G0500800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTYPLPFLLLAVLLLAGESSSQPAGGDQDALLAIKKDWGNPAQLASWDPAAHADHCNWTGVACEGAGARRVVTGISLPKLNISGNVPPSVCKLASLTRLDLSYNNLTGGFPGAALYGCARLRFLDLSNNGFDGVLPDDISRLSQAMERLNLSTNHFSGAVPAAVAGLPALKSLILDTNQFTGVYPAAEISKLAGLEELTLALNPFAPAPAPPEFANLTNLNYLWMSSMNMTGEIPKAYSSLVKLQMLAMTANNLTGEIPAWVWQHPKLEKLYLFTNGLTGELPRNISAVNLIELDVSTNKLMGEIPEDIGNLKNLSLLFMYTNQLTGTIPASIATLPKLKDIRLFENKLSGELPPELGKHSPLGNLEVCNNNLSGRLPESLCANGSLYDIVVFNNSFSGELPKNLGHCTKLNNIMLYNNRFSGMFPAEIWSFPKLTTLMIHNNGFTGALPAVLSGNISRIEMGNNRFSGSFPTSATGLGVFKAENNQLSGKLPDSMSKFANLTDLLISGNQLTGSIPTSVNVLQKLNALNLSGNRMSGTIPPSSIGLLPSLTILDLSGNDFTGVIPPDFSNLKLNWLNMSSNRLIGMVPLSLQSAAYETSFLGNHGLCARKGSGVDLPKCGTARDELSRGLIILFSMLAGIVLVGSVGIACLLFRRRKEEQEVTDWKMTQFTHLRFTESDVLNNIREENVIGSGGSGKVYRIHLPARAGGGDEEHGGGGRMVAVKKIWNARKLDAKLDKEFESEVKVLGNIRHNNIVKLLCCISSQDVKLLVYEYMENGSLDRWLHHREREGAPAPLDWPTRLAIAIDSAKGLSYMHHDSAQSIMHRDVKSSNILLDPEFHAKIADFGLARMLVKSGELESVSAIGGTFGYMAPEYASRLRVNEKVDVYSFGVVLLELITGRVANDSGADFCLAEWAWRRHQKGPPFSDVVDEHIRDPANMADILAVFTLGVICTGENPPARPSMKEVLQHLIRCDRMSAQAEACELDYVDSGAPLLEAKKGSQRRDVSDSGRWDDDEEDSGNFVVHAV >SECCE3Rv1G0182460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:628840105:628841631:1 gene:SECCE3Rv1G0182460 transcript:SECCE3Rv1G0182460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERQAHRSISSCILLVAVVLLLSAPGSSASCYKRIFAFGDSIIDTGNFVYSTGSAPNALKEFPYGMTFFHHPTGRVCDGRVLLDFYAQALGLPLVQPSLPEQRWGQCTFGANFAVFAATALPPEYFKNRWGLNIPGSANLGMQMGWFKEVVQRIAPGPGARRLLGESLIILGEIGGNDYNFWLLGNNTRTRETAYQFIPDVVNRIITIAQELIDLGAKTIMIPGNFPIGCVPKYLNDHQTGNRADYDQFGCLRWYNDFSMRHNTALFNEVNRLRAQHPWVKLIYADYYGAAMEIFKNPHRFGIGDPLVACCGGGGRYHVGTCDKHSAIMGSPANCANWDGIHMTEKAYNVIADGVLHGPYANPPLLHSC >SECCE4Rv1G0238340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:375607903:375608100:1 gene:SECCE4Rv1G0238340 transcript:SECCE4Rv1G0238340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >SECCE5Rv1G0345580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:657051249:657051710:1 gene:SECCE5Rv1G0345580 transcript:SECCE5Rv1G0345580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKCQRMLVARAGARRRHASDTADDECCSTVSSVVADEGHCVMYTVDGTRFEVPLAYLGTTVFAELLRMSEEEFGFASSSDGGRIMLPCDASVMEYVLCLVRREASEEVERAFLGSIVGHCHSYNASCMAPSMGFGHQFALCT >SECCEUnv1G0548170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:180869523:180872148:1 gene:SECCEUnv1G0548170 transcript:SECCEUnv1G0548170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAAAALRGALTASGARSYSTSVAFPPASSRLFSTGASGAVAGSQDDSPSGEGHTYGRFYTNISGVGRLGKNMLKTDVIHYLDQCELSLDDVKIDYNKGFYPMGALLKFPSVQSFETAVRQTIQGRMYRLERVSPDEWEHKISLNGRAVLLQGVPRNAQNDDIERFLCGTNYEPPPFENFIRAGVPEPVRMVLVKFGSRTDATNAFIAKNKGFCLNNPVTVRVIQ >SECCE7Rv1G0504950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:728581670:728590861:-1 gene:SECCE7Rv1G0504950 transcript:SECCE7Rv1G0504950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLDASPAPATPAAASDPAPAPSPAPAAAPAPAPAATASLVLRPRRESFEHGLLPIPKLVFPEGTLTQTLAQLKAKLAAPGPGRVGAAALAEALQIPADQAALALGTLAAVLPGDDDGPAADGAWEADLRDVLLFLYIQSYKRLVPRAHKDSPSVADVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEAHQLSYLQKHMANVLTLLADSVDGEGDESMVLTMETFEHLGFLLQFSEGTPLSQAAPFFANSDPDMPAAPVPATQVHDWILQNIASSLEYTAEKAITKENNQRSVSDPDVAMADAVTNTRIQSSSSTGASVQNNPGYYRNTSFVEGISKTSVVKHGSDIKGHSIKVLNCHDSVIYILAPLKYATVYGCSDTTVVLGAIGKVVKVEHCERVQIVAASKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLAQVGVDPNVNKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCLDPDLFTDFMIPSWFEAEGPTKYNPFTLPEVYWASQRKKNASLEDIQKNIRELELDDNRKKELACALHAQFKDWLYASGNIRQLYCLQGE >SECCE4Rv1G0255020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:643456757:643457520:1 gene:SECCE4Rv1G0255020 transcript:SECCE4Rv1G0255020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPVQESWELEPSRPRVLLAASGSVAAIKFESLCRIFSEWAEVRAVATKSSLHFVDRSSLPSDVILYTDDDEWSTWTKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYQKPIFAAPAMNTFMWNNPFTARHIETINQLGISLVSPTTKRLACGDYGNGAMAEPSQIHTTVRLACKSQTFGMGISPANPSSSHPV >SECCE2Rv1G0080110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:127163312:127165177:-1 gene:SECCE2Rv1G0080110 transcript:SECCE2Rv1G0080110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLVWMVAAAVAAVLASWAFNALVHLVWRPRAITRQLRAQGVGGPAYRFFAGNLAEIKRLRADTAGAALDVGDHDFVPLVQPHFRKWIPIHGRTFLYWFGARPSLCVADVNTVKQVLSDRGGLYPKNIGNPHIARLLGKGLVLTDGDDWKRHRKVVHPAFNMDKLKMMTVTMSDCAGSMMLEWKTKMDKGGDMEIELSHQFEELTADVISHTAFGSSYEQGKKVFLAQRELQFLAFSTVFNVQIPAFRYLPTEKNLKIWKLDKEVRTMLTSIIQSRLATKDTMGYGNDLLGLMLEACAAEGGHNPILSMDEIIDECKTFFFAGHDTSSHLLTWTMFLLSTHPEWQEKLREEVLRECGSEVPTGDMLNKLHLVNMFLLETLRLYAPVSVIQRKAGSDLEVGGIKVPEGTVLTIPIATIHRDKEVWGEDANEFKPMRFENGVTRAGKHPNALLSFSSGPRSCIGQNFAMIEAKAVIAVILQRFSFSLSPKYVHAPMDVITLRPKFGLPMILKSLEM >SECCE3Rv1G0160900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:109930304:109932492:1 gene:SECCE3Rv1G0160900 transcript:SECCE3Rv1G0160900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWVKSLIGLKKPDREDCSKNKLGVPSVLGGGGKGRKWKLWRSSSGDHGSLWRGSRGGSQRSAASEASDDASSLAAAAADMFTAALATVARAPAKDFMAVRQEWAAIRIQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLIQARRTKLDILREAEEGWCDSQGTLEAVRVKLQKRQEGAIKRERAIAYVYQGVAKCNQPKGSNGRPNQSGLLLKHQHCDKNNGSWSWLERWMAARPWENRLMEEHNQTNASSPDLVPSKNCEDAFGVLGDFSEPNSVKVRKNNVSKRISAKPPGATHPQRFKAQSISSLSTELHNDESSASSSSCFASTPLSFSTLLTPEKTNNGSSSVRSRPNYMSLTESIKAKRKPCGAQRTTASSKQLDGRKAPGAELKAAKA >SECCE4Rv1G0215420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4749505:4752104:1 gene:SECCE4Rv1G0215420 transcript:SECCE4Rv1G0215420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTSSGGSGSRRGLISCLHSLNCRDEEASLPHDDDLQEATRELIVGFYDEAFRRLRLPCKIIPHLYGILSTAGLCLGLLDPVSNIILNTLALLNPKTKAPSAAAAKTGSKKRRRPGFDPWHEVATRSCHSLMAFLVAYFGCINEEQAFRYLYRARANLPLAVMLVHHDLYHVDEPEALDPESDRTRAALEWAATSAGHHSPATLARVMAIRLKNGDLDLFKKKLFSAHGTLTSEDVRAIHRVLHMMMTPMCVANISHTKDGMVVHARQNGGDAAIWSETKPLVVSSAAADARITSTVLHCDRIAISSLHSGLPSKLLDCLRIVDGQKHILKTPCDGDACDYLQSLKMYLHGMIHNLYVEAFKLLPAPSGSLMRNILMAGHCYGPCDPLSNIILNSIWYDTRGSILSSSDRTALNEYNDVLDPLSLLRLVVRSLEGLTNLALFADPQSSIACALEKLCSSKCVVLADMLSSATKNPFHEAAMAARHPVPLKLGEFHQMLLLEHDDRSKLLSHITKAQTSGGVLCINDIGDLIWALWFKFQETSMSETAATVQALAPQFCVDADLRWRMISSARSEYEERRSWLRSKIKHVLKDYTGQHFWGPKYKLDFIFGVEEIDQGRPPLSDTCYHVNFMATSDEDVSRTLFYAELWSWPSRKPRTEFCCPLPSANVGRCYYDMICARKIVYPDDGKYFRDDITHKGTGNVDGVLQMDLVHFSSELDVELARNLNMPPTSDPEYESKSSDSEYSEYESMSQD >SECCE3Rv1G0193760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:774455346:774455818:1 gene:SECCE3Rv1G0193760 transcript:SECCE3Rv1G0193760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFAACLVLLAFAMAVAAEMAPMMAPMAAPAADAGDCNSDLQDLVANCQNYVMFPAEPKITPSPACCAVIQRADIPCLCTKVTPEVEKIVCMDKVVFVAKYCKKPFQPGSNCGSYPIPGLTV >SECCE1Rv1G0023690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:310188005:310188892:1 gene:SECCE1Rv1G0023690 transcript:SECCE1Rv1G0023690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSGAAAAGRKRKGSSGRPPTSSKRRRAPEPAQEASGWASLPTDIAGLLSWRLLAEDVVDYLTFRAVRSGWRTSTSDLGILALSKPQLRQRGWIALCDGDGAPLDGAGEITFFHTRTARRLRVRLPDLRYHRVVGFTDGLVILLHKRTTVVRVVHPFTLATVELPPLAPAFRSAIKDREALFIMSAFVCCSPTAPFSVVARFPWKPMVLWTQPGRLRWEVIHHGMVELHNFLPFQGLLFATTKWRKEIVMVYPPRKISMAYSSLAGLSIWRQYLVEVAGRMVLSIMFIRKKEID >SECCE6Rv1G0410280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:596334029:596336535:1 gene:SECCE6Rv1G0410280 transcript:SECCE6Rv1G0410280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVKGVWGQLLGKKGEAVRELEVSIRAKGNVTETEDAMLRACNLFSNLSYTVTSAVVSLVGAFASGQVHKLVGGQPMPRLFRLAFSAGVGLTAGKIMYYESLHTSSLYILGRGEEPFDRLKMELANIILTKHSDEKLSVEAVKKHFFAENLYTDQYQDKALFRWRQRYSYVDSTYLDRAKEIEGNNSVDKDKTMSAQTTGSFGNLMEDPLACILGSPDSDMENDKPPERSATILRKRDLRARRRSQRHHRRHAAL >SECCE7Rv1G0484310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343674313:343678002:1 gene:SECCE7Rv1G0484310 transcript:SECCE7Rv1G0484310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGHSKPPRRDQDAAVALCCDRSALLAEAIRHRYALADAHRAYAASLKAAGAALHDFLLLPRPTPEPPALPLRRKGDQLPAADAPNNKADDDAGHICFHSDDEDGSDGAHISFPADDDDDDDDEGDTHVPRLEPLPPAAPAPLQVVPPFVSGYAPPLYSYVPGHAYGYGPDIDGYGHSFFNISYARSQPPPLSVSCEHRTQATNATVHYYPGNGASGPPLPGSYHGGYQYRYPNDGGFHEAAASSVDGAAPPPPRVSSWDFFNPFESVESYYREEPAAVAAHTPSRTSKVAQEGGQILESENAKVEEEVVKEAGNNGNHDSEADRTGLAKDEGRSSAGEEPHRESKSSEASSMVHDVHVVEKSVVEEHSDAAAVPGKSYNDDIEVAREIRSQFEHAANSAANVSKVLEVGKMPYHHKSPGLKVSSSMIICGRPSVGEEFLQFVEDKATEHGNLSSTLQKLYMWEKKLLEELKTEEKMRVLYDKKCEELKVLYERGAEAHKLEAIETYTRKLSTKISVAIQVVNTISKKINKLRDEELWPQTNELIQGLMQMWHAMSECHKIQCHALSQAKSIDSSVAATRFSEAHIDLIKQLELELLDLVASFAAWVNAQTSYIGTINEWLKTGIDYVPEVTDDGTPPFSPGRLGAPPIFIICNNWATRIARIPETGVVDTMQAFASQVLHLWEKYRLEWRQRMMANREMDKELRVMERGEVSMRKALEAQNKKLVLVSNQSGVSLSAQAVRDADPPSEAGLQSCMNKFFQAMESFATACANAYKDLHLRCEEETARPAQETDRVS >SECCE1Rv1G0037230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:520262739:520264635:1 gene:SECCE1Rv1G0037230 transcript:SECCE1Rv1G0037230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCGCPVGATLLALAVLLAASAVLPGAAGFHLGGDESGLVRGMLAAVRERAEAEDAARFAVAEHNRKQGSALKFTRVVNAKRQVVAGTLHDLMVEVVDSGKKSIYKAKVWVKPWQNFKAVVEFSHAGDFQSESSVASDVSTGQATLKLSLQTDMAPKMHSNENTGLSVDSSSSQE >SECCE1Rv1G0042780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:583994963:583995976:-1 gene:SECCE1Rv1G0042780 transcript:SECCE1Rv1G0042780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVTPAASVVSELVVRGRKSAAVLEALLQGGSPQEHGGIRELAAEILLCCDRALAALHGRDGVHAIALTSRKRKSSEPYGAAAQTRPKRRTHASSGSTATRVEKRSTAEDGLIWRKYGQKEITHSKHPRLYFRCSYKHDIGCPATRQVQRSDDDLSLYVITYLGDHTCCQGDGVTVAEEERVKMQPFVINFGSATASSTSGSPWQNSDDGDGRREISRPPHAVCLPEGEGNELLGLKVTKAETTSLDSQPAGPAPAELSLSPDVSCASPAWDPLSSCLEWDQFAESSFDFVSEFINFDGIALYQ >SECCE5Rv1G0350530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691097582:691099504:1 gene:SECCE5Rv1G0350530 transcript:SECCE5Rv1G0350530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVAAAEPEPKKLRREEQKPPATRTREGGRGGEENLDHISRMPNDILGTVISLLSTKEAARTRVLSSRWRHLWRSAPLNLVVDRRLSGRERDRVAIVSKILATHHGPACRFSLAIGAIRLRRELYAKFDDWFRSPALDGLEELQFYGGSNPLPLSALRLAPTLRVASFRDCGFPDIDAVPALRLPRLKHLKLPGVAISEEALRRLLAGCTALESLELQGNGLSTLRIVSQTLRSIAVYVSYYHKSDVVLQELVIEDAPCLERLIPLDSGDVPMAITVIAAPKLTVLGYLSSQIYELVIGTIIVKEMIPVSLTASMRTVKVLVLESIGPNLDTIARFLRCFPCMEKLYIQSRFRKDVKNVGPYNILIDPLECLNLHLRAIVVNTYRGMQPDVNFAKFFVLNAKVLKVMKFGVCGTYNEKWMANQQRRLQLDKRASRDARFDFERDVGGCWFSNKKHTHDLWIADPFDSSLCNCC >SECCE2Rv1G0067000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:17313103:17313667:1 gene:SECCE2Rv1G0067000 transcript:SECCE2Rv1G0067000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGACEMRVSNEGFDATECGCKLTPVTRVCNEGFDAGRRFLSCPYEGLNSCGYLKWMDDAWQGRSRVVIKQLADDNQKLQNALLDKEHDIQRMKKERNKLAEQRKSREKIDLFVVLVVFASLVTYALVAIVSRGSV >SECCE7Rv1G0461840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:40536617:40539234:-1 gene:SECCE7Rv1G0461840 transcript:SECCE7Rv1G0461840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLARSSAAAVAALALLVSAVAGDVFFQEKFDDGWEDRWVKSEWKKEDNTAGEWNHTSGKWNGDADDKGIQTSEDYRFYAVSAQYPEFSNKDKTLVLQFSVKHEQDLDCGGGYVKLLPADVDQKKFGGETPYSIMFGPDICGYTTKKVHAILTKNGKNHLIKKEVPCETDQLTHVYTLILRPDATYSILIDNVEKQSGSVYDDWDILPAKKMRDPNAKKPEDWEDEEFLPDPEDKKPEGYDDIPKEITDPEATKPEDWDDEEDGEWTAPIIPNPEYKGPWIQKKIKNPNFKGKWKAPLIDNPEYKDDPYIYAFDSLKHIGIELWQVKSGTLFDNILITDDPEYAKKFAEETWGKHKDAEKAAFEEAEKKRLEEESANAKAEDNDDSADEDQDDDGKAAGASDEEPQQASADEKAEEISKDASSSDKKDEL >SECCE4Rv1G0261700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:703617432:703619288:-1 gene:SECCE4Rv1G0261700 transcript:SECCE4Rv1G0261700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFPDGHHVWLRSRVHGTYLNANSDGKTVSLRGRRASLKAAWTVHIYGEGDAVYLLLHSAAYGRYLAAAVTRAPLGHKGFRAEQRDYNEPEDQAIMWRAIAAGSGDDVMLRNVGGRYLRANGRYLRWNNGVSVDDMDDVSTMAHWIVETIPAREAGMPDLPGPPNLLGQAWRLIVFVRANGWHMFPFRGRSVNHLRNELAERIAFIDGQDPDGIAMCVRAGLCGRLTPLVVDLPHGGHDETLEIVVMLSGTPAYDDLRYPDVDAV >SECCE7Rv1G0493200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:565662914:565674958:1 gene:SECCE7Rv1G0493200 transcript:SECCE7Rv1G0493200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMBRYO DEFECTIVE 140 [Source:Projected from Arabidopsis thaliana (AT4G24270) UniProtKB/TrEMBL;Acc:F4JQ75] MATPMEEDRLEAAAAPPADVAGGGAEDGEKPDAAPMESDSDSSDSDDEGDAGDELRIQALERALLEQPLDYESHVQLVQCLRKSGNIEKLRAAREEMNKYFPLTPKMWQDWANDEISLSSGRGSFDDIEKLYERGVQEYLSIKSWRDYLDYVEEHDQSVSQCSQSGLSKMRDLYERAITAGGLHVTEGSKLWEAYREYEMAILTISDGNDEEKAKQVQRVRALFHRQLSVPLADIESTLAAYKSWEAEEGNTRDPDSQIDDVPPNVLSAYKKASEMYNARKQYEDELNDAAESEANKLQVFLKYIKFEESFGDPARVQVLYERAVSELPVSSDLWMGYTSYLDRTLKVPAILKSVYHRATRNCTWVSDLWVHYLLSLERIRASEDELRHVFELAIQCSFPSMNEYLNIYLTRVDSLRRRMPTGLDFELIRQTFVGAAEFLSPQLGIEELLLLHAYWAKSECNIGKDIAAARAVWENALKRSGSVLEVWQRYISMEIEMGHTHEARSLYKRCYSKKFAGSGSEAVCHAWIRFEREHGTLDDYDLAIKKVTPRLKELIMFKAQQEAKSDPSSAPKETSYANDSSQKRKPSKMTSKVQPPAKKRKDNPPKNTVSSDDHRSKEQTTGSHLQEAGVVSRGKTEAPVEMKVEGDSKGGNTSSNQPKPYFYSDKCTAYMSNIDLKATELHIRGFFSDIGGVVDIRLLRDRSTKKSRGLAYVDFSDKDHLEAAIRKNKQKLLSKKVSIAPSDPSKSKKNREAGTSSKDKLPSGGDHGEKAPVDGTSEKEMPKGSTKITGKNTLFAPRALVKPLGWNKKDEKPDAAAEELKSNDEFRNLLLKK >SECCE7Rv1G0524240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885559930:885560937:-1 gene:SECCE7Rv1G0524240 transcript:SECCE7Rv1G0524240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACATAIDELAITITSLPLETRFPPFRLRHYGGFWLLEKFLEGVPAIHSVFEPRPSDVLLASFPKCGTTWLKALAFVTRNRAEHPPRGLDHPLRRRNPHDIVHYLELQFAQSMGHVVAALPSPRVLATHLPYSLLPRRITVEDSGCRIVYICRDPKDAFISSWFFTKKTVAAVAARAQAGEEPPPYMFEEAFELFCDGICVSGPQWRHVLGYWEASRRQPEKVLFLRYEEMLRNPTSNVKKLAEFMGCAFSGEEEATGVVQDIVELRSLESLKNMDVNKSGSHGPLAHESFFRKGVVGDWSNHITPAMAERLDKIVEEALQGSGFTFDVAEPSA >SECCE4Rv1G0214460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:685970:687340:-1 gene:SECCE4Rv1G0214460 transcript:SECCE4Rv1G0214460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSLPANLQQMSAGCIYLGSNNLTGQVPSLSINIYYLSLSSNSFSGPLPAELKAPQLRELLLANNQITGTIPSSMCQMTGLEMLDLSGNSLTGDIIQCWKGSDNNSSVSSTYSVDQFGSAMYSLALSNNDLSGEFPKFLQSASQLMFLDLSYNRLFGTLPMWLPEKMPKLQILTVRSNMFSGRIPENLTCHENLHYLDMARKNISGTIPWSLSNLKSMRVISPNIKESGFVFEDSMAITTKDETRDYTFHIYRLLVILDLSCNSLTGQIPDEISLLVGLNSLNLSNNLLIGEIPNQIGDLKQLESLDLSYNELSCEIPSGLSALTSLSHLNLSYNNLSGAIPSGPQLQALDNQIDMYIGNPGLCGYPLSKNCSVSPTDAAQSVNHEDADHISYLYLGMGIGFVVGLWVVFCTMLLRTTWAIAYFQVIDKLYDETYVRVAITWARLMKKTHEDAA >SECCE5Rv1G0326580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:481450023:481452338:1 gene:SECCE5Rv1G0326580 transcript:SECCE5Rv1G0326580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALAGRRRAAKVMTVDGATYRYKTPAAAGAALRGHPGHQLLESEEVRRLGVRARPLDRDAALKPGKLYFLVQLPRGGGGRGEDDDLRAPHKTWSGALHVGARERLESLMLSRRTVSDVASLMPSAARAEPASASAARSSSVEAGADGAVRLRMRLPKAEVARLMKESKDPAEAAERIMQLCVARDQGGAAAAAAPAKPAALPASALCTNKPAIKKEKRTRFMAVPDEIIG >SECCE6Rv1G0411730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:609864703:609877699:1 gene:SECCE6Rv1G0411730 transcript:SECCE6Rv1G0411730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of separase [Source:Projected from Arabidopsis thaliana (AT4G22970) TAIR;Acc:AT4G22970] MEAAAADLLAALSSPSSRAGLHSRFAAYLEPFSPHLPAANPNPKPPPKRATKQAKPPPPPDAAAVRPLAKRFLSFLCRALQLLPPLLRPNPSEGDAAGLDELLEIYGLTLDCLAAISTCLAGKPYSVLLQRGRFVCCLESRGHYARAEAEAAATLDALRCALSPPTAAKPCRGAASVAPLLPEPGIAGEAGADPEVTTLAVELTVCLANCASKGKVKENACYERVLSLFEQLRPWLRIPTEEASKKYATLLVNALSRCAIFLAAESSFFDADLVREFCVATLGECEKAQMIERLPMVARKICSSVDLSWGESTSLLLAVLESVLRVKAYLPKAVNEFLEFVAYFSRDFLSNRDVNAGASKLLSEQGGFSSEISPPIASVLHLYATGLHFSRQQMESEEQPSMSVDFLKNEKGLQTLNNALGTLERIFCFTDGKSSKHDNLGKYSSTLTDAGHPNRRHIYSYSQSHEHINFLAYLDSLEFVCKILLQPANAVWERFFEEKTVPISGKMTCVLMALDLFIDSSLFAYSCTKMSEEEKERLHEHRGTLLRAIVSAIKISFVTKEAIQKSLYSINCAISSTWIKLEELKYLISSIGNIGVTLYNIGHFEEAPKALELCCQATWAQIRVSYCRLSARTEGHIIIEDLPKDTLKDIITDAFARIGKMVDTLHRCGSKVIRDIVVKSLSELLAHGDTSDYHKSYLVLIKLWVKITLKDFANDQNVDSAPLLYHSLMGYPSPLPKKLIGSILEQELLAYGAMDYRATKLCGHMQQRIINILLNELYCSKEYYLERSKVLLRKARALRASGVQNISNCLDSLSEAISLLRGILLDSSRGNTIVMHELAIAYCLHAHCAQEANRGGKVIFDNARSAVGLWSKMGTSHHSSPGVIFQQPSETFVPLLCSLVDLLAMKGHFELQFELCKLIITMWKQENLPVEKLLSMLFINRRLNHACCHLPLDQKFVSYVADHLSVDCRNTLFWRNCFKGDYPSLSMFLQQLWPVDFFSQSCEYSLGNQFGFNASVDGIDEVASSLASEVPLNNQSTYLAGCLYYDLSERLLSRGQLLQAISYGREALQLRKKLLKKKFKFNLGKFVSMESECSGGQGFVSLEAWGSTMAEIWPDCTRPSSMRDSFLTPWNVLKCYLESILQVALMHELIGDGAEAEVLLRTGKEISCFQGLPIFAVVFTSALGQLYCKRQLWDAAEGELKHARDLLKENGEFISCEACRLTLDISVDVQAGDLFWNQFEKDWQKHSTCNLSRALGMYRSAMEKLNDTSLEFSAGSCGKLNNISCILSSKDCIAETKRGACNRGKKPLAAKDGVVPPCTPCLLFSQARIDQYNELVGLKSERENLKNAESAPPLDVNVKKTSRTSSRLAKEQNAAVHAKTRTTRSSKRTAHVKSEKDLAELNSKNDISGSDKVFTDALVCGKLNCSLDGVDCSRNDICNMFGCWNCLFVNSLNSESIENILQFRKDCIRRRHLVSLLLKTARALGAQGGKHGAHEVHSIYWQCISLLYFRSLPQGCYRTYEPHLIGLIMNENTGDFLSLERAEILCSMSFFLLKGFLSEQSRDDCCHFSSVQMADVVSWLLKAFVLSGENPSLLQEVCRLLTCIFLLSTIDSTVQLPLYSKGSLSLNHWAAYFHQASVGTYLNCHYLASLQALPRKKDSKGFVGDFANKIDEVPKFLRFSSADMEHLEKHVSEFFNQLPDVPIVCISMLGGDFVNVLGEALLLPSLFPAWMLLSRFDSTNKPTTMLLPVDSISKEAHNEDSSIKELDNPTRASDKKWKCPWSCTIIDYVAPTFRTLLEDNFRSLSGAIDIPKDGQANTVRWWSDRMKLNNDLNEILENMEKLWLGPWKCLLLGHQLADQHSEAVLENLITGLESEFKLEANPALMKVILGGVASVDELEECVSQLVSYKGYFGRGGCCGRDRLRAFSCQIDAEALVSLEHLCNGVVNELAGPIERNPVILVLDTDVQMLPWENLPVLRNQEIYRMPSVRSIFLALTRSTNHQKDASVIDPPFPVIDPFNAFYLLNPGGDLISTQEEFDQLFRNYEWKGNAGDAPTAEELVLALRNHDLFLYFGHGSGSQYVSGKEIEKLDNCAAALLMGCSSGTLHCKGAYAPQGAPLSYLFAGSPSIIANLWDVSDKDIDRFSKALLNSWLQENVTAAKNCSKCCPLTQEFESMTIGAKDNGRSRRKGARGKKQQQTTEMESSSSCCNCGHRRIASHISEARRACRLPLMIGASPVCYGVPTIIRKK >SECCE2Rv1G0129940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:871822312:871824106:-1 gene:SECCE2Rv1G0129940 transcript:SECCE2Rv1G0129940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MEMSLPRSRTFAPLTLTPRPVSSQRHHCRLPSRSFFPPQPPPPSRGDRMCSRAMQQRQEWVGDWVRSNDTLVRALPILVGGASLLAVLLNRAVSGIAAVSDASSSQSRADILTLALSVTDILAGLVWLSIRPKTISPVAPRGVDCKRVDADVSSSALRELLWTWDSLTAATCCKSLVVVYGGNCKLQIGVAAASPEDGNAVNVDAQKFMQGSLYKSAMESKKQSYLANLALYPGRTEVPFLPANTQALILQPIGDKGIAVIGGDTIRGFTNLDQAWIAMIADKLDATLSKY >SECCE2Rv1G0133660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898057311:898058612:-1 gene:SECCE2Rv1G0133660 transcript:SECCE2Rv1G0133660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEDSPAAAESYSKVLQGRYELGRVLGRGGSSKVYRARDIRTGVSVAVKAVRKPHHPCSPEKAAASRRSVERELAALRRVQGHPHVMRLLDVLASRSTVYLVLELARGGTLLSAMDERGRFDEPTARRLFVQLVSALAHVHSRGVFHRDVKPENLLLDEHGDLKLTDFGLCALAGRHLGTDGLAATRCGSPAYVAPEILFKKRYDAGKVDVWSSGVALFSLTAGYLPFNDGNLMGMYRKIFSGRFRCPRWFSPELRGLFGRMLDPNPDTRIKIGEIMEHPWLQQDGTSSFGNIIRAGFSDPRPEVVKWEAEMEQVRELNAFDIIAFASGCDLSGLFGPLPDRVRFAVLGVDIGSVLDKAEEIGREEGFAVRRKEEVGCGGVMFEAIGREIIALVRVSRLLEEMVVVEVERASSSEAPKLWERLQLGLKFSND >SECCE6Rv1G0406930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:552607272:552608222:-1 gene:SECCE6Rv1G0406930 transcript:SECCE6Rv1G0406930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQSTGPSFFNFLKEGVLLPTRNRRLFIAVGAIIVASTSLLLLGSDLAVQPLADEIQLDAKALNGTDPGSLEYAKLVQEIQNDTKALLLVGAGYLLFAVVVSSAVRIILLFATVLTYSGEQSTTFTVLLGKAKAQLKGPLLTLAFVYVLEIVYIVFLALMGALLVVLMVKQYLVLLILASLLVLSAAISFVYFSFVCSFSVVVAVAEPGCHGAAALGRACRLAKGKKWKVVVYVAVTCALASVLSPVHTLARTCAGNSVALGLLLGFVYAVLMALVQLFAVSAMTAFYYERRENMDGQLGATGYAKLPSEEANA >SECCE4Rv1G0282150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:828515000:828516061:1 gene:SECCE4Rv1G0282150 transcript:SECCE4Rv1G0282150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIKPYTVMLLKLLYTPVLEERSSAANRAFASSCAAVLKYANQSQGQKLIEDTASLHLGWQSVDEYGVRKRFMALFHSRFCCSSLCSSIQAVFSIIGEHKD >SECCE4Rv1G0244380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:514463471:514465357:-1 gene:SECCE4Rv1G0244380 transcript:SECCE4Rv1G0244380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRLLRELQACAESLPQSSNQIAFARICGCPLNLTKDSRKYCNPPMSFRLPLVFRRGVVAGSCGARSFQNSDVQPPARCFSGDACAAPPAKIPDSPARVLRHGLVRASAQASLLEYLHSTRGIEFMLAEHMSKNSPAFLSRLLANVQTGGGADLRLSVSRFLQYQPINEFEPFFESIGLRPSELGKFLPSDLIYLKDAIELLENYQVLCDYGVPRTKIGKVYKEANEVFGYGHGVLLSKLQQYEQLGLSKSTITKLVVCCPKLLIGEANLEFLHVLDKLKASGIMLGWFRGGLSDETTHNWTRTLKMLEFLDMMGSNNKTLLVRLIKEHPGFVFGESGKKLYLLVSMLCKFGIQIDSMLQLFVQCPWVLNMKFPKNLQKSVDFLTQIGMEAFDIARVVSSCPQILGASSCQSAAIVLSTMNLSAERLCDIIKDDPMQFGTLVSKKKIAAVTKIDSFYLGEKAEFLLKIGFIENSDDMVKAMSHFRGRGDQLQERLDCLVDAGLDYEDACSMIKVAPFILNMSVSMIKKKISYILNDIGYTLESIVAFPAIFGYSLEKMKLRFMMYKWLTENGVKIKPTNKNKVNKSMVALSTIMACSDVRFVKQYVNLHPGGLDQWQRLKNCSTIQ >SECCE6Rv1G0434960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:769184824:769186017:1 gene:SECCE6Rv1G0434960 transcript:SECCE6Rv1G0434960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATSWWWAGAVGAVKKSQDERAVSSFQSVALVVGSTGIVGTSLVDVLPRHDTPGGPWKVYAVSRRPLPPWCPPPSPAVKHLYLDLADPAAVGEALTPLTDITHVFYAAWSSHPTEAQNREANSAMLRNVLSVVVPGCPALAHVCLQTGRKHYVGPFEAVGKLAVPDPPYTEDMPRLDYPNFYYDLEDALLDELSRRDGNVSWSVHRPSVVFGFSARSAMNIVASLCVYAAICRKEGTVLRWPGCRVAWEGFSDASDADLIAEHEIWAAVHPLAKNEAFNSSNGDVFKWKQLWPMLADHFGVEWAGYEGEDRRFVLADAMAGKEAVWAEILRENELITTELQEIANWWFVDAMFSVEIEHLDSMNKSKEHGFLGFRNTANSFNAWIDKMKVLKIVP >SECCEUnv1G0567290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:413506199:413506768:1 gene:SECCEUnv1G0567290 transcript:SECCEUnv1G0567290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPLHFHYEDVLRQDLLLKLNHANVMEVPGLFEIRLVPKAASDFRIQFSKLAMEILCGQRFIQTQRGPYFQAGKSFRSNPFLGSEKDTGYVSDFARQSVLRGHGMYHFLVRIFTVMSMLDSPVEIRENSIKFFMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSANTKDETLLLWSGFLLKDEGETK >SECCE6Rv1G0391510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:224649191:224654251:-1 gene:SECCE6Rv1G0391510 transcript:SECCE6Rv1G0391510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSAPPFDGLASGPDPWDVVVKVKYGDTLKRFNGYVNGTHFTSNLSALRSKIASAFKFVPDADFILTYTDEDGDVVMLDDDEDLHDAAIHQKLNPLRINVQLKNSHAGASHINRQDSSPKPLGGTTQDPLAQIKSVIDEALKPISEPLRSTAREHPLAHLKSALDEAMKSIHEPVPESLAKLSCEVLDAAPPQLTDLIKPFVNLITSTNNSQSAGHAESSPVSSSGVQQAQVDLKVDGCQPKVEASLGSRPLNERNPVSSETGGLKSVLVEVPAAVITEASQGQQGSLYPSVEDLLYTSNSGGNSSGCKDISDAQSKGKSVMPSAEPLVCSTVPGFHPSHPNASGNEWFQPRRSVDGWSQPRSIWQPETNVKPASDPGWRVPMYKAPHPSPPVPHAPLGYGHSPQFPYPGRLLSAGRLYGNLGNNSERSPRISHRWIQCDGCGVQPIVGPRYKSNVKENYDLCDTCFRRMGSEVEYTRIDKPILPHKLSRDPNLCRKIHSRASMKSKREKLESRFILDVTVLDGTLMSPSSPFTKIWRMNNNGSIMWPLGTQLIWVGGDQFALQTSVPLEIPLNGFPVDQEMDVAVDFVAPARPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVSDNKTAAVNLNQPQESNITNTSSLPQESNMTNTSNLIDVNIEPANQVLYEHVNGTSMELLEPLIYREAAEPEKSPSASAAAPPYPMVDVPSSTENAAAAFVPSVHVLAPEVIPRPAATTPADVPTSSLASIPVDLSVAATTPVDVGSVPLDIDSLTEEKLLQELEEMGFKQVGLNKEILRQNKYNLEQSVDDLCGVNEWDPLLAELNEMGFDDRETNKELLAKNGGSIKRAVMDLIAREKKDK >SECCE3Rv1G0149330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:24118916:24121317:-1 gene:SECCE3Rv1G0149330 transcript:SECCE3Rv1G0149330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLIRMDIKCVIPNVLDKIIHDGSAEPISIPLALLQDITKNFADERKIGHGGFGVVYKGVLQNGYVAVKKLLNSQTIDDGLFYRETNFLMSVKHQNIVRFLGYCANTENIAIKVDGSGKCGKYLYPEIRERLLCFEYISKGSLHNHLTDELRGLEWHTRYQIIKGICDGIQYLHKEKDIVHMDLKPDNILMDYLMIPKITDFGISKQLDGISQAVTRGCHVSLGYCAPEYLHHGQVSFKSDIFSLGVIIIDLVTGRKEDPDIKNVLRRWRYRWNRSATYPPLGYQQVSECIEIAARCVSHDPKKRPYISDIIRQLDELESTNGHVNNANEPTFSLISPYPWELLDIDPLELHFPFEADKQIPCLLQLNNPRDDYIAFYVQTSSGQYLIEPSKGIVPPQSKSNVIITFQAQKMAPHRMRNKDEFIVRCTIVNEDLMTESITVDMFHEESKVVDDVSLTVAF >SECCE3Rv1G0188870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:719442275:719445546:-1 gene:SECCE3Rv1G0188870 transcript:SECCE3Rv1G0188870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAALAAAAVVAAAAAIAVRQRLREARRWARVAAVLSDLQERCAAPAARLLQVSDAMDVEMRAGLASEDGSKLKMLVTYVDSLPSGDEKGLFYALDLGGTNFRVLRVQLGGKEQRVIKQESVGVSIPQHLMSRSSHELFDFIAAALAEFVASEGVDYHLPEGTQRELGFTFSFPVKQTSISSGTLIKWTKGFAIEEMVGKDIVAELNEAIKRQGLVMKVSTLVNDTVGTLAAGRYVDNDTMVAVILGTGTNAAYIEHAHAIPKWHGPLPMSGDMVINMEWGNFKSAHLPLTEFDQGLDAESLNPGEQIYEKLTSGMYMGEIVRRILLQMAQEAALFGDNIPPKLEIPYILKTFHMLVMHHDASPDLETVGIKLKEIFEIDNTSRKTRKLVVDVCEVIATRGARLAAAGIYGILKKLGRATNSPDKRRTVIAVDGGVYKYYTFFSQCMETTLSDMLGEELAPSVVIKPANDGSGLGAALLAASYSHFLQADEDC >SECCE1Rv1G0003280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13307789:13312952:-1 gene:SECCE1Rv1G0003280 transcript:SECCE1Rv1G0003280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGGGGGGGTENDGRRGRRRRRKVLLSKLYTFASCARRPSAVDDEGSRIGGPGFSRVVHANDAAAAADAAATGGYRSNYVSTTKYSALTFIPKSLFEQFRRVANIYFLVVACLSYTPIAPFRGATAVGPLVLVLLVTMIKEAIEDWRRKQQDIEVNNRRTKVFQDGAFRQTKWTKLRVGDIVKVEKDEFFPADLVLLSSSYDDAICYVETMNLDGETNLKLKQSLDVTSRLQDDDSFAGFGAVIRCEDPNANLYSFVGNIEVEEQQQQYPLSPQQLLLRDSKLRNTEYVYGVVVFTGHDTKVMQNATSAPSKRSKIEKKMDGAIYVLMSTLVLISVIGSVVFGLATKHDLVDGRMKRWYLRPDNPDNIYDPNNPAVSAALHFFTAMILYGYFIPISLYVSIELVKLLQALFINRDIHMYHEESDTPAHARTSNLNEELGQVYTILTDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERAMAKRNGSPVISDFEIGVEEFHSEGRSAIKGFNFRDERVMDGNWVHQAHSGVIEMFFRLLAICHTCIPEVDEVTGKISYEAESPDEAAFVVAAHELGFTFYQRTQAGVYLHELDPASGEQVDRFYKVLHVLEFSSARRRMSVIVKDEEGKTFIFSKGADSIMYERLSNSESTYGEATQKHINDYADAGLRTLVLAYRQLEEIEYAKFERKFTAAKNSVSADRDELIDEAADLVERDLILLGATAVEDKLQKGVPDCIDKLAKAGIKIWVLTGDKMETAINIGYACSLLRQGMKQITITLDTPDIIALEKGGDKGAINKASKVSVVQQINEGKKLINASGNESFALIIDGKSLTYALKDDTKGTFLDLAIACGSVICCRSSPKQKALVTRLVKTGTGKVTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISSMVCYFLYKNITFGVTLFLYESLSTFSGQTLYNDWSMSLYNVLFTSLPVIAMGVFDQDVSARFCLKYPMLYQEGPQNLLFRWSRLLGWMLHGVGSAVIIFFLTIASLKHQAFRKDGEVIDLSILGATAYTCVVWAVNMQMAITVNYFTLIQHICIWSGIFLWYHLNQSNSLAIFPTIGHLYVVHQTDTTTHCAGCRYLFLIIYGAITPSFSTTFFMVFSEALGGAPAYWVVTLLVAVAALIPYFTLAVVKTWFFPDYHNKIQWLQHAAKHEDPEEELGVVLRQFSVRSTGVGVSARRDAKLVRTNSKAFHLDSSSQSQATVELT >SECCE1Rv1G0028230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:395808664:395815060:1 gene:SECCE1Rv1G0028230 transcript:SECCE1Rv1G0028230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPARAVPADGGEFPDGAGDRAAVEVEDEAGEEEEEPPRSATAKQEEAKAALGAEGSQPFTTRELLSEIKEDGGGVGSADAEGSSSSRESMQQFSSHHDVAMELINSVTGVDEEGRSRQRILAFAGKRYLNAIERNPDDPDAYYNWALVLQESADNVDPNSGSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKIRGRTKEAEDLWRLAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPAREKQAIIKTAISKFRVAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGRPDVSHNELYSQSAIYVAAAHALKPNYSVYRSALRLVRLMLPLPYLKVGYLTAPPDNNAIAPHTDWERSQFVLNHEGLQQADASGQPPSQSPGHMDRRKPTRIAVEDIVSVSASADLTLPPGAGLCVDTVHGPRFLVADSWEALDSWLDALCLVYTIFARGKSDVLAGIITG >SECCE2Rv1G0087070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:221255816:221257904:-1 gene:SECCE2Rv1G0087070 transcript:SECCE2Rv1G0087070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHRLIIVMLFLSFLFFSCDRGRSSTLSESDFELERELKMLNKPYVKSFKDNYGVVFDCVDIYKQPAFDHPLLKNHVLQMPPNSSSGTGLPTTGVSCPYGTVPIRRTFKEDLVRGRALCPVYKPTSTQDTSGIDGQHFAQVLLDCEKGKTFQSARAVIEVYYVPMPSDATSSAQMLVVDDRSSNVTVVQAGWHIDPRREGDGQSRLLIFWTADDYKKTGCLNMLCPGFVAVNQYITPGMALPIGSSIALAITRDGPTGNWLVYVNEMMVGYIPPAIVNGMDGSTQVQVGGIVYAPPGRKRPPMGSGIAPGPRSNNGAAKFKWVEMRGCTNSKYRATKDVENSNIYDVMVTSDSENGPDGFSFQYGGPGDA >SECCE2Rv1G0085160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:186529494:186534596:1 gene:SECCE2Rv1G0085160 transcript:SECCE2Rv1G0085160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLSAPLQLLSLRRTPAKNLSPGRAAAGALSGSLIVKRFSLCNGRSHHQFLTLKQRGRLQAAVLPVTPPLLDDEEKRKQMSEDYGFKQIGEQLPDNITLKDVMDTLPKEVFEIDDVKAWASVLISVTSYAFGLFLISKAPWYLLPVAWAWAGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFMPLIYPYEPWRFKHDRHHAKTNMLVEDTAWQPVWQKEVESSSFLRKAIIFGYGPIRPWMSIAHWLMWHFDLKKFRPNELPRVKISLACVFAFMAIGWPLIILQSGIAGWFKFWFMPWMVYHFWMSTFTMVHHTAPHIPFKSSKEWNAAQAQLNGTVHCSYPRWIEILCHDINVHVPHHISPRIPSYNLRAAHDSIKQNWGKYINEASWNWRLMKTILTTCHVYDKERYYVSFDELVPEESQPIRFLKKFMPDYA >SECCE2Rv1G0071970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:48123046:48124917:-1 gene:SECCE2Rv1G0071970 transcript:SECCE2Rv1G0071970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Response to ethylene stimulus, Wound signalin [Source: Projected from Oryza sativa (Os07g0685700)] MMGGGVAMMDRRMAFPADGDVDKGFGFFGAGCFVDPVPAPAPEQRVRDGFPDEEESEEEEDDDDVDGIEELERRMWRDRMRLKRLKELQQRQSQRPEGGAAAAGTAMASKGRRRASQQDQQARRKKMSRAQDGILKYMLKMMEACSAQGFVYGIVPENGKPVGGASDNLRAWWKEKVRFDRNAPAAIAKHQSDNAAPPCGGEDGAGAAAGPRSLHELQDTTLGSLLSSLMQHCDPPQRRFPLEKGVPPPWWPQGPSEAWWPEAGVPDDLGPPPYKKPHDLKKAWKVAVLTAVIKHMSPDVDKVRRLVRQSKCLQDKMTAREIVTWLAVLKAEEELSHKLHPGARLPPRPSAGALSFDVTSGEYDVDFFGEEAAANQIKARSEAAAFVDLTMDASSSNEFMIMPPASLMKEETTEVDFTHAQKRRAPAAADAEPDLMLNGNARVYTCDNVQCPHAGHALGFLDRNARSAHQYTCRYNNPAAAAQSKPAPAFFPAAPYSPQSQALGGFDFGMPVADQRCLAGLMSMYETGVAADRGVASDAAAPGMQLGAGSLLAPRSLAGANNVMQQQQQQQSAAFFIGDDAPFGMAAPELGRFGPAFDLSAADYAGAMQQQPQQKHAGPNWFY >SECCE7Rv1G0512700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:813732184:813734367:1 gene:SECCE7Rv1G0512700 transcript:SECCE7Rv1G0512700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEQRPVPRRESPWGLPEGDKRQPKAHRCNDRVEDVVQAAFEGNPFKTVPGPFKVFWQCMRSKPGEEPTVPFTYLQLDPPKRVEAKLE >SECCE2Rv1G0097000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:450959860:450971238:1 gene:SECCE2Rv1G0097000 transcript:SECCE2Rv1G0097000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYHLSAQLHGHEDDVRGICICGDVGVATSSRDKTVRFWTQHPEKKREYVLSKTLVGHSSFVGPMVWAPPSDRFPEGAIVSGGMDTLVLLWNLHTGEVVGTMKGHTSQVTGLAIDDNGDIISSSMDCTLRRWRDGNAVEVWEAHKVAVQTVLKLPSGELFTGSSDSTIKLWKGRTCLHTFSGHADTVRCLAQMPGMGILSASHDGTIKLWALTGQPLLEMIGHTSLVYSVDAHSSGLIASGSEDRSVKIWKDGICVQSIEHPGCIWDAKFLDNGDVVTACSDGVVRIWTTDNNRFCSDEELAAYTDIISQYTLSRKTVGGLKLMDLPGVEALQVQGNTDGQTLIVREGDNGVAYSWNSKELKWDKIGEVVDGPGDAAQGQVYDGAHYDFVFNVDIGDGEPIRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGGNAYVPGQPSSSNGSASKQTFKHIPKNGMLLFETAQFEGILKKITEFSATIASDSEQKHLSLSETDFSRLAAIVKVLKNTSFYHTSKLADADIVLLLKILKSWPSQMMFPVVDFLRMFVLHPDGATLLLKTIETGNDVLMETFRKAVAMPVHSPNVLTILKAVTNLFDNSCLHQWLKIHCAEIIDSFSSCKPSFSKNAHLAYATLLLNYSVLSIESKDEQSQAQILSAALEIAEDDAQDADSKYRALVAIGSLMLSGLVKSIALDLDVKSVASSAKASMDSKIAEVGADIELLTR >SECCE2Rv1G0133090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894955418:894955675:1 gene:SECCE2Rv1G0133090 transcript:SECCE2Rv1G0133090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAKASWMVATSVGAVEALKDQAGLCRWNYALRSIHRAAKANVRGGASQGTKQLPTAVAERRPAEKAEEGLRTVMYLSCWGPN >SECCE6Rv1G0450050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:865701094:865707820:-1 gene:SECCE6Rv1G0450050 transcript:SECCE6Rv1G0450050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREVLERILDGREKPTNLSLALLKDITENFSDDREIGHGGFATIYKGVLRNGNVAVKRIRNSHSINETLFYREVDSLLNIEHKNVVRFLGFCASTDQTAIQIAGSKQHIYAEVRERLLCFEYVSNGSLQKYVTDELRGLEWNIRYGIIRGICEDFGLSRLDEKSKTMSEERFGSLGYCAPEYISEGNMSFKSDMYSLGKIIIELVTGGKAILNNSNTVLRRWRHRWKKSGKETPLVYQQVVKCIEIGLLCQEREPSKRPFIWDIINDISHMEVVNEEITNANEYTFGKISSCLEEDDMLGIEPLKLHFPFALNKQMSCEIQLTNETDSYIAFNVQNMSPKSYNTQPQKDIMPPRSKCNIEITLQAQGNVPIDMQRANELVVWSTKVNDGIAFEDITKSMFIKEAVNVVDEVNLDVVFDVSEPQEASEKISEANALRDHANESTVWSTKVMTDGLAIEDMTTNKLIKEADTMVDEVHLDVVFDANETMPPLIQIIDDTAGKIYCIDANQKEPLIIVGTSLGNVQIWNYDTQKVVGLTKVSTEPVTDVKFIARKGWFVAVSSDCVVHVYNYEKEMQKVTSFRALGRADVWCSLAVHPTQPYVLSGCATEIKLWDRNCIQTFEEHSAAIMALKFNPEDTNSFASASHDTTIKVWSLNSPISKYTLSGHRHVVNFLDFFTRDGRQYLISGSWDSTAKIWDMEKKECIHTLEHESAVFQVVSHPTLPVLITGTKDGDIHAWSSNDFRLKRIYNFRDGGWVVGLACLVRSGRLVVAHKTGVSLMEIREEEEQGGSTGSNENSLSATNLEIQS >SECCE7Rv1G0512540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:812914482:812916772:-1 gene:SECCE7Rv1G0512540 transcript:SECCE7Rv1G0512540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAVERLKTGFEKFKTEVYDQKPDLFEPLKAHQSPKYMVFACADSRVCPSVTLGLEPGEAFTVRNIAAMVPCYCKNKHTGVGSAIEYAVCALKVEVIVVIGHSRCGGIKALLNLKDGADDTFHFVEDWVRIGFPARKKVKDECSEMPFEEQCGVLEKEAVNVSLQNLSTYPFVKEAVANGTLKLVGGHYDFVSGKFDTWEL >SECCE5Rv1G0298010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:8804188:8804355:-1 gene:SECCE5Rv1G0298010 transcript:SECCE5Rv1G0298010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGGGFKFLVGMGCGVYVAQNYNVPNVKKLFNTYVFLAKHVEETYRKPPKKDDD >SECCE7Rv1G0498030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:634625287:634628184:1 gene:SECCE7Rv1G0498030 transcript:SECCE7Rv1G0498030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSSARCLAADADEQRAEAAPMETVGGTAGAADLDIDFDFTVDDIDFGDFFLRLEDGDALPDLELDPADIFTDLEAAAAGVEEFQDQQVPCAELLAAVEDVGSVSPAGGVIGVENSAFADAGLGDEKRGCNQAEVGENMSGGDRPIVPDAKSPSSTTSSSTEAESRHKSSSKNSHGKKKTKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGINSLTRHNIASHLQKYRSHRKHMIAREAEAASWTQRRQMYAAGGPAAAVKRQDSSMWTVPTIGFAPPHPPPPPPPAAAMQHYARPLHVWGHPTMDSPRMPMWPRHPMPRAPMPAWAPPPPPSDPAFWHHPYMRGPAAYMPTHGTPCMAMPMAPKFPAPPMPVAMPCPVYGPRSPAPALASKNQQDSQLQLQAQPSNESIDAAIGDVLSKPWLPLPLGLKPPSLGSVMGELERQGVANVPQACG >SECCE4Rv1G0231120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:179275891:179278253:1 gene:SECCE4Rv1G0231120 transcript:SECCE4Rv1G0231120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGDDALARKRGKVRRKRMRSSENAVSTRVAAIIASKRRRKTGKRRGCEGMCFSLPCPEDPFNERHGKKRKAEDAADTNDDHKKKSKGSKKQERATGPNAIPVRKKETGEDRLEYDRPSKFLVVCLNAIRDGAGASEEDGGGIHDTAFWGMELWKSCAASPPSDVLDTSYQYASREQTAWLVSTACDIVARKEKLGVVVSCPFLLYLVPSQEKAVQVRSICKPLKSLGIHSVSLHPGASIEHQISGLKSCEPEFLISTPERLLELVSLKAIDISNVSMLVIDGLKGFMDLNIIKELCSIRGTISSDAQVTIFTGQCDHSAATVARNLLHGRITKLSTNDSVTSRSAFVAQHVHFCTKEEKTSKVKEILENVLENHASKTAKVLLVAANDHEAQRLSSSLKLEILTVNDGSQGSTFTVCSSMGLINVLVKGCESLATSGVEEFEIVLVADLPPSFDDYVEIITRTARHTVAGEVHVIVSKTDATHAKPLADVLANGGQAVPKLLRQLIDQNHS >SECCE7Rv1G0509310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:779578937:779581581:1 gene:SECCE7Rv1G0509310 transcript:SECCE7Rv1G0509310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGLKVDESGEPGEGLLISSANDRSAISQGMDNAETSGTTLEVTNVVAAKHDALAKPVDVYGCEEIGRSTTEDIKRAGKNDHVFTLEILPESRHRDGSLFRGTQLWKVEHHVADRNETRLADPTDCVIRNGKCTRHKPNRVLQFFSLKLAELTVGVSSVELYGYIAVRDGMDSLLNYVVNISRDDPIIVKQGSLIHMVGPKRGIDMYSIILLEYDMRVKAGKVENDDHQLIDGASALSDLQGTWNRAFRKRIHGDCGAVDLVLARIHDAVVANVEVVISEVQSSFNLCQCSIGGYKKQIRFFDGAIGESRGLKRSVVAVVDGSTVDLKFEVASEPSYSMEHRCSFKAGTHGLDTRQIKTDFGLISVKVAWSTLLST >SECCE5Rv1G0303970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:52690619:52692321:1 gene:SECCE5Rv1G0303970 transcript:SECCE5Rv1G0303970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGLDPHELDRSTEQLMSLIHENLPDPPVSTTTRFTTLRARPSDRVDRISGLSDTLLRNIVARFPVKDAARTAVLAARWRGVWRSTPLVLIDTQLVSNGRTPARASTPDVIAAVSRILAAHPGPFRCVHLTCSRVGAYQAQLKRWIRLLAARGVQDLVIVNRPWLREVPLPKTLFNISTLTRLYIGLWKFPDAAGLQGASFPHLRELGICSVAVKDGDIDAFVARSPALEILNIQGSMKGVRLRLVSHSLRCVQICSFVMESIEVVNAPCLDRIILSECLHPAGGPCTRVRIGNAPKLRIFGYLDPGKYVLEIRDTVIMAGIKTSPSMMITTVKVLSLRVRFGVYDDVKMVPAFLRFFPNVEALHMTSEKCDHLAGKLDLKFWEEVGPIVSVVLRLKVITFREYHARQDENAFLQYIFQNARVLKDVMIQLINPRFTSLSADEMTCTINNMPRTKWVSEFGLAICRSIGPEGLSPWTFERGADLCDGDPIAPVKFITLRVSVAVMQCVRF >SECCE6Rv1G0447460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850608090:850609783:1 gene:SECCE6Rv1G0447460 transcript:SECCE6Rv1G0447460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVQKTFKILCRTDEDYCLTVRWDDDGRIAVVMAPTNARDQQQHWYKDTRFSSTVDEDGSPAFALVNKATGFAIKHSSGQSEPVTLVPYEYDPEAVDESVLWTESDDVGDGFRCIRMVNNIHLNLDALDGVTELQDGTPIVLWEWLEGANQSWKILPWATHSGLHPALSSEFTMRIFCSAGEEYSIAARDGAVCLAPADPNDDLQHWVKDMRHGEDIKDEYEQPAFALVNKATGEAIQHSLEKGHPVRLAAYDPDCPDESVMWTESEDVGDDFHCIRMASNIQLNFDAVHGGEDESVVQDGTTIILFDWVEGDNQRWKMVTWCE >SECCE5Rv1G0310700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:173707214:173710594:1 gene:SECCE5Rv1G0310700 transcript:SECCE5Rv1G0310700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMSGDARSPPRGAAPYALYQFGTSGAAVAVATAVTHPLDVIKIRLQMQLAGQRGNLAGMGGIFTQMVEKEGPRSLYLGFAPALTRSLIYGGLRLGLYEPCKHVCSYAFGSTNFAFKFASGVVAGALATALTNPMEVLKVRSQMSTSKISTIGVMREIVSEEGVKALWKGVGPAMVRAGCLTASQMATYDEAKQVLLMWTPLEEGFQLHLMSSCIAGTAGTLVTAPIDMIKTRLMLQRESKGARVYRNGFHCAYQVVLTEGVKSLYKGGFATFARLGPQTAITFVACEKLRELAGMSAI >SECCE2Rv1G0138450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921652227:921652601:1 gene:SECCE2Rv1G0138450 transcript:SECCE2Rv1G0138450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDASVPEGEEPESAVEIVEEVLKTEVKQSTFLRNVGLQSSRNNSGKATAEVAGHVRDLEQKLERSKLQAEVMQEELAEIKMKAEESEAARDKELELLRKKSQEREEQLAHLMALFGAKAV >SECCE3Rv1G0210910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946393780:946394718:1 gene:SECCE3Rv1G0210910 transcript:SECCE3Rv1G0210910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREELAAPSRRSFLNTSTGECVQVDLPELHDHYVLALTPEGLLVLLHKLQRNTVRLLNPFTGHLTELPPLTTLLPPAHHCWLMVDIDPACCSGVANDDSTVVLCFYKIGVLGMAKPSDDHWTLVKCRFDALGAPLMLAGRFYCVCLRNGLMVLETSADQPPRLEMASQLNFSAPTIGYSVHLVNNCGELMLVHRWCGPLSPVNRVGYWYDAYRLDLDKRKIFPVSSFGGAAGRAVFMGMHCSLLVSLENFPSGSITADTIYLGFDVPEKAEFKVGAYHLADGSIEGTSRYHCRFMPRPHTLVDCLSLANTV >SECCE4Rv1G0274660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785990243:785993997:1 gene:SECCE4Rv1G0274660 transcript:SECCE4Rv1G0274660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFGATSTVGLMAAPTGLVSDKKPFSLSSISLADRPRHVRLQRKCNFRVKAAKELYFNKDGSATKKLQVGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVIAAGANPVQITRGIEKTAKALVLELKKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFERGYISPYFVTDSEKMTTEYENCKLLLVDKKITNARDLINVLEEAIRGQYPILIIAEDIEQEALATLVVNKLRGSLKICAIKAPGFGERKTQYLDDIAILTGGTVIRDEVGLTLDKADNTVLGTAAKVVLTKESTTIVGDGSTQEEVTKRVAQIKNLIEAAEQDYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKDTLENDEQKVGAEIVRRALCYPLKLIAKNAGVNGSVVTEKVLSNDNFKFGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEAAPLANPMDNSGFGY >SECCE2Rv1G0100090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:534167932:534169241:1 gene:SECCE2Rv1G0100090 transcript:SECCE2Rv1G0100090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNMIPALLVLAGVITGVRAAAPPPVPVPVPPKVPTAPPAAAGPLKYPALIAFGDSIIDTGNNNYIRTIVRANFPPYGRDFPGHKATGRFSDGRISVDFLASALGVKENLPPYLKKDLTLDDLKTGVSFASAGSGYDNATCRTMSALTMEQQLKMFLEYKAKVGTIPDKALYLLVWGSNDIVEHFTFGDPMSVEQYSDLMTQRAISFIQALVSLGAKRIALTGVPPVGCLPSQRILSGGIRRQCSPDRNQLASMFNNKVKDNMAKLGAKLPGVTLTFIDLYAIFEDVIHRHEALGFKNAKDSCCGFLGLAVAVLCNFASPVCAEPAKYIFWDSYHPSSSAYKVIIDMVVEKYFRYMN >SECCE4Rv1G0272890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:772772749:772773291:1 gene:SECCE4Rv1G0272890 transcript:SECCE4Rv1G0272890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTARCSVLPVHPQPRVAIPAPRRRARAVTAVSVRRRRGGARGDRSWDEDGGGSGDEDGIDESFFGDARYEEDEPEEEPVRAGRRAAGSPESPGGQLRGSDVLRALQRAAAAKEAKKRSKRSAGAARPAARRQEKGGGGAKAAAEAVAGEVRPIEIRREWAPRIRELELSVQRLLHRHQ >SECCE7Rv1G0473520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:158512010:158518219:-1 gene:SECCE7Rv1G0473520 transcript:SECCE7Rv1G0473520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPAIRKPHLHRREKEEATPSPPPPAPAHSPSPGGFALSDRPATGTPAPWTTSSLLARISTSKQTDRAGDSDQIQPVRVAEFPQVVRNAQANLLQKNFAGKSMLVGGIDKETSLAWMICGNELFVWSYLAAVAKDCLVLEIPTSLMGNKDGKPLSGNQWTVCIMRWHSSGPSTRNSGDMLHRRSSTGVILCNRRTQAIAYWPDIYGESSKSPVLSLFGHSDTSASDGISGCYRFNSLIAASVPGAAHECIVIASEPTGALWLFQCSPLGIHRREVHRDTLGDNGTDHSQKNNGGRSLVWLPSNESSEAAERKFFLLTSQGIQCWGISLLHGINVKILGSQEIVGSDGELGIKKDIAGQKNIWLLDMQIDERGKEFNILVATFCKDRVSGSNYTQYSLLTMLYKSNQKFPSGNNVVKCERFLEKKAPAQVIIPKARVEDEEFLFSMRLKTGGKPSGSVIILSGDGKATVAIYWRGSTRLYQFDLPWDAGKVFDASIIPSPDDRDEGAWVVLTEKAGIWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRSQAFSASIVPRRVSSEAWSAGDRQRPALTGVSQQAVVDEEAEMLLNRLFHDFILSGAVHEALQKLRVAGAFEKEGEMNVFVRVSKSIVNTLSKHWTTTREAEFLASTIVSSLAEKRQKHEKFLQFLVLSKCHEELSSKQRAAMLSVMEHGEKLSGMIHLRELQNALSQQSSSTRLSPQSKTSTAGALWNLIQLVGEKARRNTVLLMDRDNAEVFYSRVSDIEDLFYCLSHQLRYIITGEEHPSVQMQRALELSNACVTLVQAALHYRAEYKDWYPFPEGLITWNSQPVVRSGIWNLASSVMELLREPGSADMPMKSNLWSQLEGLADMLLEGYIGVLTAKFERGEDHGVLAQEYCERRDELLGSLYDLAKQIIEAKYQESSEGNDNPDLKESIFREVTSSILATAKRHEGYQTLWQICYDISDTVLLRNLMHDSVGPHGGFSFYVFKQLINSRHYAKLLRLGEEFQEELANFLKDRSDLLWLHEICLNQFSTASETLHTCALFSSPGEDADLTSTRKSLSFVERRRLLYLSKIAATAGKDVDYEVKVAQIDADIRILNLQEEIVQQDPEYAQGKHTSKLLPPSELIEMCMKGGRELSLKAFEVFAWTGSSFRSSNKGLLEACWTNAADQDDWVELSQASVSEGWSDEVIQESLEGTVLFNASRLCYSSDAVVFDGTFEEVLPVRKEDVHARGLEAKCFSVEEVLMQHDAFPDAGKLMMTAVVMGKELSYAAPADEPVDMDS >SECCE2Rv1G0141640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:936147674:936149154:1 gene:SECCE2Rv1G0141640 transcript:SECCE2Rv1G0141640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPHVVVIAFPFASHTVKLFRLARTLAAAAPAATFSFLSTAASLAQLRGQEQSALEANLRFVEVADGLPGGAAGEVTPSPPHPMTRLNLFLAAAEAGSVREALEAARASAGGARVTCVVGDAFAWMAAEAAAAAAAPWVPVWTGGPSALLAHLRGEALRDDIGDEAASRADELLTSHPGLGSYRVRDLPDGLVSGNTDLPPIVALFRRIAERLPRAATAVALNTFPGLLPDDVTAALAAELPECLPVGPFHLLPVPGNGDAVETCTDPHGCLAWLDRHPARAVAYASFGTVVTAVAGGQEELRELAAGMEASGAPFLWSLPEEHWTQLPPGFLDLERAKVVPWAPQAALLRHASVGAFVTHAGWASVLEGVSGGVPMACRPFFSDQRMNARLVADVWCFGMVFEEPMTRGTVTAAVSSLLAGDHGTRVQEMRGIAANAFTPDGGSRKNLDKLVKIVCPQL >SECCE4Rv1G0273160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:774466109:774469382:1 gene:SECCE4Rv1G0273160 transcript:SECCE4Rv1G0273160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGDRADKKPHNPRSPRGGDREGRQRRADGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFLQLYIKRNRPPPGSPTAAAAAPAGAAAAGPLSPIHRSLSRGILSPRALPALSARGAVVRAGDEDSLYYAGLRRCADDPYHPASNPSGVIQLGLAENHLSLDLVREWMEEHAGPAMTPGGGDEERDLTISGLATYQPYDGILALKMALAGFMRQIMQESVSFDPSQMVITSGATPAMEILSFCIADPGNAFLVPSPYYPGWDRDIKWRTGIELIPVPCRSTDNFNISITALEIAYNQAKKRGVRVRGVLISNPSNPTGSFVPKQTLRDLLDFATEKNIHLISDEVFAGSTFGSGEFVSVAEVVNELEDFDRGRVHMIYGLSKDLSLAGFRVGVIYSFNESIVEAAAKIARFSSVSTPTQRLLIAMLSDQKFISSYLKINRERLRKAYNLLVDALKQVGVECFKSSGGFYCWADMSKFIRSYSEKGERRLWDRLLEEAKVNVTPGSSCHCIEPGWFRCCFTTLREQDIPVVVERLRRVTDGHKSNR >SECCE1Rv1G0048090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631762830:631764042:1 gene:SECCE1Rv1G0048090 transcript:SECCE1Rv1G0048090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMNNKAAPMCLVALLLVMSTLLSCHAAGRDIGPTEQWAKYCVAKPTCKTAPQAAIDACRNDCDFFGYPANKSYCSAQEGGICCCIR >SECCE2Rv1G0096470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:439758402:439759457:-1 gene:SECCE2Rv1G0096470 transcript:SECCE2Rv1G0096470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISIMASMAMKSSPSPFSGQSQLQRIQPSARSSSFRVMSKKAKKVQTSQPFGPGGGLELKDGVDASGRPAKGKGVYQFASKYGANVDGYSPIYNPEEWSPSGDYYAGGKTGLLLWVATLAGILLGGALLVYNTSALAS >SECCE7Rv1G0517240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852549078:852551701:-1 gene:SECCE7Rv1G0517240 transcript:SECCE7Rv1G0517240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPRLLRGLIAPMSPADWLPCHLQLLASSASLLHRWWLPLLARLRCPSCSDGFKLFLVLLLFSAALAEVRFVASASMAPTLRPGDRAVAEKITYMFRKPSAGDIVFFNVPTALQNCGINKDVVFIKRVIATPGDFIEVRQGQLIVNGVAKNEHYTAPHGGSYTMEAMRLPEDHVFVMGDNRNNSCDSRAWGPLPISNIVGRYIMSFTRSSLQ >SECCE1Rv1G0062550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:720280940:720285001:-1 gene:SECCE1Rv1G0062550 transcript:SECCE1Rv1G0062550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAESLRAELSSKTPPFGLRLWIVIGISIWVVIFCILGFMCFWSIYRRKPKKAVDKIPVSQIPDVSKEIAVDEVRQHAVVENYQMQESHTLTVQEKPHEKDSGKMLGHLVRTKSSDADNLSQCSSAYQCDRAGSAYSGDEGSSGNARRQYSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHSTNRFSKENIIGEGGYGVVYRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWIHGAMRQHGVLTWEARMKIILGIAKALAYLHEAIEPKVVHRDIKSSNILIDEDFNGKLSDFGLAKMLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGTKRADEVVDRDMEVKPTIRALKRALLVALRCVDPDSEKRPTMGHVVRMLEAEDVPSREDRRSRRGGHAGGGNNADAESKASSSEFEVSGDSGPSARFQP >SECCE5Rv1G0365010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803822750:803823160:1 gene:SECCE5Rv1G0365010 transcript:SECCE5Rv1G0365010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHQRASADQLVSLSLSLSLGAVADRNTKRARRAAAAGGEFMCKTCDRSFPTFQALGGHRTSHLRGRNGLALTLAGTGPEPRKAADQKQAHECHVCGQGFEMGQALGGHMRRHREQEAAAAAVAQAPPVLLELFV >SECCE6Rv1G0424240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:699866826:699882248:-1 gene:SECCE6Rv1G0424240 transcript:SECCE6Rv1G0424240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSAATGALKPVLGKLATLLGDEYKRFKDVRSEIKSLSSELAAMDVFLLKMSDEEDPDVQDKVWMNEVRELSYDMEDSIDDFMQSVDDGDTKPDGFLEKMKNSLGKLGKMKARRRIGNEIQDLKKQIIEVAERNERYKTREAFSKKKNASIDPRALAIFEHASKLVGIDEPKAEIIKLLTKGVLIKKQPKLISIVGSGGMGKTTLANQVFEDLKRKFECWAFLSVSRNPDMMNILRTILSDVSGQHYADTEAGSVQQLIRKIADFLYKKRYFIVLDDIWDMDTWNVIKLALPMSNSDDIIITTTRINDVAESCRSASLNGDIYCIRPLDMVHSRQLFHTRLLISETKEPSYLQEVSEQILEKCAGLPLAIIAISGLLANTERTEEAWKKVKDSIGRALKRNPSVEGMMKILSLSYFDLPPHLKSCLLYFSIYPEDSIIEKNVLINRWIAERLICEEGGYTTYEFGERCFNELINRSLIQSGKRDLFGRVKSCRLHDTILDFIVSKSIEENFVTVVSVSSVTVGKRSKVRRISLQAGKQEELMVPASLVLSHVRSLDVFGISVQIPCLDKFRHLRALNFAGCNQLENHHLESIGRLFQLRYLNLRRAKKLSELPKQFGQLWCLETLDLRVKRHQCL >SECCE7Rv1G0467430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:87746140:87746972:1 gene:SECCE7Rv1G0467430 transcript:SECCE7Rv1G0467430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGAALGRPPSPCPSTSTSLPFRPTGFLFPRPCHTGTVAHRRGACLRIEATARHGARKENAKVRNRRLQRKYNGTATKPRLSVFCSNRQLYAMLVDDHGKKILFYASTLQEEIRGDPPCSTVEAARRVGEELVKACKELDISEVSCYDRNGFARGEKMMAFEDPVAQHGFLPR >SECCE1Rv1G0053200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:667896968:667897993:1 gene:SECCE1Rv1G0053200 transcript:SECCE1Rv1G0053200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHTSSVLDAAVSSSGQKRKNAGGDEADLVADEPLWLLSLGSIYGNADAGAATPSIDATMAHALSAAASPAATNLVALPVAGSVAFIATGAQVGSVAPSTFTLPASQAPAAPAVRSIKQDMPPRASAAAHPSSLRRTNGTPPQPVAVGGASAPPNDCTDSPAHTNGAPPTIPPFPWATNRAAIHHPIAYLSERGITTVEGDVKCRRCDVQKTVSYNIAIKFREVRDFVSRNIHDMDDRAPAAWMSPAVPDCDGCGQKNSLRPVIPAEKERINWVFLLLGQTLGLCTLEQLKYLCARTGQHRTGAKDRVLYSTYMELCSQLCPDRLFNLTAERQKRGQQYS >SECCEUnv1G0563900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:378486352:378487405:1 gene:SECCEUnv1G0563900 transcript:SECCEUnv1G0563900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELGAVVIEKLDVAANLTALLAEISSNEGIAMQAEFKLSINAYLANLVHSHVHSLADIIAFNNKHPVEERLKDFGQPDLIAAQNTNGIGPVERAAIQRLKELNANGLEKLMKEHQLDAIIAPNSGISSLLAIGGHPGIVVPAGYDEKGVPFGICFGGLQRYEPRLIEMAYVFEQATKVRRQPMFKP >SECCE7Rv1G0525530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:891182232:891182728:-1 gene:SECCE7Rv1G0525530 transcript:SECCE7Rv1G0525530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQTIRIAALALLSVHLLCSATIGQCRTITAGVDNNDIHELSSGCQKKCSSAFHCCICCINTDWCYTSSERCDRNCPDLFSHSDEMLAAATVLPETVLTRSAVRTDGLMRGIIPEEFGNCRV >SECCE1Rv1G0004400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:18232766:18233177:-1 gene:SECCE1Rv1G0004400 transcript:SECCE1Rv1G0004400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTGAAAAAAAGGGGSEEEDQARLAAITDNLKARDSIRLYAWLSHRCFSDCVTTFYRKTLGKREGDCVRACVRKYTLATHAAAARFNKLADPSAAADDDDEDD >SECCE2Rv1G0123190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:821913249:821914094:-1 gene:SECCE2Rv1G0123190 transcript:SECCE2Rv1G0123190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRTHPYESAVGVCAACLRGRLLALAAAQNQASSLPQPLPPPPEPLPLFPRSVSPYVCPRKSDASSGSGPWRHPSSRLFFRTPQVGPGTGFEEGDIGFQIRRRRSSRLSALAALFGHRHHRHDGSEEKGGKERRHGSWLAGIMPRRRKEEPATESPLPPRRRSSRVISNRGLSPVRCSYEDSEESGSVADSPWRPSPMRKTPCRRLLSGAGAGVSGFAVCISPLVRPSPARNHHRGGGGHPPDAPALSGELRPSPLHQPSAGSSLHHCRSWKLADGGRFR >SECCE4Rv1G0284190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838518986:838520353:1 gene:SECCE4Rv1G0284190 transcript:SECCE4Rv1G0284190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQRLLHVLFLMILFAWPVAESVTGSAAVRAELTHVDSGHGFTKPELLRRMAARSRARVQSLWQPPGRGGNARGVTAPAARGTPDIDSEYLIHFSIGTPRPQRVALTLDTGSDLIWTQCACAVCFDQPFRVLNTSASDTLRGVSCFDPLCTRGGLPVSGCAADDNYCLYVYAYADHSVTTGKIGEDTFTFQAQAPSSKRGTVVTVPNLRFGCGMFNTGVFKSNESGIAGFGRGPMSLPSQLKVRRFSHCFTSIMESRTSPVFLGTPDNLQAQANGRIQSSPFGPNPTGVRSSFYYLSLKGITVGKTRLPFNTSTFALKGDGSGGTIIDSGTGITVFPQAVFQSLRAAFVSQVPLLAKDINDMLCFSTEPKKKVPAVPKLIFHLEGADWDLPRENYLLDIDDEDGTGSWLCVVISWAGDSDMTIIGNFQQQNMHIVYDLASNQMVFVPARCDKL >SECCE2Rv1G0105450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:620753588:620755214:1 gene:SECCE2Rv1G0105450 transcript:SECCE2Rv1G0105450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGNREDMLSNLPDHILLTILDRVNVRDAARTCVLSRRWQQLPAMLSQIKIDVLDFLPEGTTACYQREIVRINGAAVEATKSILSRRDPSRNTIHLLSMKFFLRDNDTISIGHAVGQIMATHKVEIAQFAILTEAHYSRRGDDDLVYYGRHFMLFFEACPGAFGGLTTPQTAGFEICRAHLPPKTHTDGLSWLDRFPRWQDPLSVGYVPLLQTVDLTNVCLSSHKMVKLSEFLGVTSVRNLRLGFESEKIWVQPEHLTRRLASMFCQLTFVHMAEIPEGYDLSWSLFILEAAPNLKELYLTVWDHLCTMETDREKRRALSYSIRKGVRWESSASRFQHRSLETLVIFGFEPEEYMLTHVRCVMEAAVNLENVFLYHRLACKMCRDNPLPSSCPFDETNKLLVEKIITNGIDSAASVHFLAGKAIWASHVAKKNFP >SECCE6Rv1G0448770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857722625:857723467:-1 gene:SECCE6Rv1G0448770 transcript:SECCE6Rv1G0448770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNFGRSISFPLTPAPRSFSKPRHVRSVSLPGTTSSHPLLANLNAHIAAIRSWMQQGTSASLPAGLAVIHALHTVLADILLLPEAGAALRCATGNAADRLLDAFLLLADAHQGFQECLLELRYAATESRAALRRGDTGRLASASRAQRRVEKDLAGLAALVSTVSFKCGRLNLVPISGEEAEIAYALVEAAAASAAASAAVFSAAASMSSTASSCKKTATFIPAFTTRKVTIPETAEVAMKRLNALEQCFHNCDGACDLVFRSVVQTRVSLLNVMTPII >SECCE3Rv1G0169330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:236602575:236603174:1 gene:SECCE3Rv1G0169330 transcript:SECCE3Rv1G0169330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASHPLLYACAFRDSALVAELAHDPDAGGAGTGDLPALAAALAASAPPHHRYVTHSTAGRAHALLLDPPLALAAVSLAPQLPASHLLLFLRRLRCLPEFRMREEMARLALRLPFPNEEALAREAADVAAAEAEAEEATRREAELARGTPKREHGARGGAGRAWRRQLWLIVLVDLVLLGVLFAAWLAVCRGFSCIGR >SECCE7Rv1G0482650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:309790742:309792162:-1 gene:SECCE7Rv1G0482650 transcript:SECCE7Rv1G0482650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLGHHGHHGQNPPAHAPAAAGGNQPTFKIFCKADEGYCLSVRDGNVVLAPTNPRDEHQHWFKDMRFSTQIKDEEGNPAFAIVNKATGLAIKHSLGQSHPVKLVPFNPEFLDESVMWTESGDVGKGFRCIRMVNNIRLNFDALNGDKDHGGVHDGTTVVLWEWAKGDNQSWKILPWGEEAYAGGSANAPRGGSTEPTVRIFCKADDGFSATVRNGAVVLAPTNPRDEYQHWFKDMRHSNRIKDEEGYPAFALVNKVTGEAIKHSQGEGHPVKLVPYNANYQDESVLWTESRDVGAGFRCIRMVNNIYLNFDALHGDKEHGGVRDGTSLVLWKWCEGDNQRWKILPWCKCTLTRPHKLVEWSVEADGVSLSAIF >SECCE3Rv1G0147890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:16413932:16419568:-1 gene:SECCE3Rv1G0147890 transcript:SECCE3Rv1G0147890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFKLSRRGRRFYPPPPAPAAAPAADASPKPPHPPWQAGPGLGGVAARSCAHGGDGADPADFGLQPSFALNLFPDGYSVGGLDKGMLVFLIGDDPEKKPYTRASRALLSDIEYGCLPKDILHGIPCKFQNGIVVCEVRDYRSFLSNGNDSSEDDFPIMNRIALRLGTECVVNDLSLIADASWTYHEQLIAESTIINSLQPRLNLDPKPCLEKLCNSVKKIDLGLHKGRQRMKETSLLNTSPGSPEQCKPKECDACEGAAVCIENSAIEVLPSGILSCSPVNCPSPPQVNSAKSTVVSDPEDTTQCSSSIINSSAFCDREQSASSTPASDNFLQNHDQHQVDLDIMKVDHKKGRLLTETVLPQKRKECSNLQHEKLFSDKSARLSSQSSNDQFEKSVVASNKEGLMLGSPEESSVQDKVDRTIGNKDMEVHQQKSFSTPSLNIKDPCSEKFAEKVKQGSRKELPVEVMVDQTMGKKDMRLKEQKPFSVIPTNHPLPSFNRNDPCLEQFPEKVNLGSRKELPVEVKLEQAIGRGKENEPLSILPTTLPHSSLNRNSLHVEKPPEKVYSSDTRMRESHLVSFVDVDNHGGELNDSSAISVASCNASSRNADAKPHEDKASTEPKPTTSNIKVSRTSTISLNEQINFEGNGQNQADILVRHSCEDRNSKEPGVTDGASSQFGISPDIALCIGYPLYNIEPHIEKILSEVILTTQRHPPDGNAAKIDGVETLAPLNSYSPSCFVRYEGAEGNPCTREETISCCPTRRTKHTRNIRSLVFHRVQYFCRGIVDQSHYILCLLESESPDDHQIAVEMISGDERFHIATLPTSDQAKKFVDQFILLMKRDGYTLCNSTVCNGFSELTHQSTDVSQPGYLTGEHPQHQGFSPSVAKSVVINECKDTGSASQKRLPDVHANARQQGSQQWGLPDVHANVLHQGSRQWGLPDVDANAQHEGSQQWGMPDAHRNAMQQGSTQQWGQSYAHTNTPRQGGNQQRGQPYAHTNVPQHRSNQRRGKPYAHANVPQQRSKQQWGQPYAHAKVPQQGSYQQWGQPYEHANVPKQGGNQQRGQTYADTNVPQQGGNRQRGQTYAHANVRRQGSQQQWGMPNAHANPRRQGKQQWWLPDDHSSNAMHQGGQQQWVQPEQQHPASANVDASHFSNPGYPVEQHYNSRVFQGQRRPPFSGGARSTDQHQHHYYPQSRRDTPGGSNERYATTTSKGAGNYGQWHQTPTPPPQQHDGTTYRWGFQDFGRQVQTNNLPPMQAGRSLQLSGLHPAGTGSPQTRSPTTGSDGSVTSTFLVPPSGYHQYPPPPHGIC >SECCE1Rv1G0041250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:569864815:569865397:-1 gene:SECCE1Rv1G0041250 transcript:SECCE1Rv1G0041250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >SECCE1Rv1G0047360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:624596922:624600660:-1 gene:SECCE1Rv1G0047360 transcript:SECCE1Rv1G0047360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper transcriptional activator, Grain fillin [Source: Projected from Oryza sativa (Os07g0182000)] MERVFPLEEAMLEPEYNPCRTSSPVLHAHVLAGGVGGAGGVGAGKVVGGGATEGCFGKSMDEPSLLNVPTAPVANPEASTPYPNPTAGASRKRRYDVHEKEDAVEVIPTRPAASPVVDPVAYNTMLRRKLDAHLAAVAMLRTTRGICPQSSHDNGASQNPDSIQGPENYTGDVSVPELSSSSLEPSPSDGDMEGDAQTIGTMHISAEKANKRKESNRDSARRSRSRKAAHTKELEDQVTLLRVANNSLMRHLADVSHRYVNISIDNRVLKANVETLEAKVKMAEETLKRVTCTNNFPQAMSGISSLGIPSGGSPLDGICDNSLPTQNTSLSYLPTATTNFDAKHNYIPEPAPAFQIHDQISSVHMQPMSCLDHHPQRMHIGIPTSAPTPQQESTTLDSNEMFNMVM >SECCE1Rv1G0031100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:439097699:439103322:1 gene:SECCE1Rv1G0031100 transcript:SECCE1Rv1G0031100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLRSWVHAEKPPGPRRPAPPPPPPHRPSFSLKAQKAAPPPPAPRAEEQEEVPALAEFSLAELRAATDGFAAGNIVSESGEKAPNLVYRGRLRGAAPRAIAVKKFAKHAWPDPKQFAEEAKGVGKLRHRRMANLIGYCCDGDERLLVAEFMSNDTLAKHLFHWENQTIEWAMRLRVAYYIAEALGYCSNEERSLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTAESVIFSFGTVLLDLLSGKRIPPSHALDMIRSRNIQALMDSHLEGNYSTEEATTLVNLASQCLQYEPRDRPDIKKLVSILESLQTKSEVPSYVMLGVPKPDEPSKAPPSPTPQPQHPLSPMGEACSRMDLTAIHQILVSMHYRDDEGSNELSFQEWTQQMRDMLDARKQGDFAFRDKDFKAAIDCYTQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLDMQSDATDMLNEASQLEEKRQKSSRGP >SECCE6Rv1G0384530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:64393894:64397488:1 gene:SECCE6Rv1G0384530 transcript:SECCE6Rv1G0384530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRKAGGDGGVSKRWAVLLCLGSFCLGLLFTNRMWTLPEATEVPLPNQSIEEGKALVAADCGSKKVQELQKYKDVLPDQDTHHDVQTLDKTIATLETELSAARTLQESLLNGSPVSEDFKVSESIGRRKYRMVIGINTAFSSRKRRDSIRYTWMPRGEKRKQLEEQKGVIIRFVIGHSAIAGGIIDRAIEAEDRKHGDFMKIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSKQAWKPRVYMGCMKSGPVLSEKGVRYYEPEHWKFGEPGNKYFRHATGQLYAISKDLATYISINKHVLHKYINEDVSLGSWFLGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWKCSGICNSEGRIWEVHNKCAEGDKALWNSTF >SECCE2Rv1G0079740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:122164672:122165894:1 gene:SECCE2Rv1G0079740 transcript:SECCE2Rv1G0079740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKLAALVVVALLGCVAHTSHASYGYPNPMPPTPSPTPPKAPALTLNYYSYSCPNAEAIVREAVKNATDNNRGIGAGLIRLFFHDCFVRGCDASVLLDPTTANPEPEKLGIPNFPSLRGFEVIDAAKAKLEKECGGVVSCADIVAFAGRDATFFLSNKTVDFKMPAGRYDGRVSFANETLRDLPPPFANVTVLEAMFKAKGLDLDDMVTLSGAHTVGISHCSSFSDRLPADPSDPTSMEPALASSLQQRCNRGGDPVVAQDVVTPRDLDRQYYQNVLDRKVLFKSDAALLSPQTLKAVEHNAKNSGKWERKFKDAMVKMGNIEVKNKANGGEIRKQCRFLN >SECCE6Rv1G0406750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:549948087:549948326:-1 gene:SECCE6Rv1G0406750 transcript:SECCE6Rv1G0406750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINGDAPARGSAAAAASLRRRRTTSGAAAGGGGGASTMLQFYTDEAAGRKMSPNAVLIMSIGFVAVVAVLHVFGKLYR >SECCE6Rv1G0440950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:808031824:808032057:-1 gene:SECCE6Rv1G0440950 transcript:SECCE6Rv1G0440950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYVILHNMILEDERGLNLPCFYNDVGTRVQPERNPSRIHAFLQAHREIEDATTHGRLRDDLVEHHWQLDGRRIGP >SECCE6Rv1G0441380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810580520:810583033:-1 gene:SECCE6Rv1G0441380 transcript:SECCE6Rv1G0441380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAAAALVIASLLCWAASTAVHASHVVYPELQSLEAKEVAQELRTGYHFQPPKHWINDPNGPMYYKGLYHLFYQYNPKGAVWGNIIWAHSVSTDLIHWVALQPAIYPTRPYDINGCWSGSATMLPNGVPVIMYTGIDPEKNQVQNIAYPANISDPFLREWVKPDYNPIITPDHGINASAFRDPTTAWYGPDGYWRLVVGSKENKRGIAVLYRSRDFKKWVKARHSLHTGLTGMWECPDFFPVAVAGGSRHEQSGVDTAELHDSVVAEEVKYVLKVSLEVARYDYYTVGTYDHDRERYTPDSAFPDNECGLRYDYGDFYASKSFFDPSKKRRVLWGWANESDTVPDDRHKGWAGIQAIPRKIFLSRSGRQLIQWPVEEVKSLRSKHVNVSNKDVKGGEHFEVTGFKSVQSDVEAEFAIRDLDKAEKFDVAWQTDAQGLCKKFNTHVKGGVGPFGLWLLASDDLEERTAVFFRVFKTNDTNYVVLMCNDPTRSSYESHIYRPTFAGFVNVDIAKTKKIALRTLIDHSVVESFGAGGKTCILTRVYPRKAIGDDAHLFVFNNGESDIKVTDLHAWEMKTPTMNKQLEQ >SECCE3Rv1G0203270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:882560236:882563985:-1 gene:SECCE3Rv1G0203270 transcript:SECCE3Rv1G0203270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRRRGDRQLQLLHRLLALSSLLLLASGEVIFEERFEDGWETRWVKSDWKKSEGKAGTFKHTAGKYSGDPDDKGIQTTIDARHFAISAKIPEFSNKGRTLVVQYSIKFEQEIECGGGYIKLMSGYVNQKKYSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLQCETDRLTHVYTFILRPDASYSLLVDNRERESGSMYTDWDILPPRKIKDVGAKKPKDWDDREYIEDPDAVKPEGYDSIPREIPDPKDKKPDTWDDDDDGIWKPRRIPNPAYKGQWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYAKQVADETWGANKEAEKEAFEEAEKERKAREDKEAQRAREEGERRRRERGDRHRGRDHYKDRYKRRNRDHWDDYHDEL >SECCE2Rv1G0108380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:662845118:662847570:-1 gene:SECCE2Rv1G0108380 transcript:SECCE2Rv1G0108380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILHRKKHQKKEGRRWRKRRRSRRRRNLEVIMALNYPSCSFLVSDECSALMRGCGCWSEEASPLSSPGVNSRRWDDFEPDPADLLPADPFGMNLGSTITAAIASCLDFTVMSGAGRFGSGDGGASDLSFYLDINHAIAVSRGPSYLPGGCRRAFEGPFVFGGLYEAGGANCSQGLPPLVSCSRSMVSGEDPSTSGSAALLCRDGVDAVGMSCSRPIVPGEDPSTSASAALLCCDGVDAVGASCSRPIVPGEDPSTSGSAALVCRDGVDAVGASCSRPIVPGDDPSPSGSAALVCRDGVDAVGSAPHDGMMYALAYLGLRDILATEMVCKSLHSWIRGDQLLWKCIHVEPVLSGNISDPDLLCLTQKIPGHLQCLNINDCINITDNGLNAVLQSNPKLTKLSIARCPRLTLDGLIANLKSFNMKAVSGIKSLRIDKNFNLPKEDYEELLSLLSIDKRQELHNRAPRFRHSNHFLLDCNDGYALDIEMCPICQSYKLVFDCPEVECSDKRSGKCRACEVCIKRCRQCGRCLERNEKFEEKFDLVYLCYKCRGDPDSPSLDVEKDLVSILSSGRIPSP >SECCE7Rv1G0490450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:493364126:493370128:1 gene:SECCE7Rv1G0490450 transcript:SECCE7Rv1G0490450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSSSHHHHHHHALCCLSAAPPHPEAPPTPAPEPAAATATPGPGSVAVAGVLHKWTNYGRGWRERWFSLRDGVLSYSKIRTDEGGGEAAGRIADDGEVRLIGGASARIAGGRRPEKPVGVVCLKVSAFRESKSDDRRFYIFSPTKTLHLKTDSKDDRVAWIEALILARSVYSLRSLSGRITFVQSDVSVSTARLRNRMHQEGLNENLIQDCEQIMLSEFSSYRKQLKLRYDDHLSLFGSSRHHFEEGKDGNIIQGALTKNEFSSSRHGNFSEYSTTESDEFEKQDGGELICEEDSTFFDAADYFTESNSRSSTMSGSTDCGVHGATNRDNPGGQEVVDIQIQDSNNMLPEIKRRSKLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDFEYSYLLDQAYEYGEVGNSLMRILKVAAFAISGYASSVARPCKPFNPLLGETYEADFPERRIRFFAEKVSHHPMLIACHSEGKGWKFWGDSNVKSKFWGQSIQVDPVGILTVEFDDGEIFKWSKVTTTINNLILGKLYCNHHGIMHIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDNDGKKASFLIGKWDESMYCSNLDTSKVKSADQLQGASLLWEKNKPSPNPTRYNLSSFAITLNELTPELQEKLPPTDSRLRPDQRHLENGEYEKANTEKLRLERRQRMSTKLQDNGWKPRWFEQDAEDGTYHYKGGYWEARDQGCWDGCLNIFGEFSET >SECCE1Rv1G0041520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:572009974:572013083:-1 gene:SECCE1Rv1G0041520 transcript:SECCE1Rv1G0041520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHQSNGHGEAAAANGKSNGHAAAANGKGNGHAADWNFAGGKDGILATTGAKNSIRAIRYKISASVEESGPRPVLPLAHGDPSVFPAFRTAVEAEDAVAAALRTGQFSCYAAGVGLPAARSAVAEHLSQGVPYKLSADDIFLTAGGTQAIEVIIPVLAQTAGANILLPRPGYPNYEARAAFNKLEVRHFDLIPEKGWEIDIDSLESIADKNTTAMVIINPNNPCGSVYSYEHLAKVAEVARKLGILVIADEVYGKLVLGSAPFIPMGVFGHIAPVLSIGSLSKSWIVPGWRLGWVAVYDPTKILEETKISTSITNYLNVSTDPATFIQAALPQILENTKEDFFKRIIGLLKESSEICYREIKENKYITCPHKPEGSMFVMVKLNLHLLEEIHDDIDFCCKLAKEESVILCPGSVLGMENWVRITFACIPSSLEDGLERIKSFCQRNKKKNSINGC >SECCE5Rv1G0324490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:447497005:447499191:1 gene:SECCE5Rv1G0324490 transcript:SECCE5Rv1G0324490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFVEKSVLSLLWISLLQGCIVQSVEYDHTASIECLRDPMKPLYRGGIIQNGEFNNGLLGWSTRRDIKAGIRKSASGNKFAVVQGARSSPSGTGDAAASPSHSVYQKIQMNGDTHYSLSAWLRVSAGTAHVRAVVKAPSGENITAGAIDAQSGCWTMLKGGMTARAYHSGQGEVFFESDAPVDIWVDSVALQPFSFEEWEAHARQSANKARRSTVKIVARGADGQPMANANVSIQLLRAGFPFGNTMTKEILSNPAYEKWFFSRFTVATMENEMKWYGTEWVQNQEDYRTPDAMLALAQKYGVKVRGHNVFWDDQNSQIKWVMPMNLNQLKAAMQKRLKNVVSRYAGKLIHWDVVNENLHFNFFETKLGPNASPMIYQQVGQIDHTAILFMNEFNTLEQPMDPNGTPTKYVAKMKLIQGYPGNGGLKFGVGLESHFSTPNLPYMRGALDTLAQLKLPMWMTEVDVVKGPNQVKFLEQVLREGYGHPGVQGIVMWAAWHPYGCYVMCLTDNNFKNLPVGDLADKLIAEWKTHKTSAATDANGMVQLDLVHGDYKLTVNHPSQTAAVSHTMTVDAGSAASEHIISVKV >SECCE6Rv1G0390380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:182531570:182542390:-1 gene:SECCE6Rv1G0390380 transcript:SECCE6Rv1G0390380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKAEVLREEVRKMVNSTHELPKLLDLIMTLQRLGLDIYYENEINKLLHHVYKSDYNEEDLHLVSLRFYLLRTNGYDVSADVFLRFKDGEGNFMFDNTRSILSLYDASYLRTHGEQVLDEAIIFTTSHLEGVLQQSSPLANEISLALEAPLFRRAHIVEMRSYIHIYDNGATKNEAILEFAKLNFNLLQLLYCEELNNITLWWKELHEDSKLGFSRDRIVEMYFWMNGACYEPQYYNSRIILTKMTALMTILDDIFDTHGTTEESIQLAEAINRWDESATELLPSYIKGFYLYLLKTFHSFENELGPGKSHHVHYLKEALMRLVQAYTEELKWRDGNYVPKTLEEHLGVSARSSGGFTLASASLFAGVGGIATTDTFEWILNYPQLFKTFDMFVRFSNDIVSSQREQTGDHYASTIQCYMEEHGVMAQDACEKIKDLVEDSWKDMVRHCVASTEQQQPLAVPRTVVNFARTVNNMYKSGDAFTSSHEIKEIIRLLYVEPIA >SECCE3Rv1G0198580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:833616403:833617083:1 gene:SECCE3Rv1G0198580 transcript:SECCE3Rv1G0198580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G37970) TAIR;Acc:AT2G37970] MGLAMGKLMLGKIIVETPKHEVLHTGDGYEIRKYPPCVAAEVTYDPKEMKGDRDGGFKVLANYIGAFGKPQNTKPEAIAMTAPVITSSSSGGGEAEPIAMTAPVITSSEPEPVAMTVPVLTAEGREEQTGKVTMQFLLPSKYAKAEEAPRPTDERVVLREVGERKYAVVTFSGLAGDKVVAEKAEGLKAALQKDGRAVTGPFVLSRYNPPWTLPPLRTNEVMFPVE >SECCE4Rv1G0276140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797137959:797139437:-1 gene:SECCE4Rv1G0276140 transcript:SECCE4Rv1G0276140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVKNLTNIGRKPVAVADHIFATLDGIIGCFAFGENYAAEQFKGQFVPVLNETMDLLSGFSAEDFFPNSVGRFVDKVSGIKSRRERIFKKLDAFFEQVIDQCVDDDPNRRRQPGDKCASVLVQELVDLWKNPAGATNSFTREHAKAILMNTFVGGNHTSSVTINWAMAELIRQPRVLGKVQDEIRAIGSKTELLQYDDMPKLKYLKMVVKETLRLHPPATLLVPRETTGPIQVAGYDIPAKTKIIVNAWAIGRDPNAWNDDPEEFRPERFEDKDVDFNGAHFELLPFGSGRRICPGLAMGVANVEFILANMLYCFNWELPDGVSCEDVNMEEAGALTFRKKTPLMLVPTRYSMCP >SECCE5Rv1G0343610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:640080621:640081043:1 gene:SECCE5Rv1G0343610 transcript:SECCE5Rv1G0343610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLQREMQPAKAKPAPEPRTKGAAAAARALAAEAFTARELDAAEQLIHLSESSASSGTKGAHPVAYAAASGGSSPRSVNGLPAPALGAIFLSGCADQEEEEDQEVAGSQRRGKRYRLIAEIYAATEEIGGRSGRKNRKD >SECCE2Rv1G0070370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:35010934:35011533:-1 gene:SECCE2Rv1G0070370 transcript:SECCE2Rv1G0070370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMTHDDYVHLCLMALASVATRADQAEAPKGQWLQTMQAAPAACELRFRCSVCGKAFPSHQALGGHKASHRKREAPALPPLHATVSSAEETVASSTSSGLGRHRCSVCHRSFATGQALGGHKRCHYWDGLSVSLTASASGSGSSVRDFDLNLTPVPEKGVAAGMRRWGEEEEVQSPLPFKKRRLSSPSLELSLIPLDD >SECCE6Rv1G0380430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:22662200:22667164:1 gene:SECCE6Rv1G0380430 transcript:SECCE6Rv1G0380430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGAVCAVIGSFSGRDSKKSNATGSSTQEIAVARGGTLDLLRPDPETGRLRTLLSVDVFGAIRSLAQFRLTGATKDYLVVGSDSGRLVILEYSPDRNRFDKVHQETFGKSGCRRIVPGQLLSVDPKGRALCIAALEKQKLVYVLNRDASARLTISSPLEAHKSHTLTFALTALDCGFDNPVFGAIELEYGDSDRDPTGQAASHAQKLLTFYELDLGLNHVSRKVSEPIDNGANMLVTVPGGADGPSGLLVCCDNFVLYRNQGHPEVRAVIPRRVDLPAERGVLIVAAATHRQKSLFFFLLQTEYGDIFKVDLDHHGDTVSELRIKYFDTIPVASAICVLRSGFLFAASEFGNHALYQFRDIGRDVDVESSSATLMETEEGFQPVFFQPRALKNLVRIDEIESLMPIMDMRIANLFDEETPQVYTACGRGSRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVSLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLLFLEVQASVGGEDGADYPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETSIPLRYTPRKFVILPKKKYLAVIESDKGAFSAEQREAAKKECLEAAGAAENGNGNGDQMENGDGQEDGEESNTLPDEQYGYPKAESEKWVSCIRILDPRSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTTKGLQFWPKRSLASGFIHIYKFVNEGKSLELLHKTQVEEVPLSLCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAANHIDFDTMAGADKFGNIYFARLPQDLSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPALPADMQRKIADELDRTPGEILKKLEDIRNKII >SECCE3Rv1G0207120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:917812204:917818936:-1 gene:SECCE3Rv1G0207120 transcript:SECCE3Rv1G0207120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREREARTMRRRHELPVSPSCCVIGLVLLLLALSARAQRQGQTDPSEVAALNAIFWRWGLRASTAWNISGEPCSGDAIDNTIDIDNDPNFNPAIKCVCSYNAGTLCHITRMKVYALDVVGQIPAELQNLPYLTNLNLAQNYLTGSLPAFLGKLTRLQYLALGINALTGVLPRELGSLKNLVALSISTNKFVGPLPEVVENLTKLEQLYIDSCGLSGELPSTFSKLKNLKTLWASDNEFTGKIPDYIGSLSNLTDLRLHGNYFDGPIPASFSNLVELTNLRIGDLTGELSSLAFVANMTSLSTLVLRNSRISDNLASVDFSKFVNLFYLDLSFNSITGKVSPTILNLNSLIFIFLGSNNLSGSLPDMISPSLTTIDLSYNMLSGRYPSWVNMNNLQLNLVWNNFVIDNSNNSTLPSGLSCLQRDTPCFGSPSYSSFAVDSGGKRPIRGSDNSIYEPDDFSLQGASYYVTNSTRWGVSNIGKFVDSPNGSYIIDSSRRFTNTLDSELFQTARMSPSSLRYYGIGLKNGMYSVVLQFAEIFFPDDQTWKSVGKRIFNIYIQGDLKETDFDIKKQTNGKSYTAVQRQYTVEVTNNVIDIHLFWAGKGTCCIPDQGFYGPSISALSVSSYGSNGEGNPSPQRNNTTSRTGMVVGVVVCVAVLGFLALAGAFVWRQKRRRLEVEMEELFSIVGRPNVFSYGEIKSATDSFSDSNILGRGGYGPVYKGKLPDGRIVAVKQLSSTSHQGKKEFMTEIATISAVQHRNLVKLHGCCIDSKTPLLVYEYLEQGSLDRAIFGKTDLNLDWRTRFEICIGIARGLAYLHEESSMRIVHRDIKASNVLLDADLNPKISDFGLARHYKDSMTHLNTGVAGTLGYLAPEYAMMGHLTEKADVFAFGVVALEILAGRRNFDDSLEEDEKYLLGCAWHLHESQRTLELLDSKLIEFDEEEAARLINVALLCTMGLPQRRPPMSKVVSMLTEDIEVTDVDTTMRPSYVPEWQVRSFSSSYVSGSGSSAQQSSGSQVSAPSSSSKKPGIHRDTSPLALSRCSSGGIEEGA >SECCE1Rv1G0008000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:43396282:43399716:1 gene:SECCE1Rv1G0008000 transcript:SECCE1Rv1G0008000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTDRGPSSSMSAAAAAGGSAPRPALALAVALALALTLWPSPALAAAGGFSCRPGARPVVFNFGDSNSDTGGMAAAKGWHIAPPEGRAFFHHPTGRFCDGRLVIDYLCESLNISYLSPYLKALGSNYSNGVNFAISGSTTLPRDALFVLHGQVQEFFFFKARSLELINQGQEAPIDAEAFQNALYIIDIGQNDINALLSNLPYDQVIAKLPPILGEIKYAVQLLYGNGSRNFWIHGTGALGCLPQKLAIPRKNDSDLDQNGCLSTYNRAAVAFNTALGSLCDQLNVELKNATVVYTDLFAIKYDLVANHTKYGFDSPLMTCCGYGGPPYNYDLSRSCQSPNSTVCADGSKFVSWDGVHLTEAANAAVAAAILSSTYSRPKLKFDQFCKVR >SECCE2Rv1G0126370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:845981827:845985687:1 gene:SECCE2Rv1G0126370 transcript:SECCE2Rv1G0126370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPEMSAPLLAGRPAAVEAVPPWREQLTVRAMVVSTILGVLFCLVTHKLNLTVGVVPSLNVAAGLLGYFLVRTWTAALGMFGVVSKPFTKQENTVIQTCVVACYGLAVSGGFGSYMLAMDQKTYELIGTDYPGNTAVDVKNPSLSWMIGFMFLVSFLGIFIVVALRKVMVIDYKLTYPSGTATAMLINSVHSTTGVELVEKQVTCLGKYLSISFLWNCFKWFFSGVGDSCGFDNFPTLGLAAFKNTFYFDFSPTYIGCGLICPHIVNCSALIGAIISWGFLWPYISTKAGEWYPANLGSNDFKGLYGYKVFVSISLILGDGIYNLTKIIYATIKETMNVRSQQGRLPLVSVQDDDKISKLSAKEKLLNEVFVKDYIPPWLVGSGYVGLAAISTATLPTMFPQLKWYLVLSAYLVAPLLAFCNSYGTGLTNWNLASTYGKIGLFIFASWVGHHGGVIASLAACGVMMSIMSTASDLMHDFKTSYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWMAFDIGNPDGMFKAPYAVIYREMSIMGVKGFSVLPQYCLVICSVFFFAAIAINLLRDVTPKRVSNFIPLPMAMVVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAVASGLICGDGIWSVPSSLLSIMRIDPPMCMYIKPSVTYG >SECCE3Rv1G0178030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:488669432:488672746:1 gene:SECCE3Rv1G0178030 transcript:SECCE3Rv1G0178030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALVPPVPPAPTTLFLTRRRSHLDSASYRTLSRLFSHCLHHRPSQLTAPAHLEVEPAAANPICDDSPHGRSAPPEDAESERGKDLGEEEAAVRTSVIENPLPAVVDAATGNPIADPDLAPQRSVEHQVAGVQRAEGVKDMVVGGNICPETVALVEELGEEDVLRSLKDCLEGEVDELAEPDAVVVNDDEHLLLDTMMTNFSGLIADASGGTTSMLNYGVSGGEPHNDVNIAEGVKELGAETEEGRPVGDSDQHSVDGGVVEEGEIEGDMQALHVDEPDDSELEDADDEDLEEDFANRVLGENESSGQDIRCLNLLSTPKIKGTSDLVLNKEGYIKDDALKHVTRAQAVSYDEIVEWNETPLPDAEASKPGKRKRHLTEERKAKKTKNKRVKRAQQRIADGVKRLKLAPVIKPKPVKLCHFYMHGKCQQGNACKFSHDTTPLTKSKPCTHFARGSCLKGDDCPYDHELSKYPCHNFVENGTCFRGDKCNFSHVVPTVDGPSKPDAKKSDASLVSENPGREQTSSQKASMVHDGERVTSAPTKHYSILQNLAGISVNALKASRSTPKGVQFRLSSKDRSNSSMLHQDALPTENHMYTNGSKHQNFGGPQASEGDKIFIPNKQRSAPLFDEKNSAKEASSHPSSDPKRSSLPTDSTTVLGSLSTQHEVSEASRILQEFLFGAGS >SECCE4Rv1G0252620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:615559097:615559822:1 gene:SECCE4Rv1G0252620 transcript:SECCE4Rv1G0252620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAAAAADLGLRNLIAADKDVNRNRNVATRTIAASRGAVCCLGGGERDPEVFEGEEGWDEGDGEGRGCWVTYGWRRRRLHRLPPLIPSLRRVGSSPWALTRTRTADGRVVVLREPAPRRGRVVATRMDGRLILDLVDSSPVPPPPKQRLAHPSIVQEPDDVPYTYDAEAGDEEEEEETATDADIVNPRGLDVPATVRAKIAASSSPVGSASPVPAMGCFEAVIRNSPLRKVPVSMPRMVH >SECCE7Rv1G0522990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879855606:879855979:-1 gene:SECCE7Rv1G0522990 transcript:SECCE7Rv1G0522990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHKLFPAVAILLLLVLATEVVPAQARECETESERFNGLCFVSANCAGVCGAEGFTGGKCSGLKRSCMCTKEC >SECCE5Rv1G0305940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:79073032:79075942:1 gene:SECCE5Rv1G0305940 transcript:SECCE5Rv1G0305940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEEKGHGDRSLLFIGDEDDDLGADRDGGSPPSSDAGSSFSDRSDDGGDGDGADDGTGTGSGSGSDDDGDGDGDKERAPNVARQQAAWPQSYRQSIDMLSAVQSPSVSSIIAVSPSITKFGSSFLKAGSSFFLKKGATEGSLPLTRPLLPPSLSQQQQPAGRPSTDSLHPRPPAPQPPAMQQRPSAACLKSNYIELPPPSSKCSSGQSIINGLNVLCGVGILTTCFGIKQAGWLSLLLLPLLGACSCYTGLLLKRCIDSSPTIETYPDIGRAAFGIPGRVFVSVVLYLELYACCVEYITLLGDSLSSVFPSAHLAFTGIYLNSHNLFAISMALAILPSVWLRNLSLLSYLSAGGVVATITVVVCLFWVGIGDGVGFHPSGSALNLTHLPVALGLYGYCFSGHSVFPNIYSSMEQRSQFPFVLLFCFIVVTIVYSGVAATGFLMFGESTMSQFTLNMPQQYVPSKIAIWMTIVNPYTKYALTMTPVALSIEEALPKKMRNYVAGMCVRTALVLSTVVVALSFPYFALVMALLGSVFTMLVALILPCACYLSIKRELVPLWEVVLCITIILIGLGCACVGSYTSINQMIGGS >SECCE6Rv1G0432290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:750895438:750895839:1 gene:SECCE6Rv1G0432290 transcript:SECCE6Rv1G0432290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNKKQEDEEFESVMVALALDVMLSEARPTYARRKLHLSPGPEEKETADLTKLYLVSGLQEKQIVGSTRHEIHDMMAMVIADGAVPGTDEHFYASQLLLEQKYGDVFSSFKGFAPSVRLVWIKRTWELNNS >SECCE3Rv1G0188740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:718162309:718164312:-1 gene:SECCE3Rv1G0188740 transcript:SECCE3Rv1G0188740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFANGQNGSELGIQMPTMGSNTVLEPPTTSVAPRCPRLDMAMVATRAATLVMALLSMSLMISAKQRGSLVIFGIEIPLYAKWSLSDSLESLVGISAAAAAYCLAQLLSIAHMALKKVPLVPSRRYAWMLLAGDQVFAYAMLSAGSAAAAVANLNRTGIRHTALPNFCKPLTRFCNLSAASIACAFLSCTFLAASAIIDVIWLSNL >SECCE7Rv1G0482800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:312617473:312619299:-1 gene:SECCE7Rv1G0482800 transcript:SECCE7Rv1G0482800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLFDMAHPASALAGIAGNIVSFFVFLAPMATFLQIYRKKTTGGFSSVPYVVALFSCSLLIFYALLKTESPLLLTINSFGCCIETVYIIAYLVYAPPRARVRTLAYFFVLDVAAFGLVLVVTMYAFAPAHRVKFLGSVCLAFSMAVFVAPLSIIVKVIKTKSVEFLPVGLSFCLVLSAVAWFCYGLFTRDPFVMYPNVGGFFFSCVQIGLYCWYRKPSNAVLPTTTADAGAGHGNGGAADQPQVMELPVHAVAILSVSPIPILGVHKIEVMAAEQQTVKDAAKAPEVDQQEVIEIVPAPAV >SECCE5Rv1G0374990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:865807764:865816792:1 gene:SECCE5Rv1G0374990 transcript:SECCE5Rv1G0374990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQATGVEKAAAPYGSWESPISADAVFAAEKEVHGLAVAGDGRVLWVEMRPEEGGREVLVKEGAGADGGNLDVTPQEFAVGSLAQMYGYGGAFAVQGDVVVFSNYTDQRLYRQTIGDNSPLPLTPDYGGSVVRFADGVFDPHFSRYITIMEDHCNSTNPTATLVAVSTNVGEVNEPTVLVRGNDFYAFPRIDPTEKRMAWIEWSNTNMLWDKAQLWVGYFSGEGEVQKRICIAGEDPEFVESPTEPKWSSKGELFFITDRQSGFWNIHKWDEQTNVVVQVYSIDAEFSKPMCFGVSSYAFLRNNDSSQKIACCYRQNGKSYVGLLDHDSGSFSKLDLPFSSVTNIVSGDGSFYVEGASATLLVSIAKVTLDEKRTMATDISIVWSSSDDIKKYISYFSLPEFMEFPTVIPGQHSYAYFYAPQNLIFQGSSNEKPPLLVRTHGGPTDEARGVLDLNVQYWTSRGWALVDVNHGGSAGYGREFRERLLGQWGVVDVNDCCSCATFLVETGRVDGQRLCVIGECAGGFTTLACLAFRQIFKAGASLYGIADLASLRAAGRKCDAYYIDNLVGNEQAYFERSPINFVERFTCPVILFQGLEDTVVPPDQAATIYKAIKDKGVPVALVEYEGEPHVFHKAENIKFTLEQAMMFFARLVGHFKVADVITPIKIDNFD >SECCE4Rv1G0288610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861317688:861318773:1 gene:SECCE4Rv1G0288610 transcript:SECCE4Rv1G0288610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGKKEAAWPATMARYERLEKLGAGINGEVFKAWDTQDKLIVAVKRLSGSGDDGFIISGLPEVMREAMCLGSCRGIPSTVQHRATCVAACAGDSFIVMDYVGRLNLRGYMQRRVRRRRPFSEDEVRRIMKQLVEGVKAVHGVGVMHLDIKPENVLLDDGTEDRKQRPKKGAAEADVRGEVKDDRIVYKIGGFGMSTKGPGEKQPEVTILTPYSAPELLLHSCKYNNRVDTWGLGCIMADLLSGTGASLFDGESDTEIMAKVSGIVGTEGIKEWSGYSGLAADQKSKLLGKGGVSRLRHKFPNRKLSSAGFEVLSGLLEGNPEKRLTAADALKKPWFHNRRCGFGGFFKSCMVGVLPEI >SECCEUnv1G0563750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:376880052:376884870:1 gene:SECCEUnv1G0563750 transcript:SECCEUnv1G0563750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLSVAAMALVLAAAGAHGFQFQIEEATVASIQLGFNNGSLTSVDLVRFYLDRIRGLNPLLRAVIEVNPDALRQAARADAERRSSSSGKCVSVIAGGPLHGVPVLLKDNIATRDALNTTAGSFALLGSVARRDAGVVRRLRRAGAVVLGKANMDEWANFRSLAGTDGWSARGGQARNPYVLSASPCGSSTGSAIAAAASMAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVVPITPRQDTIGPICRTVTDAVLVLDAIVGYDALDAAATSRASKYIPDGGYMQFLKKDGLNGKRIGIPNGFFSYANGTVQHTVYQQHIDTMRKQGAMVIEDLDIANLSVILDSLNNGQEIALAAEFKLSLNAYLSGLSYSPVRTLAEIIAFNDAHPVEEKMKEIGQIIFLVAENTTGIGAVERAAIDQLNKLSADGLEKLMREHELDAVVTPNDSASSVLAMGGMPAITVPGGYGKLGVPFGVCFGGLKGYEPRLIEMAYAFEQVTMVRKTPTFLP >SECCE6Rv1G0388060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:128053556:128061704:-1 gene:SECCE6Rv1G0388060 transcript:SECCE6Rv1G0388060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRPWQQPHEQASCSAHAGMIQASAAATSSIHGSIIRKDPGGYEDLAELDQALFLYINSQDQQSVQEQPQTLNIFPSRPMHVVAEPSPKAATSASNIIAASSNLKPQRPPSKQSAMTGPGGKATVKREGSGSGGAGTPSTSEHEGPRTPDPKTLRRLAQNREAARKSRLRKKAYIQNLETSRVRLSQMEQEMQRCSAQGAILGGGAGIGGLSPEAAWFDGEYARWVDEHDRMMRHLRAAVDAEGVEHDPAATDGEQLLRQLIDAAAAHHVVLAELKSAVARADVFHLVSGTWLPAAERCFIWIGGSRPSDLIKVMARHMEPLTEQQAAGMYDVQRWAQEREEALDRELQATYRSLSDTVSSDALISPYPDTAAYMAHMSLAISNLSSLEAFVRQADALRLQTLHRLPQVLTARQSARCFLAVADYSQRLRALSSLWLARPRQDQPAPPGAGGRLFHP >SECCE6Rv1G0441810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:812260342:812261529:-1 gene:SECCE6Rv1G0441810 transcript:SECCE6Rv1G0441810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKEGATMLEDLPGEIMDMILIRLPSKEVGRCRVVNTSWRSATSTPKFMLEHHRRQPLVPIVDAHGQAVSHVVFGDAGGGISSQELWPLVREGNLSFTLKASCDGFLIIYHCTQPNFYICNPVTRKYVILPHPQEQFNFVIGFYHHHPTGEYRVLWFSRSHDSSKSSVYVLTVGSEKPRGIIVRIPMVSSPSVENRLMNDVHFSSGFSPPVHHRSSLHWWLSCSSDITGGCADIIVFDTEAESFRWMRSPGQRCPNSKLFDMKGTLAIWAGSTPTSFSTMDIWVMQDYEAQIWAFKYRIKLSTVEASRQLYLTSYKKKRRTPIDSMVQSFNDMAVLNERELLVSFNGKHVLHCDVDGKFLGLVKIGKNQHCMRLAHYRLQESILPIPSLEMHQG >SECCE5Rv1G0304590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:59682428:59685271:1 gene:SECCE5Rv1G0304590 transcript:SECCE5Rv1G0304590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVFVTWRGNTINKEVHGGVRAAWFLYVLTVVTNVVIVPNVLNMVTYLHGTMHMGVSGSVTTSANFFGATSGFAMIGAFISDSYITRARTMLLIGPFMFLGYGLLALQAYLPSLHPPPCNIEAELNNCKEVHGKNAALLYVGLYLSAFGDGCIRACLPSLGADQFDHEDPKESHQQSSFFNWYTFGISFGGFVGLILIVWLQDYKGWDIALGLCAVLVLLGLLVVASGLPFYRNQVPQGSPLTRILQVLVVAFRNRKIEVGEGLEEAHEISTEVGTGYIGSLSQTNSLKFLDKACINRGEKGEWSVCSATKVEETKIVLRMLPIFISSVIGYISNIILFTFTVQQGGLTNTRLGKIHVSPATLFIIPITFQMLMLAVYDQFIVPFLRRRTGYSGGITHLQRIGIGFASMILASVIAAVVEKKRKEAAVQMSLFWLAPQFFLLGVSDVTSFTGLLEFFNSEAPRGMKSIATALFWCDLGLASLMATFLVDAVNAATRHGHRVGWLEGASLNTSHLDLFYWVVAVVGLLGFFNYLYWANKYVYQNNQRVANVRPTVDQDSP >SECCE5Rv1G0308100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:124643773:124644045:-1 gene:SECCE5Rv1G0308100 transcript:SECCE5Rv1G0308100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISILGIRGILLNRRNILIMSMPIESMLLAVNLNFLVFSVSLDDMMGQSFASLVPTVAAAESAIGLAIFVITFRVRGTIAVEFINCIQG >SECCE4Rv1G0251990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:610475301:610476747:-1 gene:SECCE4Rv1G0251990 transcript:SECCE4Rv1G0251990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKRSRAKAARAKAAEATKTARANASQAAIEADREAVQAARTAQAKAQEAEEAAAKAAEAEEAAETARVMAEEAAKAREAAREMSRLVDQETEWAQCEEELFASRFRRYWNSLYAFRGVHRSRTFLQTTSIPAMRYTYPASDDSPKAMETLQVMSVKITAIKECLRWPLQVFGLVAARDNLDHKHNIIFHRSRNNCQTITEEDPYLALTGPSRAIAMSVDPSYVEVSLKVKGATKAEDKDLSDLVLEHRTGRFLSGLYPSRLSTLELAFDHVTRSVEATICVKLTDGSWPTGFGGVITASSSSRDDLKVKLLDSGDDELPIDANGAIKLSRHVVSVGHLESLNVYVTAGRVDEKQVVESGRASFKAQRAGVSLSELCLGFCSMNVTVAWSCFRHEW >SECCE3Rv1G0212990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:957326861:957330678:-1 gene:SECCE3Rv1G0212990 transcript:SECCE3Rv1G0212990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAALVSVATGVLKPVIGKLTLLLGNEYKRFKTVRKEIKSLTNELAAMEAFLLKMSEDEDPDVQDKVWMNEVRELSYDLEDAIDDFMQSIGDKDEKPDGFIEKIKSSLGKLGKMKARRRIGKEIQDLKKQIKEVGERNARYKGRETFSKTVNATVDPRALAIFEHASKLVGIDKPKAEIIKLLTEEDVRASEQQQLKIVSIVGSGGMGKTTLANQVYQELKGKYECWAFVSVSRNPDMTNILRTILSEVAKKDYATTEAGNIQQLINKITDFLADKRYFVVVDDIWDVNTWDIIKCAFPATSSTSRIITTTRMNNIAHLCCSSFNGHIYNIRALDMVHSRQLFHTRLFKSDEDCPSYLQDITEQILEKCDGLPLAIIAISGLLANTERTENMWNQVKDSIGRALERNPSIEGMMKILSLSYFDLPAYLKTCLLYLSIYLEDSTIKKNGLIRRWIAEGFIHREGRYTAYELGERCFNELLNRGLIQPAETNLYGIVESCRVHDTILDFIIFKSREENFVTLLGVPILTNENQSKVVRRLCLQGVEEGNLTVLIAGLVLSHVRSLIVVRGLVEIPSLEEFSHLRVLDLKDCSELEDHHLENIVRLFQLRYLNLQGTRISKLPEQIGRLGCLEILNLRFTRVQELPPSIVNLRKLMHLLVGYDVKFPDGIAKMQALETLECVKVSIQPFDFLCGLGQLKNLRNLELDLALVFDCDTQDTNMVGKEHKKAIVSSLCKLGTQNLRSLHICSGHSLLHEESLCLPTFEGLYFYLSAFPQVPTWMGSLRNLQQLRIEVEALKQDDLCTLGALPSLLVLCLDLMERAKSNEKLRISGEVGFRFLKIFIYVPCFNPVDLMFGTGSMPKLEKLELYEFCMVEANSLGFGIENLPCLTSVKCISVKGNDGIVEAVKSAMERAASTHPNHPCVLFKRLC >SECCE6Rv1G0441100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:809150113:809153712:1 gene:SECCE6Rv1G0441100 transcript:SECCE6Rv1G0441100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGGDQRQMMEDAAEDKFPEGLRVLAVDGDCVCLKVLEVLLRRCKYNPTTVMDAKKALKMLRAGKEQFDLVITDVRMPDMDGFKLLELIRLEMDLPVIMLSVDCDKKAVMKGIIHGACDYFVKPVHTNELKNIWQHVESRRRSQAISHMSWDNDDDQRVQTGTVAKSKDSKNKGNNEYDSNENKESTHASSTHKNPRVTWKIELHNKFLEAINQIGLDKATPNKILELMNVDYLTRHNIASHLQKYRLYLNRIKANPLGDATERHSSSMNNRVNFMHDHGHERWHVSSGGNASWSPNYFSATGHLGQLAKTQSNLSMGSLIHGRRMSRYLVPQMPDARIFAGFDDPPISLYDDILNDTMLDEFSSHGSGTSYADSMHGKLMETSNGKIPSNLQSCLANTSSGGGRSAPANEYQVQPLQTINQYHTHMDAPSTQVSSMQVELTGNLHRGGTSLVPSHVDIPRINQLASYETSSNQLLLQNKISPFINNNTLVASFNEQVAPFNIPSNTCFVGMLNAHNSPPMAPSLMVNGGSNTTLLASFSEKIPSFKIASNTSSVGMIPNGNSAPGTGRTLMTETNMVNCGRTISALFDLQTDGLLTLTQILDGGDAAAILPMQEGIVNQQELNDQLNDINGFSSDDITNLLNDDFIGEDIVMDGE >SECCE5Rv1G0367900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:824885893:824887043:-1 gene:SECCE5Rv1G0367900 transcript:SECCE5Rv1G0367900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAASPMELDQDLPGFRFHPTEEELLGFYLSRVALGKKLHFDIIGTLNIYRHDPWDLPGLAKIGEREWYFFVPRERKAGSGGRPNRTTERGFWKATGSDRPIRSTANPKRIIGLKKTLVFYHGRAPRGTKTDWVMNEYRLPDTGAASPGEDTVLCKVYRKATPLKELEQRAFEMEGMKQRPGGNGGAARACPVPGASDFYLSSSDDFQDNFLIPSSSSSSVAPSGKSTSHDAPRVAKKEADLATVAVASTSSLPQVANASFRLQLPSVNPPCGLQLPAANHGMPNMSSLQLPAASQGVLDLPSLQLPAATNHGVFDWLQDPFVTQLRSPWQDQHCLSPYAHLLYY >SECCE5Rv1G0373650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:860226840:860237393:1 gene:SECCE5Rv1G0373650 transcript:SECCE5Rv1G0373650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKQLRDWVSDKLMSIQGFSTSVLVHYVIGLAKDSSSAGDLVGKLVEYGFSSSAETSSFAADIYAKVPRKGRGISNYQKDEREAAKLVKKQSTYKLLSDEDDDEVDNHTTSSASASSKSRKHFRRKAQDQDDGKDDDETTAHVSERNVRRRTEEVDDEDGDDTLDEEQEIIRDQQEKAQLEKNMKERDAVKTRKLMERQLSKEEQEELTRRSQALDKNDTSDLRNFSRQAYLLKRRDKKIDEIRDEILDHEYIFQGVKLTQAEENDFRYKKKIYDLVKDHVESADDVAEYKMPEAYDMGEGVNQEKRFSVAMQRYKDPEGKDKMNPFAEQEAWEEHQIGKSKLEFGSKDRNRSSNEYQFVFDDQIDFVKSSVIEGTQFEDDSDQETIDAKDILKRELQDERKTLPIYKFRDELLKAVDEYQVIVIVGETGSGKTTQIPQYLHEAGYTARGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTMIKYMTDGMLLREFLGEPDLAGYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEVHYTKAPEADYIDAAIVTILQIHVTQPPGDILVFLTGQEEIETVDEILKHKTRGLGTKIPELNICPIYANLPTELQAKIFETTPEGSRKVVLATNIAETSLTIDGIKYVIDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVMSIASMLSIGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWRETDFSTQWCYENYIQVRSMKRARDIRDQLEGLMERVEIEVCSNASDLDAIKKAITSGFFHHSARLQKNGSYRTVKNPQTVFIHPSSGLAQLLPRWVIYHELVLTTKEYMRQVTELKPEWLVEIAPHYYQLKDVDDAGSKKLPKGQGRAAL >SECCE3Rv1G0188570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:715459426:715460191:-1 gene:SECCE3Rv1G0188570 transcript:SECCE3Rv1G0188570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGASRRRRASCAHPPLPLIAIVLLLVFPPPPRAYALRVPLREVASLLSLSHSLLTRVAVARADRGDAAAAARARRIASHLSLLSSRGAWALGWDYLRHYAFSSVTGCGFSCATAAARLLAAAAEASRLKSPVDAAQWLRRNYGDLLAAATQLLNGLLSAFSEQGPLREVVLDVKWEVEEGGLLKDCLQVGAKDLEGLLIIAKDLFGASRASSRHSEL >SECCE3Rv1G0198690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:834768532:834771279:1 gene:SECCE3Rv1G0198690 transcript:SECCE3Rv1G0198690.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAAAGYARGAATRSPACCAAMSFSQSYRPKAARPATSFYGESLRANTARTSFRQSKAASRAALTTRCAIGDSLEEFLTKATPDKNLIRLLICMGEAMRTIAFKVRTASCGGTACVNTFGDEQLAVDMLADKLLFEALEYSHVCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTFVVALKDCPGTHEFLLLDEGKWQHVKDTTTIGEGKMFSPGNLRATFDNPDYDKLVNYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGFLIEKAGGHSSDGKQSVLDKVINVLDERTQVAYGSKNEIIRFEETLYGSSRLAESATVGATA >SECCE5Rv1G0366010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:810666289:810668132:-1 gene:SECCE5Rv1G0366010 transcript:SECCE5Rv1G0366010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKPRQQLGCLSFRHGAEEERWVDDSSVDHRGRPPLRAATGSWKAAMFIILIEFSERLSYFGIATSLMIYLTKVLHQDMKVAAENSNYWMSVTTLMPLLGGFLADAYLGRFRTVLLSTVVYLLGLVVLAVAQLTPGLRPAGGSVPRVHETLFFVGIYLVSVGTGGHKPALESFGGDQFDDGHAGERLQKMSYFNWWNCALCSGVLLGVTVVVYVQERVGWGAATVLLAAVMGCSLVVYLAGWRTYRYRVPQGSPLTPMLRVVVAAVRKRRLRLPTDVGELNEEDGGKKRLLCHTDQLRCLDKAAIVEHDGEGRRGAWRLATLTQVEETKLVVSMVPIWVTTLPFGITTAQVSTFFVKQGSVMDRRMGPHFVLPPASIFALAAIAMIATVALYDKVLEPCLRRVTGTERGLSVLRRIGVGMALAVVAMAVAAVVERRRLHSTATMSVFWLVPQFALMGVADGFALVGLQEYFYDQVPDSMRSLGIGLYLSVIGAGSFLSSLVIAAADHVSSHGGRRDGWFGKDLSRSRLDLFYWLLAAISAVNLGFYVLVAARYSYKQTVKAKRVSASDVECATATAA >SECCE3Rv1G0146610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11965040:11967020:1 gene:SECCE3Rv1G0146610 transcript:SECCE3Rv1G0146610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRARTPLLLAALAGAVALLLPGAAVVEARVLLSLDDFGAVGDGIANDTQAFVDVWKAACAAGGTTYLNVPAGKSYQIWPVTLAGPCRGEIKLMISGNIIAPESPEDWSDGDQGRWLHFSGVGDLSLSGGGIVDGRGQQWWAGSCEDENCTTYRPYDVAPMALHFEDCRDVSVKGITVQNSQRQHLVFTRCHDVEANYLRVTSPEYSHGTVGVLVVSSTDVHIKHDLFSVGGECVSIVGNSSDIRLRAISCGPGLGISIGGLGENQSNDMIHKIKMDTMLLSNTKNGIRIKTYENGCGFARKVKFEHIMMRNVANPIVINQHYSASNSNRGTPCGTPNASAVAVEKIKYIDITGTSATEQAVTFACSDTMPCKHLYLDGVNLKTAGGGSTSAYCYQAFGKHAGNVLPESCLGKEKEDEFIQLQAPTAGTLQGDTEDEEGDDW >SECCE7Rv1G0497230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:621833342:621834984:1 gene:SECCE7Rv1G0497230 transcript:SECCE7Rv1G0497230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAASADEEACMYALQLVSSSILPMTLKNAIELGLLETLVAAGGKLLTPAEVAAKLPSTGNPAAADMVDRMLRLLASYNVVSCTMEEGKDGRLSRRYGAAPVCKFLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMSAFEYHGTDPRFNRVFNEGMKNHSIIITKKLLEVYKGFEGLGTIVDVGGGVGATVGAITAAYPAIKGINFDLPHVISEAPPFPGVTHVGGDMFQKVPSGDAILMKWILHDWSDEHCATLLKNCYDALPAHGKVVLVECILPVNPEATPKAQGVFHVDMIMLAHNPGGRERYEREFEALAKGAGFKAIKATYIYANAFAIEFTK >SECCE5Rv1G0366040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:810806266:810814125:-1 gene:SECCE5Rv1G0366040 transcript:SECCE5Rv1G0366040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRFAYSPAEAAKVQLVQFGILSPDEIRQMSVAVIEHAETMEKGKPKPGGLSDPRLGTIDRRTKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSRILADEDDENDGKFKQALRIKNPKNRLRKIQEACKGKKVCAAGEDDLEGQDQMDADEPVKKKRGGCGAQQPKITVDGMKMVAEFKATNKKNGDQDQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLDPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGVPAHIITEFAQLLQFHIATYFDNDLPGQPRATQRSGRPIKSICSRLKAKEGRVRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLIDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFAGKVPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSAWHDEKESGYITPGDTMVRIEKGELLSGILCKKTLGTSMGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQHGFSIGIGDTIADAGTMEKINETIKEAKEEVNELIKEARDKKLEPEPGRTMMESFENKVNQVLNKARDVSGSSAQQSLAESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFAGRTLPHFTQNDDSPESRGFVENSYLRGLTPPEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIEPQKLDSLGMKKAEFDNVFRYELDDENWRPTYMSPEDADVLKTVPDIRLVFDAEYQKLEADRLQLGTEIATTGDITWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVEAMDKLQERLKVVPGDDAMSIEAQKNATLLFNILLRSTFASKRVLKEYRLTRESFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVFLKPEVSKQKELAKNVQCALEYTTLRRVTHATEIWYDPDPLGTTIEEDVEFVKSYYEMPDEDIDPDKLSPWLLRIELNREMMVDKRLSMAEIAEKIKHEFDDDLSCIFNDDNADKLILRIRIKNDDEAPKQDEDDDVFLKKVESNMLTEMALGGIPDINKVFIKERKMNKFDETDGFTQKSDNKDKNKEWMLDTEGVNLLAVLCHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRAVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAMYAESDHLRGVTENIMLGQLAPIGTGGCGLYLNDKMLKQAIELELPSFVEGLDYGMTPAPSPISGMMSPVASPNFRASPVTDHALQFSPYVGSMAFSPIPSNYSTSSGGGYSPVSPAYSPISPIYTPTSLSYSPVSPIHSPESPVYSPASPVSRPTSLEYSPTSPVYSPTSLQYSPTSPVYNQTSLSYSPTSPSYSPTSHAYSPTSPSYSPTSPVYSPASFSYSPTSPYYNPTSPSYSPTSHSYSPTSPGYSPTSPSYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPSRAYSPSSPMMMSPYSQLPNYSLASPTYSPTSPSYAAQPSPSYSPTSPHTASGGPSPDYSPTSPNYSPSASYSPSYTEPQTSDKDDDKTAP >SECCE4Rv1G0271950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:764995127:764998097:-1 gene:SECCE4Rv1G0271950 transcript:SECCE4Rv1G0271950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRNRTYASGSNKRKKKNAADKLVQSQRGHIHIYYKPISTTSINPGDELAIVAIEEEQQTNVNSESDQQEENVDTNIDDNNVSDSENVGNSSDAQEQSPSVDEPFYTPDIYDPRNWDNLDNKARDVLVEKGPMREEKDKMEYRVDDAGRHFSYAHYHRKLSNGEKHDRKWLVYSEGVDKVFCFCCKIFKSSNSRSQSSLAHDGLNHWRHISEKLKEHENSVDHINNMNKWNELRIRLRKKETIDKELQHQITKEKERVRQVLLRIMAIVKYLGKRNLAFRGNNHLRRIQNKEIHYHYLSHKIQNELISLLAAEITCSIIKVVKEAKYFSVILDCTPDVSHQEQTTFLVRCVNLSDGKIKIEEYFLAFLKVDDTSGLGIFKVLLESMETFGLNINDIRGQGYDNGSNMKGKYRGVQSRLLDVNPRALYMPCACHSLNLTLCDMAKTCEKAVSFFGIVQRIYILFAGSTKRWNVLFKHVPSLTVKSLSNTRWVSRIKSVTAIRYQAMELRSALSELRDASDTEPKDKSDAKNLFDALGRFEFLVGMIIWHDILFAVNKVSKMLQSSTMCIDSALKQIKDDGFSSSLTIAKGIASEMGIDPVFPMKRRAKRKKQFGESDCQEEILEAEKAFRVKYFFDIFKELMVFKDIFGFLLSSGTLKSLSDNELEECCTKFAKTFSLDGSSDVEVYDHISELKIMRFTLPNGVMSAMEIFGHVREVDCYPNISIPYRILFTVPVTVASADRSFSKLKLLKNYLRSTMTQERLNGLATLCIEKKLLDEIDIDPIISDFASRNVRRNFEGFDELFFTKKTRQRKPIREHGLFPFSE >SECCE1Rv1G0004770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:20677006:20678853:-1 gene:SECCE1Rv1G0004770 transcript:SECCE1Rv1G0004770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKALGAVKDQATIGIAKVSSAVAPDLDVAIVRATAHEDGPPDERHVQEVLRLSSGSSRVHVAACVAAVSRRLARTRDYVVAAKCLALLHRLVADGDPHFRHELVRPAVAAGRRGGGAAPVLAALSDFRDEAHSASWDHSAFVRAYALYLDDRVRFLLALLPAPRTVRFADDGYGYGGRASPPPDMTISVHDMDVEGLLARGLQLRQLIDRFLACRPTGAARRSRVVLATLWPVVKESARLYEDVAVVLAVLLDRFFDMDYHDCVKAFEAHVSTARLVDDLLAFYSWCDDAGVARSSDLPEVKRIDDKLLETLEQFVRERGRAGQSSPPPPQLQHAVQEAARGEDEHHQAEYNMNGIKALPAPEHVKAATVSRPEPAKASAAPVARAPDHETSDLVDLRESAAAADEQGNKLALALFSEAPQSANGSWVAFPSDDSATKVATTTSAWQTPAAEPGKADWELALVETASNLSRQTAALGGGMDPLLLHGMYDQGAVRHHARTQAASGSASSVALPGAPATHILALPGPDGAVGGDPFAASLAVPPPSYVQMAEMERKQELLAQEQRMWAQYRQGGMQGLNGLAVGGGGSAFASNTTSVPMPMPMAYHGAGAYYY >SECCE6Rv1G0424400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:700344073:700345275:-1 gene:SECCE6Rv1G0424400 transcript:SECCE6Rv1G0424400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divinyl chlorophyllide a 8-vinyl-reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18660) UniProtKB/Swiss-Prot;Acc:Q1H537] MAALLLPVSSSRLPKSTAIASAAPTPRFLSFPLTTARRRGGCILASSATSPSTEAQPFRSLPPSETTVLVTGATGYIGRFVVRELLRRGHRVVAVARPRSGVRGKNSPDDVVSDLAPARVVFSDVTDPGALLADLSGSGHGPVHAAVCCLASRGGGVQDSWRVDYRATLHTLQAARSLGAAHFVLLSAVCVQKPLLEFQRAKLRFEAELAAEAARDPAFTYSVVRPTAFFKSLGGQVEAVKKGNPYVMFGDGKLCACKPISEEDLASFIADCVLDPEKANKVLPIGGPGKALTPLEQGEMLFRLLGRQPRFIRVPIQIMDGVIWVLDGLAKVFPGLEDAAEFGKIGRYYASESMLVLDPETGEYSDDKTPSYGKDTLEHFFDKVIREGMAGQELGEQTIF >SECCE6Rv1G0406980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:553072958:553074354:-1 gene:SECCE6Rv1G0406980 transcript:SECCE6Rv1G0406980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGVKMLKPVYSAPHPLAGEIVPLTLFDRAAMDIFVSLILVYPAPTPSNEALIEGLRRAVAPYPHLSGRLAVDHSGRRSIHLNNDGVLVLDTEVSVDMASVVTDGCFTTTTAGLYPAPPLPEENVGAALLQIKLNRYNCGGLVIGIIHHHHAADGRSFSNFLTTWASAVRQASEFTAPSPFLDRAATAVPRSVPTPAFDHRSTEFSGEEDGRSYESYPTCKIKNLTLRFTAQFVTELKARVGAPCSTFQCLLAHVWKKITAARGLKPDEFTQVRVAVDCRGRANPAVPPDFFGNMVLWAFPRLQVRDVLGWTYGGVVGAIRDAVGRVDAAYIQSFLDFGSVADANWEELAATAPTSGTMLCPDLEADSSLGFRFHQIDLGTGPPSAFLMPDLPVEGLMTFVPSCSAKGSIDLHVAVAEDHVAAFEHTCYSLDERAKPKL >SECCE5Rv1G0303980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:53094598:53097340:1 gene:SECCE5Rv1G0303980 transcript:SECCE5Rv1G0303980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADAQTQSNAHSSSPPAEASGEPIRSPQVGAPSAASPTFPLMYPMLVPGMFSQQGMDDQAQGPGIYAIQENQFMGAMGGYAPKTFIPLAYNIPTESVGALAGEEQGQDARQQNGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASMIYLYQTGAITPFLRWLQRAGGVAARPPQAPANRAPVAAQNDGNEQPPGGNLADPANPDQAAENQEPGAAAGNENQQGAEGEANRRSWLGGILKEVQLVVVGFVASLLPGFQHND >SECCE6Rv1G0418380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:662563997:662564524:-1 gene:SECCE6Rv1G0418380 transcript:SECCE6Rv1G0418380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAPRPSGPVLLSPIPNYQSASLSRVKLSAGGSPVKSVCVSSPPSSPTAAPKIRRSCACSPTNHPGSFRCSLHKERKQEAPAASRKPVSPPSPPSKRTASPFAQLIPSGSGCSKRPDSGLARRVPMGSGHWARRALAPSPAVQQLQHRKRAGGYLAGASRLSAAGGRAGGSQ >SECCE6Rv1G0447100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:848721745:848723295:-1 gene:SECCE6Rv1G0447100 transcript:SECCE6Rv1G0447100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSTANPAVVSDFAVTADDKATFQPLNPEDVRAYLHKAVDFISDYYTNIESMPVLPNVEPGYLQRELMASPPTYSTPFDVTMKEVRASVVPGMTHWASPNFFAFFPATNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLMRLPATFMNHTCTGDRGTGGGVILGTTSEAMLVTLVAARDAALRRTGSIGVSALPRLAVYAADQTHTTFFKACRLAGFDPANIRSIPTGPENDYGLDPAKLLKAMQADVDAGLVPTYVCATVGTTSSNAVDPVGAVADVAALFCAWVHVDAAYAGSACICPEFRHHLDGVERVDSISVSPHKWLLTCLDCTCLYVRDARRLSDSMETNPEYLKNDATDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTTKLQEHIRSDVAMAKMFEGLVRADHRFEVVVPRNFAMVCFKIKASGTMTEEDADEANRMLMKNLNKTGKAYLAHTVIGGRIVLRFAVGSSLQEERHVRSAWELIKKTTNEMMMD >SECCE5Rv1G0358550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756183734:756184801:1 gene:SECCE5Rv1G0358550 transcript:SECCE5Rv1G0358550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQCKLSAAMHAISLSEERSYVLKVDGYSRAKALLKNGECVTSAPFSVGGHGWAVEYYPNGHPEDCNDFISLYLVLQSADAEDVKAKFTFSVLDENGEPVPSYSRTYPMDTFSSKGDNWGYNKFIKKADLEASAHIRDDCLTIRCDVTVIHSEETRVPPSDLRQHLGDLLMNKDAADLTFQVGGETFSAHRCVLATRSSVFKAELLGAMKESSAASPIEICDMEADVFKSLLHFIYTDSVPSVLDVVMAGHLLVAADRYNIVRLKLICEEKLCNHIDSSVVANTLVLSEQHGFHRLKEVCLQFLASPSNLEGMVASDGYEHLKSICPSVLKEVISRIMPAELKVAKDIIMEMWK >SECCE1Rv1G0053890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:672138489:672139085:-1 gene:SECCE1Rv1G0053890 transcript:SECCE1Rv1G0053890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKYVDVTKSRNKHDNTTVVHHYKVDVFNVAIDQQVIELNDRFSSQVTELLDLCSSLDPRHDAFSKSKICRLVEKLYPADFSSQERDRLECELPHFQLDTFNHPEIKNCKSLADLTKGIVKIGKSSDYAMVQRLLRLVITLPVSTAQQSEPFLQ >SECCE7Rv1G0454650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:3613112:3615934:-1 gene:SECCE7Rv1G0454650 transcript:SECCE7Rv1G0454650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRMLARRAVPGLGLLRSATSPGLLRSAAAGPSPALALPALLQAQGGGGGRRWYRAAGGDPLPSFYEPPPTPANLGLSIVPEKKAFVVERFGKYLKTLSSGIHLLMPGVDRIAYVHSLKEEAIPIPDNSAITKDNVSIQIGGVLYVKIVDPYMASYGVENPVYAVIQLAQTTMRSELGKITLDKTFEERDTLNTNIVKSINEAAETWGLKCLRYEIRDITPPDGVKKAMEMQAAAERKKRAQILESEGAMMENANKAKGEAEAILARSQATAEGIRMVSESFKTEGSTEAASLRIAEQYIRAFSELARTTNTMLLPSDAGNPGTMIAQALQIYNHTYKQKLTLGSPGPSPSNRAEEADLSLGMPSVSDLGTFQHQK >SECCE3Rv1G0184390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:662728899:662732462:-1 gene:SECCE3Rv1G0184390 transcript:SECCE3Rv1G0184390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor FUS3 [Source:Projected from Arabidopsis thaliana (AT3G26790) UniProtKB/Swiss-Prot;Acc:Q9LW31] MAAISSSSSKRRSPSASTTTSSSSGDGIAELRPQLVTRKRRSGGRAPRGGVRWMPAIRPVNELDLNRVALDSNHQVAGLRVILQKELRNSDISQLGRIVLPKKESEAYLPVLTSKDGRSLRMHDLLNAQLWTFKYRYWPNNKSRMYVLENTGDYVRTHNLRVGDFIMIYKDDDKNRFVIRAKKAGDDLVASLPQFHEHISSILPIPEVDDYVSLIPTPADMSAFVPQADENYEIFDGIFNSLPEIPVANVRYSDFFDPFSDCMDMSNPGLNANNSANLATHFHDERTGLSLFPNPKSGPLM >SECCE2Rv1G0082130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:148084892:148085152:-1 gene:SECCE2Rv1G0082130 transcript:SECCE2Rv1G0082130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSAPCAWTVEEFKKWVDVNGDGQISKAELRQAIRRRGCWFATVRAGRVVRRADRDHNGYIDESELEHLLAFAREELGMNISAR >SECCE5Rv1G0298330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10953754:10958335:-1 gene:SECCE5Rv1G0298330 transcript:SECCE5Rv1G0298330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDIPLPNMFQQLLGKDEDAWPPEARFLVAAHYGNVRRLKEIARKLGRKGEAGEEAAVAATTYRGMNALHAAVGGQGRLAACRYLVETVGMDVNMWDSSPRKQTPLEHAVDGGNLPALRYLLDHGADLTQEEDDGDTVLHHAARKGRSEIVKLLLARGADVNGSSEHGTPLHLAAVKGHESTVEALLEHHADVDKVVPSNLVTPLKAALLAASTPCVKLLVQAGANVNDVNNSLARAASEGLTECVKCLLEAGADPNRPDECGRLPIELAAVYGTREDLELLFPVTSPIPTVADWTIDGIINHAKLERLQLQDEDVLNTRKSDLKRKGDEAFEKQDYTSASEFYTQALKVDPSDGKMLASRSRCWLQLGDGQKALEDATRCKRRCPDWAEARLRRAQALMLLKDYEKACEELSGGVELDPENDEMDKLFWEAMELKKK >SECCEUnv1G0535370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:56158172:56158593:-1 gene:SECCEUnv1G0535370 transcript:SECCEUnv1G0535370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLKDVLRESQPSRFSARTMRKEELKLNCQTSEKVEAATTETKTNMHDYNVMDEGSTDFNKSKTKMGVATAKKSTKRKSLSKRIKPSNAVSNKDSKEPTGD >SECCE7Rv1G0478850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:242246843:242254422:-1 gene:SECCE7Rv1G0478850 transcript:SECCE7Rv1G0478850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFRSVLARAAARTRAELQGTRGRRLSHCAAAPARPSFGIAFDIDGVILRGRSPIGGSPQAIRRLYSDDGTLKIPFLFLTNGGGVPEHKRAVELSELLGVNISPAQVVHGHSPYRELVKRFEDDLIVAVGKGEPASVMAEYGFRKVLSIDDYSSHFKEIDPLAPFKKWKVEQPNCKDFMSEKMHPPYDVYQERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGNGKGDQPPLYFAADDLEYQAAFPSERLGMGAFRIALESIFNQVNDVPLKYTSYGKPNPFVFKNAANILEKIVMGIYPNSQPRNEVQDHQFSTIYMIGDNPKVDTNGVLKAGPPWSSILTRTGVFRGNDNDPKFPADLVVDTVDDAISCILEKECIR >SECCE5Rv1G0304640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:60202605:60203102:-1 gene:SECCE5Rv1G0304640 transcript:SECCE5Rv1G0304640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAAAAISSEQMSEFREAFAFFDKDGDGCITADELSTVIRSLGQSPTPEELRDMVRDVDADGNGTIEFAEFLALMSRKADADADAADPEEELREAFRVFDKDHDGHISKAELRHVMISLGEKLTDDEVDGMIQEADLDGDGLVNFDEFVRMMMLSDADQQQH >SECCE2Rv1G0083820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:171299998:171302439:1 gene:SECCE2Rv1G0083820 transcript:SECCE2Rv1G0083820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVCVTGAAGYIAAWLVRKLLQRGCVVHATLRSLRDEKKTGLLRALPGAAERLVLFEADIYDAATFEPAIQGCDFVFLVATPLLHDTSSTKYKNITEAIVDATRIILQQCERSRTVRRVIHTASVTAASPLKEDGDGYKDAMNESCWSPLNLSYGYSNVHLDAYVSSKRLSEEELLRYNESEGRAFEVVTLACGLVGGDTIQPILWSSIPVVVAPLTGNELHHNSLKFMQALCGSVPLVHVDDACEAHLFCMDQPSMAGRFLCAAGYPNMEDYVARFAAKYPEHKILLNKVAGEGVRVKGDSTKLVDLGFRFKYGVEETLDCSVECAKRMGELQV >SECCE1Rv1G0006670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:35163920:35168753:1 gene:SECCE1Rv1G0006670 transcript:SECCE1Rv1G0006670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESERAGTMDSPEPRIEVEQKPVLASNTKEQTIPGKDEKTVKPTISLDSSVINLPSDGQAQAGTSNIGGEHNVVYPQHMYSSQAQPFYYQGPGYENPPNEWDVYPPYAEGLEAGPAVVYNEDPSMMYHGGYGYDPYAHYSPISTPVPAGVSGDGQLYSPQQFSFSAPYYQQPVQPGMPYLSSPTPISQGETMMPIDPTQGAFMADTLSPNSFLFGPRPEWFRSSEGTGSFPSPAASPQPFGGVSGPFGQSNFPMASGMMSPQQKPFYGFGTPGDSYGRGFSHSGGFPQATNYGGPFPGYGMNGRSFIPIDKGRRRGRGNSLLCSCDGPLDFLNEQSRGPRATRPKKQPEDDSKDEKPSAGLDKESYNRTDFVTEYKSARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDAAYREVKEKEEHCPIFLLFSVNASAQFCGVAEMTGPVNFDKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNENKPVTNSRDTQEVKLEQGLEMLKIFKDHEDDVSILDDFDFYEEREKALLENKARLHQQQQISSSSVSDPKKPSTAPTDLVGHIAKTPTVVEPKKPSTVPTDPVGHITKTFAQAVRLGEAKSVSPSGEKVPAGGSSAAAKPVEVKESS >SECCE5Rv1G0353290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:715810716:715823930:-1 gene:SECCE5Rv1G0353290 transcript:SECCE5Rv1G0353290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Isoform 2 of MADS-box transcription factor 14 [Source: Projected from Oryza sativa (Os03g0752800)] MGRGKVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVGLIIFSTKGKLYEFSTESCMDKILERYERYSYAEKVLVSSESEIQGNWCHEYRKLKAKVETIQKCQKHLMGEDLESLNLKELQQLEQQLESSLKHIRSRKNQLMHESISELQKKERSLQEENKVLQKELVEKQKAQAAQQDHTQPQTSSSSSSFMLRDAALAGNTSTHPAAAGERAEDAAVEPQAPPRTGLPLWMVSHING >SECCE1Rv1G0053870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:672059349:672063486:-1 gene:SECCE1Rv1G0053870 transcript:SECCE1Rv1G0053870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSELPLHHSFRLSSRPLLPLRLLSLSRPASSSASPTAAAAAAPSSSGRNRAPPAPSRGGAPWMQKWAPADPSAPAPAPSPGHAPSTSIDRIVHRLRNLGLGTDDDEPPSSPAADAPLHGRERLGDLLDRSWARPDRQFAASGLDEAVLPWERDRESDGEEVDGVKRKRVRAPSLAELTMDDVELRRLRGMGMTLKDRITVPKAGVTQAITEKIHDAWRKSELVRLKFHEDLANDMKTAHELVERRTGGLIIWRAGSVMVVYRGSNYTRPLKSQPLDGTSSPRKGEDTTLFIPDGSSTAENDNQGKDLTAQHDNAPILDLHNTEDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKAPFRVLPTGMRTSLTNSELTNLRKLARNLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKLMSDEIKNLTGGTLLLRNKYYIVIYRGKDFLPTSVAAALAEREELTKDIQNLEEQRRSISIEHSPEDAFDGHALVGTLAEFQEAQARWGRNVTSKEQLEMKEASFRSEKEKLFRRLEHKLSIAQAKIHRAGKLLSKIEASMILANPSDDREMITAEERSVFRRIGLKMKAYLPVGIRGVFDGVIENMHLHWKHREVVKLITKQKTLAFVEETARLLEYESGGILVAIERVPKGHALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIDQLEINMKKMKRDLGMEDYDEEDEDGSGSESEDDTAGYDEGMEDYDKEEEDETDSEDEDN >SECCE4Rv1G0228940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:141076473:141081524:-1 gene:SECCE4Rv1G0228940 transcript:SECCE4Rv1G0228940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGVDTRPAAAGEGALSFLSRSLREDLRLIRARAGELETFLSAPVPEPDLFARLRKAYNTTSSSSAASGIGRTRLDLSAIGKAFEAEVGRGWGAKTGWRWEDEDAAEWEPIRAVKARLRDLDRKRQDQASDVLHKVKLSLKSMSFTSEASEEVPPLDLNELLAYFLKQSGPLFDQLGIKRDVCDKLVESLCSKRKDHFAYDPLSTSESSSFRNDNTGDELDLRIASVLQSTGHHYEGGFWDDGQKYDVADKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSSKQYVTLMVPWLCKSDQELVYPNSMTFSSPEDQETYIRNWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKVLRLSGATQDLARSMICNVHGVNPKFLEVGERIAAERESGEQSMSKGAYFLGKMVWAKGYRELIDLLAKHKTDLDGFKLDVYGNGEDSVEVQSAAKKLDLNLNFHKGRDHADDSLHGYKVFVNPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYTTSEDFVAKVKEAMTRDPQPLTPEQRYNLSWEAATQRFMEHSELDKVLSSNGDCASTSGNCVDRRMKKSASLPNMSDIVDGGLAFAHYCFTGSELLRLSTGAVPGTLNYNKQHSVDMHLLPPQVQNPVYGW >SECCE2Rv1G0097220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:455510168:455516556:1 gene:SECCE2Rv1G0097220 transcript:SECCE2Rv1G0097220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWKRSKSAFHRSATTASSSSSSAPVSPARASTSRSQPRRAGSDDTGDLLLARPHRQPRQLTRQRKLRHVYDIDALLVDLGIEVASSSSPPHARGRASASDAVGFGPPISRCSNAADGVVAPPPRSASSPVLHPLPLPSPSPSPKPPAELETPEPAGVTEGGNERASLQIPRVTGQTVQKFPEHNDFCPNGTKRPTSSHHRKALHDKFQDKSSAETGNFRLNIPTRSAPTSGFSSPVGSPRRLSNADVSSTVASSHAPQAWSAPSIHTIDFLGALSPQTSPEKLTGASEPSPYSSTFRSPILMPRNTSAPPSPHPKLFPENQISRTELNGSASLHPLPLPPSAISPMQASFSNQPAPKVEMPSVSCQWQKGKLLGSGTFGCVYEATNRNTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSDTIEDRFYIYLEYVHPGSINKYVKQHYGAITESVVRNFTRHILRGLAFLHGQKIMHRDIKGANLLVDINGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLAKDIGYDLAVDIWSLGCTIIEMFDGKPPWSDLEGPAAMFKVLHKDPPIPENLSLEGKDFLQCCFKRNPAERPTASELLDHPFIRNSSHYSKHGSIHAFAGIKVHDNTYGFRDKASSKSEPYVKGRNTIGEPNNSRPFESSAFRLTPLTIQEVAPNFAPQPFGLASNPGSFAISTNPMHFPMANPQPSPLPRPNGKEVLF >SECCE7Rv1G0517920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856655401:856658320:1 gene:SECCE7Rv1G0517920 transcript:SECCE7Rv1G0517920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEGESRDWAGMQSDALSAVFGKLDAADLLTGAGQVCHAWRHLADSDTTLWRRVDMTHHGDVLKAEATARAAVDRAAGTMEAFSADTFVTDRLLRYISVRAFSLKSLQLSFCDKVSDKGLAEAINRLPQLEELDISFCALYGNFCQSFGQTRSQLKCFRLNERWADFHKRFGAAYERMEIDPEASWIANSMPGLQVLQLIGSEITNDGLMAILDHCRHLESLDIRMCYHLRIDDAMKSKCARIRNLKLPQDSISDFKYRAYVDSEDYSEDYSGSDFEVDMHDELLDVVMDDDADADGEFDYVDDYDDAGSEYDVVDYI >SECCEUnv1G0537380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68716698:68717249:1 gene:SECCEUnv1G0537380 transcript:SECCEUnv1G0537380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDSIIFEVDLKVKDVNGRKVNDERVSKGLMEINGISRLSFPPKYKVQTEKLVSMHSTLHLNYTFVRNAVEGTVEARILEGPVNFHGRIFARTSSFPCDIVLHDSKLAGMLTAGEGGILQTARRVVSVSDDETLLLTVAVGETGGVRTVVEFTPKRGSYDEERITWGDYKMLVKVTWSIVHR >SECCE4Rv1G0290450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:872776459:872777541:-1 gene:SECCE4Rv1G0290450 transcript:SECCE4Rv1G0290450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDGEAAGMKKGPWAAEEDKLLVDYIQEKGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPGIKRGRFTHDEEKLIVHLHSLLGNKWSSIATMLPGRTDNEIKNYWNTHVRKKLLAMGIDPVTHRPCTDLSLLAGIPGLLAAAGNFGGPAGACPGAWDLNALRLQAEAAKFQLLQGLVHAITTAAALAPTAALGMESNGGQHGAEGGDQTMLLQQCQWDGMNNLPAALTSSAPASGMHNISGLFDGFSAWDGLSWTELDGHGAASWSNVDTVELSRPVVTGDQECKNIGSGDVPSCEQASASSTFDGLESLNLDDHNAGGGWKDLLE >SECCE4Rv1G0227200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:118082046:118082725:-1 gene:SECCE4Rv1G0227200 transcript:SECCE4Rv1G0227200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVGAAKPARMSSMDREPKTLSLGELNYAREAALNVLTTHSSQDAARIFTEGLKPVLGVRRDFMDSDSDDDEEGDVFDPDVPIDDHDDVRRHCQLRGRVAKKRDFATAPF >SECCE1Rv1G0029250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:411306526:411309434:1 gene:SECCE1Rv1G0029250 transcript:SECCE1Rv1G0029250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGGGGGAAGGAAQIQGMATHGGRYVLYNVYGNLFEVASKYAPPIRPIGRGAYGIVCAAVSSDTGEEVAIKKIGNAFDNHIDAKRTLREIKLLRHMDHENILAIKDLIRPPRRDDFKDVYIVTELMDTDLHQIIRSNQSLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTSETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIITRQPLFPGRDYIQQLKLITELIGSPDDSSLGFLRSDNARRYMKQLPQYPRQDFRLRFRNMSDGAVDLLERMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEEHMKELIWRETLAFNPDPPY >SECCE1Rv1G0039810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:553544884:553547870:-1 gene:SECCE1Rv1G0039810 transcript:SECCE1Rv1G0039810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSLEPAAAAAAPIPRAGALGRVRVAHGARGPRCVVGAGLAGTAARLRVLVAALPEPLLHQLMPGQEGAAALSPEADEVHGDMPSAEISSPAAPAVPGSTVRVRFVLKERCNFGHSFHLVGDDPALGHWEPASTIALDWSEGHNWTVEKDLPSNRLIEFKFLLQDSSGKFHWQNGPNRTLQTGDTTKTLVVYEDWDNAKNQKVEEERDAPVQMMEAVVDGDRGRNGVVLANELQVGDNQEIKELDTPMPIPWGGAFGRVRVTYGAQGPRRGGSDSLFRTANRLRVVVTALPEPLEQLMPTQEGAVALPPEADEVQGGVASAEISSPPAPAVPGSTVRVRFVLKERCTFGQSFHLVGDVPALGLWEPTNAVAMDWLEGHDWTVEKDLPANRLIEFKFLLQDSLGKFHWQNGPNRSLQTGETTKTLVVYEDWGDAKNKKVVEEGDTPVEMAEAVVADDDRGRNGVVSGNELQVRDNQEIKEDESTISDGENSMAAAIASVGEETMKAYEADQPELLMDEQKTQDELRDETYTAPQNGRAMRYADDDCDETTDDDSILPKNGALVKHGLAGAFERELLWGWKALQQLVGFKTDT >SECCE3Rv1G0213430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961083397:961087385:1 gene:SECCE3Rv1G0213430 transcript:SECCE3Rv1G0213430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQPPRVVFRFTEAEVAKMEEVLKDLNAMAKRPVIQGLTDDFNASQDRSGDGKVPVQYNQVRTWFQNRRYKKRRSPPAQGKMLPTGAEAQHPASYRVQSNSESHSGNTSSDGRLVQLEAKSPKNGAWYDVAAIQSCRISETGDQEVQVWFSGFGAEEEEWINVGKSVRLRSLPCVAKECVDVLPGDLILCFQERKEQALYFDAHVLEVERRTHDIRGCRCSFLVRYDHDQSEEIVSLRKVCRRPSAVVKIDIVIDHSLAHKKAKKPYTVMDMNPNEVTKVPIPQDQGAPAQKPHKMLDMNPGCSLTYHT >SECCE7Rv1G0510640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:791379539:791381727:-1 gene:SECCE7Rv1G0510640 transcript:SECCE7Rv1G0510640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQAKPSQGSPARSHDRGIDHLMRCNGYAPAAARRLTDPLPTTAAVAVAVARDQADAKDHCPAGTETTDASGTSNLTAATVSSPSLSMPPQPPAPRREDDREQLVDGWPTWLLENVPREALQGIVPRSADAFDKIEKVGQGTYSNVYKARERGADGRLVALKKVRLDTMEPESVKFMAREMRILRRLDHPNIIRLDGIATSRMHRSIYLVFEFMYSDLARLIARPLTVPQIKCYMQQLLMGLQHCHERNILHRDIKGSNLLIDRAGVLKIGDLGLANYYGPGRRRPLTSRVVTLWYRAPELLLGATDYGVGIDLWSAGCLLAEMFSGKPLMPGRTEVEQLFKIFSLCGSPPDEYWRRMKLPATIRPPKTYKSTMAEKFSGMPPSALPLLTTLLALDPAARGTAAQALQSDFFTTPPLACDVSDLPVVYKEEAADPTTSHDGRKSKPRQRSQKRKNSGKQRADREQHADEPELSNGKSPNPNKEDAETAANAGARAMASSSVQGSAENRAGPAKSGALCLTLKMGLPLEERPASRDAQGLAESAIVKASTSTTSQRFSVSPIRSFLPADEPQLRRANTYHATGDEHKGGAIAHRGVAIRSAT >SECCE2Rv1G0076780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:94496284:94506717:-1 gene:SECCE2Rv1G0076780 transcript:SECCE2Rv1G0076780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAAPSGGPVFKSGPLFISSKGIGWKSWKKRWFILTRTSLIFFKNDPNSLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLLEWKTALEEALAQAPNAALVIGHNGIFRNDTPDAYEGAAPNWREKRPTKSLVVGRPILLALEDIDGSPSFLEKALCFLEKHGIKVEGILRQAADVEEVDRRMNEYEQGRTEFAPDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAFRLESKESRINSMRAAMSETFPEPNRRLLQRILRMMHTVASHTTENRMTPSAVAACMAPLLLRPLLAGECEMEDDIDMSDDSSAQLIAAANAANNAQCIVTTLLEEYESIFDDEHPRCSLSPDSRIQDSGSEESTDDETVDAKDNGFHDAENDADQELDERILSGNLSESSARTGADLYDYQVDPGDSDAERSVPDKTLEAKSDLKDAPHSHLKQNGTINVQRLPNGYDPTNLVSSHESPLSMGEILSSLDAGVPLPGPGAEHSVDRQSIKSNETQLHVKRSNIWGRNNARKSQQSEFVDSSGEEELAIQRLEIAKNDLQIRIAKETRGNAILQASLERRKQALHERRLVLEQDVSRLQEQLQAERDLRAALEVGLSMSSAQFSGSRAMDSKTKAELEEIALAEADVARLKQKVAELHVQLSQQRQHQYDSFVDENDRYQHRPSHLPQSFVQPGFDTKLAFCNQEKKQRNEESLLGASHWRSIKQHVLTQASSKPFSRKHSLDASSSDSREVSSSMPVESGSMSANNPRSGEAVEYGRQPPVPSSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGPAPNGFQYKSSSPWNSPR >SECCE3Rv1G0186330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:683301011:683304835:-1 gene:SECCE3Rv1G0186330 transcript:SECCE3Rv1G0186330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRAISSVLRTASRIRAASPSPCPRAPLHRPSPAGFLINRAAAYSSSAAAQAAPVTPPPTSDKHTGTKITDDFTGAGAVGEVCQVIGAVVDVRFDEGLPPILTALEVLDNSIRLVLEVAQHLGENVVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDHKGDIKTTSYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLDDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKEGVQSFQGVLDGKYDDLSEQAFYMVGGIEEVIAKAEKIAKENA >SECCE4Rv1G0262290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:706855949:706858498:1 gene:SECCE4Rv1G0262290 transcript:SECCE4Rv1G0262290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSQAVAIAKFPEVVTVKPNIFHKAHTTRSWDFLGLDYNPPPQQPGLLKRAKYGEDVIVGVIDTGIWPESRSFDDNGYGPMPAQWKGKCQSGQKFNATSCNRKIIGARWYGRGISAESLKNDYKSPRDLNGHGTHVASTIAGGEVQGVSYGGLGMGVARGGAPRARLGIYKVCWVDEGCPDAAVLAAIDDAIHDGVDVLSLSIGGVGQEYSGTLHAVQRGISVVFAGGNDGPVPQTVTNAVPWVTTVAASTIDRSFPTLISLGNKEKLVGQSLNYNAAMKNSGSQALVYAGSCDPESLALGNVTGKTVLCYAPEEASRWSPQLILPYAINYTIEAGAKGLIFAQYTTNNLDSLTACEGFMPCALVDFEIAQRIYSYWDMADNPVVKVSPAVSVVGNGVLSPRVASFSSRGPSLSFPGILKPDIAAPGVNILAAVRGSYLFYSGTSMACPHVSAVTAMLKSVHPQWSPAMIKSAIITTDRNIEIPTILQKQLI >SECCE4Rv1G0219390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:30385750:30390488:-1 gene:SECCE4Rv1G0219390 transcript:SECCE4Rv1G0219390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLTGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDIMRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSADTVFYVKDRFLRFFEYSTQKEVQVAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYDLYIVPKDSAGRADYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLVLGELQSAGVKYVVWSSDMEHVALLSKHAVVIASKKLVHRSTLHETIRVKSGAWDENGIFIYSTLNHIKYCLPNGDSGIIKTLDVPVYITRVIGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKSSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLTFLYLITGYMDKVGFMCKIAGQNNNLMGQFHNALYLGDARKRAEILENAGQLPLAYVTAATHGLTEIAERLAAELGENVPSLPEGKTRSLLIPPAPLISSVDWPLLRVMRGIFEGGLDATGRAEVEEDDEAAGADWGDEDLDIVDASDAVANGGDGFDPEEGEANEEDGEEGGWDLEDLELPESETPKAAGNARSTVFVAPTLGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPMFLDLHMGSHSYLRALATAPVISIAVEKGWSESSSPNVRGPPSLVFTFSQMEDRLKAAYKATTEGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELVEIVREYVLGLRMELKRKELKDNVNRQQELAAYFTNCKLQRVHMRLVLSNAMALCYKQKNFATAEHFARLLLENSPNEVQAKKARQVQQQCNGKQDSHELNYDYRNPFVVCGSTYVPIYRGQKDISCPYCGSRFVPAIEGQLCTICELAVVGADASGLLCSPTQTR >SECCE7Rv1G0495740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:601518822:601523775:1 gene:SECCE7Rv1G0495740 transcript:SECCE7Rv1G0495740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTKPNPKARTNTLASRGSRGTGRGRGRGRGRGGAGRPGEKRSHGPHLPNKLRRELESLGPARDRGSDDDDEDDVVGEDVYEYEEGVPEEEARKNDRYDAVAKYEYDFDSDGSNADEDVPSDEGEDMEEDDDGDVDEEKQIRILQETTGMPREAFDGNRKKKEKKQALEQLLQPGDGPVTIHDLLDNIQDKPGYSKIRKMVQQQEKKPMVVQPPLPKGQRDKMDRSVAYVLSKKEIGKWERIVKDNREAATLRFENDLNLGVDTVGAIASKFEPRSSFEKQMAGVFNNTEIMEAHKNDGAKILELNKMEVEDVRERQNRLAKMRSLLFRHEMKAKRVKKIKSRTYHRLMKKDKLKAADLEADPEAAKDSAKKLEFKRAEERMTLKHKNNSKWAKRILKRGLSVQDDGTRDAITAQLQQHALLTRKMNSIKDGSSSSDESSDDDDDDEDEDERKLEAKLLNRGKEKIRKVIEEDNEIPKSGVFSLPFMERAMKKRADATYEETRLDYEELEESLRKLEDDNTEENVDSMKVTGKRTFGPVKRAHEEANKRPKLGDADKNSDSEYDSDSGQHFDSSEVNKKAEYANNKADDVQLGTALLDNEQQNDLYVSFDGTRKSPGPKTDIEVRMLADNSWKKVKNSKTNGGNNIKESGNKSKVQIPSVDSNPKPHNSDSDSEEEMVDGFLTVSDEKETYELPSQADLIRQAFAGDDVEADFVNDKAEALNEENPEPEEPTLVPGWGHWTHVQQKRGLPSWMVKEHEDAKRKREETLKSRKDAKLKHVIISEHVDKKAEKLLATNLPFPYTSNDVYENSMRMPLGPDFNPAISLSALNRPAIVKKPGVVIKPIQYGEIDPHEKPDELRRVIQRVKPNPNIKKASAKQAKRTASHQRS >SECCE3Rv1G0160120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:102661054:102661485:-1 gene:SECCE3Rv1G0160120 transcript:SECCE3Rv1G0160120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSRKSVYTGELIKQQFVCNKFQKPKVDDGGAEKIHALDDIVEQTEDVNQEEDIVFVDDESKTKKRSKKRKRDSIVQIGCKAKMIVKVINGRWEVIYFVGEHNHPLVDKPSLIKYLRSHQGTPPEERAFLTHLHNCNLTTGA >SECCE2Rv1G0128850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:865365059:865367442:1 gene:SECCE2Rv1G0128850 transcript:SECCE2Rv1G0128850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTPCAAQGSQAEPTVGAGAAVLPEDVLRDILLRLPAKTLCRLRAVCRSWRSFLSDPLFIAAHKSKHPGPLIVTCNGDLLGDGGGTVNIMDLSGHVVRRMTTSIEDATLLCTRLGLICVTGKKNCHTNGHVLDPATGHTLALPYKRAPEHAHVRAFFSYAFELGQVVSTGEYKALRCVSANSWSHDMISEVITLGGGAGSHHARWRERPAPPSPVTSASKKSVVVNGVVYFLLDFASHRLTYSGDRIEPCSIASFDLETEEWMGTLRGPLQLRNFIEASNGRCDYSDIYRKLSLVNMNGFLVMVHDPMGYPLDLWFLIDTEKCLWDRKYSIGFQYENLFAQPLLVLDDGRIAIGTSDLLRIYDPVSDSYTEFQMAGSRLFAIYTGNLLSLKSSFTSEAKHCPTCGCYFIPEADQHCEECMWHLYWLSVGRDSEYTNHEGH >SECCE1Rv1G0024150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:320463898:320466992:-1 gene:SECCE1Rv1G0024150 transcript:SECCE1Rv1G0024150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKPKVSGEVAVMEVAAAPLGVRTRARALAMQRQPQGAAGAKDQGEYLELRSRKLEKLPPPPPAAARRRTAAAEHVEAEAEAEADEVSFGENVLESEATGRSTRETTPCSLIRDAGTISTPGSTTRPSHSNSHRRVQAPARHIIPCSAEMNEFFSAAEQPQQQAFIDKYNFDPVNDCPLPGRYEWVKLD >SECCE4Rv1G0216120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8871568:8872257:-1 gene:SECCE4Rv1G0216120 transcript:SECCE4Rv1G0216120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVLLPVLLLVVVAGAKAATFTVINKCQYTVWAAAVPAGGGRKLDAGQTWNIDVPAGTTSGRVWARTGCNFDGAGNGRCQTGDCGGKLQCTQYGQAPNTLAEFGLNKFMGQDFFDISLIDGFNVPMSFIPAPGSPGCPKGGPRCPRVITPQCPNELRAAGGCNNACTVFKEDRYCCTGSAANNCGPTDYSRFFKGQCSDAYSYPKDDDTSIFTCPGGTNYQVIFCP >SECCE6Rv1G0378630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9525878:9526678:1 gene:SECCE6Rv1G0378630 transcript:SECCE6Rv1G0378630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMSLSSSTFAGKAVKNVPSLALFGEARVTMRKTAAKVKQVSSSSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLAEDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVSNNAWAFATNFVPGK >SECCE5Rv1G0369460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:835079769:835085697:1 gene:SECCE5Rv1G0369460 transcript:SECCE5Rv1G0369460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVQRKSILRSAKSLSRTRSEEQPMLSDLGQRREVVLNIDGNGNGPGADVVGAVAKPTASRTLSTATSSPAQGWADASFDFWRNEGGPARRGDDFSFKNRPASPQPQPSSPSLSPTKKAVEVIDGEDPPTRLIGNFLRKQKAAGAELPLDLDLEMDDIGRSSHSHPFSSNSREREAPHVSFKDRQRSSSSSSDSDSDTGGGRRPAGDDGTCKTSTSTPAGPRPLLRAKTRSRLTDPPPQSPAAVDKERKKSGALRPPKSGQFSGRVTGKSGQWRKSGPIEEEEEDPFIDDDIPDDLNRGKLDALTILQWLSLVLIIGALVCSLAIRPLSRKRLWELHLWKWELLVFVLICGRLVSGWAIRIAVFSVERNFMMRKRVLYFVYGVRGAVQNALWLGMVLASWHFLFDEGMNTAVLPYVTKVLLCLLVATLVRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIDTLSGPQLVDEDYVIAEVRELQRAGADIPKELHPALPTKNLSGQRSTRVSGLISRGINPLSKEKKRREADEGITIDKLHRLNQRNVSAWNMKRLVKIVRFETLATMDEQIQQATTGEGAESGTQIHTEYEAQLAAKKIFHNVAKPGSKHIYLADMMRFMKQEEAIKAMHLFEGAQEHCRVSKRSLKNWVVTAFRERKALALTLNDTKTAVNKLNQMANVVVGLIVFALWLLILGVATTHFFVFLSSQLLVAVFVFGNTLKTIFEAIIFLFVMHPFDVGDRCEIEEVQVVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIFNFYRSPDMGEGVDFSVHVATPLEKLALMKERILRYIDNKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNFQDMGMRFVRRELVLQEMIRVLKDLNIEYRMLPLDVNVRNAPPLQSTRMPTTWNYS >SECCE4Rv1G0287090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853110427:853111844:1 gene:SECCE4Rv1G0287090 transcript:SECCE4Rv1G0287090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASFVQNMLTEMVKEEVHMLLGVTDEIEKMDVKLGDLKKFLADADRRNITDESVQGWVAQLKRAMYEAADILDLCQLKAMEHGPSTKDVGCFNPLLFCMRNPSHAHDIGTRIKALNKRLDTIKERSAAFSFINLGPYENHSNKIMLSEKEGNTNIMVVAVVGVGGIGKTTLAQKVFKDEALNAEFEKTIWLSINKDFDKVELLRTIITQAGGVHGGEKALAVLQPILATTLKGKKLFLVLDDVWDHAAWDNVLKTPLANDVAPGSRVLVTTRGETIARRMKAVLPYHHVDRLDEEDAWSLLKSQTWT >SECCE6Rv1G0425410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:707135021:707139391:-1 gene:SECCE6Rv1G0425410 transcript:SECCE6Rv1G0425410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLSKWQHKRMHEKLARGKERGLLRHEKQLYLARLRSEIRASHLPGAPADHAGPTSSRAHIRALADRFLRPGAEDLWNDDDGPLHRERLPPHQQQPARSLPSGARMVDWKQVESGEKPKPPRGGGDWKDWEELDSGEPVAGGQTRNEPRFPATFNQRRGYGTAAPWWWQWSSGSGTPSQRKEASLGFFGPKRCYSVMPPLSPRRETSAALMPLGARQLAEARDGRKVAPSALFYTQERLFSVAAPRRFGQKWRPDSSDEDEEVMPAAKRDLRLAKFVASREDESEDDEPGETSAIRRKWSTAALRNCDMKRDRRPPKSYEEESDDITGRIQELREEIRNREVLGAERRRYESRGESLFTNKRFDECGISPLTVKALTDAGYIQTTVVQEATLPICLEGKDILVKAKTGTGKSVAFLLPAIESVLKAMKSHTNHRVSPIFALVLCPTRELAVQVTAEANVLVKYHHGVGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENKSSFSVRLMGLKLLVLDEADHLLDLGFRKDIEKIADSLPRQRQTLLFSATIPKEVRRVSQMVLNKEHVFVDTVGLGAVETPTKVQQQYLVVPHELHFHMVHRLLREHIDQEVDYKVIVFCTTAMVTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRDNNRLILVTSDVSTRGVNYPDVTLVIQAGVPPGREHYIHRLGRTGREGKSGKGILLIAPWEEYFLKEIHDLPIEKAPVPQIDQEMKQKVDDSIKIVDMSIKEAAYHAWLGYYNSIGDISRDKVMLADLASRFGVSIGMEKPPTLYRKTALKMGLKGVPGIRIRK >SECCE5Rv1G0328380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:502919435:502919809:1 gene:SECCE5Rv1G0328380 transcript:SECCE5Rv1G0328380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGGEGEGDIDLNLSLHRASSPEPLGYFTCTYCDKKFYSSQALGGHQNAHKFERSVAKRTRELAAARRHGHMGQVGAGEEANRRGKDPTINAAGSSSHRRASPPEAARRDLITEEIDLSLKL >SECCE7Rv1G0502970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:702855308:702857868:-1 gene:SECCE7Rv1G0502970 transcript:SECCE7Rv1G0502970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVMRTAAKVGLAGGAAAAAGRFRNVAPSFATAPAAEAAGAAPLLSAAGEIPAVAPAGSQWASWEVDDWEFADWRSESASEADVAVVGGARLVFAPPSREEAEEATAELREAIDRVYFKETPVEVVKEHDQELSKLGADALIPAMPGHVVQAFTLLKSSPEAQSVVASLASDKNVWDAVLKNERVMEFYKSHQQTLINTFPEGTDSTDSVESPEKFEEASPEYALPTASPLSDFVDNAKKTMMEMVDNITNFFQDLFHNPAEAEAGPGSSSKKPSFAEMAAGGSFMALAMAVILVVLFKRA >SECCE5Rv1G0311810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:209172731:209198991:1 gene:SECCE5Rv1G0311810 transcript:SECCE5Rv1G0311810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component SEC10a [Source:Projected from Arabidopsis thaliana (AT5G12370) UniProtKB/Swiss-Prot;Acc:X5JA13] MPSPNDPPAALPLTLDLEDFKGDFSFDALFGSLVDELLPEFHGDDAAGVPPPPPPLLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVATQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVTSAVGSANASRGLEVAVANLQEYCNELENRLLSRFDTASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEIMNTDIQVVLGDDGPQADSSIIAEGLSILYKEIADTVRKEATTIMAVFPSPNEVMSILVQRVLEQRVTAILDKLLVRPSLASLPPIEGGLLHYLRVLSVAYDKTKELAKELQSIGCGDLDIEGLTESIYVSHKDEYTEFEHASLRQLYQSKMAELRAEAKQQSESTGSIGRAKGASLNTSPQQLISVTVVTEFVRWNEEAISRCTLLFSQPTTVAANVRSIFACLLDQVSQYLTVGLDGARESLNEAAAMRDRYVIGTSVSRRVAAAAASAAEAAAAAGESSFRSFMIAVQRCASSVAYLQQYFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLQCIDTVMCEVERLLSSEQKATDYRSPDDGAAPDHRPTNACIRIVAYLSRVLEVAFSALEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKTAKIASMLNSIMSE >SECCE1Rv1G0047690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:628303518:628306560:1 gene:SECCE1Rv1G0047690 transcript:SECCE1Rv1G0047690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECADSAASAATGESFGGNTYPKPKPKSFFSHVWDALKDVFLIVLLVCAVVSLGFGIKEHGLKDGWYDGVSIFLAVFLVAAVSAVSNHSQAKRFDKLASESDNIAVTVVRAGRRQEVSIFDILVGDVVILKIGDSVPADGVFLEGHGLQVDESSMTGEPHPIEIDAEKNPFLTGGVKIVDGYGRMLVTAVGTDTLWGEMMSSITKETAEATPLQERLERLTSSIGKIGVAVAVLVFTVLTARHFTGSTKDDQGKPLFNKGHVTFDAVFSSLVVIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVKENALVRRLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGTDQPRGATAIAGSVVTLLCQGAGLNTTGSVYKPDNLSPPEITGSPTEKALLSWAVADLGMDADALKRSCKVLHVEAFNSDKKRSGVMIRDNVTGGVIAHWKGAAEMVLANCSMYVDTDGAARQLGVEQRRNLERVINDMAVGSLRCIAFAYKQVNGTQQLKIDDDGLTLLGFVGLKDPCRPEVKAAIEACTKAGVAVKMVTGDNILTARAIAKECGIISSNDPSGIVIEGHEFRAMSPEQQLEIVDRIRVMARSLPLDKLALVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIIILNDNFDTVVTATRWGRCVYNNIQKFIQFQLTVNVAALVINFVSAITTGKMPLTTVQLLWVNLIMDTMGALALATDTPTKALMDRPPIGRTAPLISNAMWRNLAAQAAFQIAVLLALQYRGRDVFGTDEKGNGTMIFNAFVLCQVFNEFNARDIEKKNVFAGVLKNRMFLVIIAVTLVLQVVMVEVLTRFAGTKRLGLGQWGVCLAIAAVSWPIGWAVKFIPVPDRTLHDILTRRKSS >SECCE1Rv1G0038490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:539942233:539946480:1 gene:SECCE1Rv1G0038490 transcript:SECCE1Rv1G0038490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASTSRGGSGRVAQRGGGGGALPGSPRVSAAQRRWWGASGPSLERVALAFCVVSVALALSCALYLYVFRYLGRGQAVAGFVGEDLGACDVFDGNWVPDATYPLYNSSECPFAEKGFNCLANGREDTGYLKWRWRPRRCDVPRFTARAALERLRGKRVVFVGDSMSRTQWESFICMLMPGVEDPKTVYEVNGNEISKTIRFLGVRFASFDLTVEFFRSVFLVEQRPPPRHAPKRVKSTLRLDRMDNISRKWVNADVLIFNTGHWWTPTKLFNTGCYFQAGRALKLGTTIDAAFRMALQTWASWVEKRVDLNRTHVFFRTYEPSHWSDLNQTICEVTEKPSPEAKGNDKSELGDILGDVVSRMNVPITVLNVTLMGAFRTDAHVGAWSYPPTILDCSHWCLPGVPDAWNELVFSYLFTNGWRKMAG >SECCE1Rv1G0027340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:381841893:381847482:-1 gene:SECCE1Rv1G0027340 transcript:SECCE1Rv1G0027340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPRARYPPGYDYGGGGGGGGGRGGNGGGGGGGGGGGNQNYYGGRNPHPQHHDYQQQQQQQHAQRSSFPQQQQQQHAQRNSFSQQQQQQHQQQQHQQWLRRDQAAAQASGEGAARTVARLDAVDTSSQDWKAQLNIPAPDTRFRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIEVMVSTGGTSLKDDIMRLYQPVHLLAGTPGRILDLTKKGICMLNECSMLIMDEADKLLAPEFQPSVEQLIRYLPASRQLLLFSATFPVTVKDFKQKYLPRPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTAETYLHRVGRSGRFGHLGLAVNLITYEDRFNMYRIEQELGTEIKTIPPQIDLAEYCQ >SECCE6Rv1G0420090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:674858508:674858981:-1 gene:SECCE6Rv1G0420090 transcript:SECCE6Rv1G0420090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFPALVLLTLVCGQLVVGGHAQAYIGGSRGGGGSAAGLRPPTYTAAHGHSSTIGGYDGTGGRSAVEEEKAFMINSLPAHDRPLPVSPSGH >SECCE1Rv1G0053300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:668433080:668435214:1 gene:SECCE1Rv1G0053300 transcript:SECCE1Rv1G0053300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSCFQLHSGSCDDVPLDFHVQQNSHYELHSVDRPFEPAGATFYHESYPQGTTKKDVADGISSIIHNSGPHLPLLTPKSEVSHLIGGGMGSYKTHEMRGMFIPRRKASSKAVKKASVVKGQWTPEEDRKLVKLVEQFGLRKWSQMAQMLPARVGKQCRERWHNHLRPNIKKDTWSEEEDMVLIQTHKEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFAGRRTRSRAKNPKSGTLLQNYIKSLGIGSSKVIAPLDPNEPPLSASSPAAPTQNRAQVNDNWPESNPSNTMVTQGIFSTDDRQIHSCVEIPVPTSDDFSVDMFDGLFNAEEVQYQAYNIDDDVDMDYIINHIDYAIKMDHEIDMDMTWDDDALVNIESG >SECCE5Rv1G0325480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:466134627:466139164:-1 gene:SECCE5Rv1G0325480 transcript:SECCE5Rv1G0325480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQLLLPSKPLLPAATPRRGCGRPVVSVRAAVSASAAASSKAVGAEAVRSIRARQIVDSRGNPTVEVDLVAGDGSLHRSAVPSGASTGIYEALELRDGDKSVYGGKGVLTAVRNINEVIAPKLVGVDVRNQKYVDAIMLDIDGTENKSQLGANAILGVSLSVCRAGASAKGVPLYKHIQELSGTKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGATSFAEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLMKDGSYDLNFKNQPNNGAHVLSAQSLCDLYKEFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQIVGDDLLVTNPKRIVEAIDKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLVRIEEELGNVRYAGEAFRSP >SECCEUnv1G0541490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:97997830:97998021:1 gene:SECCEUnv1G0541490 transcript:SECCEUnv1G0541490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSGICPRTTCGGTEGTDSASVPHPLRKKLLNHHKIKKYDALCLTLLVIVPCCCGRCSFARV >SECCE1Rv1G0038430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:538738151:538738544:1 gene:SECCE1Rv1G0038430 transcript:SECCE1Rv1G0038430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTLVAILVLQAVLVMGILSQANAEFPKCCDNCRFFSGAVVCDDAGPKCRDGCVNCRVVQTSPTKTFRCADARGDDGTPCPPCKKY >SECCE5Rv1G0334220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565151006:565151362:-1 gene:SECCE5Rv1G0334220 transcript:SECCE5Rv1G0334220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFAPICIYLVISPLVSLIPLGVPFPFASNSSTYPEKLWAYECGSDPSGDARSRFDIRFYPVPILFIIPDPEVIFSFPWAVPPNKIDLFGSWSMMAFLLILTIGSLYEWKRGASDRE >SECCE5Rv1G0329670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:519885375:519904276:-1 gene:SECCE5Rv1G0329670 transcript:SECCE5Rv1G0329670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGMAPLGVKKERAAEYKGQMTFAVAMACVVAAIGGSIFGYDIGISGVNTMDPFLERFFPAVFRRKNLVSLNNYCKYDNQALSAFTSILYLSGQVSTLAAAPVTRNYGRRASIICGGISFLIGAALNAAAANLTTLILGRVMLGVGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFSANMINYGTQKIKPWGWRLSLGLVAAPALLMTVGGLLLPETPNSLIERGHIEEGRRVLELIRGTTDVDAEFTDMAEASELANTIKHPFRNILERRNRPQLVMAVCMPAFQVLTGINSILFYAPVLFQSMGFGASWSLYSSMLTGAVLLFSTLISIATVDRLGRRKLLISGGIQMIICQVIVAAILAVKFDADKHLSRGCSAAVVFVICLFMLAFGWSWGPLGWTVPSEIFALETRSAGQSITVAVNLFFTFIIAQAFLSLLCAFKFAIFIFFAAWIAVMTAFVYVFLPETKGVPIEQMVLLWSKHGFWKNVMPDMPLEDGWGPAGDSALTDINTHK >SECCEUnv1G0559930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:342933576:342936600:1 gene:SECCEUnv1G0559930 transcript:SECCEUnv1G0559930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSMALLGAALLLLLVAVAADMSRSEEEVRRMHAEWMAEHGRAYNATGEEERRFEVFRDNLRDIDQHNAAADAGVHSFRLGLNRFSDLTNAEFQSTYLGSQTKPHRERKLSVRFQADVNEVLPESVDWREKGAVSPVRDQGRCGGSSWAFSAIGALEGINQIVTGDMIHLSEQELLDCDTSHNRGCESGKTEYAFEFIIKNGGIHSAKDYPYKERKNRCDANKKNTTVVTIDGYEHVPADGEKSLQKAVANQPISVEIAAGCSAFQGYKSGILNKGCSGAVNHGVLIVGLGQENGVRFWIIKNSWGEDWGERGFFRMVRNSGIFGIAFHASYPLKTA >SECCE5Rv1G0376380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872232164:872241920:1 gene:SECCE5Rv1G0376380 transcript:SECCE5Rv1G0376380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSHYEKKKYVWKMLYIHMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETYQCLALTMVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWSDRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERMARNQDIPQEYTYYGIPSPWLQVKAMRALQYFPTIEDPTARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMSRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELALKAAILAEKFAPNLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQAYAAAKAREYLDKPALHETMVKVSAYLLGEYGHLLAQRPGCSPKELFTIINDRLPTVSSSTVAIIISAYAKILMHTQPPDAGLQQQILTIFKKHESYIDVEIQQRAVEYFELSRKGAALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQQSSSAIVVAVQPPVNGPAPAANHLTLVKMPSQNIAEESNVSPEETVVEAPKENGAPVEVQRNVEIITEPAPVSKVEPPASHPASQADLLADLLGPLAIEGPPAAVEQIPAQELEANQSPVGDLALATLEDQSNSVQPIVNVEEKFNILCTKDSGVLYEDPNIQIGLKAEWRAHHGRLILFLGNKNTSPLLALRALILPPSHFKVELSSVPDTIPPRAQVQIPVEVTNLRASRDVAVLDFSYKHGAALVNAKLRLPIVLHKFLQPITLSPEDFFAHWKTWNVQSLKVQEVVRGVKPLPIPEMASLLLSLHLAVTPGLDTNPNNMVACATFFSDTTNAALCLVRVETDPQDRTQLRLTVASGDQNLTFELKEYIKEHLIDIPRTRVASPPAPPQQAQLPPAAAPAVTYNDPGAMLAGLL >SECCE7Rv1G0515840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:841674478:841676092:-1 gene:SECCE7Rv1G0515840 transcript:SECCE7Rv1G0515840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGVSGRATFGQRSGALLREAQELAVLWEADVGVLLFDGAGRQMDYCSPHTSWSELMQRYQIITKGKFQGISHDDDDHQQLLAETTRLRRERDRLEASVRRQTGDDLPSAGLGDLEQQVECALGKVREMKQKLLEQQLDESFHRVHILEDQNSFLRHMMSEEVRQRAAVEASAVVAELIAPMQPATLFGGFFPEVEEEGASTSLRLWPQQFPGCGN >SECCE5Rv1G0329440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:516102763:516104190:-1 gene:SECCE5Rv1G0329440 transcript:SECCE5Rv1G0329440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFAAADAEQLEEVLRLSAQSATMACAVCRLMTVSLEASWKPENCDHVICIDCFAKYTTETVATEMPKCPVASCKYHVEPEMREVMEVDDDGSLIAIKEIDDGKGNEHPYNGLQEFGQFSGSGTMMCAVCKLMILSLEASWKPENCDHIICITCFARYTTETMATEMPKCPITSCDSLLKPDTPQVINMDDDGDAGSSSSTRVIDKGKQPCNAVLQELGQCSGGTTIANDFYCTICMEEVPAIECFPVDGCTHAFCVSCVRQYIAAKVEENVLPIRCPDPGCKDGTLQPEACRDVIPTPLFQRWGAALCDMALEGLKFYCPFKDCSTLLVDDHQDGDAVIRDVECPHCSRMFCAQCKVPWHDGVDCAEFQRLGKDERGREDLLLRKVAQESKWRRCAKCKMYVERVEGCVYIVCRCGHRFCYLCGSEMVKGNHHCSKCKRTW >SECCE6Rv1G0381750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:35207536:35208159:-1 gene:SECCE6Rv1G0381750 transcript:SECCE6Rv1G0381750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCDCLGECWDDCKWALACIAAVAAVIIIVVMVAAYSFAVQPSITVEDASLSRFALATSPVTSLGYNLSLKLVVRNRNWATTMKNTEPLEAAYKFDGQQFERVQVADKGDKHGPRKTRVYRLNSGSDSAYAALGNAGVAAYKDQNKTGEFELEVAVTGEVRYTLQLKKNKLAGTCKLKLKLDSPSTASVVFEKVKCKLEKEKKDKE >SECCE7Rv1G0470690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:123407390:123412115:1 gene:SECCE7Rv1G0470690 transcript:SECCE7Rv1G0470690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDMEKSKNKRAMAAGPEEVQAKKVNPGQEEEEKKDSPALLPMPGGSHGCFIDPRWLTAKIAKDKLDAAKSAPPPPPVKIPTLEHFKPPTRFHTRALLPVRERGSKAALSAAKSLVGISSSLGGKPLKRCSGLLIDWDRQSKTCVVLTTAHLIRTKDSPVNVWLGGEEYTSNADVTVHLLDGTSEKGELLYYQPHYDLAFVRVKVDQPIQLLSFSEEVTFAEEVLRLGRDNMLDLRITYGRAAYQNPDIDERYHYMYFDCADDDNDDEEYDSGGLVISLDGKIVGMFNISSRGSFIPSSILLSCVDLWKKYGRIPRPQLGMMFEAIKLLEPAHVDKIWRMCNIDDGLVVQEVFKGSPADKFGIERGDIVECFNGEPVSNTVELENRLMNTCKGLPDNHNDLNEVHVSVGVFHTLKKQRTIGELTVSVSDLGEVIARGTHLIF >SECCE3Rv1G0162960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:141840272:141847975:1 gene:SECCE3Rv1G0162960 transcript:SECCE3Rv1G0162960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGAAAAAKRVPMYRLFAFADRRDAALMAVGAAAAVANGVAMPFLAFLVGDLVDAFGPAHRADLVHAVSKVAVRFVYLALASGVAGFLQVSCWMVTGERQAARIRGLYLETILRQDISFFDVETSTGEVIERMSSDTVLIQDAIGEKVGKFLQLVSTFLGGFIIAFTRGWLLSLVMLSSIPPVVAAAAVMSLVLSKLSNRSQMAYAEAGKVVEQTIGSIRTVISFTGESRAISEYKEHLKISYKSAVHQGIAGGLGVGSLLLIVFCSYGLAVWYGASLIIEKGYTGGYIINVLMAIMTGAMALGQSSPCLTAFASGQIAAHKMFATIYRKPEIDASDKSGLILENFVGNVELKDVHFSYPARPEQLIFNGFSISIPTGMTVALVGESGSGKSTVIGLVERFYDPQSGEVLLDGVNLKQLNLSWVRQKIGLVSQEPILFTTTIRENIEYGKKGATEEEIRRSTVLANAVKFIDKLPNGLDTMVGEHGTQLSGGQKQRIAIARAILKNPSILLLDEATSALDAESERVVQDALNNIMVNRTTIVVAHRLSTVKNADTISVLHRGQLVEQGPHVELIKDPDGAYSQLLRLQEVNTKREGSNGDDSSRLQSASDTANSASQHSSIKPSFGRSMSRYSPQGGSRRNSQTFSLHEHETEGVDDARSGKNVIRRLLYLHKPEVPILLLGCTAAAANGAILPVFGMLLSSAINTFYEPPQQLRKDSVFWAEMYVMLGVISIFVIPLQYALFNMAGGKLIERIRAVSFSRVVYQEIGWFDDPLNSSGAIGSRLSGDAASVKSIAGDVLSLIVQSISTAVVGIVIAMIANWKLACIVLCFLPCVIAQSYAQTRLLRGFGADAKEMYEQASTIATDAIGNIRTVASFCAEEKIIESYRKKCEGPVRQGVRQGAISGVGYGFSFALLFCFYAISFYVGARFVHNGTAEVGQVFRVFFALTMMAVGVSQSSSLARDFAKVQNAAASIFRIIDRKSKIDASHEVGTTLEAVEGNIELQHVSFKYPARTDVQIFRDLCLRIPSGKSVALVGESGSGKSTVISLIERFYDPDSGGIFLDGVDLKTLKLTWLRQQIGLVGQEPVLFNDTIRANIAYGKMEQVSEDEIVAVSKAANADSFISTLPNGYDTSVGERGVQLSGGQKQRIAIARAILKNPKLLLLDEATSALDAESERMVQEALDRVTVGRTTVVVAHRLSTITAADKIAVVKNGVVAEEGRHEQLLRLPGGAYASLVALQSSSS >SECCEUnv1G0537470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68780569:68782559:-1 gene:SECCEUnv1G0537470 transcript:SECCEUnv1G0537470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFRPEDCAIDRATDRHGIHGGARPLLAGGVHLIGWRTGLFYDLSLRRALTDEHRLRAHLEFQQRVLQIAIPELFSAATSPVDLFRLIDWDQSDARIVEHIVLRHTRHDGTCSLLPQAASDDGDDGGKFGIGTAVNDNDRGGRGGVEATIADGEDGGSSGIGADKISIMRYLPESTIRTIENDQTCLYWRLCGAQRHFAYRKLLLKLDFIQPDSDPEDVLEKLKSLTRWPGLKDYCELTSCLKSHMLFLQKHEQSKMNTASGTSEYENAALETINSELSTTLQKHLDRYDWMLMEQYYDRVKLRRLARECATQAQTIGVILVGEALPVNPEDSRLPLIKRIQRKFRSGAAAGRPWRLLALGMFAVVAAAGSLSSNVPLG >SECCE3Rv1G0190460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:739532133:739535147:1 gene:SECCE3Rv1G0190460 transcript:SECCE3Rv1G0190460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQEESSDVGALVSAPSRNLSSSSSTFVSANQSPFFTPRSLSARRPEHAHVEHNNSTTGIALKIGDILSSETLVQQGQLPSANIRLLLDDASPPPSLCTSSNFGTPAIVYNNPSFISTFNGPYQGSSSATPTSNCDRSTRKEKQKRQVGVYRKSSSSQPTPSAASVSRLRTYDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRNSHSHDAVERVMNASTYGIVILTKKSFGNPYTIEELRNFFGKKNLIPIFFDLGAADCLARDIIEKRGELWEKHGGELWMLYGGMENEWRESVDALSRVVDMQLEANDTNWRTSILQAVILLATKLGRRSVVDRVNRWRARVEKDEFPFPRNGDFVGRKKELSELELILFGDVSGEGEKKYFELKTKQRRKGPVSGWSANNYEQLNADAIKGKEPVLWKETEEGIEMQRLGTPLQHGRQSRVKNAGRYGRKKKTRKILYGKGIACISGESGMGKTDLALEYAYRFSQRYKMILWVRGESRYIRHNYLSLRTLLEVDLSVDTRLHEKGSDRCFEEQEEEAIAKIRQELMRDIPYLVIIDNLESEKDWWDKRVIMDLLPQFGGETHFIITTRLSRVMNLEPMKLSYLSGAEAMTLMKGAVKEYPLMEIDALKVIEEKLGRLTLGLAIVGAILSELPITPSRLLDTLNRPSPIRDFSWNEREVISLKNHEILVRLLDVCLSIFEHADGPRSLAIRMVQVSGWFAPSAVPIHMLALAAHKIPKKHRRGPRWRKWWRTLTCGLATSRMQRSEAEAAAMLMRFGIARCSAKSEYIQFHDMIRLYARKRGGTRTAQAAVQSVYLRGSIKHSSEHLWAACFMAFGFGSDPFLVELRPSELMFFVKQIVVPLAINTFITYSRCNAALELLRLCTDALERAAESMLSHAGKWRETSVSCFRPVQSEAQYTYLWQELALLKASVLETRAKLMLRGGQYGIGDDLIRKAIFIRTSICGEHHPDTVSARETLSKLTRLLTNVHLS >SECCE7Rv1G0526430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:895068016:895069530:-1 gene:SECCE7Rv1G0526430 transcript:SECCE7Rv1G0526430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFLGLVSLLCCCFFYYRHLQSKKACKAEPTEWPLLGHLFGMIANLSCYHDWATVVLAARRCNFPAHTGLTGVRFFITCDPANVRHIFTSNFLNYPKGEEYAEIFDVLGHGIFNADGQSWRSQRATSQLLMAGPRFRSFSARCTRHKVEKSLLPFLAHAADVGARCDLHDVLLRMSFDMTCNLVFGVDPGCLQVGLPVVPFARAMDDVLDTIFLRHVFPPACWRLMYRYEVGPEKKMAVARRTIDRFAADTIAKRRSDHRLRNEGVSESSDMLSSFIYNDDASDEFLRDTAVNFLLAGRDTTGTALSWFFYLVCKNPRVEEEILDELAPVAATKTLEDMVVFNVSELSSLVYLHAALCECLRLYPPVPFQHKAAIANDMLPSGHELKAGDKILLYSYSMGRMEGVWGKDCMEFRPERWITNDRKLRYEPSYKFIAFNAGPRTCLGKEVAFTQMKAVAAAVLWNFTVEAVPGHIVEPKLSVILHMKNGFAVTAKRRNVTCVHAT >SECCE7Rv1G0475630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:190279883:190283770:-1 gene:SECCE7Rv1G0475630 transcript:SECCE7Rv1G0475630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain base biosynthesis protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36480) UniProtKB/Swiss-Prot;Acc:Q94IB8] MDMALPIVNATTAALARVSAAFNAPLARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEIDELCDEWEPEPLCPPIKEESNIDPPILESAAGPHTTIDGKEVLNFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIANFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLYLSRSTVVYFKHNDMASLASTLEKLTRGNKRTEKIRRYIVVESIYQNSGQIAPLDEIVKLKEKYLFRVILEESHSFGVLGKSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSARVVDHQRLSSAGYVFSASLPPYLASAAVSAVNYLEENPSVLANLRSNVALLHTGLSGTPGLEISSHALSPIVFLKLKKSTGSLATDLGLLETIAEQVLKEDSVFIVASKRSTLDRCKLPVGIRLFVSAGHTESDISKACSSLKRISASVLSDYV >SECCE2Rv1G0137860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920228258:920232597:-1 gene:SECCE2Rv1G0137860 transcript:SECCE2Rv1G0137860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGSSAAGGTGEMRSLALTPTWSVATVLTLLVAASLIVERSIHRLSNWLKKTHRNPLYKAMEKMKEEMMLLGFISLLLAATSRMISGICIDSKYYNSRFSPCTKEEVEESLSAEHDLTRKRNHVIDAILHRSLRRNLKAQYHQVASCHEGYESFVSHEGLEQLHRFIFVMAVTHVTYSCLTMLLAILKVHTWRKWEDEAFRDNHESFSQIAYASATRRQPPALTKSSSFRFWSQNNAVMWLFCFLAQFGQSVVRADYLILRKGFIMNHNLAQTYDFHTYMIRSMEEEFEKIVGVSGVLWGFVVAFMLFNVDGSNLYFWIAILPVALVLLVGAKLQHIIAILTSEGAKLTAFGPRIKPRDDLFWFKKPKFLLWLIHFVLFQNAFELASFFWFWWQFGYESCFIKNHLLVYCRLVLGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPNRIRETMHGWGKATRKNRKKRRGDDSTIRTETSTVCSLDYEDEDDDGHGHSDDATTPRLPPYLKIELRPMRVGGGGVPTPGMPSHHLPTGGSNWTPGGSSQHALLQRQGSASASAPSSPPPSSHDRGVVRSASMPGIASVATIASTIGLSTPPTRLSDDAHA >SECCE7Rv1G0507690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:764204550:764206583:-1 gene:SECCE7Rv1G0507690 transcript:SECCE7Rv1G0507690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDQEEGRRMASLQAARTALRAGVERSRALSHALARSGARVGEIQARLAATEAGVRPIRAPRDALEGAGPNIDRAVGPAAAVLKVFDAVHGLEPPLLAAAAAREDLPGYLALVARLEEALRFLADNCGLAVDWLSDIVDYVGRRSLADTRFVSDLAAALSKLKGVPAADLDAGLLTAALDVLEAEFCRLLKEHSAPLAMQEEPDKSKPAAASITPPRIPAAAVQKLSLTLDRLAANGRLGYCTAAYADARGDTVSASLQALGLDYLQDQTQDAQALSPSVELWGRHLEFAVRHLLEAERKLCVAVFERRPEAAAACFADIAARAGILDFLKFGRTVADAKKDPIKLLRLLDVFDSLSKLRLDFNRLFGGKACLEIQSRTRELVKRVVDGSVEIFEELLVQVELQRKMPPPADGGVPGLVTFVPKYCNQLLGEQYRSVLTQVLTIHRSWRKEAFNDKMLVDAVHSIVKALEANFDTWAKAYEDKTLSSLFMMNTHSHFFKHLKSTKMGEILGDEWLREHEQYKDYFSALFLRESWGTLAPLLSREGLILFSKGQATARDLVKQRLKSFNASFDEMYQKQSAWIIPDKDLQQRVCHLVVQAIVPVYRSFMQNYGPLVEQDISASKYVKYSAEGLDKMLSSLFMPKLRTRRTASMQIRSPNGKMASAVTGLQRSASTLQ >SECCE5Rv1G0352550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:710599489:710600019:-1 gene:SECCE5Rv1G0352550 transcript:SECCE5Rv1G0352550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTALSVLAALLLLAAAGAPASAAEKETRLRVYWHDVVSGGPNARVVQVAEAPSSNASATGFGTVLVIDDPVTEGPNLTSRLLARAQGMYISAGKDSVSLFVAMNFVFVDGAYNGSSIAIFGANQADRAVREMPVVGGTGVFRFARGYCQLRTYRFDAKTGDATVEYMIHLRHD >SECCE3Rv1G0159050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:93793496:93793875:-1 gene:SECCE3Rv1G0159050 transcript:SECCE3Rv1G0159050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILARRQQPSILLAAALVFFLLVSSPYPLEARAIHGTREPPAARLDSLAARRSQLQITGDRKLLLVPVAPPPAPIPGPPIGPQPNSLSPPPRT >SECCE3Rv1G0193780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:774545302:774546114:1 gene:SECCE3Rv1G0193780 transcript:SECCE3Rv1G0193780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGATAMPPRSAPCRPPPWEALSLVANFLDAASLAAASCVSASWHAAFSDDHLWARLCRCHYPSAIGLLPLSDSSVNAAGDGRSSSPHRDLFALFHAAASRGRSLPPPRLALADVTFAIDVFAAGGQNTLSFAVAASHADVKKAAGGVFQFAVDVSDRNAVAGPGEHWSVRWTAVRTGLGITPAAILMMDAKVPTSRAGALSSGVRGVAWATEGLPAAGCGGGKLEAEVVVEVSGEERLVEKVRLGVLLDCRYVSVDEGLRYLQHFLL >SECCE5Rv1G0353540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718080446:718081716:1 gene:SECCE5Rv1G0353540 transcript:SECCE5Rv1G0353540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRWLVADSTMTCCYQAARNLGVRVASVCPASAACLVTSLRIPQLVRDGFLDDKGFPKSHGTFELAAGMPPLCPTQMAWNIDGAPEGRQTAFELVAGMAQVTGLAEIVMCNSFLEAETAAFELFPDVLPIGPLFADQDLRKPVGQFWPEDASCLEWLDAQPEGSVVYVAFGSFTIFDPRQFRELAEGLELTGRPFLWVVRPDFTSGGLSKSWFDEFQKRVAGKGTIVSWCPQQQVLAHPAVACFVSHCGWNSTMEGVRNGLPILCWPYFSDQFANRSYICDIWRTGLGVTHGEDGVVTKEEVKAKLDQVTGDKGIAERAGMLRDAARKSVNEGGSSYENFKRFVDLLME >SECCE1Rv1G0026630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:372525692:372526216:1 gene:SECCE1Rv1G0026630 transcript:SECCE1Rv1G0026630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKLVVVGVAILVILLQVSTCAVARHHAKPDPCASEDDSVPGMLHKHKKPHCPSPGGSGGGGGGGTPGVMTVNGFQKGQDGGPPSECDGKYHSNGDMIVALSTRWYAGGRRCGKMIRITSKQNGRTVQAKVVDECDSNHGCKTNIVDTSEAVWKALGLDSSIGEVPVTWSDA >SECCEUnv1G0560800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:350100326:350101294:1 gene:SECCEUnv1G0560800 transcript:SECCEUnv1G0560800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFATLEHILTHISFSTISIVITIHLITLLVRELGRLRDSSEKGMIVTFFSITGFLVSRWVSSGHFPLSNLYESLIFLSWALYILHTIPKIQNSKNDLSTITTPSTILTQGFATSGLLTEMHQSTILVPALQSQWLMMHVSMMLLSYATLLCGSLLSAAILIIRFRNNFHFFSKKKKNVLNKTFFFSEIAFFYAKRSALKSAPVPSFPNYYKYQLTERLDSWSYRIISLGFTLLTIGILCGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHSRTNPNWKGTNSALIASIGFLIIWICYFGINLLGIGLHSYGSFTLTPK >SECCE2Rv1G0097920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:471907275:471934439:1 gene:SECCE2Rv1G0097920 transcript:SECCE2Rv1G0097920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-lactate dehydrogenase [cytochrome], mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G06580) UniProtKB/Swiss-Prot;Acc:Q94AX4] MTPCHAHAFILTSTWSSGRSGLPPSMAASLFRLSRPRRVLLPFSALRLPLNTQPLPQPPDPSSPNSNRGLPAFLSFLAAAVAGGTTASVALCDAGPDHRVGGKDSTEVVVRGERKRVPQEFIEELASFLGENLTVDYEERSFHGTPQNSFHKAVNVPDVVVFPKSQDEVRKIVMICNKYKVPIVPYGGATSIEGHTLAPHGGVCIDMSSMKKITSLHVEDMDVVVEPGVGWIELNEYLKPHGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVINLQAVLPDGDVVKTGSRARKSAAGYDLTRLIIGSEGTLGVITEVTLRLQKLPSHSVVAMCNFQTVKDAADVAIATMHSGIQVSRVELLDEVQIKAINMANGKSLPEVPTLMFEFIGTEAYALEQTLLVQKIAAEHHGSDFIFVEEPNAKEELWKIRKEALWAGFAMKPDHEAMITDVCVPLSRLAECISVSKQLLDASSLTCLVIAHAGDGNFHTIILFDPSQEEERREAERLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEKELGMESLRTMKRIKAALDPNNIMNPGKLIPPHVCI >SECCE6Rv1G0399460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:440062074:440063462:1 gene:SECCE6Rv1G0399460 transcript:SECCE6Rv1G0399460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASLLRRRSNRRADVLLRTLCATTTAPPLTSSAAKTRLRREYNADRAVSLLDSIDTASLSAGSTRHALSLAARRLTRAGRHADAEALLSSHIPASPTEPHLSAILCSYASADLPEKALEAFRSAAPSLPSPISPMPFNALLSAFVRCRRHRRVPVLFEELSKEFSITPNAISYAILVKAHCMVRHDAKAHEVIAGMREEGISPTTTIYTTMIDSMYKQKKVEEAQTLWKQMLESGCRPDHATYNVKAMHHGLNGKPEGVLQVMAEMEADGVKPDTITYNFLMTAYCKDGKMEDAKALYHSLGDKGCSANAATYKHMLAALFAHGDFDTALEIFRESMSKHKVPDFKTMKGFVEGLAKGGRVADAKEVIYVVTKKFPDTMLSGWKKLEKELGFCSDNGDTTPHAECTAEEPVSEAEPATTEALELKDSAAEETGVSEEHVDDETPSPETSTDEEVPRGPA >SECCE5Rv1G0323260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:432537923:432538546:1 gene:SECCE5Rv1G0323260 transcript:SECCE5Rv1G0323260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQVRCGCGEAACPEWAVVEVQGVLQPQPCFSGRIQGLHIGRLCSTSSAPSSKGGYTFTVGYHELAGSKVTLKKPLLVLRKKKNVKGDAGELGQGGPAAAAAEVELEVIGIIRHKILFKDRPKALISKPVPKEKKALPAAATPSTVPPAS >SECCE2Rv1G0070980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:39497114:39503461:1 gene:SECCE2Rv1G0070980 transcript:SECCE2Rv1G0070980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHDGEDDGVVGDGDGDERLFTGVRFALVGFDPASESQYRSEMVRRGGADAGGHGAAGCTHVVVFGLVYDDPVCASAREGGKKVVTELWVDDSLDAGVIADADRVLYKPLRDLDGIPGSESLNICLTGYQKNGREDIMKMVTLMGAQFSKPLIAHKVTHLICYKFEGEKYELAKMVNINLVNHQWLEDCLKAWEILPTDNYNRSGWEQEMMEVQVQDSEDEAEDAGRGLSHSRSIARSVSVAGIRMGTHVDPDVRASVHGPTVSPGNTEITAGRHLGTPEQGSEDVCIRPLDVRADIQSTHNTNGVTNSADPEAHDSIHPPINSSSNEKAPGDHITRDETKDGDKRPLAASTLNTNGLTDCADHLVHQATMVSPIPVVNKRNIDGEYLDNPCQFTGNNVSLLTSSAESLLKKALHPSRMSGNVDHKDDGPVADLAAKVGQANVEGNAALLKANLVSPGNSASKTTPILSYSRKRSRKSVSPGTNFNSVDQAASPQSLERNTPNAEFNISPSMKSNYKISELADAKSLRDEAIKHVNRPDSVLAQTKCRLASASPKLLNGGTDSATGTANSPLSSRGTASDAATVSDMGGNSTGSRPIKANGKVKSDVTVNLMERQKSVSSKKKLLSYRRTSLKLARSSEVEKLPEIFDNETKIESLAKAKELAEHEAAAEKERAISSSVDSEVEKTISSFSRQNQNVATSNASQVNSIEAVPMDSQHDKEVSHATMEAGARTVSTSRVKNAGAKRLRSATNGRRPSSARCESESKSKHGIQAVLSHENVEAEKGNNCTSPNAAECRTSFPEEILSSRADTIAKNSLNANSEMNDVLAASKMVFANVISKGSINENPKKLPSSATDDQFQRGSSEKVPYAIANSAVPEVSQPADIKMADAPTTDKAETVSLKSNFCDAVPQASTEKLSSIASADTHETCAPDRVPKNRVRKAVAKRKVSARQQYVSGSAPRNTGGVFPSEAEVDTIKRAAECSANAGKAMVDKHVQSANKDCTTNEVGLFCKDSFEDISEDAQKTKPRSSKKKKVADAMDGSTDHKEDVQSRDVQYTKPRSSKNKKVADTMDGSTDHNKEDVPSRDVQYTKPRSSKKKKVADAMDGFTDHNKENVPSEDVQNTKPRSSKKKKVAGEMDGSTDHNKENVPSNANLSPKSKYGNDRVSSKCITEPVQNGKDVPGDHSIREGNDCTTLALLEPTWFILSGHGLLRKDYMSILRRLKGRVCRGSHQWSFQATHFIAPELRRTEKFFAAAAAGRWILKSDYLSACNEAGKFVEEEPFEWHGDGPNNGDTISLDAPRKWRQLRQRTGHGAFHGMKIIIYGECISPSLDTLKRVVKAGDGTILATSPPYTRALKHDVSFAVVSAGVAGADAWVQEFVSHDIPCISADYLVEYVCKPGHPLNKHVLFNMHDLAERSLQKLQRSQQGELGGAGTTGEAAAGGGDQEISCSACGSSNREGAVMLICSGSGSGEGSKAGCVAGMHADCLNPQQPEAATARDGEWVCPECDDGHVKVKVKPPPKKKAKKGGSRSAKPKRK >SECCE5Rv1G0297380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:1587806:1588351:1 gene:SECCE5Rv1G0297380 transcript:SECCE5Rv1G0297380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSATPVLLLVLVLALSAGASATSTPTALTITNRCSFTVWPAVAPAGLGTELHPGANWTLDESPIVHGAASIWGRTGCSFDAAGRGRCRTADCGSGLRCGSTDPAAPVTTAEVGAYGGLYNYGITTYKGFNLALDLTCSSGDALRCREDGCHDAYPYVKYYQHTCTAAGSRIHIVFCP >SECCE1Rv1G0054860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:676566859:676572120:1 gene:SECCE1Rv1G0054860 transcript:SECCE1Rv1G0054860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVGDVSLAKRSRIIELSRRLRHRGPDWSGIHSFEDCYLAHQRLAIVDPTSGDQPLYNEDKTVVVTVNGEIYNHEELKAKLKSHKFQTGSDCEVIAHLYEEYGEEFVDMLDGMFSFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFISFPPGHLYSSKTGGLRRWYNPPWFSESIPSAPYDPLLIRESFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVVSRHLAETKVARQWGNKLHTFCIGLKGSPDLKAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKATSAWGLEARVPFLDKNFINLAMDLDPECKMIRRDLGRIEKWVLRNAFDDDEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANAHVSDSMMTNASFVYPENTPTTKEAYYYRTVFEKFYPKNAARLTVPGGPSVACSTAKAVEWDAAWSKLLDPSGRAALGVHDAAYEEEKAPASVDPVFPPPAHGESILVETAVPAAAV >SECCEUnv1G0535210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:53166822:53168983:-1 gene:SECCEUnv1G0535210 transcript:SECCEUnv1G0535210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLPPATRSPWASLPEDMIGLVAGRLLAGDMTDYVRLRAVCTNWRSSTQSPRGRGVTDPRFHPRRWMMFAEGHGLHPGHENLCGHIRFFNLDSGIFLRARLPLFGDHCVLDSVEGLLVLQRDEDTAIRLLHPFTGDIVELPTLTPLVMQLQRDYMAATKLNLMRHISASISVAADGVVTVMVLLIRMTLAVVATSQDTEWTMLRWRVPTHYQQLSSGGNQYLVQYAFTEDTGTEVSRVFQMEAHTQKLIATIPIEKLAYPLYLVECDSEVLVVGHNDMSFTHLAVHRLSDLVSGRYVPVKSIGDKVIFVGERGLCVSCKILPSITGDAVIYNHPREGHFSHYCLASSTWLLATDECSMSGLTQGPCSLTPHVFTCCSRRHWNKGLMYWRDHEPLTWKVKQKFRDGA >SECCE3Rv1G0211410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:950226054:950226779:-1 gene:SECCE3Rv1G0211410 transcript:SECCE3Rv1G0211410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLVIHLTALVVALVVLCPTASAAPQGKQYKVGGPDGWRVPPKADKEMLYVKWASNITFFVGDSVEFVYKNDSVIKVSKVGYYHCNETAGIGTGPGPRDGKTLFLLDAPGFAYFASTNLGHCKDGQKLIIKVLTADQRAPGSASPPLEAPTEPSSSEAPPSPEPGPASVEHSSAMGGPFVASLARPMAQAAMLVLACFV >SECCE2Rv1G0088410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:244726855:244727550:-1 gene:SECCE2Rv1G0088410 transcript:SECCE2Rv1G0088410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAAAAANCRCSRVVYVGNIPFKAEEKEVRDACELIGPVLSFNLAADAATGKRKGYAFVEYADDATAQSACRNLHGHRLYDRELRVGLAERAGAARARRRGDHEPVGMEDAIHAASLVNDRGRPAVVSSVTRHLAGASRHELREAWATFENCGPDNCKVLTEYVPGLDIAMEMVQRLLDMADADDAAGEAKRKKRASAACPPPDDHRAKLMKLEDGGKAAPVSAGVACV >SECCE5Rv1G0298680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:13398250:13400739:1 gene:SECCE5Rv1G0298680 transcript:SECCE5Rv1G0298680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGTLEIPDLVRAASVCTSWRSAYTALRSLGKHKQTQTPCLLYTSESAGDSVACLYSLVEKRVYRLTLPDPPLRHRFIIGSSLGFLVTVDDISEMHLVNPITAQQIALPSVTTIEYVRPIFDDSGAVHEYEYPSHSARRAFFTPSIIARSELREQLQIKAFVFHDTSTGTYIVALIHEPFNHLSFARVGDEKWTLLPPHHHYQDCTYKDGLLYAVDIKGEIHAFDLGGPDVTMKIIRGVDEDFYPDAIYIVEAPWGGLLLVSRFKEFEDPAEDGDPEIYVPHTTEIKLHRVDDGTERLVEIDCLPDHVLFLGHNDPLCLSAKDYPALKGNHAYFTDDDEYNKNRKSSPRDIGVVDLGNCSKEDLAWSPQLWSNWPSPVWITPSLTAMKRPSNDRLLSGWHHVLSRPSPIDATVSTTTLLELPEDILMRIFATLEIPDLIRAGAVCTFWRSAYTSLRTLGKHKQPQTPCLFYTAESSSENVAESSSENVVCLYSLVEKRVYSLTLPEPPLHSRFLIGSSLGLLVTVDERSEMHLVNPITGQQIALPSVTTMQHVKPICDDSGAVHKYAYSRHTAKKVICPPEKIAPAALREIFHQKALLFYDTPTGSYIVVLIHMPFGQLSFARVGDDKWTWLPPHTNYFDCTYKDGLLYAVTLMGELHTFDLSGPAVTTNIIIGVDDEDLEIQGAYILEAPWGGLLLVWRLKVYSGNPDDISSLTLHTKGIKIYEVDVAAKKLVEIDCLRGHALFLGHNQSLCLSTKECPALKENHVYFTDDNEYVTVHKDNRRDIGLLRLDNNSWGSLVFPPLWSNWPAPVWLTPNLTMMKLLLNK >SECCE3Rv1G0192780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762559516:762561270:-1 gene:SECCE3Rv1G0192780 transcript:SECCE3Rv1G0192780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSHHCPNHPKGDHGDCGGACGGDGIPVVDLGVLLNGDAEQRSQATHELGQACEDWGFFMVINHGVPEVLQEAVMEACKELYNLPREEKAEHIAAGPKDPIRIGTGLFYSDVDDAICRRDYVKMVAHPEFYCPAKPAKLRDVAVEYSARTRQLLLELAKAVSESLGLDRGRIFEALNLESCFQILVGNNYPPYAGSDGVMGISAHSDHGLLTLLFQNGVDGLQVKHNGQWVTAKPLPGSLFILTGDQLEIVSNGRYKAVLHRALVHGGQTRMSFVSLVGPCLDAVVEPVLELAQNDPQGMKFRGIRYRDYMEHQQSSKMNTKAALDIVRVQGDILTCESTPNNSTEVDSSSGYSPSS >SECCE4Rv1G0246040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:539841695:539847721:-1 gene:SECCE4Rv1G0246040 transcript:SECCE4Rv1G0246040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRRRLEKLKLSTLYSFALCAKGSTEDHSKIGTAGFSRVVYVNDPDRHEEEGFSYPKNEVSTTKYSLVTFVPKSLFEQFRRVANFYFLVSGILTLTPLAPYSAVSALLPLSVVITATMLKEGVEDWRRKQQDIELNNRIVKVHRGNGSFEETKWKYIKIGDVIKVEKDNFFPADLILLSSNYPDGICYVETMNLDGETNLKIKQALEVTSDLQEDGDFTNLRQIIKCEDPNANLYSFVGTMDYKGIQHPLSPQQLLLRDSKLRNTDYIYGAVIFTGHDTKVMQNATEPPSKRSKIEKKMDYIIYLLLCSLLGIALLGSVFFGIWTKADLRNGELKRWYLRPDDSTVFYDPKRAPLASFCHLLTALMLYNYFIPISLYISIEMVKLLQAVFINQDIDMYDEESDKPTHARTSNLNEELGQVDTILSDKTGTLTCNMMEFIKCSIAGTAYGQSVTEVEKAMALRKGVPLGDEIEAGGHKEKQIEESPHVKGFNLKDPRIMDGNWIHEPNKDVIRDFFRLLAICHTCIPEVDETDKVSYEAESPDEAAFVIAARELGFEFYKRTQTSIVIRERDPNQNVADYQYRKYELLNVLEFSSSRRRMSVIVKEPEPEGRILLFSKGADSVMFTRLAPDGRKFEEETKRHINEYSDSGLRTLVLAYRVLDEKEYENFAAKFRAAKISGSADRDEQIGEAADSIERDLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIIALEAPDIIALEKNGDKDSIAKASKHSVMGQIEDGIKQVPTLGQSSTESFALIIDGKSLTYALEDDVKFKFLDLAVKCASVICCRSSPKQKALVTRLVKHSNKVTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDIAIAQFRFLERLLLVHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSSRLCLQYPELYQEGVQNVLFSWRRILGWMFNGLVNAILIFFFCTTALKDQAFRQDGQVAGLDALGATMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYIFLMVYGAIDPKYSKTAYMVFIEQLAPALSYWLVTLFVVTATLVPYFCYAAVQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRTSSHPRMVGISARRDGKAMQVKKGTDVEVEG >SECCE1Rv1G0044290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:602098122:602098769:1 gene:SECCE1Rv1G0044290 transcript:SECCE1Rv1G0044290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDCFHRAYALAIVNAVCIGGTAMILYTLVNLARKPDHSRGSIIVLTVFLLFWVGVGASVYTAFCRVLFPWSALCRCLASTHGALLHCLGRTGRLLCLPCRSRLRRRSGSALPQFLDQIQQSHMPVLAREPPVRGGARAATAYDIQAYEQPEGGGGSSECAVCLGEVEEGETVKRMPACLHMFHQRCIDPWLLEHTTCPVCRCIVIAPLPAQMV >SECCE4Rv1G0246940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:551048308:551051129:1 gene:SECCE4Rv1G0246940 transcript:SECCE4Rv1G0246940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQLPTACSAAAASASGPFSLSRPPPSRLRASHVLFAFPRLRKYGRRSRDPVPTTLDLDDDEEEADEDDDDDVEEAVDEDIFLKNRPKPAGFGVGKTYTTDVEEQLLREMGVGGAGRKSKAAPPKSVKTNGSATETAAGLSNDGVHVRIWNLPKKKNIHKDLNLAFKGFPGLVTINPANSGTKKTRDPVCKGFAFVKLESVDAATRFVELYSRKAVSFGKVEKPIKCCIVEGHVSTDPSVQTSSSQPPGSNPQRLVAVR >SECCE2Rv1G0126400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:846291532:846293978:1 gene:SECCE2Rv1G0126400 transcript:SECCE2Rv1G0126400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHQLMYAAPAMHNGGGGGGAVSHGMWWNTNTTAVPAAVCSTDLAGFNTWPAAQLAAGGGYDMAAADGGKAKSCTTTASSESPGNNSSVTFQETASISDPAAGFTDWNSPYMSNGGAGNMHGFLQVGHHDMSSRTDQQSHMNVSSLMNDPSPNNLDLALQGHHHQQHQQAGDHHHHQHQLLSSLGAPELLLSPNSPYGFQSSSLLRSLLEPMAKPAPAAGIQQYQYQQMGGQTAVREPLQFTNDAAFWNPSAGFGMGMPAPAAADQTSVRTVKRPSPAPRGANLAPKSVLEGVGDSSSIVTKKANSEPAFKKPRTETPSSLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQAGVLSAPYLKRGHHQHQVPQYLNLKSSSASPDKSCKDGSGEVSLKGRGLCLVPISSTFAVASDGPVDFWTPFGGQFR >SECCE7Rv1G0482590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:308085297:308089093:-1 gene:SECCE7Rv1G0482590 transcript:SECCE7Rv1G0482590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEGQRPQQATGADMDPPARLPDPVPSPILKHSPSVSRSLRSAADTSSVTFASDFRSRSKPESASPSFESFRTARSRPSSISRSSTRRSTSERAGSQRDLRDEDARFVYINDAPRTNAPPAMFPDNSIRTSKYSLLTFIPRNLYEQFHRVAYIYFLILAVLNFVPQLLVLSKEAGVLPLAFVLGVTAVKDAYEDWRRHRSDKNENNRTASALVDGVFRPKRWKDIQVGDVVRLVSNETLPCDMVLVSTSDPTGVAYIQTINLDGESNLKTRYAKQETMSTPTEALAGVIKCEKPNRNIYGFLATVDLNGRRAISLGASNVMLRGCELKNTAWAIGVAVYTGRDTKVVLNSSGAPSKRSRLETHMNREIIALAVALVVLCSVVSLLTGIWMGDHVDQLAIIPFFHKYDYSGAAEHDHERYNWYGTGAQVVFTFMSAVIQFQVMIPIGLIISMELIRVGQAYFMVQDNHMLDEKSQARFQCRALNINEDLGQIKYVFSDKTGTLTQNRMEFRCASVQGRDFSETDGGEEDGHAVQADGVVLRPKTAVKTDPKLAALLKDGTGAKASRARDLFLALATCNTIVPIVEDTAKLVEYQGESPDEQALVYAAAAYGHKLVERTSGHIVVDVFGTRQRFAVESF >SECCE1Rv1G0060320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709720129:709723363:-1 gene:SECCE1Rv1G0060320 transcript:SECCE1Rv1G0060320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLAVLLLLVSLALSSGVGFCDARRSGKHWRHNRGPSSSMFRRKGKGKNGGGSPPHGHRQYGKGRQSPAMPAPPSPGGGNGYASPSPPPPSLPPQAPSVPPPPPPSLPPQAPPSDEPSQGTVFSVVAFGARGDGVTDDTQAFEAAWAAACKVEASTVLVPPELEFVVGPISFSGPNCKPNILFQLDGTISAQTGARAWGSGLLQWLEFTKLNGVSIQGSGVINGQGKEWWTYSDSNDDDDMDSYTDQELEKMPQIKPTALRFYGSSNVRVTGISIINSPQCHLKFDSCQGVMVHNLTISSPENSPNTDGIHLQNSKDVNIHHTDLACGDDCISIQTGCSDVNIHNVNCGPGHGISIGGLGRYNTKACVSNITVRDVNMFKTMTGVRIKTWQGGSGLVQGIRFSNIHMSEVQTPIMIDQFYCDKTSCTNQTSAVAVSGVQYENIRGTFTIKPAHFACSDSSPCSEITLTGIQLRPLIVPQYHICSSPFCWQAFGELYTPTIPPIPCLQLGKPAGNRVLSDHDQC >SECCE7Rv1G0491530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:528317937:528322268:-1 gene:SECCE7Rv1G0491530 transcript:SECCE7Rv1G0491530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLPPAAVTAEASAPAAASTEPSAAPDASTAASSSSSAAADAEDLAGGVAALSLDERFDLLMSIGEECIQPDELKRLLQNKPVPICYDGFEPSGRMHIAQGVVKTINVNKMIRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMDLDGVEFLWSSEEINRRANEYWPLVMDIGRKNNIKRITRCCTIMGRSDNEELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPTSAIFMEDDEAQVNLKIKQAFCPPKIVDKNPCLEYIQYIVFPWFDKFEVLRKENNGGNKTFLTMDELVADYEAGDLHPADVKPALAKAINEILKPVRDHFNSSSEAKILLNTVKKYRVSN >SECCEUnv1G0559410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:338194417:338195280:-1 gene:SECCEUnv1G0559410 transcript:SECCEUnv1G0559410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKITARQSTGGKAPTKQLRAAARKTAPATGGVKKPRRYRPGTVALREIHKYQKGTELLIRKIPFQRLVREIAQFSKSDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMSKDIQLARRIRGERM >SECCE5Rv1G0354370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:723046033:723047132:-1 gene:SECCE5Rv1G0354370 transcript:SECCE5Rv1G0354370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHTNAAVLVVVLAVAVLGLATEGQAQLQNGFYTGKCRGNDVEAVVQGIVQARFASNSDIVPHLLRLLFHECGVNGCDGGLLVNGTGTEKTANPNLSVKGYELIAAIKTELEKRCPGVVSCSDIEVLATRDAVAASTGRRYAVRTGRRDSRRSVATDVNLPGPDDTAPKAAAFFRNLGLSSDDMVVLLGAHTVGVTHCSMIKRSRLYSYGGKAGATDPSMDPELASTYKTYVCPNTASSDNNIVFLDDRSSASKLDNSFYKMLQRRRGALMVDQNLYNDSSTRWMVDRLANTDHFTWLFPQALVKLGEVKVLTGTQGEIRRVCSKFN >SECCE5Rv1G0361350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:778951145:778952203:-1 gene:SECCE5Rv1G0361350 transcript:SECCE5Rv1G0361350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAKTVSTCTPTETVQGTHVFDILDYSKLRGMGNDSDSYIRSGIFDVGGHHWAIRFYPDGYGINSKDYISVYLELLSFNVKVRASCDLRLVDQCTGLPSSVHKTGPKIFSYADYNSFAPRIPYFKRRSEIEGSTYLQDDRLMIECVVTVFKKPLITETKQFPRIDMPPSDMTEHVGRLLEEKEGFDVSFIVGGETIEAHRFVLAMRSPVLRTELYGPMREAVPGHCITIKDMQPAIFKALLHFIYTDSLPGDRDTEMVRLLLVAADRYAMDRLKLVCQSILCENLNKDNVATTLALADQHNCHKLKDACHEFIEISVDMDAVVATQGFKDLMATCPSLIVDALVKRRKFS >SECCE5Rv1G0357220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:744358309:744358947:-1 gene:SECCE5Rv1G0357220 transcript:SECCE5Rv1G0357220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAALVAVPPQQAQQRHPLSQIAASGTHRLLLKQWLKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASVLLLFLSASIAPLASPSSSSCRRSWIPCLVSLLSSLAMLWALRYKADTEAVLEKVLAREQEDAALLGRCVAELKRKGLEFDLLKEVDALRRAKALRVEAKAGADGPRRWPARDLPVFALFGAACGVLVLTRFLLCN >SECCE6Rv1G0398670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:424186006:424186383:1 gene:SECCE6Rv1G0398670 transcript:SECCE6Rv1G0398670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARTPRHQRLLRTPRGPPTPHHPRHHPGTPGQNPIRYAPAASPISPRSAVAAAAMEDDAITTLMDIDDSPRSAAGAGFLDDDDEGDLLHSHRMGGRGNEARGPLPFAGFFNTFDGADFDDSDLA >SECCE3Rv1G0187960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:707584464:707586630:-1 gene:SECCE3Rv1G0187960 transcript:SECCE3Rv1G0187960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGARRALAGLRSASPSTLSRTFSKPAVAQSPELAASALPRAPRRRLAISRVPVAALGGAQGLMPLHSATASALLTSMLGLKPGSWGWLSEGFATPL >SECCE7Rv1G0488160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:436892821:436906134:1 gene:SECCE7Rv1G0488160 transcript:SECCE7Rv1G0488160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAKFPGIIGAVSGQDGGVNFCDMAYYQKLGEGSTMSIDSLNSMQTSMHGGSIMSVDNSSVGSTDSRTGMLNHPSHRGPVAVVSYSVGSSIFRPGRVSHALSDDALAQALMDTRFPTETLKDYEEWTIDLGKLHMGLPFAQGAFGKLYRGTYNGMDVAIKLLERPEADPAQAQLLEQQFVQEVMMLAELRHPNIVKFVGACRKPIVWCIVTGYAKGGSVRNFLNRRQNRSVPLKLAVKQALDVARGMAYVHALGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYNQKVDVYSFGIVLWELITGTLPFPNMTAVQAAFAVVNKGVRPAIPHDCLPALGEIMTRCWDANPDVRPPFTDVVRMLERVEMEVLNNVRKARFRCCISQPMTLD >SECCE5Rv1G0366690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815566010:815571112:1 gene:SECCE5Rv1G0366690 transcript:SECCE5Rv1G0366690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHEACCGTMFWVYLLSCAGLVMFAGLMSGLTLGLMSLSLVDLEVLAKAGTPQDRRDAARILPVVKNQHLLLCTLLIGNSLAMEALPIFLDSLVPSFGAILISVTLILAFGEIMPQAICTRYGLSMGAKAAPIVRVLLVVFFPVAYPISKLLDWLLGKGHVALMRRAELKTLVDMHGDAAGKGGELTHDETTIIAGALEMTQKTAKDAMTPISETFSLDINAKLDVHTVGMIMTKGHSRIPIYSGRPSNIIGLILVKNLLTCRPEDEVPTRHVTIRKIPRVADDLPLYDILNEFQKGHSHMAVVVKRTKEEGASAEKNNNSFTPDYKMTNGHAQADGLGLSPSHVNIPGGRRNNNDKYSKKIERKRDNILDFNTDPLPHYSMDEEAVGIITMEDVMETLLQEDILDETDEYVDVHNKIKINMLPPGKPLSPLISPSAGPLSQGLRKTPMASPLSPYHNGGSILHSPVANHARSPGTLPTMLSPGRSPASQTPGRSSPTSSWVSRNSYRNP >SECCE5Rv1G0302630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:40221916:40222227:1 gene:SECCE5Rv1G0302630 transcript:SECCE5Rv1G0302630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVTKLAGQRAVVIFGMSSCCMCHTVTSLLRDLGANPTVVELDEDPRGKEMEKALARLIGRNPAVPAVFIGGRLVGCTDKVMSLHLSGKLVPLLRNAGAVWV >SECCE5Rv1G0324430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:446822262:446832992:-1 gene:SECCE5Rv1G0324430 transcript:SECCE5Rv1G0324430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLWVLFGAFVLAVTAVHVAGQREGFLTIDCGLDAKFSGRKDTDTDIAYVSDGPYVDGGENHRVAAEFDTPSASLEDLRTLRSFPSGLRNCYMLPTKSGAKYLVRMVFFHGNYDGKPTVFDLHLGTNYWDTMTIRNMTDTQYWWSEAIFIAWASWVPVCLVNTGGGTPFVNTVELRPLGASLYPDLTIDDSMSTYGRINTGGNFTRFPDDPYDRYWGSRTMSSWAKLSTKETIKQNDDFMVPIPVLQTVVTTVNNDTVLHVNTWASYKTSSEVMFILHFADIQNTQLRLFDIYMNNDNVYPNYSPPYLVADNLRSTEWHKTTDGRYNITLAATNTSMLPPMLNAYEGYLRIPHDTPRTFSEDFDAMMAIKHEYGVRKNWMGDPCFPDKYRWNGVKCSDVTNNTTRIISLDLSNNNMSGLVSDNFTLLTELRFLDLSGNNLNGSIPYFLCKRNAGSLVFRYESGEDMCNKTISSTPSKNRTAIISISVVVPLVVVVVLVLSCLIWRGKKKAKFFVQDSPREQELESALRSKKSQGGQLQNTENRRFTYKDLEKFTNKFQRSIGKGGFGLVYYGRLEDNTEVAVKMRSESSSHGLDEFLAEVNSLTKVHHRNLVSLVGYCWEKEHLALVYEYMSQGNLCDHLRGKNGADEPLSWATRVRVVLEAAQGLDYLHKGCSLPIIHRDVKTNNILIGQNLQAKIADFGLCKTYLSDMQTHISTNAAGTAGYMDPEYYHTGWLSESSDVYSFGVVLLEVATGEPPVLPGHGHIVQRVKQKIATGNVTTVADAHLRGEYDVNSMWKLVDTAMACTADAAVRRPTMAAVVAQLKESLALEEAHEDSSVVGSIASTTGAPMSEFGPLAR >SECCE2Rv1G0101700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:567937099:567942406:-1 gene:SECCE2Rv1G0101700 transcript:SECCE2Rv1G0101700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPPPSNHHGDPSTPGGLGYFHLRLLGPVASLFLLRSDRLYSLSFSRRRGYRLCLIASPARRRRRCRRRDLLLSTAGCVLRLTHCFSGPDAVRVNGLPLHGGGGPVDLAVGDEVSLLLLGSRYGFVAEKFVSCERGGKVAGSCEEVLVLRAESLRKRLRAISESQDPLSFLRDSYCAHAGVKKARGEGDSLCQDNPINSVPQENLRQQECNFHQDRLGHQPDVTNKETVQLPQRSRRSSNAKLKVCSNGNVEQHHTEGCYSDGSTFFLNRLTGIRPEMRAEQHSGVTLPQLLHPIGSLLRVFIATFTSDISWFLDYCKIPHYLPVTIACHNKDRCWSASSESRTAAPFENHPNILLVYPRFPEVIAFGKDRKNQGVACHHPKLIVLQREDSMRVIISSANLVPRQWHLITNTVWWQDFPRRTSPDYSALFSAFEGPKSDFAAQLVSFIGSLISEVPSQSYWINEIAKYDFEGAGGYLVASVPGLYMPSPCYLESNYCLSERQIIHTKSSHRIFLGSVQTSVVGLSHRFHLPSDAGSQLKALSVFLGKCRENMHGTTEVILKRNTNIPADANAVSVLVADLDKFCEEDSVQLGFLPREVAKWVSPLSDMGFFKFSGFIYPREALEAAFGATNTKVQLLMYVSKGPEFSQISELIQDEHLPSLCSLVACLKRSPGLWRLEEVLSHFKWPETLETDFMYSASSIGTSINPQFIAHFASATGKRSNHDVDSEESDPEWGGWTAGHELKKPSISLLFPTIERVKSAACGIQLSRYLLSLPEKTWQRLRSTGIFHDAIPHPHDRIGHPMHVKVAQRRFRSRLGGHSFGWTYCGSHNFSPAAWGQPLRPPSKANPTDATRGGPSGSRLHICNYELGIILIAPPPGMSKEGNGRRHRIDGISLPFVIPAPQYKYSDRPATPLAMRQAMAEACIPQSDLSEETDEDIPDEDDEHVVELSDCCPEEKEEEKIYAETLWGQVDSSQSQGKDS >SECCE3Rv1G0170420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:252729100:252730092:1 gene:SECCE3Rv1G0170420 transcript:SECCE3Rv1G0170420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPFLAASGPDDYAFVRCASGCDSWAPHHVRLDGDSYRLCSSCVLLSNPEAYCSACLLLLFPGAYAASSREAHVDFSPGPTAACSNCGVFVAHLSCIADPYSFICPPCAAAVDNMPFSYDLAGVGRSTLEGRSARVLLTAALLSHESALHDAAAAREKAERSLQEAAAARRQARAMLDAAFRIAEAEAYRDAKEQATPSASAVVQLKKKTPNTIGHKRETPKSNDANRERDKLLKFNDTQQPALAFAAAAAAASSMSSPRLNQSQVKQEAMPLPMPSSRLDRGGSADGAAKGDYRALFGTLQ >SECCE4Rv1G0293460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885919448:885923304:-1 gene:SECCE4Rv1G0293460 transcript:SECCE4Rv1G0293460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRAASAIRRRGAGPLPLLPARAMASLFGHVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLDCVREAERRIAGNLNMEYLPMGGSIHMIEESLKLAYGEDSEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWSNHHNIWRDAQVPQRTFSYYHPESRGLDFAGLMDDIKNAPNGSFFLLHACAHNPTGVDPTEEQWREISYQFKLKNHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVAVKSQLQQIARPMYSNPPVHGALVVSIILSDPELKNLWLGEVKGMADRIIGMRKALRENLENLGSPLSWEHVTNQIGMFCYSGMTPEQVDRLTSEYHIYMTRNGRISMAGVTTGNVAYLANAIHDVTK >SECCE1Rv1G0032740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:458516257:458517865:1 gene:SECCE1Rv1G0032740 transcript:SECCE1Rv1G0032740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPAPPLLTPHKMGQFDLSHRVVLAPLTRQRSYGNVPQPHAGVYYAQRATKGGLLIAEATGVSDTAQGYKDAPGVWTEEQVDAWKPVVDAVHAKGALFFCQIWHAGRVSNYKLQPNGQAPISSTDQQVSPQMSADGRLEEFSPPRRLAKDEIPSVVNDFRKAAKNAIAAGFDGVEIHGAYSYIIDQFLKDSANDRTDEYGGSLENRCRFALEVVGAVVEEVGNHRVGIRLSPFTDYMDCHDSDPQALALHLVNKLNDYGILYCHMVEPRMAHVDGRRQVPHRLLPFREAFNGTFIANGGYDRDEGNKVVGEGYTDLVAYGRLFLANPDLPRRFELSAPLNDYDRMTFYTSDPVVGYTDYPFLD >SECCE3Rv1G0213470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961270908:961277565:1 gene:SECCE3Rv1G0213470 transcript:SECCE3Rv1G0213470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQQPRLVFRFTHAEVTKMEEVLRELDAMPKRPVIQGLIDHFNASPDRSGDGRVPVQYNQVRNWFHNRRSAQSQRSRKMMLPPVAAEHHPLAVFYSGNISSDGGQVQFEAKSARNGAWYDVAAFLNYRFIEMRDPELLVRFSWLGPEEDEWIDVCKGVRLRSLQCVDVLPGDLILCLKEGNEQAHNFDAHVLQVQRRRHDVRGCRCRFLVCYDHDHTEEFVPLSNVCRRPMRPKAQKPHEMMGVNTDKVTGGAVPISPDQGGPSDKAVAPLLNAPTSTRSYSVADVEMGDAEAAPNCEAANEAHDDKMNLGV >SECCE4Rv1G0243710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:505523565:505524992:-1 gene:SECCE4Rv1G0243710 transcript:SECCE4Rv1G0243710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCAELNQLQHIEPSRFISFSFPNPLLHDASNPYGDAGDHAELLRVAVLDSPAAAAPSPPAPRTAAMLVPAGRHRDWIFSTPAGQLHLLLSSRSQCTISRLILVGPEIPTPSPRVVCCAAARPDPDTARARLLPLFLALCPRAAFGNGAIPDVPLLSFHDDLLRLVPVQVVAGPVVGEMLVEDVAVDCSPGPAELRRRLRFKRMPCLVQTQVRLARPMSDAAAAATSSLLEALEEGPASSLQPEVGGPLVQPYLQAMVAGLALIDPSVEENARSGARPRCLCAGIGGGALPMSIRMGLGFDVLGVEADCVVLDIAKNYFGLVEDEFLHVRVGDAIQTIQDFAHGDEPDSKFSAIMVDLDSPDAMCGVSAPPLEMTHRSILVAARRILHQHGVLVLNVIPPAADASFYKGLIDVLHQVFSELYEIDVGNGENFVLVARVSPTESTLLDSSRLFRTELRKLTGDFLERIRKVEIPS >SECCE7Rv1G0515230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839200245:839210736:1 gene:SECCE7Rv1G0515230 transcript:SECCE7Rv1G0515230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPQPPPHELDLEDFLPSSPASDADADHRRAVDDLLLLLSSSDSDDEPTPTPSTNHKPLARIKAPPPPAKRSPSPLPSPSASPGGRSTSASPSAALSSLVSRTFSNAAASSSRPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIRSRRTASAPIEKLRVEELEEDKKHGEVGTEEDSEPSESVEVGNVDSVAAENFDVQEQLGSQIGLVDQENSDEQIRDGNLVESGEIVDQDGSLSDEKTDGELEVESERPNTDLEEQVESERIIDKVIEVMAEENAEKRPKVPMKPLEWAEELEKRHASFGQHWEEGAAAQPMRLEGIGKGQPAIGYLQIEVDNPITRAMASPSFRQEHGSPQVLAVHKSYIAMGMSNGSVIVVPSKYSIHQADDTDAKMLFFWNQGVKTQSPVTAMCFNPHGDLLLVGYGDGHMTIWDIQKATAAKVIYGEHTGAVVHVCFICQSKAITGDSKGLVLLHTFSIIPVINRLSVKGTQRLFDGHTGIVLSACPLLVDESFGSGNSSMQGNLTTSSSGGLGSMMGGVVGADSGWKFFNEGSSPMEDGVTVMFMMHQHALVVRLRTNVDHADHIETFSRPEGAREGSIAYAAWKYTSSLSDSSPIDEERVSWLALAWDRQVQVAKFVKSNMIKHKDWKLDSAAIGVAWLNDQMLAVLNLRGQLCLFSKDGNELRRTIFILDGLVFDDSILYHTHFSNRFGNPERHFNNSIAVRGATVYILGPSFLTVSRLLPWKEWIEALKGAGDWMGAMDMAMKLYDGHTQGVVDLPRTVDSIREAIMPYLVELLLSYIGYVFEYISIALSNHAGKGGAADGLIDADRSLLTEREEQYARVGGVAVEFCVHIGRNDILFDTVFSMFVAAQSGGMFLEVLEPYILKDMIGSLPPEIMQALVEHYSGKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLSDFRTPLEELLSVIQNATRKDATCYRMLVYLKYCFQGLAFPPGHGIIPRAQLHSVRKELLQFMLEESKMHTSEVFKGFSSSSGKCPNICYLLWMDTEATLEVVKCAFAQENFESTSSTADASVSKDEDDTNIETDSQNILLQGVVDTIIHIVGLENETIHSIVVGTAESEESELWPTVDDFGYIIEFVSFFISNKRAKSSQRVLKHILKYLTSSSTPSYDDKKMPTQKEVLQLFNVVPQTDWNYDYVLHLCLDARFHQACGRIYAARNQNLSALDSYMKDIVEPYHAFIFINKKLFQLAGDEALSFRSTVISRFTKLVNLSRECAFVLFLDHFQNQIQQILSKLPSDSRSHFLFMKTAMEVHLSGKLDFSALTGNEIVERLYFSGELEDYLQRLSNFPKFNCNLVSMIDELFEPYLKLLCQYEPRSVLKFLETFDSYRLEGCLQLCLDYGVTDAAAFLQERAGDAQSALALVLSGLDEKISQFITSVENAFSQISSKGSSEIEQPAIVLKTNEVLLLVPIEILTKICIVYCIIGNMFDILLRLIRCLMRYVPPLDCAKGIHSTQTQRSLNYFGFICLILSQNH >SECCE4Rv1G0227590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:124802524:124803051:1 gene:SECCE4Rv1G0227590 transcript:SECCE4Rv1G0227590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHSVQLMALASLALIAAVAPATTHLRFYMHDIVTPEAPSVATAVRVVRGLTPLPNDPTNRFGDMYTIDDALTEGPGAASPVIGRAQGFYLFASQTDAALLLSANMVFTAGKHNGSAVAVFARDAILDTVRELPVVGGTGAFRGAAGYGLLQTNTYNATTNNAVLQIDMYLHV >SECCE2Rv1G0069850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31525915:31528200:1 gene:SECCE2Rv1G0069850 transcript:SECCE2Rv1G0069850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSSTIQSVLEKAGVPVLSVASAVLAILVVALSTYSRRCRHPALRFLVWGASVAFIPLTSSAISGLLGQRKTLKMLKMLRELDCQGSPECRVLGGKYSRGVQNMWTLLLWSVLIIIIKGNADTAAASAATASASPSSGDVGIDGQKVRTPVELLFSYAWVACLIVMCIPEAEWLGVVGKAVFIVFFLLGFAKVVLKLVAFFMAGNSYAVGKNARLVSGYMAQLVEDGAEEGYGHVPPYVVVGESKEHVEEKPQGYRIKGESLKDKLSTLVTLNRVWWLSDHGDGLLAKRRELRDLCLSFSLFKSLRRRLSGYPLAEEGSSNAVDFVLRGMDAAAGDGKGGTDTDRVFRVLVDELWFASDFYYSPLPLCSFSGWCAALNYLLSVLIIAGAIGVGVVYHDKRVIAFTPDSGEAPAPDPASYQKAYYFITLFLLLAAVLTEACEIIAGVCSNWTKMALLGHYIRSSKTGRYTDGALDAVLRLRPAKRWGNKIGQNSVLEPRRFGRRSGLFSEKLYGRAGLMKSVEVSPAVKDAVLRSLKSSYGGLDKGSTTKAQRVGLGGKVDSWAWPAIGGTGSTTEHILACHIGTRLFETKYSHGACPISAAADMTAACHLSYYCAYLVAAAPGLLPDSPAWTDRRYKEVVTDVKAALGKDDDGASESTAQRYERLLKELRATSRDEVLQRGAELGRRLVEAYAEDEAAAWRFLADFWSGMVLFVAPSKNIKGHVEAMGRGGEFITLVWALLLHAGVADRPGTHDGSSIP >SECCE6Rv1G0412850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:618313670:618314079:-1 gene:SECCE6Rv1G0412850 transcript:SECCE6Rv1G0412850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTQILLVALALLLLFSGRMPAKVSAGFAGTACDSYVLNPGEQCDPAKCQKDCEKTVHGGVGNCFNPPPPYYNRGCACQYCPPTLPRKLNHMN >SECCE2Rv1G0090050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:267886553:267886846:-1 gene:SECCE2Rv1G0090050 transcript:SECCE2Rv1G0090050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFHTAQGRRHVDPVHCTKKSAVQMEEEEKATPPVKEMKIRITRKQLEELLRRLDQEDGCSGAVISELLCMTSGSHFRHRGQAAQWTPALQSIPE >SECCE5Rv1G0354420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:723347279:723353067:-1 gene:SECCE5Rv1G0354420 transcript:SECCE5Rv1G0354420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Protein kinase CK2, alpha subunit [Source: Projected from Oryza sativa (Os03g0762000)] MSDAPPRKRPPASSVARAAAAVVVAALASSFVALSPRCAPAAAGSRPGMSKARVYTDVNVVRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGFSVNNSEKCVIKILKPVKKKKIKREIKILQNLCGGPNIIKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYLYELLKALDHCHSQGIMHRDVKPHNVMIDHDLRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDSLNAYLKKYHLELDPQLEHLVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVKAAENSRARPQ >SECCE6Rv1G0409820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:591944433:591946758:-1 gene:SECCE6Rv1G0409820 transcript:SECCE6Rv1G0409820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPATTVSALKDFILARWPQDKEITPKTVNDLKLINGGKILENNRTLAESRVTIGEVPGGVITMHVVVRPPQVDKNREKQLGNSPKQNRCGCTIL >SECCE4Rv1G0232230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:194483968:194487785:1 gene:SECCE4Rv1G0232230 transcript:SECCE4Rv1G0232230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPAGAGAGPGPGTGAVEVRVERPQRPPVHHPNPAHLRARPYYRRWTPWLVPAASVACVAVFLVTMFINDCPNRSDGNCSAGFLGRFAFQPLKENPLLGPSSTTLLKMGALDVSKVVQGRQGWRLITCIWLHAGVVHLLINVLCLLFIGIRLEQEFGFVRIGLVYLISGFGGSLMSALFIRASISVGASGALFGLIGSMLSELITNWSLYANKVAALLTLVLVIVVNLALGILPRVDNFAHIGGLISGFLLGFVVFIRPQFAWINQRRVAPGPQAAPAERKHKTYQYILWILAAVLLIVGFTVAIVLLFRGYNANDHCSWCHYLSCVPTKKWKCNSSPTTCTAMLQGNTLNLTCEGKGIHRSYIVAQATQDKIDQLCNQLCS >SECCE4Rv1G0288380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:860330299:860333744:-1 gene:SECCE4Rv1G0288380 transcript:SECCE4Rv1G0288380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRGSALLLGVLLAGSLFALCAAKEEAKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDGERLIGEAAKNQAAVNPERTVFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKEGKPYIQVKIKDGETKVFSPEEISAMILGKMKETAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKRGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDHRIMDYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKTQIHEIVLVGGSTRIPKVQQLLRDYFDGKEPNKGVNPDEAVAFGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVKEAEEFAEEDKKVKERIDARNQLETYVYNMKNTVGDKDKLADKLESEEKEKVEEALKEALEWLDENQTAEKEDYEEKLKEVEAVCNPIVSAVYQRSGGAPGAEGADGGVDDEDHDEL >SECCE6Rv1G0448800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:858375276:858380958:-1 gene:SECCE6Rv1G0448800 transcript:SECCE6Rv1G0448800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSTVTVFSNRIHRWQLKPGDHIYSWRKRFLLLISHHGIYESDSKVIHYTPPSDSSSSAVGSSSFSSSSPTQTWRDCWDCDRAKRKGGVIITCLDCFLEGGNLCLFAYSVPVWLYAIPTDIIQPTWSMAPEDPPETVLRRANNLLADGFGSYDVALNNCMHFAIYCKTGHESNFKPIGSHYAVQPENPGKNSSAMAASLCQVM >SECCE2Rv1G0086490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:213219632:213224027:-1 gene:SECCE2Rv1G0086490 transcript:SECCE2Rv1G0086490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 12 [Source:Projected from Arabidopsis thaliana (AT4G00840) UniProtKB/Swiss-Prot;Acc:Q5M757] MECLRRVNPFRACAGLRVLGYLMLALVAAIVAASYYAVVVYAWGPLLLRGGSGGSVAAAAFVLAAFHLLLIMMLWCYFMAVFTDPGTVPENWRHAAEEDGMYANNSSTISNNVATDCVNPPSTSEEQGHAPRYCSRCQNGKPPRCHHCSVCDRCVLKMDHHCVWVVNCVGARNYKYFLLFLVYTFLETVLDTLVLLPSFIIFFHDGSRRPSSAGDIAILFLAFVLNSAFALSLLCFICMHTSLVASNTTSIEVYERKKTCSWEYDLGWRKNLEQVFGTNMLLWFLPMYCTEDLQNIPAIQGLEFPTRSDAVL >SECCE2Rv1G0119150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:788813564:788819240:1 gene:SECCE2Rv1G0119150 transcript:SECCE2Rv1G0119150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATVSSAGGILAMLHEPAEELKLHALASLNSVVHLFYPEISTSIPTIESLYEDEEFDQRQLAALVVSKVFYYLGELNDALLYALGAGPLFDVSEDSDYAHALLAKALDEYASFKTKASKATEEEENVDPRLETIVERMLEKCVLDGKYQQAMGMAVECRRLDKLEEAIVRCDNIHGALSYCINLSHQYVSHREYRCEVLRCLVKIYQTLPHPDFLSICQCLMFLGEPETVANILDTLLSGSKDDALLAYQIAFDLVENENQAFLLNVRNRLDSQTPGQSNADGASALPVDQTVNPGTTSTEPAGDVQMGDDTTTANGNAHPVDPNEAAHADKLAKLKGILSGEKSIQLTLQFLYSHNRSDLLILKTIKQAVEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLASLGTADEEVFEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKAAEMLAYAHDTQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMGLGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVIGLAVFTQFWYWYPLLYFISLAFSPTAVIGLNSNLEVPKFEFLSHAKPSLFEYPKPTTQQTTTSAVKLPTAILSTYAKAKSRAKKDAESKAANLEKTAEAESKANQEKSTAESKPSQEKSTDAESKAKTTEDASGSTSGDAAKTQEKDGDAMQVDGAAEKKAPEPEPAFQILANPARVVPAQEKFIKFIEGSRYVPVRPAPCGFILLRDTQPSEAEELVLTDAPATVATGAGNTTAAAAAGTGSAAMAVDDEPQPPQPFEYSA >SECCE5Rv1G0362650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:787469263:787469719:1 gene:SECCE5Rv1G0362650 transcript:SECCE5Rv1G0362650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTIVPTLLVLLLAICCATTIVHGKEWSVGDNKGWSFGVSGWESGKRIQSGDVLVFKYNPSMHNVVQVGEADYHSCRVSGPSRTYRSGNDHIQLARGGKAFFLCSLPGHCQQGMKIAVTA >SECCE1Rv1G0001010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:3217965:3220438:-1 gene:SECCE1Rv1G0001010 transcript:SECCE1Rv1G0001010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALTTMLLLLAAGAAAAAAATSTTTTRGCRPSCGGVDIPYPFGIGTGCFRKGFEIECTKDGPVLAGSSLRVKHLSIHPDVSLVMLPIEWKCYNASSPTEAADSSYGETTMNKDGVYRISNTHNMLVVIGCDTMGYTASMKTDGGSASHAYYTGCMSYCNNSASAQDGLCAGVGCCHVDIPPGLTHNYFKFNAYDHSSMMDYSPCDYAFLVDRNNYTFRRSDLKMDTNRTSPVWLDWAIRGNGSISSDILSCKQAARTSEYACVSDHSDCLDSTNGPGYNCRCSDGYQGDAYIVDGCTNIDECANPAKYRCYGICTDTQGSYGCECPAGYRSHDPRTERCTQKFPLAAQISIGAISGILVLALLSFIYVLRKERREARDFFRKNGGLTLKDATSIKIFKKEELKPILKRHNLIGKGAFGEVYKGDVDGVLVAIKKPIGDNALENNQFPNEVIIQSQVIHKNIVRLRGCCLEVDTPMLVYEFISNGSLEDFLHKDKSKLLNLDVRLSILKESAHGLAYMHSQAHATILHADVKPANILLDEKFTPKISDFGISRLIAKGKEQTANIIGDMTYMDPVYLKTG >SECCE5Rv1G0329340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:515403144:515404707:-1 gene:SECCE5Rv1G0329340 transcript:SECCE5Rv1G0329340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGY >SECCE7Rv1G0498330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:638043472:638045079:-1 gene:SECCE7Rv1G0498330 transcript:SECCE7Rv1G0498330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVVPLAAAMAAAPRARFPGAPDPFPFRGTRLRFCLSPRGVACALRRPSKYKNKIKNEVVVAEDGIDGGDEDDDDEGGLEALFKQLEEDLKNDDLSVEDDDDDEISEEDMARFEQVLAEAMGDIDVSDESAVDLAPGSEVVGNDEVADPVEKPELKSWQLRRLARALNIGRRKTSIKNLAGELGLDRGLVIELLRNPPPELLLMSDSLPDEAPSKPEAKEIEPSPVADEVEVDEIEASENKPQMDLPVHVMSTEWSAQKRLKKAQLETLEKVYFKSKRPTNTMISSIVQVTSLPRKTIIKWFEDRREQDGVPDRRAAYMRSLSETMAS >SECCE3Rv1G0180800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:592718674:592725302:1 gene:SECCE3Rv1G0180800 transcript:SECCE3Rv1G0180800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERKGEYLGKTVQVVPHITNAIQDWIERVAMIPVDGMDGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEENVKEKLSQFCHVPAANIVTLYDVSNIWRIPLLLRDQKAHEAILKVLNLESFPREPKLDEWVARATMFDTLQDSVRIAMVGKYTGLSDSYLSVLKALLHASVYCHRKLVVDWVASTDLEDSSKIEAPDAYKAAWNLLKSADGILVPGGFGDRGIQGKILAAKYARENNVPYLGICLGMQMAVVEFARNVMNLSDANSTEFDSNAKTPCVIFMPEGSKTHMGGTMRLGSRRTFFKVADCKSAKLYGNVDYVDERHRHRYEVNPDMVPEFENAGLQFVGKDESDRRMEIIEIPNHQYFVGTQFHPEFKSRPAKPSPLFVGLIAASSGQLDKVLQGCSNGHVLPAKHQFSNGPYTLAAHQNANGHAKKLANGLANGTYYPNGNGVHA >SECCE2Rv1G0106480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:636689818:636691612:1 gene:SECCE2Rv1G0106480 transcript:SECCE2Rv1G0106480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLLYALSGGAALSRLAGGGGRRFGPHHCAVYAANAFLGPDLGAFAEWLASFLPAAAAAGDLAMAAVHHPFYYPLLLGLPLACLYAWLSRWLLRAGLLDAPAEVALSRRQCFLLIAAGSLSHFFLDHLFEENGHSTMYTWILSTGWWKGRAPINPDAVFVVGLLCICLIGGFVYTNRVKHEKSVTEKSNQSFFLILVIATLYCMWCASQIYLRNPPQPAIGEEADLGVIIFLAIYLFLPHGLCVLSMNQKDYNEALDGLPL >SECCE7Rv1G0462600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:47122900:47125046:1 gene:SECCE7Rv1G0462600 transcript:SECCE7Rv1G0462600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPRQRLRRSPPQPPHGELPAFDLDADDRISALHDDMLLLVLVRLRCARMAARTDVLSRRWRGLWARLPDLTFRYVPPGGIEAALSRVALPAAVSLLDIRFSGFSSTEWRKADDVRAKSLLRAAARLSPEELVFTLAPTTATKPGRPVEIAMPCFRRATSIELDTNFLRLKPPPAGQLPMLERLTLSGHIVDLGTMLSRCPRLRVLSVTFRRTDPDSLQQALASLEAAAALGLTVSLLHIRYITTDFRYSVGAARFASLLGAMARVSPQEFVLTDGYHECYDIDMPCFRSTTAIEMSLYTVRFTDLSAEQFPALERLSLVGCTVPDLATLVTRCPRLRVLRATTDDRTHHVTVHSTSLQELYLGAAKDTECRGIDIVTPLLKRLNLEVKADMDLSVSISTPMVEKVSLKRSYTQPPLLFGFWSLNSMRLETIESYTYYIDGGLSNINDSRPPRIHALVLSIFTDNNFWLGAVPLNFEHEMMKLLITNFSVLELYLNIKGHVLGALMLRLLGMQHIRTTIQRLKVNLPGWGQMSQTSKCLENCPCNEPNNWRSQSISLTHLEEVEIDNFGGGDSEIDFITMIFGWAPVLKGMTIRLQHGMKQSDTECCVMNISIICLVYPSVDCFIYPSYYGELVWRTPIKLPCS >SECCE6Rv1G0426460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712787221:712800520:-1 gene:SECCE6Rv1G0426460 transcript:SECCE6Rv1G0426460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRPPPARGGRRPPPKSAPLRPEQELLLEAASDGDLVLFKRLAGMMDGGRGRVTEAVEAVVECTAGALHLAAGQGELAMCRYLVEELRVDINAIHDGGETPLAYAINGANIATVKYLLDHGADPDKVDDKGFTSLHIAAEEGYCNIVELLLSRGASVDALSNRGTPLHLAATNGHHQTVKILLDHNADCNKIVNGVYTPLLVSIYAPSLKCVKLLIQAGADVNGVGNITPLIAAIGLTECMKCLLEAGADPNVPDEFGRIPIEFAAICGTREDVEILFPLTSRIPAVRDWSVNGIISHAYLLPGQKFYESGLERDIASLKFQGRMALERKDYLSAIELYSKAMGLDYEDATLYSDRSLCFLQIGEGDKAFADAYTCRMMRPDWPKACYRQGASLMLMKDYEKACGALFDGLKMDPRNLQIENALREAMDSLRISRGAKKTTV >SECCE3Rv1G0150880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:34738433:34739883:1 gene:SECCE3Rv1G0150880 transcript:SECCE3Rv1G0150880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASSDAAAKAAPVRAVAVSRVAPSVLGDGQRGERVKLSFLDSLWVVLPPIQRVFLYQIGEDGGFPAVVERLKRALAATLAHYLPLAGTLEYVAETGEALVDCTNAGVAFIEAEGGMDVRRLAGDEAHDILAFLSLVPELDARVLPAPVLSVQATRLSGGLAVGLSVHHAVADGRAVWRFMEAWSSASREGSPVTKVLGPPQYSRDVIHHPNADELAREMLKTVAPNLPEVRGQYDFSQRFRLARRTFYLGSDEIRSLRRRIDDLASAEAAAAGGEGHAPELKPVSTFVALAALSWTAFVRSKGLGAGDDTYLAFLADLRSRLDPPVSEAYLGNCVRACLASCADAADLLGEAGILRAARAVQAAVAEMVAAPLSGTDKEWMQMLMRLPFQRLTNVAASPRFRAYEAADFGFGKPARVELVSMNHDGEMVLVGGRRDGEVQASVSIDPAHMDAFKACILG >SECCE5Rv1G0321610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:407350025:407351776:1 gene:SECCE5Rv1G0321610 transcript:SECCE5Rv1G0321610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPSPSPPPPAAADALAQILHALLPPLLLAAASANALHSRWRALHATLLALQSSLASAPAPAAGHPLFADLVASLLPALRSLHALSARCQDPALPGGRLRLQSDLDIAASSLTLLLHDLSLLLRSGLLAVDSSASSPNAIVLQVPAAAASRSDKSLFIRDAFARLQIGGLDLKLKALASLLELLGNDPAAEAANIVAADGDVAALLRMLDASAHSALRDRAAAVVALLATACSASRKAVFDEGGLGPLLRVLDSASAPATRERAVVAIEAMTADAGSAWAVSAYGGVSILINACRPGSGSLAVQALAVAAIKNVVSIDDVRSALVEEGGLPVLVDLLASGTTDTQKNAVICLWSIASLGDLETQQQIVQDGALPPLLQALHITTDLDLQNSVLRAIHTLAVVPSAARILCSSPLFFAQLTDLMRRGGSILLQQMAADMIADLAPGVSDDTKRCMAPCVGTLVKMMEVAKPASVQESAGRALLALMTLKSNRKELVRDEKNLTRLVQMLNPRNEEIDKKHPVSVLLALAMGGGNGTRRRLADAGACQHLQKLADAEVPCAKKALQRISSSRFKSLLSRGWNN >SECCE3Rv1G0188890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:719465394:719469511:1 gene:SECCE3Rv1G0188890 transcript:SECCE3Rv1G0188890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQGCVRTAAVFSLISICVAHVVLHARAQANTRGFISIDCGSPPSAGYVDVVTWLPYVSDAEFVDAGASHNISAEHADMIDLKLPRLYNDLRSFPTGARNCYTVRSLTPGTKYLVRATFLHGNYDGLGPGGLAVFDLHLGVNFWQTVNVSSVSDPFQAEIITIVPDDYVQVCLVGKKGLGTPFISGLELRPLPDTLYTVVANASLSMAVHGRYNLGPDDEKLIVRYPSDPHDRIWKVLANLRSWNPTNTTGTVRYAAGDQFEAPSAVMQTAATVDDGFSLRFYWDAYESNKELDYFAVLHMAELTRLNSSEARICEIYLNNGLWSSKPFSPEFRYSSSMFGMMTGSTEYSFRIEPTANSTLPPMLNALEIYVMVPTAERATDGGDVSAIMAIKAEYEIKRNWMGDPCGPKVYLWDGVGCNYAISSAPRITSLNLSSNGLAGEITTLLSNLTALQNLDLSHNNLTGNIPEFLAQLPSLAVLDLTGNKFNGSVPESLLKRSQEGALSLRIEANISSISNDQPQGKKTNRSTAVIVAVAAVVLSVTVVAVITITLCLRRRRTENDPSVRPLNGSNSKEDNGDDVSMQFDNRQFSYKELKTITNSFEKSIGKGGFGVVYLGYLEDGTPVAVKTRSESSSQGVNEFLAEALHLIRVHHRNLVNLVGHCKDGHQSALVYEYMSEGTLQEKLREKSPESLPLTWRQRLRISLDSAQGLEYLHKACTPPLIHRDVKTANILLNGSNLEAKIADFGLSKAFNSDLQSHVSTRVVGTPGYLDPEYYTSFQLSEKSDVYSFGIVLLEVVTGRPPILPESVHIVQWARQRLAKGDIESVVDDSMQGRYDLNSVWKVADLALRCTEQAASQRPTMADVVVQLKESLELEDGCERVHGSYNGSGGDGYGENSDTASQSTQSGRVLDLVSGPAAR >SECCE2Rv1G0119570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:792065056:792076139:1 gene:SECCE2Rv1G0119570 transcript:SECCE2Rv1G0119570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSGAAKARRSSGGGSWGSAGGGGGDPFDIPGKGAPVERLKKWRQAALVLNASRRFRYTLDLKKEAQKEEVIRKIRAQAHVIRAAFRFKEAARVGTTTKDAPEAHADGALGFGIKEDQLTALTRDHNYSALQQYEGVSGLASMLKTDTDKGISGEESDIDARKNAFGSNTYPRKKGRSFLAFVWDACKDLTLIILMVAAAVSLALGIYTEGIKEGWYDGASIAFAVLLVVFVTATSDYKQSLQFQNLNEEKQNIQLEVVRGGRRIKVSIFDLVVGDVVPLKIGDQVPGDGVLISGHSFSIDESSMTGESKIVNKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGIIGLSVAVVVLVVLLARYFTGHTYNPDGSPQYVKGKMGVGETIRGVVKIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGEKMDPPDNTQKLSAAVSTMIIEGIAQNTSGSIFEPEGGQAPEVTGSPTEKAILSWGLQLGMKFSETRSKSSILQVFPFNSEKKRGGVAVQVGDSEVHVYWKGAAELILESCTSWVDTDGSKQSMTPEKAGEFKKFIEDMAVASLRCVAFAYRPCEMSDVPKEDQRADWVLPEDNLIMLGIVGIKDPCRPGVQDSIRLCAAAGIKVRMVTGDNLQTARAIALECGILTDPNVSEPTIIEGKTFRELSDSEREEVADKISVMGRSSPNDKLLLVKALRNQGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFATLVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVSAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPNNHLMQRPPVGRREPLITNIMWRNLLIMAFYQVAILLTLTFKGVSLLRLEHDNPAHAEILKNTFIFNTFVLCQVFSEFNARKPDELNIFKGIAGNKLFIAIIAVTVVLQVLIIEFLGKFTTTVRLSWQLWLVSIGLAFISWPLALVGKLIPVPDRPLLEMFSCCCPAKKEADGGMKEEDAKHIEVV >SECCE4Rv1G0231240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:179970890:179976422:-1 gene:SECCE4Rv1G0231240 transcript:SECCE4Rv1G0231240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPSSQERKEHLYWEWEIEAARVSAWWSRMEEASSSSGHPRHRAPPPHHVLGYGGFHAAMAMPTNSMPPATFFSEQDGGGGGGGGAYFGELEEALMHQVATLSSRRISHSHSQQQAATATSTDHPHHPHHHGHNHAMPFPSTVADTATAAAAAARPPPTLDIFPSWPLALHHHTPKEGSNVTADSTDSESSSKNNINMDSSDHHHHHHQQQQQQGMVGLVTVAGQFHQISQQHHQQQKMATSSTHSDRTGKPLDPKTTRRLAQNREAARKSRLRKKAYIQQLESGKLKLAQLEQDLQRARSQGLLVGGAPGGNSSPGAAMFDVEYNRWLDDDSRRMIELRGGLHAHLPDGDLRAIIDDTLTHYDELFRLKSAAARADVFHLITGMWATPAERCFLWMGGFRPSDLLKTLAPQLDPLTEQQMVGICSLEQSLQQAEEALTQGLEQLHQSLAITVAGSGSLSDDANMGSFMGDMAVALGKLANLEGFVIQADNLRQQTLHQMHRILTVRQAARCFLAIGEYHNRLRALSSLWASRPREILMTDEGNCGELSIAAHPSESQYSAY >SECCE7Rv1G0464470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:61705079:61705924:-1 gene:SECCE7Rv1G0464470 transcript:SECCE7Rv1G0464470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADLTPDRVRRRLTAARLWPGAYFPAERAASGRRRRTAAATTDDEFEAEFQIFVEEEEDDDDDYEDPPAVACAAIALGSSRRRVAGAKSTKRRRVRRRPSGRPAAEDTRQWRRVWLGTYGDAAEEAAPAYASEARRMRGKSARLDSPNEGCSRRRSLPGIIDLNQPPAVSGDHVISADGDACKTKIMQLMIAEGPCEERMASLVSELMDGARQGSEARASVVVMRCAALISACSREMEEVAALRRDLENRARRLDERKDQLLRIASLMGSELLTD >SECCE3Rv1G0143470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:890787:894826:-1 gene:SECCE3Rv1G0143470 transcript:SECCE3Rv1G0143470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGRHKPAREYIDRGCNGVKPPNNFGEVDPWTAWAYRPRTISLLLMGTCFLIWASGALNPEGSFSADRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVELPERSYGTDCRIYVPDHPKSRFNNVYDILFDEFVIAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGRTYEWVGLSRQPNIMSKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCVIFMTIELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRNSVKKVGSFCWLSLAICIIELLICIKFGHGLFPKSMPSWLIIFWTTVATLLTMFLFVWTWKIYRTMIRKRL >SECCE5Rv1G0341080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:620722855:620725794:1 gene:SECCE5Rv1G0341080 transcript:SECCE5Rv1G0341080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein [Source:Projected from Arabidopsis thaliana (AT4G27040) UniProtKB/TrEMBL;Acc:A0A178V291] MRRRPGIAGLQNAAATRDKFRQVGENVAKVRTDVMQEQLATFRSQLEEFARKHKSDIRKNSVFRQQFHEMCAKVGVDPLASNKGVWAELLGIGDFYYELGVQIVDICIATRSHNGGLIDLLELRKLLCQKRKTDLGSLSSDDCLRAISKLKVLGSGFEVISVGKKKLVRSVPTELNKDHNGILELAQAKGYVTVEQVEKEFSWSTGRVIDTLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDASGSEAKS >SECCE3Rv1G0157250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80366986:80367981:-1 gene:SECCE3Rv1G0157250 transcript:SECCE3Rv1G0157250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLDLDGVIQRLLDAEAPLISSSSAPPPLNGEEIRHLCAAARELLLSQPTLLELSAPINVCGDIHGQYPDLLRLFREVGPPSAANRYLFLGDYVDRGTQSIETICLLLAYKLKYPDAFFLLRGNHECAAVNKQYGFYSECASRARRVARLWEDVNAVFDCLPLAALVGREGSSKNGKNKKKKILCVHGGLSPELESPDQIRQIKRPLADVPEHGLVCDLLWSDPAADGDDWGWGDPRRCTSFTFGADVVEDFCERHGLAMVCRAHEMKDAGYDKEFADGKLVTVFSAPNYCGKCGNDGAVMTVAGDLTCSFRVFHPETTAAPTPAPIYL >SECCE4Rv1G0265310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725355043:725355976:1 gene:SECCE4Rv1G0265310 transcript:SECCE4Rv1G0265310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVVSAVAVALALLASSAAAWLHEEFDTEGNVRAGYDARGRQVASIVLDRQSGGAFRSRRSYLYGQFSVQIKLIPGNSAGTVASFYLSSGDGPGHDEIDMEFMGNSTGQPVALNTNVWANGDGKKEQQFYLWFDPAADYHTYTIIWNDKNVIFKVDDLFLRCFTRHADLPYPGAKPMAVHATLWDGSYWATQRGKVKVDWSAAPFVASYSGYSASACAPAGPGRPLACPAGTGRWMRRRPSAAERGTLAWARKNYMRYNYCDDGWRFPKGFPAECSRG >SECCE6Rv1G0415940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:645525670:645528464:-1 gene:SECCE6Rv1G0415940 transcript:SECCE6Rv1G0415940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACEACRTAVGSIGRRSARIAYCGLFALSLLASWVLREVAAPLLQSIPWINHFHKTPDREWFETDAVLRVSLGNFLFFTILAAIMAGIKDQKDPRDKVHHGGWMAKIFCWVVIVFLMFFVPNGVVSFYESISKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLVVSVVCYIGSFVFSGLLFHWFTPSGQDCGLNMFFIVSTLILVFVFAIVALHPKVNGSLLPASVIGLYCTYLCYSGLSSEPRDYECNGLHNHSKAMSTGSLTLGLCTTILSVVYSAVRAGSSATVLSAPDSSRAGADKPLLPFSKADEEETKDVPKPVTYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGNLVDVGWPSVWVRIATQWATAGLFIWSLVAPLLFPDREF >SECCE3Rv1G0156790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:75772490:75776211:1 gene:SECCE3Rv1G0156790 transcript:SECCE3Rv1G0156790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSSSDLSADHQLLQDDLPWHSSSSLPPPLAPALHHAVGGNLHQWSQPLMLEQLSSDELEALLSAQVHQHHSTHSQLLAAPLIANPHHQLSSLLMMQELGFQWSSSCGAADGDSNETAQDAVKEDAELPGPLVLPRPSSSSSIAYDDDMAVDVLPSVNVSRLQKIPCPVMAGHFGGRAEATLEMLASAKFCKSLLSCSQASSTVLLHNGGGSTAPLLGMGEHHVAYGPPPPVHRLQGPSSILHTYNKMGVPAALVSGNGSALQAAAGRSSGGGGLHDEQQLQMVAVSSKKKPRLLHSRPATNNNILPSFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYMRSAKHKKARTTQQRGPSDTGDQKEEAKVDLRSRGLCLVPLACTSYVTNENGLWAPPNFRGN >SECCE7Rv1G0516610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:846715106:846716946:1 gene:SECCE7Rv1G0516610 transcript:SECCE7Rv1G0516610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKDISGAIGLMAAALVLLGTWPVVLAVLERRGRLPQHTYLDYSITNFLAAVLIALTFGQIGGDTPETPNFLTQLAQPQDYWPSIMFALAGGVVVTLGTVATQYGWAYVGLSVTEVMASSLKVVIGTTLNYFLDGRINRAEVLFPGVGCFLIAACLGSLVHSSNAADNQEKLSNSRNYSTGNTAKEDLTQHLLEEEEPKDCEEAKPAVPNKAVEKAEAGTADFLIDLEDKRSIKVLGSNTLVGLAIVTFAGVCYSLFAPAFNLATNDQWHTLRDGVPHLVVYTAYFYFCLSSLLVGVALNVWCLYRPVAGVPRSTLRAYAGDREGRGLALLAGLVSGLGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTYTLLGSMLFMFVVAMAVLMASSAHRKPL >SECCE3Rv1G0212010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954125474:954127168:1 gene:SECCE3Rv1G0212010 transcript:SECCE3Rv1G0212010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQQIAKRRPDDDDRLSKLGDAKRRRDDDDRLSKLGDGVVGHILSFLDPEEAMGAAALSSRWRDIFASVHTLSFEQPETPLPDYDSDGPPPRFYDPNTSHFTKNVMRALSARSRRQAHTPAALVPLRALRVALESYGGRDASIVDEWIATALMDAAPKLDIDLCLARVPLCISPDPRVRAPYVHVATSLDEDVAVAHQDDDAASSSDESVPRPWELPPAVYTVPSPLFSCSALRSLRLGSCRLRPPETVSLPSLEALHLTHVGDDEEHLQRLISACPHLADLTLEACGTMTALSLLDNTGLRRLALRCCHNLAAVTVHASELRCFEYRGTIPDNSFLTVPGREAGSGGFPSVTSCKIEVCTVCVLQDTAMPDEQLAKLGSFLELFVSTKQLHLCAAQMSSCFVNLPAFTSLTHLQLHGCVRHHSNDDPTMVAATTITILPRVPNLEVLTLFFEAGPHEAEDHPLQSEGELLDAHHLHYNEYDTLDLATVPVPRCLGSKVRRINLVHYQGGRAQRMLARFLLGNSPLLEKLFCVFAEGSFWIQAELVREMQSWVINERASKVFR >SECCE1Rv1G0057840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:695761387:695761886:1 gene:SECCE1Rv1G0057840 transcript:SECCE1Rv1G0057840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKDLRALFLAAIVVIFMVMPSCYAAQAVGAGVCNLILPCTDNTCKVYCQKLGYKNSKAQCKHGNLNNGVSSDNCCCLKSEPLVHGLSSDSN >SECCE5Rv1G0327680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:495270650:495273994:1 gene:SECCE5Rv1G0327680 transcript:SECCE5Rv1G0327680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTAAARAIPLLLVAVAVAAVLRTGEASVHEYSGLSFLNKGNAFILHAGSEGLYAPVSTANATVEEEDDEAATAADAFIRFDKITFRRPEEANDSVREISSSKVQIIVFEIEDREMIGGSAYGGQKAICCTSDLAKLGACVEGSVIYRPSQVNPGWPQLFVASFDGSDLIATLPSRTIPVKKTGMYNMYFIHCDPALDGLEIDGKTIWKNPTGYLPGRMAPLKNFFGLMSFAFVILGIYWFYQYMKFWREVLPLQNCITLVITLGMLEMALWYFEYAEFNETGVRAKAITFWAVTFGTIKRTVARLIILIVSMGYGVVRPTLGGLTSKVVMLGGTFFVATEILELVENLGTVNDLSGKARLFLVYPVAILDASFIVWIFISLAKTLSQLQARRLMAKLDIYRKFTIALAITVLVSICWIGYEIYFKSTDVFNERWQYAWIIPAFWHALSFSLLCVISYLWAPSQSSMRFTNDASEKFDREDSLSLIRPRPIVSNNGWSVASSTDAKATKNTDSVTSFDEDDEENKRE >SECCEUnv1G0551830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:240700169:240702753:-1 gene:SECCEUnv1G0551830 transcript:SECCEUnv1G0551830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 28 [Source:Projected from Arabidopsis thaliana (AT3G52080) UniProtKB/Swiss-Prot;Acc:Q8L709] MDCSMTSSVLSANYNTVLFEFGVILVTSKLLYALLRNVYQPRVFSDLLLGIILAQFRVLSITNAINLVFGKIGGFIFAPYLFALGVEMDPFSLLQSPAADAVVAYAGILSTCVVVTLCHSAITSTSPTHTGIVHERSLRAFLGLAAALANTASPVLTRLATDLKIAKTNVGRLAVGAGITSDMLTTLLIALGSMVWRDSDADAASPFAQPALTASVLALVVMSAFMSRAMADWVDGRNPEGRRMRGFDLSLVALVAATLCWFISVLRLDINMAAFLVGLAFPSDGRVSRLLVSKINFVLSSFVLPLYVAHVCLSLRQTTDDIEVAGLKPNEGLRAYVMQLPFPWWKVFLATSMGTLGKLVGCTGVGLLRGLGWLEALALGMLLNVKGYFHIYCALAAFEAGIITDKSFMAIIFVVALNVAVTPMVGMGIASWARRSVQWRLMGLQHHDPSTELRLVAGLRGPQEVPTLAFLMESLRWGAGNGEIACYAVDMVQLTDQTASTIVKSGGLDGVTVVDEEVSEMRKLIGEALDAYQAECGGEGGKVKVRRLLALSSFPDMHSDLCICAEDAMAALIILPFHKTQCLDGSMDGGHFGFRLVNQKVLQLAPCSVGIIVDRGLGKQQRPENTAQASVVVVFIGGADDREALTLASFMCKQQASVRLTALRVVQNAMAQARAKARTSLFESKSKRHMPLAAATAGQEELQAQADDKFFAEFYRKHVAGNKSVGYLEKHVADGAELVAVLRGMQGDYRLFVVGRGRDRNSVLTEGLEEWAECLELGPVGDILASSDFSTTASVLIVQQYDAKKHYKVIDEEFMPL >SECCE1Rv1G0062700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:720935452:720936576:1 gene:SECCE1Rv1G0062700 transcript:SECCE1Rv1G0062700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKKEEQEEQEQAAERIPREILSRVPYRSLCRFKCVSTAWLTLCSDPAVRRRSGFFGLSYSSSTRFVNLSGRGRPLVDPSLPFLRGFENIKLLGCCGGILLCHADRAGRSEYVVCNPATEEIWAAVPVPHTLPRAPGCYTFSLCFDPAMPCRFAIFVSIRLVFNMKIVLVYTSDTREWTAMSSGQDLRIRLFCSDSTYAFLNGTLHFMAYDSGEATFDSEDNLIRSVIIVGKDGNTWRTIRQPPNADFSSIGQSQGHLYGIQLDIFNSYQLSVWSLEDYASGQWTLKHTANVLELLGRPRQGHNEHYSLAAIHPERNLIFLNTRVVHGPEKTLMSYNMDNQELHVICTLGRYDMLKFWPYVPCFAERPSDAQ >SECCE3Rv1G0186430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:684559970:684565261:-1 gene:SECCE3Rv1G0186430 transcript:SECCE3Rv1G0186430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p60 ATPase-containing subunit A1 [Source:Projected from Arabidopsis thaliana (AT1G80350) UniProtKB/TrEMBL;Acc:Q0WR11] MANPLAGLQDHLKHARDYALEGLYDTSIIFFDGAIAQINKHLANLDDTFIRTKWMNCKKAISEEVEIVRQLDAQLKSFKEAPGTMRSSSPPIRSNNKSFLFQPLDEYPTSSPSTFDDPDVWAPPRDTSTPNRRSARGQSSARKSSQDGAWARGPSKTGTPSRGAKPSGSKASSAVRSSTASSTGGRKGKSSSSKADSASSDAEEGKSKKAQYEGPDGDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFELARAYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTNEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPSFESRKSLISINLRTVEVATDVNIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDDISKDPVAMCDFEEALVKVQKSVSPSDIERHEKWMAEFGSA >SECCE5Rv1G0338850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:603681555:603682532:1 gene:SECCE5Rv1G0338850 transcript:SECCE5Rv1G0338850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGESSAAAAAVPQPALRPALAFQTGAHTVTLPHAPTPVPKPGPIGICTQILRAEGAAGLFSGISATMLRQTLYSTTRMGLYDILKKRWTQENGGVLPLHRKIAAGLIAGGVGAAVGNPADLAMVRMQADGRLPLADRRNYRSVGDAIARMTRDEGVRSLWRGSALTVNRAMIVTASQLATYDQAKEAILARRGPAADGLGTHVAASFAAGIVAAAASNPVDVVKTRVMNMKVAPGAPPPYAGALDCALKTVRSEGVMALYKGFIPTVSRQGPFTVVLFVTLEQVRKVFKDVEF >SECCE2Rv1G0070500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:35674369:35675346:1 gene:SECCE2Rv1G0070500 transcript:SECCE2Rv1G0070500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALDFMPAEKVPQAGTTVALGATTNNATAAAAVQVLLQQQHRSLEGKVAIVTGGARGIGEAIVRSFVRQGARVLIADIDNAAGEALAAALGGGCCTYVHCDVSVEADVERAVGCCVARYGQLDVLCNNAGVLGRQAPPAGNGAKSGGIASLDAAEFDRVLRVNTLGAALGMKHAARAMLQRRGGGGGGSIVSVASVAGVVGGMGPHAYTASKHALVGLTKNAACELGQHGIRVNCVSPFGVATPMLVNAWRHAHHDEGEDGSAEEVEKTEEMVRGLATLKGPTLRAGDIAEAVLFLASDESRYINGHNLVVDGGVTTSRNVISL >SECCE2Rv1G0102440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:577575354:577576082:1 gene:SECCE2Rv1G0102440 transcript:SECCE2Rv1G0102440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDLESLFCAGGGDSRSTKVACETIASDVDVDVANAHEESRRTTWAWSRPIGAALERDGSTKGSSNPKTAAAEATRNKGASRAPRRLEAIVVGVLPAGKMVVQPRRSPVAGRRYAGARVFASEAVGTEPASPKVSCFGAVRSESRAAAPAPAPAPRGEEEQAEERSGCWASVTSALRDFLRVSDSDNRPEGECESGASEPQAAVSESPTVAAPVLSPPRLGDVKPIASRRWPDGDGRCLV >SECCE2Rv1G0075660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:85058119:85059849:1 gene:SECCE2Rv1G0075660 transcript:SECCE2Rv1G0075660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSSMAPSRTMAVLAMLLISRLFFCSQASSDGFLTCLAASVPEQLVFARSSPSFASVLASSVRNRRFLTRATVRPLCVVTAANASHVQAAVVCGRRHGVRLRVRSGGHDYEGLSYASVRPETFAVVDLSGLRSVRVRLGSPREGAPATAWVDSGATLGELYYAIGKASDRLAFPAGLCPTVGVGGHLSGGGFGMLLRKHGLAADHVVDATLVDAEGRILDRVAMGQDVFWAIRGGGGGGSFGIVLSWRVKLVAVSPTVTAFTIPKSVEQGAVDILTKWQEVAPALPDDLFVRVLVQRQVAKFQALYLGTCDALLPVMRRRFPELGVNRTHCKEMTWLQSVPYIYLGSGATVEDILNRTDPVDVTSSKATSDYVRHAIARDVWEEILATWLARPDAGLMILDPYGGHMARVPEAETPFPHRAGVLYNIQYMNFWAAAGDGAAQTAWVRDVYAFMEPHVSKNPREAYVNYRDLDIGQNVIGAGNLTSFEAGKVWGERYYKGNFRRLAMAKRQIDPDDYFRNEQSIPPLDADEQRLIMGHVAHIDYANPVSSNHFYIFGFGCVGIFLMYIRRKYGLKS >SECCE6Rv1G0431420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746315276:746315938:-1 gene:SECCE6Rv1G0431420 transcript:SECCE6Rv1G0431420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSTSLPSRPHVSETEVEQELQSLEASISSSNSISTVCDGLRSLANIYDALEEIICLPSNQVCSSQQRNILDEEMEGSLELLDLCSSMQEIFVEIKAIIQELQVALRKGDDAATQAKIQSYTRLVKKAKNLFKKNTKKTPGDCKMVMLLTKARESSASLLESTLRLLSKQIEMPKQSLVSKAFHKKKAVVCKEEQLSELECSIGDLESGAGHLFRN >SECCE5Rv1G0319020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:369928885:369929972:1 gene:SECCE5Rv1G0319020 transcript:SECCE5Rv1G0319020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSKNCDHGLAEIEALLRREQELVTQLRALILPQLLNVDSGSAELAVQLFDDVIGCTTSVVSKLFTAGSGATIELIDDKSLVRKNSTSTAAAAVIDDKMDEQARPSCIVGRKRRRNDGKRSRSLVTNVPHYDGHQWRKYGQKNINGRQHARSYYRCTYTERNCSATKTVQQQDQDGVCSIYSANAGDDQGVKYTVVYYGDHTCKAGDNISNSSIDHLPDLVDIDFPRGETERVTAEVSEFEMELDVPALLEVFNNSQLNWEIVW >SECCE1Rv1G0043160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:589303489:589304109:1 gene:SECCE1Rv1G0043160 transcript:SECCE1Rv1G0043160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGEMPPAARQSRRRRVIICASFAALVLLLLAAVAAIVLLAVLRPRDPTTELLSANATGAAPRVTFPTVSVQLNVTFLLVVRVRNPNPASFRYGEAATTLLYRGAPVGGAVVPAGTVPSRGATTMRLGMTVQADKVVEAAGIGGLLGDVLAGEMEFEARTDVKGRVTFLGFVKRNAQGRSACRIAIGVPDVKVRRQECHNEARL >SECCE2Rv1G0100480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:541918501:541923890:-1 gene:SECCE2Rv1G0100480 transcript:SECCE2Rv1G0100480.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDSPRSPEAEIGHRVEDLWEVAQPQLSPSEKLNSCFEDIPVAAFPRNHPSQVIEIPSDASLADTVETLSKNKILSAPIRNVDAPEDATWIDKYIGIVEFAGIAMWLLHQSDASGNGTAGSAVGSPVANLAARLGSFTFRRTSSGRVETTTDSESDEAASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDMGGNQIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPLMKPYRLVKVNEDQPVLKAFQLMREKGVGGVPVMDTNGTKAIGNISIRDVQYLLSAPKIYKQYRTISAKDFLTAVRHHLQEQHEASPLLHDVITCKRDDVIKDIILKLDSTKIHRIYVVDDKGDTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPANLRSTDTATPIRRYEYGDTGYGNFQKHQYGDTASV >SECCE7Rv1G0477410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:219047592:219057207:-1 gene:SECCE7Rv1G0477410 transcript:SECCE7Rv1G0477410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKHAKLLKTQKFASELDHQVDMSKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLDAKEVDGKYIQIQLTGFMEKNTIKFMRELWSLLLSAQQNASGVPQQFLDAKEAEIQQRKVEEARIAQEIQKKREKDGRDTELDNHKMMAGDTGNSRFHGDSLGFTLNNPNVDAEKEKELDLKHSSRTKSREHRRPRSTSLSPRGRQRSISPRRRSPSPFRQRSSRRSASPRRSVSPRRHSPRIAPPVSRRRSPYSRRSPSVPRSPSPRRRFPIRRRSPPSGRRRSPSPYRRRSPARAPRRSPSPARRRSPPRHRLPVDRHRSPSPGRRRPRSPSPVRRRPRSPSPRRHRARSPGRRQSMSPHRSPQLRSPKRQRKSPISIRTLSANRPVSPQRRRSGSPHSRSPNRSRRSLSRDEEKGTNGAPSTKGRDLAQRNQERSGRESPDFEHRRLTKSLRSPTNDAERDSTRGSPLKDTGKHMPSQDNINTSGDEEEGERARENARKANSSRRISKDVSADLQLKEVNVDNSIPGEKPSSRSRLDGGKDVPKKYDNQLSDSSEDVLDGRRRKRQGDSPDDSRVKRQSPSRAGDSYPKDGINNERAKMDSLGASYDAIAGKKYSGKVDVDEASQSDGGSPLQKAKKRTYDSKHIDRRSSGSEESEKHRSQSEKRRHKKGHKHKKHYDDSSDSDSDSDDKESKRRRREEKKLRKEERRLRREERHRRRADRHASKQRLKYAGSPLSDLEKDRQSGSDADVGKKGSYTPREEPDPNKLEIELRQRALESLAKKSKHAVTPSSDVEKDRESDSDADVRKRVS >SECCE6Rv1G0386970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:104144083:104145912:1 gene:SECCE6Rv1G0386970 transcript:SECCE6Rv1G0386970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKKATSSSAGAVAVQGGGAPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKDFNDHAVKDAAAAMEMHRNAASSSVMMGRNMNDRNVHMNEAIRMQMEVQRRLHEQLEVQKHLQMRIEAQGKYMQSILEKAYQTLATGDVAASPTAGYKSLGSHAGVLDVCSIKDIGPASMGFPSLQDLHLYGGGHLDLQQQQPMESFFACSDGGGIGSLGKKRSSQYGGGKSPMMWGDDDDGDEDDKGDQLLQMAPPMMDDIDSMYEAKPMMTMSGDSAGSRGFDGGMGSKLERPSPRRPHMGAQRMGSPSVIYG >SECCE2Rv1G0109080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:672979220:672979561:-1 gene:SECCE2Rv1G0109080 transcript:SECCE2Rv1G0109080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEDFRACHDIASLAKMMVELERHVMFPIVYRLIELALLLPVATATVERTFSSMKIIKTELRSKMSDGWLNDLMVCYIEREIFKSIDLAKIKQDFHNEGRALPLPGSFRRH >SECCE4Rv1G0273030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:773590664:773593675:1 gene:SECCE4Rv1G0273030 transcript:SECCE4Rv1G0273030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTAKLLLLTIISASSFFTGGAPHPPSSHGGSCIAAERTALLSFKEGITSDPAGLLASWRGQDCCRWRGVNCSNQTGHVIGLRLRSTNPDMYGYSCDGKSLFGEVSPSLLSLEHLEHLDLSMNCLSGPNDSFPVFLGQMRNLRYLNLTGLTMFTGRVPPQLGNLSKMQYLSIGQAGSRSQMYSDDITWLTNFRLLQHVSIEGINLSGIHDWPHMLNMIPSLRVISLSGCSLQSANQSLPYLNLTRLEKLDLSWNSFDHSIATNWYWKTTSLKYLNLQANRLSGQFPDALGNMTSLQVLDVSTNWNKYFMMTGNLKYLCSLKTLDLSSNNINGDVEVMMEGLPQCAWEFLQELDFSDNSLTGTLPNLISKFSSLKRLELSNNNLTGSIPPRIGNLTFLTALDLSNNRFSGSVPSEIGSLINLSFLDLSNNYFTGIVPSEIGALSGLTSLVLSKNNFSGVITKEHFAGLTGLKNIDLSSNNLKIEVNSDWLPPFRLESALFSSCQIGPLFPDWLQWQQEITQLSISSTALMDTIPDWFWSTFSKATYLDMSNNQISGSLPAHLDDMAFEQLYLGSNRFTGPIPPLPRNITVLDISNNAFSGTLPSNLEAVQLQTLLIYSNQIDGGIPQSMCALQELGDLDLSSNLLEGEIPRCFENLTISYLLLSNNSLSGTFPAFLHYGEGLEFLDLAWNKFYGRIPTWIGEMTRLRFVRLSHNMFSGTIPVEITTLSYLQYLDLSGNNISGVIPLHLPNLTGMTRKGFMPISGTDIGPSGLGSVTVTGQFGALLSIITKGQELRYGGTLAYFVSIDLSGNSLSGEIPMDITSLDALINLNLSSNHLSGNIPSKIGDLRSLESLDLSQNKLSGEIPSSLSSLTSLSYLNMSYNSLSGRIPSGHQLDTLSADNPALMYIGNNGLCGPPLQKNCSGNGTVFHDHLGSSNREFEPLTFSFGLVLGLVAGLWSVFCTFLFKKTWRIAYFQLFDELCDRIYVYVVVKWAGFTRKADEE >SECCE5Rv1G0319230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:371914318:371916397:-1 gene:SECCE5Rv1G0319230 transcript:SECCE5Rv1G0319230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMNASSALCVVLLVVMAAGGASAQLSTGFYSSSCPGALGTVASVVQSAVATEPRMGASIVRLFFHDCFVQGCDGSLLLDDTASFQGEKTATPNNGSVRGFEVIDAIKMAVENICPGVVSCADVLAIAARDSVVALGGPRWEVKVGRRDSTTASFSGANNNIPPPTSGLANLTSLFAAQGLSQKDMVALSGSHTIGQARCTNFRAHVYNDTNTDSGFAGTRQSGCPPNSGSGDNNLAPLDLQTPTAFENNYYKNLVAKKGLLHSDQELFNGVATDPLVQYYVSSQSAFFADFVTGMIKMGDISPLTGNNGEIRKNCRKSN >SECCE1Rv1G0062560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:720415309:720415620:1 gene:SECCE1Rv1G0062560 transcript:SECCE1Rv1G0062560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGGMLAAAILKVVGEQIGSVIGGQIALRMNFDEDLNKMKMALEGVDALLEDAERRSITDRSTRLWLKRLKDFMYEISDMIDEFEADTQAIIQPSARKVRT >SECCE6Rv1G0397260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:387440358:387442609:-1 gene:SECCE6Rv1G0397260 transcript:SECCE6Rv1G0397260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIC1 [Source:Projected from Arabidopsis thaliana (AT2G22570) UniProtKB/TrEMBL;Acc:A0A178VQ19] MGSEANGGAAAIDLLRSAAPFLPDADLLLTPGTGLVLVDLVNGFCTVGAGNLAPVTPNKQIEKMVEESARLAKVFCQRNWPVFAFLDTHYPDKPEPPFPPHCIIGSGEENFVPALEWLENDPNVTMRRKDCIDGYLASFEKDGSNVFGEWVAKFQIKTVLVVGICTDYCVLDFVSSTLAARNIGRVPPLEDVVIYSEGCATFDLPVEVARSIKGALAHPQDLMHHMGLYMAKSRGAKLVDRIILEE >SECCE7Rv1G0472130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:137473668:137480108:1 gene:SECCE7Rv1G0472130 transcript:SECCE7Rv1G0472130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RIK [Source:Projected from Arabidopsis thaliana (AT3G29390) UniProtKB/Swiss-Prot;Acc:Q9LIA4] MTEERISRASDEPTTATKQRKKRKWDQPAEDLVAAAAAAAAAAGLPVLNVGALAGVQFPGTTAYPAAPYALPPQLAPSVLQSAAAAIQKLSQAKLPDELIAREVVINDADPSVRYKLTKRQTQDEIQRCTCTVIITRGKYHPPNGQPDGEKPLYLHISAGSQLKDTAERIKAVDLAASMIEDILKHGQMPEATSANFPSIQSNRQAVPFSASIFLGFDADPSLNVAARIRGPNDQYINHIMNETGVTVVLRGKDSGNLGNCHAEASQQPLHMHLSGVHLKSLEAAKVLAENLLDTIAAELGASRISSSKVYGAVPPPQQLLTGVHTSGTSDICVSTGASHSFAPTGVTSPIAAPSVTLQCGAPTYSGIPPPSNLIYPSQAANPGAFYNGYGDIYPQATPLQQVALTLKLSSSSTTQVVPVASTSASMTTNVNTSTKLEADKRSQRRKFQELPTVDLQNSQQGSKFVKTGLDDLGKMNSSWVTPTMKVQPGSNRILPQDPHLSHPSVYTSMPPPPPQPKNMSMPSVYTSMPPPPPPPKNMSMPYPNNMPPPQPRSMPPPPPKFPSNEVLRNENRHSALKEPLAPLRSLDASSAAPPSKEAKEEKPSSASVSDTLLKLMDYGDDGDDEEED >SECCE2Rv1G0077150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:97273847:97275087:-1 gene:SECCE2Rv1G0077150 transcript:SECCE2Rv1G0077150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLRGCLAPVNAAAPAFRRGETTSLLSHRRPSRFGAVVASAAASSPSGGDGATAAVDAVLRGSDGSKAKARDYGGTNGAVVSGTARSTAIETTVERIIFDFRFLALLAVAGSLAGSLLCFLNGCVYIKEAYCVYWTSCAKGVHTGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNASNDLPSGSDRALQGSSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKITTGLDLLSYSVCIFLSSASLYILHNLHRPEHEESVMPHL >SECCEUnv1G0566250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:402582871:402583575:-1 gene:SECCEUnv1G0566250 transcript:SECCEUnv1G0566250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSTSLPSRPHVSETEVEQELQSLEASISSSNSISTVCDGLRSLANIYDALEEIICLPSNQVCSSQQRNILDEEMEGSLELLDLCSSMQEIFVEIKAIIQELQVALRKGDDAATQAKIQSYTRLVKKAKNLFKKNTKKTPADCKMVMLLTKARDSSASLLESTLRLLSKQIEMPKQSLVSKAFHKKKAVVCKEEQLSELECSIGDLESGAGHLFRKLVQSRVSLLNILSS >SECCE2Rv1G0116690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:766567809:766569218:1 gene:SECCE2Rv1G0116690 transcript:SECCE2Rv1G0116690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAMEAAPAPVAIVAVPFPAQGHLNQMLHLSLLLASRGLDVHYAAPAPHVRQARARVQGWDARAVGSLHFATLDIPPYATPPPDPDSPVAFPGHLQPLFDAFCDHAAAPLGRLLEELAAEHRRVVVVHDNLMAFPASEASRLPNGVAYGLQCVGQSFGTGFKDPAHRLVRALGLPVPSPETFLTQEFMGLVERQGGLGVPGAGLLLNSCRALEGEFIDAEAETLSIDGKKLFTIGPLNPLLELEATMPAPSVQPRHECMDWLDKQPTSSVLYLCFGTMTSLPGKQIEELAGALQSSEQRFIWVLRDADRADIFAEAGESRHAKLMSAFTKRTEGRGLVITGWAPQLEILAHGATAAFVSHCGWNSLLEGLSHGKPILAWPMHSDQPWNAGYVCGHLKAGIVMRPWERSQETLPAKEIQEVIKRAMDSDEGIAVRGAAKALAGDVRAAVASGGSSWADMEEFIARITK >SECCE5Rv1G0373580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:859616922:859618607:-1 gene:SECCE5Rv1G0373580 transcript:SECCE5Rv1G0373580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSFAVAAALLLCILAAQGHGCCAKHSSGKKSHSHHAPPHAHAAPPPSSPPASGSPSYGYGSPPPPAAHPPPYGYGAPPPAAMPAPPAANSSSNSTNVDAGGWLDARATWYGAPKGAGPDDNGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVSAGHPACSGVPETVIITDMNYYPVSRFHFDLSGTAFGAMAKDGRNDELRHAGIIDMQFRRVPCQYPGLTVTFHVQHGSNPYYLAILVEYENGDGDVDQVDIMQSRPDAAAGEGGLAPTGEWVPMTESWGSIWRMDTRRPMQGPFSLRITNESGKTLVADQVIPADWEPNEIYSSIIQFD >SECCE3Rv1G0186470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:685293490:685301447:-1 gene:SECCE3Rv1G0186470 transcript:SECCE3Rv1G0186470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLEATRAAHEDLERLERLAVRELQRDPVNARDRLFQSHRVRHMLDLVVSTSDKLVDIYEDKDGARKDEISTHLTAPVQSDIFPKYYERLKEIRDYHRRNHSARFVSETDDYEELLKEEPAIEFTGEEAFGRYLDLHELYNEFINSKFGSLMEYSAYVGTFAQTEKIAHNLKATRPYKEYLEHILEYLMSFLYRTEPLQDIEKIFTKLESEFEEQWTNGEVPGWENKGTEKDSVLQESTVDLDYYSTVEELVELGPEKLKEALTARGLKGGGTVQQRAERLFLLKHTPLEKLDRKHFAKGDDLKKEIALIEVKLKRLCEILDEVIVRTKENAEKKLTLTYEEMEAEREEEEVQADSESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIKEATALWERIQAKQGQNKWRPDLEEEYEDKDGNIYNKKTYTDLQRQGLI >SECCE7Rv1G0473220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:155325379:155326068:-1 gene:SECCE7Rv1G0473220 transcript:SECCE7Rv1G0473220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVALSSFAGAAVIGRSASWSPAVPRRRILLVRAQTEPDAEPTKETTTSASISSPSPTPSPNPSPVAPKPKSKANPSVWDALAFSGPAPERINGRLAMVGFVAALSVEAARGGGLLDQAGSGAGLGWFLTTAAVFSVASLVPLLQGQSVESKSSGVWTADAELWNGRFAMLGLVALAVTEFITGTPFVNV >SECCE2Rv1G0070040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:32800721:32801671:1 gene:SECCE2Rv1G0070040 transcript:SECCE2Rv1G0070040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRALAESLPCLAFHHGADRPTVIFDVSEKKPIAGYIDELENKEICPATHGFMLARCPASMSTFLWSPQSCGKIELPPFPIEQGLFINCICLLSNKPTASNCVVLLVEPYATFIWYCHIGDDQWRKHDYDIGTQPLLDLQSEEKEVITPIAACGGKFYFNSTQIDLGVLDFCPAPVFSSIKINDEVDDIYGVEQAPAQVFLVESDGELYMVSLLWVTSKTICGARVHRMDFSKHRWRKVYDVGDRAFLLSLFNSGALCSVGESGLRQNCIYMANPWEQTLHVFSVKDGSMETQELDDAPSSDKAFWMLPANNID >SECCE5Rv1G0347480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666918847:666922558:-1 gene:SECCE5Rv1G0347480 transcript:SECCE5Rv1G0347480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSALTCYTAALILLFLPLRASEDRLIPGELLSPGATIVSDDGAFALGFFSPSNSTPAILYLGIWYNGIPELTVVWVANREIPLTNTTSSAPTLSLTKTSNLILSDISSGRVVWMTDVAAASSSVAAAAVLENTGNLVVRSPNGTMLWQSFDDLTDTFLPESKIRISYATRGTGIRLVSWKGPDDPSAGRFSYGGDPDTLLQLFLWDGARPVARSGPWTGYLVKGEHQYQQVNGSMSVIIYMAIVDNEEEIYATYTVSAGAPRTRYVVTYSGDYQLQSWSTSSSSWVILANWPSPECNRYGFCGLNGYCDQTATPIPTCKCLDGFEPASTDEWTAGRFSAGCRRKEALHGCGDGFLALMEMKAPDKFMFAGGNMGTMEECAAHCSRNCSCVAYAFANLGSGRSGGNVTRCLVWAGELIDTGKFGEGLGSTTLYLRLAGLGKKTKSTAAIIVLAVLGTAVAALLCIFVAWLKLKGKNTKWRKHKMHTFDGISTSYELGEGNSPHDHEFPFVSFEEISLATNNFSETCMIGQGGFGKVYKVLLGGQEVAVKRLSSDSQQGTKEFKNEVILIAKLQHRNLVRLLGCCGEGDEKLLIYEYLPNKSLDATLFDDSRRMMLDWTTRFHIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDVEMKPKIADFGMARIVGDNQLNASTQRVVGTYGYMAPEYAMEGVFSTKSDVYSFGVLVLEVVTGIKRSSNSHIMGFPSLIVYSWNMWMEGKTEELVDSYTMDTCSLDEVLICIHVALLCVQDNPDDRPLMSSVVFILENGSTTLPGPTCPAYFTRRSAEMEQIGDDIQNSGTSFTLTEIDGR >SECCE6Rv1G0445770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:841093956:841104560:1 gene:SECCE6Rv1G0445770 transcript:SECCE6Rv1G0445770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRSGGANNEGAGDTSARKGSAATNAKDPKSASTSTSSASGRESRSPSTRETSDEQKPNLRRSNRETRGKNPVGTATVSSASTPVSQKSTRGRSNPSTPDTPKSSPKKLKGPTMQASTPRTSDRIKKSAVSASTASNDSNGVPSPVATPDKTVEGEIDEHNSAKHKHDASESGTRSLKKQKRLTAKSYTSLFKTSEEYEESPGYEGNASKVDAEDNGSVLRYEESGAHEEDDKAHLSQVVNNCLEGYTSGQCEPPEVILETDGLKTDVEKSAQCEPPEVILETDGLKTDVEKSAQCEPPEVILETDGLKTDVEKSAPISEAHMTADLCSQNILAESSPAMDAREPTDDCSEFALHTAMGRKADSSKFVEYWVPACLSRVQLEMYCYTLLSNSPALRSHSKTDNVGALRNILISLRKCCDHPYLVDAMLQTSLTKGHPLTDILDIGVRASGKLLLLDKMLREIKGKGQRVLILTQSCGGAGNPMGDILDDFVGQRYGFESYERVERGLAVSKKQGAMSMFNDTTKGRFIFLIDSRACLPSIKLSSVDVIIIYCSDWNPTNDLRVLQRISIESQSECVPIFRLYSSCTLEEKALILAKHDHNHHILDSNGQNITPILSHSLLSWGASFQFSRLEELKNDAYLSNDFGAEKLFMDKVLREYLMMLSTKNDPSTEMSNAAISQARLNGPFYSRDTVVVGERGGISAPDSDLPKFWVNLLDGKSPRWQYITEPAQRSRRKIQNMEEGKIPADEASTKRRKIAATLDSSANVLAVQDKDSILPEINTTSSRHQISVDHTWQEQGVEHLQDTQKGLHIKLKPELSKLYELLELPGSVQCLCDELLHYTLKNHQVSVEPKHILHAFNIALCWRAASLSRHQVNHRESLALAEKHLKYECSETLAELVYNKLRILKKEFSHRVHRTGENNQSISVKNISPYQQQTSTKYGNGKSIPEQAASVAGNESHQEDSHDLVIEAIVPGEKEVNELLSVPEIHAKQHLSKDVLLNRIREKRINLVDMVFSLREKNIHDKQANEVAVFDMHRHKGVVKLRESCRIVVEHLRRSQADPEDRGGQAKLIVEWFTVLLYAFLKHMRYQREKLDSQQSKVWIKELQLKEDFLDKAKSGQLDHTFDQRICLPDSGFAIEEFSHFSSCVDTATLANCPQSLHGTSAMEVTLVRGVIPSDVINAEAERNGSAEVFIHSEGRLASEGIGLTENMISNSFDCIDSQGGASLSVQHQLNSSPAIDNSTDQESSSGDRRRTEHVEQQSGVGSQPLPGETDQRLGDAELEVNTGNGDTTQADPAESQTLAPVPSQASLQISKEVEAEANLVMLSAEPIVAPAPLLQREAEQVDRSVITPAQTLQPEMQPSASREVCTPTDPIIQSAQPSMVPAEPSQRDVEQSSLARVPSSQCLPSGMHPSVPLSSIPLERTSSGQCQPSHQPEAAPSSSAQIFLGASMMFNHPPVGDEPLKNELHRLRVYIDSLKKAHELKQSQLMTECSQEIEKVKQKYSSLLEENDSTHLQQRKTLDNLCEKVILNQSLADDFRAKFISSSGAQARAHSPPNHQRPHASQQVPPLPSSSQPTRLLSPVSSVPNPVLPLTSPRGKLGLRNDPPGVAARVDVVCLSDDEEND >SECCE2Rv1G0064580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4403988:4410343:1 gene:SECCE2Rv1G0064580 transcript:SECCE2Rv1G0064580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAPARAGGGGGRLLPVLLLAAAAALAPRRARAVTDASDVTAINGLYVSLGSPSLPGWIPNGGDPCGEVWQGITCTGTGITSIKMNVANLGGQLSSLGNFTSITTIDLSNNNIGGSIPEDLPLTLQNLFLSANQLTGSIPSSLSKLTGLSAMSLNVNHLDGELPDAFDSLAGLINLDISENNFTGVLPPSMKNLSSLTTLRIQNNQLSGTLDNLQDLPIKDLNVENNLFSGPVPPKLENIPTFKKDGNPFNTTIAPSASPPSASPPSSIGPAPTPTPAGPKQAPTPTTTPTDLSPTRAPSPPSPPSKSPPPSKSSDGSTTRDSTSSSQKHNSSKKLKIAGFVLLVIVLFISIVLLVIFCLSKYQERRSRYDYNRSQLGRVHHRVEPHNMPASVQKKDDTKKGPGEALEKRSRESSLAAAALPKKPAETRKEHIINLDRTDSELSAVAPPPPPPPPAEKVLVQPKPIVAPERRYSPPPRTSTPTSATPYSVASLQQYTSNFREQNVIRESRLGKVFLAELPEGKLLEVMKIDNPNGRVSVDDFLELVALISEIKHPNTLELVGYCAEYGQRLLVYNHFSRKTLDDALHDREEIDIDLSWNARLQVALSSGKALEYLHESFQPPIVHQNFEPANVLLDDKLSVCVAECGLAELMPSSSVTQLSGRLRTLLNYDAPEFQESGIISERGDVYSFGVVMLELLTGRKPYDSSRPRHEQHLVRWAGCQLHDIESLSKMVDPSIQGQCSEKVLSRFADIISRCIQREPEFRPPVSAVVQDITSIVNASREESE >SECCE5Rv1G0308410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:130022255:130023019:1 gene:SECCE5Rv1G0308410 transcript:SECCE5Rv1G0308410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKAAKSKSSSKSKKHHHQGDGDASKKATKAKPEATAMPSPAPAPSLDAHFKPCADVAGLRFGAQLVTRALTVRRAGPLELPHLLRVVVPDAGAGQNTKGKGAQPLSFAPTTTAYIPTNFAILAHHAWHTLTLGLGTKNSKAAVFVFESAAMKAAADAAWPQVLPLGDVGKRLLRAAPGAPEMARFKFRKGCVTFYVYAVRTARARGFARADELRAVIEAVAKLKDFLDHTAMLALPGQRSIDAAAPVGVVH >SECCE3Rv1G0197400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:817877515:817878267:-1 gene:SECCE3Rv1G0197400 transcript:SECCE3Rv1G0197400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGVWDMELGSLDTASLLMLLAQQHQHQQRGVAEAPRTMGGRMFECKTCNRQFPTFQALGGHRASHKRPRLHHPPPQHALVRADDDAALCLGRRAPQALPQPARPRSHECPVCGLQFAVGQALGGHMRRHRVEAEGEAGANSSSSKATAATEMVVASCDDGGICLDLNLTPSENCAKCRSAAGLVAATGQALGGHMRRHRAEGEAEAHATSGKDFRDELGRRQIYFPLPLDLCGRLEDTDISVSIY >SECCE7Rv1G0514700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:836100769:836101092:1 gene:SECCE7Rv1G0514700 transcript:SECCE7Rv1G0514700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSSKATTKVDVHVRELEQKLERSELQAEVMQEELAAMKMKSEESEAARDKELELLRKKSQE >SECCE6Rv1G0379140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:12288182:12288706:-1 gene:SECCE6Rv1G0379140 transcript:SECCE6Rv1G0379140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPCAASVLLLLLALAVATVADEVKKSIASEPKSIFPRPSGVIFPRPAAKGIIASEPTIPRTAELDVSATCIGSLLELSPCLAFFRDAGTSKAPAGCCKGLGSIVRDQPACLCHIFNHTLERAIGVGIPVNRALALIRNVCSLTLPQGLMSSCGDNGGVPPLYVCPAPSA >SECCE6Rv1G0400770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:457421864:457423828:1 gene:SECCE6Rv1G0400770 transcript:SECCE6Rv1G0400770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEAKKAVPAAAPEAVAQKDVAVVIPPPPGARPPADDSKALVVVDSKAAEKPHPEKNAHRGSHERDVALAKVETEKMGSLIKAWVENEKAKAENKAAKKLSSILSWENTKKATIDAQLKRKEEELEKKKAEYAEKMKNKKAIAHREAEEKRAMVVARRGEEVLKAEEMAAKYRATGLAPKKLLGCFGA >SECCEUnv1G0535760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:59929904:59932524:1 gene:SECCEUnv1G0535760 transcript:SECCEUnv1G0535760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEQPLTEYEKQRLARIRENEARLEALGIRRLAASPLLNQPSSAAAAAAATKTKKKKRSDDADEEYLPSDGGGGEEEDGEESSSASDQDSKEEFKASSRSNQKGKAKKKMNLGSSSKSTVNEEGAPFTDFMDDDAALQQAIALSLEESSKSSVTTEPSKSPVRTATAAETSSRGAKAQKSTPRKNDNTTPVKDSAKNRKTKKHVRSRIQLSEDDVVTIFFSFDEAGKGYIAPWDLEKMANINDFIWTDFELSKMIHCFDSDKDGKISLEEFRTIVSRCNMLQEPGE >SECCEUnv1G0539630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:76278157:76280310:-1 gene:SECCEUnv1G0539630 transcript:SECCEUnv1G0539630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLVPVGRRISLLEKNIKDRYRTGHIGTEDALHLFDELLPDARPSSIAAINSLLTAVGRDCPALGVSLFNRVARSKVAPYSITYGILVDCCCRAGRLDLGHTAMGHVIKLGFTADAIVTFSHLLKAICTEKKTSYAMDIVLRIMPMFNCVPNIFSYNILFKGLCNEKRSHEALELIQIMVEDGGSCQPDVVTYSTVIDGLLKEGLVGKAYTLFSEMLQREISPNVVTYNSIIAGMCKLHAMDKAQEVLQQMFDRGILPDTTTYNSLIHGYYSLGQCEEVDRIFEEMSRHGVQPDIVTYSMQMDYLCKSGRSAEARKIFDSMISLGQKPTVTTYNILLHGYALEKSFHDMYCLIDLMVGNGISPDHYVYNILISAYAKEEMVGEVMHIFTKMRRQGLNPDVVSYGAVIDLLSRIGRMDDAISQFNQMITEGLAPGITVFTPLISGFCTCGKWEKVPELFSEMLDRGICPNTVFINTIMDRLCKNERVMEAQDLFDLMVHMGVKPDVRTYNTLIGGYLFDGKMDEVRKLLDNMVSIGLKPDVITYTILIDGYSKNGRIDDALVVSREMLSGKVKPCVITFNIMIGALLKCGRTEEAKDLFDGIWANGLVPDIITYSLMIQKLIEEGSLEESDDLFLSMEKNGCAANSCMLNAIVRSLLRKGEVPRAGTYLSKIDERRFTLEASTTSLLTALASGRKSQEYKGLLPEKYHSFLEHGTD >SECCE4Rv1G0228730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:138861684:138862349:-1 gene:SECCE4Rv1G0228730 transcript:SECCE4Rv1G0228730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNDTKLAVAELGPTPAPETVKLCTICVATATHDAMALPSQQGQWLRAAVLGASDGLVSTSALMLGIGAARPADPRAALLSGVAGLVAGACSMAIGEYVSVHAQLDVELAGLKRLEEARGCPADRAGLPNPCHASAASAMSFAAGAAIPLLAAWFVTSYRVRVAVVVVTATLTLAVFGALGAVQGRAPGGRAGLRAALGGLVAMGVTYGLMKLFRTHSV >SECCE1Rv1G0058210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:698251862:698254174:-1 gene:SECCE1Rv1G0058210 transcript:SECCE1Rv1G0058210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLRLAIPTAAPCPVPLRTARAARNAGFSPVPARTTAALRGCAALPLRPQPLARPGRSRGSGIVCCASAYLSPPTTQWVSVAAAAVLLLAKGTGIHKSFLVPLFVLQAPTAVISWIKSEYGLWTAFLALAVRLFLPFPGELELPLSTMLAVSVAPFQVMNVRGTQGGAIVSLALAAYLAFQHFTRTGGIGKAFDQGSIVATMAIICIAVINVILLF >SECCEUnv1G0562590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:367196241:367200990:-1 gene:SECCEUnv1G0562590 transcript:SECCEUnv1G0562590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSCCEERERPRKSFAPLHANAGFAAGVLFALLVFFLVQQQTSISVATTAAVAQWNTVKQLIRAPGETQLIKAPGETLVTSDVHRVDDKRPIPDSDNGKVVCTTEDHSRGLSGTCEVEGDVRTNGTALSVSLVPASWSEHHEWMISPYTRIGQSLRAVTVTQLQDRVAAPPCTVTHTMPAILFGIGGYVGNYWHEYADILVPLFVAARRYHGEVTFLVSNIQQMPQWLVKYRALLQGLSKYVVVDMDRDAYVRCFPRISVGLRLDKDLSIVPELVPGGRLTMADFTRFVRETYALPRGAVTMEPYKKPRLLLIQRATSRQFLNEPEIARAAEAVGFEVVVTELRHDGSEVEQAQVVNSFDVLLGVHGAGLTNAVHLPPGGVLIQVVPYGKMEPMARLDFSEPATDMGLKYLDYSVTAEESSLLEKLGPEHPAIKDPDSIHRSGWTALYEFYLMQNVRINTTRFTPTLEQAFNHLRKQ >SECCE7Rv1G0459340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:25315846:25317291:-1 gene:SECCE7Rv1G0459340 transcript:SECCE7Rv1G0459340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVLAFPWPLQGHINPMLHLASALLDAGLRVTFLHTEHNLRRLTRVPPHHPRLRLASIPDGLPDRHPRSVDGLMELVESMRTAGSAAYRALLLRMAEPDDDDDAVTCVVTDGVMPFAVSVAEELGVPALAFRTESACGFLAYLSVPRLLELGEMLVPSDEQVHGVPGMERFLRRRDLPRVVPLPPEPGNGDPAPVLLTIADTAARCGVSRALILNTAASMEGPAISRIAPHVRDVFAVGPLHARARPAAAEAEAEHVSEDDMSLSAWLDGHEDRSVVYVNLGSLTVVSSEQLAEFLHGLLAAGYAFLGVFRRDMLHQMASNSSVALREAVEAVAGAGSDRALVVEWALQRDAHHVLRHRAVGCFLTHGGWNSTLEAAVEGVPTVCWPFFADQQTNSRFVGAVWKTGLDMKDVCQRAVVERMVREAMESPEIRASAQSMARQLRLDVAEGGSSSSELERLVGLITELSAVKVSSPAPALT >SECCE6Rv1G0430090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739699171:739700334:1 gene:SECCE6Rv1G0430090 transcript:SECCE6Rv1G0430090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAARGATAPPPDGSLPDEIFIWEILVRLPPKSLLRCRAVCRAWRSATSTRRFLLAHHALQPTLPLLYGYNFHGDEVESLDIIPLDQRAGSGQLRPVARIDQASDFHHLEACCDGLLVLSFRNTGVRDWRFAVCNPATRQYAPVPLAYGSGVEYSLLGMYKHIPTGDYRLLMYRDSVSMHHRLASDAAQDGSYIFTLGSGQPPRHIGFLEGEVLTYTFAPLLFRGSLHWHPSGNMIMAFDTMTEVFQQMRAPVVPGRAKLFLMDEMLCVSGFNDAATTIDIWVAQDYEREVWACKYRVDFSVAELNVQFGKFDESSWVVAVPWDGDVLLLLNFGEWLLQVDLHGKLVATFHHKGLGPANLWLKQTLVQHTFFPALEGYVVNSPPFI >SECCE1Rv1G0043800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:596349726:596350955:-1 gene:SECCE1Rv1G0043800 transcript:SECCE1Rv1G0043800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAAGVLGRVDFDVIVVGAGIMGSCAAHAAACRGARVLLLERFDLLHHLGSSHGHSRTIRDAYPKARYPPMVRLARRLWGDAQADAGYRVLTPSPHLAMGPRSNAALLVAVENGGAAEVDVAGRWGGGVFRVPDGWVTAVSELGGGVLDATKAVAMFQALAAKKGAVVRDNKEVVGIVKKDGGAGVRVTTSDGEEFHGAKCMVTVGAWTSKLVRSVAGLELPIQPLHMLTLYWKITPGHERELTAAAGFPTFSSYGDPHVYSTPSLELPGLIKINYDGGPPCDPNSRDWVSGGGDAADRVARWIEEFMPGHVVTVDGPVVRQSCMYSMTPDKDFVIDFLGGEFGQDVVLGAGFSGHGFKMGPAVGMILAELAIDGEARIAAEAGVELRHFKINRFKGNPTGNSKDD >SECCE5Rv1G0362150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:783820932:783822909:1 gene:SECCE5Rv1G0362150 transcript:SECCE5Rv1G0362150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMGGMAVVMALALAGMVATSSAAVYQVGGSSGWTILGNVNYADWAGKQTFKVGDVIEFKYPQGIHNVLEVNKADYSSCTNSTPIATHTSGDDKVTIKSPGHRFFICGVPGHCAAGQKLNVRVLKTQKKPRSSDAPSPAPAATAPAPAAASPRAGDTSGSSASTPPAAATSSDGGAATTAPAPNSNGAGVVGTGYALALAVAASMAMLQ >SECCEUnv1G0562350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:365404262:365406461:1 gene:SECCEUnv1G0562350 transcript:SECCEUnv1G0562350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLCSKEKSRFAWEDVLNDDIWSVSPMSDELNYAIYLSYQDLSPYLKQCFLHLSLKPKKVVLPVNEIVSMWICEGLVQGGSNSLEEEGKKNYKELILRNLIEIDPRFPSQLICNMHDVIRSFAQYMARDETLVAHNGDAAKRALRSSSFLRLSIETKGVGSDEVEWRYLRDQKLLRTLIFTSNLKIEPGDSLINFPSLRLLHIESASIAALLECVHQLKHLRYLTLKRTDMCRLPENIHEMKFLQHICLEDCESFVKLPDGIIKLQGLRFLDVEGTCVNSIPRGFQALTNLRLLSGFPAYIDGDWCSLEELGSLSQPNYLSLESLENVSSALLAAKARVNGKKQLTYLGLKCGGRVGDVLVQGEVSDSEEQIIEAVFDVLCPQPCIEQINIERYFGRRLPEWMTSTAMVPLESLKLLVLENLPCCTELPDGLCKLPHLEWLKVDSAPVIKCIGPEFVQQYNQLHRPSSQFAATFPKLQRLHFDGMEEWGEWVWETEVKAMPLLEELRIISCRLGCMPPGLMSHAVALRKLQIWNVQRLHSLENFVSVVELDLYNIPELDMIANLRKLQKLEISYCPKLKTLKEMDALRRLQLTVPFWENQLPFYLKTVRPTDLLLTCNLAVLTSMAEGESSSEWDKFSHIKQVEAYAEDGENEKKWHVLYTSESRNIQTNIHQDRLVEEED >SECCE5Rv1G0320490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:389254159:389256778:1 gene:SECCE5Rv1G0320490 transcript:SECCE5Rv1G0320490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSGSGVADDGSYEYEEESVQNARGMKLFTCRWLPPKGQTVKAHVFICHGYAVECSVTMRGTGVRLAQAGYAVYGVDYEGHGKSEGLQGYIPSFDLLVSDTDAFFAAVVASTANTDLPRFLLGESMGGAVALLLHRMRPSYWTGAVLVAPMCKIADEMRPHPVVVSVLKLMTNIIPTWKIVPTNDVIDAAYKMQEKRDEIRNNPHCYQGKPRLKTAYELLKVSLNLENNVLSKVSLPFLIVHGGDDKVTDPSVSDLLYRSAVSQDKKLNLYPGMWHALTSGESPENIHTVFQDIIAWLDQRSSPKSSSAAATLDVSSEMEQKAKHDEQNFDKQ >SECCEUnv1G0565040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:389370719:389372713:-1 gene:SECCEUnv1G0565040 transcript:SECCEUnv1G0565040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGAVQWWDDWHLRILVLGSLFIQYFLFFSSLVRRRALPAWFRLFMWLAYLGGDALAIYSLATLFNRHRQPPGDGSGSGSGLEVVWAPVLLIHLGGQHTMTAYSIQDNELWVRHAITVASQITVALYVFCKSWSGDKKLLQAAILMFVVGIIRSCQKPWALQSASISAMVAYLSPSALRKQGMVARFWQVCTSGYSYGSKSSGLPEAAAEEKDIYLQEFAQEARKCVLESELAHDQDATVKLAQHCLVDKYVYRLLADIPVPYSSRIKILREFIALDFRHAHHILDMMLLSSFEHFYTRKTMLNSGIGLCSYLLLPFLALASVILFSIGHKYYHGYNATDVKVTYILFSCTTLLEVIILLLDPFISFTESVKVSQHSLLLCGYRAKRPTVLMKLATLVSCKDYVNMHCYIEQAPPGCSALILQFVHGYVRDGWKDYIHNAPSFRNFNGRKGHWTLRKRSLPHLRWSLNMAFDRSVLLWHITTDLLCYDYTTAGNIPECATGSAVISRYMAYLLCIHPEMLMPGTRNSIFNVACHNVELILGDKLPLGNLVHNAVRLQKELLELQDEGERWEVIQGVWVEMLCYSACKCRGYLHAQKMSDGVELLSRVWLLLSNMGMETFADRFQKPWHVMIRKEAPNDNKEEEGHEDGADGDVDNEINISIV >SECCE5Rv1G0310440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:169764445:169764756:-1 gene:SECCE5Rv1G0310440 transcript:SECCE5Rv1G0310440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKMASERGVVIFTLNSCCMCHTVTRLFTDLGVNALVHELDHDPKGKEMERALLKLVGKGPPVPAVFIGGKLVGGTNKVMSLHLGGELVPMIRNAGALWL >SECCE1Rv1G0037250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:520604343:520605838:-1 gene:SECCE1Rv1G0037250 transcript:SECCE1Rv1G0037250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQGGGEGETLMQRCKPYVAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATLSIAPFALFLERGKARPPRMTPLVLLNIFLLALMGPVIDQNFYYAGLKYTSPTFSCAMSNMLPAMTFVMAVIFRMEKVDLKKARCMAKVAGTLVTVAGAMLMTLYKGRAVEMIWSRHAHLPGPHQDAAAAAKDWFKGSIFLIIATLAWASLFILQGPTLTRYNAPLTLTMLICFVGTLQATVVTLAMEHTTDVWKIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQSRGPVFASAFSPLMMIVVAIMGSFILAENIYLGGIIGSVLIVAGLYSVLWGKHKESVEKKEIEATEIPVAIKGVEGNGRIMDMVELDEVELEKAQANGKAVTISVPAGAGEAGMQRDDEN >SECCE5Rv1G0329290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:514799516:514804345:1 gene:SECCE5Rv1G0329290 transcript:SECCE5Rv1G0329290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRRGPATDDPPPHGEAMLVMRDALLWQIQKDRLRQEIIVAELAKIERAMALRAVSGHHSTPMPRDSMPQHKGPIFGWEHYADMGEENDVKLPSNYGRQSAEPRFWNPIAGDRAEKCWNPCKCRVNSGEHNSAFDEQKLRDSRENVPPNKASPAEKWELTGVSIPVKMPKPPMSPRKRKKPPMRWSCPVCQVQAKSARKHCAGKKHQSNIATLESNIKAIGYRKPPLAGCSICQVMCGSESDLEIHLKGKRHLKKIQALFEESNNKAINSESLKANLNTDGGMLHVEKMNCGLDSENHLRDERHQLNVRTLCETINQEENSPPEISKEQTPSSEWDCAMCQAKCVSKAHFENHCISRKHQQRTQVILSEGDITKTGRTHVILSKGDVTKMGNLHMEASCKEGSNNDMTKNIAVQEAKSHEGNVPEHAEKPPSAQSCNICQGICNCAPDFDMPQHVEDISCKLNWESYPRLRDQSPQLMDDCALREKINQGKSNPPEVSKDQISSSEWDCATCQAKCNSEPQTDHHCKSGKHQQKIDVTLHEGDIAEVSSLNAALRKEDNYSHMDVAPWEAKSNECIVSQHVEKPPSVWSCSDCQVICARESDFEVHLKRERHLMKIGALLEESKNMAISESQKANLYPDSVPRHAEKMICELDLENHHGDERHQLNVCSPCKENNQMKNNPPEIVNDQEPPSEWDCAMCQEKCNSESQIEHHCESRRNQQKTDVILREGDIAIVNSLHIAASYKEGDNNSTGITPQEAKSDENNVQQHAEKPPPAWDCSYCQVTCNRESDLVFHLNGKRHLKKFRALLEESQNKAMNSESQTANLNYDSVPQHVEKTNCELNWESYLRLGDEKHQLNVQALGEAINQDKNSPAKKDQIPSSEWDCAMRQAKCNSKIHFKHHCTSRKHQQKTQVLLGEGGIAKVSSLGMEASCKEGSNNDMVNNVVSQDTKLHEKNVPQHAEKPPLVGCSICRVICGRESDLEIHLKGKRHLKKIQAPFEESNKAINSESLKANLDPDSGPLHVKEMNYDLDSENNLRGERRQEENSPPEISQDQTPSSEWDCVMCQAKCISKAHFENHFISRKHQQRTQVILSEGGGITKKGRTHVVLSEGDIMKMGNLHMEASCKEGSNSDMAKNFASQEVKSPERNVPEHESPSVQSCSTCQGICNCESDLDIHLMSTRIRAAAEKCKKTAMSSESQKAKLSPNDVPQHVGETSCDLNWESYPRLGDERLQPMDDRALLEKTSHDKNNPPEVAKDQTPSSEWDCAICQAKCNSKAQFENHCMSRKHQRKAQVMLARGDLS >SECCE4Rv1G0294410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:890664018:890664758:-1 gene:SECCE4Rv1G0294410 transcript:SECCE4Rv1G0294410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYHKTATTDLEAPAPVPKVKAAAVVTRNPTSPIGPPSPEMESTAEALTREEVLRRRRRRAARLLASYRRLYWAMAEEVRARHRQYVWELGCSPLEAEQPLSEAKPGPAAAPRRKKCGVTGCKVRAMAMAKYCHYHILSDPNQVFYKGCGHIMIKSGAQTGKSTHNTPILKASVPSLCNFHLQKSQKNISQAYKKVGFNPPSTGQISPDFSVLVAESVRQIQAKRREFRSAAAGKKYPKDGKVN >SECCE7Rv1G0514820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:836972592:836978326:-1 gene:SECCE7Rv1G0514820 transcript:SECCE7Rv1G0514820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIELDLHTDLCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQSGDPTGTGSGGDSVYKYLYGDQARFFNDEIRPELRHSKTGTIAMASAGENCNASQFYITLRDDVDYLDDKHTVFGTVAEGLDTLTKINEAYVDDKGRPFKDIRIKHTYILDDPFDDPPQLAELIPENSPLGKPRDEVAEERLEDSWVPLDETVDPGQLEELIRSKEAHANAVILESVGDIPDAEVKPPDNVLFVCKLNPVTQDEDLYTIFSRFGSVTSAEIIRDFKTGDSLCYAFIEFEEKEACERAYFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNGNKDGCFKCGAPDHIARDCDQDGEQKPKAPNYVLKDDNTQRGGNNRRSYDFVFEDDTARRNTDRRKVQKVNDQRSQLPPRGDRDRNSSRERSQNDEKGGLQSKEGVVSRGGRRPDDHHSHDRSGGRSSDRHDDRDYSKQHSRSRNTDAAEEDYKRRSGAGRYDRDDKPNGERRHRDDDDRGKGDRHRRDERDRRARSPDADRHRREDTGHREASRHRERRHRDDR >SECCE5Rv1G0324890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:458660582:458664968:1 gene:SECCE5Rv1G0324890 transcript:SECCE5Rv1G0324890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATAAGAIAALLRAHRLPMLHSPFAGSLAAARSLGAHLPLRAPAAAAPLSSRLSLARLRSGASPRFFSSSCGAPGSAVSSSAAEHEEPRKSELIFLGTGTSEGVPRVSCLTHPSKTCPVCTKAAEPGNRNRRRNTSILLRHVTPSRTSNILIDAGKFFYHSALQWFPAFGLREIDAVIITHSHADAIGGLDCLRDWTNNIQPSIPIYVAQRDYEVMKMTHHYLIDTSTVIPGAAVSTLQFNIIKEEPFTVQNLEVIPLPVWHGQGYRSLGFRFGDVCYISDVSDIPDETYKLLEDCQLLILDALRPDRSSSTHFGLPRALEEVRKIKPKKTLFTGMMHLMDHEKVNDDLARLMETEGLDVQLSYDGLSIPVRL >SECCE1Rv1G0030110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:423773478:423776962:-1 gene:SECCE1Rv1G0030110 transcript:SECCE1Rv1G0030110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K9 demethylase, Floral organ developmen [Source: Projected from Oryza sativa (Os10g0577600)] MRLSAQKDASDNPAMAARSGGDALRTPANCGVRLHANNVTAGLPSTSSAQNNNPFAKRKVDKFNMSNLEWIDNIPECPVYCPTKEEFEDPIAYIQKISSVASKYGICKIVAPVSASVPAGVVLMKEQPGFKFMTRVQPLRLAEWAEDDTVTFFMSGRKYTFRDYERMANKMFSKKYSSASCLPARYVEEEFWREISSGKMDFVEYACDVDGSAFSSSSHDQLGKSNWNLKNFSRLPSSVLRLLQTPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFEKVASQYVYNKDILTGDGEDAAFDVLLGKTTMFPPNILLDHNVPVYKAVQKPGEFVITFPRSYHSGFSHGFNCGEAVNFAIGDWFPLGSLASKRYALLNRTPFLAHEELLCRSAVLLSHKLSDPKTINSEHPYTQYCVKSSFVRLMRLQRRTRSLLAKMGSQIYYKPKMYSNLSCSMCRRDCYVTHVSCGCTFDPICLHHEQELRSCSCKSDRIVYVREDILELEALYRKFEQDIRLDKETSADVSYKQAAISDIGVDHGPSVGTNQNISNSEANLLEANAADGVKSSPATSSLTSFAHRNGSLPAEPKVHAARTDQIWSITKHSIKTSSVEGNGGNSSCMADACNEISSCNASPMEYSGNSDSDSEIFRVKRRSSILGRSAPDTETTNFSEQKVLKRLKKASPETHDNKRPEEDSERTSVPSVSMRQNKSNSASSEEDREDMVPIAWRIKRRQLEAQQGDTSYAAQQLKVYPSTSSCSRQQFAEATKDAATEVRPKRVKIRLPRSAVNRLVEQQQQGSSGQRFAVDDKPPGFWHTV >SECCE4Rv1G0291950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:879915760:879917669:1 gene:SECCE4Rv1G0291950 transcript:SECCE4Rv1G0291950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSDISTVFELRVLEDMTNNFSAEKLVGSGGYGAVYKGQRDGKEIAVKKLHQLTGLDDKSFDNEFHTLSKIHHRNVVQLIGYCYESRRNYKKHNNETITAIVKERILCFEYMQGGSLDKHIADDMCDLDWPICYKIIRGTSDGLDHLHNAQDRPIFHLDLKPSNILLDMNLTPKIADLGLSRIVANTKTHHTERITIEGTFGYMPPEYIENSDISKKFDVFSLGGIIIRILDGNRCHSRYSSMGTQQFIQHVIACWEIKLQVASRDQSHKANIVQMKKCLEIGLRCVERDRIKRPTIKDIVSELEELEAEIKRMSLHSDESEDKVGQRRSGTGVVEVDPKALRFLFEPMKDLSTCLELNNLSNSFIAFRANTNQTKYRAQPNKGIMPPYSNCYISVTLIAMEKAPSKMQCNDLFIVQSVNVSEDLNPDEITDDFFNEGKAVDMVKLPVAYIQLDQFQRLG >SECCE2Rv1G0103150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:586861956:586862837:1 gene:SECCE2Rv1G0103150 transcript:SECCE2Rv1G0103150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYGRSGYGRSGAGDDYDNKTSNEGYDRREGGYNKSGADDYEHERSSGRGGYNKSTGDDEYSGAGYKKSGGDDYDTGYNKQSSNEDYGRNKSGSDDYDRSAGGYKKSGDGEYSSGGYKKSGGDDEYSGGGYKKSGGDDEYSGGDDEYSGGGYKKSGGDDTEYGSSRNETEKYRKEEKEHKHREHLGEIGTLASGAFAMYEKHEVKKDPENAHRHKIEEEVAAVAAVGSGGYAFHEHHEKKEYKESAEDGEDEESGRGEGKKKHHFFG >SECCE2Rv1G0114620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:737004326:737005171:-1 gene:SECCE2Rv1G0114620 transcript:SECCE2Rv1G0114620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSSTASSYSTSPRLTTGVVNFLARRAMTTQNHHRAAAAAAALHSPGSSTGSADTAPWHHRALATPPPLLPFDANDADEMLLLDMLSQHQQEEMHTATAPVPTTTAAMAVKREATEEEETKVSVGGSGRAFRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFDSPEAAALAYDQAAFAMRAGAAVLNFPADQVRRSLEGAGDDVCGRADGLSPVLALKRRHSMHRRASATRKARAVRAGRPEGVMELEDLGAEYLEELLGLSDDMTTSASNSWCSSHHSI >SECCE3Rv1G0211080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:947154641:947163654:-1 gene:SECCE3Rv1G0211080 transcript:SECCE3Rv1G0211080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein LUMINIDEPENDENS [Source:Projected from Arabidopsis thaliana (AT4G02560) UniProtKB/Swiss-Prot;Acc:Q38796] MELAPFKPAAGALVEWGGAGSIPAMVAAQQQQLHAQADQLQRLVVAQCRLTGVNPLAQEMAAGALSIKIGKKPRDLLNPKAVNSMQSLFAVKDTLGKRETREISALCGLTVTQVREFFASQRTRVRKAVRLSREKALKLEASKTALKLEASETALKLEASETALKLEASKTATNVCSLNTEQTPLDIETHAQVVEPLSMLEPLEMFQSSSQLAEAPQSSLQQPEVLQCTATPIPITPTGTIQPTDAKINPDSVQKETKQEEVAPGVESEDKKFLDSIFALMRKEETFSGQVKLMEWILQINNATILSWFLTMGGLTIVSTWLSQAATEEQTTVILVIFKVLLHLPLHKALPAHMSVVLQTINRLRFYRTQDISGRARNLLSRLSKVLVRSQASKKPQKDLICKQRISEILHDESWKSEVDITEEILALTEGASESRKPEPKKTPLLLTASAEESYKKSPVQTKSKERRKVQLVEHPNRKAAGKNAHSARSICTNNSRPLSADDIQKAKMRAMFMQEKYGKVDTSKVSDKPETTENKKPSGLVNANEPPMPRSPLTSTAKQPVEPSPSTSIQNAVPLSDNPEILATPKLNIAPRETPIEKLDSKRVHWQMPPEVWIDPSWSVSAGENSKELDVQAQRNRREKETFYASPKDIPSNPKDPWDLEMDFDDSLTPEIPIEQPPDADAMEVDSVGAAPPNIVVLGETQQVGSTSSSSLTVTAGANGAASEPDLELLAVLLKNPQLVFALSSNEVGNLPTEQTVALLDMLKQTGLGLSELVNSLPNGTGVPNEPEPGPETIPTSLPSPTPPKDLPASVGWRSDFPTHVRAPNLQQTHLPNNGNTPFASEVHQSFSNVVSQLPSQPYTSVSALPAHIQSNAPSLPPQSAVSVNSLTQYAAPVNNMFDRTSVHQNTQPYDPAAVAIHQQPAVNKPAHEFQNMSNSGLARSLTPEPNAAYPTFPWQSGAANVASTGRSTTPDQWADRITNSFNDSSASYLNQSAYSNQSLQSTYDAYGSSTSASSHGLNRNGYTQTSEYQMSGRNVRQRHSLSPEPGSARAYGGTQGYIPEVSKLVSNYGQQSYNPPVASTDWSSGQQSYTPAEPSREWSSGQQSYTPAEPSRDWSSLQQSYTSAESSRDRSSGQQGYTPAEPSRQWSSGQQSYTPAEPSRQWSSGQQVYTPAGPSNHRSSGQQGYTPAEPSRQWSSAQQGYTPSEPSSQQGYTPAEPSSRPWSTASQGGRGAGKQDYYTRSDGRSPYDQRRRRRWE >SECCE4Rv1G0280950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:824161514:824162830:1 gene:SECCE4Rv1G0280950 transcript:SECCE4Rv1G0280950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLSPAPSHVPPPAVSLPVVDLSLSRDEVRRAVLDAGKELGFFLVVNHGVPEHALRDMEAVCEEFFSLPALDVAPFYSDDRRKPNRLFSGTTFNTGGDKYWLDCLRLASNFPVGDSKNHWPDKPQSLREVVETFFLLTRGVGMELMRLLCEGMGLRSDYFEGPLSCGDTTISLNRYPRCSDSSPALGLPPHCDRNLITLLLPGVVPGLQISYNGDWIDVELVPNAFVVNFGLQLELMHQRFFSLAEAARSILSMGSVIIICWLVFAGRNQWDAEEHRAPGHD >SECCE3Rv1G0197240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:816947995:816948621:-1 gene:SECCE3Rv1G0197240 transcript:SECCE3Rv1G0197240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQDRAHILTGACIALTLLLTTVHTASGRRPTGHSPPPPPRGSGQTITLYTNGAAPPNAGGTLSSKHPAFTSQGPIGHPGSWLRALTRPGALRPGTVTVVGEQFHGKREFGLPLAGKLQGVLVTSLDDNSSRMVAVKALFTGDGAEDSIRFFGVHHNGQEESHVAVVGGTGRYGSASGFAVIRAGGVPETSRNVSFSRIISFSVHLK >SECCE3Rv1G0150190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31279365:31279975:-1 gene:SECCE3Rv1G0150190 transcript:SECCE3Rv1G0150190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKRCIVPSILLMLALQAALLVAGDVGAILLPSQGEVADEAAMAAKERPWKCCDKAFCTRSKPPICRCMDQVFECPSTCKTCGPSMADPSRRVCLDQYIGDPGPICRPWECCDSPVCTRSNPPTCRCADEVDKCAPTCKTCLPARSHPSRRVCIDSYFGPFPPACTPKVVAAGVN >SECCE7Rv1G0523560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882584795:882588804:1 gene:SECCE7Rv1G0523560 transcript:SECCE7Rv1G0523560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLGLGFPGPAGGMAGSGDDEDEEAMRSLYAGAGAAPAPAEEEEEIDEAYAVAAMKAGEERGVGKEGLRKRLVREGEGTQHPGAGDEVEVHYTGTLADGAKFDSSRDRDAPFRFTLGRGQVIKGWDLGIKTMKRGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVGLLSWASVKDICKDGSIFKKILAEGEKWENPKDRDEVFVKYEARLEDGTLVTKSDGVEFTVKEGHFCPAISKAVKTMKKNEKALLTVKPQYGFGEQGRPASRDEAAVPPNAMLHIDLQLVSWKTVTEIGNDKTILKKILQEGEGYDRPKDCSTVKVKLIGKLEDGTMFVKKGHDGEEPFEFKTDEDQVIEGLDKAVLSMKKGEVAFVTIPPEHAFGPDETKQDLAVVPPNTTVYYDVELVSFDKEKESWELKDNAEKIEAAAKKKDEGNVWFKMGKYSRASKRYGKALDFIEYESSFSEEEKQLSKPLKVSCKLNKAACKLKLKDYKEAKDLCTEVLEIDSTNVKALYRRAQAHMHLVDFDLAEVDIKRALEIDPENRDVKMGYRRLKEKVKEYERRDAKFYGNMINKLSKLEDDEGRGSSQGPSKKPRSLWPLAPFFTTDGSKLWLVLRLLIPVMILVALCVAYYVQSGVHEIDCVNC >SECCE3Rv1G0190760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:743491958:743495773:-1 gene:SECCE3Rv1G0190760 transcript:SECCE3Rv1G0190760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MQGISVCDSVVSPHGTSCRSACAARNDLRFCYKINPVSRGAYAWRWCAEKLHMGTSCRKMNTTVRTNARWFFGGDARNSNSDNNAAARLERSESANEDILIFYFQLDVQTRIQYALNIEQFDAAKQLREKLAEIETEVTRQREAKRGSSKNEAQDKSLNLLRARADLQNAIESENYALAAELRDTISKLEGDSLALSAKALAYQSVKYEFRLGQKVRHKVHGYRAVICGMDPVCCESKSWMETANVEKLSKGPNQPFYQVLVDVYADPELLVAYVAEENLSEAEESEKGRFEHPYTEFLFYGEDTARDFIPVKQLREKYDQPRYEASGDENDDDGTTNS >SECCE2Rv1G0134000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898982273:898982804:1 gene:SECCE2Rv1G0134000 transcript:SECCE2Rv1G0134000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHLVLLSGGCAIQVVLKLDLHDDRQKQKAMHAVSTLHGIDQIIMDMKDQKMTVVGTVDPVDLVERLRSKLFRTAQIVSVGPAKEDKKDSGAKKDDGKKEGEKKAGEKSTQVVYPPPYWYPPPPYHHAWCADEDPNSCIIS >SECCE4Rv1G0295530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898759022:898761076:-1 gene:SECCE4Rv1G0295530 transcript:SECCE4Rv1G0295530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSLQHPWAFAFGLLGNVISFMTYLAPLPTFYRIYRSKSTQGFQSVPYVVALFSAMLWIYYALLKSDELLLITINSTGCVIETIYIVMYLGYAPKQAKLFTAKILLLLNVGVFSLILLLTLLLAGGEKRIIMLGWVCVGFSVSVFVAPLSVIRLVVRTRSMEFMPFSLSLSLTVSAVVWFLYGLLIKDKYVALPNILGFAFGVIQMGLYALYCNATPKQAPKEVDDPMSDHGVVVKSPEHVVNIAMLSPAAGVELNTTVSAEPPLKRLGMACASNETKAVIVDKATHVEQV >SECCE5Rv1G0351440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:699405496:699407437:1 gene:SECCE5Rv1G0351440 transcript:SECCE5Rv1G0351440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G08780) UniProtKB/Swiss-Prot;Acc:Q9M4B5] MQQGGGTEAQVTWDDQQNINRFGRLNNRLHELADEIRLAKEANENLEDAGNELILSDEDVVRFQIGEVFAHMPVDDVEARLEQMKEDAAKKLERLEEEKESILAQMAELKKILYGKFGDAINLEED >SECCE7Rv1G0486360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:409239967:409246555:1 gene:SECCE7Rv1G0486360 transcript:SECCE7Rv1G0486360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPLASKAFPSPSPCKREQCNVDGHKSSSKHADLNPHANDVSGVSLSLPPRDLSPREPLDSSSSSTSMAMAAAASPSKILIPPHRASAATAAASTSCDPLRLLCAPRGRCQCPRGLVARPAPRRPFFFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPDWFQGTADAVRQYLWLFEEHNVMEYLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEERATAFGLMKIDEEGRIIEFAEKPKGEQLKAMMVDTTILGLDDARAKEMPYIASMGIYVISKHVMLQLLREQFPGANDFGSEVIPGATSTGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKKLLAEKGGIPIGIGKNSHIKRAIIDKNARIGDNVMIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTVI >SECCE6Rv1G0416600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649388021:649390194:1 gene:SECCE6Rv1G0416600 transcript:SECCE6Rv1G0416600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTQAISVTLLMSFLVLATRGKDVRYRRQEEDSTQILTYHGVNKTIQMEDGDVYDCIDVYKQPALNHPLLKDHKIQMKPSYIPVWMDTQTFPSDSFSQAEPSIIKCPTGTIPILRSNGSSTMATHNIDGLKNDMQWERAGLRYMGDVYGARASLNVWEPKVKGSQDSSALWISIETRGGRSADRVSAGLRVAPALSGDGFVRLHVSWFDGYSKKGCIDHSCPGYVQVHPHIGPGSRIQPPSVYGGDQRAADIQIFKEPKSNYWWVSYNNIPIGYWPGGLFEFIRYKGDFVFWGGQVEGPTASSNAPQMGSGHFASEGFGKAAFVRKIEIADDTVTFATPNKYLVEQGSSDTSKYTAGGFDISKDFGMTIFYGGPGSKRA >SECCE3Rv1G0157400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:81456448:81458391:-1 gene:SECCE3Rv1G0157400 transcript:SECCE3Rv1G0157400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSSSGGGAVALAEALPPSPAPASPPQLLTWPAPDPAPAPAGWSAVWALDDQQRRRLLRIWERGVAWKPPRQGDDGPEAPAVIFRLDHGGEVESDGNCLFTAARRAAAAKAEARELRHRAVRRFADVYAAAEASDRGAVDAAVRHLYAPDLKAGWGVHVVQEVKLLAPKADRDALDAAIQELVGIGIQRELAAETIYKERCIAVDDGDSWAKYMSISGSAEDEHDIITLQYTEEGLLTIDENRDGRAAAFGDDIAIECLATEFKREVFVVQAHGTDAMVDEDNCVFFLPHLPRGEICDVPIFLFMKGTAWCGAGADHYEPLIATVLQHVTPDKAAVVL >SECCE1Rv1G0051070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:651033309:651033989:-1 gene:SECCE1Rv1G0051070 transcript:SECCE1Rv1G0051070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAAPLLYQQQPQAQAAGDGCYFSPMSSYFSHGGISSSSSSPATSFSAALGATPPAAPAIAADPAAQFDVSEYLFDEGTFAASLPPVVAVPAVAAAGASSATAVTARSAAGSSAEAERPRTERIAFRTRTEIEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCSVKKRVERDRDDPAYVVTTYEGTHSHVSPSTVYYASQDAASGRFFVAGTHPPPGSLN >SECCE6Rv1G0420040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:674758034:674758555:1 gene:SECCE6Rv1G0420040 transcript:SECCE6Rv1G0420040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSTLVLYICICTTRTCAVQCRLVVFWVDPAASKRGAETARRMATKTALMALVLLVLVAGDLVHGHDVPPSFRSARRSLGWMQGMKGGPPSGMQPSDTAASAARRRAISWVQKGEESSRAGGGEEGKFIAPVPGFKLPPLPPNAA >SECCE1Rv1G0047320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:624253994:624254463:-1 gene:SECCE1Rv1G0047320 transcript:SECCE1Rv1G0047320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSTMSFGAAAIPSPALGLPQLRVARAERLRCRFSKKASSALAPVVAKGVPLLAVASTTAASAAPMLASALALVDERMPSEGTGLSNDLLGWVLLVAIGLVLCFYTVYASTFDDDDHSGGGGITL >SECCE2Rv1G0074450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:76661971:76666168:-1 gene:SECCE2Rv1G0074450 transcript:SECCE2Rv1G0074450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAVAAAAFPFRLFSAEAARRNAKGARGKRGSARPVKSPPLPPALSSANVIGRSATTFTRLPLRDAAPESAEVTLERFPTAPGNPERGPPALPRGIVQRLGVEEDNDEEEVDLGRRGATAVRRLPLRDLKVGGEHAAVGQFDARAARRGLNNGRAISRQMVEHPEDEDDEEEFVVTRLDIFDGSKDRKARAVPPEELDEDDGAVVFDPDYGVDSDDEEFVTAANEWSPAGDAVASAKLDELEYDGDDDNEAEVVVYHPDDDEEEEEEEVGVFEGSAHDDDDDGEDAEGEVKEKGVPAVMRCFDTARIYSKAGDGGNGVVAFRREKYVPHGGPSGGDGGRGGNVYVEVDGDMNSLLPFRKSLHYRAGRGAHGQGSQMAGAKGEDVVVKVPPGTVVRSAAGDIELLELMKPGQRALLLPGGRGGRGNAAFKTGTNKVPRIAEKGEKGPEMWLDLELKLVADVGIVGAPNAGKSTLLSAISAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGHEFLRHSERCSVLVHVVDGAGEQPEYEYEAVRLELELFSPALVDKPYIVVYNKMDLPEASDRWNSFREKLQAQGFEPYCISALNRQGTQDVVHAAYKILQKEKQRVKEVEEWNNAQNLNHVADAIKRERSSAMNDFEIVHDKGTNTWNVVGAGIERFVQMTNWDYTESLKRFQHVLDACGVNKTLVKLGVKEGDTVVIGEMEMFWNEEPKRVATRTMISRDDDAVRWPKFS >SECCE1Rv1G0045710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:611510999:611513690:-1 gene:SECCE1Rv1G0045710 transcript:SECCE1Rv1G0045710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKRRKAVAASLMPPLAESLLLPPDELMTEVFLRLPVKSLFRFRAVCRSWAALLSSDEFCSLHRAKVEAEAAPPPKLLFISPAASFDSMGIYSCSPSGDGLLLTLRDVRGDYVDMTPAPCRGLTLLHDAVEPAYYVFNATTRAVTRLPPCQDSFAATAGLGFDSRRREYKVVRLFTEKHFGVDNIKCEVYVLGGEHGDRWMPAAGGVPFRFRKFATAAISAATRNGFLHWVIDSSLFLTKPRAAVLSFSVADETFRWFRPPPVEEASGGQLVELAGHMCMIRPTIFEVPGVHLVELDGHLCMVRDLQMKDCNSGDWMLAHRIDLLQHVQRGLMEPQIIRVIGSVGNCGSRNKVVLATSKRKVITYDPVSGTLETILAIRETHSSYETEQSAPRVGLFKENLAPVHRTNEEIALCSPLAKATKEILFKQLVSKQWLTLIESRSFIRSYYEHNNIDGRPKIMLVGKGARGLGFSFAPMGKLLREAPSQGTWLDTKVVCSKPCHGMNLLSTESEDYLYNPCTGYCSSYHTRGRLAFVPNHILSMMHDSVCTPEDHAFVVGNRNVGLGFNLLTQEHVIVQILYHLKDFESRQYFLTFSVIAPGSVQDHFEPPLPLNDMPPTYLSGVLYWMSEPRLGQSYERAIVSYNIATRMFGVIPCPSSVAMWNSTSPSQAFVVELEGMLCAVHADPAAEELDIWKLEHGLWDRASKIYLEDCSGYSLGANVVVPLAVDPKDGRIMLNTGRKLGLYDPTKQSVENLYDLDEVVHVTSSEQSPRVGVYEDVQMTKCGHHVEKFCLPKSPLEQPTFFNEPSPKLSGKQFTCSNGVQPLEGRTPLDSKVMPLVPMLYEESLASFPRVRKTRWFS >SECCE4Rv1G0289490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:865678421:865679538:1 gene:SECCE4Rv1G0289490 transcript:SECCE4Rv1G0289490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLEMMLVVAIVLAATTSGALAMDIKDKDLASEDSLWELYERWSEHYRVARDLDDKTRRFNVFKENARMIHKFNQGDAPYKLSLKLFGDMTDEEVHHAYGRCSNIKSNGRQHRQQGRFTDNAINTRKVLPSSVDWRRRPSAVTNVKLQGAHCGSCWAFAATAAVEGINSIRTRNLTSLSTQQLVDCNKENGGGVKEVSRSHEDGHCSVPKPNHNRVVTIDGYKQVAPNDVVALEQAVAAQPVVVGVDSNSTAFQHYGRGVFVGPCGTNLDHEMMVVGYGTTDKHEYKNPIRYWIVKNSWGADWGENGYIRIVRNVRGQPKEGICGILTDASYPVKFSRKGGTDIMKRN >SECCE5Rv1G0339000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604327917:604328360:1 gene:SECCE5Rv1G0339000 transcript:SECCE5Rv1G0339000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEEVTTRIREAVVQAEENVRVLKEKEQIEKDLRFFKLDFAKMVADKERAITELGNTRLALSDLKIELEKKRMTDKSVTDIYQVVRAKAEKERDQMKQERDKLKEERDQLNKEIKKLEYMIGDMFKHKEDTKCKIRKVRELLDEFE >SECCE7Rv1G0508260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:770818623:770819909:-1 gene:SECCE7Rv1G0508260 transcript:SECCE7Rv1G0508260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFSGMATDLFCTHRILFALLEKGGITIIREIESRGSVQKLAKILLKASGGGLLNDILADIMDRYSQHDSKESLRRTIMEHDEVFRQQVHELHRLYRVQKALMAELRGEKHSFQLRTEDTREMVQGHRPNLKNSSCTSETSQSACLENTRYSDTRQVPEQSFLQECKPVSCLNLFDEETSRNQERRPESSKSVEGESWSVSMEGDLDLKLSIAPSSNATKAPHWLFSDSRERNPSGQHR >SECCE5Rv1G0308880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:140797167:140797667:-1 gene:SECCE5Rv1G0308880 transcript:SECCE5Rv1G0308880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGCVVAEECTLAVSTERMWKAAFSGEDMTILPKACPGFIDAVDVEGDGGPGSVSTMTLSPAAAELAGSGVTRSRMVARDNAARVIKMEVLEGSNVSGQLKSQVVELKLEAAGEDACVVKLRVEYEKLDSGGALSAKDEATLAAGYLDLFQMVEAYLVAHPAEYA >SECCE2Rv1G0120110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:796864541:796866400:-1 gene:SECCE2Rv1G0120110 transcript:SECCE2Rv1G0120110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLDNATQQTLLRQAKLLSGPLMSPALERKLSIQPGRRTSGNAEHRLKPIASPSPSLCATEMSRRLKPQASVRSRDARSVYDDHRRRERDGRLLARVDSSRSSRPAVPQLHQRTPDQRLSRLASNRSSRVAEPRLHPSTPEQRLSRLASNRSSRVPEPRLHPSTPEQRLSRLASNRSSRVTEPRRGVASGHAPRADRSDRHSRLRGSSGRGDRSSSPSSSPERSSRRRSVSRAPSSHGRATVRGAGAYGSSTRRYSGRLESGLSMGVRSRRGSERAGRGAATPRRSSSSNGEAVTISSRIRPNRELTERRVHESEETGERSLRRRQRKEGTVGGSTSMGWSSRRPRRALDPITSGSTYSSSSSAAASPSPSTSPTAPPTTSAPSVSSCSSSVSAPRRGSAVPEHEFKEASSASRSRRRRERHERREGRLRKFKEKLALVFHHRHDHHHHHHIGGGRSGSPVPRRDRRSNNSKSPWSYLGGMFHSNTGHDEKKNHVAKKDHDAKKKTTSRTVVPVPVPAKKRGGGQAPSLFDALVKHKLGARKAPARAPMARAGTMSRMQVNKMHWWQRLRQQHGRAEGAGRPRRRLGQGKAL >SECCE3Rv1G0204000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:888302506:888305290:-1 gene:SECCE3Rv1G0204000 transcript:SECCE3Rv1G0204000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02500) UniProtKB/Swiss-Prot;Acc:P69834] MELRLRLHLHLHLHPHARPPCSATPPPCPTAVLLPASRRGRRLRIGGSCGVAPRRRSHSAICAAEPDGLQGGEAVRERSVSVILLSGGQGKRMGANMPKQYLPLLGVPIALHSLKTFCGMKEVKEVVVVCDPSYKNVFEGSVENLQIPIKFTTPGKERQDSVFNGFQEIDGGSELVCVHDSARPLVSSEDVKKVLKDAAVHGAAVLGVPVKATIKEANSDSFVVKTLDRKTLWEMQTPQVMKPTLLRDGFELVKRDALEVTDDVSIVEYLKHPVYITEGSYTNIKVTTPDDMLLAERLMNDK >SECCE6Rv1G0400320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:450251622:450252167:-1 gene:SECCE6Rv1G0400320 transcript:SECCE6Rv1G0400320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQIALLLLLLAAAHGLAVPVEPTPVISTTCAALAHSPNVTKHVDYEFCVRALSADPASSSATDARGLAAAAASLTVANLTSTEHIIADLIHNLGRCLTDYREINDMVRHALDDIRGGHAADASEKLLEVAKANAPAWCDLILIEGDAKRNPIDQENQNADFLSVIASGIAQLMLDSHG >SECCE6Rv1G0404490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:519792409:519792801:-1 gene:SECCE6Rv1G0404490 transcript:SECCE6Rv1G0404490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGAAGGGGGGAVKTALVVTGGLVLAWLTVESAFKPFLDRLRGAVSRSTDPARDPDEDTAASAPEEDKSPATAAVAAAVASAVAEEKDPAAAVADPSAPPLSAEAVEGEEKGADREVELGEKGEAAKAE >SECCEUnv1G0566820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:408375553:408376338:-1 gene:SECCEUnv1G0566820 transcript:SECCEUnv1G0566820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLGCSYGYFIFYSFEQCFLVDVYTSTIMKPPKFRHSDNSEIYYGIFTAPLSSPNSYLLFFSRNSMFRWQLGANSWTKHPLITERRIHQIVSFKGKMFAMDSLQKLNIISLAPQLGMTEVPVAVCGQDTVKPWLVVCGDMLLMVDLFIRVHEFCFQAYRLDLTEPAKWMKLDKLENWALFISLDTRSSTFSCMNPERWGGKSNCMYIPSGSKNSDKPWIEVELGQFVPTSSHPFTNILGWKSKQLESFWVLPSLVYGVSE >SECCE5Rv1G0325120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:460927799:460928296:1 gene:SECCE5Rv1G0325120 transcript:SECCE5Rv1G0325120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTASSSSATLSCASKAASFGAGFGRRSGHCNCRVKAAAAASAGVRSPSRGDYYKVLSLEHSADVGAEEVKRAYRRLALQYHPDVCPPSRRAESTELFVELQRAYETLSDPATRVQYDAELRTGRAAARPTADGFARDVWEAQLSVLRARSERRQNARRCSGRRF >SECCE4Rv1G0214710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:1431586:1432218:1 gene:SECCE4Rv1G0214710 transcript:SECCE4Rv1G0214710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNIYSLALVVVALVSAPMAAVAGDPDILTDFIPPTPMIGMPMNITGDYFTYTGFHPFPLPLATFSLTKASMAEFPALNGQSVSYARLVFPPGTVNPTHTHPRASELLLVLDGALSVGFVDTAGKLYTKDLIAGDMFVFPKGLVHYQYNQGTTTAVALSAFGSANAGTVSVPVTVFGTGVDDAVLAKSFKTDIPTIQKLKAALTPPKK >SECCE1Rv1G0015120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:121215250:121218465:1 gene:SECCE1Rv1G0015120 transcript:SECCE1Rv1G0015120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1A [Source:Projected from Arabidopsis thaliana (AT2G47580) UniProtKB/TrEMBL;Acc:A0A178VZ67] MSGEAAAGGGGEANGVQPNVTIYINNLNEKIKLEALKKSLNAVFSQFGKIIEVLAFKTLKHKGQAWVVFEDVASATEALKRMQDFPFYDKPMKIQYAKTKSDVIAKADGTFVPREKRKRNDEKPEKKQKREQHHDVNQAGVGMHAYPGAYGAPPLSQLPFGAGPKIMMPEIIVPNNILFVQELPHDATALMLQMFFCQYPGFKEVRMIEAKPGIAFVEYGDEGQATAAMNLLQGFKIKENPMRITYAKK >SECCE3Rv1G0146580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11796040:11796933:1 gene:SECCE3Rv1G0146580 transcript:SECCE3Rv1G0146580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNESLARFRLLQERNQATLSDIAANKAASSRSTKPSPSQRQPAPAPRPAAKPSPPAPAPAAVVRFSDDTARLRKMNEVRRSTVGQQMKTVIDLLDKTREALTTHQINQRTYVDIDGNRTLADSLRNNPKVRFDGRRYSYKPTHDVKAKGELLTLIRSFPDGLPASEVGDAYPAVLEDLQALKSSGDVYLLPGEGGIIAYPNDPRSKMEVDAELKKLFHDIKLPREMIDIEKELRKNGEKPATDTVKRRAAEEAHGRQPKPKKAKKKSRGITSRTKLTNAHLPWLLDMPVDSKDLI >SECCE4Rv1G0217950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19336529:19337068:1 gene:SECCE4Rv1G0217950 transcript:SECCE4Rv1G0217950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQSLLLLLLAGAAIAAAAAPPNGAANDLLPKYGLPKGLIPDSVSSYTFDEATGDFEIHLAGTCYVRFGDHLVYYEKALRGCLSQGRIAGLAGIQAKKLFLWVSVSGIVAHPDEGTLEFQVGFVSEELSASLFDRVPACGASAGAQLRGVAGVIQELGLLPVAERYAA >SECCE1Rv1G0045880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:613133486:613143424:1 gene:SECCE1Rv1G0045880 transcript:SECCE1Rv1G0045880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELSARSSPSFAASPSGADDARSAGESTPLRVEPEGSRSGGSGTGVKLRRRWQRRRQWRVGDTWALDPRARWVREWNRAYLLACAAGLMVDPLFLYAVSVSGPLMCVFLDGWLAAAVTALRCMVDALHAWNFLTQLRVARAAATAVARSRRGIADEEQAAVDQAEVDAAAARSLPAYARSRKGMALDFYVILPVMQVVVWVAAPAMIRAGSTTAVMTVLLVAFLLEYLPKIYHSVSFLRRTQDKSGHIFGTIWWGIVLNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCSISGPPGCASGPLACAAPLYYGGAGAATASVVGDRLAWAADATARSVCLASGDKYQFGAYKWTVMLVANTSRLEKMLLPIFWGLMTLSTFGNLESTTEWLEIVFNIVTITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRSLEWWMKRKELPQSYRHRVRQFERQRWAATRGVDECQIVRDLPEALRRDIKYHLCLDLVRQVPLFQHMDDLVLENMCDRVRSLIYPKGETIVREGDPVQRMVFIVRGHLECRQELRNGATSCCMLGPGNFTGDELLSWCLRRPFVGRLPASSATLVTLESTEVFGLEAADVKYVTQHFRYTFTNERVRRSARYYSPGWRTWAAVAVQLAWRRYKHRKTLESLSFIRPRRPLSRCSSLGEEKLRLYTAILASPKPNQDDDFSF >SECCE2Rv1G0106260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:633139261:633149040:-1 gene:SECCE2Rv1G0106260 transcript:SECCE2Rv1G0106260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MLLQFSPHPSPLILSLPVATSRARHPHPRLPPVRASPSSEPELLAKSALRRISDKLRSLGYLETSPETPIPVPSPDAPSPGEIFVPTPAQLPRHRVGSTLDPSWATGDGEADAARRRQRRGRNAAAAPTPPSAAELTLPRDELRRLQGVGIRLRKRLKVGKPGVTEGIVNGIHERWRNAELVKIRCDDISAMNMRRTHEVLERKTGGLVIWRSGSTIILYRGTNYKYPYFHDQQKMDDCLEDESSEQSSSDDDDEDLGFLSVEQCSSEEDGKNHTEDSIHYEDEAVENVAIVYGEQRSIGEEHENHDILSVGQSVARKEDTNYPMISSKAPVVDTQEGRSAVKINSGAANKQHARFHIGTNVNPPSVVRPLARSTLVTGVGSPNKFRLQLPGEVKLAKDGDKLLDGLGPRFSEWWGYDPLPVDADLLPAIVPGFRRPFRLLPSGVPPKLTDREMTILRRLARHLPYHYALGRSSNLQGLAASMIKLWERCEVAKIAMKRGPHGIDSELVSEELKGLTGGTLLSRDNESIVLYRGKDFLPPAVSLAIEKRRKHDNAMINKLKPETEESIPTQDASELKMTNDATVHGHECHEEKHEGETSVAEYRTESLNTVAQNMETRLSQAIAEKKKAEKLLEELEKASRPSKAETRETISEEERYMLRKVGLQMKPFLLLGRRGVFDGTIENMHLHWKYRELVKIICKEHSMEDVTYAARTLEAESGGILVAVERVSKGHAIIMYRGKNYQRPSALRPKSLLNKKDALKRSVELQRHKSLKLHVLNLSKNIGYLTGQMQIEGHPVALSDQMNSGHKNKDIHDLCDNSGILGETSKEVPEVAPECFEPEGEECSSGGTDRILNTTRSGVRLDDTQNKLCLKELKDDSSEASRPCLSESSSTVSSDNQIEYQNRPSFPVACYSDSGSEEDSKVFKTLNPSQALDEPILDQKYTPHLPSKASPLSNRERLVLRKQALQMKKRPVLAIGRNNIVIGVAKTIRTHFKKHPLAIVNIKNRADGTPVQQLISELEEATGSVLVSREPNKVILYRGWGADVTQTSSREDSANEGEKEVISPQLLEAIRLECGLHPGESK >SECCE7Rv1G0481440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:283946307:283947140:1 gene:SECCE7Rv1G0481440 transcript:SECCE7Rv1G0481440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPLVADRPRLTLEDYVLFFTTRSGRHLTIDQLNQILFMHGFMKFYRSNKPVIVDALNSLELLRPRRATVSINAVAPPPGAAGPSAALLSTEAVKRDIQDLGWRECPVGSVLSVHAGAAASSPVPLATIRPGSAAVVQRASPPTTLSVSSSPPPGVEENRKRALRGQGKAATKRKERRMRELLRLPSIEVQDMPAADHAQGSSGGIASAV >SECCE1Rv1G0043730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:595922214:595922720:1 gene:SECCE1Rv1G0043730 transcript:SECCE1Rv1G0043730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLAVILAACMLLSFSTRGDAQPCTTSDLLVTHTTMPGKVGGRPHYLVTVENRCVCTQLGVKLACAGLNSTVTVDPAGVVAPTGNDGALCTLNGGQPMHANETVLFVYASSAKISFRPVSSYLDCSIAPSPAPQAAP >SECCE6Rv1G0452530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:877673780:877674061:1 gene:SECCE6Rv1G0452530 transcript:SECCE6Rv1G0452530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHNRLGPIKTNAEATPVYTAGFLPGRGPPSSHSTPAEAYPGGSTLMSNAAEMGKRATLYAKAYTVGAHGSPMSGLSDTGKTLDALPRPAVD >SECCE3Rv1G0182160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:623285226:623288584:1 gene:SECCE3Rv1G0182160 transcript:SECCE3Rv1G0182160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEGLRIIMDKQNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMTDESLRAYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRLVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDAKLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPSTKKWTNKNTGSPTCKRGFVQFCYDPIKQIINTCMNDQKDKLWPMLQKLGVTMKADEKELMGKPLMKRVMQTWLPASTALLEMMIFHLPSPSKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRIATGMKVRIMGPNYVLGQKKDLYVKSVQRTVIWMGKKQESVDDVPCGNTVAMVGLDQFITKNATLTNEREVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCSMEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKVRSKVLSEEFGWDKDLAKKIWCFGPKTTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHIDAIHRGGGQVIPTARRVIYASQLTAKPRLLELVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSGTLRAATSGQAFPQCVFDHWDMMSADPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >SECCE1Rv1G0059140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704018575:704019439:-1 gene:SECCE1Rv1G0059140 transcript:SECCE1Rv1G0059140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAQLSFLLLAVVAASLAGPSAGIFHIVGAGKGWRIAPNQTYYADWARTRDIHVGDKLMFLYRSGVYDIVQVPTKELFDACSMDNVTMRYQMGPTIIKLDTPGPRYYFCGVGEHCEGGQKVAVNVSAGPAPAIAAPVPPAAAALPPASSTAQAEPAQASSTAQEESAQASSTVQSEPPQASSTAQAEPAQASSTAQEEPAQASSSTAQEEPAQAPSTAQSEPAQASTTAKEELAQASTLQVDPAKLVDAAKKKL >SECCE3Rv1G0148880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20881668:20888157:1 gene:SECCE3Rv1G0148880 transcript:SECCE3Rv1G0148880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSYRLAAAVTAEAGFLVARQPPPPGVEEEGEGEYGRHVDSDLYDLPSAPLRRLAEGEPARPGVAVGGAESEGSLHLSRLDLPAALDQILSQLGLTNAMCGEWRLLKHVEEAEFGPDVGVNTVLIITSLESKPEALQDSCKWMTKEGARELLSDVKPGGTRIGPYVHAGFVKSEPSSDCTAGSTLVSQEYPPGITLVPMKSSTLRPFRTTNLVVIQATSGTCGSKRSDYFACGDALLIDPGCCSQVHTELADLVNSLPKKLLVLVTHHHNDHVEGLSVVQRCNPDAVLLTHENTMKRIGKGIWSTGYTAVTGGESICIGDQELQVVFAPGHTDGHMGLLHVNTNALIVGDHCVGHGSAILDNRAGGNMKDYFQTTYKFLEMSPHVLIPMHGRINLWPKHMLCGYLKNRKAREASILQSIENGAQTLFDIVSKTYCDVDRKLWIPASFNVRLHVDHLNSQHKLPKDFSTEKFESSCGTHFIFRWGVAYAQARSSPALIIAASALAAGGLAIAYALRRSNGNQP >SECCE6Rv1G0451870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873912374:873914729:1 gene:SECCE6Rv1G0451870 transcript:SECCE6Rv1G0451870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHWVPPSSSFSGADAASSNPRCRFDEVRRACRRSGAAAAAVAWWALGALLTLVFAVVGSVVGFFIGACMGMSTESGMLRGAGVGALSGGVFCTEAVESCIEIWRSSESGKHSILFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSSLSTPFIDNSDLFETGGTDGMSSDLINKIPAIRFRAATGSDQETDENCCCSVCLEDFRSRQFVRALPQCRHIFHARCIDNWLQRNASCPLCRCGVHIVDHIHM >SECCE7Rv1G0511420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803292035:803293837:1 gene:SECCE7Rv1G0511420 transcript:SECCE7Rv1G0511420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEMVEAAMVEAEVVPGAEGGHGEALMVLETLASSSLACSVPQFPTKWNSVKDKLRQLCSGLSLLRSNGGDGEEEEDEEEKGEEYQVLAQFLHSASATVRGILDVASQCSDGTYRGGRLRLRSDMDGLSAKLEAHVRQLKEMASSGASKSPSQAIVAVRPGADAGVAEKRFFLKDLFSRIRIGGPVQRAQALATIAELMSEDDACVRVVALDVDDGVAVLAGFLESRDPRIQEEAAGAVAVVAGSDSYRGMLVKAGVIAPLVQVLENAGGMGATTAVARERAAQALRELTENSDNVWAVCAHGGVTTLLHACADAGSGGRLLSSSFAVLRNLSRVEEVKMFMVEQGVVTELVKLSQKMEEVRKLGAVELLHAMALDDADVREEAIGMGVIQSLLQLIYPDLPYSYKAREVALAAIWFFCFSSASSIDDLTSSDVLGWLLHYLNNGDYAVLDCTLKILRHLAEASEEYSRMMGRAGYFAALSGLLAAKSFRVREMAAQVLSSLLAQHGNRVIFIQDGDNLDRLLQLLDPSEGKLMAKGLILSAVMSLAETGAGRKKIVSSEHFGSLKELADSGDLDAKKAVKKIANSSRLQTMFSKMWSA >SECCE4Rv1G0234780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:301968555:301976035:-1 gene:SECCE4Rv1G0234780 transcript:SECCE4Rv1G0234780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERARPGRGRRPCACRVSPMPPPGEDPDAAPSCSSSDTSEPQEQQSSERALSNGDLYLGQWRGGVPHGDGKYLWADGCMYEGEWRRGKATGRGRFSWPSGATYEGEFLDGFMHGAGIYVGAAGDSYRGAWAKNLEHGAGEKRYANGDWYDGEWRAGLPDGCGRYTWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWSDGSLYVGFWGREAPGGVLHQKGVYYPSPGAAGETRTRDPREVFARELPECVRSGTESQSALPSLRSLKWLARSVSGRGSSSSGRSNLSGGSNWGSDGDVKCELADDWKRRNTTREGRGLLPLPSPATAPQVAKGAPLRVSKRQGETIAKGHKNYELMLNLQLGIRHAVGRQGQVILDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSSDFKWKDYCPKVFRTLRKLFKVDPADYMLSLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLHAYYNHVRAFENTLVAKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRTTDKPQAEIDEHTTLKDLDLNFIFRLQKQWFEEFRRQVDKDCEFLEQEKIMDYSLLVGVHFRGAIDIDGDKPATPRVSRWDRNHFLSDPNRWCKIKLGANMLSRAELTVRKNDSDVIGEPTGEYCDVILYFGIIDILQDYDIGKKIEHAYKSFQYDSTSISAVDPRQYSRRFKDFIYKAFQEDRVDS >SECCE2Rv1G0111800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:704463613:704464404:1 gene:SECCE2Rv1G0111800 transcript:SECCE2Rv1G0111800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGMDQVCMAAMTNQPSVSDNKPMKSISGEMPAAATAGSGSFDCNICLDFAADPVVTLCGHLYCWPCIYEWLRPSVVSASGANSTSARQQCPVCKAALSADSLVPLYGRGGSSKKSLDGTAIPRRPTVHRENVAHQHAQSSIDNDRHHHNVEPSPPLRPLRHAHHHHPGATEFDFVYPPSPMGRGLIHSTAGGVLGGMAEAVLPWAFRGQVPPSMYYTSPYAVADHTVGPRLRRQQMEVERSLHQIWFFLFVFVVLCLLLF >SECCE5Rv1G0353190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:714459869:714461482:-1 gene:SECCE5Rv1G0353190 transcript:SECCE5Rv1G0353190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYLPPSAARVKNCYLKKQAATRLIPLLYFILHFATVSAQQEDRNWEKQWCIADEQTPDDILQIALSWAYGPGGADCMMIEANKSCYLPNTVSDHASYAFNSYWQKYKKHGGSCYFNAAAMVTDLNPSHNSCNFEVVTRYNGG >SECCE5Rv1G0297500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:3263401:3266595:-1 gene:SECCE5Rv1G0297500 transcript:SECCE5Rv1G0297500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVETHRRTHPQPAMNDNQRSHQFHHPTVWGDFFLGFMPFTPTQCLSMKNKAEVMKEELRATIVDSGSVDLPRKLELVDTLQRLGLDYHYGKEINDLLCGIHDAGDEARDLHTEALRFYLLRKQGFNVSLDVFLKYIDDEGKIICNDTRSLLGMYNAAHVRTHGEETLSSAMAYTKGHLQRAVEQQTITPSILLDQVRRTLETPLFRRPRRVEARHFISVYERMSTRNEAILELAKLDFSILQALYCEELRALTLWWKGLQLQDHLSFARDRMVEMHFWMLGVLFEPQYSYGRIVLTKFFTFISIFDDIYDSYSTLEESKLLTMAMERWDEQAAADLPGYMKFFYRKVLATMKVIEKDLDNQGNKHADYVKKLLIDATNCYYNEVKWREESHTPVTVEEHLQFSVPSSCCMHVACLAFTVTGAGGDAIEWGMTYPKIMRASCVIGRVINDVASHEREQEQSFGERHVMSTVEVCMEENNYTTKEDAYKKLKELIEESWMDIIEELVKPAAMRPAVPLLEAVVNSTRMLDFLYKDQDAYTDPRALKVVVDSIYVNPI >SECCE4Rv1G0278570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:809359318:809360619:-1 gene:SECCE4Rv1G0278570 transcript:SECCE4Rv1G0278570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAPPFPDAPPARPCPDALPTAPCGGAPPTVEAQATLPEHLTEEILLRLPTAADLARASMADPSLRRIIADHSFLRRFRTLHPPPLLGILLTTSFLPAQPPHPSAAAARALADTDFSCSFLPSRERPWIRCDFRDGRALLCVDHQDLFMFRDIAVCDPMHRRYLLLPPVPWYRPDLVDHCEAFLAPTGGDEGTDIAADAPFRFRVMCLAKYRTKLVLFAFSSSGPGAGQWHTVTFDGWRSLVAGITDGDDAGSSIDFQNRYYAHGCFWWPIPQINKLLMLHMGTMEFSSVSLPHSPWNNCQMAFVEAREGRLGMFTLNFNPENYAYYLWYTILQSNGEGTRQWEMENRLTLPDDDYHFKILGVAGGYLLLQAFTKQMWWIPSFQSPKFDSYCFSLDLQTMKLDRFCGVGAILSSSVDLYAGFPPSLSPPTI >SECCE3Rv1G0198800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:835684817:835685680:-1 gene:SECCE3Rv1G0198800 transcript:SECCE3Rv1G0198800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHTVRTSLPSPRRSSACRHALLSRRRFSICACSSKDAGSDAPLPHGGDQRQQEVLAKIAMLQTQKVRITNFLDERSAYLTKFTKDADTEFDMIGQNAMKELDQIGDQIMERLDSKMQSYEETAEMQRQEIEMNERVLEDFEDWMEEEKNEGMFFKSLGKVKKPQNNEEIKVKARIEAQKVAEITKESAGSKTRMNIYLALMAILGLTIANAVFATPEVEWRKVAALGLIFIGLVAQVIYEQDISPPGADKNEKREE >SECCE7Rv1G0495420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:597892623:597893102:1 gene:SECCE7Rv1G0495420 transcript:SECCE7Rv1G0495420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGPTGLPNSEHQRHTNKGTMIFSYTCVGLTGAALFSVVFFFCYQLRNRAPVAAAGAETGRRRTVDLAKLPEFAYTHSARHSGKEGGGEGAQCSVCLGTVQAGEMVRLLPLCKHLYHVECIDMWLASHDTCPLCRAEVEHQPPDDDGHPEVTTELPV >SECCE5Rv1G0339470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607683840:607685492:1 gene:SECCE5Rv1G0339470 transcript:SECCE5Rv1G0339470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLSVYDDQQLRPRRERRRPLAARGEDRLGALPDDMLHLVLRRLDTRSALATSALSRRWACLPRELPTLEFKVRDILPQRYNQYLRRRNDAANSPEKPCSVKKLEKLDLVLSRYERRGMRSLADSLGGFLHAHDANPRRRVHSVKLEIFPTHNSGNLNRLVATAVGGWGVEDLEVVVLNPGARHSAGYSFPHHCLADSSFPNGDVKLKTLKLSNCAPLAARPEANTATARAFSALTVLVLQDMPRTTRGRVYEAIIRACPALEVLHLRSCGCRRRSFSVDAPDSRITELLIELTGRTYGTIELRALPRLERLACMGAPLDLKFGCVPRLAGLNLSYDEDANSKTPEFVLFGIRTTCLLSSFLTNLTGLEDLVLRFTGPEMWITPPLDDMPPLGELKRLLVADMPSSWDITWIRYVLEAAPSLETLHIHVVADDDDAGSGGTVAGTLIHWPSSSLEFKHQRLREVVVGGFEGTPRQVHFVRFLRRECTELRDVVLLRHGHVLEKGLWDWETLASKQEWQWIEEERHAVMSEIEDGTDDIACSASRIVFG >SECCE4Rv1G0258110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:675481935:675483323:1 gene:SECCE4Rv1G0258110 transcript:SECCE4Rv1G0258110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVTHSFVFLAHWPSAGSFGLNTDILATNLINLTVVVGVLIFFGKGVYLLDNRKQRILSTIRNSEELRRGTIEQLEKARIRLQKVELEADEYRMNGYSEIEREKANLINATSISLEQLEKSKNETLYFEKQRAMNQVRQRVFQQAVQGALGTLNSCLNTELHFRTIRANIGILGSLEWKR >SECCE6Rv1G0388710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:141382134:141382526:1 gene:SECCE6Rv1G0388710 transcript:SECCE6Rv1G0388710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAAVDAQMNGGGASSSPMIPPPFWSTPTPYLFIGFAVVMSLIAVALAVLLCSRRREGGREDEEAVPAGMMSVRVLTPLDREVPKVVVVMAGDDMPSFLASATPLAFAEVAKVQRPYCQCGAKDVASAV >SECCE4Rv1G0251910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:609288245:609289275:-1 gene:SECCE4Rv1G0251910 transcript:SECCE4Rv1G0251910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGEHSFWPYGPSVWHDSYLLEEEALLSSLPFPSYSCQPLCSISPAVAQSSSLQAPCLVPCDTGELDTSFDDDLLRCWEAIEQSDNSTEESGKGLPLLCYGEENGAASNAMRADRVRSERVLTFELVSQYFYMPITQAARELDVGLTVLKKRCRELGIPRWPHRKLKSLQTLINDVEVLQEAGKANDGEQLRAMVEMLEHEKRLLEQKPYVELEEKTKRLRQACFKASYKKRRLLALEPGDASKYY >SECCE3Rv1G0171560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:273343009:273349465:1 gene:SECCE3Rv1G0171560 transcript:SECCE3Rv1G0171560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHPAAAAGGGGGGEGEAGRGADPDTGLEGSMWRMGLAGDGGGEGDGARLPERPDQADCIYYLRTGACGFGDRCRYNHPRDRGGTEFGGGAKNAVALDYPERLGQPVCEYYMKTGTCKFGSNCKYHHPKQDGSVQPVMLNSNGFPLRPGEKECSYYMKTGQCKFGSTCKFHHPEFGGVPVTPGIYPPLQSSTVPSPHPYAPLTNWQMGRPPVVPGSYMPGSYTPMMLSSGMIPLQGWSPYPASVNPVASGGAQQTVQAGPMYGIGHHGSSSTIAYGGPYMPYSSSTIQSSNNQQEHGFPERPGQPECQYYMRTGDCKFGATCKYHHPRDWSSPKSNYMFSPFCLPLRPGAQPCSYYAQNGYCRYGVACKYDHPMGTLGYSSSPFPLSDVPIAPYPLGFSIATLAPSSSSQDLRPEYISAKDPSVNQVGSPVAASEPSGSILPKGVFPPDTVMRAQTNTTTGGSSSPGGGR >SECCE4Rv1G0272140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:766647576:766651611:1 gene:SECCE4Rv1G0272140 transcript:SECCE4Rv1G0272140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 14 [Source:Projected from Arabidopsis thaliana (AT1G31770) UniProtKB/Swiss-Prot;Acc:Q9C6W5] MAPQELHDDDKHKEAPTSTCTPPSSNPSGAVVHPTTSSPPSDASGHRSAAANSFPLVLKFEEVVYKVKLGQTTEGWCSRVSSACGGGAGAKKNKAAALPPREKTIISGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRHRHALLSGKITYNGQPFSGAVKRRTGFVTQHDVLYPHLTVSETLWYTALLRLPRALSAGEKRAQAEAVARELGLTKVAHSMVGGVRGVRGLSGGERKRVSIGLEMLVDPSLLLLDEPTSGLDSTTAARIVGTLRRMAVGGGRTVVVTIHQPSSRLYHMFDKVLLLSAEGRPIYYGRAADALAYFASVGFASPLSVNPADLMLDLANGILPEANGDGVPGAGSEGEQKEVRGKLADAYARHIAPAVKLDICSNEAASAGGMRRGASARVEWTAGWCAQFSVLLRRGLKERRHESFNKLRIFQVLSVAFLAGLLWWRTPAAHLQDRTALIFFFSVFWGFFPLYNAVFVFPLERPMLLKERSSGMYRLSSYFAARTAADLPMELGLPTAFVLILYWMGGLDPRPASFLLSLLVVLYSVLVAQSLGLAVGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFIGWLKWLNYSFYCYRLLLGIQFREGGGLYDCGGGALCPVADFPAIKAVGLNNHWVDVCVMALLLVGYRVVAYLALDRLQPR >SECCE7Rv1G0514710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:836196021:836196449:-1 gene:SECCE7Rv1G0514710 transcript:SECCE7Rv1G0514710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRGLSRVAAADACAATAYKPLRSDAAAKASSTAASALSSSFFGARRLGRGARVPEGHVPVRVGEEGGPVERFAVRAELLGQPAFKALLRRAAQEYGYGHPGALRIPCAVAKFRRLLFGLSDPGCQCQATDDDDAALYY >SECCEUnv1G0540070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78274068:78275388:1 gene:SECCEUnv1G0540070 transcript:SECCEUnv1G0540070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVDPLVAARVIHDVLDPFTSVVPFTIGYNNRLVRPGAELKPSAVVSKPRVDIGGNDMRVLYTLMLVDPDAPSPSHPSLREYLHWMVADIPGTTGVNFGQELVIYERPEPRSGIHRMVFVLFQQLGRGTVFAPGMRQNFSSRNFARQYHLNVVAATYFNCQREGGSGGRRFRPESSQGE >SECCE1Rv1G0040320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:557712609:557719812:1 gene:SECCE1Rv1G0040320 transcript:SECCE1Rv1G0040320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADPNRSFMKDVKRIIIKVGTAVITRNDGRLALGRIGALCEQVKDLNAQGYEVIMVTSGAVGVGRQRLRYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDSDFDNSNFRERLRETVESLLELRVIPIFNENDAISTRKAPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVDGLYSGPPSEPSSKLIHTYIKEKHYHEITFGDKSRVGRGGMTAKVQAAVWASTGGVPVVITSGCASQSLVKVLRGEKIGTLFHKNASSWEPSKDASVREMAVAARDCSRRLQNLSSEERKKILLDVADALEANEDLIRSENEADVAAAHEAGYESALVARLTLKPGKIASLAKSVRTLANMEDPINEILKRTEVADGLVLEKTSCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNAILHKVITNAIPDNVGEKLIGLITTRDEIADLLKLDDVIDLVIPRGSNKLVAQIKSSTKIPVLGHADGVCHVYIDKSADMDMAKRIVMDAKIDYPAACNAMETLLVHKDIVKTPELNGILLALKTAGVNLYCGPVAHKVLGYPKADSLHLEYSSLACTVEIVDDVQSAIDHIHRYGSAHTDCVVTTDDKVAETFLRQVDSAAVLYNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWLLRGKGQIVNGDKDVAYTHKSLPLQ >SECCE6Rv1G0406900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:551690672:551691784:-1 gene:SECCE6Rv1G0406900 transcript:SECCE6Rv1G0406900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKFGFGPNLPPAFKFDPTDDDIVAYYLLPRALGLTNPYGHAIIEEDPGSAPPWELLRRHGGDGEIEHAFFFGPPTDGGRKKRTVKGGGVWQGQKATLRTATLLGPGGVELDIAYKRYDLTFKLKASTGYVMHEYEITSPPLPGKVLSRINISSNSKKEKAPGAATGADQQVLLPNPELPGTSNYDHDAAAMASSGEGLGDTQAGALHGGNGGETADADYCYTPLNCVLPDGQYINYDPSFTDQMFAAMKSDSQGFTGAQPDAFYGCGMVETNGAYYDPSQFVPVPSQEVAPWPNQLDQSYSYSQYYAGDAAVMWSGGEQQAGGALCGHNDDGGVMQTESADPTFSLCDGGEENPDCEVSRRQRRRLE >SECCE5Rv1G0346950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:664818047:664820347:-1 gene:SECCE5Rv1G0346950 transcript:SECCE5Rv1G0346950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAATAASRDRLSDLPDDLLIHILSFGPSCEAARTTALSRRWRRPLWLHTAAVNLDYRSYTAGAGGFPIWRRAVDDAEHVLSVQSSLGRGPKKVALVMRDGTMHDAVLRAAGREDVAAGFEELQIDCLEYGVPPYCIHAAPPRWTYYNLNLYLVSLPFAGLRILELSGCILHAPSPSPKEKSEDDGQRLTFPFLEALRLRRCRTESGTLEDMVLAAPRLADLRLEAVSFSDYVCCLHCPAATAITMANCHMLSTDNVNFYTCSVDLDAPRLRRFSYSQVHTFKTTSYWDNAFKFRSAPPDLEQVHLALHTASAAEDLRHSILTAVCHVRVLILTMYSLGDLDRTGYGLPLFRNLERLEIEELCGCIVDHSKAARAIEKLLRRCPAVRDLHLRFRWREYLADASDPADQMAAMADLTPCRSIDNTGYDDDEEDCCENLDLYYQHCGHGLDCLNGSLKRLVVKFDAEELTCFQIRLIRFLARAAAGLEEVVVDGGKGYNSSRIDRKVERWRRKWKRSPPRAAKPAPLWPPTRSEFPLPVKAAAPARMTPPCSDVEDDNGLQFYDEDDEEEEEDDEEDDISPRHFMRGGRNKKKGGELGCFSVKLKAKDSKITVRPKRGGANRRRRNGWGWLGEGGGDIAGSPASPPPVPAIAAPQRLPAMYEFPRLPCAPRPAPPRLSSLSESHPVHQPPPSAPPPCPSQIAPLGLCPADNTFVRRQWRAVRLADLDVAADMTSRRRYLSRRRRPLAPAMPSDRRCAAAFRIHQPL >SECCE5Rv1G0365000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803819784:803820317:1 gene:SECCE5Rv1G0365000 transcript:SECCE5Rv1G0365000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGMKRAREEEVLSLALSLTTDSSTSSPTTSADSAAAAPVVAVARKRVRRGRVVATSGEGEFVCKTCGRAFETFQALGGHRTSHLRGSHGLELGVGVGVARAIKQRKRQQEDKQQHDCHICGLGFESGQALGGHMRRHREEMALSGAIGRWVALSNQEAAHNNYADRPPVLLELFV >SECCE1Rv1G0051460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:653952397:653952708:-1 gene:SECCE1Rv1G0051460 transcript:SECCE1Rv1G0051460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE7Rv1G0472080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:136711714:136716585:-1 gene:SECCE7Rv1G0472080 transcript:SECCE7Rv1G0472080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSMDMESSRPGGHGEPDAAAWVTVEEWGGSSGSALSRTAVLTASASSLTSNRFGSRWGRIGSRVLGAFVPEGFPGSVTPDYVPFQMWDTLQGLSTYIRAMLSTQALLGAIGVGEKSATVIGATFQWFLRDLTGMLGGILFAFYQGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMSGMGLGMLLAHFTRGHDLVVWVSFLSLTVFHMYANYKAVQSLSLSTLNYERTSILLQYFMEHGEVLTPQQISKQEHILPFWSSWRKLLRNKLPHELVHLGAKASRLTHSDMMLIAKRRSYYTNANYFLLDKEGSVLIFIHKQAVATDVLKSFIHGLVLARLILKSKSCHTEAHQWMDEKYNIFISKLKVEGYSTERLLSHSIVWKAHWVYGPLGEKTK >SECCE4Rv1G0293040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884418159:884424870:-1 gene:SECCE4Rv1G0293040 transcript:SECCE4Rv1G0293040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQGSNVKNFLHANGHVVLERVDNNYNLRSFTQKEIEDITGGYNTVLGEGGFGKVYQGKLDNDRPVAVKIYKNGTKKEEFAKEVIVHSQINHKNVVRLFGCCTEVNALTIVMEFVCNGNLYNILHCSSSSSNANGSTPFPLDKRLDIAIESAEALSCMHSMYSPVLHGDIKPANILLDENLRPKISDFGIARLLAADETQHTRSVIGCIGYVDPLFCQSGILTPKSDVYSFGVVLLEIITRKKAVDGDNILAKSFPEALRKGKKVRQMFDEEIVDDKANIKFLENVAKLAAECLKLEEKMRPEMSEVADRLRTIRKAYHLRKGRNSTGNGSKHTKSGKAEAVAPVTKSGNAKNVVPVIIGKAKDVVPITKSIKAKDVLPIISMDELRKITCNFGSCIPKGENIYGEVFFEVLEDGHKCMIIELFPTTILEVDVVTRFRHENVLQLLGYLVEGEHTVRNPVSRFLAYEYTSRGSLHDILHGKNNVRGAGQGLVLSWAQRVKIALDAAIGLEFLHEKAQPCVILTGIRSSNIFLFDNDVAKIGYPGVSSVEAPDYYRNNLLLDHPGGIPTSCDAPECATEGGVQFSTKSDVYSFGVVLLELLTGRKAVDPTLPRGQQYLVTWAVRRLDERKVHQCVDPRLGGDYSPKVVAKMAHIAALCVHYEADFRPRMSIVVRALRAISADTEAHLESVASSKQ >SECCE6Rv1G0380630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:24412513:24415286:1 gene:SECCE6Rv1G0380630 transcript:SECCE6Rv1G0380630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ATCAX5; calcium:cation antiporter/ cation:cation antiporter [Source: Projected from Oryza sativa (Os02g0138900)] MTSTAAAGSDPRRLLPGRQNSHQMDRINGNGQKSASQASTFSDGADEFDDDALIASEGLSRRTGGFERNAGPGNWGMFGSLKVVVLKSKLNLLIPCGFVAIVVKDMTGNNGWVFPLTLLGIIPLAERLGFATEQLAFFTGPTVGGLLNATFGNATELIISIHALRSGKLRVVQQSLLGSILSNLLLVLGCAFFSGGVTCGKTEQNFSKSEAVVSSGLLLMAVLGLLPPTVLHYTHSEVHSGKSGLALSRFSSCVMLAAYACYIYFELTTSRRREEFSEGRGENVGDADNIEAPDISKWEAIAWLAILTIWISVLSDYLVNAIDGASQAWNIPVSFISVILLPIVGNAAEHASAVMFAMKDKLDLSLGVAIGSSTQMSMFGIPFCVVIGWMMGQPMDLNFHLFETASLLMTVLVVAFLLQDGTSNCLKGLMLFLCYLIVAASFYVHSDQDPDGNNPAQN >SECCE5Rv1G0315850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:297263687:297267979:-1 gene:SECCE5Rv1G0315850 transcript:SECCE5Rv1G0315850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPSARGGCGVAKAARRHQAGRAGGGRRRTAVVLLLLALAYAAGLLMFVLGGGGSVDGGGHAGVTVASLRRRRAPAPSQPPPGSVYRSHIVFKRLWPDIRDDAASASTATSASVSTSSPWRRSMLMTSRYPNSGELWMPCVKRRLIPSDVPPSNGYLIVEANGGLNQQRLSICDAVAVASLLNATLVIPILHLNSVWRDPSKFSDIFDEDRFIGTLRQHVRVVKELPKDVLLRFNHNISSIPNMRTKAYSSPDHYVQKVLPKLLELGVVRIAPFSNRLAHSVPSNIQALRCLVNYQALRFAEPIRVLADDMVVRMMKKSSLAGGKYVSVHLRFEEDMVAFSCCTYDGGRKERMEMENARERSWRGKFHRPGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTFLYVASDKIYNAAKYMAPLRQMFPLLQTKDTLALPEELAEFEGYSSRLAALDYTVCVQSEVFVTTQGGNFPHFLMGHRRYLLGGNAKTIKPDKRKLVLSFDDPNIRWSRFKQHMLEILHHSDIRGIAFRKPNDSIYTFPIPDCMCQQDGI >SECCE1Rv1G0060750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712131316:712132200:-1 gene:SECCE1Rv1G0060750 transcript:SECCE1Rv1G0060750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLLPVSTAASTFITLDDDSHGPASHSVSPSRASPSGRSIAARYLAVALVAAVSLFAQHEASKGFRIDVVRGGGMAGAAGKRFDLLFVANGRAERVLHRASRRVEEALFPDPSFPRRRVARVTVRLMAGANLTADDATVDAVRDGEYVISLSPRLLSPSSGAGAAEAVAAAVRRAVARMWLWDGRGAAPARVTESMVEYLATAVPDEPSSPAEEHASASNTQCISPRFLEHLERRRAGFVARLNRAMRDRWSDAAVDAALGAPARPVCAAYLAAATETGGQRPVVGSAVAA >SECCE1Rv1G0048230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:633138568:633139599:-1 gene:SECCE1Rv1G0048230 transcript:SECCE1Rv1G0048230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSRKQQHGTPSRAPEHGGEVGERKGSGKSKGFSFSFSPLSWLSKLAAKPKRAPASTHKDRGGAGAEAAPASPLGLPGRTTPAKVAIAPAGRLSSPSPRRSPAVLRRLFVVNDNAEAVSARGRHRPAAAVAATEEVVPRRLSVGNDSADAVAPRRHCRPRRHCSVGGDRDLQAPLGKHIPFSLAGSPAQPPPPPQTAAATVPSDTDGGGTRLRRRHRGRRHRRSVSGGRRSSFSGKVTLRVKVRSPRRSAPELESLAVVRRTRDPQRAFRESMSEMIASSGGTDAQPEELERLLACYLALNADEHHDCIVKVFRQVWFDHVNLPPRRCRDREEHHGRHARP >SECCE4Rv1G0271250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:759889372:759891348:-1 gene:SECCE4Rv1G0271250 transcript:SECCE4Rv1G0271250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKSKHATTQFAISPAIRAHIASMQMEIIYLGVALVSMSIVLFVARCRRKANEPPGPWQLPLIGSLHHLALSRKLPHLAMCELARRHGPVMLLRLGEVPTLVVSSREGARELMKTHDLAFATRPLNATMRVLTDGGRDIVFAPYGEYWRQLRKIAVSELLSAPRIHSFRAVREEEVASMLRDIAQAAAAARPVELRTLISSLITDITARTVMGDRQFKERAVFLGALDHSAKLAAGFNPPDLWPSSRLIGFLSGALRRAKECCDTGNGVFDAIIRGRTMAGQKEHNLLDGLLSMQKEGRIDMDAVRSVVLEVFSVGKDTTATTLEWAITELVRNPQVMQKATAEVRQAFEARGTVAEHALGKLPYMHLVIRETLRLHTPVPLLLPRQCQEPCQVLGYDVPRGTQVLVNVWALAHDERYWLDAPDEFRPERFEGEAAVVDFRGTDFSFLPFGAGRRMCPGIGFGLANIELALASLLFHFDWEGPPPDEIEMAEEFGLTVRRKAELLLRPVLRVHVPVVLSEGNNDR >SECCE7Rv1G0484470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343885364:343886077:1 gene:SECCE7Rv1G0484470 transcript:SECCE7Rv1G0484470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSTSLPSRPLASEAEVEQELHSLEAGISSLATISTMCASLRMLGNIYNGVEEIICQPGNQVSSSQQRKMLDGEMECSLELLDLCSTIQEIFVELKAIIQELQVALRKGDDAAVQAKIQSYTRLAKKAKKHFKKTCNKAASGKAECGMVGLLTKARELTVSLLESTLHLLSKQIEMPKQSLVSKAFHKKKAAIFEEEQLQELECSMGDLESEAGHLFRKLVQNRVSLLNILSS >SECCE4Rv1G0233810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:251691101:251694215:1 gene:SECCE4Rv1G0233810 transcript:SECCE4Rv1G0233810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKAGNIYRGWKKTRGKALTSTKMVMVILILAFRLSTLLLLLLAAGWVVVAAEPDASPPGTEAAALLRLKASFKDPTNALEAWSPLLPPAPCNASRPWPGVQCYKGSLIGLRLVHLNLSGAFDFAALANLPGLHSINLRRNAFAGPLPASLGTVRSLRALYLSHNAFTGPIPGDVFENMRWLKKLYLDNNDLSGPLPAAAIAGAPRLLELHLDHNKIEGAVPEILPKSLRLFNVSHNRLTGVLPRAVATRFNESAFAGNPGLCGAPGSDAKDCAPVAAPVGAVAAPAPSSMPPMTAADYFAVEEETSIVVVIGIILLVIALVSGAMVLMLQQDEQRNSAPPPAYYDAPVASGGIAPKPAVTAAPRTSGVAIETGGSSRGGGSSQGSVRGGVGGKRMDEFVLMSKSSGEFGLQDMMKASAEVLGNGTLGSAYKAAMRNGITVAVKRMRDMNRVGREEFENHLRVLGELRHPNVLAPLGYHYRKEEKLIVSELMPRGSLLYVLHGDQSPNRVVLDWPTRLRIALGVARGMTYLHEKLGMPTMRFVSMDGADFDAPAPPPPHGNLKSGNILLDANLEPHIVDYGFFPLVNAPQAPQAMFAFRSPEAVAALQQQQRVPVSARSDVYCFGVVLLELVTGRFPSQYLLNARGGTDVVHWAAAAVTEGSEHEVVDPVIATTGGGSAVQLVRIAVECTDPAPESRPNMAEVARMVEEVASASGAS >SECCE2Rv1G0090130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:269852004:269859984:-1 gene:SECCE2Rv1G0090130 transcript:SECCE2Rv1G0090130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFARRKAAALAALSSPAPDKSPKGGVDAPIAPLLDALNSHADLFTTSSCSGRISVLAQPSPQSQAADPKPKKKARGGGWLYISHDPADPDTVVELLFGGTGCGERGGGDELVFRFEPMIVAVDCRDAAAAAALVAAAIGAGFRESGITSLQRRAMVAIRCSIRMEVPLGVTDELVVSPEYIRYLVRIANCKMEANKKRMDGFLDVLQSKGLPGISGLQVHTKRDDRCLGSEVQASLSRSVQTHDELLVMEKRRDSYNCDAGNKGDCEIGEKSIEGSYYENRDAVRNNIAKHGFGNAERLMHEGKLSASLGNRSSHLSITELKISGEPVEKLFLWGQSACALTVGGEQQVLTFGGFGGPGRHSRRNDSLLLDPTSGLLTEIKVKDSPSPRMGHTITSVDNHIYAVGGRAGPSEILDDVWVLQSTENRWSRVECSGDIFHPRHRQAAAAAALKIYVFGGLSNEGIYSCLNILDTESMRWNVISAAGEWPCARHSHSLVSYGSTLFMFGGHDGQRALNDFYSFDTTTLSWNKEITSGRTPSPRFSHCMFIYKHYIGILGGCPIRENNQEIALLDLTHRVWFHVSIPALGQCLCVRSSSVVTDDDLVVIGGGASCYAFGTKFCQPVKIDLHLLESVFELPYKKNNNAIQSCDATHTMDLQEREQNGTFISHNVKSMVDAASNGIAGSDPLVFQLEKKYAKLAKDILKKFGWLDLARKVRVSQDNVLVLFPVSRNFHTLVIDQHSKLLDDDSCISKGLLECPEKKLIGASIALHEALEILSSFHGSFLKDELAHNRKAYKSPQIIMRELISSLLERKGMPSRLLEQLPTRWETLGDITVLPKTCFKDLLWESVREELWQLVAKSLRAQRLARQGKIMPNGTRDSTLELLVGDNGWVTHYENGISYSLDATKCMFSSGNRSEKLRMGQLDCRDEVVVDLFSGIGYFVLPFLVKANAKLVYACEWNPHALEALQRNVMDNHVADRCVILEGDNRLTAPKGVADRVCLGLIPSSECSWATAVRALRVDGGVLHIHGNVNDSDEARWLDNVVESISSIATARGLSWKVSLEHVERVKWYGPHIRHLVVDVRCRSN >SECCE7Rv1G0489340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:455651795:455663136:-1 gene:SECCE7Rv1G0489340 transcript:SECCE7Rv1G0489340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR11 [Source:Projected from Arabidopsis thaliana (AT1G16880) UniProtKB/TrEMBL;Acc:A0A178WLF3] MAVAVAAGTLRTCSGVFPAASGNHPLAGWRALAPAAPAKLRLLSPALRVPRAASPAAVENGSSSNTDTVPTPKVIIDQDSDPDATIVEVTLGDRLGDLLDTMSALRNLGLNVVKASVCLDSSGKHNKFAITKSSTGRKIDDPELLEAVRLTIINNMLEYHPEASSQLAMGATFGLEPPTEVVDVDIATHIEIYDDGPERSLLVVESADRPGLLVDLVKIIADINITVQSGEFDTEGLLAKAKFHVSYRGKPLIKALQQVLANSLRYFLRRPTTEDASF >SECCE7Rv1G0511080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:800726705:800730258:1 gene:SECCE7Rv1G0511080 transcript:SECCE7Rv1G0511080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRWRSKARRDPDLDDAGTPSPARPRAPPSDDEDDAGNEDLTLEIVARARRRREAAGGRPGLAEALSLSSDEEVDEDAVVELGEADPSRRKDKKKKKQRRKERRKKQRKEDAAAADDKEEPQVAGAQEGQTGTVESVPTEDGVDAPASDNTVLRKLLRIPRYFDPGETILETCFNCGEEGHVAVNCPMEKRKKPCFVCGLFGHNAKQCTQGQECFICKKGGHMAKDCPDKHTKNTQQFSTLCLRCGETGHDMFGCSNDYPADDVKEIKCYVCKQNGHLCCTDFSDSCSKEVTCYNCAQSGHTGLGCAKQRRETSVSTTPTLCYKCGEDGHFARGCTNSAKPGRFKGELSSHSRRKDKWKNDSGPRSAPHGSHKRKSPLFEDRWESPRGKSRARGGWIPEDHDDLPSKKYKGNGWDSQSTPKKPYNHHHQRSSGGDYSSPQGQDFSWHNSQYSPSARRHGSSSRFAGNTHHRFERS >SECCE1Rv1G0049230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:639540319:639551002:-1 gene:SECCE1Rv1G0049230 transcript:SECCE1Rv1G0049230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSLEELSARPWLTLLLGLAAAAGMLRVRGQRAPSFISIDCGLDEKTSYVDVIQIPYTSDAGFTDAGSSHNTSAKYWTTRMSQTHRNVRSFPDTVRSCYTLPSLVPGSKYLVRATFRYGDYDRLDNLPIFDLYLGVNFWQTVNVTEVNLAVIAEVIAVIPDDSVQVCLVNVGSGTPFISALVLRPLENSLYPQVNATQGLVLIGRYSFGDTGNVPISYPDDPYDRNWLPFSYPEEWSDISTAEKVTDNIANLRFHAPTAVMQTAVISRTDTKSKTIQFLWETVPNHVYPVPGCIAILYVAELMILDNGDVREFDIIVNGKKTKVPYRPKYLVAEALHNSEAHHGFSQYNFTVIATANSTLPPMINAFEFLSVISTANVGTDSQDAFAINAIKVKYQVKKNWMGDPCAPKNFSWDGLTCSYPTSGRPRITSINMSSGGLRGDISSYFADIKDLQYLDLSNNNLTGSVPNVLSQLPSLAVLYGSNPNLCSDNGSCQLKKKNNYMLAVYVVVPIFVVLVIGALVALLIFRVGKKKGLARGSHGHGRQQMENREFTYKQLKVITDNFKVVLGKGGFGTVYDGLLHDGTHVAVKLLSQSSNQGIEEFMTEAQTLTKIHHKNLVSLIGYCKEEKYLALVYEHMSEGNLEDKLRGKNCTVVSLTWRQRLRIALESAQGLEYLHKACSPPFVHRDVKTSNILLNANLEAKVADFGLMKAFNHDNDTHISTVRVIGTRGYLAPEYATALQLNEKSDVYSFGIVLLEVITGHPAIQQSEEVVHIVQWARLRLTGGNIEEVVDTHMQADYNINGVWKAVDLALKCTQHAPGQRPTMTDVVAQLQYCLELEGENHTNDNSANSGFYMTDDNGDRGLLT >SECCE6Rv1G0418840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:664924525:664926560:1 gene:SECCE6Rv1G0418840 transcript:SECCE6Rv1G0418840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMAAASDRDPFGVLPDELLWRVLSFLPAEDALQTCVLDTRWRDIWRRLTSLLFVFDGPRFPRYNRFKQLVKLVICLRGDSPLIKCEIDAYPDDEPENTFTSTRLLIDYALACKAEELIVRAADIQYDLPVFDVPLSLISQHLKTLYLERVNLDHSALKFSGCPVLEDLRIQLCNIRAREISSTSIKRLCIIDFCALPDNVRLRICAPNLISFQLDDFEGLAPFLENMPLLETAYVRLNDGCSDFCRNNRRNCDDPCCGCHAYPINEAVLLNGLSNAVKLDLIALPKMFLYRSDLKWCPIFGKLKTLLLNEWFTAVDLVCILRQSPLLETLTLKLDNTEDIVRATGAQETKTQSFGCTCLKSVYIECEEVDEGVLAVLNILSTCGILRDQISIKEDPRTDSDFHNPKFNTLIHQT >SECCE6Rv1G0450370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:867696742:867698214:1 gene:SECCE6Rv1G0450370 transcript:SECCE6Rv1G0450370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRHLHDAVSALLLFLLLSAVVPAARAQQETDDESEFSYVCGAENGPGNWGNIKEEWATCGTGRMQSPIDLSDRLASQAPHLGYLNHSYRPAEASMVNRGHDITVMFHGDAGSMWINGTAYHLRQLHWHTPSEHRVNGRRYNMELHMVHLSAQNKAAVVGLLYKIGRRDHFLHELESYLQRMANTTETEENVGVVDPWVARGDGEAYYRYMGSLTTPGCDEGVIWTVIKRVATVSSEQLKLLADAVHDGFGMNARPLQKVNDRDISFFCPDDHHDRYYAATDH >SECCEUnv1G0535650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:59242797:59244385:-1 gene:SECCEUnv1G0535650 transcript:SECCEUnv1G0535650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLFQGTIPASMSQMKGLLVLDVSRNNLSGDIPVFLGDMQGLAILNISFNNFEGEVPKRGLFLNASAALIEGNYGLCGGIPQFNLHPCSNHTSEKWSHKLVVLISVGSAVLCIMLVLFALFAHRKLRSKFTMTRRVPSLHSGQHMRVTYVELVRATSGFASENLVGTGSFGSVYKGTMMNGDQEMIVAVKVLNLHQRGASQSFAAECETLRCIRHRNLVKILTVCSSIDFRGLDFKALVFEFMPNGNLDQWLHSRLLEDGSQGVLTLTQRMDIAIDVASAIEYLHHNKPVPIVHCDLKPSNILLDDDKVAHVGDFGLARFLHQDDTSLPEISSGWATRRGTIGYAAPEYGQGNEVSVYGDTYSYGILLLELFTGKRPTDGEFLQDLNLHSYVEVALREQAANLVDLCLLSSLEEGTTMRAACITSVLQIGILCSKEQPTDRMQIGDATRELLAIRDKY >SECCE2Rv1G0071040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:39815628:39818005:-1 gene:SECCE2Rv1G0071040 transcript:SECCE2Rv1G0071040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPAPEPPRRSSSKWRRGNRKVINGYIEEARAALAAAAARDGDGGDDSAAAAALGLVGAVLEMSPRMEAALELRARALLALRRYRDVAEMLRDYIPSCARSGAGDDTATSSSSTSCSSGSGDLACASRAGLLSPGRELSVSGAGASRFLCCLDVSEIKRRVVAGLSRSSDAETQWRYLVLGQACFHLGLMEDAVVLLQTGRRLATAAFRRESVCWSEDSFSPSNSTANVPAASRRAPSKYSQASGMPPASEADAVSQLLAHVKLLLRRRAAAVAALDAGLPAEAVRHFNKVLDARRGGVLPHSFATACLVGRAAAFRASGRPADAIADCNRALALDPAFIPALRARADLLESVGAIPDSLRDLDHLKLLYDAALRDGKLPGPSWRPQGGVRQREIAGAHRELVARVQQLRGRVAAGDGCNVDYYALLGVPRGCARSEVVRAHLLLTLKLKPDRSASFAERLELVDEHRDLDAVRDQARMSALFLYRMLQKGYSHIMSAVLDEEAAARQRARDDATAAALAATVAAVAASATKQAEEEAAAMQQQQEEEAAAVPESAKTESVRCENISVQVAELPEAIAPPSPPTPRLSAAAMSPMFQGAFCRDMAVVGTLLSRGRFDRPPMAVKCEAMSC >SECCE7Rv1G0479130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:248322462:248328453:1 gene:SECCE7Rv1G0479130 transcript:SECCE7Rv1G0479130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPATRLPEADALPDGFVESSSADQAQPVSSAPAAGDPLHPAIGPDHEGAARADGEETLGAPSIPADAADALRSLSMAAAASEPERAPEQQGPAADIGGAKDAMKESFVVEQAEPLANPKDSGETKRKVVKRNSKLEKDRELLQLAQRYHGVVAERDAAIAVKEKLESLCREFQRQNKMLKEECQRVSTEGQNFRMEMSDKFENAMKAVSVKLEEQKNECIAQFEENNSLRNKLKDLADQYSIIQQKYAHQLKEKTLELELADLKIQQHQAKAAQEHAQMVLYAEQVSQLVTTEKNLRVQLAADGEKFQQFQDALSKSNEVFETYKKEMEQMVKAIKDFRKQNEALKNKCENSDIALVKLIEEREVMKKQLDKYKNQKDKLESLCRSLQAERKQSPSVSIPNDTSNQEDVTSQKQSPSVSIPDDASNQEDVTSQQPEL >SECCE7Rv1G0469160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:106354641:106361604:-1 gene:SECCE7Rv1G0469160 transcript:SECCE7Rv1G0469160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAADPHAALAGAGTPPHGSPVAGKRAAATAWKRPENGPVLVAPGSPIMDADSWPALPGLASPQPPTPPKASSKAAPPPSTVAVILPESLDISDPPDATPDQDALETVILPVSLDISGALDDTTVNDAVTSSPPVRRVLMMPAGDDGPEMHALIPEQSLGYSPNARSNGTGVHHQNGRFGSHPHGRGGSYGGGSRRGNGGGGSRHGHEHHGGFDGQRRGGGRRDGHGPGHQHRVHQPSYIRAPPPLAVLAAGPPAPPFAGPATPQTPPYGTAAPQTPPYGAPMGFPAEMAPHVYYFAVPPSDGLQALPFVPPPPTPPAMLISPLEQLQRDLLVQIDYYFSDENLCKDIFLRRHMDDRGWVPLSLIAGFNQVQRLLYRIGQVKNLTNSLQFILDTVMQSTVVEVQGDKIRRRARWEIWLLPRPNYSDGNSSGSRSPVTSNIDSLASQFQSVGLEGPYYPREALLTRSATSVSIGYQAPTFRGLHSNGSGPIFGQQTERSLLRSDTF >SECCE5Rv1G0369290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:834683237:834685098:-1 gene:SECCE5Rv1G0369290 transcript:SECCE5Rv1G0369290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAYLERRNGLRGDSAAPEFSGRSEDDHHQHLPIVAPEVQPDLALVNYRQTFASDERKSRSCQSCHRSPCSCGGDALHPDLCPTLPAKMMILEFLIRSLRHPTRTHNVSDLDDLISGGASAGDVTLGPSDKMMLESLHALVNAKTRAPKSPSFFLPGAKMRKTRSRSHTITQSEILKLISPETWEMSSPGALSPSKRSTAEPDAHEGTVPSCSGTACLRPNQPGLSSYPPPSSSLSAGLLQCIWKDGLPHFELSLDNPMAMYTASPVKPHDSSKAAAADYIYLFHSDEQGKKDWMGNYSSSVSKLVGKMKVSSSLVLGSDGSGCVETEFVMYGSPDDYLRQMQSTYSAAKGKGLVKRVAEIMRSPNASSSPKHGAWGRFGRPSSPLRFDDDVREMLDADPGGAGKAAGLVSLAADDLPTNQEAAAIVVRERREEPAVGGGGWGLKFLEKAGGAADSESRSEKARWCISAIVPRGYHGGVAPGTGGPSGLVERWRNGGRCECGGWDLGCPIRVLSNDGGAQPQDNKSVTVELSLTGARSGDEPAVRLVSVAEGLYILYFDAGVVSPLQCFAAGVAVVHSQAPQLHPKL >SECCE1Rv1G0047100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:621564241:621568816:1 gene:SECCE1Rv1G0047100 transcript:SECCE1Rv1G0047100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLALLLTLTPLLLPAAAVAAGGNVRRLLHEPLFPIEWTPPPSPPASAPPSPGFSSDPSTPAPPDAVVTPAPPQPSTVPAVVSSPGGPAPRVRSSGGTPKAAIVVASAAAAAFLALFAFAAAFLLTGRVPRHPVQPRVPEHPGGHAHVPGSSDPNAAVAGSSTASHYRKARHERARRGICHDVDTVRSPELRPLPPLRRESAAAGGSSEEEAPYYTPGQHSAGSGSGGAEARGTWTEASASSSSARTTTPSRHSLPSLTSDFFPATPGAATAASASITAAPPPAPPAQRSRRTPPGTRFSAGTANPPPPQPQTFSNPISMRRSLNPVRAEDPSTTMRAAPAMAMMKEKDDGSSSSMRTHGNDGGRPRLKPLHREMARGGASSDDRDMAWDRLKSNSFQSSEEDMIEVLAMNNAAPRKGGMPTQLRREERILDPEKEQSIAILMRALNVTPHEVSDALLNGNGECLGPELLETLVKMAPTKEEELKLRDFTGESSKLGSVECFLKAVLDIPFAFKRIDAMLYRANFENEITYLRKCFQTIEAACDDLKCSRLFLKLVEAVLRTGNRMNIDTNWSEPKASKLDTLLKLADVKGADGKTLLHSAVQEIARSEDEKSDEIAENHIQFRKHGLKVVSGLSSELGNVKKAATMDFDVLHGYVSKLETGVGKITSVIMLESQCNRKGEGFFAAMRGFLKEAEQGIRQVRGEERKAMERVKEITGYFHGNTAKEEAHPLRIFMVARDFVAILDRVCREVGQQDRVFVGSARSFRASATAPSPLLSMHGQHGGDGNSDEATLLGVGVGGREGTTH >SECCE7Rv1G0522730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879086769:879087653:1 gene:SECCE7Rv1G0522730 transcript:SECCE7Rv1G0522730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATQPPRLRVGAVPAALYPPSTSIRARGGGRRTRPVAAAAAEGRAAVKGAAAAVVREFYEGVNRRDLGAVAPLIAEGCVYEDLVFPRPMVGRDRVVGFFGEFMGSVSPDLRFVIDDISGEDPSAVGVTWHLEWKGRPFPFSRGCSFYRCEPDPQRPQQIQIVYGRDCVEPATKPGELALVVIRGVTWILERFPSLADRL >SECCE5Rv1G0340720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:618044809:618047752:1 gene:SECCE5Rv1G0340720 transcript:SECCE5Rv1G0340720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSSHRGTAPTSAAASTSSKRDPEDEPVSDSEEPGDEEDVSSSSGSESESENDQLAERERKLERVLADVPFGELQRARADGSLAARGVSAAAAAQKKARRESRKRPMEISTNVRPPRLREVIQVPKKVVRDPRFEPVYGTVDKEGFRKRYNFLFDHDLPAEKEKLQKSIKKLKDPNAIEEAKNQITWIDKQLRSNPQKNVESEILRGHIKKEREAAKAGKRPYYLKKSEIREKKLMDKYNELKEAGKLDSFMEKRRKKNASKDHRFMPYRRDGGGA >SECCE3Rv1G0188770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:718594484:718599771:1 gene:SECCE3Rv1G0188770 transcript:SECCE3Rv1G0188770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGGGGERRSIRGLFKFADRVDVVLMALGTLGAIGDGCSTNLLLIFASDVMNSLGRGHAQQQGSAPSVHFMHDIEKSCLNFVYLAFAILVVASMEGYCWSRTSERQVLRIRHLYLEAILRQEVAFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFVSGLAFSTYFSWRLALVSYPLVLLLIIPGLIYGKYLLYLSRESRREYAKANSLVEQALGSIKTVYSFTAEKGIIQRYTAILDKTINLGIKQGIAKGLAVGFTGLSFAIWAFLAWYGSRLVMYHHESGGRIYAAGISFVLGGLSLGMALPELKHFIEASVAATRILERINRVPKINDDDPKGLVLDQVRGEIEFESVRFVYPSRPNMTVLKDFSLQIPAGQTIALVGSSGSGKSTAIALVQRFYDASEGTVKVDGIDIKKLNLKSIRSKMGLVSQDHALFGTSIKENILFGKPDATMDELYAAAMTANAHNFIMGLPEGYETKIGERGALLSGGQKQRIAIARAVLKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGSIAEIGTHDELINKGGPYSRLVKLQKMVSYIDQETDQFRASSAARTSASRLSMSRASPMPLTPGVSKEAESYVSPPAPSFSRLLAMNAPEWKQALIGSISALVYGSLQPIYALTIGGMIAAFFVQDHNEMNAIISRYALIFCSLSLVSIAVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEDTNSSGSLCSRLSDESSLVKTLVADRISLLLQTACGIVIAVTMGLIVAWKLALVMIAIQPCTMICYYAKKIVLSNVSRDLAKAQYESTQIAIEAVYNHRMVTSFGCSSKILQLFEHTQEEPLRKARKKSWVAGITTGLSPCLTFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGSNAVASVFEVLDRKSISPQNSQVEKDTPKSKIQGRIEFKKVDFAYPTRPQCLILQDFSLDVKAGTSIGLVGRSGCGKSTIIGLIQRFYDVDRGAVRIDGMDVREMNVLWYRGFTALVSQEPAMFSGSVRDNIAFGKPEADEEEIVEAAKAANAHEFISSLKDGYDTDCGEHGIQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMTGRTTIVVAHRLNTIKNADSIAFLGEGKVIERGTYPQLMNKKGAFFNLATLQK >SECCE2Rv1G0065510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:8925782:8930663:-1 gene:SECCE2Rv1G0065510 transcript:SECCE2Rv1G0065510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW7 [Source:Projected from Arabidopsis thaliana (AT1G59520) TAIR;Acc:AT1G59520] MAAPPSASASASSSPSGEIPVERSPTDLGAGDAPSRAELLSMVKKHSHLIGWTVVEAEDDPSDVEMDDKFWHEMLDLFFVRGRVSRSREEDDLVFFVNSMKMEDLPPFFVRRWAPALEKLINANSTEVDWERSFYLNLVAHTSYTVTVALCSISNLRNRADKSKRLPPIYKVSKTVYASPSRVNFRLDQRKAVETVPAYPNIYFSVDDFDDPFDAVVLSDPEHCYCVILNAHDGAAFPEDSESSNVSSNIQSGFNSGSSGENPPKRTLFSGYVSYQNVREAYDAGRSKFGSFLSLGQDNTKLDKLFMRGPEGRGEVEVAVSGIADQSRESSKKDAGDNFRVLVRKAASAASKLAEHAFEAASANKRSDDKLLPLKCCLMSVSLPWDFIAHDLLHKETPPLDL >SECCE6Rv1G0451990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874699866:874700144:-1 gene:SECCE6Rv1G0451990 transcript:SECCE6Rv1G0451990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETEKHLVFPLVYRIIELALLLPVSTASVERAFSAMKIIKSRLRSKMNDTWFNDLMICYTEREIFKGLDDDAIIKRFQAMKYRKGQLPRSN >SECCEUnv1G0554960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:294867769:294868743:1 gene:SECCEUnv1G0554960 transcript:SECCEUnv1G0554960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSSPASAVSGSAPPPPHVVEDCMGIVQLLSDGAVRRSLDYSHLPMLRHVPSDLPVQWKDVVYDAGHGLRRIIGCTGQPPPTSQRRSIRSSRCSSTSTAEASASPASSGLTSTRARSGWPASSRRSCSPPTTGSPRSTASPPRTGTPRPSSRGGNMVHHVTVRLGSGALALGHRLRVVGCVILWPYFGSEERTASEAEAEAMAPSSEFDPGRNFDQMWRLALPDGATRDHPAANPFGPESAPLDDVPFPPVLVAKAGRDRMRDRVAEYVARLRAMGKPVELAEFEGQGHGFFVFTPFGDASDELVRVLRQFVCTCTATSHR >SECCE4Rv1G0244390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:514508184:514508636:1 gene:SECCE4Rv1G0244390 transcript:SECCE4Rv1G0244390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGKAKKSAEDARDDGGGSGGEEVAPTDHRSGSPLKPLKNEGADEVEEIAKAAEGADSVKKPVVPTKDADSTLGGIPDGGMKKPRRRRRRRLQVKRSSESVEDVGDKDVDDMRTKSNGFRRNGSRRKNSTPRRAAEAGVVSVCDRWV >SECCE1Rv1G0042520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:581290415:581294891:1 gene:SECCE1Rv1G0042520 transcript:SECCE1Rv1G0042520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVGTAPRPGARLPAASRVDKATSHLLQGPDWAVNLEICDTLNADRWQTKDVVKAVKKRLQNKDPKVQFFTLTLLETMMKNCGEYVHSEVAELHILQEMVKIVQKKHDMQVKDKILILLDSWQEAFGGPGGKYPQYYWSYIELKRSGVMFPRRPMDAPPIFTPPVTHQSQPYGSPAYPTGSLNDRMASDVETLSSGDLDNIRDATELLSDMVNALNPADRMAVKDEIVTELVSQSRSNQQKLMGFVSSTGNEELLKQGLEINDRLQSVLAKHDAIASGSPLPVETPRRDEIPRDDPTPQASAPPVVAQNETPVEEDEEDEFAQLAKRKNKSVINSDEASSSVGDHALIPIDDATSEASSSVASNAIVPVESASVSGTRTKEQDMIDLLSLTLYSPPEASTDSSTQSQTDSQHTAVSNGSQLPPNYQPAASNGQHYPSNNHAYPTNQGYTPYNSYVAPWAQSEQSAQTGAYPVQPPQYTSTYPAPPQYTSTYPAPPWAMPTSANSVNPFQPATYQTQNPPVASVASTAYPAPSTPYASPLMQHVPSPTPNPSPMQQQSSSVSHANNALAIVPDARVNGNQRPKEAPATAAKPYYMPDNLFGDLIDVKSFGAAGKTSRSTNVPSSKGGGQPMIGGKK >SECCE7Rv1G0490780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:505536375:505537794:1 gene:SECCE7Rv1G0490780 transcript:SECCE7Rv1G0490780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSSVSASSKHCSVVRAQHSPLPSILSTSPAKKTAFHGVSLVDSRWLRSCGARLRVVQVNAKTAGAAKNIEVEVDKPLGLTLGQKSGGGVVITGVESGGNAARAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGGGDIDVKRLPKRPAPPRFGRKLTDSQKARATHICLDCGYIYFLPKAFEEQPDEYGCPQCNAPKKRFARYDVESGKPIGGALPPLTVIVSLVIGIAGIGALLVYGLQ >SECCE3Rv1G0184560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:664281189:664285352:-1 gene:SECCE3Rv1G0184560 transcript:SECCE3Rv1G0184560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFRSKRRLLPYVRRLLHSAAAPSPNRFLRHASPVPRAADLSPFLRFPEPRVSTLPTGLRVVTQSYPAATRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTERRPTAKALEVEIEDMGARLNAYTSREQTTFFADVQGRDVPGALDVLSDILQHPRFPQQAIQRERGVILREMEEVQGIMEEVIFDHLHTAAFREHPLGDTILGPTENIKSISKKDLQQYISTHYTCPRMVVSAAGAVNHDEVVDQVRKLFTGFSTDPTTADQLVEANPAIFTGSEVRVEDAEMPLAHIAIAFKGSSWTDPSSIPLMVTQSIVGSWNRSIGVGNCSGSALARGISNGGLAENLMAFNTNYRDTGLFGIYTSAPPDALHDLSRLIMEEFRRLASRVSETEVARARNQLKSSLLLHIDGSTAVSENNGRQMLTYGRVMPFLELFARIDAVDCNAVMETAKEFIIDKDVALAAVGPISNLPELSWFRSQTASDDGFISRVFSLGAQNN >SECCE3Rv1G0157970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:84822000:84823867:1 gene:SECCE3Rv1G0157970 transcript:SECCE3Rv1G0157970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVAALLLISLPLILLSSLLLGRKSKAARPPGPWSLPFIGSLHHLLTPLPHVALRDLAKKHGPVMYLRLGQVDAVVISSPAAAQEVLRDKDLSFASRPSILASEICLYGNLDVAFAPYGAYWRTMRRLCTTELLSARKVRQFAPVRGGEILRLVDTIRSAGRGGEAVNLGSLVMSCTNTVTAKVTFGEGCAGELQAQFLAAIEVILRSSGGLCVGDLFPSMSFVDVVSGMKRRLWRARRQLDTVLDKIIAECEARREQNKTGDDEDLLRVLLRIRDEGQLKDMFAGGTETTASTTEWVMSELIRNPGAMAKAQKEVRCAFDHKNPQDHEAHMEELCYTKMVVKEAMRLHPVVPLLLPRVCRETCDVHGYRVEEGTRVFVNAWAMARSPEYWQDAEEFRPERFEDNAADYKGTQLEYLPFGSGRRMCPGNIFALALVELVVARLLYYFDWRLPAGMQLEELDMDTIVGLTAKRRNQLLLVASPYNVPIHKKIDDCKAH >SECCE1Rv1G0000020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:388564:393325:1 gene:SECCE1Rv1G0000020 transcript:SECCE1Rv1G0000020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGRPAVATAAALLLSPAAPAPRLPRRFLSLTATPYPLYYDLLVHRPVKTPKSTPSDAAAPRPPPPPDAEGADGQQQPALDRAQRKYLRKRRSRQLPDPDATTGTKPTTTSEMVELRPEVVDFPRLHAREEALYFHDAFAMPWEKDKHYRMLYRLEKKYFPAQSLDNAFVAADAAQPPSDAADKGLVFFEEEKEGEAAGKKKEGADKGEVLERKVEDFFRALKKGPGEGKADDASTAAKKKTVLGGAPRQVKRDAEREEDDWPRPHLASTRTELPPRWDGPTGTVVLIDKPKGWTSFTVCGKLRRLVKVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQRESWEHIKDEDIRKAAASFMGEIWQVPPMFSAIKVGGEKMYDKARRGESIELSPRRISIYKFDIERSLEDRQNLIFRVTCSKGTYIRSLCADLGKALRSCAHLTALRRDSIGDYSVNDAWNFDELQEQITKGYL >SECCE2Rv1G0080330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:129200209:129201459:1 gene:SECCE2Rv1G0080330 transcript:SECCE2Rv1G0080330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSRCRSGWPDLPPDLLGVVLHRLPSLVDRVRLRAVCRAWRSCARLQPPPPVQLPWVIFGDGTLLDVANNTAHRVRLPNDAAGCYSAGENMLFVMHDDGRCSLMDAFSGAMSPQPELAALLRHYNVDPHDGMYRTRIEKVVVSMPSAALPLIAVLTSDCRVVVSTRRQGGETNSCLVLSELFIIDIALFQGTIYGLSHNEELLGFDLGNGRLLKPTPAGVKPDVTGGVKLIEGGRAQNSVGSRDSYIRYRIQKRFPDSVARLYLVESNGKLLMVKRWVRWEMPDRKAKQRVRSDADGPDYKRMEWTRRLDVFEADLGDGRPRVGRWKKVDDLGGRAVFVCARCSRSAPAGDGARQDSIYFLRRQYPGRKPGDGSLGDSGVYDMRHKTITSLPSVPAPLPWDSPRFPTWFFPVEN >SECCEUnv1G0540340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78945260:78946828:1 gene:SECCEUnv1G0540340 transcript:SECCEUnv1G0540340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVAWFLLPLLALFIPLLLARLLTHHAAGEPKLPPGPAAVPGLGSLLLLRNSLVDAEHLLRRLVARHGPVVSLRVGSRLSIFVADRRVAHALLVERGAALADRPDLVVNSGCTVSGASYGPAWRLLRRNLVSETLHPSRARLFAPARAWARRLLLDKLRERQSGPHGGVMVMDAFRHAMFFLLALMCFGQRLDEAAVRAVGAAQRDWLMFAARKTSVFAFFPAITKRLFRGRLKTALALRQRQKELFMPMIDARRERKIKRVATEEGTTLEHSYVDTLLDIKLPGKEESSRALTDDEMVSLCSEFLNAGTDTTSTALEWIMAELTKNPRVQEKLYNEITKAQQQRGDGDGDQEEVSEEETHGMPYLKAVILEGLRKHPPGHFVLPHRAAEDMEVGGYLIPKGASVNFMVAEMGRDEREWERPMEFVPERFLPGGDGEGVDVTGSREIRMMPFGVGRRICAGLGVAMLHLEYLVANLIKELEWQEVAGDEVDLAEKPEFTVVMAKPLRTSRLLVAEPACWN >SECCE1Rv1G0041710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:573475906:573477435:-1 gene:SECCE1Rv1G0041710 transcript:SECCE1Rv1G0041710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLLIAGAVLLLTLLALLRNAAARRRLPPGPPALPLFGNLLWLRNSASDVEPLLLGLFKKYGPIALVGAGVALADRPRAATSSLLGVTDNIITRANYGPVWRLLRRNLVSETLHPSRVRLFAPARAWVRRVLMEKLREEETPDVMEAFQYTMFCLLVLMCFGERLDEPAVRAIEEAERAWLLYISRKLTVFFFLPAVTKHLFRDRLRVAHALRMRQRELFVPLIHARREYKRLVGEGRAPAKETTFEHSYVDTLLDVTLPEEGDRALTDDEIVALCSEFLNAGTDTTSTGLQWIMAELVKNPAVQDRLYGEIKATCGADGEGEVPEEKIDAATMPYLKAVILEGLRKHPPGHFVLPHKAAEDMDVGGYLIPKGTTVNFMVAEMGRDEGTWEKAMEFAPERFLEGGDKLAAVDLYGSKGIKMMPFGVGRRICAGLSIAMLHLEYFVANMVKEFEWQEVAGHEVDFAEKREFTTVMAKPLRPRLVPRN >SECCE7Rv1G0513360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:821060120:821062540:-1 gene:SECCE7Rv1G0513360 transcript:SECCE7Rv1G0513360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPITATFIALACLCLAPAPGVAATLSARRPLRGNDTLVSAQGKFELGLFTPAGSSGDRFYLGIWYKNIPGQTIIWVGNRASPLSAVASAELRVSADDGNLELVGPTGASASPVVVWSSNLSSSLSPGSNNKAEIHDNGNLVLVDGGNSSNVLWQSFDHPTDTAVPEAWVGVNKITGEYQTLTSWRNAQDPAPGMFTNTMDPNGTSEIFYMWNRSRVYWRTGIWTGRGYARLPEATKNTVFYDTYIETPAYRRAITVLYDNTTITRIVHDVTGQSKMYLWMPTGQSWQLLWTGPMLQCAVYALCGAFGVCDQGGKIPCHCPPGFTPVSEGDWTLSDWSGGCRRSSLLTCAHNGSTSDGFLALPDVKLPDDSLVVGAAQSKVECESTCLKSCSCQAYAFSAGKCTVWHGELRNLQQLYVDSDSPGSDLYLRLSERGLQDLRRVDRKKMGFPSWLVLAIVAVGASVILAWRIVLARRRKLDSIANENGSSLAVYSYGDLRAATKNFSERLGGGGFGSVYRGVMKEQKGDNTAHVHVAVKKLESLGQGDKQFRTEVSTLGCIQHVNLVRLIGFCSSRDEKMLVYEYMSGGSLDGLLFRDGECLSWRDRYCIMVGVARGLAYLHLGCRECIIHCDIKPENILLDEVMSPRIADFGMAKLVGRDFSRALTTMRGTIGYLAPEWISGQPISAKADVYSFGMVLFELISGQRNSEGYSKVEATGSRSSDSWTFFPVWAAGKIVEGEVGVVADPRLRDEVMPEELERACRVACWCIQDEEAQRPTMAQVLQALEGGVHVHTPPVPRALQHLVMN >SECCE6Rv1G0413050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:619789133:619790540:-1 gene:SECCE6Rv1G0413050 transcript:SECCE6Rv1G0413050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription activator, Gibberellin (GA)-induced stem elongatio [Source: Projected from Oryza sativa (Os02g0776900)] MMMMGGRAGAGGVGAGGGRCPFTATQWQELEHQALIYKYMASGVPIPSDLLLPLRRSFLLDSALATSPSLAFPPQAALGWGCFGMGFGRKAEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPPPPPSSSASSSSSNVHSAVNVATTTSSPAPSYHRHAAATHDTTPYHALYGGPYASAGRQQHASAYHHAAQVSPFHLHLDTTHPHPPPSYYSTMDHSKDSYAYGHSVKEVHGGGEHAFFASDVATDRDHHHHHQHHAGAGGNGQWQFKQLGGMEPKQHNPTSLFPGCGGYGNNAAYAIDLSSKEEDEEKERRQQQQHCFLLGADLRLDKPSSGHGDSADQKPLRPFFDEWPHEKAGSKGSWMGLEGETQLSISIANELPITTTSRYHHGE >SECCE3Rv1G0201350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:863327306:863328379:-1 gene:SECCE3Rv1G0201350 transcript:SECCE3Rv1G0201350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSLVGDGKTVGGHAVNVDTARGYHLLVVKGYSRTKDTAPTGTAIVSLPFTVGGHRWCIRYYPNGNDSESADSISLFLQLVDENIAEVVKVQYKFSFVDTLEKQDSIYNQSNFSSSSLAWGYKNFLKRDALEKSDNLKDDCFTIRCDLTIATAIDIFIKVPPSGLQQHISDLLLSEEGTDVMFMVCGEKFAAHRCVLAARSTVFKAELFGSMKERTIASVVDIEDMEAKVFRALLNFVYTDSLPHMKIDMAEEEGEAQEALWLQHLLAAADRYDLQRLKALCEKKLCEHIDVSSVTTILTLAEQHNCGGLKEVCFEFIKTPANLKEITAADGLEDIARTCPSLLKELIAKFAS >SECCE4Rv1G0247030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:552282944:552285083:1 gene:SECCE4Rv1G0247030 transcript:SECCE4Rv1G0247030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGVITHRSVEVNGVRLHVAEAGPAGATVVLLLHGFRELWYTWRHQMPALAAAGYRAEQVFVVTHNWGAITAWSLCQFRPDRVKALVALSVPFTPRSPAVKPVDAVRALYGDDFYICSFQEPGAIEAEFKRLGTELVLRKFFDDRTAGPLFIPKSGWGSPDDEVPLPSWITEEDVKYYTTQFDKSAFTGGFNYYRALNKTWELTSPWTGAEIMVSTKFIVGDLDLTYNIAGAKDFINKGGLKKFVPLLDGVVIMKDVGHFINEERPEEISAFIINFIKKFN >SECCE1Rv1G0054540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675309252:675313658:-1 gene:SECCE1Rv1G0054540 transcript:SECCE1Rv1G0054540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVMSASQGAMQTMLGKLGGALATKYALLSGVRGEIGELKDELESMTACLRDLAHDDDHSEHTRTWMKQVREVAFDAEDCMDRFCHHLSQNHGDRQGLLEYLYRMLNMVRTLRVRHKVATDIQNLKSRAQKVSERRLRYTHTLGDSARRWGEALDTSYSYLDNLDRWMPAIHGDGSGLVGMGNMTDTVVRLLNEQRQAAAGPQVLSIVGFGGLGGKTTLATTVYNTPKLRGIQCRAFVPVSQTYDVCSLFESMLKQLSVSAGNDKNEDPLRYIKDWGITELVAKIKQCLTHKRYLIVLDDIWRAAAWDQLKVAFPHDNAGGGSIVITTRSHEVAKSCCTSPNGRIYEMEPLPQNDSEKLFFKTVFQSEECLIYLLKVSKAILARCNGLPLAIVSIGRMLARRQNKTPAEWQSICDRLGSELETNPTLEGMRRILALSYNDLPYHLKACFLYLCAFPEDFEVRRGSLIRRWAAEGLIIGMYDRSLEEIAQIFLDEFVSRNIVIPGQIGYNGKIKSCKVHDIMLEVIIAKSVKENFISFLGSSQYNTTEGHDKVRRLCIHPGGAKEKKTFSSKNIAHTRSLTILDITEKPVPIKFSRLTLLRVLDLEGCGWLSDKDLKDICKLPLLRYLSLRNTAISQLPNAVGKLKELVTLDVRETSVAEFPKGITRLKNLNHLFVGRYAYYTRTRSVKHFGWNDGAKVPLGLGNMGALQRISHVDISTEKSSELGNLLQLTRLCVINRKKAKFWEPFAESLNELSISLRYLMVVDCSEEGMELEFLVGLRNAPVFLQSLHLTGRLTKLPDWVSSLHNLASLSLRDTHHLAEASFDILGDLPSLVSLKLYYRGYAGSALRFEEGGFLRLTQLVVDNQENLEELSFSGGALNLERLTLAFRREGGIKGIDKLPQLREVEFFSHIIDSIFNDVFKAARRHPNRPKVTRDDRPTTEAAQASSQQMNNTGPGTGSGEQEPTDEQMDSPADDEAAPAWRLLPSTCPLAYIL >SECCE1Rv1G0005490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:26019315:26021604:-1 gene:SECCE1Rv1G0005490 transcript:SECCE1Rv1G0005490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGNGQVAGNGNGLCVAQPAWADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTMEGASLTIATVAAVAAGSETRVELDESARGRVKESSDWVMNSMANGTDSYGVTTDFGATSHRRTKEGGALQRELIRFLNAGAFGTGGHEHVLPAAATREAMLVRVNTLLQGYSGIRFEILETVAALLNANVTPCLPLRGTITASGDLVPLSYIVGLVTGRANSVATAPDGSKVNAEEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILSLLAEVLSAVFCEVMNGMPENTDHLTHKLKHHPGQIEATAIMEHILEGSSYMALAKKLGELDPLMKPKQDRYALHTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGMPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQNAEQHNQDVNSLGLISSRKTAEAIDIFKLMSSTFMVALCQAIDLRHLEENIKDAVKGCVKMAARKTLSTNNNGHLHNARFCEKDLLLTIDREAVFAYADDPCSSNYPLMQKMRAVLVEHALANGEAERDVETSVFAKLAAFEQELRVMLPREVMAARTSVENGTTAQQNRITECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFVAMNQGQHIDALLECLKEWNGEPLPIC >SECCE4Rv1G0243660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:504776760:504780382:-1 gene:SECCE4Rv1G0243660 transcript:SECCE4Rv1G0243660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGKKLALASIGFAEARGAGGFKDDSPLGAGPHKEGYLDGDVSVRLPDVGAAVKTFLRRREIAEFVSGAMAGAMTKAVLAPLETIRTRMVVGVGSKQIFGSFMEIMEHSGWQGLWAGNTINMIRIVPTQAIELGTFEYVKRSMTSAQERWKEDGCPKIQLGSLTIELPLHLLSPIAIGGAAAGIVSTLVCHPLEVLKDRLTVNCEAYPRSIALAFKKIYQTEGVSGLYAGLCPTLVGMLPYSTCYYFMYETIKTSYCHAHEKKSLSRPELLVIGALSGLTASTISFPLEVARKRLMVGALRGRCPPNMVGALAEVVREEGLKGLFRGWVASSLKVMPTSGVTWVFYEACKDILLAPHLA >SECCE6Rv1G0433030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:755432967:755433813:-1 gene:SECCE6Rv1G0433030 transcript:SECCE6Rv1G0433030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTTLCFLMVLLLLGNCAHAGNSCKEHRTLEIGCLRKYCRENCIRDYQGHRVRNAYCTGFIPFIFCVCDVCDD >SECCE4Rv1G0225060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:87374916:87378165:1 gene:SECCE4Rv1G0225060 transcript:SECCE4Rv1G0225060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAVIGQFLLVLIAASSAAAQAVVTSTYYGNETDRRSLLEVSLCLANQGLSGHISPWLGNLTFLRNLALPTNTFIGEQLQLSTNDIGGTIPPSIANVTALRKLSCAFNRIQGSIPDELAELGRLEILYLGSNLLAGKFPPAVLNLSSLIGLGLNTNMLSGEVPSDLGNSLPNLQILSLAQNLFHGKIPHSFVNAPSLCVLDISTNNFTGVVPSSIGRLSKLDKLNIETNKLQAHNKQEWDFNLLEGQVPSSLSNLSIHLQYLFLGQNWLSGSFPSGIASLPNLIILGLDYNRFTGVVPDWLGAFNFFAKAKLIQLSTNMFTGNIPSSLSNLSQLIELLLDANQFIGHIPTSLGNLQSLEILSVSNNNLHGGVPESIFSIPTIREIGLSSNNLHGQLPAEVGNAKQLVILLLSANRLSGDIPSTVGACKSLEDIELDNNIFNGNIPTSFGNLFGIKHLEHLDLLFNHLEGKVPVHGIFKNATATHIDGNQGLCGGASNLHLPPCSVMSSNLSKHRLPVILKVAIPLACMLSLLATVIFVLLWRRKNHMRSSMSPLSFDSKFPKVSYKDLARATDGFSVSNLIGTGRYSSVYRGKILEEENVVALKVFCLETRGAQRSFIAECNALRNVRHRNLVRILTACSSIDSSRNDFKALVYEFMPGGDLHSLLYSTEDNGNSLKQSYISLAQRLSIMVDIADAMAYLHHNHQGSIVHCDRKPSNILLDNDMVAHVGDFGLARLQMYPMPSSFGGSTSVSSLAIRGTIGYVAPEYGGGGQISTAADLYSFGVILLKIFIRKSPTDGMFKDGLSIAKFAEVNFPDKMSQIVDPQLVQELDLCQEAPLAEDNGVHCLLSVLNVGLCCTKSSPSDRINMEDVAGRLHRIRDAYIGG >SECCE7Rv1G0506520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:748982098:748985646:1 gene:SECCE7Rv1G0506520 transcript:SECCE7Rv1G0506520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescence phenotype 173 [Source:Projected from Arabidopsis thaliana (AT1G16720) TAIR;Acc:AT1G16720] MVAAVSPPGAAGAATIIASFHGTHCASPSRARPRYHGGTCCFAAKPTPAAAVASEPGQDQEDDEGGVLGAANGADATPSTRKKRRARKGKRSAAAQQQEAAEEEARRKAEEEEAAAKKKKAEEESRAAALDLDEVMAVSPVGLGRRSRQLFDEVWRKFSRLGQMSSASATEALAEAEQAVLSRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRDDPEVIDMLPRSVDIAVGDVGDPLAVQSAVSGCSKIIYCATARSTITGDLNRVDNQGVRNVSKAFQDYYNEMAQLRAGKSSKSKLLIAKFKSAKSLKGWEVNQGSYFPNAYASGSSFDEGIDASFEFSEGGQAVFAGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLLSVGGNGRSYVVILETGPLADTSQSKKYFARMTTKVGFCRVRVPFSAFRPVKPEDPPLDPFLVHTFTIRFEPKRQRPGDGTQGATDPRNFELILEYIKALPTGQETDFILVSCTGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQAISCADVADICVKALHDSTARNKSFDVCYEYVSEQGNELYELVAHLPDKANNYLTPALSVLEKNT >SECCE2Rv1G0118780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785573907:785574116:-1 gene:SECCE2Rv1G0118780 transcript:SECCE2Rv1G0118780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVFVGLALFVLLSPGLLFQIPGKGRIVDFGSFQTSGVSMLVHAVIYFALITILLLAVRVQVFLG >SECCE7Rv1G0500530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:668837642:668841625:1 gene:SECCE7Rv1G0500530 transcript:SECCE7Rv1G0500530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSIDVERISFGGKEHHIQTKHGPVSVAVYGDHDKHALITYPDVALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGATPILPNSPVASVDELADQVAEVLDFFGLGSVMCLGVSAGAYILTLFATKYRERVLGLILVSPLCRTPSWTEWFYNKVMSNLLYYYGMCDVVKDCLLQRYFGKRVRGGSAVPESDIMQACRSFLDQRQSMNIWRFIQTINQRHDLTESLKQLQCRTLIFVGENSQFHTEAVHMTAKLDKRYSALVEVQACGSVVTEEQPHAMLIPMEYFLMGYGLFRPSHVSSSPRSPLNPFCISPELLSPESMGVKLKPIKTRANLRV >SECCE6Rv1G0441970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:813522536:813531011:1 gene:SECCE6Rv1G0441970 transcript:SECCE6Rv1G0441970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRSGVARRMDAAIDHFGQMGYRKADVCSVVNKLLQVYGKDGWSFLEDGCYLVVQEALLEMQEEEEKLQLQVLPEQQLEQYEDDDGGRDDEEEAQLHKEAEMMEEPLEEFIPIAMVLPYEIVLADEQLEEVFPMPVHPPSPRVASPDLASTGTKRTRRPCYGWISDSESDSDYEEYLAHRQLQVHVPAK >SECCEUnv1G0561820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:359229472:359230041:-1 gene:SECCEUnv1G0561820 transcript:SECCEUnv1G0561820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHIETMAQSVAEGARSVPGVEVTIKRVPETMPEEAFKAAGGKTGQTAEVASPAELGDYDAIIFGTPTRFGNMTGQMRTFLDQTGGLWAKGALAGKVASVFSSTGTGGGQEMTITSTWTTLAHHGMIIVPIGYTTPELFDISQVRGGTPYGATTIAGGDGSRQPSAEELRIAVHQGKHVATIVSKLVS >SECCEUnv1G0537100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67444789:67447625:-1 gene:SECCEUnv1G0537100 transcript:SECCEUnv1G0537100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVAGGVPADEESGLLPRARPPAASAVRRPPSSSSSAAAPRRAPPPAVWATVDGPLGMPLEDAEGHARRFFLWGFACLPFLWAINCCYFWPVLRSPAASSPPAFAPIRPYVVRSAVGFTIFAAVLLTWATTFIVGGERLFGPVWKDLVMYNVADKLGLTGFMG >SECCE2Rv1G0116350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:763374009:763377895:1 gene:SECCE2Rv1G0116350 transcript:SECCE2Rv1G0116350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MSTTIALLLVHLHLLLCGHGAAAVAATATPPPLPVLPVPSYAQLKWQLSEMALFIHFGPNTFTDSEWGSGHADPAVFAPSELDAGQWARVAAEGGFGRVVLTAKHHDGFCLWPSALTDYSVAASPWRDGAGDVVGELSAAARAEGIGLGLYLSPWDRHEPVYGDTVAYNEHYMGQMTELLTRYGDVEEVWLDGAKGDDTYMDYMFDAWFALIHQLQRRVVIFSDAGPDTRWVGDEAGIAGRTCWSPFNKTVVTIGHIIPEYSQSGDQFGEEWVPAECDVSIRPGWFWHASEKPKSAVTLLDIYYKSVGRNCLLILNVPPNSSGLISDEDMQVLQEFTEIRRTIFSHNFAANATVTASSVRGGLENLQFAPSNTLQDSIYSYWAPQEGQTSWEMLFDLGRSTSFNLLQLQEPIQMGQRVIEFHLDVLLGGLWQTILEGTTIGYKRLLRFPTIEARYLKLYVDSARADPLIAFVGVFMDPFSDVYGLDHETPSHTNSSEVIMLRRGHAAGNRSTATM >SECCE2Rv1G0136940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:915478949:915480802:1 gene:SECCE2Rv1G0136940 transcript:SECCE2Rv1G0136940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFTGLKIYTGDEDGVSRPAGGTRGVATTGKEVISSKVGTSTRREGRGLRDANRIGGERARRERQLLRDSINSVPGKKNPPPRNHEKEEGAIPIYCRAWDTSFGGVCGSFDDETALGPMRYTSGPIPENAVPASTLQIFSVRITDLKDGLWWPLHVYGFVATRDGADHNRNFLFRRTRDNCQILSKKDPVLLLTGPSRAVLLAGLVTFEVQLMAKSKTKLADEVLASKVFYFHQGSRREDSICTRIPYKHCTLEFALAPLRHSVEATVTVQLVDGSWPDGHQGQVFSCTDSIKDTKMLLLDCPDGTMPIGPDGMFELSRRVVCAELGGRDKLMVSVQARRVGFLTRNQAVFEPKMSGMSVGMCDLRFCKMQVTVAWSLLSTSGTHAGGK >SECCE6Rv1G0419010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:666020921:666021821:-1 gene:SECCE6Rv1G0419010 transcript:SECCE6Rv1G0419010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRSMRTCLHSGRLAALAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSDSPSIMSNENDGWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFAVFGLAILWFLQMNSEDEYSAF >SECCE6Rv1G0444940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:832617920:832618471:-1 gene:SECCE6Rv1G0444940 transcript:SECCE6Rv1G0444940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFMCPRCRAGVERRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLRKKVRKLEDQAQIAIPICNYFWAVVGMVLALVIMLKMYGKA >SECCE2Rv1G0080840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:133163604:133164482:-1 gene:SECCE2Rv1G0080840 transcript:SECCE2Rv1G0080840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVLSALLLLSLVAVSSAEEFDFFYLVQQWPGSFCDTRKGCCFPDTGKPATDFSIHGLWPNYAKCKTRGELDGALEMVTKRKKKCWPESCNNERLKLWEIKDLLKELNSHWPSLACRGGKSIQFWTHEWEKHGTCSNLDQHGYFATALGFKARHNLTGILADAGIVPSDSETYFLSSIRDAIKQGTGFTANLQCNRGVAGETQLFQVYQCIDRAGENLIDCPLPMQGNCKDRVQLPVF >SECCE1Rv1G0005940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28601054:28601632:1 gene:SECCE1Rv1G0005940 transcript:SECCE1Rv1G0005940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRFALEERNMARMLLLVSREQAMPIPVPVAARGDRAREREFVCKTCDRVFPSFQALGGHRASHKKPRLDGDGDLKPKMHGCSICGLEFAVGQALGGHMRRHRAMVAGGGGVMMAPPATATNNPDESSNAVVVVGSGGGIKRGLWLDLNHPPCDDAGDADHGECGHDAAAAGYTFHQFLDAGTMPVDCVGY >SECCE4Rv1G0262620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:708823703:708824026:1 gene:SECCE4Rv1G0262620 transcript:SECCE4Rv1G0262620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSMSSKMASRKKPAPKLDVTFCCPFCNHPDSVACTIDLKLLVASAVCYICEEAYHTTAHYLTEPVDIYHDWIDACEKANQEVDVREYHKRQRRVGSDDDDSDT >SECCE6Rv1G0405390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:530299101:530300773:1 gene:SECCE6Rv1G0405390 transcript:SECCE6Rv1G0405390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASASAAALRSSSGRRCGVGTGLNGGNKFLMMQRRDLVTKGVTFTVCSSLLASSTSSDVAAAQALERLPFKADGYNFWTWRGRRIHYVEQGSGQPIVLIHGFGASAYHWRYNIPELAKKYKVYAVDLLGFGWSEKALVEYDATIWMEQVSDFLREVVQSPSVVVGNSLGGFTTLFAATEVPELVRGVVLLNSAGQFGDPNAAPKAEEAAAEEEVSAVTRLIVRPLKEAFQRVVLGFLFWQARQPARVEKVLKSVYKDPSNVDDYLISSITAPTADPNAGEVYRRLMSRFMANQSQYTLDKLLGKLTCPLLLLWGDLDPWVGPAKAARIHEFYTNSTVVNLQAGHCPHDEAPEQFNAALLQWLASLEEEVGDKPAEPSLQVV >SECCE4Rv1G0262170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:706261707:706262861:-1 gene:SECCE4Rv1G0262170 transcript:SECCE4Rv1G0262170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHATNIAPHTGQHPPPSLAHAARVRSRSATCSLPGNLALPSRRSSRSCHVEALAVHATCSQGTSNGNIGQDIQLRTDKFFELEMTVHDSELDQYGVVHNAMYVVYIHKAREEMAASIGFSMTSIARTGNAMAVLELNLKYFKPLLRGAKFVVKVRLVQIKGTRILVDHIIETLPDRALVLEATATIVCLNKDYRPTRVFPEMSSKLQRFFSSQDDDQLTSYKVLC >SECCE2Rv1G0141010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934133906:934134934:-1 gene:SECCE2Rv1G0141010 transcript:SECCE2Rv1G0141010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAAAVAVLALLSAAVHSSEAQLSPNFHAATCPDLEHIVEFHVAETFRRDVGVAPALIRILFHDCFPQGCDASVLLKGAGSELNEVPNRTLRPVALDLIERIRAAVHRACGPTVSCADITVLATRDSLVEAGGPRFDVALGRRDALAPASAALVGLLPAPFFDVPTLISSFANRSLDVADLVSLSGAHTFGVAHCPAFEDRFKPVFDTNPAIDSKFATSLRNKCAGDNPAGTLTQNLDVRTPDAFDNKYYFDLIARQGLFKSDQGLIDHPATKRMATRFSLNQGAFFEQFARSMTKMSNMDLLTGNKGEIRNNCAAPNRRVQDIDTAVAGDEGIAADM >SECCE3Rv1G0204760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:896710004:896714549:-1 gene:SECCE3Rv1G0204760 transcript:SECCE3Rv1G0204760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEARYRPAGAADDTAKRRTQKSKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYEHQLQSNSRFVNVGWIWDKTIPDPRYVSSMPVQWDDVYKTIESLNGGEQKLKVGLLNFNSTEFGSWTQLLPESEFSIIRLEHANESITWQTLYPEWIDEEEETEIPTCPSLPEPNFPRGTHFDVIAVKLPCTRVGGWSRDVARLHLQLSAAKLAVTAPRGSRGVHVMFVTECFPLPNLFSCKNLKKHEGNAWLYKPDSKALKEKLRLPIGSCELAVPLKAKSRLYSVDRRREAYATILHSASEYVCGAIAAAQSIRQAGSTRDFVILVDDTISDHHRKGLESAGWKVRIIERIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFTMPEISATGNNATLFNSGVMVIEPSNCTFQLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKAKKTQLFGANPPILYVLHYLGRKPWLCFRDYDCNWNVPILREFASDIAHTRWWKVHDKMPKKLQSYCLLRSRLKAGLEWERRQAEKANFTDGHWKRNITDKRLKICFEKFCFWESMLWHWGEAPNNATKKASTPALPAAATLSSS >SECCE4Rv1G0220600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41217946:41220199:-1 gene:SECCE4Rv1G0220600 transcript:SECCE4Rv1G0220600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALRAAGQRAGAAARKQALTLTEAAAARVRHLLDLRQRPYLRLGVKARGCNGLSYTLNYAEEKGKFDELVEEKGVKVLIDPKALMHVIGTKMDFVDDPLRSEFVFINPNSKGECGCGESFMTTGSKGSTS >SECCE3Rv1G0149600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:26732056:26732637:1 gene:SECCE3Rv1G0149600 transcript:SECCE3Rv1G0149600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSLLLSSYTGSSNKRAREADLDAASSAEAEAAKRMRPEDLLDLLDDDADAAAADDLASVMRSLEEEICAGDLAPPQPELGFLLEASDDELGLPPAAGASSSSDDAGGWEPEEHPAGVFGEQIWGFEDEIDGAYAFGGVASSPEAAAAAAAAAAEWGDDGFDAGLFGFGDESFGPSDLAVLRQETMPAV >SECCE5Rv1G0353070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:713585421:713586838:-1 gene:SECCE5Rv1G0353070 transcript:SECCE5Rv1G0353070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSAEAVVMASGVEPGAGAGGAEGATMKVVAAVDASEESLHALSWALDNVVRPHPGASVVVVHVQHHADHFAYPVAGHGLQYVPPTAVDSVRKTHEENSRRVVAVALDVCRQKQVSATAAVVEGDAKEAICRAVEDMHADLLVLGSRGLGMIKRALLGSVSDYLVHHASCPVLIVKPPSKAHHK >SECCE1Rv1G0002670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9940017:9942202:-1 gene:SECCE1Rv1G0002670 transcript:SECCE1Rv1G0002670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALATSVPQHIQEELTMADRGGVLTFLLLGLFLGLAGSSPPPEPVECVHGTSDCTVTNVYGSFPDRTVCRAANATFPRTEEELVAAVAAAAAAKRKVKVATRHSHSFTKLACPGGHDGTIISTKRLNKTVSVDAAKGLMTVESGMVLKDLIQAAAEAGLALPHSPYWYGVTIGGLLATGAHGSSLWGKGSAVHEYVVGMRIVTPAPASQGFAVVRELSVGDPDLDAVKVSLGVLGVVSQVTLALQPMFKRSVTFETRDDMDLASQAAMWGGLHEFGDMAWLPWQGKVIYRKDDRVPVSTKGNGLNDYLGYRSNPTLVLITNRATEERLEEDSSDIARCLAARAPSALFELQGYGFTNDGSFFTGWPVIGFQNRIQASGTCISSPEDGLLSTCTWDPRIRSPFFYSSSFSIALSKAPSFIAEMQKLRDLKPRAFCGLDATLGVLLRYVKASSAYLGKSEDSIDFDFTYYRSYTQGKPRANSDVVDELEQLALRKYGAAPHWGKNRNFAFNGVIAKYPKAAEFLKVKARYDPDGIFSSEWSDQVLGAKGSSNMVEKSCGIEGICVCSDDSHCAPEKGYFCRPGKVFTDARVCSTRRTFGDHLLKEQ >SECCE4Rv1G0273010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:773474349:773476709:1 gene:SECCE4Rv1G0273010 transcript:SECCE4Rv1G0273010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLDMAAMRKKLEEEVLGTWLWDRELDSIAQEQQERDDEGDYEYYEPDEPHESDQEEEELHYGGSWGYGYTFYYEDGNPYYVADMEERWENQMRFPPTMSSAKKSRGIWEGSLQVEGPCQVDPSLLSAQSLLPPLPKWENRWVDKRENEPCRRAIQVLSLNLSSPHDAALEVYGMFAFRDVRNNQLRNYVFEYSRDKPCKLKPGSCKLQPLLNPLQGIYAVGLVLIEYRLLIKDEEGEDDKVLIDGYSVYAPSFYAEYERLHWHINTGHHGSIDLRMASIPKAVLAVLEFEVHHLGDNLFDSLIITAAYRTMQGGAFSVFNGKLSVCRLPPVTVCVDYTKNLTIDLYTHNSHSGDDNCYPDGVVGDLKIPGYFHYDVEDIMSDTVWFKPQKSGSSTQNSSNLYGLVMSVKVTWTSLCEPCQ >SECCE5Rv1G0322680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:422806565:422808880:1 gene:SECCE5Rv1G0322680 transcript:SECCE5Rv1G0322680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPQPRPEDYSLKEATPRLGGFMAAGDKRTSTYDLVEQMPYLYVRAVKAKELHAKDGTGSCDPSVEIKLGNYRCTTRQFEKNTNPEWNQVFAFPKERIQSSYIEVSVKDKDDFIGRVIFDLNEVPKRVPPDSPLAPEWYRLEGRKEGKVGELMLAVWMGSQADEAFPEAWHADAATVPSDGLASIRSKVYLTPKLWYLRVNVIEAQDLVPSDKCRYPEVYVKATLGNQSLRTRISASKSVNPMWNEDLMFVAAEPFEEHLILSVEDRIAPNKEEVLGKACIPLQNVDRRPDHRPVHSRWCNLEKHVAGDGEQKKKDVKFSSRIHLRISLDGGYHVLDESAHYSSDLRATEKQLWKPSIGVLELGILNAQGLLPMKTKDGHNTTDSYCVAKYGHKWVRTRTIIDSFNPKWNEQYTWDVYDPCTVITVVVFDNCHLQGEKSKGNKDSRIGKVRIRLSTLESGRVYTHSYPLIILLPTGVKKMGEVQLAVRFTCSSLVNMMQLYSQPLLPKMHYVYPLSVTQLDVLRLQATHMVSTKLSRAEPPLRKEVVEYMLDVDSHMWSMRKSKANFFRIMKVLTPLVGAAQWFDNICEWKNPLTTVLIHLMFIILVMFPELILPTVSLYMFLIGVWFYRWRPRQPPHMDTRLSHAETSNPDEFDEEFDTFPTSRAHDVVRMRYDRLRSIAGRVQTVVGDLATQGERLQSLLNWRDPRATAIFVTFCLIAGVVMYLVPFHMVVLISGLYVLRHPRFRRQGLPSAPLNFFRRLPAKTDSLL >SECCE4Rv1G0280720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:822749171:822749821:1 gene:SECCE4Rv1G0280720 transcript:SECCE4Rv1G0280720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVQTTSLAFSGIVIILLSMAITAQASDTKPMSSGIMLEACKNVSSDFFKVRFTYDFCVSTLQSDKRSTDAKDYRILALVAVDAMKPQVTAVAAKVENLIHDATKDKLATRALGFCRVDYNSMVSTLEICHDIIQSFEIRKGNEGSMSFDLPVCIAKTIKAINDCADKIEFTSVSEGLTKEYEELSMMASLSSTLLHLYITSPPPRGLGLDISSN >SECCE4Rv1G0251460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:602531700:602533084:-1 gene:SECCE4Rv1G0251460 transcript:SECCE4Rv1G0251460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILVNHSHCSFLKNFLTGAVLTLPLVYIVLYSTPSFLSYTNLAAFQAHRTSTSPPVPPPQALQQCDYTTGKWVRDGSVTGPRYDSENCDMVSAEKCVLNGKPDNGYMIWRWQPAGCNLSALDPGEFLRAVRGKLLAFVGDSTARNQAESLVCFLNTVSRPETTHQYQDHPIGQKFWRWVFPAPHNVTISTYWSPFLVRAEGKSVDYAMTQNTLFLDALTEPWTADVDAMDVMVISAGHWFSHPAVYYDDGQIAGVFARPDVNETDIGGGYVGVHRKVIRRVLEYVQEKSSGDKLVVVSTMAPAHFDATYAWNHRDACSRRNPYDEGEVPEDADTAEMRKAVLEEAAAAAKRQGRGLRFDVLDVTRLASMRPDGHPGAYIVKDRYGAGKPVPETVNNDCLHWCAPGPVDTFNDILVQIVAASG >SECCE6Rv1G0408750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:576584119:576586466:-1 gene:SECCE6Rv1G0408750 transcript:SECCE6Rv1G0408750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIKLRLPDVAAQRCLSSFLAPQAHHRRPRAAPRRRHRHPAVRLYGTDDCDPSHAVLTLKRRPRIDAGVSRVEEVVEPLEPALALTCVDNPARLGAVDSPIVRLISDEYGVGGDKAPFVCLGGFRDTRGVYELEEGEGQGLVLELDETHFDFGTNYELECETAEPDQAKEILERLLTMAGVLYEYSQSNKFACFMAGKLLP >SECCE7Rv1G0462630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:47317273:47317647:1 gene:SECCE7Rv1G0462630 transcript:SECCE7Rv1G0462630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLFATRDEYLFFGEENRLKMFQPNTFNCKPKSHIKLDEAQRCILDNFWFQYTLERERKVYFLSILNSLAEYFNELNKNLPKPEKIEIPKGETLYLLFDGNKLGIYLEWENIMIEKLDAKRK >SECCE4Rv1G0266760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:733319515:733321196:-1 gene:SECCE4Rv1G0266760 transcript:SECCE4Rv1G0266760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFQKKTPTGTQSPSSSCSADRSSIQHHSMSLYNTAPIMDPAPPPAGTGNAATEQQKEDLEFLWKWRKYLLLLATLVASVTYAARLNPPGGVRSDEVPPLPAVYPDLVGDPVLLATNERSDNSAGLTVLRSAMLLDLLALMAAFAAGSCRDVAASVYVSALFALVFACVAVHVRVDKARGKPRAATSRADKFLLLLATFATPLTYGAGLVPPGGFWSQTEAGHLACAPLLHDGRYKIRYNVFFYANANSFMASLAIIMLLISVSLARSHALPVCVLVELLGLMAAFAAGSCRRIDTTVYVVCLAGVVLLNIVLQAKAMYTFEQWRAYVRHAITCTNLEPEQEPDTPPGNFGEGGGDSGEVRVERTSTIQNGQALLSPPGGVWPDNHGPGHPDHIPGNPILLDMHPKRYKAFYYCNTTAFMASVIIITIVQSKELSSAATIRRAALKTAMILDLFGLMGAYVAGSFRDTPTTIYVFSLAVAVFVYCIAKVVVFNGVNCQKPQFS >SECCE4Rv1G0230340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:162930288:162933095:1 gene:SECCE4Rv1G0230340 transcript:SECCE4Rv1G0230340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNTNRRKKSPFGRIPTMKGRNSSSRHVAEHSMNTTVDLKESPEHSPVASPSASSSSFFKSTSLKFSNFSSPASTSTHVESFRVFAATWNVAGKTPDMELNLNDFLPSDDHSDIYVLGFQEVVPLNAGNVLVIEDNEPASRWLALINQALNRTSSSPPASRSFSQSVSPASALHTASSSPLDPSLFHKNGSSHREVRRAAITRGRRLKSCTCPAETPPRRRPYSKSPSSCLMMRCGSSKNRRRYAVEGDTTTSDEEDMDVVVDGGGEASSMASDATLLLRPAAANLQRRYCLVACKQMVGLFATVWARRELVAHIGHVRLSCVGRGIMGRLGNKGCISVSMSLHQTSLCFVCSHLASGEKDGDELRRNSDVVEILKNTQFRRVCKRSGRRIPERILDHDRVIWLGDLNYRIALSYAEAKKLVDAGDWAALFEKDQLKTEREGGVFRGWSEGVISFAPTYKYSWNSNSYYAGEDDDGAASKKKTKRRTPAWCDRILWRGDGIVQVAYVRGESKFSDHRPVCGAFIVEIAVLDGAAKMVKLVAATASMKVGAEELLLPRQP >SECCE5Rv1G0309270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:149416350:149420361:-1 gene:SECCE5Rv1G0309270 transcript:SECCE5Rv1G0309270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPESDNGSEVGPTGDSAAFASPVKARERAPESEAGASAATASESSETRVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPSLSEKTSSKRNNKLRSDSSNSGSQHAASLVLEAIYLKAMSLQKLGKAIEAAQQCKSVLDAVESIFQRGIPDVMVEQKLQETVSKSVELLPELWKQAGAYQEALASYRRALLSQWNLDDECCTRIQKRFSVFLLYGGVEASPPSLASQTEGSFVPKNNLEEAILLLMILLKKWYLGKTHWDPSVMEHLTFALSLCGQTSVLAKHFEEVLPGIYPRTERWYSLALCYSAASDNEAALNLLKKSLNKNESPNDMNALLLAAKICSSDYYLASEGVDYAKRAIADDELSDGHLRSVALHLLGSCLANKSKVASSDHQRSLLQAEALKSLGEAFSLDRHNPDLIFDMGVEYAEQRNMQAALKCAKQFVDTTGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETAKWEQGPLLRIRAKLKAAQSLPMEAVEAYRTLLALVQAQRKAYGSLKNGTEERDNKVSEFEVWQGLANLYASLSYCRDAEICLQKAKALKTYSATTLHAEGDMHEVREQTQHALAAYLNALSTEVDHVPSKVSIGALLSKQGPKYLPVARSFLSDALRLEPTNRMAWFYLGQVHKHDGRLAEAADCFQAASMLEESDPVESLRPL >SECCE6Rv1G0401250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:464286901:464292638:-1 gene:SECCE6Rv1G0401250 transcript:SECCE6Rv1G0401250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDGDHETFWSAHCSLSSWTGDERDGQSCPGTAGAVFVALADDVKEGMSTLLWAMKNQAKDGSRVVIAHVRSPTRSTHKTRHGSMRPEEVSNHRNLQRDKAGENMDEYALTARRAATDLEIDCDQVVIEMDDVAQGLAQLIAIHGITRLVMGAAADQHYSKEMKTPKSKTALKLMDTTAPSCKIWFICDGHLICTSNKEMNESLPAISPSPVQRTTPSSVCRISSQMRSMALKNEEASSKGYNSASSFESQMSDWDFLFGDWEKTTYISSRTDDAVGMSGATTLPVIIGDAHKIRQVKHSPTYESDGIHLVPECHMEEALIVDEETHFGHQEVYTVAELWKGHDESDKRDKAAQDLLSALQRAKVSEDSYLHEVNQRKQIEEMLTIQRLEIDEMKRRRYALHDELQDSKKQKLMLEQHIIQIETARKDNVKEITDFFTEKSCEETKKCLKLEMDLLSALQKAREMENLYQNEKGQRLDMDVKITRQRVEIEETKKHRDELYYELKDLKEHVLRLKIIDVPEETNRRRRAERDLLSALEMVKELEHGILREMRKRKAVEEAHAIREEEIQAMIRQLADINAKYISDMKSAIKFHEEELEKSKHFIQEIQAKYDKSLHERDTAVTEAKELRQKNKHGALVFSLSELQQATKCFDISLKIGEGGSGRVYKGFLRNTTVAIKLLHCQHLQGQQEFHQEVAFLSTVRHPNIMMFIGACQEASGSGLVYEYLPNRSLEEHLSCKEKKNTPPLTWQVRTRIIGEIWSALTFIHSHKPLPIVHGDLKPDNILLDDNFVSKLRICQVSKNPRATKNTKDPKFLTTGELTPQCDVYSFGIIILRLLTGRSSQKIVVTVEKAMEKGHLHSIIDDSAGSWPYQQADQLARLGLRCANLSGKRKPDLIGGVWGELEPLMKAAYRNAGGPSFAALSDDTHTPSSFVCAISQEVMCDPQIAADGFTYEAEAIRRWFNSGHDTSPMTNLKLKHCDLTPNRALHSVILEWQQQQQKHGT >SECCE5Rv1G0309660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:157486881:157488980:1 gene:SECCE5Rv1G0309660 transcript:SECCE5Rv1G0309660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWATRFLSAVCFFAAGVLFAPDVLVGARSGSGATAVTAAKVSHLLCFATCWGAALWATFIGGIIMFKNLPRHQFGNLQGKMFPAYFTLISACAAVSVAAFAYLHPWKAASAVERYQLGFLISALGFNLSNLLVFTPMTIEMMKKRHKIERELSIGDEVGWSKNVKTAKSNPTLAAMNKKFGMIHGLSSLANILSFGSLAMHSWYLASKLEL >SECCE4Rv1G0295230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:896864274:896865224:-1 gene:SECCE4Rv1G0295230 transcript:SECCE4Rv1G0295230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKRKLAEHFEMNGGNILISIIGLPIFTEKELKKITKNNSECLGNGYFGKVYKGTLPDEAIVAVKSFIKVDKDIIGEFTEEVKIQLKMKHPNILKLMGCCLQLDVPMLVYEFAAEGSLRDILHWKQNQKLSAELRLDIAIGSAKGLSCMHSEEIRHGDVKPDNILLGDKSIPKIADFGLSKLLNPGEKFTKKVIGCQGYMDPVFRNTGILTSKSDVYSFGVVLLELISRKKVEYGESGSLIIQFRHIYETKMSGRSLFDEEIVAKKDILILEEIGKLAMKCPKERLDGRPGMKEVAEQLVKIKENIVHTTATTLR >SECCE5Rv1G0354690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:726307622:726310778:1 gene:SECCE5Rv1G0354690 transcript:SECCE5Rv1G0354690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQWSLSDFDVGKFIGEGKFGKVYLGREKQSGYVVALKVIYKRKLEKYRFHGHLRREIEIQHGLDHPNVLRLFAWFHDADRVVLVLEYAARGELYKLLRSVGHFSERTAATYVASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAVRSNAKRHTLCGTIDYLAPEMVEKKAHDYAVDNWTLGILCYEFLYGSPPFEAAEQQDTLMRIVKVDLLFPKTSEISADAKDLICKLLVKDSSKRISLDDILKHPWIVKNAEPSGSCIEQKS >SECCE4Rv1G0251220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:600856890:600858685:-1 gene:SECCE4Rv1G0251220 transcript:SECCE4Rv1G0251220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTLVARLRPRLAPSLAGLGGRASAGAAATDIVVDEDAPRPLGPPVPVGVGAGAAAIAATVPTVLQPRVLIYDGVCHLCHRGVKWVFRTDKHAKIRFCCLQSKAAEPYLRLVGMDREDVLRRVLFIEGPEAYYEGSTAALKVASYLPLPYSALSSLLIIPVPLRDAAYDYIARNRYDWFGKDDECLVTKDRELLERFIDREEMLGGGPSNSSY >SECCE7Rv1G0491930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:541204002:541204998:-1 gene:SECCE7Rv1G0491930 transcript:SECCE7Rv1G0491930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMIAAVIVCVLVLALDITAGILGLQAQAAQNKTKKVTVLFIQCEKPVYKAYQLGLAAAVFLVVAHAVANFLGGCACICSQLEFIRASINRKLAATLIILSWIALIVGFSLLLAGAMSNSKSKTSCGFVHGKTLGLGGIMCFVHAGITVAYYVTATAAAHEIR >SECCE1Rv1G0038820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:543263420:543265048:1 gene:SECCE1Rv1G0038820 transcript:SECCE1Rv1G0038820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAAAAAPSTSRLLHHHHPASVSKRHQQQRLRYASNPSPLALRRRLSPPAGALLPDRVTPFSYGVDDESDDHPREECGLVGVVGHPDASSLCYLGLQKLQHRGEEGAGIVAAGGDGKLKSVTGLGLVADVFGDPSRLASLPGPAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRSKLEARGSIFNTTSDTEVILHLISTSLSRPLLARICDACERLAGAYSLLFLTADKLFAVRDPHGFRPLVLGRLANGAVAFASETCALDLIDATYEREVEPGEVVMVDRRDMSVSSACLVPRLPRRACVFEHIYFSLPNSVVFSHDVHERRTAFGRALAEESPAPGADVVIPVPDSGFYAALGFSRESGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVRGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSDGELISNRMDLEGVRREIGSDSLAFLSLDKLHGIYGEEAGDYCDACFSRKYPVLPTLPEPAAEFDEEE >SECCE2Rv1G0120080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:796546513:796548531:-1 gene:SECCE2Rv1G0120080 transcript:SECCE2Rv1G0120080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARAVAAAARPAHPVLLSRRLPCPSARPARRRVGCAVRCMARRPDASYSPLRPGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVVGSEEEAKKRIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQAYQR >SECCE4Rv1G0234480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:285318585:285322049:-1 gene:SECCE4Rv1G0234480 transcript:SECCE4Rv1G0234480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKARGLSSAASPLIPPTSSEIDLEAGAGDQLQCRICLETDGRDFIAPCKCKGTSKYVHRNCLDHWRAVKEGFAFSHCTTCKSPYYLRVHVHTDRKWRTLKFRFFVTRDILFIFALVQFVISALAYLVHFIDGYQQYWLRMAWGFDNEVTFYYICGALLFFALLGLSGCFITCYDRRVRSDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLFFIMGVIVLGLFTVVGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERADWSPPPLPAEHVQQLKSLGLL >SECCE2Rv1G0084950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:183015702:183016128:-1 gene:SECCE2Rv1G0084950 transcript:SECCE2Rv1G0084950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLPVALALLALFLVASYQDLTVAADAEADAGGDVGAVPVPDGVCEGKCKNRCSQKVAGRCMGLCMMCCGKCAGCVPSGPLAPKDECPCYRDMKSPKSGRPKCP >SECCE7Rv1G0500650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:671161218:671161808:1 gene:SECCE7Rv1G0500650 transcript:SECCE7Rv1G0500650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHMERALREGVTEAERAALEGTVRAHHTFPGRVPGATCTSLVAQRVAAPVRAVWPIVRSFGNPQRYKHFVRTCALAAGDGASVGSVREVTVVSGLPASTSTERLEILDDDRHILSFSVVGGEHRLRNYRSVTSVTEFQPGPYCVVVESYVVDVPDGNTEEDTRMFTDTVVKLNLQKLASVAEESGAAPASRRRD >SECCEUnv1G0528140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3298586:3298843:1 gene:SECCEUnv1G0528140 transcript:SECCEUnv1G0528140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSARLNSINIVHLLLVMAILCAVKIGVVQGGRRFWRRPPSPQGHPAAHGVCPRCGGYMFTTASTDVDERKNSASTTPFSDLRH >SECCE7Rv1G0503860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:716622561:716624877:1 gene:SECCE7Rv1G0503860 transcript:SECCE7Rv1G0503860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGQIVAVLQIGGEFTTDADGLMSYSGGEAHAMLVKSDWTFSAFTHEISSTLNNLKVDQFLFKYFLPKNNRTLISISNDKDLRRMVEFHAESETTYIYVIKKVDNSAKSVVADSATPTNAIAVVPTTPDGSKRQKVCAEWKDVITGVGQVFESPKDFRDALHKYAIAHKFHYKFIKNDSTRVTAECTGEDCTWRIHASKSPANIDFMIKKMSETHTCESETVKSHRLASQRWVASVIKEKLRDSPHYRPRDIANDLQQEYGLSLNYSQAWRGRSIAQRELYSAHDEACIQLPLFCERIKETNPGSVATVVTMEDSKFCFFVAFHASLYGFEHGCRPLLFLDAVSAKPNKQWKLLTATSVDGEGDVFPVAFTVVDKESRENWHWFLEQLKYSLSASHDITFISNGENGLWDEVPLVFPESHHGYCMDFLIEAFKRQLDDAWTEEVRDAMVELLKRAIFSCTVDEFNQYMEQIKSESDKLAEWLLEIKPERWSDALFMGSRYGQYSCNISNTVVDWIPTRYELPVVQLVDTIRCKLMEMMYTRRESCNEWPDGLTPVANQKLQEEVSKAHSLNVMPTESDGDGNLFKVCDDSVNVVNIEMCDCTCRKWNVSGLPCMHAIAVFEHTGRYAYDFCVEYFTTKSYRSTYSMSINPIPDVILAPTTLTDNSQNPATLPFPIQTRRRVGRPKEKPADPRITIKRAVRCSRCKGYGHNKATCKIPISGEALPALPDLPALPPAELSDVHNKVESCS >SECCE4Rv1G0264760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:722113834:722122757:1 gene:SECCE4Rv1G0264760 transcript:SECCE4Rv1G0264760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIRSSSTAEMCAQTYSFLPCTTTAVGNLFLVLAYGLLNYKVRVSFLTAGSDLLLETIGPGYVGHGHIVTLLLPMLGALPDALLVLASGLSGSGEKAQNQVLVGMGLLAGSTIFLLTIVWGTCVFAGKCDIGPNGAAIDSEDNKGWFSFTDSGISTDDETSWTARIMAICVIPFAIALFPKMLRTQPGHRLPILLASIVSFLLALSYCMFQIFLPWIQRRRLAFAKHRHVISGILKHAETQALGRLLNDDGTPNEHVIRKLFHKIDNDDSRDLSRAELHALIIGINFENIDFDKSDAVDKIMDVFDTSGNDTVDEGEFIAGMKIWINEAKRKVQASGAYAHKFINDYHERTREEHDQLAVSDEEVEPVENPGRMIVKAVALMLVGAAIPAVFADPLVDAIYSLSKATHVPSFFVSFVVLPLVNNSSEVVSAVRSMRKKTRRTASLTLSEVYCVVTVNNLMCLGMFLALVYARDITWDFSSELLIILLVCVVMGLFTSFRTNFPLWTCLVAYSLYPLSLVVVHILDSVFHWS >SECCE2Rv1G0064620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4530384:4530800:1 gene:SECCE2Rv1G0064620 transcript:SECCE2Rv1G0064620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVAEKAEKTTAAKKTKAEKRPPASKEGGEKKGKKKSKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE7Rv1G0454860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:4492603:4494097:1 gene:SECCE7Rv1G0454860 transcript:SECCE7Rv1G0454860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTTVQELAAAVEEPPRQYVVAHEQDQDLLAADEMPEPIPLIDLSRLTTAADEADKLRAALQTWGLFLVTNHGIEESLMDAMMSASREFFRQPSEQKQRCSNLVDDGGEHSEVLEGYGNDKVVNQAAEGLSWNDRLHLRVEPEDERDFTKWPSHPASFRDVLLEYTSRTKRIRDVVFRSIAKILGLEEDYFLDQISSKDPGFARFNYYPPCRRPDLVLGMRPHSDGGLLTILCVDRDIGGLQVERDGRWYNVPAAKPCSLVINLADCMEIMCNGVFRSPVHRVVTNAEKERLSLAVFYAVDGETVLEPAPGLLDDERPPRYGKFKAKDFGLSFD >SECCE6Rv1G0385600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:82372726:82375567:1 gene:SECCE6Rv1G0385600 transcript:SECCE6Rv1G0385600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14850 [Source:Projected from Arabidopsis thaliana (AT4G14850) UniProtKB/Swiss-Prot;Acc:Q0WSH6] MLSPQRARARKKSQPNSRMRAAMPADTQLLAAAVEAAIASRSPRLGRAAHARALRLLSPGIPPFICAHLVNLYSKLDLPAAAANALASDPSPTVVSFTAFISGAAQHARPLPALSAFAAMLRLGLHPNDFTFPSAFKAAASAPPRSSVGPQIHALAIRFGYLPADAFVSCAALDMYFKTGRLGLARRLFEEMPNRNVVAWNAVMTNAVLDGRPLETVQAYFGLREAGGMPNVVSVCAFFNACAGAMLLLPGAQFHGFVVKCGFDMDVSVSNAMVDFYGKCRCAEKAKMVFDAMRVRNSVSWCSMVVAYAQNGAEEDAFAVYLGARKAGEEPTDFMVSSVLTTCAGLLGLDLGRALHAVAVRSCMDANIFVASALVDMYGKCGGVEDAQHVFFDMPQRNLVTWNAMIGGHANIGDAINALAVFNDMIASGETAPNYISLVNVITACSRGGLTKEGYELFETMRERFGIEPRTEHYACVVDLLARAGMEERAYEIIQRMPMRPSISLWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMLASAGRWAEATYVRKEMKDVGIKKDPGCSWITWKNSVHVFHAKDTKHEMNSEIRALLAKLKKQMQASGYMPDTQYSLYDLEEEEKESEVFQHSEKLALAYGLIHIPPGVPIRITKNLRICVDCHRAFKFISGIVDREIIVRDNNRFHHFKQYECSCKDYW >SECCE4Rv1G0261240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700794307:700795494:1 gene:SECCE4Rv1G0261240 transcript:SECCE4Rv1G0261240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSRDISRRMNPADKLTEDLLVEILSRVPYRSLCRFKCVSKRWRGIISDPDHRKALPQYHLDDLAGFLYSSRDRRVGHFSLFRNFTHVSAGGRPPLRPALPFLPDCELFNLLDSCNGLLLCRRFETFGSGAFDYVVCNPATEKWVALPGFFSKMQTARLGFDPAVSSHFHVFQFMEDGAAVANADTEDDEDDYSDGHVEGVEIYSSKTGVWSHKDNGWGFARIVSDSKSAFVNGFLHLLAVESAVLAVDVEGTTWRVIPMPDDEDALIIDVDVGFIDLSRGRLYLANSDQHDPYKLSIWVLENYGSELWVLEHGVRYLNLFGVKDVQLGHEYHIVALHPQRNMIFLVYGHDKVLMSYEMDSGKVQFIHDLGHDSLEPYLPYFPLYSEALADWR >SECCE4Rv1G0276250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797421592:797424361:1 gene:SECCE4Rv1G0276250 transcript:SECCE4Rv1G0276250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSCAGSTQGIMSAENTINDVLAQENALSPGDRMNAVGTNMESLTRVELDLAFASEKLLNLEMLVMEIARRATDFEPLTLESGSVSSETAEDAFELDTLYGILDAEVQELDDMISSLQIDAKNVEDKVYDEESGGKVKAKLDAAMASLKQMHDLIADIRKESAKFEKPIEFSSDQAGIAEHGVCENGHMSSVTSMHTEDERRNVLQMLEQSIASELDLEKKLSDSRYAVEELKMKLRLQTQEASFLEELTETNSGRLFEAENASEILLGTSRELINELHTIQVHLSASRSREDDLNSKLEHSLMELSSLKLNQEKMQEESKKVETEEDVQNEAQSNPELLSLQHQVEELEKHFRESNSQLLLEKVSSEVSQEKENVTLTELSTLESVIKNLKADVLRAENRAQNAEVRCMQLTKDNVELSGELSSLKSQGTDKARLLERELSESNAQLEHAKASVAAFIEQQGMLKSTVSDMENMIEDLKGKVSKSETRVLNAESKCALLADTNLELSEELSFLRGRVEGLESSLHEANHVKVATIKDIGLRTKVITELVTKLAAERERLHLQISMLTKKNKILTHRCKGTSVKDGTKLYKNATGKDAELQFTTSAEEIVSDSSSAQNVAEKAADLIDGKVEAEGSTGEEEEDSADEGALRTIKPSAVLSWKYVATALLAVVAAVIVCQLLLENGWEGRIA >SECCE5Rv1G0365160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:804902625:804903413:1 gene:SECCE5Rv1G0365160 transcript:SECCE5Rv1G0365160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPPPPPPLYPATAGGKPERKTVERNRRNQMNALYYRLDTLVRAGDAAPSPAPAAATRPDRLGEAAAYISRTAERVERLKDRKRELTAARATSTTQLGSGSGSSSGAATVVVEVQHLGSGLHAILVTGALPSDGSMFHRAVRAVEEAGGEVQNAHFSVIGARAVYTIHTLVGEQQGSIERVVQRLKETLRGR >SECCE3Rv1G0195400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:797197225:797199371:1 gene:SECCE3Rv1G0195400 transcript:SECCE3Rv1G0195400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILVEFCIISARGLGRRSSLLKPQWFSVGWVDPNTKYCTKIDASGNSNASWGTKFSVSVGEHDLAQQQMELTVEVYRRDPIFLREHLQGAAVVQMKEYLEKFAHGEEHSEVIEETGSFQLRRKKSDKAHGFVDISIRICNQEDDLGQFTGLPEGLKNSDQVGITLAIEDGPVYNYPPPPYNHYRGDREDADHHSNSRPVIPRTHPDPSPLGSSYSYQPPMFPSTLPPPPTSNLGFFPSQHPGRERVPQNYINVPPRKSAAQNSAPNFGMGLGAGALTAGTMIFGETLLPGPSFGGALNGASLSVSNDAPF >SECCE7Rv1G0497580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:627364246:627365472:-1 gene:SECCE7Rv1G0497580 transcript:SECCE7Rv1G0497580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEQGKEGAGAGEERVEVRTGPRPALPAPQQRAVDEFWRERQEEMEATVDFNDRILPMARLKRLIRAEEDGMMIAADTPAYLAKLCELFVQELAVRAWACAQSHHRRIILESDIAEAAASTESYDFLATVLLEHQREARLAGRATPTTFPVTAARARLITRKRHMPDPNPPRPVHGVRRIRPRALPATPPPNVRYVPVPFPFPSAPIGATATAEGLMILPATNHATTGRAFFLARDGGTNYAGENSAAESETMASPPPPAGPAGAVALPSVHPAAYYLCAYPVANDAEAFAVGNTDPNVILPEIVEGNVAEGNSDGGQQQQSEDVGGHRESMMVVERSSDVQEDAGAAGGEGGMMFLEEILMDEDLMFPDDELFPLVGAAPDPEDFIVDQDVLDDVFANPSSSASSD >SECCE2Rv1G0138050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920721456:920722097:-1 gene:SECCE2Rv1G0138050 transcript:SECCE2Rv1G0138050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVFIVGAGPAGLATAACLSQFAIPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKNLFVKYLDVYVERFNIQPQYLTSVESSTYDNHEKCWSIVTNDMSKCTTVKFTAKFLVVASGENSAENIPMIPGLENILGDAIHSSSYKSGKSYSGKNVLVVGSGNSGMEIAYDLATHGANTSIVIRSPVCTRTIYFH >SECCE5Rv1G0368360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:828265784:828266397:-1 gene:SECCE5Rv1G0368360 transcript:SECCE5Rv1G0368360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWFQEKSGDATKTASETGQSIQDRAVEAKDQTGAFLGEKSEAVTKAASETTEAAQKMGSEAMGKASETVEAGKDRAVEGKDQTSGFLGEKTEAVKKTATETTDAAKEKSTEAAQHVQDTAAQYTKDPPVAPKENVFQQASGNVMGAATDAKDAVMNTLGMGGDK >SECCE6Rv1G0451100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871254160:871258049:-1 gene:SECCE6Rv1G0451100 transcript:SECCE6Rv1G0451100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVVVNIVVGPLVKLVLEKASNYLLDKYKVMKGMEEQHEILKRRLPAILDVIADAEQAAAHREGAAAWLQAIKKVTYQANEVFDEFKYEALRRKAKKEGHYKELGFSVVKLFPTHNRFIFRNRMGRKLRKIVRAIEVLVAEMKDFDFKHQQPLRAYSQWRQKDHDIFDPEKITSRSRAEDKKKLVDILVGQANNADLTVVPIVGMGGLGKTTLAQLVYNDTEIQKHFNLLLWVCVSDNFDVDSLAKSIVEAALEKKGTEAATSMRTKTPLDGLQNVVSGQRYLLVLDDVWTREVHKWEQLKACLERGGMGNVVLTTTRDKGVAEIVGTVEAYNLGALDGQYIKEIIETMSFSCLKKGEERPAVLLNMVDEIVERCAGSPLAAIALGSMLRNKTSEEEWKVVSSRSNICTIESGILPILKLSYNDLPPHMKQCFAFCAIFPKDYEIDVEKLIQLWIAHGFIIQEKQVRLENIGKQVFNELVSRSFFQDVKQVQATIGEIEQDGACYLRTTCKIHDLMHDVALSVMEKECALTTEDPGKIGYVVATEEPSQSEWLPDTARHLFLSCKEPGKKLNSSLKNSSPAIQTLLCDSFMSRSLHHLAKYSSLQALQLRLLGSFPLKPKYLHHLRYLDLSRSLIKALPEDMSILYNLQTLNLSSCVSLCELPRQMKYMAALRHLYTHGCPELKGMPRDLRKLTSLQTLTCFVAGSGSNCSNVGELGNLNLGGQLELHHLENVTEEAAKAANLVMKKELTELTLKWTVGSDNVVDESSSRDDAKVLEELKPHDGLHAIRIHTYGGTTFPTWIAMLQNIVVIHISHCNKLQWFFSGDINTSFAFPNLKELKLQELVSFERWWEIDNGMQGEVIMFPRLEKLCISNCEKLTALPGKPTFPNLQNTRIERCPELTTRVESPKLSVLKMEGHDVQLFQWVARHMTSLTNLELHSLVDSTETTSAAAEHGLRDVLDGRKKWNDHDFHLTVLVLQNLKPGITELFSCFVHLQDLSVWRCHALLHWPEKEFEGLVSLRKLVIHQCENLTGYAQASTEPSTSSEKSQLLPCLELLVLRKCESLVEVFNVPASLRVMEIFYCKKLESISGRRLLQGQSASPIHQGPSSVAEVSSSSSSSSGVGVENLEKLKLVECHSLTGVLRLPSSLKVLDIDSCSGLTSLESHSGELPSLECLYLWSCNKLSSLLDGPRAYSYLQSLYIKNCPGIKAFPTCLQQRLGSIQEEYTDAHYYGNKPRPIPILLKPKTWKCAIRRD >SECCE6Rv1G0397290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:389085322:389085872:1 gene:SECCE6Rv1G0397290 transcript:SECCE6Rv1G0397290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGAEYRCFVGSLSWNTDDRGLEAAFSTFGEILDAKIINDRETGRSRGFGFVSFSSEQAMQDAIEGMNGKELDGRSIVVNEAQSRGYGGGGGGGGGGRYGGGGGYGQRRDDGYGDDGYGGGRGYGGGRYGGGRGYGGRRDGGYGRGGNSDGY >SECCE7Rv1G0455280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6107766:6116681:-1 gene:SECCE7Rv1G0455280 transcript:SECCE7Rv1G0455280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRPQEVAPVVAVLVAVVAVAARPALCREQQNNGTVAGEERRSPRATAVIVFGDSIVDPGNNNNLHTQIKANHPPYGKDFDGHVATGRFSNGLVPSDLVAQKLHVKRLVAPWLNVDHTPEDLLTGVSFASGATGYDPLTPKIVGVITLEQQLEYFDEYRAKLVAIAGEEEAGRIIDGAFFFVCAGSDDVANTYFTTPFRILEYDIPSYVDLLLVGVDKFLRGVSARGAKLIGFVGLPPIGCVPSQRTVGGGMHRRCEPKRNYAAQLYNSRVQVLINGLNAEPGFNTRVVYLGIYDILQELSEGGERWGFTETTRGCCGTGLIEVTNLCDSRFMAVCQDVSKHVFFDSFHPTQRAYKIIVDNMWDTYGHLLQP >SECCE7Rv1G0477110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:214369805:214372601:-1 gene:SECCE7Rv1G0477110 transcript:SECCE7Rv1G0477110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGQLVRERNVKITSSREGRQRGNSHSDQLVQVPKQKDSAASGNIDGKFEDRIRVVKNDKIRRQREPRNAEGDVGLKSSKPWPARKATTVDELVKHMSKVPSYLQRKETGDHLQDKALNVGVLEWGLLARWSHEQKNEPYSSHGASPSDTTRSVLFSSPSHSSASPSSKSLDSNQSPLNDQQHCFMKSQQSSPVDKHHEKANSPSPNSAVLSLLAGHGKYIRAENNGNYGGLNLSEFSPPTDSVIAASGSCTPHDMVDDEDTTRKIEEAVHHCSRRLFTDDDNTGRSFFTPNDDDSVCVDPLQSNGISGLIPSVVMETERNGSRSPVGFLEDIGQSPEFPCIPHSCPLPLMDSTEELGTSSSATRDAFARTAVTRGEHGNRHKSPVSVCKKPLMISSKFTDMDVLPDRRLVSGLNGMSRCSSLKEAPSPRRPDTSVDKINEEKRSNSRGRRSPLRRMLDPLLKPRQSSTSVTPIQPSFVPKCHLSSNINKQSINLEGSGSQNVQRRSVDAVVNSNNHAEANINQPPRVLLNSERYLNQERDSTRTRQALLQLAWKNGLPLFMLSYGDSDILAATVRRKGTSDKDGLESAYTLFTVEEPKKKSGAWITAGNKNKKHQLVSSIVGEMRISRRKSRCCHANDYHVHREFVLVGSELLPTPEEPGDSHVSREIGAFISAVPQTAETPHQSSSQNSSRGSSAPIGCSCPPLGNIYRNMTNASSAPASVIAILPNGFHGASTSGQPLPLMERWRSRGSCDCGGWDEGCTLSVLTDSTQGNQGCKSIQVNQTQDGSHRFDLLSQGRSREDRHAFSMVSFKEGLYAVEFRSSIALLQAFAMCIVMLHGRSPVRMQADLPVSQEDAIFADHKLKAMAAAGQGRAPASYVPRQPPLSPVGRA >SECCE4Rv1G0234350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:274619538:274657434:1 gene:SECCE4Rv1G0234350 transcript:SECCE4Rv1G0234350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear cap-binding protein subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G13540) UniProtKB/Swiss-Prot;Acc:Q9SIU2] MSAGWRTLLLRIGDRCLEYGGTADHKEHIEICYNVLSREYEHSKDDIFEFLLQCAEQLPHKIPFFGVLIGLINLENEDFAKGIVDATQANLQDALHTENRDRIRILLRFLCGLMCSKVISPNSIIETYETLLSSAATILDEDAGNPSWQPCADFYVYCILASLPWGGSELFEQVPDELERVLVGVQSYISIRRHFDDIAFSVFETDEGNSPNKKDFMEDLWERMQLLPRNGWKVKSVPKPHLSFEAQLVVGKSHRFHPVSCPPPTFTMSSSEILKGQEKHEANLKYPQRLRRLHIFPTNKAENMQPVDRFVVEEYILDVLLFFNGCRKECAFYLVSLPVSFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPAAFPSVVVAAVHALFDRISNMDTECRTRLILWFSHHLSNFQFIWPWQEWANVKGLPKWAPQRVFVQEVLEREIRLSYFEKIKQSIEDAAELEGLLPPKAGPNFRYHTDESKESTEGRRLSKELVSMVRGRKTTRDIILWVEEQIVPANGAKFAVDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPDEEMQLLLMDEVSAYWKNSTQMTAIAIDRMMGYRLISNLAIVKWVFSRANVDQFHVSDRPWEILRNTVSKTYNRISDLRKEIQTLRKSIQVAKEASAKAIKELEEAKSILEIVEGQPVPSERPGRLRRLQGFADKAKEEEVTIEESLEAKEALLARGLEEGKELLRLLFKSFVDVLTERLPHVSADGDVPNLRAGDPNVTFPASDPEVATMEIDNENGADNNSQVNGENTKAAYSIGELEQWCLCTLGYLKSFSRQYATEIWSHIAMLDEEVFVGSIHPLIRKAAFSGLCRQMNQ >SECCE2Rv1G0126300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:845308396:845310995:1 gene:SECCE2Rv1G0126300 transcript:SECCE2Rv1G0126300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEVLLAGPGSVGGGERLSIDDALALHAGEFGRWQLRHFLLVTAAWTLEAMHTMVMIFADREPAMSCPAGDGRCGDRCAGAAAGWQWDQGSGSSTVAEWGLFCGESYKVGLVHALYFASAMIGAGIFGHLSDSFLGRKGSLLVVCFLNAIFGLLTALSPNYWVYVALRILTGFSAGSICLCSFILATEPVGPSYRGVVGMSTCYFFSGGIAILAGIAAMFQSSWRLLYVVTSMPSLAFMLTVMPFVAESPRWYLVRRRAEDAMHVIRDIASTNGKSIPDGITLKIDDEDDINKKTEESSSSILDVFRSRTTRGRLVLSVLISFLCSVVYYGLSLNVVNLKINLYISVAVNSLAEMPAFLLTTVLLQRLGRKPLAIGSMLLSGVFCISASLITGVGAMRAVRMACGVVGIFGMAATYNLLVVYTAELFPTTVRTAAMGCTLQASKMGAILAPMVVLLGEQMPFAVFGTLGIIGGLLVFCLPETMHKPLYDTMFGLEKGEGEFVTKGEIIRGNSEI >SECCE1Rv1G0049950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:643804497:643806965:-1 gene:SECCE1Rv1G0049950 transcript:SECCE1Rv1G0049950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPSPSLVSTTSTPALRIVSPVPSSRWCRRARPLASAGLGGAARRDRRRSRSTRGLRGMRICAYTAEAEHGRWEEDVADDFYSVLGVMPDASSEEIKKAYYSCMKTCHPDLSGGEDPEVTNFSMFINEVYTVLSDPAQRAVYDEIHGYTATATNPFFDESAVKDRVFVDEFTCIGCRICANVCPSVFEIEDEFGRARVCSQRGSPELIQDAIDSCPVDCIHWTSAAQLSLLESEIQRIERVNVGLMNAGMGVSVNVFQMASASWEKRQAKVLEKIRARMMNQNNSDRTSPWSDILGSPTRYQNTEDEEASERANRAEAAARRWREYSRKGADRPPRYKLPDAVGNKE >SECCE7Rv1G0459850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:27556667:27560001:1 gene:SECCE7Rv1G0459850 transcript:SECCE7Rv1G0459850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAILSGSIKTMLPKLFSLVEKSWNLSKDIMRDIKFLEKELGMIVRSIDMELNAPRQDHGAALLLLSIEDLRELAHGIEDCIDSLMYRASWKQQSSLFRRRVQSPKTLLTGLQFAQKLQRMKQMVVEAHDRRQRYPVPAQTSGDAPLPCSSASDPRLVDADLVGVDEHRAKLLEQLAEAAEGQPMQLKVISIVGSWGLGKTALAADVYKTQAGGERFDKRAWVCAALKSPGEVLADMLREFGSDCQESALLDTSNVSQLCVQVRNQLAKKRYFIVIDDIQTQVQWKKIKSAFPDDNDGSSRVVVTTTIQSVAIACSSENGYVHRMSRLDEKCSKRLFSEKACPKKYLHYDQPDTTAILNKCYGQPLALITMGEFLKSIGWPIGRTCEDACNNIRYHMENGETFGDMRQALMRSYASLDGHALKACLLYFAMFPSDHPMRKKSLLRRWSAEGIVETQASCDAMKLAAENFDELIDRNLIEPIGVSNNGNVKTCQTYGMMREFILQLSISQNFVTLLCDDKKEAGKYARRLSLHHKNAKDDDRFKNIDLSLVRSLTIFGDACKTVLNFSKYELLRVLDLEKCDDLKDDHIKGICNLLLLKYLSLGGSVTELPEDIAKLEHLEALDVRKTKVNILPVEVFLLPCLMHILGEFRISGKVYKKSVFLKKKTGNEVQKFLSEGKSNIETLAGFITDGSEGFLHLMGHMNRLRKVKIWCKASAAASSTDWSDFMRAIQQFIQDKKDENSDTTRSLSLHFEECSGDFLDSIQGPCYLSSLKLHGNLTILPQFVVSLRGLKELCLSTTKLTTGVLEALSSLSHLQYLKLIAHEIEDFSMEVHALPRLLRLCFELQRPTFPTIKQGAMRFLVTLQLLCKDINDLSDINIERFKHLEEVILHPRVSQETRKQWEKAAEEHPNGPKVLLFKSGDEAGSSNDQLNPALGRMGISEVCPPLNESASGIVVS >SECCE2Rv1G0108120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:658628796:658631076:-1 gene:SECCE2Rv1G0108120 transcript:SECCE2Rv1G0108120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASTSIAYHKPRLSVVCRKKDRDRELEREKEHKYPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYILNLYLDSLLDKS >SECCE2Rv1G0103430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:589874377:589875196:-1 gene:SECCE2Rv1G0103430 transcript:SECCE2Rv1G0103430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDERGAGERGAAEDSVVWREEAGKFETPDGEAFLQYSLVVQPRASSGGGGASPAVMDMVHTYVPGSKRGQGLAARLCDAAFAHARRHGMRVIPTCSYISDTYLPRNPAWNDLVYRADEPKHSSTSSSM >SECCE5Rv1G0334410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565752357:565759850:-1 gene:SECCE5Rv1G0334410 transcript:SECCE5Rv1G0334410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATSQPRTLAPPPSTLLRMETTTTTMPFPPPLAPLHVTTPTLVLLAVSCILLLLVRSKTRARKPPAHRLPPSPRGLPIVGNLHQLGALPHRALHALAAAHGPVMLLRLGRVPTLVVSSAGAAREVLQLQDHAFANRPSLAIPRRLLYGCTDIAFAPHGAYWRGVRKIAVLHLLSPARVRAYRGVREEEVAELVRKVERQAREGGGVVRLSELLSGFAKDVNGRIVLGVRASGAAGWRAKVDALLEEANALLGEFHVGDYFPWLAWVAAVDGTDAKVSRAFERIDRILEEIVVAASAGTGGRHVQAFVHVLLALQSDSSGAGWRLTRDNVKALLEDLFGAGTDSTIIVLEWVMAELLRNKEAMQKLQQEIRRHCTKSHSRIITEQDLQAMEYLRAVIKETMRLHPPGPLLVPRESMQHTKVHFYDVPRGTRIIVNAWAVGRDSTVWDNAHEFRPERFIDSEVDFRGRHPQLIPFGAGRRMCPGIGFTTSVIELALVNLLGQFNWTVPMLENKAPGVVDMEEASGITSRKRVQLCAVVARPT >SECCE3Rv1G0193340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:768951087:768952775:-1 gene:SECCE3Rv1G0193340 transcript:SECCE3Rv1G0193340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASRHRAPLATCVFLVCASAAATVVVVYTAFPVSLNPCTYSLPRALITVSGLDPYIVPCAGDVDTAPLSDGKKKIGGPLVTSLHTCVKATLPPHPLPPFYCCPPAPASEPINFTLPDPAEPLRVRRPMHAVGAEHMAKYERAIALMRALPRSDPRSLYQLANVHCAYCTGSYRQTGDPELNMQIHFSWFFFPFHRAYLYFFERIAARLLGEPGFALPFWAWDVPEGQGGHGPGRSGPGPSRRLDRPPAVRAGPDREEWRSCLQGPDRTSNAALPSLFHGQPFRAGQSDGPGPGTVELTPHNTMHTWTGDLTLHNVEDMGTYYSAGRDPLFYPHHNNVDRLWEAWRDVGAARGYRGHVDFTDPDWLDSSFLFYDEEARLVRITVRDVLDIDKLRYRFDGVGMPWVDARPPPTPNVNRNKGSSLLKSVRFPLSLDTGVTVEVRRPRVLRSRREKAMREEVLVVEGIETDGTEMVKFDVYVNAMDYEKVEPSGRELAGSYLCLSHPSMDGTGKGMRIETSMRVALNELLEDLGADGDESVTVTLVPRHGKVKIGGLKIVYMME >SECCE4Rv1G0292560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882903945:882904490:-1 gene:SECCE4Rv1G0292560 transcript:SECCE4Rv1G0292560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTITSVAMVLVVLAVMSSGLPAVHADDAFISRTCKKTMNAELCEDVLHLNIDSDEASTEHDLANVTLKIASATADLNTEYIKDWVRHSHDTPQWEALVACRWAYADAVYDLKLLAIPKFHSGDFAGALKHVLDAKGAADVCENAFKRIKLEPETEGLDRHMTEQCGVAAELIGLLIHK >SECCEUnv1G0565280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:392145914:392147347:1 gene:SECCEUnv1G0565280 transcript:SECCEUnv1G0565280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQATALLIPFGSYRLGVHGRGSDIDALVVGPSYISRDHDFFAVLGGVLAETEAVTELQPVPRAHVPVIKMRFRGVQVDLLYASVCLPVVPRDLDLRDRSVFRGMDLASARSLNGVRIANELLRLVPDAGAFRTTLRCIKHWAKARGVYSNVMGFLGGVGWAILVARVCQLYPNAAPSMRVPRFFKIFAQWKWPNPVLLRDIEHDGGGGLALRLPVWDPRRNPRDKSHIMPVITPAYPCMNSCFNVSHATLRTITEQLQIGNGVCQEILKAGGAGGWDALFQPFQFFKAYKSYLQVDVKVAGGEADLREWKGWVESRLRQLVTRVEMATAGMLLCHPNPKAYAAKPHDLHCTSTFFVGLSKPQPQQQPQVPFDLRATTEGFKQEVYTYEFWRPGMELEVSHTRRKDLPSYMLDQILPAGHLKRKRAAESGSSPPLSSASGEVKRVAAAGGTGSAPERKRQCCPSNILPSASVLGVV >SECCE4Rv1G0266140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:729415468:729419491:-1 gene:SECCE4Rv1G0266140 transcript:SECCE4Rv1G0266140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELIEVAGNLTPLPHSTVDVVAAKIESKVANTVIRQLNQVCPLENLRHVKRVRRRIECEKSELSIILCLSTGPESCKNGFPEDVQKVVEVYQLSPFIAKVASYPAMSKEEWEEQCRLWPTSYHPLHDTSGASGFKEGELPSIFDFMRTAIQLSEVGNTAIIVDPSSMQIISKATDQTHQNGCFLKRNIRVDVGADGARSLAETTEDNDGRLLLTSSHVSHRLNLEVPCINSCGWTKQRSSEQKTLSSEGGFLWHPLRHAAIVAIENAAERDRKLFHTSTSPRTESNLNCDMENCSDNEPAKRPRIVTKDKEKAEHQECRSDLSGRNRSYLCTGFDIYLVWEPCTMCAMALVHQRFKRVFYAFPNPITGALGSVYRLHGEKSLNHHYSVFRVKMPEPDLNISSDYPEKGCSDFVPS >SECCE4Rv1G0249970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:588462537:588462965:-1 gene:SECCE4Rv1G0249970 transcript:SECCE4Rv1G0249970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPKKQQPTAAPSRPAAAKKSTSTLLDAFEVDCIRRELESLLLKQNAGEGTASSSSDAAAEILGLSRLRRQSSKKTISAKNAVPAPPPPPPPPAKKVSGSRARLHLGKHAVKLCSGALAVSPAVEKGRRPRRAGYREVEKV >SECCE1Rv1G0058150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:697802384:697804942:-1 gene:SECCE1Rv1G0058150 transcript:SECCE1Rv1G0058150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHLDRAGGGGGGGTELFICFTSRPSASGLRPSASSKAFSPGRTGGAGAAGGGNGSGGTAAADAAPAALLRPSLSRRLRNSGSLKGGQSPMFPPGTASGGRRGRGGMEPAEPSSPKVTCIGQVRVKGGKRKPKHASSSAAALRSRSRRGGGLSGSEASFRRGVDDRDGAIHPAAKNQGWVYQIPVNICEALKTFGSCGGRSLCSPSRERGGAGGAPRSGAAGDKKRRRAPAGGSWLCGAAVARCLLAIQEEEDDVGKGSAVGPADEARESQVGLVMQGWDVEDEEGEEEDDETRVVVGAVEVEKEDEILLVGGKQEEGRVSVCIPPRNALLLMRCRSDPVRMAELATRFWGSPAAATASVGQVDNEGDGGVQEEEKVEGHANLEKECAEEARNSAVSADGEVCRERGVVEDDGGEAGDAGQVGSEDESSKHGDLGEEENDGGCRGDAEQKDEPAEEQIIRKDVGLEVEAAKSESQAPAMVEAVAGTKEGADAPRMEKEEEEVKGRRSVSSCSPSTALKEDRKLRRLGSTRRRVSTSSKASSSSDRVDRRHSFSAEMEARRSSFSSLKDSRRASFSIDRDGRRWSFTIEQEHLVAEPKVLMASRKGKKNSSEAESATDCPVLVAAPNSVEEAQECNDDGKEEATNDGEEEGTTAQCAEIHTEAEKVETGVDEEKTVEVKQDPRKKSGELPDCLLMMMCEPKLSMEVSKETWVCSTDFVHWKSHQGQNRRQQNAAAASEETKDDSSNAPDTNVPKDTSVPKDTEESTVVPAPAIPASMPPQAAPKPPSKPAVEQKLKLELPKVAAGMAAYAPLVLKRCKSEPLRSSARLAPDTCFWKEDRHRPLNATGIGF >SECCE5Rv1G0335300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:572576322:572579557:1 gene:SECCE5Rv1G0335300 transcript:SECCE5Rv1G0335300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPLFRPPPPPDSATFLPPPVPLRGPEAPWSRAGRPRGATPPFLPASPLRFMTDRGDGPIGSLPEHLLVEILTRLPTHEWVQISCVSKHWASMFRGEYLWQTAIARKWPSAGFRKRWPGPIPRGSARRRFQALYVSENLVPSGGEIDELVGHTYLYLKEQLERVAVPPSSILHGTIIDQFIACGRTGEKAHELASNIWIAVIDNLEENQQTFMLLKHLAQEGDFFLPFPYSRSYKVLWRVFDKLFTDFRDCFSGGDYHEALAGAKSRFQPVPSSWLGH >SECCE1Rv1G0040450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:560140499:560142453:-1 gene:SECCE1Rv1G0040450 transcript:SECCE1Rv1G0040450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGADADGERRIGVAMDYSASSKRALEWAVRNLLRRGDTVVVLHVQRHGGEEAKHAVWAKSGSPLIPLSEYREPEVMKNYGVTCDAEVLDMLDTAARQLELKVVAKVYWGDAREKLCDAVEEQRIDNIVMGSRGLGTIQRILLGSVTNYVLSNASCPVTVVKGDR >SECCE4Rv1G0277740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:805481063:805481674:-1 gene:SECCE4Rv1G0277740 transcript:SECCE4Rv1G0277740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASASRRSWPRYGTVPMTRCPACPRTAPLKRLVTTTDKNGNLGREFVKCESKPEQGKKLKQCTHFEWLDEYIERIQLEGASGELDLPLEAEKFGSGPYGSGGPGSGNSIGATVGDAGVTAELKKLNKQMKTLIELHKQGNLMGLMAGLFYVCVIALAFVYVMIITRK >SECCE7Rv1G0481870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:293254326:293257504:1 gene:SECCE7Rv1G0481870 transcript:SECCE7Rv1G0481870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSVSAKLGRAGINGAAAAAAANPSINRWNGRPYSARYLEILEKRRTLPVWQQKDEFLRVLRDNQTLILVGETGSGKTTQIPQFVLEAEGLSNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEVNNMGDQVGPVKVVPLYSTLPPAMQQKIFDPAPPPLKEGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNGDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTSLGEMMSEFPLDPQMSKMLVISPRYNCSNEILSISAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPTWCYENFVNARAMKSADNVRQQLVRIMTRFNLKMCSTDFNSREYYVNIRKAMLSGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCMDHKPEWVIYNEYVLTTRNFIRTVTDIRGEWLIDIAPQYYDLTNFPSCEAKRVLERLHNKRERERAASRN >SECCE6Rv1G0399020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:430658148:430658876:-1 gene:SECCE6Rv1G0399020 transcript:SECCE6Rv1G0399020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKLGLKRGPWTAEEDMTLVAHIEQHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTSEEEDAIIQLHAMLGNRWSTIAARLPGRTDNEIKNVWHTHLKKRLESSSKPSGQAVPKPKAKKPVAAASTADGPASVPVSSPEQPISSSATDYSMPSSLENTDSFTSEEFQIEDSFWSETLAMTVDSSGSAMEAEVTLGADSASPSSSNDEMDFWVRLFMQAGEVQSLSQI >SECCE2Rv1G0102920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:585414696:585416285:1 gene:SECCE2Rv1G0102920 transcript:SECCE2Rv1G0102920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g22760 [Source:Projected from Arabidopsis thaliana (AT4G22760) UniProtKB/Swiss-Prot;Acc:P0C8Q5] MPKPTADHVNSPWTLAIRAAADQGRPRRAIALYLSSFRSRAAHRPCPFALAAVLKSVSRLPAHIVATAAASFHAHLLRLGLLAHPYPHAALAHLYSRDPVAARSLLDETPPAGARAPHSLLVSRNSLLASLLRSGDLSAARALFDQMPVRDVVSWNSMVAGIAKAGRLDSAIELFNQMPERNAASFNAVICGLIAHGHLIRAREMFEQMPVRSNVSWITMISGYAKAGDVQAAAGLFERIENKNDLYVWNAMIACYAQNGCAREAIGVFNRMLKPHVCVLPNEKTFSSVISACSQLGNLRFGLWVLSFMGSLGIELDDHLRTALVDLYTKSGRIDSAFDLFKGLRTRDVVSYSAMIVGCGMNGKLNEAIGLFKEMSDANILPNAVSFVGLLSAYNHAGLVEEARACFASMSSKYKIRPSMEHYTIMVDLLGRSGKLDEAFQLIRQMPMQPHASVWGALLLSCRLHNNVELGEVVASKCFEQVPGESGYYILLGNIYAQANKWDKVKRLRKTMKERGLSKMPGSSWVLAE >SECCE2Rv1G0108220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:659237796:659245942:-1 gene:SECCE2Rv1G0108220 transcript:SECCE2Rv1G0108220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQKKPGKGKEKTERKTAKGEEKRARREAGKVGEEDDIDAILKNIQKEEAKKKEVHVDENVPAPSPRSNGSLTINPSKDTELILYGGEFYNGSKTFVYGDLYRYDVEKNEWKLVSSPNSPPPRSAHQTVAWKNNVYMFGGEFTSPNQERFHHYKDFWTLDLKTNQWEQILAKGCPSARSGHRMVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDNFKWEEIKPRPGCLWPSPRSGFQLVVYQDQIYMYGGYFKEVSSDKNASEKGTVHADMWSLDPRTWEWNKVKKTGMPPGPRAGFSMCVHKKRAVLFGGVVDMEVEADVLMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKTKDIKRKETANDSESNIGNEDDDTMDCSEEATDVQSEVGGVSNHLTKSLTLNKVGSSQNSDVMSDSTEQEAIPEAVKPIGRINASMAVGKDTLYLYGGMMEVKDREITLDDLYSLNLSKLDEWKCIIPASESEWLEMSDDDDDEDEDEDDEDDSADDAMQTDEDEEESDEEAEKNVAVSGAVALLKGERKKLRRKEKRARIEQIRVILGLSDSQRTPTPGESLRDFYKRTNMYWQMAAYEHTQHTGKELRKDGFDLAETRFKELKPILDELAVLEAEQKAEEEASASTSSKKDPKKGKQKSAGR >SECCE7Rv1G0492300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:548817214:548820311:1 gene:SECCE7Rv1G0492300 transcript:SECCE7Rv1G0492300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEIMFDNQSKPCRSRVDSKSNQNPLKPKFGSSWGSQIVKGFTADKKTKKTAAIASKKPPLASVENVNQSNQQITYQSRVKRSLIGDFPCSPAGAQVHPHVFDCHGIRSPASHDLFLELDHLREQLRESKERESALQSELQQCRENPRVSALEKELDSRKNEIDKLARLKTSLEAEKTSLSEQLSALSSMVEQHEETVRLDGHGNRASSADGDNVSSSGNLEFEVVELRRLNKELQFQKRSLAIKLSSAESKLAVLEKNAESEIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELCSSDQTARAITDLDYNDGMACNDDRCDGNTRNDENSSEDKRLSIAERIKQWSQNDTNCQTSKKESLLDRAWIEATETRSPTRRHSLGGSKGCAQDLNIVKRRQSDTFICLPEATEEAVSCNKDQASREKRELLVDKYDFGQSDSSRFALGKPEICKSQCLDVEKRTLRIPNPPPRPSVSLPHSGPSNGSTVNPPRPPPPPPPPKFSTRSTGVMKRAPQVAELYHSLMRRDSKKDTSGGGITETANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTDAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLESEVSNYKDDPRLPCDIALKKMITVSEKTERGVYNVLRTRDAMMRQCKEFNIPVDWMLDNNLISKIKFASVKLANMYMKRVAMELQYMGPLNKDLALEYMLLQAVRFAFRIHQFAGGFDTETMDAFEELRNLVHVRNSTQ >SECCE7Rv1G0502070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:692883684:692885380:1 gene:SECCE7Rv1G0502070 transcript:SECCE7Rv1G0502070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLTAAHDRVATASAANGHAGPDARRRGGKGKKVHPLPAADGAAMGDGASGGERLAGGRRPMDWLSPAGVAGILRRHPLPALFACGLLLFMGVEYTIPMIPAAAPPLDLGFHATAAMHAGIAARPWLNSLLAALNTVFVAMQAAYILWAVLAEQRPRAAIATLMMFTCRGLLGCSTQLPLPAEFLGSGMDFPVGNVSFFLFYSGHVAGAVIASIDMRRMGRRRLAALYDALNLAQGVRLLACRGHYTIDLAVGVGAGLLFDMLAGWYLDSKNVDNGDNRCCSSCQKALVSQKLTS >SECCE4Rv1G0263580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:717227550:717232032:-1 gene:SECCE4Rv1G0263580 transcript:SECCE4Rv1G0263580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASALSPVLLKLGELLAGEYNLERRVRKGVQSLRTELEMMHAVLREVGKVPPDQLQEPVQIWAGKVRDLSCDMEDAVDDFLARVGEVSSSKPTDIKSRVNKFLKKTTTLFGKGKALHQICDAIKEAQDLAKELAELRKKYELDMRSTSNGATVDPRVLALHKDIGELVGLDHTRDELIKKLISEEGSSKEWLKTISIVGVGGLGKTTLTKAVFEKIKAQFDCAAFVPVGQNPDIRKIFKDLLYGLDNEKFSDIHNTTRDENLLIKQISDYLVDKRYLIVIDDIWEEEIWRYINCALNKNKLHSRVITTTRNVSVSEACLSSSDGMIHRMKPLSDEDSQILFHRRIFQSKEKCPEYLQIVSRDILKKCGGVPLAIITIASLLVSSQRVKPKHEWMHVYNSMGRGVTQIGIAKDMKKILSLSYYDLPSHLKNCLLYLSIFPEDFEIERDWLIWRWLAEGFIQCDKEETRLFEIGESYFNELMNRSLIQPAKINKEGTVVTCCIHDMVLDLICSLSSEENFISILDNDEWHAPNLQRKFRRLSLHNIKAKCQNHQFDSTSLSKVRTFAVFSPVTCDWLPSLSSFQFLRVLDLGNCGSHTSSSDISLKYVGNLIHLRYLGLKYADVCELPMDIGKLQLLQTLDIRETSIKELPSSVVQLRNLICLCVNSWVRLPKGMGSLMSLEVLERVGLYSSPHIVEELRHLTEVRTLGVDFDDMYEDLINMDILIKSLGNLHKLQNLRIYDGGRLTDHMRESWVPPPNLRSFDSWSPYFRPALFSHLPKWVNSRSLPHLSRLEIYVEELQRDDIQIIGMLPALRFLRLRARRVMGTLVVRADAFPSARCCKFIRFMPPCLFPPGAMPRVQRLKFWVSALSITSGEVDCGLGHLPSLEHLQVCLVRDNSSDEEMETAEAWLRRAAEAHPKRPTIQIKHNNYLRGSATGKIINWTNEIQYE >SECCE6Rv1G0396710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:372884144:372899810:1 gene:SECCE6Rv1G0396710 transcript:SECCE6Rv1G0396710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERETPEAAPPPTFLRGEEASSGSWSARARFERLIRRVQADVCAALEAVEEGSGGGGGVFREDAWTRPGGGGGISRVLQGGRVFEKAAVNVSVVYGVMPPDAYRAARPDAAAGAEKAGPVPFFAAGVSSVIHPNNPFAPTLHFNYRYFETEAPQGFVVHFQVKKQACDKFDPTFYPRFKKWCDDYFRIKHRGERRGVGGIFFDDLGDHDQETLLYFMTECVASAIPAYIPIIERRKDTPFTEDHRAWQQLRRGRYVEFNLVYDRGTTFGLKTG >SECCE1Rv1G0001220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4293998:4295229:1 gene:SECCE1Rv1G0001220 transcript:SECCE1Rv1G0001220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPLLTPYKMGQLDLAHRIVLAPLTRQRSYGNVPQPHAAVYYCQRASAGGLLITEATGVSDTAQGYRDTPGVWTAQHVEAWKPIVDAVHAKGALIFCQIWHVGRVSTYEYQPGGAAPLSCTDKGVGPQMSYDGRLEEFAPPRRLTVEEIPAIADDFRKAARNAIDAGFDGVEIHGANGYLIEQFLKDSANDRTDEYGGSIENRCRFALEVVDAVVKEIGGHRVGIRLSPFTDYMDCHDSDPHSLALHMSTKLNDYNVVYLHMVEPRMAIVDGRRVVPKRLLPYREAFKGTFMANGGYDHEEGGKVVADGYTDLVSFGRSFLANPDLPKRFEIGAELNKYDRMTFYISDPVIGYTDYPFLE >SECCE3Rv1G0209100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936521981:936523345:1 gene:SECCE3Rv1G0209100 transcript:SECCE3Rv1G0209100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHAQASTAPLLCLPLCLLLLSSAQRATGMYLDAGGNHETFSGGTVPESFTVRSRNSSNSSGAGAAFCRLLSLQILDLSNNQLTGELPDCWWNLQALQFMDLSNNNFSGQIPAAKASHNCSLESLHLANNGFTGTFPRVLKGCDSLATLDIGSNRFFGAIPPWIGARVPSLRILSLRSNDFTGEIPLELSRLSKLQLLDFANNRLTGKIPVAFGNLTSMRNPEIVSSTASLDGSTYQDRIDIIWKGQELIFQRTIRLLTGIDLSGNLLSRCIPEELTNLQGLRFLNLSRNHLSCGIPQNIGSLKNLEFLDLSCNELSGHIPLSISILSTLSIFNISNNHLSGKIPAGSQMQTLTEPSFYRNNSGLCGFPLDDCPNTSPASDEKAGEGEDQWLYYCVTAGVVFGFWLWFGLLFSIETWRDALLFSVDGMQSKIMQKVSHIDQFISKSSSDRYM >SECCE1Rv1G0013480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:106137181:106145690:1 gene:SECCE1Rv1G0013480 transcript:SECCE1Rv1G0013480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] MAALAALFASPALPFPSTSASSSPSCSCRFRPAVACAPRHPPASRRVTRRFDEVEGASKKRRGGGIGGGGSGGGSLSSSTRKDKGLSVDFKESQVADFEDLEEDKFLNAVVKVYCTHIRPDYGLPWQKQRQHSSTGSAFMIGDNKLLTNAHCVEHDTQIKVKRRGDDKKYVAKVLARGTECDLAMLSVENEEFWRGTEPLQLGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGVQIDAAINAGNSGGPAFNEQGECIGVAFQVFRSDEAENIGYVIPTTVVSHFLNDYRKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASSVLRKGDVITSFDGVSVGCEGTVPFRSTERIAFRYLTSQKYAGDVAQLGIIRGGNIMKVQTVLHPRKHLVPFNVDGGQPSYLIVAGLVFTPLTEPFIEEECEDTLGLKLLAKARYSLSTFEGEQIVIVSQVLANDVNIGYEHMGNQQVIKLNGTMIKNIHHLAHLVDTCQDKFLTFEFEDDFLVVLDREEAAAASSDIQKEHAIPSVRSLDLSEPYVDTNHEVQNQGEDFGDSPVTNFELGVDCLLWA >SECCE1Rv1G0027490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:383615085:383616587:1 gene:SECCE1Rv1G0027490 transcript:SECCE1Rv1G0027490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGARAPPLRVARLFWPSRRLCADDSRTGVEVPGTGRQDDGCIHERAGEVGLDPATVPPEVLLGMPLPPPLPGQPESGDYDAENLSSASGSRRRPREELRLADRILKVLLQDGPGFSARKALDEMNLKVTNELVRQVMFKFVVSVDSVNRERYPRLAYKFFVWAGQQDGYQHNTSMYNLVMKVFAECGEVKAMWRLLEEMTEIGLPVSARTFHILICTCGQAGLRRRLVERFIKSSSFNYRPFRSSFNAILHTLLTIKQYSLIEWVHQKMVTEGHTPDVLTYNVVMRAKYLLGKLDQFHRLLDEMGKNGLTPDLHTYNLLLHVLGKGDKPLAALNLLNYMSDVGCVPSVLHFTNLIDGLSRAGNLEACRYFFDEMVKKGCEPDAVCYTVMITGYVAAAEFEEAQKLFDDMLVRGKLPNVYTYNSMIRGLCIVGEFDKACSMLKDMDLHGCTPNFSVYSTLVCRLRHAGKDSEANHVIKYMTKKGQYLHLLSRFGGYRRC >SECCE1Rv1G0051620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:655886135:655891648:1 gene:SECCE1Rv1G0051620 transcript:SECCE1Rv1G0051620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASPPVPSHAPTTAARFLPAPAGRGRRAAPPAASPIFSSASTRFTLSPRAPSGAARPRRRDTVRACSQAGAAGPAPLSKTLSDLKDSCWRFLRPHTIRGTALGSTALVARALLENPQLIDWRLVFKAVYGLVALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWLLVVSFAVVGFSIVVSNFGPFITSLYCLGLFLGTIYSVPPFRLKRYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTVFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLANYVAAIVVPFLIPQAFRSFVMVPFHAALAVALIFQTWVLEQAKYSKDAISQYYRFIWNLFYAEYIFFPLL >SECCE6Rv1G0385780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:85174355:85175711:1 gene:SECCE6Rv1G0385780 transcript:SECCE6Rv1G0385780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQAMWKPAWLEALSTEKFFVACSFHEHAKKNEKNICCLDCCTSICPHCVSTHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSGVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFYCSLDCKVEYILRKKKDLSAYLRPCKTLQLGPDFFIPHDADDETTQSTLVDVDEPMGSSDSDNLSIPYTNFVRKKRSGPYICARSANRVSDDDMATNMSRRKGVPQRSPLC >SECCE4Rv1G0274040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:781945172:781946261:-1 gene:SECCE4Rv1G0274040 transcript:SECCE4Rv1G0274040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHIVYPPLYTKVMGRPKKNRKKDPEEKKDKHGGLKLTKHGSTMQCSICGAPDHNKKGHYKQENDIPNEEVAQAAEEDYDDPSILVDIMPHTVHANLDPTQTPGSMIFMMQEQERFTYPPARDFGPLPESTFIANARAKIPPARVTTAMSRGRQQSIRRGTGRGVGRGPRGGAGRGARGGVAGGGATRGTKAAATRGGVTRGGATRGGAAGGGATRGAKVAATRGGVTRGGATRGGANATTSSGPDTTTARGARAAAPGFYNLLFGDDWDNVVGTETSRAQNYVQAEEEVVFTQNAPQDDDWRDFLSL >SECCE4Rv1G0245260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:527238223:527238822:-1 gene:SECCE4Rv1G0245260 transcript:SECCE4Rv1G0245260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSTTPPPPGPVLGAPVGYPPAAVYPTAAPPGYPHAPALYAPQPPPAAVAAASQQTAAQQQQQLQVFWAEQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDEAKDAEAAVAAGMPHPAAGMPAADMGYYYVPQQ >SECCE7Rv1G0474520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:172737133:172737711:1 gene:SECCE7Rv1G0474520 transcript:SECCE7Rv1G0474520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSAASILRAASLALLLLAATATGGHGSTTSDSSVARSIDDTEMYICYLCTGRNPMLIRYCPIYWNWCHLVCYAPDVSAGPAASLRPAPAAATAPRETDAQLGCYVMKLYRNGTYVIVSRHDCSKMASCRLSCGGGDVVVADGKALGAAPLPVTATAPQGIQLPPLSHVAQFQRCGDQAMGLALSAVPGRV >SECCE5Rv1G0318430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:360420743:360422776:-1 gene:SECCE5Rv1G0318430 transcript:SECCE5Rv1G0318430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGGGTAITGKKRNRAQIQTLVREGSLYNLTLSEVESHLGAPLLSMNLDDFVRSVLPDEKNLPLTNGAGNSGSQNTSAFGLERQGSSITVPLPLSKKTVDEIWRDIQQEQESSDDEKRSSGCEAQMSFGEITLEEFLQRAGIVTAQYQKDAEELIDLVGTGESAHLMTRVQDFPQGTSAIDAYIVRQSIAQPLSVAIPSTMDAIYPDRQMSISSSLELSDLQSPSRKRMSSQDVVYKVADRRQKRMIKNRESAARSRARKQAYTNELECKLSCLEEENKRLKREKELDMLLKSTPPPEPKKHLRRTRSTSF >SECCE4Rv1G0229960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:156657033:156658115:1 gene:SECCE4Rv1G0229960 transcript:SECCE4Rv1G0229960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESKSSSRCTTETAKGTHVLEIVGYSLKKGLGIGKFVQSAIFTVGGYDWTIRFYPDGANMSYADCVCVSVSREPTGRGLARASCDLRLVNKDTGLPKSIWFPSQPTPTVFDYGNRVFPECGGSIERSELELEGSGYIKDDSLTIECVLTVIKQSQVTKTTGSSEIKVSSSNLSDHFGKLLLEGKGSDVTFSVGGETFAAHKIILAARSPVFQAELYGGMKERNAESITVEDMQPAAFKALLHFVYTDSLPDVDYLGGDDYVEMIRHLLVAADRYAMDRLKLICQNILGKNLAMETLATTLALADHHSCDRLKEACIEFVTSKDEIDALMATQGYSNLKRTCPSVLIEVFEKASRLRRV >SECCE5Rv1G0362040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782441535:782442749:1 gene:SECCE5Rv1G0362040 transcript:SECCE5Rv1G0362040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALINCILPSFSPQAAVLRRTYTVASAAAASRIGGFLSSKPYAPPPWASGLSLAPSHAISFGHFPTPIHRWNLPDLPKGTEVWIKRDDLAGMQLSGNKARKLEFLVADAVARGADCVVTAGGIQSNHCRATAVAAKYAGLDSHLILCTSRLLVDQDPGLVGNLLVERLLGAHIDLVSQREFLRFGSVALTELLKKRLLEEGRRPYVIPAGGSNALGNWGYIEAVREIEEQIQLSGGDVQFDDIVVACGSGGTVAGLALGSQLSSLKAKVHAFSVCYGPKYFHDCVQRLIDELQSGLNLNSHDIVSIEDAKGLGYAKSTGDELRFVKDVAAATGIVLDPVYTGKAAYGMLKDMSDNPARWEGRRVLFVHTGGLLGLYDKVDQLSSLAGAWRRMNLEEPILHANLN >SECCE6Rv1G0431960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749034259:749036399:-1 gene:SECCE6Rv1G0431960 transcript:SECCE6Rv1G0431960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTGEFYDEVFHKAQELARRCYQRIRDKNKKLRLELKCKIFDLDLASKKLDELASKSISQERKYEEEKEQKEKQVSLLFHMLEQELNAKQKLELETKQLQSKLEAVKPTQDEDSESKKKMTQQSEELQDKYDRVESIVRTLITKEMQSDDELQLARKALIRGFQDLTTGRTSIGIKNMGMLNLESLEKAFNQKLSEPAESSYHAALFCEKWENEIRNPKWHPFKVIMVDGKEMEIICEDDEKLRALKEEHGEQICDLVTKALLEVNEHNPSGRHPFSELWNYKEDRKATLEEVVAYVLNQWRLDGSKLKRKRAEEDAGSTRVEDNAR >SECCE1Rv1G0028560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:403954653:403955144:1 gene:SECCE1Rv1G0028560 transcript:SECCE1Rv1G0028560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRTTSRMQSRARVVIPVFVCPRCRACVDRRVSHTPRNQNRPFYMCSENGVACFFLWVDALAKTPMNELQEEHEEWLHMLPRTAVAATRTPKEEMEGKACTDGELAVELRMLKKKVRKLEYQAQIPIHICNYF >SECCE5Rv1G0345070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649373358:649374653:1 gene:SECCE5Rv1G0345070 transcript:SECCE5Rv1G0345070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLGPPSSSRAPAKQDPVATATTITSIADDLLHEIFLRLPSLPSLVRAALACRAFLHAVCSSPAFRCRFRALHPPQLLGFFSAPCCTAIPAFIPFRSRSDPDLAAVLRGSDFLLTCLPEDSGDPGWEMNCCRGGYVVLDNQSTSQIAAYNPLTQALHIIPRPPHETCHLSNLDVHFIFSEEDQRAFRVVCDRRRRRRRRTLARFSVFSSDSREWQCFPWVDTSTPGGDGGDKCTLTFYTDTPLNEPDRLAYYKHKDQAYLVVLNTATLQLSRMDLPPPLKDIDYVRIQLGRTRDGRLFLVCIDDADASKARLNVWLWRADGDGDGVDKWMPHKIYPLNRFVDFNLYSQECDVTASVVRVIDSFVFLSIIDYDRDTGCLLSFCLETEKVNKLFDHRVNCAVHPYIMEWPPSLVGNKVSPYMLRDAAVKLL >SECCE3Rv1G0187160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:695445188:695447621:1 gene:SECCE3Rv1G0187160 transcript:SECCE3Rv1G0187160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVSDDDGAAAPRRTRAGRRKVVRSLGQRAVRLVARWWPVLLLLPAVALLLFEASRLRASPSPAPPVSSSLGRLDPTTRLVHGVREPCLKFLSPKSIENLVFHEGSGLDAVVKRIIYKTDDDDYDSHHSEANSTYLLQHAEATRFNLFTGFQTVPEREESFKVNETVSVHCGFYSDNGGFKISDDNVRYMRTCKVVVSTCAFGGGDDLYQPIGMVNSSIGKVCYVAFWDEVTLSTQEAEGKLTGDNEMIGRWRIIVVKNLPFVDQRLNGKIPKMLTHRLFPEASYSIWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARTNIYDEGKAIVQKHKATPEEVEVQLTQYRQDGMPDEKRLHGLKALAEASIIVRELTPLTNHFMCAWFNEVVRFTSRDQLSFPYVLWRLSMPGINMFPVCTRRDLVNSLGHTRKVKPLTQTNSDSSAA >SECCE1Rv1G0014580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:115429171:115445835:-1 gene:SECCE1Rv1G0014580 transcript:SECCE1Rv1G0014580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATSGGGSLSPQAAAALQEGIGLVFGRWTALQMAVENGWGGPDSRAKADQLAASILSWFTNSKGPYYYEDLGAMMFASIYKSLNSDFADGSIGEVAEQFFIMHEECLENNFSSIEKLRNTRPQGNAVSQSIQMVTEDDDDSSDDGDESSMGEDEAARSEDMAVDQPKPSKPTPDADGWTVVPPRRGRGKN >SECCE5Rv1G0363020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:790518251:790519855:-1 gene:SECCE5Rv1G0363020 transcript:SECCE5Rv1G0363020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQLEVLTALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYYREGAEAPGSLPPNVAAAVNGVAFCGTLSGQLFFGWLGDRMGRKRVYGMTLMCMVLCSIASGLSFGSTPGSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGVVTLIVSAAFRAAYPTSAYQDAPLASTPPQADFVWRFILMFGAVPALMTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVDIAAEDEDPKANDEDDRNSFGLFSGEFLRRHGLHLLGTATCWFLLDIAFYSQNLFQKDIFTAINWIPKAKTMSALEEVHRIARAQTLIALCGTVPGYWFTVALIDRIGRFWIQLGGFFFMGIFMLGLAFPYHHWTTPGNHIGFVVLYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKLGAIVGSFGFLYLAQNKDPAKVDHGYKAGIGVKNSLFILAACNFLGMGFTFCAPESNGISLEELSGENDDGEAAAPAQARTVPV >SECCE7Rv1G0458080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19928240:19932166:-1 gene:SECCE7Rv1G0458080 transcript:SECCE7Rv1G0458080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTRALALLPLVLASLATFIQPAAATHGTPRPGGGFSLRLVPNGGWNNTMHVDSGGFLHLNEQAATTSLRPHIHGRRGFTYSVPTTVGTGRGRRTYDLVLDTVSSLTWMQCMPIAHPFPQMPPPFNPEISTSFRRVSHGSGLCHKPYRGSVCEFRATSLNGAGAHASGVLGNETFAFANVGGAAAAAEVRGVVFGCAHTATGFDSQGVLAGVLGLGKQRPSLIWTQLHQHGQDGRFSYCLFGPGRPDRHGFLRFGQDVPATGHMKSTKILYMQHMTSPAFSGYFVSVFGISVAVRIIRGIPEPRMRVLLRRHRSHDGTWHGGCLIDPGTSTTAIMQPVYHVLEHAVEEHVKRLGLPVVKRDGYRLCFGGATQAAWEHLPTVTLRFEEGAGLVIRPQQLFVFVQHDICLTVVPSRDMTIIGAMQQVDTRFVYDIAAGKIHFAPERCSDDTGGQN >SECCE2Rv1G0113470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:722932502:722936706:1 gene:SECCE2Rv1G0113470 transcript:SECCE2Rv1G0113470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMNTDHIFEVPDTPDRIQQSVCPVSSSAAMRGVTRMAGNPSPARRLKFKIKNISTQGQSSRGDVVSELPTSLDTDNIFKQAELARKLSPPKLDRTTGKSVVNGNGAHSHDLDQSSSISNHMICRGDGVRGSSCQIREGQAGHRDAIRRHVDFLGVGSDLPTTTVGNPQNRAKISTSNGLKEVVGSDVFSASGPREERREAINIQGTGLSSTPCDVPQRQVVRRKLVRNGCISPSNVVKRSVIADEKREMCSTSGVSHYRNPQDDVFHTGTIIDLTDKSPTITKNGASVNYMETTASEKFRTARAGGTLVPQGANQASGSNCSEGLNNKGKEIIHHVMGTERAGEADTMRVCPRVPVGSSSVVNDDGTGISQLGWRTTHNHTMKLPKSMLCKTTSTSGMESGSSGPSNQGHETAAEDNNNSFSAGTTARSASLRNRTIRISKGKRKHTSSSYHPGESSSSVNGLGSSCLASSDTTAGRNRTTRRHNISVIDIDDISSPEARSSLSGRSNDPNLSAQLEADELLARQLQEQLYNETPRVAPREEIDAIVAMSLQHEEDAERTSRTVRAPARWLSNDTRAARASRLSASQRGIRARYETAISHMQNAAPVTLGLRAFRGGYRAAHIQPNIDLNDYDALLALDENNHQHTGASESQINNLPQSVFQSTSTEEPCAVCLENPSFGDTIRTLPCFHKFHKECIDEWLRRKKLCPVCKCGITSS >SECCE3Rv1G0191750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:750725240:750726556:-1 gene:SECCE3Rv1G0191750 transcript:SECCE3Rv1G0191750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASWLLTCSPCAGLASLAALTTGLLVLGYASSSFLRGAAYGYDDPYSPDAANAASTAPPVTVPGRGAGYPPVLAYYISGGHGDSVRMTRLLKAVYHPRNRYLLHLDAGAGAYERARLAGYVRSEQPFLEYGNVHVVGKGSPVDGRGPSAVAAVLRGASVLMRLGADWDWLVTLAASDYPLVSQDDLLYAFSTVPRDLNFIDHRMDSETAPVVVLDQNLLQNTNAEISVSSGHRAKPDAFELFKGSPWTILSRAFVKHCVVAPDNLPRTLLMYFSNALNPMEFYFQTVMANSAHFKNSTVNHTFRIAVPDAAPPHWSRYDAVVSSGAAFAGRFGDDGDEALLQRIDEELLRRPLDGVTPGQWCAGSDEQAAGEECSAGGDIDVVRQGEAGQRLASLMAGLVGAGP >SECCE1Rv1G0052820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:664699474:664700271:1 gene:SECCE1Rv1G0052820 transcript:SECCE1Rv1G0052820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTMSLSSAFAGKSVKNVASPALFGEARVTMRKTVAKAKQVSSGSPWYGADRVLYLGPFSGVPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEVKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLSDPVNNNAWAFATNFVPGK >SECCE2Rv1G0142930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943161772:943163034:1 gene:SECCE2Rv1G0142930 transcript:SECCE2Rv1G0142930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRHRKKAAAVAATVAVATIAAAAPVASLPVDMLANIHGRLSLLDRLTFAAVFRTSRDAFKPEAPCLLLPGDAPETAALFSLAERRTATVRARGPYHAVLGSSSRGWLVTADDRARMHLVNPATGDRRALPAIDTIPHIFAHAGRHHFTVSLMWFLRGPPPYPYGTMTYTAERMRHSLYRKVVLSDSADVAMLITGPEYGVAAFATAGGGAWRLAPPRDGVEPVPTPEAGSWRLWHSRNGVEDAVHHEGRFYSITYSGQVEAWEEGDTDGVFTSAVVAPSLLLPANTNHRKYLVAAPAGRLMVVLKETTGRRTSPSFKVQVLNSGREGWKETDDIGDTALLVAVNGSLCVSTREHPELEAGCIYYYTEDDVGPCKDARDDGDNGIRVFSLKDRRSEKVEGLGWHQSWPPPAWFIPSIP >SECCE7Rv1G0481110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:280453849:280467090:1 gene:SECCE7Rv1G0481110 transcript:SECCE7Rv1G0481110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORT ROOT IN SALT MEDIUM 1 [Source:Projected from Arabidopsis thaliana (AT2G03150) UniProtKB/Swiss-Prot;Acc:F4IS91] MFPKKGPNPYGQPPQYGAQQPYGKIPGSGGYAASTAAAGGTDGGHFGGNAAQGAMGQYGGPYAAVYGAQKVGGLAGKGPSSSGLPNLQAHQTSLSESSKFSSGAVGSSLGRPNDDYLASHAYVPKLEQYSTNYDLERRMYGEQSANIGRRDGFNDLDRRYPDHIPGSHQVSSTRHQQLLKTPPQPVSDIRQADYFAGRTGPVHQGSQEVSAYGRVEANPHSLSILGSIPYGGQQQASILGGTQRTNVDSLVYGQGSSGSGYVMGLPPGRDYASGKSLLHASSESDYRDSILPRVHPSIPMVDERTRDRVGYRRELDLRDEEHRRGLLWEREKEREWEHEQELRNHEMEREQDREREHERLRERERERGRERERVRLRERRENERERDRKHVAIPRREHTPPRTPGERRGSSSVRSEKPLRRLLPRRDVLHRPRSPVKQLKREYICKVFPFRLVDAERNYLSLTKRYPRLVISPDVSKVVLNWPKGNLNLSMHTPVSLEHDIHEVDDKADERTVLSPEKSHSTGTPGTVWNVKVLLMSGMSNGAFADICSLRSAEERIAHWNNVLKFAVFKKDHALITIGGPWSAAIDGGDPLIDRSCLVRTAIRCTKELVQIDLSNCTHWNHFLEVHYNRIGKDGLFSHKEITVLFVPNLSECIPPVELWKKTWITYRNSRVDREKLAIKSENAPCDTKEQKKGADDGHMREGDICKDVTKIEKVDTKIDEQGKDGEAKFAENEGKPLDNTVEHNKKGGDVQGNTSGDASVHHAVEDKKPTRRKVIRKVIRKVVREKPTAETSIDKSSQVDKAVVAETTNKTVEEQVEQTTEDVSKEKDGTGINLQPETNKTGKKKVIRRIIKRKVPASGSKLITPAVPAETSKQGGEVQQEKNDESLTDAGNSQIKLSERLNIAAEKISNPKKEEKVDKAQLCTEDKKPNGDKVSEQDGDDVNKEGGNGTKDKAKDGKEKNIRDLKKDPKHKSLNDAKEKKKSDEPPKHPGFILQTKRSKESKLRPISLSLDGLLDYTTNDTEESVFELSLFAESFSEMLQFRMGCIILCFLEKLHKHYVTKKNRRKRTREDDLTKEGTKSSEKRSKTTDDAHIESTPNNISASKNDKIMEDDKKKMSIDQIPLLPATRDEPEAADKMEDEDPDYEEDPEEVEIYEDDEDMDGDTAEQQDHNLNSREVKLEEVTKEDKANQKAGKEIELENVACVDEKPVSGKVKPTIAEKGGLVEVGDKGALSNEAKAAVSPKGDSTKHEVVDKDLLQAFRYFDKNRVGYIKAEDLRCIVHNLGKFLSNRDVKDLVEAALAESNSAKDNRVIYTKLVKIVDL >SECCE5Rv1G0307970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122745784:122750058:1 gene:SECCE5Rv1G0307970 transcript:SECCE5Rv1G0307970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVPAAGVEEGSTAGPQAGGPEIAFFDLETSVPQRAGQGYALLEFGAILVCPRRLVEVACYATLVRPADLGVVSAASVRCNGITRDAVAAAPSFCDVADKVYDLLHGRVWAGHNIVRFDVPRIREAFIEIGRTPPEPKGTIDTLPLLTQRFGRRAGDMKMATLANYFGLGRQKHRSLDDVRMNLEVLKYCATVLFLEASLPEVLIVENLVGAITRSKTDGATSPEVKNLEANSSPDSSKRQRTVSQVNGLTADQDNQESVDRASNGEPSDLISHIEEMKLDASMQMDASFSGHSGFLEPDDVSTECIKISTSPSYQFNRRTLIKHKDSPLHLCCAGLKVQFGVGTKFLDTAGRPKLNMVVDIPENLSKVLEFCDNQAQRFLQESGTTSEWRPLIKKYGYVNRPTVRLNISTTASSDASPYSTDIYRKEPSGNIQKLAFSKVDAAELDSLFVRGNRLDAFFSLEIYDYQQNAGIRLVAKRLVVHSK >SECCE1Rv1G0006410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:33492299:33493582:1 gene:SECCE1Rv1G0006410 transcript:SECCE1Rv1G0006410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNAPAAVREALAARRCPSLPPELLDNIYDRLGFLDRIAFAAVFAASCSGDDVFKPSAPWLLLPGKTRGTAELFSVADRRAATVRASDPMLRGHVVLGSSRGWLAMADNLGQIYLVNPATGEQHALPHIATMGVFNDRRWPNDWFTVRIMCFQTIRYGRGPPFPDSFLGREGYGGYSFAPDDMFYRKVVLSPRHHGSYGTAMLILWNVFGAPAFATAEDGAWKLARSEDGVEDAIHHDGQFYSVSYSGVVEVWQRDAESGEYTSTAVTPRLTMEEGSSRRPLKYLVAAPGGRLMVVLKYGRVTKKQYKREGWMCSFKVHVLGDDGKWNETRDIGDVALFIGVNNSLCVPTRGRPEIEAGCIYFTDDRLRGVRREFSTFVDDNDLDVRAVGVYSLKDATVKKMEALGQEYNRCRFSSPPVWITPSIS >SECCE2Rv1G0142440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:940753220:940763028:1 gene:SECCE2Rv1G0142440 transcript:SECCE2Rv1G0142440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAALWQPQEEGLREICAVLEAHISPNSDQARIWQQLQHYAQFPDFNNYLVFLLARGQGKSFEVRQAAGLLLKNNLRAAFVSMPPSSQQYIKSELLPCIGATNRAIRSTVGTVISVLFQIVGVTGWIELFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLSERPINVFMPRMLQFFQSPHASLRKLSLGCINQYIVVMPSALYMAMDQYLQGLFVLVKDPSADVRKLVCSAWVQLVEVRPSILEPHLKNVTELILQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLVSNMVYTDDDESLADAEEDESFPDRDQDLKPRFHASRLHGSENGEDDDDDDAVNAWNLRKCSAAGLDVLSNVFGDDILPTLMPLIQQNLARTDDESWKEREAAVLSIGAIAEGCITGLYPHLPQMVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLGHPNGREQFDKILMGLLRRILDTNKRVQEAACSAFATLEEEAAEELVPRLEVILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLIAKWQQLPNSDKDLFPLLECFTSIAQALGPGFSQFAEPVFVRCISLIQTQQLAKVDPAAAGALYDKEFIVCALDLLSGLTEGLGGGIESLVAQSNLRDLLLQCCVDEAPDVRQSALALLGDISRVCPIHLHPRLQEFLNAAAKQLTPQSVKDAVSVANNACWAIGELAIKIGKEISPVVISVVSCLVPILTTPESLNKSLIENSAITLGRLSWVCPDIVAPHMEHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVSSLAHVCQACASWNEIKSEGLHNEVSQILNGYKQMLGAAGWEQCMSTLEPAVVQRLARYGV >SECCE4Rv1G0265380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725630067:725630477:-1 gene:SECCE4Rv1G0265380 transcript:SECCE4Rv1G0265380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE5Rv1G0372600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853694483:853697085:1 gene:SECCE5Rv1G0372600 transcript:SECCE5Rv1G0372600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARNTTLLLVAAALLAASCSAWEVNIRMPTSVAAVDEAVAPLIHALRPLLGSGKHAGVACDSWVLGVEAHNVRDWKTVPASCEGYVGHYMLGSHFRRDSKIVIDQALAYVDSLKLAGNGKEVWVFDIDETTLSNLPYYAKHGFGATPFNATSFNAYVLEGSAPALPETKRLYNKLRSVGIKPVFLTGRTEDQRAITVTNLRRQGISGWMNLLLKQPGFKGSAVTYKSGERQKLQDAGYIIVGNIGDQWSDILGAPEGARTFKLPDPMYYIG >SECCE5Rv1G0342020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:630411099:630414259:-1 gene:SECCE5Rv1G0342020 transcript:SECCE5Rv1G0342020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQIDAPTGFDIIFPGMVQLAIEMGLEFSVTESSVHGILRRKEKEMERLAKDKSYGKEAYMTSVAEGLGNLVDWNKVMKFQRNNGSFFNSPSTTAAILVHNYDEKALQYLNLLVTKFGSAVPTMYPLNIHCQLSIVDTLEKIGISRYFKTEINDILDMTYSSWLQRDEEIRLDLETCAMAFRLLRMNGYDVSTDKLSHVTEAPTFHGSLKGYLNDTKSILELYKASEVNLSENELILGNIGYWSRKLLTKNLCSDGMQSIHFSEEVEYALKFPFYATVEPVEHKRNIQNFDTRVPRMLKTKNMPHRVNQDLLALAVEDFRISQSIYQEELHHLESWANENKLDQLQYVRKILTTSYLSAVATISAHEHSVARVACAKTIVLTTVFDDLFDVAGSKGELENLIGLVERWDEPHRDEFFSERVKIAFSALYRTVNQLGSMASAVQNCDVTKHLVEQWRHVLRSAMTEAEWRRSQHAPTVEEYMTPAAVTFALGPIILTPQYFIGEKLSEHVTKGQDYQELLRLVSRCCRFMNDARTYERDGRDGNLNCVALLALHSGGSMSIEAAEKEIQNRLASCTRDLLRTVLREDSVVPRPCREMFWRFCKTGHLFYFRSDEYTSPREMVGTLNAVINEPLQLQASRPSSLVARPQ >SECCE3Rv1G0204180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:890089004:890091739:-1 gene:SECCE3Rv1G0204180 transcript:SECCE3Rv1G0204180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MSSWEDEDSAAASAAATTDVELLKRAWRNEKAAPEILHFDSALVSRAREQIQLLEETLDDFTDNGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIEKYMSHIANSDDLLSRLSQQEQRFTKSCKEIMEKHLEQSVLSKLPYGYDSVTKQSLSSTEDDMVPEPQLDTFVFCKTKSDVGAFQLDDVGEEVVDLVADDLYVLRYKSIKGLVEAGRIDLI >SECCE7Rv1G0491460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:527535640:527537455:1 gene:SECCE7Rv1G0491460 transcript:SECCE7Rv1G0491460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLVRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKAPAAPNVTTTSSTTSTSPPNNGLAPCSGSTATSDVHHRRLQHPTFSCAPAGDHLQLDAIIGHHQSTSLALPVAAGAGQDSPPGMAHHCPLFMFDTGVVSTPFASAAAQQHPFIASFTAAMAEADTPSCYHLPPLVDGMGAMGMCMEAMDDHCGTGAGMGNGCFGDEQRQRRRPELEEEEGEQLRQHEQWDEEQLLMWDDQEVLTPSNMEAMQSGAHSLLFMGPNA >SECCE2Rv1G0076470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:91344909:91348328:-1 gene:SECCE2Rv1G0076470 transcript:SECCE2Rv1G0076470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPECENIRIRAENIDDAAGEIIGLLEDTSKGNLIYFRGWYDFGASATLKAVAQRLKSSKSKFDKVIHLDCSVWKCMRALQKAIAEELELPRSVLAILDRCDEDDDFKGIEQGSRGVIVDVGREICTKLLNSRFVVVFHNGSRRYVDLYDCGVPAIPFLRNKVLWTWRGRFQRGVILDDGELEKMRMHTNVFLYYPLRHEDKELQYLVVHEEAEEVANCMSIAEPGYLNHKVVKQCVMYGLLLSSIASAAGLDLDTHGPNYWVCDGIIQGQGSTSAWEIGNSLQRNMPLDWMRKNHEDAYYLAELLEKHHGVYDGSSWVYVTHKKLRCGNTVMAPAVTSLFLYADESPTNTMPMLPVSMFQHSQNSKLRVLHLSRCTFSFASPPFRCCSQLRFLHLDHCTSITDNDESQSHNENISCFQKLWVLDLRYTEWYCLLSEKMKILMVDLRELNVEGVKHGSISDLCGGRPSLVIVRVTEADPVPTENKDTNNQAQFAYMSSTNSLTTSRFVKDVLPPRLESFSFINKAATAAKIFSFSFQGCSRLKSILLGGNLGSLEELDLSGTAVKTLDLREVEARNLKRLILMGCEKLRSILWPPEEKRTRVLEKLHISTILSASPSRNNWEENTKEATSFDFNWYISVVDPRLMWSLMPFRRYIERIFVYMEIDSSSASEVAGGGREVGQGLGMQQQTDQCSYARDVFKEYQQAVCAIEGVISWMWDCPPIRTPSPQDWYFHMQDEEKMERGTLQRQHITQRISTDVALAPVLMCDNAKMLYVHDSSSTTCIPCPQGAEWPWLEWCRVERCPKLRSVFATYQLRSGKSYRRLRTFWASQLPMACYIWNWNKVYRQPYEQSFEDLQFMHLDYCPRLIHVLPLSKGIPTLPSLETLEIVCCGNLKEVFPLDPKRQEKQEIIEFPNLRRIHLYELPMLQRICGSRISAPNLETVKIRGCWGLRRLPSINGNTTKWPKVGCEKDWWDNLEWDGVEANHDPSLYEPSHSRYYKKAHLPRDTVLR >SECCE4Rv1G0292160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:880592755:880593093:-1 gene:SECCE4Rv1G0292160 transcript:SECCE4Rv1G0292160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFEGGMVRRADSEAPGAGGAARPGKVLVHVPSGEVVTSYEVLERRLRELGWERYLNDPCLLQFHQRSTVHLISVPRDFARLKLVHMHDVVVKTRNVFQVRDAGASHP >SECCE4Rv1G0234260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:271756533:271758225:1 gene:SECCE4Rv1G0234260 transcript:SECCE4Rv1G0234260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAYTDDSGSEVIDPPKSEVLDVAELVGDHIQLTLKSNVVASGSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKHQNFGCLGIYPYYCKLKHESQCQYRPYSCPYAGSECTVGGDIPYLVNHLKDDHKVDMHSGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFELGIAPVYITFLRFMGDDAEAKKYSYSLEVGGGGRKMVFQGVPRSIRDGHRKVRDSYDGLIIQRNMALFFSGGERKELKLRVTGRIWKEE >SECCE1Rv1G0010290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:61487285:61491488:1 gene:SECCE1Rv1G0010290 transcript:SECCE1Rv1G0010290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10420) UniProtKB/TrEMBL;Acc:F4J3R7] MLKALNPTPIRLRPACRASSAAGCARGAARRGGPRRAVPQQPPVRRPSGDRCVPWRGAAPSGPAAPPAFPVAAPAPEARAGAKGELEAFLEVVPARMRRELALHPEVWELVEVVMDLGRRPLARFPSGDWVISDQPVTADDLRQAVSKVGNFSDDNRSGINHSLHRISAIRNRKAHIIGLTCRVGRAISGSAEMIRDLVVGGGSILVIGPPGVGKTTLIREIARILADEGKKRVIIVDTSNEIGGDGDVPHSGIGRSRRMQVPKVTMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIDSIIKNPCLQMLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEMVSKTEYRVHHKLESTVDAILAGKPPKFEARMMDSKITESGGSLVISERVSETERLPAYHQDLVARAVTSEDKFIDDFGSSRQAKSKNIPSDDNANGDFGCTKKTKGKQYVSGRPPVRVYTYQVSEADILQVATVMGFEDELDITDDIEAANVILASSSEMKQNPWIRNVAKYHKLPIFVVKTNTMAQIVKAVKMIVGRDKLDAPSRKQPKVLEGEIEIEDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDPNSRLQILPVKIAKKSSAQGAQGPTPTKKSGSDLIVSENGGGFSFSRLPFLPK >SECCE1Rv1G0039160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:545785400:545787826:-1 gene:SECCE1Rv1G0039160 transcript:SECCE1Rv1G0039160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAQPQGDTIPLHPSSAQSDMDEIESLIHAAPTAAAVLPARPPSPPRASIPVSNIPPVPPPASFRPQPPPTFSSPPLPSASVAIPIGADGFGSPANTLTEPVWDTVKRDLTRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVRKSEVFAVAFAVLAAGAIVLTLNVLLLGGQIIFFQSLSLLGYCLFPMDVGALICLLKDNDMLKIVVVAVTLAWSSWAAYPFMSTAVNPRRKALALYPVFLMYVSISFLIIAIN >SECCE5Rv1G0341900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:628550506:628559864:1 gene:SECCE5Rv1G0341900 transcript:SECCE5Rv1G0341900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSVIPSIASVPTSRLLPIRTPRSAPAEWTTTDDSSAVTEEEPVTPTARLMEGIYIVVTIGLGSPVNLPVFSAGVTAELARYARFRSIQVTDGSKDGNNPRWARTAVNVEDHMIVPTLDTAAVEADPDRAVEDYVASVYTLPMDRSRPLWEFHFLDFPTSEAASTVVFRVHHSLGDGMSLITMLLASARSAADPTRLPAMPEPPARTGAIYAPRRSSAGALAAFITWIWAYLVLAWNTMVDVSFFAATTVFLRDPCTPFRRAEGDVTFNPRRRFVHRSLGLDDVKFVKNAMNCTVNDVLVGATSAALSRYYFRKSGGTNTYRTWLRSVLVVNTRPTASLQTYANMIESGRSNDVAWGNQLGYILLPFHLTMHDDPLAYVRKAKMILDRKKCSLEAIFTCKTSEVFVKMFGLKAGAFIFRRMFAHTTISFTNLVGPTEKIELYGHPVVFIAPSVYGSPQALIMHYQSYDNTIKIVLSVDEEIFPDYSQLLDDFVLSFGLIKDAASRLSESIKKD >SECCE1Rv1G0031610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:444393251:444396439:1 gene:SECCE1Rv1G0031610 transcript:SECCE1Rv1G0031610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSAVGEKRPLETEDRGSPGGVAEEEVRGAQVGAEPASDAATAKMGGRGKILDAREGDAAEGVVQAQEGGGGEVVEVRQRDVGVEEVESDVAWTEATMDPSAGYDQQAARNGESDEDADLFVEVEQQEAGSDQSEEFFHNAEASVTGESVAAESEAIEDDGPAEIGLEDDAHDEHRERLEEEAMLEAIRQCVTDAVLAEHLDEEPEKGREDAPASDSNPDLPMNSSEPEPVREAMLVDQSKEQPEYSKGDTAAHDSKLEVPTQSGGEPDVVVEELDDSNSSDDENKASSAPARSSSAAAGQANGPSLPSRPAGLGSSSSLLPPARPVQQVRANGPVAVDRDARQDTESAGDDGDENDEIREKLQMIRVKFLRLANRFGQTPHNMVVSQVLYRLGLAEQIRRNGRGVFNFDRAQEMAERLEAAGNEPLDLSCTILVLGKTGVGKSATINSIFDDVKLETDAFECSTRKVQEVVGMVEGIKVKVIDTPGLSSSSSDQHYNQKVLNSVKKIVSKNPPDIVLYFDRLDLQSRDYGDVPLLQTISKVFGASVWFNAIVVLTHAASAPPDGPNGIPLSYEMFVTQRSHVVQQAIRQAAADVRLMNPVALVENHSACRTNRSGQRVLPNGQVWKPQLLLLCFASKVLAEANSFLKLQDSPAGKTSSTRVAPLPFLLSSLLQSRAPLKLPEEQFGDDDDLEDDLADDCGSDDGSDYDDLPPFKRLTKAQLSKLNHAQRKAYLEELDYREKLFYKKQLKEEHMRRKMMKKMAAEARAREDDFGNNTVDDDGSTPTNVAVPMPDMVLPSTFDSDYPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSVSGQLTKDKKDSSLQMEVASSVKHGEGKSTSIGLDLQSVGKDMAYTIRGESRFKNFRRNNTAAGISATLLGDTLSAGVKIEDRLIVNKQLRLLVSGGTMSGKGDAAYGGRLEATLRDKDYPIGRMLSTLAISVVDWHGDLAVGCNAQSQIPAGRSSNLIASLNLSNKGTGQVGIRLNSSEHLQIALLALVPIFKNVRKLLDSYYECA >SECCE3Rv1G0180850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:594362525:594363631:-1 gene:SECCE3Rv1G0180850 transcript:SECCE3Rv1G0180850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFSASGRRLLATAAAAAEFPVPMARIRNLARAGRLDDIDAAVAPLVPTNPKAVISALSVVGLSDRASAILSTIPSPTAEHLNALLAPLLRRRRLAERVPALLDAHPSAPRDAFTWSILAKSLCITKGADHAVYLLHGEEPPSLHLYTAIIDSYYKQKKPHRAEELWREMVEERGIAPDAAAYNVRITYKSTTGTVEEVQELIRVMGEESGLRPDVISYNGLIRALGRHKRVDEALEVYRSLHEKGAEGNTESKSAPECATYACMVGALCSEGRLSEAEDVFYEGVKLKKVADLGTVRKLVVALKEAGKGRAARRVVVGLRKKFPDQFDGPWKELEKDAGLTPGASNEEEEAEEDEQQPEKTAAAV >SECCE1Rv1G0048520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636178827:636179302:1 gene:SECCE1Rv1G0048520 transcript:SECCE1Rv1G0048520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGDVKSFFRQQKAHSGAAATKPTGGVSKKAAAAHHHQKQAAARPTPDHGGGADARRDELENAERKAREFDMDMRYGPCLGLTRAQRWQRAAALGLAPPPHALCSDDQPCLWEGRV >SECCE1Rv1G0020910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:261884140:261886053:1 gene:SECCE1Rv1G0020910 transcript:SECCE1Rv1G0020910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPATTVAAAPSSSSATAVPRDAPEEALDAPIGESRRTLRLYIVFYSMYGHVEALARHAAAGAGAVEGVEVVLRRVPETLSTAVLEKMQAPSKDPAVPVISAPADLEEADGVLFGFPTRYGAMAAQMKVFFDSTGSLWEKQRLAGKPAGFFVSTGTQGGGQETTAWTAITQLVHHGMLFVPIGYTFGSGMFNMDDIRGGSPYGAGVFAGDGSRQPSEVELALAEHQGKYMAAFVKKLGQA >SECCE6Rv1G0398930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:427849897:427850802:-1 gene:SECCE6Rv1G0398930 transcript:SECCE6Rv1G0398930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase subunit C [Source:Projected from Arabidopsis thaliana (AT2G34980) UniProtKB/Swiss-Prot;Acc:O64761] MKGAGNPTLCRTKWRKVAYGGMQPGYDDNYTDDSFLEEMVMNANVVKRDFLRVMVDSVSISQYLCIVALVVSTWTHTLNLAIDEITLLKFDIGLLLVGFLVLLLTTSPFSLNLLLKYVLNISFFTSGLYVLAPICHTLTRSISSDSIWALAVFLLLVHLFLHDYSGSTIRPPGALNNPKLTSNISLNASIVASVLVASRLPSWLHVFAIMLFSLQVFLFAPLVTFCIKKYSCRLHLIFSFALMVMTLGVTYQLHRMLFILLLALVVFISLVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >SECCE3Rv1G0169240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:233946719:233954475:-1 gene:SECCE3Rv1G0169240 transcript:SECCE3Rv1G0169240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IDN1 [Source:Projected from Arabidopsis thaliana (AT3G49250) UniProtKB/TrEMBL;Acc:A0A178VBM0] METPLTVQIVEFNTKVMQEELKKLGLKVNHHEANITFLKSEINAIEESIADLTIKLGKKVVASSNGTSVQEAEQRTIESICNQDKTAASLICQLKKRHSAQISTIPMMKDILGVVATLGKANDDNLSSLLSEYLGIDNMLGLVCKTYDGIKSLETYGKEGSIDKKSGIHGLGGSIGKFLHGRFTVFCLENIRPFSGEVMIDDPQRYLMLRKPRLPNGESPPGFLGFAVNMILMDQAYLSCLTPHGHGLRETLFYSLFSHLQVYKTTADLRRAIPFINDGAVSLDGGILRPNGSFCLGDRKDVEVKFTVALSSADSNTPGSITEMEEQVKLKKWEKERLVEDMKREEDLLKQVKDSFGNQKLQLVDYITQSPAAKRVQGSPTIRSPATPGSNPFAATPGRNPFTSTPGSHPFATTPGGNPFAATTPGGHPIAATPGSHPIAATPGNISFAVKPPHMR >SECCE2Rv1G0068650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:24332406:24333618:-1 gene:SECCE2Rv1G0068650 transcript:SECCE2Rv1G0068650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFQDGHHVRLRSRVQRTYLHAADDGESVTLSQRRASMNAAWAVHIYDGGDGDGEGDGDGDGDPDGDGPYLLLHNAAYGRYLAATARPARPGHHGLRTELRDYDQPEVEAIKWRAVRSGFADDIVLLRHVGGRYLRANGKYLPWNSTGVTVDDKASSMMYWIVETIPLREAGIPAIPAPLPNRPIFSGIFSSRGRRIRFVLAAEDGSYPEEVDGWRQFWLRGRSAFSLRGNLAAHIDVADPNIAMCVRAGRHGRLTPLVVNLPDGGYGGTLEIVVFLAGTPGE >SECCE5Rv1G0298220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10776357:10777235:1 gene:SECCE5Rv1G0298220 transcript:SECCE5Rv1G0298220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVKEGEGKAAPVVLITGCAEGGIGYEYCRAFAALGCRVVATDIPARVRDLTGLDDTVVVDRLPLDITSDESVTDAVSRVLRDHGRIDVLVNNVGIGCTGPLAELSGEAVRRTMDVNFLGQLRLVHAVAPHMVSRRSGRVVNVGSIVGTAATPCAGVYCASKAAVHAATDALRLELVPFGVHVVKVVPGAVRSGLGHANAAQLAGAKQGQQQWGMYREFTAAIEERARASQAAGAIEASVFARHVAARVMRSRPPREIVYGSMTGLFAVLAMSPAWARDAFLARRFGLNNL >SECCEUnv1G0558230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:330659903:330663236:-1 gene:SECCEUnv1G0558230 transcript:SECCEUnv1G0558230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVVSMARSMLGGAIRMAASAAATEMSLVMGVQKDIWFIKDELKTMQAFLAAAEATKNRDMLLKVWAEQVRDLSYNIEDCLDEFMVHVRSQSLMKRLMKLKDRRRIAIQIRNLKSRVEEVSSRNARYNLIKTEASMTSDKEVSYIEDVRNHSASNTDEAELVGFTKPREELIKLMDVNTRDGDAKVICVVGMGGLGKTTLARKTYESKEDIVKNFSCCAWITVSQSFFKIEMLKDMIRQLLGGDSLKNLLKELEGKVVQVKDLAEYLNQEIKDKRYLIILDDLWTIDAWRWIKDIVFPSSNKKGSRIIVTTRDVGLAKECTLESLIYHLKTLEVIEATNLLLKKSRKVSEEMDKDENFKSIVEKLVKKCGCLPLAILTIGGILATKKIVEWEHFYSQLPSELESNPGLEAMKMMVTLSYNHLPSHLKPCLLYLSIFPEDFEIQMRHVVERWIAEGLIRGATGVNIEDVAKGYFNELINRSMLQASRVNIEGVVKSCRVHDIVRDVMISVSRDENFVHVAGNNVTGAMEETFRHVAYHGSMCQKIDMDWSHVRSVTVFGERSFGPSSSVCSPDMRMIRALDLENAQFQVAQKDINNIGLFRHLKYLNFSRSRGYSHIYKLPRSIGRLQGLRTLNIRDSYITELPIEICKLKSLHSLRCTRKNSYEYFDLNRPKVCLLCTFCWSILFTPLFDPSERASLVPDLHMAWSSCGSKSEGVRVPKGIGKLKDLQILEVVDVSRTSRKAIKELGELVQLRKLSVLTEGTTKQKCKVLCDAIQKLTSLRSLSVDGSLEWLHVVSSPPPLLRSLKLDGCLGEIPGWVGSLMYLVKLYLMGSVIKEECKIMEILGPLPNLMHLRLGSGSYIGEKLAFKTEAFRNLKKLHIGGLEQLREVKFEDGTSPQLAMIDIGWCYLTSGIIGVNHLPKLKEIALGPGGRVAKLALLQSEVDAHPNSPVLRLSGEQIDHDLGGVVVQGEDATEEESSSLHPEPAEAGEISHAVVTTNVSQDDLLYTYNSC >SECCE7Rv1G0482980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:316410903:316414550:-1 gene:SECCE7Rv1G0482980 transcript:SECCE7Rv1G0482980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNGGARQHWPCDYCGEAAAALHCRADAARLCVACDRHVHAANALSRKHVRAPLCAGCAARPAAARVAAGGGEPAFLCADCDSGCEGAARVPVEGFAGCPAASELAASWGVDLLPGGGGAAAFGCGLGGGGDQDDEDAFFFSSLDYSMLVDPEMRDLYVPCNPPEVAVSAGGGRPLKGEALCHQLAEMARRETETSQPQGYSDLSPRTPRRSSAGASGRLPDKQAPPPLPPAPEVPCPYTSLLMMPSANCAELIGNDRLRDDDEEQLRWEFAPPSSVPPTQIWDFNLGRSRNHNEKSAHEVGFGSNNGGFMIKSYSDMLKEISCGTTKDLEDIYDSGYGAAAEDIMSTNICQVPSKNVSTASNKRMKVSSCTSTMDGPTTSGSHVPASGTLGSSSHDRGAAPAREISFGDQTIVPTGAERPAARIDSETLALNRDSAMQRYKEKRKNRRYEKHIRYESRKLRADTRKRVKGRFVKSNEALNAGSNGG >SECCE2Rv1G0081360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:138766998:138770156:-1 gene:SECCE2Rv1G0081360 transcript:SECCE2Rv1G0081360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHAAFAAEDAVTAVAAPAQPGRHFASLPPRRARDCRKAALGRMDLAPSMSGSLLDSMKASSPRHAMSPAGADHEEWIEKHPSALEWFEGVLAAAEGKQIVMFLDYDGTLSPIVEDPDRAVMTEEMREAVRGVAQHFPTAIVSGRCRDKVFNFVKLEELYYAGSHGMDIKGPTKVSNHKADEVLCQPATEFLPDIQEVYEKLTAKMESIPGAMVENNKFCLSVHFRCVEEAEWDALGREVRAVLHNYPKLRLTEGRKVLEIRPSIEWHKGNALKFLLESLGYAGRGDVFPIYIGDDRTDEDAFEMLRNMGQGIGILVTKFPKETSASYSLREPAEVKEFLRKLVKSNGTKKG >SECCE4Rv1G0270480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755531069:755531662:-1 gene:SECCE4Rv1G0270480 transcript:SECCE4Rv1G0270480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVVLIVGAGPAGLATAACLSQFSIPFVIVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKTLFVKYLDDYVEHFNIQPKYLTSVESSTYDNEKKCWFIMAHDMEKSSIVKFTSKFLVVASGENSAENIPMIPGLQSFSGDVIHSSSYKSGKSYFGMNVLVVGSGNSGMEIAYDLATHGANT >SECCE5Rv1G0335690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:578465133:578467946:1 gene:SECCE5Rv1G0335690 transcript:SECCE5Rv1G0335690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLLNRFMSMQRGRHRRPTQGSNGLVTSVAIKKDVAIKKDSSPSLYDDSARSHKKSRYSGPSLPEDIWHHIYSLLPLRDAARVACVSHSFKSYWRCFPNLSLTSATLNVKAGAYEMSCKNAMYLARKTDHILKNHSSIGVKALKLEIWNFPFFSTSCDLDRWLHLAVKPGIEKLDLQIYESGAGLCDATLCRKKSHAALCRGKSRAAVYNFPCSLLDGSGKSIQQLHLNYCALRPTAGLGCLRSLTSLELHLVRITGDELRCLLSCSVALEKLTLRSCDELIFLEIPSLPQRLSHLVVSNCINLEAIKIKAPKLYSFDYSGALIPLSLGDSLQKLYIDALLGPQDVVHYPWADLLRMVPHLEDLEIASYCPRDTLVVPGKFLHLRHLCIGAFTPDYDYLSLVSFLDACPSLETFTLCVDTDVVRQESVFGESSHDLRQMPGHMHRNIKDVLIIGFCSAKSMVELACHMLENAKSLEYLTLNTSSDHEILCSNSENGRCRPMSKGMRMEAHKALFAVERYILGKVPSTVKLEVVKPCSRCNTLEI >SECCE1Rv1G0043120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:588848635:588851919:-1 gene:SECCE1Rv1G0043120 transcript:SECCE1Rv1G0043120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGADACFSPGRAMSPQVRPPAGPPEVGSHYLTQLLQEHQKLVPFTQVLPICSKLISNEIVRVSSLLKHHHGGDFERLPQMASPNQMHHHQSPPMPNFCGNGFGPWNHGAHPERVGFPQGPVGWQGAPQSPSSYIVKKILRLEIPTDTYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPGKEEQLKGRPGYEHLDDPLHILIEAELPANVIDARLSKAQEILEELLKPVDESQDYYKRQQLRELAMLNSPLREESPRLSPHPSPHPSPHQGGASPFSNGGMKRSKQ >SECCE4Rv1G0295880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:900289272:900290359:1 gene:SECCE4Rv1G0295880 transcript:SECCE4Rv1G0295880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNRIPAARFSLPKSSTPYHQNEAYMGCRHGLSLVINTHKRETIVWDPLTGEERIVAFPPGCTLGRYWAWHGAVLCVDAEDGHVHGDCFLSPFKLVLIYADYTTPAFCSVYDSASGVWGNIFSTMKITAGMSWLKKPSILVGNELCFLIRGGDVLVFDFEMQSLGLIKKPVENHGTDDWCFQILRMENDGLGLAVLLDLTIKLWERKSNCDGVFEWVLLQKTIPLEGMVPTRMDSVLFVGYDEDANVIVLTTITGNFTLQLDSMQIKHIVKRNNICRDTFYPYRNFYTPELH >SECCE3Rv1G0197630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:822102760:822103512:-1 gene:SECCE3Rv1G0197630 transcript:SECCE3Rv1G0197630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKLLLLLATFLPSCGLLLADSAPMTLTVVNNCAYPIWPGIQGSAGSEVLEGGGFFLPSLSHRSFPAPTHAWSGRIWARTGCAPAGGGQLRCATGDCGGRLQCGGLGGAAPATLAQVSLHHGGDDQSSYGVSVVDGFNVGLSVTPHEGRGNCPVLACRKDLTQSCPGELQVRAAAGGGVAACKSGCLAFGTDELCCRNAYNSPAACRPSKYSDFFKSQCPQAFTYAHDSPSLTHQCSAPRELKVIFCH >SECCE7Rv1G0495470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:598810372:598819340:1 gene:SECCE7Rv1G0495470 transcript:SECCE7Rv1G0495470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MARSPGTGEMCPECLERRIRSDLAGSGLSFVHGVSDSPLPFASSAVVQMSSDGPDQCIGSQKTCGYFVLVVLNGGKTYVDTRNCENNPLEQSLIFKHDNHCAVQADSSPAIEHIEDLQSSSSSNNQQLIVNIISKLTPVYYLGRVSSTEIRDLMASYINLSIEQNVINSLNLLCENTISGSAGLGFLSFVGFSAFDDIHPSGLVRHPNILPVLGVVESSDCCYMFQPKAPYTLENIMHYSPEALCSDWHIRFFIYQMISALAYLHDFGVHHGNLKPSTILMSDSLWPYLSISDISHVKHNRGFGGPEGSTPNSCCAEEDCSSRSIFASFNLPSSLDWSSHFKRWWTGELSNYEYLLVLNKLAGRRWDDPAFHPVMPWVIDFTVRPDENSDIGWRDLTKSKWRLAKGDEQLDFTYSSSDVPYHVSDECLSELAVCSYKARRLSKTILRSAVRSVYEPNEYPSSMQRLYQWTPDECIPEFYSDPWIFVSLHSEMSNLALPSWVTSSEEFICLHRDALESDRVSQQLHHWIDITFGHKLAGEASVEAKNVMLPPSDPSRPKSIGRRQLFTKPHPKRLISTPHSAYHNKVESCARCQGKGSSSTTDVLLNDSNPPNMFSQVDYLEEFEQATLFMELQHHLNPIYSYSNTAACCCSSVKYPKSQFSDQEVLQPDSVLSAVPDFDFGSYIECFESDDSSSIGYQELLRWKQRSCSVIEHHANDIFSIGCLLAEIYLHRPLFDASLLAAYKETGMLPGALHELPVHVSLLVESCIQRQWKRRPCSKHLLESPYFPPSVRSAYMFLAPLQLLCTSGDRLKYVTKLASEGTLKAMGEFAAEVCAPYCLPFVSSSRSDVDTESCLRLLKEFLKCLSVQAAKKLILPIIQKILQAPEYSHLKVSLLQDSFVRELWKKLGKRTYIEKVHPLVIANLHNSPNKITASSASIVLIGSSEELGIPITVHQTVLPLIHSFGKGLCADGIETLVRIGGLLGESFIVKQILPLLRNVILFCIDSSKVIKPEPQQSWNSFALIDGLSVLEGLVSVLPVKAVLRELLQDQVCLYIKILLLIHLDLHVIQVAATAFVDLCLRIGPDNTVIHVLPHLKELFAELAFFQDSSAVSLPTKGLKISERNKSEPIEMESRIDLVLLLYPFLASLVGIEKLREYCSTWFLLEQSLQRLYNWKFEPSSKCSISAENLKTQRFQPGNDTSSEVVPTEPFNGAGWSASRSQIPKTGWMAASKHRSMLEHGTSSDNLSASTSGNQPWFWFPTPDGSWGIPDLLGRSSGLKDELPWKIEASVLHSARAHPGALRSLEVHDDECTIFTGGVGPGFKGSVQRWELANMNCTSGYYGHEEVVNSICILSITGKVASCDGTIHVWNGQTGKLIAAHTESSTSFPLQTASVEQANMLNQDALSGGILSNAFRGSLYTTMHYMASEDKLVAGMGNGSIRFIDISRDQKLHLWKSDSAEISFSSLVSAICSSGSDKPRTGSLVASSSWIAAGLSSGYCRLLDERSGKIIAVWRAHDGHITKLASPEDHLIASSSLDKTLRIWDLRRNLSVQSNIFRSNSDGIFDFSVWGQDLVSVSRNKIALTSLSRPTSEIGHQQLVLQNLYSTDRGVKYKNMSVLSAISVLPLSRLFVVGTEDGFLKICH >SECCE4Rv1G0245440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:529553986:529558059:1 gene:SECCE4Rv1G0245440 transcript:SECCE4Rv1G0245440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADPSSSSSAAAAPSVKGVARTLSQHHRAVVGFLFGFFVILVLYTTASGQFGTTNTIVALRSTPTPAEQNARTSPPPPASLSAPNNNSSQGAVHDMENGKKDEAAKTTGEVRIEDKNVVPGTDMTDKNKTDNVQANRTDQSVNEASDMMEEELIRQELGQDGDKNQSNVKHGAPPKPICDLSDPRYDICEISGDARAIGGNRTVLYVPPAAERGDDGQEWAIKDQSRKYLEYIDKVKVKTLSAAQSLVAPECTSRHAVPAVVFAMNGLTSNPWHDFSDVIVPLFITARAYDGEVQFLVTDLQPWFVDKYRLILANLSRYDIVDFNKDAGVRCHPHIVVGLRSHRDLGIDPARTPRNYTLLDFRMYIRDIFSLPPDGLGIPYKEKEANKKATGGVDGGTEKRKPRLMLINRGRNRKFVNIPEISAAVQAAGFEVVVVEPRRELRLEEFSKVVDSCDVLMGAHGAGLTNFFFLRTNATMLQVVPWGHMEHSAMIFYGVQAKEMRLRDVEYSITAEESTLYEKYGKDHPAVSDPESIHKQGWQLGMKYYWLEQDIRLNVTRFAPTLHQVLRTLQE >SECCE4Rv1G0251680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:607130074:607132476:1 gene:SECCE4Rv1G0251680 transcript:SECCE4Rv1G0251680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYNLRSRDEPDDFDEFDSTPYGGGYDLFATFGRPLPPSDETCYPCSAPSTSYDAPHYAAEEPSPYAHHQKAQPAYGFRPQNEQQQQPSYGGGEEDDSGYGSKPKPKPQPAYGFRPQEEEQRPSYGGGEEDDSGYGSKPQPAYGFRPQQEEQPAYGSGYGSKPQRSEEDTYGSGYGRKPQQEEPAYGSEYGSGYGRKPQAEESYGSGYGNTTQQEEGYGSGYGSKPQVEQTYGGSEYGSGYGRKPQVEESYGSGYGSRPQGGEEYGSAGYGGRKTEEEGYGGSGYGSGRKVEEEVEGAYGSGGYQKPKPYGEETQGSYDSGYGGGNYGRKKQDDDSDDEKKNRYAKRDDSDDEKKERYEKHHHRRHNYDD >SECCE3Rv1G0145290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:6140719:6141120:-1 gene:SECCE3Rv1G0145290 transcript:SECCE3Rv1G0145290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGASLLAAVAVFSMLIMSSLGNPRPLCSDCGTLCSTKCNEEAKTFCSGTCYNPREGCERQYFDECTADGTCCSSNGTCTCDCKTEAQKRCSSLTDNYTDCQACTNSIFNQCNTPCNSDCNNNCKKKGCHA >SECCE6Rv1G0397120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:386134143:386134376:1 gene:SECCE6Rv1G0397120 transcript:SECCE6Rv1G0397120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLQDDLVEHHWQLDGRRTGP >SECCE3Rv1G0158530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:88702022:88704712:1 gene:SECCE3Rv1G0158530 transcript:SECCE3Rv1G0158530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAALQSTMVMDTTDPGYWLNWRFVLCAIWVYSCMALACYLIWKYEGPSSPAGNDEGVDREEARPKIGPGVVYLDDCWKPCLEEIHPAWLLAFRLVSFLFMASVLVSDVIVDGWSVFLYYTQWTFLLVTLYFGLGSVLSIYGCYQYAYKTDKFDGDHGSYIIAPTGESIYDDSIKSSSFNKTRDGREIAGFWGYLFQIMFQTNAGAVMLTDMVFWFILYPFLARNQYQMNFLLIGTHSLNALFIIGDTALNNLRFPWFRISYFMLWTGLFVSVQWIIHANVSIWWPYPFLDLTYPRAPIWYLVVALLHFPCYGLFALVLRIKKSLLESWFPHSYTYVK >SECCE5Rv1G0370600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:842841847:842844115:-1 gene:SECCE5Rv1G0370600 transcript:SECCE5Rv1G0370600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQESLLATEQPSERHEQQELHDIVRREHTPGDQLRHGYAARQGGGPTLSVYGHKRKITLIPLVFLIYFEVAGGPYGSEKAVLAAGPLFTLLGFLVFPFAWGVPESLITAELASAFPGNGGFVLWADHAFGPLAGSLLGMWKYLSIVINIAAYTALIADYLGGGVAPAVAQPGRARTGTVIGMTLLLSFVNYAGVSVIGWGAVALGMVSLAPFVLMTVMAVPKVRPGRWASQVKGDKDWRLFFNTLFWNLNYWDCASTMAGEVERPERTFPRALAVAVVLIAGSYLLPLMAAMGATDASPDAWVNGYLADAAGIIGGSWLKYWTGVGAVISSIGMFEAQMSSGTFQLLGMADMGLLPAVFSRRAAHTGTPWVAIVVSTAVTIAVSFLYFDDVVATANFLYSLGTLLEFASFLWLRLKYPTLKRPYRVPMSLPALVAMCAVPSSFLAYVCVVAGWRVFTLAAGLTTLGVGWHCVMRVCKAKKLLKFNNAIAANPQQYARDNYHLVLG >SECCE6Rv1G0405050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:526749676:526752732:1 gene:SECCE6Rv1G0405050 transcript:SECCE6Rv1G0405050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit O, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13450) UniProtKB/Swiss-Prot;Acc:Q96251] MAARHLRSGLPLLQARLAATESAAVAQVSRGLATEADKPKENKIKVPKALYGGTGNYASALFLAAANANSLEKVESEILDVVGAAKKSPMFSQFMKDTSVPKETRVKAITEIFSEAGFTDITKKFLSALASNGRLKYVERIAERFVDLTMAHRGEVKVVVRTVVPLPEKEEKELKETLREILGKDKTILVEQKIDQGIMGGLVIEFGQKVFDMSIRTRAKQMEAFLRQPFDI >SECCE2Rv1G0104780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:611511242:611513889:1 gene:SECCE2Rv1G0104780 transcript:SECCE2Rv1G0104780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQPLSPFSRSSKFVGSDSTIVPARILPIPRGVTRSAMASPSSGSRSSKIPEFLAGSGGRPVPALGIGTASFPFVAEDVKNAVVAALELGYRHLDTASVYPSEYLVGEAVAEAARRGVIASREEVFVTTKVWCTQCHPDLVLPSLKESLQNLQMEYVDLYLVHWPMSVKPSKPHFPMKREDIVRMDLKGVWQAMEECHRLGLAKMIGVSNFTTKKLQDLLAIAEIPPAVNQVELNPAWQQKKLIEFCKEKGIHVTAYSPLGGQSRTSKINAVLQSEILKEIAEARGKSVAQISLRWIFEQGASMVAKSMKKERLQENLEIFDWELTDEDRFKITQIPQYKKVTVSAILCPEGVPGVDLSEVDVVET >SECCE2Rv1G0109870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:681729013:681731342:1 gene:SECCE2Rv1G0109870 transcript:SECCE2Rv1G0109870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPDAKEGGPLQEAGGDGSAECGIASPFRWLRQLSRELHWSFVLAVFAVYGACQGVGSAIGVVAASYYSKDVLRLQPSAAQFYQGIVDSPWVVKPIWGLLTDVVPVAGYRRRPYFLLAGVIGATSMLMVSVQSNLGLIPAVLALTAQSAGAAIADVTLDALVAQNSITHPPLAADMQSLCGFCSSFGSLIGFSMSGLLVHSMGAQGAIGLLSIPSALVFSAGILLKESRVTDFDYKQVHKKFYKAIQSMGTTLKCPEVWRPCLYIYVSLNLSLNIQGGMFYWYTDQLTGPGFSEEFIGVIYAVGAVGSLLGVVLYQSALKDYNFRSMLLWGQVLSSLTGMLDLALVTRLNTKIGMPDYVFAVIDCGISVVIGKLQWMPVLVLCSKLCPPGIEGTFYALLMSIQNVGALMSAWWGGLLLHTLNVTRSEFSNLWAVVLIRNVSRLLPLTLLFLVPRSNQNSTLLPAEMLHDEESTETVKDGSGGAQFSVLVTDDSSCHPSIEDAEDERIKVIDDVEMIPLMNKNRTVG >SECCE6Rv1G0452810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879459960:879463700:-1 gene:SECCE6Rv1G0452810 transcript:SECCE6Rv1G0452810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENHTNTLKDIGLKIIQKFGGLPLAIKLMGGLLRQREGLRCYWEKVLDDSKWSIAEMPRELNYAVYLSYEDMPSYLRQCFLYYSRLPKCKKFHVGEVVAMWISEGFIHGSFNDLEESGRYYYNQLISRNLIEPDKSYFDQSYCSMHDVVRSFAQYVMKDEALIVHNEDINILAKLNSQKLLRLPIETNMLQSGEIYWQSMHKQHVRTLISTIHIKIKHGDSLANFSCLRVLHIESTDVATLVESLHQLKHLRYLALINTDIFVLPGNIGNMKLLQFLNLCGCTQLVNLPNSIVNLRQLRLLSIPSIRRIPRGFCGLTSMRRLHGFRAHMDGEWCSLDELGPLSQLKFLKVIQLESVSSASFAAKARLGEKIHLIDLFLHCTSKLGDYWFGKEYEGISQAEQQRIEEVFDELCPPPSVENLHIEGYFGHQLPSWMMSSLTVPLHNLKTLYFSDLACCTQLPNGLCQLPYLHLLQVCNAPCIRRVETGFLQAAATPFPRLNNLSLIGLVEWEEWEWEEQVEAMPHLDELLLCNCRLRRVPLGLASNARALKKLSIQDIQHLSYLENFPFIVDLTLLGSPDLERITNFPNLQKLTIIDCPKLNVLESVPVLDMLVLEDYTMEELPEYMRDIKPRHFHLFCRLWLLCSVATGQSSIEWDKFSHVEHVKAYAYDGMNKRKWYVMYTRSDNFKIDSNIRRSSIFKETLSSSMVDTQGFESLYKMRRSTFSYICSLVKVPLSEDMMVKRHTFIDDRVLSLHDAVAIALRILNSGETPEIIGSSFGVEGSTVSLLTQKFVKAVEGQAMHHISLPGSTQVAKIECKFDKIHGLPNYCGVVHTTHIKFGSQNHENVENDGMLMHAVVDADMRFTGISLRPQGTMNQSRFFHDAYVVESCVEGVRLNGRKLNESSGAESEVSEYIIGDVGYPLCPGLPTPYRLDNDLSFSNAKVEFNGRHSAATAVDLRPLTRLKETWKCLQGEGWNPNNQLEMYWTVSTCCMLHNIVIDMEEEGVGMRSDREDNYIEQVLQVEDEDAIGVRDAPPQCLIESGEEEQEAVTVAPGSGDENKEQEQHQGQKAGQEKEKVHDS >SECCE1Rv1G0047740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:628826431:628829703:1 gene:SECCE1Rv1G0047740 transcript:SECCE1Rv1G0047740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G73840) UniProtKB/TrEMBL;Acc:Q8VYM7] MTANQPAGEALATHISGMSRPEMYDLMSQMKVMLDHDQERVRRMLVENPDVTRALFRAQVVLGMVKTPKAAQSSDMPQPAVAQTTPSAPVKATVQDHVNLPQPHLPTNQQNLQPSGQFPSGASNVTSSLDLPPMPANPPQSAQSKGYPIHQMHSASAPQSSQYPNATMPPHAPPQYSNVPSHMPTVNSQPQQQLQNPGMFNQQLQPPLPQLPRPPSMQAYTHQMHQQIPSSYGQHMLQQPMFHPGGNPQNSFFTGQQQQQLPNQPPPLPNQPPPQLYQANSHVTSHYNSQSMQVDRSAPWGRSNQEASSAGSHFPGQFQGLPGQMTQGIGGIQTGRPEAPLTADMEKMLVQQVMSMSPEQINVLPPEQRQQVLQLRDMLRQ >SECCE5Rv1G0324800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:456847830:456853145:1 gene:SECCE5Rv1G0324800 transcript:SECCE5Rv1G0324800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEPRRWAATYTTQLKQKRKAYHDGVLLLRPDSRRLVLLDDDGVTIDARSLRAGESVSEGASVEFPCHLVDVGEAQRNPTTARPGRPSEPAAPRAAYRGGARARHSAANTCAPRAFVNPPKSGAGKTEAAGSGCARPAGSTFQEWSAMYTTQVSQKAKKYHDGFVKLVHSGSYSKQIVLLDEEGQVLGTRHLKPGEFLESGKKCSFPGYLIEIGEATNLNNGGEPKSSEEPMVQTRPKRVDNASSKMGSDTTSSLKFISPQKFHCFDKSKSEATSSSNKPELGKSEVAAAGSTGSLMGSADSSFEEIEWSVLYTAQLIQKAKKFHDGVIRLVQAGPNVKQILLLDEEGGVLGTRYFNSGESIECGKRCQFPNYIIEVTELRNKMKDVEHTHAAREDSSHTVPKNGENTREKKDDKSKSPKFVSPLKFHDHRKSKTRSTTDSNKPQVGKSACSNVGDPPNFHVFTDLQRGKPDCTVGYRRTDPGSISSDIDNQHKFNDFADNQRGTSEFSTIYNRLEVSKSTFNDLGKSTLGGMDDPHNFDDFADTQRGTSGFSTSYNRPEVGKSTFNGLGKTTFGGMDDPHKFDDIRRGKSGFSTSYNRPEVDKSILNRMDDPLKFCGLQDGKSVCPTSFVGREVGKSTSGNADDSLRTASQILSIMKPPAGLSHFATQLRTSVQSCLKLDTAHAKNSVITHNRNELSGNAYPSYNHQAIMKPPAFDASDLELIDLPGSEMCNANEQKLESSGNLNTVNCNGTGSAPISNVTSIPDLREDKNGTADQLGANNTAEDPEYDSGPSRTCRDPTIQDLIDDCPSFDLGF >SECCE6Rv1G0411160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:605747821:605748555:-1 gene:SECCE6Rv1G0411160 transcript:SECCE6Rv1G0411160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRQDRGGGGFQLPNSEQENSLFLRALISVVSGDTEAPALLLEPSTPAFAAPAHAPAPATAACARCGVDGCVGCDLTAAAATTGSSSEGEQCSAASFVKNGGVGKRRASSKGSKFRGVRQRPWGKWAAEICDPYRAARKWLGTFDTAAEAARAYDVAALEFRGHRARLNFPAAAASSSASASSWATAQPQHSPENLHDDCKSNANVPQLPEERRPVAREQEIWDGLQEIMMMDDGNSCVRNLH >SECCE4Rv1G0276670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798548699:798553075:1 gene:SECCE4Rv1G0276670 transcript:SECCE4Rv1G0276670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSETTGLQVPVAFKDADDGTIPVRPPTEYAAAVASLPLNPASNLKLRCYQGVWVLEDWVPGIIAMQRSFSARPGDVVLASFPKCGTTWLKALIFATMARAAYPPASPAHPLRRLNPHDCVILLDRLFAIGREAVLDRLPSPRLMCTHMPLLVLPPSISEGPDCKIVYICRDQKDMVVKIVKFANRARPDISLQEVFETVCEGTCFAGPVWDHILGYWRLSNAEPNRVLFLTYEQMRQDPNDKVRKLAQFLGRPFSDTEEEVGAVAEIVELCSLEYLKNLEANKKGSQGVFLKFPYDSYFRKGVVGDWVNHLTPEMAKCLDAIFEEKFKGSGFTLP >SECCE2Rv1G0077680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:100956330:100964403:-1 gene:SECCE2Rv1G0077680 transcript:SECCE2Rv1G0077680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFCLVVGVFLLLRWPTLSLAWAQVARTITVDQHGGGDYRTVQSAVDAVPYGNSQWVRIYVKQGSYREKVTIPSQKGFILLQGDGSFNTDINFDGHGDGTVAPGIAPIMGRHRGNLTGISPTYTSATFTVHADNFVARNIAFKNTFNGGNPAVAVLIDGDKGAFYDCAFHGFQDTLCDLVGRHYFRRCLVVGGVDFIFGYGQSIYEDCTLASNMPAWSQQPGWVTAHGRAGGRNAALVFKGGMITGSGRQYLGRAWNEQATVVFYQVNMAGIVVPQGWDKWTPGQDVSQVTFAEVGCSGPGSGTAGRVPWVKHMSYAEVQRFVDIRFIDDGWLSNQP >SECCEUnv1G0527620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1464043:1472925:1 gene:SECCEUnv1G0527620 transcript:SECCEUnv1G0527620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGPGIQLGTLSGSRRSIGSYGSRRSGGGSISHSFRQPAGADDPFGRAASQQGHDDDEENLRWAALEKLPTYDRLRRAVILSHAGGVDGADGHELQGLVDIDQLASGEAGRALLERVFQDDSERFLRRLRDRVDRVGIDLPAIEVRYHGLSVEVDAFVGTSALPTLWNSATNFLHSLVGRLASSNKKNINILQSVDGILKPSRMTLLLGPPSSGKSTLMRALTGKLDKSLKVSGTITYCGHTFEEFYPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGVGARYDMLAELAAREREAGIKPDPEIDAYMKATAVQGQESNIVTDLTLKVLGLDICADMPIGDDMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFEIVKYIRQLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRDNILEFFEAAGFRCPERKGVADFLQEVTSKKDQQQYWYLDQQQYRHVSVPEFAQRFKSFHVGQQMLKELQIPFDKSKTHPAALTTNKYGQSSWESFKTVMSREQLLMKRNSFIYIFKVTQLIILGLMAMTVFLRTKMPYGQISDGGKFFGALTFSLITILFNGFAELQLTIKMLPTFYKQRDFLFFPPWTFALVTIILRIPVSLMESGVWVVLTYYVMGLAPAPGRFFRQLLAFFGTHQMAMGLFRFLGAVLKSMVVANTFGMFVILLIFIFGGFVIPRGDIRPWWIWAYWSSPMMYSQNAISVNEFLSSRWANPNTEASIKASTVGEAILKSRGLFTGDWGFWVSMGAILGFTILFNILYILALTYLSPGSGSNTVSDEDNETNTSTPMGTNEATNRPTQTQITLPFQPLALSFNHVNYYVDMPAEMREQGFAESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGSIEGSITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDEKTRKLFVEEVMTLVELDVLRNAMVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVNTGRTVVCTIHQPSIDIFESFDELLLMKRGGQVIYAGELGRHSYKLVEYFEAIPGVEKITEGYNPATWMLEVSSPLAEARLNVNFAEIYANSDLYRKNQQLIKELSVPPPGYEDLSFPTKYSQNFYNQCIANFWKQYKSYWKNPPHNAMRFLMTMINGLVFGTVFWQKGTKIGSQQDLFNLLGATYAAVFFLGAANCITVQPVVSIERTVFYREKAAGMYSPLSYAFAQTCVEVIYNIVQGIEYTVIIYAMIGYEWKAAKFFYFLFFIVSSFNYFTLFGMMLVSLTPSSMLANILISFVLPLWNLFAGFLVVRPLIPIWWRWYYWANPVSWTIYGVVASQFGDNKSPLTVAGASDTVVKQFLEDNLGIKHDFLGYVVLAHFAFIIAFFFVFGYSIKVLNFQKR >SECCEUnv1G0555390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:299167523:299168185:1 gene:SECCEUnv1G0555390 transcript:SECCEUnv1G0555390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRLMLNAVSCMRNAQTVLRDMNVSVHDGTALVLTGANGSGKTTFLRMLAGFSRPSAGEILWNGHDVTSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGRSAPAIDLMGLGRLINDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDSEGVKLLEYIIAEHRNKGGIVIIATHLPIEIEDSMNLRLPQRFPRRKTLVDLVR >SECCE5Rv1G0376210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871841859:871842131:-1 gene:SECCE5Rv1G0376210 transcript:SECCE5Rv1G0376210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKEVLLAAMMLALLVAGAHAACEVGQLMVCMPAITTGAKPSGACCANLGAQQACFCQYAKDPSLGAYFRSPHARETLITCGLAVPHC >SECCE5Rv1G0350150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:688707154:688711091:1 gene:SECCE5Rv1G0350150 transcript:SECCE5Rv1G0350150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGRRLPPWTSPRGAAEKWSPGTPAGFAGSGSHVTPPLSAGFCSYRVTPPASGGGCSRPPRAPPALDSPYVRAKQAQLIEKDPNKAVALFWAAINSGERIESALKDMATVLKQANRAEEAIEAIRSFRDRCPNEAQESLDNILLDLYKKCGRTKEQIEMLTVKLRMIDEDLASGRWKTKLSKSHGRVFYLSLRDEKARLLGNLAWAYMQSENYEEAEMLYRQALAIETDYNKECNLAICMMRMGKVAEAKYLLQAIPYNCNDENHVRSFARATEVLRELESQALPSPITQMKSKDSRILLATDVGNLEYLHPQIFSASTQLTYEDTQASVSADTEKHEDCNSEALPSPITQLKRKEPQLMVATDGEKNGQSLEEYHDLSRLFNDAATPQSLLEKLRKRLVKKDRPNITAQNQAQTPSSAECLPSSNGAVDASENPMQEGKGLVGGGRKTWADMVEEDEQQQLADGKNTTGPQGESSRHASEQRDKTPSSSSQGSSILRTPAAGVRPQSSSAGSWRRNDLADENVNRSFVRTAPAWKQRKVQDRGERVCQRLNTTHLSEKARGTDQTPSSVRSSAAQRSLFHGQVPSGFDGRSQDASHTNRWPKNAASTGPWRLPDRRQAFQEITNEMNQKAA >SECCE3Rv1G0195820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:803070842:803073902:1 gene:SECCE3Rv1G0195820 transcript:SECCE3Rv1G0195820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPPRRSMASQDGDEGSAVASPGNVTLVDPSSQCAIPTSEATPISQAATPSSEVATLTSQPTSSRAGSKRKRTSAVWDEMEEKFEKGQWKAECNHCHKKFTAGPRAGTTHLRLHLESCPAKHAPIGPKQQKLRLTKGEGGKVNMENVIFDQEKSRRDLALMICEHEYPLSIVDHSGFRRFCSSLQPMFKMVCRNTIRNDIVAMHSAQKEKMVNFFATFKHRVAITTDLWTAGYQKRGYMAVTAHYIDDSWNLKSFLMRFAYVPCPHSAEVICEALYECLVEWHLERKLSTVTLDNCTSNDKAMGILPDKLDTSSLMLDGQLLHMRCAAHILNLIVKDGMSVLEKGIERLRDSVAFWSATPKRHEKFEKIAKTLNIEYNKRLTLDCKTRWNSTYIMISVAVKYIEIFEKLKGRDKKFKCCPTKDDWKFAKEICDRLKLFFDITESFSGTKYVTANLFFPKVITIRLAIRKWGKSDIELVQKMSEEMKDKFEKYWKDIHGLMSVATVLDPRYKLHILNALYGPLYGREHATIEIEKVKKLLIQLVKQYKDEVGGEDAWDASVVEQLGEEDEAMKLYDLYLSSHPTVPSSSIHTELDLYLEEARLPRTQELDIINWWKVSGSRFPTLQKLARDILPIPITSVASECAFSTSGGVLSAHRSRLTPNVAEALMCMQAWSRADLLGGWNSTLFATFQSVLEDEEEEMDESTSIITQE >SECCE3Rv1G0177620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:474255430:474284628:-1 gene:SECCE3Rv1G0177620 transcript:SECCE3Rv1G0177620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLEVPPEETNRCVRGCCRSDAIPLHLPAASFSLLSPIAQGSESTVYEARLGVERAAAKKPVLSTSDDLDKFHYQLQLLCELDHPGLAKLIAAHARPPNYLMFFEYFEPPNLADKIHVEEWSPSIQQVVTIASYLAKTLQYLQILGIVHRDIKPANILLDKDLLPHLADFGLAMYQKDIKSVSAENWKSSGKPTGGFYKKNMVGTLIYMAPEILRKDLHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTSAVVSQGLRPTLALPESGSPPSLLSLIQRSWDSDPERRPSFGDIIEELNVIQKHIVTHSCPLSSGSVNLRRNSKAEVHHHQEALNWFNQGELLTKKANKLDYAVNPWSSSFDQSYVSVYRPTLSWGSFATCGRRETMEDTHFMLPCMSEEKDVHSFGIFDGHRGAAAAEFSVRAVPGFLKQFGHTASPIDALAEVFVRSDTAFREELIVHQKSKRVQKDWHPGCTAVTALIVRNKLFVANAGDCRAILSRAGKPFPVTRDHVASCPKERERVIKEGIEVRWQIDTWRVGTAALQVTRSIGDDDLKPAVTALPEITETDLTADDEFLVMASDGLWDVVGNEDVLSIIKDTVKEPGMCSKRLATEASARGSKDNITVIVVFLRPVSTAERIY >SECCE7Rv1G0506050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:745643229:745644990:-1 gene:SECCE7Rv1G0506050 transcript:SECCE7Rv1G0506050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACIHEHSTTCSACISIESNATMHLVAMDDIPLPLLLSTLAVAAGVCYVVLFSFRADKGRAPLPPGPRGWPVLGNLPQLGGKTHQTMHEMSKVYGPVLRLRFGSSVVVVAGSAGAAEQFLRTHDAKFSSRPPNSGGEHMAYNYQDVVFAPYGPRWRAMRKVCAVNLFSARALDDLRGFREREAALMVRSLVDAAATGGAVAVGKAANVCTTNALSRAAVGLRVFAAAGTELGAKEFKEIVLEVMEVGGVLNVGDFVPALRWLDPQGVVARLKKLHRRFDNMMNGIIAERRAGAGTVGEEKEGKDLLGLLLAMVQEDKSLTGGEEDRITDTDVKALILNLFVAGTETTSTIVEWAVAELIRHPDMLKQAQEEMDAVVGRGRLVSESDLPRLTFLNAVIKETFRLHPSTPLSLPRMASEECEVAGYRIPKGTELLVNVWGIARDPALWPDPLEFRPARFLPGGTHADVDVKGGDFGLIPFGAGRRICAGLSWGLRVVTVTAATLVHSFDWELPAGQTPDKLNMEEAFSLLLQRAVPLMVHPVPRLHKTQLSRSN >SECCEUnv1G0556220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310602183:310602593:1 gene:SECCEUnv1G0556220 transcript:SECCEUnv1G0556220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE6Rv1G0432670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:753760043:753760504:1 gene:SECCE6Rv1G0432670 transcript:SECCE6Rv1G0432670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKSSDELRALFASLDRDRDGRVSAAELRGCMRATLGEDMPAEEAEALVASADVDGDGMLCEAEFLELAQQAAWTSNAGEEDDERRIRALREAFGMYEMEGLACITPASLGRMLGRLGDERGAGECRAMICRFDLNGDGVLSFDEFKIMMS >SECCE5Rv1G0371560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:847722593:847724863:-1 gene:SECCE5Rv1G0371560 transcript:SECCE5Rv1G0371560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRADVEKGGSSSPRKQQQEKGKVPSPLYPQHEGEREWTPWLVPSILVANITVFTIAMYYNNCPAHNARPGRDGQCVAGFLHRFSFQPLRQNPLLGPSSATLVKMGALVWDKVVHGHQGWRLFSCMWMHAGVLHLVANMLSLLFIGLRLEQQFGYVRIGGIYLISGVGGSVLSSLFIRSAISVGASGALFGLLGAMLAELLSNWTIYSNKVAAVTTLLFVIAVNLVLGILPHVNNFAHIGGFLTGFLLGFVLLMRPHFGWMERYRLPAGTPCTARKYLPYQWALMAVALALAVAGFAIGLAMVFRGANANSSCGWCHYLSCVPTKSWTCAN >SECCE5Rv1G0319190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:371702430:371703068:-1 gene:SECCE5Rv1G0319190 transcript:SECCE5Rv1G0319190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATVAGFFLLRGLNADPDCFQDGDDGSESPRAAVPLHSKVGSAVKTGFWTTVDMASGRYLWRTLVAPPENSESNKAR >SECCE6Rv1G0385850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:86008233:86013329:-1 gene:SECCE6Rv1G0385850 transcript:SECCE6Rv1G0385850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVDDAVAADIICSLRGADLAGWTPPWSKRARPMEGELSWPAMARGKRSRRRSPASSSAAGGKARCARSSPASPLDYSAGSASLRSGASTSGGEDGAFCSPWHRRAATAPAKATTKVGSIGRPQLTFQAPPPRPAGQRQRKKMRLPEVQQLVRSLAVENESLQEEMRELQRACSALSKENDKLETRIEQSNSRNEAKLKEQKGKQQLDQQQQQSPHDSFALPDLNLPAQDGADGPVHC >SECCE4Rv1G0292190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:880755109:880758422:1 gene:SECCE4Rv1G0292190 transcript:SECCE4Rv1G0292190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KINESIN LIGHT CHAIN-RELATED 1 [Source:Projected from Arabidopsis thaliana (AT4G10840) UniProtKB/Swiss-Prot;Acc:O81629] MPGLAAADASPPAAAPAPRRLSSPLPRRAPPSPSPSSASRAVGKPARKSLGQGQHPEATDEAALDNPDLGPFLLKQARDAMVSGEGGGAARALEFAERAARALERRGEGAELELAMSLHVAAAIHCGLGRHADAVPVLERAVAVVTPPPPAAEGEEVPQPEEAEQAVDERKGEEWALAAFSGWMQLGDTHAMLGRMDESIACYGKGFEIQMAALGDRDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDVEVATIDVAIGNTYLALARFDESVFSYQKALTVLKSARGDDHPSVASVFVRLADLYHRTGKLRESKSYCENALRVYAKPAPGAAPDEVAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMIGRYADSRNSFESAVSKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKKRLAELLKEAGRSRNRKQKSLENLFGSGGAARGAKKDGAGGRRWTNFGFRS >SECCE1Rv1G0017450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:155438031:155444196:1 gene:SECCE1Rv1G0017450 transcript:SECCE1Rv1G0017450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVGVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAANSTMNPKNSISQIKRLLGRKFADPELQDDIKAFPFRVSEGPDGFPLVHVRYLGEERSFTPTQLLAMVLSNLKTIAEGNLNSAVIDCCIGIPVYFTDLQRRAVIDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGNASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACIRLRVACEKLKKMLSANPEAPMNIECLMDEKDVRGFIKRDEFEQISAPVLERVKGPLEKALSEAGLTTESLHFVEVVGSGSRVPAVMKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNEGFPFSVALSWKPDSQNNESQQTVVFPKGNPMPSIKALTFYRSSTFAVDVLNVDTDDLQITHRISTYTIGPFQSSKGEKAKLKVKVRLNIHGIVSLESATMLEEEEVEVPVSSTSEVPKDATRMETDDAPQDPASSAGDHVQEPKGAADPAEGAAENGAQDSEEKTVPMDTDTKVEPSKKKVKKTNVPVAEMVYGAMGTVELEKAVEKEYEMALQDRVMEETKDKKNSVEAYVYDMRNKIHEKYNDFVMSEDIEGLMAKLQEVEDWLYEDGEDETKGVYVAKLEELKKLGGPIEMRYKEWTERGPALEQLVYCIRSFREAALSGDQKFDHIDISEKQKVVNECSDAETWLMEKKQQQDALPKHATPVLLVSDIKKKAEALDRFCKPIMTKPRPAPKPQTPPPAETPSPEAHTPEQQSNGADESGEPASDGAQDEHAAEQMDTDKDDPSQA >SECCE2Rv1G0136020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910017725:910018078:-1 gene:SECCE2Rv1G0136020 transcript:SECCE2Rv1G0136020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEYVQIVGAPSPLPVAWLEGDVLAEYLQFLEEAATAPLPGVKWLEGDVLDNFLEFLGEDESVVHYGSSDSSSGSNGGDFMDEEEEDEEMAFLLRHITSLPAVMARAAAATLVQD >SECCE7Rv1G0501550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:684157682:684162560:1 gene:SECCE7Rv1G0501550 transcript:SECCE7Rv1G0501550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEAGAAAAAAARIRVVRCPKCDKFLPELPAYSVYVCGGCGATLQAKRKTSAQALDTSDNGNMKYLEVLETVPETSEATMAGASIEYKSVPNRMPPLNSRSIYSRDNSRIARETSTSSVKANIRDDVREAKYMRFRNVENGEAAHPVRARGVSDIRSRSPIDSVPPNAYRGEGSADHHLKSRNTYPNREQHANDTDLDGPSRVRDLEKDRAELLRMLDELRDQVQQSCEVNGPDTSATVNRAADASSSYNIHERSSQFRHDPSQLHRKGTHHSSSMNMRNPSVPHVYGPLPAQQNLHGYVEPIPHARTSSYPAGPYPWRNFDNYFFGQHDPDPLLSCHHEGFYHQAACSCSHCYHREFLPVQGTPLGFSDQRAPYLVNSYGAYPVDGPLLGQQRYNSRGANPSLQQHNLRANVSKKPAQTCEPIAGGAPFTICYNCYEVLQLPNRRSLLGKEYKLRCGSCSHTIVIKLDGTRLDVSELAPSAHLSPALQNGIGDNMADNLRATTDERLLPPYAFSVASHQSQEKDKHSNSSETDSKHTPLGTNSEDSPQSRDLPAEENVISRTPSLPRRDNCGFSPSEGSGIGSRSTRSEHEKVVLLTETCKQNSIKDVCVSNEMQSSDNEFDDPDYTQDILNVPQDPGHTRATKVGDSFFSNLIKKSFKMNNGTRNGRARVFVNGFPIPDRAVRKAEKLAGPIYPGDYWYDYRAGFWGVVGQPCLGMIPPYIPEFNYPMPKNCGGGNTGIYINGRELHQKDLDLLVSRGLSDSPERSYIVENSGKVTDEASGEELYGLGKLAPTVEKLRRGFGMRVPKVIVTGQHGTIITHG >SECCE7Rv1G0504620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:725623137:725624299:1 gene:SECCE7Rv1G0504620 transcript:SECCE7Rv1G0504620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMEPAEEVEIWAPILADPLDWPPSSVTVLLPSQVEEYFSYLYGDEDVEINKFAQVPEDLTVKENWRRGPRGARKRRIFPLGSGGLNFHRRKNNEHWTHEEVKKLVKGVRKYGVGRWTMVKSHYFSASVRDPTHLKDKWRNLLRACGVTCTSKRKEKAQKTMFLPLDTNLIEQIQGLAIDSGFTSKMKKCHGKGANRDNQMMVESTTILELS >SECCE1Rv1G0031800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:446714771:446715838:-1 gene:SECCE1Rv1G0031800 transcript:SECCE1Rv1G0031800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRQEQVNCRDRAGKKLRPVQRQKHLYLVLDDWKKGFAIRKIDIDTLDGSSDLDLEPPPPVLRLVSPSPSYPMNFAALGSNIFMASNKHPGTMVYDTEIAGLAIGPPLPDGLLGGIHIFVATANMQLYALKYDIKEMRLSFHVMSIKDPHSSSNPSTDWSWASVPSPLPFTEDDIINSYAMHPDGHTIFVSASGRRYLRRTFSFDTRCQEWRYLGEWELPFQGQGYFDSELDAWVGLHKDGYICSCQAASCTNNTGTMQPDWKTTKEKLFLKHSGATLTYMGNTRFCLVESVVCEELEFDHAFSDSNGLMLCITIFGLKYNREGELQATIRRTTKSYQVSKHVLSFSPVAFWL >SECCE1Rv1G0035750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:501108259:501115677:-1 gene:SECCE1Rv1G0035750 transcript:SECCE1Rv1G0035750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSCRDLSPQLSSLPHRATAATTTHTQISLRRAPAPRTPLTTANRLQLASAATRDDALASLVGQLEQNLTEDEEEDDLYLPDDASSARRRAAQKHQDELPARWREIHGSDDWAGLLDPMDPLLRSELIRYGELAQACYDAFDYDLASRYCGSCKYPRRAFFEQLGMPGAARGYAVTRYLYATSNFRFPNFFSGKSRADAKLWSQRANWIGYVAVSTDEESARLGRRDIAIAWRGTITRLEWVSDLMDYLRPVADEGIPCPDPEVKVESGFVDLYTDKDPSCRFCKYSAREQVLVEVRKLVAHYTALGEDVSITVTGHSLGSSLAILSAYDIAESAANLSNGKRAAVCVYSFSGPRVGNGRFRERFEGELGVKALRVTNVHDNVPRMPGIFLNEGVPEMVRRVAEGLRMPWCYSHVGVELALDHKRSPFLKDTLDPGCSHNLEAHLHLLDGYHGSGERFVLASGRDPALVNKASDFLKDHHCVPPHWRQDENKGMVRAPDGRWIQPDRRGHLDDDHHHDGHHVVDGDHHGHFRLFR >SECCE1Rv1G0044730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:604474398:604476411:1 gene:SECCE1Rv1G0044730 transcript:SECCE1Rv1G0044730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPEWSLSDFEIGKFIGEGKFGKVYLGREKQSGYVVALKVTYKSKLQKYRFHAHLRREIEIQHGLDHPNVLRLFAWFHDAERVVLVLEYAARGELYKLLRSVGHFSERTAATYVASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAVRSNAKRHTLCGTIDYLAPEMVEKKAHDYAVDNWTLGILCYEFLYGSPPFEAAEQQDTLMRIVKVDLLFPKTHDISADAKDLICKLLVKDSSKRISLDDILKHPWIIKNAEPSGSCIEQKTST >SECCE5Rv1G0321160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:397879988:397880997:1 gene:SECCE5Rv1G0321160 transcript:SECCE5Rv1G0321160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSPLPGDDADDYYYGYDPGYRRGGGSGGGVKSAKKDKGIFSCLPCFTPFSPGAVDPMAHRRLLSSDSSDSDNVAATDITADLARLRLRYSRLAAGPPVRPRDVPALVARTDDPPLAVAALSWLGGDLRPSCILALLPALFPSLRTSESEGDLFLPSNERQSHALSAARRRLQAREAALDGEVAEYQSTYAMKLACEKTKEGFAETAAEEVCKMARAARRADKLRWRAVEAAVKEVLTPAQAKEFLKAVEDVSSKAGRHGARWQARAGPLSVPAEAFERMRANARAAADDAW >SECCE3Rv1G0206010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:904137430:904139673:1 gene:SECCE3Rv1G0206010 transcript:SECCE3Rv1G0206010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLHSVCFLLLTYTYLLLHAAAPVRADIRREAEALVKWKASLAGADDLGSWSMATSTRLCNWTCITCDSARRIRGLDLSLASLNGTLDELDFSAFPHLKELLLINSDLHGTIPAGIANLTSLVKLDISNSNLRGHIPPSIGQLKHLAELHMVGLGLDGTLPDEIGNLTNLKELHLSALSLTGPIPPSIGMLRKLRVLSLVRNKLTGSIPMEIGNITELQFVYLMYNCLGGQLPGTMSHLRKLQELYLSENQLEGHIVPELGNSSQLDSIGIANNNFSGLFPSSICLGGALTTIAAGHNGFTGIHHKTFQNCTTLRSVDFTANNIVAELRDCFSEHPGELSTMAFRHNQLHGTLLTGRGESFLCNCTDLNLLDLSNNALHGGLPKCFWDMSSLQFIDLSSNSFSGVVPFSRKCQDNLKYLLLGNNQFRGSFPLGLKKCKRLITLDLGGNNFSGTIPSWASRSLPELNFLRLSSNMFDGIIPHQILQFRKLQVLDLSENKLTGPVPGDFTNFTGMAQEQDIDSIYRDISSYEVQIHIVWKNVDHVYHLMIAAMAGIDLSGNSLSQEIPDGLTTLVGLRYLNLSGNHLASRIPEDIGNLVLLESLDLSRNRLWGEIPPSFADLKSISTLNLSTNGLSGRIPTGDQLRTLDDPSIYSNNPGLCGFPLEDCGSSSTPTQTERSLDEDRETLWLYCFVAAGFIFGFWLYWGIFLFRSETWRYSFYQYVDNMQGKLTKKVYSCISRFQVNGPE >SECCE2Rv1G0115800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:756425051:756429026:-1 gene:SECCE2Rv1G0115800 transcript:SECCE2Rv1G0115800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSLRPHRRGGSGGAQWQWSFLDAVWAVFLVAVVVFLALVFTPRRGDTLAALAGAAVPLCAASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEAPACLVPPPPGYRVPVPWPESLHKIWHDNMPYGKIAERKGHQGWMKQEGSYFLFPGGGTMFPDGAEQYIEKLTKYVPLKSGLLRTGLDMGCGVASFGGFLLKENITALSFAPRDSHKSQIQFALERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFTAYNGSYLIEVDRLLRPGGYLIISGPPVQWKKQEKEWGELQAMAQSLCYKLITVDGNTAIWKKPNQASCLPNQNEFGLDLCSTDDDPDEAWYFKLKKCISKVSLSEEIAVGSIDKWPNRLSKPSARASFMDDGVNLFEADTQKWVKRVSYYKRSLGVKLGTAVIRNVMDMNAFFGGLAAAVASDPVWVMNVVPAKKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADGINSLISDPKSGKSRCDLFDVMLEMDRILRPEGTAVIRDSPDVINKAVQIAQSIRWTTQVHDSEPESGSAEKILVATKTFWKLPLT >SECCE7Rv1G0502410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:696115333:696115665:-1 gene:SECCE7Rv1G0502410 transcript:SECCE7Rv1G0502410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >SECCE6Rv1G0453570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:883801652:883804865:-1 gene:SECCE6Rv1G0453570 transcript:SECCE6Rv1G0453570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQSVKPNMLILLAFLLLSCGAGNVHCSTIHANITDILSLLRFKRSTHDPTGALRNWNRSIHYCNWNGVACSLLNPGRVAALILPGQSLSGQLNPSLGNITFLKVLNLSYNSFSGQLPPLNQLHELIKLDLSSNSFQGIIPDSLTNCSNLKALNLSYNGFSGQLPPLNQLPELVSLALKFNSFQGIIPNSFTNCSNLMVVDLSRNMLEGPIPAKIGKLYNLIGLDLSKNKLTGVIPPTISNATQLRILQLQENELEGSIPDELGHLSHITGLSVGSNRLSGQIPPSIFNLTSLQVLGLFANRLQMAALPIDIGHTLPNLQNITLGQNMLEGPIPASLGNISGLQLIDLSSNSFTGEIPSFGKLLKLVYLNLGDNKLQSSNNQRWESLYGLTNCSLLKSLRFENNQLKGAIPNLVGKLSPKLENLHLSGNNLSGIVPLSIGNLDGLVELDLSTNSFNGTIEGWVESLKNLQYLNLQGNNFVGTIPPSFGNLTGLSSLYLANNEFEGPIPPIFGKLTRLSAMDLSYNNLQGNISPEISGLKQLRTLNLSANRLTGEIPDDLSQCQDLVTIQMDHNNLTGDIPTTFGDLTSLNMLSLSYNDLSGAIPVSLQHVSKLDLSHNHLQGPIPRNGVFSNASAVSLDGNSELCGGVSELHTPPCPVASQRTKIRYYLIRVLIPLFGFMSLILLVYFLLLERKMSRTRNESQAPLGEHFPKVSYNDLVEATKNFSESNLLGKGSYGTVYKGKLVQHKLEVAVKVFNLEMQGAERSFMSECEALRRVQHRNLVSIITACSTVDSDGSAFRALIYEFMPKGNLDTWLHHKGDGKADKHLTLTQRIGIAVNIADALDYLHNDSENPIIHCDLKPSNILLDEDMVAHLGDFGIARIFLDSGLRPASSTSSIGVKGTIGYIPPEYGGGGRISISGDVYSFGIVLLEMLTGKRPTDPMFMDGLDIVNFVGSKFPHQIHEVIDIYLKGECESEDSVHQCLVSLLQVAVSCTHSIPSERANMRDTASKIQEIKASYLGRKAKINPSV >SECCE6Rv1G0451300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871946099:871946530:1 gene:SECCE6Rv1G0451300 transcript:SECCE6Rv1G0451300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNARTIVCLAALAVMATLLMSSADALDCYPINDCRANSNGGVKCARMCALLNGPSGKAYCSGTAQCCCAPPGGKKGRHRTSAPLRTSDAAPAAAAATNEAAP >SECCE1Rv1G0012640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:95279758:95280174:-1 gene:SECCE1Rv1G0012640 transcript:SECCE1Rv1G0012640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRSGGGGGRGKTVAASTSTGTELPVDGAVRVTKVDRIQAYNLVSRPSVHHAISPVTSGPAESLGVSVVRVVDVVDEAVRVTKVDRIQAYNLVSRPSVHHAISPAASEPAESLAVRVVRFGDVVDDESDGLISVTIT >SECCE2Rv1G0087150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:222773476:222792582:1 gene:SECCE2Rv1G0087150 transcript:SECCE2Rv1G0087150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLREVDAPAEPADGAAALATAKVEVEVTKPRNDRRGYRRVVLPNALECLLISDPDTDKSAASMNVSVGYFCDPDGMEGLAHFLEHMLFYASEKYPIEDSYSKYIAEHGGSTNAFTTSEHTNFYFDVNNDSLDDALDRFAQFFIKTLMSPDATLREIKAVDSENQKNLLSDPWRMSQLQKHLCSNNHPYHKFSTGNWDTLEVKPKEKGLDTRAELINFYDSHYSANLMQLVVYGKESLDNIQTLVESKFCDIKNVGRKPFSFPGHPCSSKDLQILVKAVPIKQGHTLRILWPITPNVRHYKEGPCKYVSHLIGHEGEGSLFYILKKLGWAMSLEAGEGDWSYDFSFFSVVIQLTDVGHEHMEDVVGLLFRYITLLQTSGTPKWIFDELLAICETGFHYRDKSPPMNYVVNISSNMQIFPPEDWLIASSVPSKFSPDAIQKVLNELTTENVRIFWASKLFEGHTDLTEPWYGTSYCVEAVLPTIMQKWVENAPNEDLHLPKPNIFIPTDLSLKNVEEKISFPCMLRKTLFSRLWYKPDTMFFTPKVFIKMDFHCPLSNSSPESSVLTDVFTRLLMDYLNDYAYDAEVAGLYYAVRPNDTGFQVTMVGYNDKMRTLLDTVIGKIADFEVKIDRFAVIKETIMKGYENFKFRQPYQQAMYNCTLILEEQTWPWDEELAALSNLEACNLEDFLPRMLAKTFIECYFAGNIEPSEAESVVQHIEGTLFNSSTSVCKSLPPSQHLTKRIVKLERGLRYYYPAMCLNQQDENSSLLHYIQIHQDDLKQNVLLELLALVAKQPAFHQLRSVEQLGYIALLRQRNDSGVRGLQFIIQSTVKDPSNLDARVEAFLKMFEVTLHEMPDAEFKSNVNALIDMKREKYKNIREESAFFWGEISQGTLKFDRKEAEVAALVELKKEELIEFFDNHVKIGAPEKKVLSIQIYGGLHSSEYEKIIHDAPPPNSHRITDIFSFRRSRPLYGSFKGGAGQMKL >SECCE4Rv1G0225530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:94675566:94676588:-1 gene:SECCE4Rv1G0225530 transcript:SECCE4Rv1G0225530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSTLLRSLSTRLSARLAPSPAVAPWPPVRSAYDRWLAAELDELRADPIAPCTSAAWLGRAIGLAVAAQRRLVASASASAAPPAGIDRKTIDECVEDTAELLDACAGLRARLDLIRSYASSMRIALHWLEGRGGGATARRRAAAAFAECEAVERRCGAELAKCGSNLRKLGERALHARHPSGGRAQCEESLAGARAAALLAVGALGVALAFRSRRAVPGIAHAGGGKGGAAPWECALQEVQKHVKDEYERRRKEGVPCMAELDAAAADGRTVKSALTTGGRHLSETAVAAAKRRCDELEDKVSVLEESIGELHRELMNVRMVLLDWSQSARGHEILRL >SECCE7Rv1G0479540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:254720233:254720982:1 gene:SECCE7Rv1G0479540 transcript:SECCE7Rv1G0479540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKTGPGAGGRWSDGETSALVDAWGQLYLRRNRSSFRMEDWLTVCRAVNAHRGDLNRTLARCRTRVCTLKKKYKEEVAKGRPTSWSGSRHFAQLRAFLADSNGPPPGFYAKTPAAAVKKEQEEEEASGCVGGSAGGSEVRVKHQRHFSSLRDILERSGSAKMPATVKEEEEEVGCGCELVGGPAAGVAKLVAEMTKLAEVHERVEMERHKFRKEMLKMKKEEMEMEDVKLERKKKKAKENPKEADSN >SECCE4Rv1G0248600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:573088300:573098041:-1 gene:SECCE4Rv1G0248600 transcript:SECCE4Rv1G0248600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTMPPPPPRNPNPSSSSMPPPPLPKPSPPAPSAQPEAEDAPRPDASMAEADGSAKPSTSSSMPPPPPPRPSPQPEAEAASEPESSADGSANPSPSVSDSSAGEERSPGGDTEMAEAAPPEQRQQPRPRAPYAIPDWSAAPEHPFFLEVLKEGLILENLDVSKKGAYMFGRIDLCDFMLEHPTISRFHAVLQFRNDGQVFLYDLGSTHGSSINKTQVKKKMYTPIHVGDVIRFGQSSRLYIFQGPSDLMPPEKDMQKLRDAKIRQNMLDREASLLRAKSQAALADGISWGMSEDAPEEDGEDEADEITWQTYRGQLTDRQEKTRSKLIKRMEKVANMKKEIDAIRVKDISQGGLTQGQQTQIARNEQRISQIMEELENLEETLNDSIQESLGARAGKPKRGSHKASLEEEDDVISDDDEFFDRTKKKSSNPQSSGEQSVETADSLLDKKDLINNDIEGKKKLLEEEKIKLAQRDNADLGDDLDAYMSGLSSQLVHDNIAKIQKELSDLQVELDKVVYLLKVADPMGEAARKRDLKPREANSQASNDKPGPESRKQDKIAQDKTSTEENLKDSCSTKIEVDKPAEVETDVPQNQENASKPAFTIPKPQWLGDKRIIEPEENCIKEGNTNAEEPDDFVDYKDRKTILSNSANEKDIEGAAPGLILRKRKSADQSAGVEAESSSVESEASAADAVALLLKHTRGLQPAEDIENENEPQASKRKGKKSKQKRVLGPARPDFLEAGPDHETWVPPQGQTGDGRTSLNDRLGY >SECCE3Rv1G0158950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:93354488:93356872:1 gene:SECCE3Rv1G0158950 transcript:SECCE3Rv1G0158950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNPAEAMEVSAPSEAEAPKDVGPWLRFWEVSRRRLTPDDPFFAAGDMERELLAKHVALDLSDDDRYQLEKMDVASVSMVCCPIAGCGAHLDCLEDFEDHYSTRHTASCSVCSRVYPTSRLLSIHISEAHDSFFQAKVARGFPMYECLVEGCGEKLKTYKSRQQHLVDKHQFPNSFEFFKRAQPSQRHRQKYQHRRQTAYKGEETRDTVMEVDGKNPRQSKSRYRPKQHDHKESKEKEHDHKESKENEHHQKEAKESDMEVEQKIDELSSAVSRLSTADSVPSSVTFGHRRARGLTFIPRSIRQNKQVSQREAN >SECCE7Rv1G0475020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:180989786:180991464:-1 gene:SECCE7Rv1G0475020 transcript:SECCE7Rv1G0475020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAPASPHALFLPYPAQGHVIPFMELAHRFLDRGFAVTFVNTKFNHRRVVAAAGGATTTTYSSAGGGRLRLVAVHDGIDDAGDHENLILLNAAMQEAIPPQLEALLDGEDKAGEGLGKVTCVVVDSGMSWALDVVKRRGIPSAALWAASAAVLSVLVNAKKLIRDGVIDDDGAPVDLKNNSFHLNESTTSMDATFLAWNYMGNRDAERLVFHYLTSTAQAAAANADFLLCNTFSDIEPAVFAGPAPATILPIGPLRTWQRPTRHAPVGHFWHADDATCMSFLDAQSRGSVVYVAFGSISIMTAAQLQELALGLEASGRPFLWVVRPEQAGKLPAGFADAIAGLGKGKVVGWAPQEQVLGHPAVGCFVTHCGWNSTLEGIRNGLPMLCWPYFTDQFTNQTYICDIWRVGLRVMSAEGGGLVTKERIMELLDAIFEDEGAKERVLRLKEMAEKTMSEEGQSLNNLNVLMESMGR >SECCE3Rv1G0149690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:27714870:27715262:1 gene:SECCE3Rv1G0149690 transcript:SECCE3Rv1G0149690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTATAKRKRSSSAAPAAVGVDDVSDAEVEEFYAILRRMRDASRRLVSGGVAAARARAPAPAPAPRAPAWCPSFSWEDFAPPAPTTPPPAPQQQLPADERVAENASPPRRPVPRGLDLNAEPEPEVHA >SECCE2Rv1G0092040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:339552069:339584782:1 gene:SECCE2Rv1G0092040 transcript:SECCE2Rv1G0092040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17300) TAIR;Acc:AT4G17300] MAAAAAACRLLRLAPRRLRSPRHSLFPTPLALSSGWRRYCVDAPPVTATATPTPTGDAVGEFRRRIKVAEVKGGEDQGAAWVGKELTVRGWVRTCRAQRTVTFVEVNDGSCLSNMQCVLTPETEGYDQIDSINTGASVLVEGVVAISQGGKQKVELKVSKIIVIGESDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDSGFIWVSSPIITASDCEGAGEQFYVTTLLSNSAEGGCLVNSIPSKNGRVDWSQDFFCKPAFLTVSGQLNGETYASALSDIYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACASAYLQYVVKYILENCKEDMDFFDTWVEKGIIDRLNNVAEKNFVQMSYSDAIKLLIGSNKKFEFPVKWGLDLQSEHERYITEVAFGGHPVIIRDYPKEIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLDYLEARLDESNLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRVPGSAEF >SECCE3Rv1G0182470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:628932566:628934362:1 gene:SECCE3Rv1G0182470 transcript:SECCE3Rv1G0182470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g52630 [Source:Projected from Arabidopsis thaliana (AT5G52630) UniProtKB/Swiss-Prot;Acc:Q9LTF4] MTPPLPPLAAAPACARTLADLLVALSAARALPKGQQLHGHLLKGGHLPAVASSHALLAHHLLTFYARCSLPELSLRAFLDLPAAPTPAAWSSLISSFAQNGLPAAAFHAFRRMLAAGVPATDRSIPPAAKAVAAAADSSRPPLAPHALHGLASKTPFAGDVFVGSAVLDMYAKCGNLADARRVFDEMPERNVVSWSALIGGYADAGMHPAALCIFRSALEEAVQVNDFTVSCIVRVCAAATLFELGAQVHARSIKTALDASPFVGSSLVSLYSKCGLVDCAYRVFSAAPERNLGIWNAVLIASAQHGHTSTAFERFTKMQNAGFRPNFITFLCLLTACSHAGLVDEGKRYFSLMKEYRIEPQVEHYAAMVDLLGRVGRITEALDLIESMPMEPPDSVWGALLMACRMFKDADTAAIAAKRLFETGSRSSGAHMLLSSTYAAAGRHVDAALARKAMRDAGIRKETGLSWLEAAGEVHTFVSNCRRHPRSNEIYNVLEKVGKKMAAAGYVADTSAVVKDVDRDEKCASLGYHSERLAIGLGLLIVPEGVPIRVMKNLRVCDDCHNAVKYLSKCTGRVVVLRDNRRFHRFEDGLCSCGDFW >SECCE2Rv1G0075620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:85010942:85012201:1 gene:SECCE2Rv1G0075620 transcript:SECCE2Rv1G0075620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIIPKSVLDDDNLLVEILTRLSCTRSLIRSSAVNPQWMSVSRSHSFLQAYARCNRPAVLGFLAEFERGYRLHFRVVDALALQGESTRALLRSRIDEYSLSRKRILASESGELLVSITSPPFVSSETDEIVVDGYYTLFPSSFPPIYNFVATRIPRVPRMHGQHTPNFYGQFGILQGAGQTGFCFFVKDAAGPFLKTYDGIKFRSKTDFHVHVCVFRDGGWSRFVSAPFEYRSPCIFHLDPYSILAGSSLYMMYVVGMIVCFDISSSKFTSIHLPISARSCFDYNVSLNESGILSLVNCSHGFLNTWLLQLVHGRLQWSCCSQLDLVKAFSNRAGPTIWQSSFGMDYGEVGPDDFYSVQLRSASKDSSVVLLTFAFDHGMFVVDTVKGTVNEVPWLPEDGTMRQAFPLTERWPPTFRD >SECCE3Rv1G0167620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:205131630:205137962:-1 gene:SECCE3Rv1G0167620 transcript:SECCE3Rv1G0167620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSLGVGIKSENNGKRDYTGTGTVPSLHKQDSKILTKKTIKLLDGPPCPKRPKLEPTQTTRGAEAKGHESLPHKIVPEMVRCTASEKSGLLKQRRISDAKRIDKKNARSGVRSKYDCFTLKSGLGNHDSGFMGNGMLGAHGLKSDIRDITNHIENLTLSELLDGTYKYSSLGREKGKKVLHTKDELLVSVRKAFFMLSDMDSSLGKDGNLTPSPRLHSATTSSSDIKDQCNDKPSSLIKDPLQTEVCNVCPKDILRRLTLTQGQDLDSLLSAGSESSAAGPSMTTHGASLPPFTWSHSQAGVYRPSVDSGKHGSSRSNSHWQWLRVGSYPTAQDYEDLPVHQIDDLLQEMDMAKSSIIDSCSRQSNLCCMESTSGSLGQTIYSRKTESEHGPQQLHPLDHVGSSDSFQRNDSDRSLLKARQASRKILCAAETLCDMRRSTEGWSPQVYSNGTINLPKSPPDKVKARKPSSPFGTAESSSGSRNSDPARSGNHSTKKVVDRKNDSACMSNPGKGLIRWPVPVEDAVSPVKPEKGLMLDMRQNHGNAARHPIHVSSQARLEKEYENQQKLRKATLASSLGSGDWNKERNRRL >SECCE5Rv1G0356950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742786086:742788163:1 gene:SECCE5Rv1G0356950 transcript:SECCE5Rv1G0356950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLATDVRLSIAHQTRFAFRLASAISSNPESTVNNAAFSPVSLHVALSLITAGAGGATRNQLAATLGQGEVEGLHALAEQVVQSVLADASNVDGPRIAFANGVFVDASMQLKPSFQELAVCKYKAEAQSVDFQTKAEEVTAQVNSWVEKVTTGLIKDILPAGSVSNTTRLVLGNALYFKGAWTDQFDPRGTKSDNFYLLDGSSIQTPFMYSSEQQYISSSDGLKVLKLPYKQGGDKRQFSMYILLPEAPSGLSSLAEKLNAEPEFLEQHIPRQKVALRQFKLPKFRISLGIEASDLLKGLGLQLPFGAEADLSEMVDSPVAHNLYISSIFHKTFVEVNETGTEAAAATIAKAVLLQARPPSDMDFIADHPFLFLIREDTSGVVLFTGHVVNPLLTS >SECCE2Rv1G0133680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898071703:898075875:1 gene:SECCE2Rv1G0133680 transcript:SECCE2Rv1G0133680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSCFPIFILLFLFPFSKSDDQLTKGKPLSPGDILISKDSVFALGFFSPANSNRSLYVGIWFYNIPESSRTIVWVANRDNPATATSPATLAINVKSDLVLSDSEGRTLWMTKNNITADEDARASAVLLDTGNLVLRSPNGTVIWQSFDHPTDTILPGMRFLLSYKGRVAGRLVAWKGRDDPSVGDFSFGLDPNSDMQMVTWHGAKLYCRISVWNGASVSGGTYPGNNSSVVYQTIVNTGDRFYLMYSVSDDSPYARIMLDYTGTMRLLTWNSYSSSWIATSERPSGGYGVYGSCGPFGYSDFTGATPTCQCMDGFEPDPMNSSRGCRRVEALKCGSKNHFLRLSGMKVPDRFLHIRNRSFDQCAAECSRNCSCTAYAYANLSSAGTLGDQTRCLVWTGELVDTWKTNNYGENLYIRLGDSPAAVHKNSNLVKIVPPVVSCLLLLTCIAIVWKWIRRKKEIKKKLMLRYLSASSELGGKNLDFPFVSFEDIVAATDNFSDSNMLGMGGFGKVYKGILEGDKEVAIKRLGSGSGQGIEEFRNEVTLIAKLQHRNLVRLLSCCIHDDEKLLIYEYMPNKSLDSFLFDNTRKDVLDWLTRFKIIKGVARGLLYLHQDSRLKIIHRDLKASNILLDKEMSPKISDFGMARIFGGNQQQGNTIRVVGTYGYMSPEYVMGGAFSVKSDIYSFGVLLLEIVSGLKISSPQLIMNFPNLTTYAWRLWEDGNAMELVDSTIAGSCPIHEVLRCIHVGLLCVQHHSDARPLMSSVVFMLENEIALLPDPEQPAYFAARNQENGHTRENMDNSQNTMSITALIGR >SECCE6Rv1G0414770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:636668844:636669502:1 gene:SECCE6Rv1G0414770 transcript:SECCE6Rv1G0414770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCTPGGMGKQGGAPGDRGKLGGDGDKKDKKFEPPAAPSRVGCKQRRQKGPEAAARLPGVAPLSKCRLRLLKLDRVKDYLLIEEEFVAAQERPRPTEEKTEEDRSKVDDLRGTPMSVGSLEEIIEESHAIVSSSVGPEYYAGILSFVDKDQLEPGCSILMHNKVIAHRIQDLDA >SECCE7Rv1G0467370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:87205873:87207483:-1 gene:SECCE7Rv1G0467370 transcript:SECCE7Rv1G0467370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVHVPELSSGGSGSGSGSLTLNPVQRALSRLSSSSALTPRSPPPSYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPDVRIADYFDVIAGTSTGGLVTAMLTAPDAKGRPLFAAKDINNFYLQHCPKIFPAVYGGPLGLLRSMRGPKYDGQYLHSVVKELLGETRVGEALQNIVIPTFDIKLLQPTIFSRYDARNDVSKNALLSDVCISTSAAPTYLPGHHFVTEDKDGKPRAFNLIDGGVAANNPTMLAMTDVSKQILLGNQDFFPIKPADYGKFMVLSLGTGSAKVEEKFDAAACSKWGILGWLYNQGATPLIDSFSQASADLVDIQASVLFQALRCEKRYLRIQDDELKGDTSSVDVSTPENLNRLVEVGKALLKRSVCRVNVETGRTVPDDNRGTNEEELISFARMLSQERKARLQKKGVSVVPQ >SECCE4Rv1G0244170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:511716223:511717619:-1 gene:SECCE4Rv1G0244170 transcript:SECCE4Rv1G0244170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAKGWSRRVGSARSFVGNALGGVRGWTNVASWAVAGTLAYYLWVRPARQLQKEQQERAALTAASDPYRYVEKRKPIPDPQDTGLTYGKKKDPPKSDN >SECCE3Rv1G0181990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:620882806:620885651:1 gene:SECCE3Rv1G0181990 transcript:SECCE3Rv1G0181990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLHDLYTVLAAVVPLYVAMILAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYEMNLRFLAADTLQKLLVLALLAVCSRLIPSRLDWSITLFSLSTLPNTLVMGIPLLIAMYGPYAGSLMVQIVVLQCIIWYTLLLFLFEFRAARLLIADQFPDTAASIVSLHVEPDVVSLEGGRAETESEVAADGRLHVTVRRSSVSRRSTLLVTPRPSNLTGAEIYSLSSSQNPTPRDSNFNQADFFAVVGGAPPARVGGASFGASEHYSLQSSQGPTPRESNFDEHSAGPNPKHAMTDAGAQHNHDAKELHMFVWSSSASPVSEVSGLPTFNGGTGAAHLDGSAKEIRMVVPADPPQNGSCKENGGYTAAARGGGKAVEDDGDAVLAGPDRPTAMLNSSPGDELGARQHQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLIAFRWHVSMPTMVEKSISILSDAGLGMAMFSLGLFMALQPSIIACGNSAAVASMAVRFLAGPAVTAAASAAVGLRGTLLKVAIVQAALPQGIVPFVFAKEYNVHPEILSTAVIFGMLIALPITMAYYALLGLVH >SECCE7Rv1G0512650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:813528111:813529279:-1 gene:SECCE7Rv1G0512650 transcript:SECCE7Rv1G0512650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKTREPKEENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVATRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >SECCE4Rv1G0222070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:53921270:53925902:1 gene:SECCE4Rv1G0222070 transcript:SECCE4Rv1G0222070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRIHHCGGRAVAWTPSPIVALATSPCASQVAAAREDGSLELWLVSPGSVGWHNQLTIQGGAESRVTSLVWVPNGAGGRLLSSSVDGSVAEWDLFHLQQKTVLDTVGVPVWQMAMEPTDDQLISGNESAGCAANGHVNHNGSTDSELSYVDDGQSSDDEDDSAKTSSSHRVNEFPRLALACDDGSVRLYNVPESGPLTYYRSLPRVSGRVLSVTWSNNAKFIFSGSSDGLIRCWDSTIFHEKYRITAGLGGVGSGSELCIWSLLSLRCGTLVSGDSSGSVQFWDNRHGTLLQAHTYHKGDVNALATVPSQNRVFSAGSDGQVILYKASKDEFSAHNEKVSEEQMHKWVYVGYVRAHSHDIRALTMAVPICKEDALPQEKVVKIRRKDEFSYRKWAHLGVPMLISGGDDTKLFAYSAREFTLYSPHNFCPAPQRPLINLARDCTVNGDSVMLVQSVNCLDVLLVSVKNKLTPSTSSGGDATIRQVVHLKSKGSRKIIASAVSTNGMLFAYSDCVKPCLFALRHQGGKKFSLDKIELPKGIPCSQSMMFTDDSSSLVLSCNDGKIYVVDIASRKISNIFRPTRKIDGTKVSSKEPPVTKMFASADGQWVAAANCFGDVYIFNLEVQRQHWFIPRMNGGSVTSGGFCPKNNALVITTSKNEVYVFDVEAKELGEWSKRHTHELPTRFQDFPGEVIGLSFHKLSPFSVMVYSARAMCVIDFGLPVVQDVQLSTSQKTDSQKSTKTKLKRKSRDEDLKQEKRNNFTFFAFKDPVLFAGHLLDSSILVVEKQWMDVVQGFGPPVHRHIYGT >SECCE5Rv1G0363260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:792061562:792061888:1 gene:SECCE5Rv1G0363260 transcript:SECCE5Rv1G0363260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMQEQEKSFGEEAAMAMEASSSTLRSLLHRMAQRCAGYLGLAAPLASDYSLGAGGDHLKPTTGAADGVVVRPEEEFTVVQVQSRSMAFQSNRKIDQGVGGRGGIKY >SECCE6Rv1G0383720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:56772475:56775669:1 gene:SECCE6Rv1G0383720 transcript:SECCE6Rv1G0383720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPVLSPPECAPPRPASPEGTRATVAASDLAALFDAQRRHLDHFFDRLDMPQAAAFAQALLDAPGAVFFTGVGKSGIVARKLAQTLASLGFARAGFLSPVDALHGDIGSVFPGDVLVLLSKSGASDELLALAPCARAKGAQLISLTSAASGADCPLAAACDLNVHLPLQGEVCPFGLAPVTSTAIQMVFGDTVVAAIMEARRLTRDQYASNHPAGKIGKTLIFKVKDVMKKQNELPLCKEGDMIMDQLTELTSKGCGCLLVVDDGYHLIGTFTDGDLRRTLKASGPAIFSLTVGEMCNRNPRTITADAMAVEAMEKMESAPSPVQFLPVVDDKNVVSGIITLHGLVSAGL >SECCE2Rv1G0067560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19227981:19232325:1 gene:SECCE2Rv1G0067560 transcript:SECCE2Rv1G0067560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQEQESFGNVDPATAADARRQGCDPRKLDQAAESVLSYIYATLPNFPLYPGTRLPALAASPDGVDRLSRLPDEPLRNIVARLPVKDAARTAVLSSRWRALWPSTPLVLIDSFLLPKGQGFRPIPANTPAVTAAVSDILEAHPGPFRCIHLVCSHMSAYRNQLARWVHLLAAKAVQDLVLVNRPWPRDVPLPPTLFAITTLTRLYLGLWKLPDTAALRGASFPHLGELGLCSVEMEHGVVDSLVARSPVLEVLNILGSITGLRLRLVSQSLRCLQISCSKMEHIDVVRAPCLERLILYGSVKIARGLCSRLKIGDVPKLHAFGYLDPGQVLQVRDTIIMPGIASTSTMVRSVKILSLNVRFGVRNEVKMVPNFLRCFPNVERLHILSEKCDKPTGNHLSLKFWEESGPIENVLSCINVMSIREFRGDPGEVGFLEFFFRNARALETASVSMANPSFTPFSAEEACAKLEYCYRSKASKSCQMVVRGSTGPSGGEVWRFKDGADFSFHDPFSQVLVR >SECCE2Rv1G0122600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:817546958:817549627:-1 gene:SECCE2Rv1G0122600 transcript:SECCE2Rv1G0122600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATPWAPPPSPHPSLPAPAAASHMAVTQALADALRSCGARGALSGARALHGRLVSVGLASAVFLQNTLLHAYLSCGALPDARSLLRGDITEPNVITHNVMMNGYAKLGSLSDAVELFGRMPRRDVTSWNTLMSGYYQSGQFMDALETFMSMRRTGDSLPNAFTFGCTMKSCGALGWHEVAPQLLGLLTKFGFEDDPDVATGIVDMFVRCGAVDFALKQFSQIERPTVFCRNSMLAGYAKSYGVDHALELFESMPERDVVSWNMMVSALSQSGRAREALCMAVDMHNRGVRLDSTTYTSSLTACAKLSSLGWGKQLHAQVIRSLPRIDPYVASAMVELYAKCGCFMEAKRVFSSLRDRNTVSWTVLIGGFLQYGCFSESLELFNQMRAELMTVDQFALATIISGCSNRMDMCLARQLHSLSLKSGHTRAVVISNSLISMYAKCGNLQNAESIFTSMDEKDIVSWTGMLTAYSQVGNIGKAREFFDGMSTRNVITWNAMLGAYIQHGAEEDGLKMYSAMLTEKDVIPDWVTYVTLFRGCADMGANKLGDQIIGHTVKVGLILDTSVVNAVITMYSKCGRISEARKIFEFLSRKDLVSWNAMITGYSQHGMGKQAIEIFDDMLKKGAKPDYISYVAVLSSCSHSGLVQEGKFYFDMLKRDHNVSPGLEHFSCMVDLLARAGNLMEAKNLIDEMPMKPTAEVWGALLSACKTHCNNDLAELAAKHLFDLDSPDSGGYMLLAKIYADAGKSDDSAQVRKLMRDKGIKKNPGYSWMEVKNKVHVFKAEDVSHPQVIAIREKLDELMEKIAQLGYVRTESLRSEIHHSEKLAVAFGIMNLPAWMPIHIMKNLRICGDCHTVIKLISTVTGREFVIRDAVRFHHFKGGSCSCGDYW >SECCE7Rv1G0478840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:242155455:242156123:-1 gene:SECCE7Rv1G0478840 transcript:SECCE7Rv1G0478840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSFLPTARPLPAFQTLAAAPRCPRPLRRSTIRAAISRGRKEDTVAVVREQLEGCYLLAGIRYEGLTVKQFQGIRDALPESCHLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPVALKPYRAFQKEERVEETNDFIGAVFEGKYYEPAEFKSLETMPSRAEVYSKLLGALNGPATSLVTTLQAPARDVVAVLSAYVRKLEEESGAGADAGTA >SECCE6Rv1G0379260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:12984598:12992401:-1 gene:SECCE6Rv1G0379260 transcript:SECCE6Rv1G0379260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-5 [Source:Projected from Arabidopsis thaliana (AT1G05500) UniProtKB/Swiss-Prot;Acc:Q8L706] MAFLFGALLGLVLGVGVVMAFARLENSRAEQRRELAATVISFSKLSVQDLKTLIPTESYPSWLKWLNQQLGKIWPFVNEAASELIKSSVEPVFEQYKSFILASIHFSKLTLGTVAPQFTGVQILDSDSAGITMELDMQWDGNPNIVLDIQTTLGISLPVQVKNIGFTGTLRLLFKPLVAELPCFGAVCVSLREKSKVDFTLKVVGGEMTAIPGISDAIEGTIRDTIEDTLTWPNRIIVPIVPGDYSDLELKPVGLLEVKLVEARDLKNKDLVGKSDPFAVLYIRPLSAKTKKSKTINNDLNPIWNEHYEFVVEDSSTQHLTVKIYDDEGLQPSEIIGCARVDLSDITPGKVKDVWLELVKDLEIQRDKKPRGQVHLELLYYPFEKQEGVSNPFAGQIQLTALEKVLKTETNGYDVNQRKNVITRGVLSVTVISAEDIPAMDVMGKADPFVVLYLKKGETKKKTRVVTETLNPIWNQTFDFVVEDALHDLLIVEVWDHDTFGKDYIGRCILTLTRAILEGEFQDTYVLQGAKSGKLNLHFKWTAQPIYRDRDRDQ >SECCE6Rv1G0445870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:841678037:841679185:-1 gene:SECCE6Rv1G0445870 transcript:SECCE6Rv1G0445870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKERRSGVAYIHNDKDRDLTFYKRRFGLFKRATDISALTRARVAVVLETDNGKMHSFGTPLADPIVDAFLFGGPPAVPFVNEATTARIGSLQNEVAQLDTENMTEEDKNQLSILRMKNIQEENPGMVANLIFMKEQDLSLEDLNKLFSELSRVQKDIRCWLPPLHGREAKTGGTCVAQDLQVPSSSWPHNLSQLQIPSDPLPSQPEQTSAPLLPMHVPQMFHSAPPSLAPHLASHAQPIPIQVHEKTPPAELHVHNYESTCNIVQPKQNDAHHDSTSGQNLEASPLLGYSSSNAFSIDDPFNIEQWGYDLSDQSWYNSFLGMDAYLGSSGTDLGQSSMVNGGWVDVPPSSTGEDIDGLTDYGDLL >SECCE1Rv1G0024620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:329167591:329168184:1 gene:SECCE1Rv1G0024620 transcript:SECCE1Rv1G0024620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTAFLSIAPKISISANMSRVSIVASYGGTLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVPALRQTRVKYIADLGALAKTNPISAMTFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRLP >SECCE4Rv1G0228950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:141086475:141087056:-1 gene:SECCE4Rv1G0228950 transcript:SECCE4Rv1G0228950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHYAELLRRAASLPSLSLVASLHAAALRRGAVIVPSLIHAYSACGDPASARSVFDGLPAQEQTLSARTALASAMSAHGNCREVLGLFRGREGEMDDKAVTVVLATCARAGMIGEGREVFARVRRPALQHYTCMVEMLGRTGEVEEAEGLLARMEARPDRIICTVLLAACRAHGRVDVAERVVRLMSEYGIV >SECCE1Rv1G0038830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:543265862:543276685:-1 gene:SECCE1Rv1G0038830 transcript:SECCE1Rv1G0038830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLEPLLPRAGHLPVTGGWRSALFIIWVEVAERFAYYGISANLISYLTGPLGESTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYITGLGMLALSSMFSSPQSEQCKLAADGRQACRRSSLQTAFFYVSLYLVAIAQSGHKPCVQAFGADQFDVTDPAESLARGSFFNWWYFGICGSATVTIALMSYVQDNVSWGIGFGVPCVVMVLALAVFLVGTKTYRFYDGSGDGKGGDVLSNVVEAFRASRKRSPEGGEHGHGDRVENAAIVEEVRGLARLFPIWATCLLYGVVFAQPPTLFTKQAATMDRRVGSSGFQIPPAALQCFMGISMITCVALYDRVLVPVARRVSGAPLGITMLQRIGTGMVLAVAALVVAALVEMRRLSTAVDAGVVDLPDAVVPMSLWWIMPQYVLLGAADVFTMVGMQEFFYDQMPGALKSLSLALYLSVLGVGSFISSFLISAIDGATRRGGGTSWIADNLNRGHLDYFYLLIAALTALELLAFLYFSSSYVYKRKTVSVH >SECCE1Rv1G0035170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:496839552:496843463:1 gene:SECCE1Rv1G0035170 transcript:SECCE1Rv1G0035170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKEVPKRPKDTLMTPPSKPRGFRDDDGGGFGGSLPRNRGGAAMSPAPASVPNYMRATSSSGAKAGPGPGRRAGAAASSASPTTRRGPLRVVTSGRVLFPTPELPGMVRATCSSTMKEAKFPGALDLAPGATDAQGPAAMRVCPYNYCSLNGHTHSPAVPLRSFLASRRRLIKTQQSMKHKGVSAFRKGSGHQRPEDKKSSPVVSAAVAKAAPLLDEEALGDFFVEVYAGPRVSSDMSCSDMSLDEMDAAVRRMEFVVFDRCGVGEDHEKGGDPAARGDGGGRPEEDRLGFCRDGSSECSDEGVSGLISGNLVEELPWMRYRGYECDSLDDDVSEEHVQEADVSEGRSRGSGDTHEEAADEEQKPEDEEIISDLPRETGIIAEEEGVDCRSPLREGISNTMDQQEASRVQEAQHEDDEERVSDVAHKMEVAAAQACIVCAVEVCNEQEEEDQMVQGDISDVLSTSEEQLSNGVCEPEIPENELDILGKVVQGDISDILGTSEEQLSNGVCDPEVPENELDILGKVIQGDISDGQGTSEEKLSNGVCEPEIPENEVAERVENVLEESREEDGVADQGTEDDQTNMESTGNLEVTEKQDMPDDESEMEISETVPSVACEEDFIEEEVTSRAVSEGEVSDCSAIASPDAEISTPPPEGGFEQDVNTVEDAFEQYDGAVDSLVDQYIPAEDTVDVTEDAQKEIEITSCNLEDASEESGTAQESSQEVNFVCVAAAAVQMEPEPEVTSCKLEDSSEESGIAQESSQDVKPVCVDASAQTEPEPEVTNYKLEDSSSEESGIAQEPETEPDVTNYKLEDSSEEESGIAQEPETEPEVANCKLEDSSEESGSSQDVKPVCVDAAAQMEPETTNCNLEDASAEAGITVETVHDDNSVYAGEVAEVQSGVDTSELVDTSEESGISHEICEDDNSAYVSDDAQSDSGIATCELGEESATVQEADQDQNCTDINGGYTNESTFTPCGLAEASEAYDITQEAIQDDNTSDVNDGAQKESDIIACESEHACEESHIIQEGGEDVNTACEESDIIACESEHACEESHIIQEGGEDVNTACEESDIIQEGVEDDNTDCEESDIMQERGEDDNTAGVRAGALKGPEIIVSESADACEEVCITEEANLSPVVQIPEHNYDLSATDGHGEPQNLPAEDTVAKEFSIDDMCTVFSGMNLKGDVYVDPTESEICPRNRMIVAGRRRTPEEDEYMRGFNPRAPNFLPLESDPDAEKVDLKHQTAEDRKNAEEWMIDYALRRAVNNLGPARKKKVELLVQAFETVLPQDEKKSISPTRPAQACN >SECCE7Rv1G0513300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:820779651:820780898:1 gene:SECCE7Rv1G0513300 transcript:SECCE7Rv1G0513300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAGVSVLADGGLCPATESFVDTDTDCGYHLLVVQDYQRTKQEAPTGDSITSRPFTVGGHDWYLHYYPNGENQSCADYVSLNVSRLYDDEGVDEVVDAKLEFSFVDQVEKQNPVYIRGSETCSFPFPGSSWGCDKFVRRDALERSANLRGNCFTIRCDIMVVRDTKGGGEALLPDIHRHFSDLFQTNLGADVTFEVGGETISAHRCVLAARSEVFRAQLFGPMKEGSTTATTTSGVIQIKDMEANIFRALLSFVYTDSFPAIDEDRMEEDEMSHVVENGQGEEAAQDKMSEAAEQGQEEEAVEDETPVQWLQDLFVAADRYDLQRLKFICEKQLSELIGVSTVASTLALAERHHCRGLKEACIKFIQVQTPSCLQTIMATGGWEHIIATYPSVLNELIAEVIALNQQTLSLFS >SECCE3Rv1G0181390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:612009895:612011903:1 gene:SECCE3Rv1G0181390 transcript:SECCE3Rv1G0181390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGDQGRHGLYHQHGHGQLTRYDGAGGYEFGNDDMESFFFSQPEGVGGGVRADEIAPYSSMTTTSYLQGFLDPTGLARHLDVPAKHELSVDVRSHDQDSQGTGSAAGESAALLTPNSSVSFSSGGGDGEGKSHRSKKGRAQEADDQEDGKDQEDGESSKKPNNKPKKKAEKRPRLPRVSFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRGSAAHLFIPPGLHGLPPPYLMPPGVFHPELMSMMRMPYPSPNMHLPSVPSPPHHHPMAGTPLQQHHFTDYALLQDLFPSTMPNNP >SECCE7Rv1G0464300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:60037044:60038965:-1 gene:SECCE7Rv1G0464300 transcript:SECCE7Rv1G0464300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELILLLLPMTLLVVIGSLLRRAPPIKEMQARLAAAKWGLVRDFSAALGQEVVVTDRASAHHLLVRGGAGGAFCNRPPTSSASSVLSGQRHHNISSAPYGSLWRATRRNLVSEVFHPSCLRRYAPARSRALRRLVADLREQCTSNDGVALAAESMHAAMFGLNAAMCFGDGVDAGLVRAMADNMEELIWSLVGVRVFAALPALTRLIYRERWNKLVVLRRQQEELYLPLIDARRGRRRRPSGEAPAYVDTLIDLLVADESNSAYSGNAPSRKQTLTDGELVGLCSEFLGAGTEPPTAELQWIMANLVKHLDVQEAVRREIEAVVGVDADEVGEEDLGRMEYLNAVLMEALRLHPSVPAVVRQVMPEDHVVLDGQRVTVGTIVQFPLERLGRDKTSWADPDEFQPERFLACGGRNGVSLVAAAGSAGEIRMMPFGAGRRMCPGMGVAMLHLGYFVANLVREFEWTEAEGDMAVDLEPHVEFLNFMKRPLRAKLTPRNKGVGASRAFLDQ >SECCE6Rv1G0421000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:681093419:681094968:1 gene:SECCE6Rv1G0421000 transcript:SECCE6Rv1G0421000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSMEHPWAFAFGLLGNIISFSSLLAPIPTFYRIFKSKSTEGFQSVPYVVALFSAMLWIFYALVKTGEGLLISINAAGCVIETVYIVMYLVYAPRKAKIFTAKIFVLLNIAGFGLILLLTLFAFHGETRVVSLGWICVGFSVCVFVAPLSIIGRVIKTKSVEYMPFTLSLTLTLSAIVWFLYGLLIKDKYVALPNILGFTFGVIQMVLYMFYMNKTPVASEVKEGKEVWKVPAEDHVVVINVGKADKSSCAEVRPVTEMASAVDVPRRCAAEAAAPGQQVMAVGFARSVEVV >SECCE7Rv1G0486790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:418200830:418206431:1 gene:SECCE7Rv1G0486790 transcript:SECCE7Rv1G0486790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT1 [Source:Projected from Arabidopsis thaliana (AT5G53340) UniProtKB/Swiss-Prot;Acc:Q94F27] MQIRDGLRRQAPSATGAMRSPMSAMMLAMFATMASFYVAGRLWQDAQNRVYLIKELDRRTGQGRSAISVDDTLKVVSCRQQGKRLASLEMELAAAKHEGFVDKYTSETNATHSRKKPLVVIGIMTSFGRKNYREAIRKSWLPTGSPLKKLEDEKGIVVRFIVGRSANPGDRFDREIDDENRSTHDFVILDDHIESDEELPKKTKRYFANAAETFDAEFYAKVNDDIYINVDTLSAMLETHWDKPRVYIGCMKSGEVFSEATHKWYEPDWWKFGDGKSYFRHASGEMFVVSRAVAQFISINRSVLRTYAHDDVSVGSWMIGLAVKHVNEAKLCCSSWPSGAMCSAL >SECCE6Rv1G0403160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:497492238:497496888:1 gene:SECCE6Rv1G0403160 transcript:SECCE6Rv1G0403160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPESKHEAETPAPPPEPAADSAAGRALQPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPIKREKSATKEAISILGEYISDASSHLEPCVMEAASKKRKIFSEASEIEHSEDTVTNGKGDIPESTGSFEVEINSLNSNTSGSPDRTSNLSLIKLSMSGLLFFSFPTGGLHVVQMLTEVFHSLRSGKLKSPQWCHRIFPIQETCVLSETELHATVSKLFLDFFKNKEDQDEPIKFAVGYNRRGIDETVNKTQKNDNNNSIQQALMDRGQCFKVVAAAVKSVAKDAIVDLTSPEVAVLVEVLPISGVPVGSSVAGVSVLPAELVSAKPRLCVKALVSDTKAKKK >SECCE3Rv1G0154650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62061386:62063533:1 gene:SECCE3Rv1G0154650 transcript:SECCE3Rv1G0154650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTAGCGAYCSQEFMESSTAKYNDSEFILHPPAPMSYYPQLSIVSNKVGHVSWSTSSSCKIYSPQLYSVNSDETSAGWRLISVAEHPKTDSDVTLSHIEQMLMQDTDDKVRAPHGETAIRTMEEPFYELLGQKYPVLPGLLPLCGCDRLKNLNGSVSRLNNGQLCNNCSVTISSDNYHSNGSSQASQAPWTLSAIVGVAIQFPLGVEKMGIGLNINGLSISPESHSPHINDKDTIRNAPFHDRSRKVFPGIEELDLLEGRRNKQIAIFSDEPVRNEAFDKVLLCSENRPVDEDIILQRAMADISTKYAQKEQGRKPARQTKRGKTRKKKEVVDLRSLLIHCAEAVSVNNRTIASDILKSIRQHCSPSGDDTQRLAFYLADCLEIRLSGSGSRINQKVITTPTNAEHILKLFHLCFTVCPYLRSSYYLSNKTILDVSKGKPRVHIIDFGIFYGFQWPSLLKQFADREGGPPKLRITGIELPTPGFQPDEMNKNTRLRLTEYADIFQVPLEYRQISSKWESISLEELNIDKDEVLVVNCILRMKNIGDETISINSARNRVLNTIRMMKPQVFIHGVINGSYNTPFFLSRFKEVMYHYTSMFDMLEKTTPRDNETRMILERDIYKYAILNAIACEGSERIERPESYKKWNVRSIRARFEQLPLNPTIVKGIQYMVRQIYHEDFFVDEEDQCLVLGWKGRILYALSTWKPSESGDEGD >SECCE5Rv1G0311320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:191086963:191087631:-1 gene:SECCE5Rv1G0311320 transcript:SECCE5Rv1G0311320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPHGSSMIGGSCGGRALRRVDSSPKPSLCRSDAMKKKRSKRSRLSAALRELRLAAKARDKDGLLQILVTGHRTSRGGSGNGERTGGASPERSVAGTGDDQRATLRWQGRGVHAAGRADGPLLELGRPIATGGASGGGRRCPSWALAVALVLALACVVALGTAPAICCCTCAAWLCGGCGAQQHESVRRRGGSCRGSVMRVIKPQAGSTLYHAEVASGSTV >SECCE7Rv1G0470960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:125769929:125771110:-1 gene:SECCE7Rv1G0470960 transcript:SECCE7Rv1G0470960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRPAAALDAGQATTTTTQQSPACCKRSRTRIRSTAEYEEETCLGKGGFGCVLLARHRDTRKIVAIKYLNRPVGSQQPLAAAELLREARFLEACDGNPYVVGFEGLVRDPDNGAFGLVMEYVAAPSLHKFRRNSRGGQPLPESTVRAIMWKLLTGAKTMHGRHVVHRDIKPGNILVGQDGELVKICDFGLAISMSELPPYNQAGTPFYVAPEVLLGQRDYDALVDTWSLGCVMAEMLTGKTLFLGDDDDDAKDNEIIQLWSIFRLLGTPDERTWPGFTSLPLTGKALKLLPPGHQQNKLRDLFPLEKLSEHGFQVLEGLLTCNPNQRLTAATALKHRWFAAPRPAAAAPKVDALPFPKKKAPRIKFIPPAMPEKNLLKIPLAVWKAAQRV >SECCE4Rv1G0260180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:693932869:693935328:1 gene:SECCE4Rv1G0260180 transcript:SECCE4Rv1G0260180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSLEEEMAAAAADNLDEEMAQAEEAEEEEEATPVVLKKGPWTTAEDAMLVNHVRQHGEGNWNAVQRITGLLRCGKSCRLRWTNHLRPNLKKGAFSPDEELLVAQLHAQLGNKWARMATHLPGRTDNEIKNYWNTRAKRRQRAGLPMYPPEVQLQLAITKRCRYGDFSPPQQLAGSNVLDATDAAGYTSARPPPLDLAGQLAMANRPVHFLSQTPFSAPSSPWVKPSFARNARYFQFPHSSPVSPTTPELSLGHRLPGGDRTRFTPLSPSPGAKVELPSSQLRPESPAAAAATDSNGGGLGEDQQNLEAMLQELHDAIKIEPPKHVSGHAEQNGASGGNQSEGGLLKDDDDIGTLFDMIIPKAFPTTEPAPPTDVPNHSGGSISPHGGDDRNVNLIVDHLPVISSEQDWVLDGACQWNNMSGIC >SECCE7Rv1G0458060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19757201:19759615:-1 gene:SECCE7Rv1G0458060 transcript:SECCE7Rv1G0458060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTAAASRVDFYGFLDRMRRPEAAVLFRSIKSFLASLSLEEPSAEADGARVQAFFAEMEAAIRGHPLWADATHQEIDHALEGLEKYIMTKLFDRTFAASPEDAAADAEVSEKIGLLQRFVRPHHLDIPKVLNNEASWLIAVKELQKINSFKSPRDKLLCMMSCCQVINNLLLNVSMTNDRTPSGADEFLPILIYITIKANPPQLHSNLKFVQLFRRETKLVSEVEYYLTNLISAKMFIINVDGHSLSMEESEFQKHMESAKLGTQMSVAGPSSPQGLATSTSGLQNQIDAEGSRFPFMDSETENLTPAELKQLHGLYRKTVTRYTLLSKALRKLSIDEDQLLASVDDS >SECCE6Rv1G0403000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:494432527:494435069:-1 gene:SECCE6Rv1G0403000 transcript:SECCE6Rv1G0403000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSILGADADWGETSLGDMPESCVAAVLLNLDPPEICQVACLNRAFRGAASADCVWAGKLPANYRYLAALAAAADDESDGDGDGNVKPCSPISTKKGIYARLCRPTPFDAGTKEFWIEKNKGGLCMSISSRAMAITGIDDRRYWSHLVTEESRFHSVAYLQQIWWLEVGGELDFCFPAGSYSLFFRLHLGRAHRRMGRRVCGTELIHGWDAKPTRFQLSTSDDQQATSEYYLDGPGSWILYHVGDFVILNSDELTSLKYSMMQIDCTHTKGGLCVDSVVIYPKGYRRENMNTVYM >SECCEUnv1G0527290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:945207:947873:1 gene:SECCEUnv1G0527290 transcript:SECCEUnv1G0527290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGSAASLLGKVLTPLSDSLVAAYVDSLQLGHNSEQIKAKLAHTRGLLHNAHAHGSHVGDNPGLQWLLEKLSRDADQADDLLDEVHYFQIHDRLHGTNYAVTKDLDGLVRHQALHAGSALRHTLGTWFQCCFCSPTPNRDGGDDAAAIAGAPRRVTLLHCFSCFSTPKSKRNGGGGGVGGGDAAAAGVGGDAAAAPAVAGVTNSNSANSATAEDGDMLLHFDRVSMSSKIKSLLQGMQSHCDSVSNLLGNIPSSSSTAVVLHRPQTASMITQDTLYGRTGIFEETLNRITTVTIGGATQTETVSVLSIVGPGGIGKTTFTTHLYNDARTQDHFQVKVWVCVSTDFDVLKLTREILACIPATEEGGSSSAANGTTNLDQLQKSIAERLKSKRFLIILDDIWKCDGEDQWKALLAPFTKGETKGSMLLVTTRFPKVADMVKTVDPLELRGLESNDFFTFFETCIFGDRKPGNYQYELAGIARKIASKLKGSPLAAKTVGRLLHKDISQEHWNGVLKKHRWLKQKNDDDDIMQSLKISYDCLPFDLKKCFSCCGLFPEDHEFTASEINHFWVVIGIMDSDRNYLEELVDNGFVMKKVSYRNELVDSDILMKEFVCYVMHDLMHELSKIVSAQECLNISDLDFRADAIPQSVRHLSINIEDRYDANFEEEMCKVREKIDIANLHTLMIFRGYEEERIAKILKDSFKEMNSLRVLFVVVKSAQSFPCRFSKLVHLQYLKISSSYYGEMRIPSTLSRFYHLKFLDLDGWQGRSDLPEDFSHLENLHDFHGRSELHSNIRNVGKMKHLQELKEFHVKKESMGFKLSELGALTELGGGLIICGLEHVATKEEAAAAKLMLKRNLQEFKLFWSGRDGPTTADAGILDALGLCIH >SECCE3Rv1G0154180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59268308:59269576:1 gene:SECCE3Rv1G0154180 transcript:SECCE3Rv1G0154180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDECPITTKNFLKLCKINYYNGCLFHKVERDFLAQTGDPTGTGAGGDSVYKLLHGDDLDWFFHDEIRPELRHSKAGTVAMAGAGENRNASQFYFTLRDGVDGLDDKHTVFGMVPEGGGLDTLAKINESCVDDKGRPFRDIRIKHTYVLDDPFDDPPRLAELIPGNSPIGKPRDEIAQERSEVSLDETVDCSEDALSNNVVIVSCDHCGGHIPGVESPYNVLYVSDLNPITQDEDLHIIFSRFGTVKSAEIIRDRKTGDSLCYAFIEFEARQACERAYFKMEDSLIHDRRIQVYFTEGVPKLWAQFWQSKRNANKDGRLKCGAPDHLARDCDQDVEEDSKGTNYVVKDQNTQRGGNNSRSYDLVFDDDGPCADLEDCPSADRNKIRKVEASGLGRPRHEQAGENSS >SECCE2Rv1G0082790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:156641189:156644384:1 gene:SECCE2Rv1G0082790 transcript:SECCE2Rv1G0082790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVACSVKCRPHRRLSAPQPPAALELLPRAPASAAGELRATRCRTPPSLSFARASDQGEARSPPSARRARAAVAGFEEVGPAASAAAAALLAGAQSRHAIFREELVRRAYYAAEAAHRGQVRASGDPYLQHCVETAALLAELGAGPPIIAAGLLHDTVDDAGLDYGSISEQFGAGVADLVKGVSNLSHLSKLARRNDTASRIDEADRLRTVFLAMEDARAVLIKLADRLHNMRTLDSLPKIKQQCFAKETLEIFAPLANQLGILNWKEQLENLCFKHLYPEQYEELSSNLHQFYNRDMIAAAIRRLEQALQVRGLSYRSISGRHKSIYSIYSKMTRKKLDMDEIYDVHGVRVILENKADCFTTLEVVHHLWPRIPGKFKDYVSSPKSNGYQSLHTVVLSEETLPLEIQIRTADMHLQAEFGIAAHWRYKEGVRNCSSSLPEMVEWVRCVVTWQCETLHIDHPSAPGLGSSPRATCTFPSDSDGCPFSYSKQCDHTGPILVILLENEKMSVQELPQNSKILDLLKRASSYDMQLSLRLNSHAVHNLNQELKMGDVLELIPSTPCKSGGYMREFNQMSDHRLAVSQS >SECCE4Rv1G0280690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:822704072:822704713:1 gene:SECCE4Rv1G0280690 transcript:SECCE4Rv1G0280690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPMASMAISAVVVMLLSSAMAAHASGGGEKDITSLVMEACKNISSEPFKVGFLPEFCASTLLSDHRIAEAKDCRGLALITVDILKVHAASMVGKVDNMLRDGGRIATKDKIAARALRLCRADYCGIVNTLQICHNIIQDFGRKGKHGPTPSELPECVDKTTNSIKDCSHEVCSTSVAETMAMANEILEKLAIVSKALINLFLAGKKDGN >SECCE5Rv1G0362970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:789933212:789934998:1 gene:SECCE5Rv1G0362970 transcript:SECCE5Rv1G0362970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASVVACCSLVVMALMAVTATAGPVPAVYVFGDSLADVGNNNHLLTLLKADFSHNGMDYPGGKATGRFSNGKNSADFLADKIGLATSPPYLALSSSSNANYVNGVSFASGGSGVSNATNKNQCITLDKQIEYYSGVYSSLARSLGQVQAASHLARSIFAITIGSNDIIHYAKANAAATPSQQQQYVDALIRSLSGQLQSLYNLGARKVLFLGTGPVGCTPSLRETSSAKVCSAVGNSMAVQYNKAAEGVLSGMAARYTDMHYALFDSSAALLRYIDRPAEYGFVEAKAACCGLGDMNAKIACTPLSSYCSNRSDHVFWDFYHPTEATAQKLIATAFDGSAPFIFPINVRQLSAI >SECCE5Rv1G0311460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:193034255:193036143:-1 gene:SECCE5Rv1G0311460 transcript:SECCE5Rv1G0311460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27695) UniProtKB/Swiss-Prot;Acc:Q8VY77] MVVSTFSGPGIGLGFGVGCGFGVGWGFGGMPLNVFGLGIGGGCGVGLGLGWGFGNAYGCQYRSSRVQFQGIEFQKKSEGDEAPKVASSGLVEKSRPYG >SECCE5Rv1G0297170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:177559:178373:-1 gene:SECCE5Rv1G0297170 transcript:SECCE5Rv1G0297170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQPQPVRTGDVYPPAAAAHDARRQRDEVLTHDGQQQKQDGRRGELRVTETDEPQAGRRVVTATAGGQVMAQFTVPVPGAGVEEATDAVTIGDALQAAAQTSAGERPVDLADAAAVQAAETRATGLGRVIPGGVAAAAQQAAETNMRLGVAEEEKVRLKDVLGSAAAVLPANKVATREDAVAVATAAKRNAPAGGGGGGNGVADAVAAAAEMNERRMTRAGQA >SECCE3Rv1G0172170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:285858223:285860894:1 gene:SECCE3Rv1G0172170 transcript:SECCE3Rv1G0172170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGAGGMGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKKFDAHSETNEQTVQEMLSLAIKYNKAVQEEDELSPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >SECCE5Rv1G0363410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:792875328:792880960:1 gene:SECCE5Rv1G0363410 transcript:SECCE5Rv1G0363410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCAYHSPCFSEDNAWLPQWLQPHRQPTAGERPRDSAGVSSPPCENCVFILDPAQEQRSCWNATVSAGGFSGFRLHLSGDEDTPAASTPTGREVLPFSLHLSSESAAQLSSVQANVSSQIINSAASKGPLKGSYADGHGLEIKAVQEAKELKDDKQLEVCRVASKDISSVQANVSPQTLNSGTFKGPLKGSYIDGQAREIKAVQEAKGLKDDTQQGVRRVASKDVTKPLGARKHQPSGGKVDVQKLRKADANDAVELSIAASEAMVIAEMILDDCQPDKLTAAALEAALRVKEARKQCFREETEHDSWSFQNGLDESDWLAELDEVEMLDVFEDVGLCTVQTACSSQGHNTTNLKQSISQPSCAPHDVDICSSEEQNKEWRSQDACTSDHVPDSLAENSSSGTLVKESSPGCVSVKQPARDKAISCSRNEEAVSQKLTQNNHAFTENLRNPVRGQNVMKEAGIIVEEARFGGRARKHIRTSFISESMDSMNECSPAPRAISTEMVASSRASFLQKNEGFHGEDQSAESCHQVVCSSLSPEDPLCSFVPCSISCNEVSTSQPPECKQRNEENSEPLYRKESLKNDLDLEAGPSSVPLDKAPESNPWMRRIHSSLRPFSMLGPISNISGGSLAHNDDVEGCQKERGTPIILNKNIQRIRASNQFIENNAEAGRLNKFSLVQKSSDAHDDNEHHSKEQYIPSEVLPQPTTYLSVGKRGLKRKGPQLLNAKRSTRQTKSRRVKSRFSWSESRVADMQEPGECTGKKGDIFHGLEFLVTGFQSHKEKEIVSVIRKFGGCVLSKVPSCPFDKKGKLAELVRWKPPVVLSPQKVSTAKFLYGCATDSWILNSNWLFDSLQAGLLLPPGKYLIRQRHTVEISTVGQSVYLRNNKLVFHGVGFLIHGKISFCSKFSNIIKHGGGQVFVSLQGLIQSLKEKSCSRGIILVANEASASRHLSHCGLEHDIKTAPASWIIGSLFSGKLIHLKKDRCAPFRRIKMPSFQQQRAFEMSQEI >SECCE4Rv1G0225980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:102438610:102440595:-1 gene:SECCE4Rv1G0225980 transcript:SECCE4Rv1G0225980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPIMGESQVLVHAEHSQALVRVEDSQALVHAEDSRALVRAEDTQVLVQAEDNQVLVQAEDGDVLVEGDEDQILLEPEKNPVTREQFLFIGQEFTNVDTCRSAIKDMAVALHFQLRVVKSDRSRFIAKCNTEGCPWRVHVAKCHDVPTFTVRTLQGEHTCEGVQDLHHQQATVNWVARSVEARLRDNPQIKPKEILQGIRDQHGVAVSYMQAWRGKERSMAAVHGTLEDGYRLLPAYCEQIGKTNPGSVAVYKGAGPENSFQRLFFSFHASIYGFLNACRPLLEIDKADLKGKYLGTLLCASAVDADHMMFPVAFGVVDSESDENWMWFISELRKMLGVNTDKMPVFTIISERQPQVVEAVEVNFPTAFHGFCLRYVSENFREEFKSPKLLNLFWMAVYALTTAEFDSKVKDMMQVQDVMPWFQNFPPNLWAVAYFEGIRYGHFSLAITEILYNWALECHELPIVQTVEYIRHQLTCWFTERHNLALSLNSVLVPSAEKLISESISDSRCYQVLRANKVEFEIVSSERTNIVDTQTRFCSCRRWQIYGIPCAHAAAALLSCGEDPRLYAHDCFSVMKYRETYSQRIYPIPDRIHWSNSSSGPRGLYKSDMILRPPKIRRPPGRPKMKILKMESLKRPKRIVQCGRCHLLGHSQKKCSLRS >SECCE7Rv1G0471720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:133457256:133460831:1 gene:SECCE7Rv1G0471720 transcript:SECCE7Rv1G0471720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQASRFKRMCVFCGSSQGNKSSYHDAAIDLANELVGGGIDLVYGGGRIGLMGLVSQAVYHGGRHVIGVIPKTLMTPEIVGEMVGEVRPVADMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVEGYYDSLLTFIDQAVQEGFINPAARRIIVSAPTAHQLMEKLEKYVPYYDMVASGLDWETDRLEL >SECCE4Rv1G0282350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829062842:829063978:-1 gene:SECCE4Rv1G0282350 transcript:SECCE4Rv1G0282350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPLVRGVAGKAADALVETVTRMCGLDDDRQTLERHLLAVECKLANAEEMSKTNRYVKSWMKELKSVAYQADDVLDDFQYEALRRESKVGKSTTRKALSYITRHSPLLFRFEMSRKLKNVLKKINKLIEEMNKFGLENSVHREKWQHPCRQTYSKLDGCTKIFGRDDDKMLVVKQLLDQQDQQKVQVLPIFGMGGLGKTTLAKMVYNDQEVQEHFQLKMWHCVSDNFDACTLLKSIIELAVGGRSDIPDTIELLQKKLEQVIGQKRFMLVLDDVWNEDERKWEDVLKPLLCSVGGPGSAVVVTTRSQKVASIMQTLRLHKLACLSEQDSWELFAHKAYNNGVEQEQAELVSIGRRIVNKCRGCLLLSRQWVDC >SECCEUnv1G0529620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8766228:8768397:1 gene:SECCEUnv1G0529620 transcript:SECCEUnv1G0529620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWLPPEIYGNKKDPCGPMYYNGIYHEFYQYNPDGPFNPNTSLNIVWGHSVSTDLVNWVTLEPAIEPDTPNDIKGCWSGSATIVSGDQPVIIYTGVIDTEKHQVQNIALPKNRSDPYLREWTKAGNNPVIRSGVPGLNSGQFRDPTTGWIGPDGLWRIAVGAQLNGYGAALLYKSEDFLNWTRVDHPLYSSNASIMLECLDFFAVLPGSNNGLDMSSAIPNGAKHVLKMGLNFGEDVYVIGVYDLKRDVFVPDTDDSRLWPRIDYGNFYASKTFFDSKHGRRIIWAWTTETDSSSDDVAKGWAGIHSFPRTIRLDSDGKRLLQWPVEEIESLRGNEINHQGLELKRGDLFEIEGVDTLQADVEIDFELTSVDDAEPFDPSWLLNAQKQCREADASVHGGIGPFGLVILASDNMEEQTVVHFRVYKSQKNYMILMCSDLRRSSLTPGLDTPAYGGFFEFDLEKERKISLRTLIDRSAVESFGGGGRVCIMARVYPVSLVDDNHKPHMYAFNNGSATVRVPQLRAWSMRRAQVSI >SECCE7Rv1G0491030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:515565232:515568747:-1 gene:SECCE7Rv1G0491030 transcript:SECCE7Rv1G0491030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMEAAGAALAVALLACMVGAGADGSEHRYKEGDRVPLYANKVGPFHNPSETYRYYDLPFCAPEHPKDKREALGEVLNGDRLVDAPYELNFKEDTNSKILCKKTLSKEQVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKGKGHGGGKCLLFKHIHFDIMYNNDRVIEINVQTDPSVAVDITEDKEVPVEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPQQKSLFAAIIGSGSQLLALAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFSFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMSMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHKWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLLFVRHIYRSIKCE >SECCE2Rv1G0120120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:796873407:796874213:1 gene:SECCE2Rv1G0120120 transcript:SECCE2Rv1G0120120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFGRLWDRAQGKLDVVRICEKVFDELAVLHAEKKAKLLDVKNLHVATLMVYNSINKQLVGPHKDPPCMQAVKEKAEGHRDKNKSEWMTQQEFRELIMEWVRMDLRLVLANKAAVAVLAAPLLAVTAKNAGRQVPRMRDAVDKVPTPLLFVVFSAGLMLLQDIRAGKQ >SECCE5Rv1G0316120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:308302975:308309821:1 gene:SECCE5Rv1G0316120 transcript:SECCE5Rv1G0316120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAEGGGVEEGVGESSSPPRGAPPAPAVSGGSGGGGGAPRDICTQVFERLVADGNEEAAGPDFRVQLEAHFARLPFSYQLDINVDKSADVLVHQKVLAEAKDPLRRPAFRVRFLRVEDMDSAYGSDASDEGADDGDDLSVRQDTPYTHIHEIVFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTVDGYSLDVFVVDGWPIEDTDGLHKALEASVLRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGELIASGSCGDLFHGTYFGEDVAVKVLKAEHLNNNVWNEFTQEVYILREVHHTNVVRFIGACTKPPKFCIITEYMSGGSLYDYVHKQRNVVDLPTLLKFACDVCRGMCYLHQRGIIHRDLKTANLLMDKDHVVKVADFGVARFQDQGGIMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELLTSKIPYDTMTPLQAAVGVRQGLRPVLPEKTHPKLLDLLQRCWETIPSNRPAFPDILTELEGLLAEVQVCAE >SECCE1Rv1G0058990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703349544:703353677:-1 gene:SECCE1Rv1G0058990 transcript:SECCE1Rv1G0058990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSIGTGAMNSLLGKLTALLSDEYSLLKSVRKEIQFLERELSGMRVWLERLADIEEKLDGPAKGWRDRVRDLSYDIEDCIDRFMDRLGRGDAKPKFMKRTARRLKTLWVRHDIATQIKELKARVMEESERRDRYKLDESYYSATKTVEIDPRIIAIHEEVKGLVAMDGPTKHVSALLMDESKELKVVPIVGSGGLGKTTLAMEVYRKIGLGGNFQCQACVSVSRTLDLAKLLKDILSQIDKDAYRHCRSWENEQLIREIKQILTGKRYFIVIDDVWKEQDWKLVKAAFPENNNGSRIIATTRIISVANLCCSVSDEQPYQMAPLDDVDSRRLFFKRIFYSNDSCPSELEEVSARILKKCGGLPLAIITLASLLASKTHDKDEWERLQDSIGTGSSFDKDGNLKGMKDILLHSYLDLPHHLKACLLYLCIYPEDSMIDIKVLKWKWIAEGFIATQWGSLDQVAENCFNELVNRNMIQPVYGNYDSSVKYCRVHDMVLDLIISLSDEENFATVLNGRICNYFPNKIRRLSMQSSGKEQKGAVGAITETKIHVRSLTVFELHKQIPHLVDLHALRVLDIGDCDWLENKHVMHIGSSRQLRYLRIAGSSITKLPGEIGKLQHLETLDLIGCYYLPRLPSTVVQLQTLVRLFVSSNTQLPTNGFRSLQALEELDFQKTDDPVRLAEEVNELGKCNLRCLRTDEQMAKILFSNPCCTYPCLQIIEIQSAIAMVPRGMASLENLMKLCIMVKEFDKEGLQVLMGMPSLAHLQLSVDCASQKKKTDGLRLTFAPGAVPALRRLCLKLSPMLVASSFSVDLGVEHLSGLAQLKVEINCYRAALGRVEALESSVEKAINLHPNPNCEIHVRRVFEDYMIKDEKEWEEAVLKERKVWEEHIAIFLSPL >SECCE4Rv1G0251200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:600845911:600851661:1 gene:SECCE4Rv1G0251200 transcript:SECCE4Rv1G0251200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWGGTTQKCASCGKKVYPVEELAADGRAYHRPCFRCHHCKSTLQFSNYSSIEGVLYCKPHYDQILKSTGSLDKSFEGATRAAKSEKSNGPKGQQNSRFSSMFVGTQDKCVVCNKTVYPLEKVDLNGGSYHKSCFRCTHGGCTLSPSNNVTHEGKLYCKTHHSQLFMVKGNFSQFEENTANAKVAVEKQPETGEATKPDQGDEVSEKTSESELTADEASQNDVAAEKQSQSSIDVAKPSESTVEKSAESESVTESESKSPVVNNKPLESSAEKPQHSSVVDTKPSGSSAAIRKPWQRSLPTNKPLVSSASTEGPSPSDAAIEKPSSSNGTNGKPSDSSTGVKKSWQRNVATENPQQSMLPLDKPASTSADDVKPSESGKVVKKTWQRPVVAENQKQNSGPTEKPSPTSDTKPLDSSTSIKRPWGRRIASEKSLQSSEVDMKPVETSTVVTVLQQPSEEPPQTNAAVLQQPSEEPQQTNAAVLQQPSEEPQQSNADDVKPLEDTAVVVKKPWQLNTRTEKQPQSSVVDAKKTESTGVIKRQWKRNTPFEKPSQSSAAAAVTTTQSNVTVTKPAPSNMAVKKSWQRSITPKSLAASDMATNKALQTKAVSEKPSQESSREKTLQEKAPQITVITEKPPQESSQEKPSQEKAPQIAAITEKPSHEISQEKPSEAKTPQIAATAEKPSQESSQEKAPQMAAITGKPSQESSQEKPLQMNESSEEQLQTEETVEKQLQSEDIADATPQRGLMTQDDVSLKKVPEPEIDATSGENLSGSQSKPTVEQTLQSQDVVVSQEATGQITEAKDDAGIEQSSDSQSVAPAEVPVEQPLQQEKDASTEPSTDAIVKESLQPEFDTAAVKSSEPQTDAAADQSAEQLSEPQSATSVEEPVVHQSDTSTEKPSESQGDATAGESSGPPSEPQSDASGGKPSEPKVDAITEEQSERQVDAAPHDLTEQPLEPEKDGRIEKPLESETNVVTDNSSESSLATETLPESTPKISTTTEEPAQHETSDETLPQSIALETTPESTASVEEPTDVGEASVKISDDISAAEKPSEDDVTPEKPSEEVKASVQPAEDNVPLEKPSEEDEVSAEPSNNIVDPEKPSGDEDDAVKTPEGSMALEKPTTDKPSEEDEVSAEPSNDRADLDKPSEKEVDTAVKPSEDSMAVEEPVTDKPSEEDEASTEPSNVRTELDKPSDEKEDDTVKSSEDSTALEKPATDKPSEEEEEGSASEKPSHDDAAIEAPSHDDTDSATDKPSPATETNIPA >SECCE6Rv1G0380870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:26778831:26779187:1 gene:SECCE6Rv1G0380870 transcript:SECCE6Rv1G0380870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGNNTRVWCLVALLVMSTTFLYCHAAGTRGGLTKVCVAEKACQPPAGLNGDYVCKVDCGRRGYDEDKSHCAADPSGICCCEN >SECCE1Rv1G0050100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644740987:644741412:1 gene:SECCE1Rv1G0050100 transcript:SECCE1Rv1G0050100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDEFEVLWPEYYAGHAQADDEQYKPLPSVQGPATSWQRRAARSRPVDVPPTPSRAAVLLLRWKDGADHQDDLAEKQDGGGKIIVPPHLLVSGRRLSDGEAAAAYTLLRSSGAARHGKRARDLRHLRNSVLRMTGFIEG >SECCE1Rv1G0018170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:181658438:181660175:1 gene:SECCE1Rv1G0018170 transcript:SECCE1Rv1G0018170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKKQLNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTIRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGMKYDPSTGIYGMDFFVVLERAGYRVSRRRRCKARVGIHQRVTKEDAMKWFQVKYEGVILNKSHAS >SECCE1Rv1G0030290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:426615614:426616750:-1 gene:SECCE1Rv1G0030290 transcript:SECCE1Rv1G0030290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTVVGSASTGAGWPCGLNLNVVFLLSMVATNLLSLYHLSIRASPTTPALQSGSDFDQQQVLQQLSAIRATVSHLRSATPPPPPELVLYSRLAPVASACSAHPDLLHSFMSYVPFAACPDDVHTLAEPLILRGCHPLPRRRCFSPTPDAGSVQLPTDPFAPLPDSAVRWPTDAKKCRSFSCLPASLGFDVARTEAGKFLRSLGPLDLTVPQLLRLASMSRAGPIRLGLDVGGGTGTLAARLKRAANATVLTTTMDLGAPYSEAAAARGVVPLHAPLQQRFPVGDGTMDLVRAGHAVNRWIPEPALEFLWYDADRVLRPGGLLWVDHLWCRKGDLDGVYAAMLRRLGYKTIKWAVADKTGNGAKDELVYLTALLQKPF >SECCE6Rv1G0420860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680659899:680662162:1 gene:SECCE6Rv1G0420860 transcript:SECCE6Rv1G0420860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSEKQANGNGEKHHELVCVTGAGGFIGSWVVKELLLHGYRVRGTARHPADHKNAHLLALEGAKERLALCRADLLDRDNLCSVFIGCHGVLHVASPISETDPELMVVAVEGTRNVINAAADAGVRRVVFTSSYGAVHMDPNRSPDTVVDETCWSDYDFCKETGNMYCCSKMMAEITAKEEAANRGLDLAVVVPSMTIGPALQQKLNLGIAFINRYLTGARKTCPNAVAGYADVRDVARAHVLVYERPDARGRYLCMGAVLHRAHFLRLLRDLFPQYPITYKREDDGKPMAEPFRFSNKRLRDLGLEFTPLKESLYDMVVSLQQHGHLPVVPGPDRPRL >SECCE6Rv1G0406430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:544286004:544289165:1 gene:SECCE6Rv1G0406430 transcript:SECCE6Rv1G0406430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGATPATARKALASTTSALLSSSLALHRRSLSCSAASAATAPRIAQQPPDLLRWVQREGGFVHPALRVADHPEYGLGVSATAADGVIPPGVVLIDLPGRIPLRLRRPADAADAVLMQLADQVPEELWAMRLGLRLLQERTKSDSFWWPYIANLPETFTVPIFFPGEDIKNLQYAPLLHQINKRCRFLLEFEKEVKQKLGTVPSGDHPFCGQDVHSSSLGWAMSAASSRAFRLHGEIPMLLPLVDMCNHSFSPNARIVQDGDVESPDMSVKVVAETQIDQNAAVTLNYGCYPNDFYLLDYGFVVTSNPYDQVELSYDGNLLDAASMAAGVSNPNFSTPAKWQQDILSQLNLHGEGAILKVSLGGPDIVDGRLLAALRVLLAADPETVGKHDMKTLMSLSAKAPLGPTVEASALRTVLALCAIALQHFHTKIMDDQAVLKGEPPLTTELAVQFRLQKKLMLVDIMQNLSRRIKTLSPEKSTA >SECCE4Rv1G0241480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:453440852:453441616:-1 gene:SECCE4Rv1G0241480 transcript:SECCE4Rv1G0241480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARWCLGAELWTPKVKVHSSASCSSQKRLLLLEDTPAAGAPPAEEPAGQGQVVKDQGEGEREEHRSQQREVFTIWMKSLVLNGSGCTVFDSRGRIVYRVDNYGSHRSVDVCLMDITGSVVLQVLKKFRRWEGYRCGGWEEPDQSALAPRGRGRPWFTVVSNKWGRGPRCEFRSHGQAVRYKMDGGRRRQQAARASWIVDDATGLAVAEVKRKLTATGVSLGEDVLTLVVEPNVDHSLIMGLLVVHGLINHSM >SECCE3Rv1G0197160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:816417081:816417374:-1 gene:SECCE3Rv1G0197160 transcript:SECCE3Rv1G0197160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKSAEMLMQVLLVASAVAAFLVGYAMADFQLMLLVYAGGVVLTTLVTVPNWPFFNRHPLKWLDAAEADRHPRPQISSTPASTGGKKKTGKNK >SECCE4Rv1G0219140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:28425920:28429609:1 gene:SECCE4Rv1G0219140 transcript:SECCE4Rv1G0219140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLALTALVTVGYQLVFFIITALLRFDKVTDFAGSTNFVIIAVLTAALKGTWHFRQIVLTVLVIVWGLRLAVFLLMRILQWGEDKRFDEMRSNLGKLAVFWTFQAVWVWTVSLPVTIVNASSRNPSIEARDIIGWIMWDIGLAVEAIADQQKLKFKNSPSNRGKWCNVGLWSYTRHPNYFGEMFLWWGVFVASTPVLSGAEWLVILGPIFLTLLLLFVSGIPLLESSADKRFGRSEEYRTYKRTTSPLIPLPPVVYGALPDWFKVAFLLELPLYNPGPERDPVS >SECCE7Rv1G0467830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:91747360:91750069:1 gene:SECCE7Rv1G0467830 transcript:SECCE7Rv1G0467830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPADDDNSDRPFVRSLSLDNLSSLETPDSPPEMFDAVSSRTPTRKGSINARRKEGPPLHVDTTKPRLSPKPDRECCNHKHSHSLPKYGADNLPQNSPVVGMVSPQHQAAAVRVQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRSSVSFFEVEKPETALSRWSRARVRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHFYYKSWLRCDSQQPFFYWLDVGEGKEINLEDHCPRWKLLQQCIRYLGPKEREFYEVTIENKIIMYKLSRKIIDTSEGPKDAKWIFVLSTTKILYIGTKSKGTFQHSSFLAGGATSAAGRLVVANGILKAVWPHSGHYRPTEANFREFMKYLRKRNVDLTDVQLSPTEGEEDEWLRSSLSQMDLTTEVSTLGKQGDTRAQPPRGDKKRIKMAVPAAPPSTSGEAAAGSPGMKRSSSGTRLQRKRPPRLTVSKNRLGKGAGEQGAGAFGDRLDFCKANLFTGRGAEGGEGEEEVAVPQERIMHRINSKMAHKSYQLGQQLSLRWTTGAGPRIGCVRDYPPELQFRSLEQVSLSPRAGAGPPRFGATPRQKSPCTPSPLGAPVTPAAPLLQHGAA >SECCE5Rv1G0347490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:667098687:667103005:-1 gene:SECCE5Rv1G0347490 transcript:SECCE5Rv1G0347490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSALACYTAALILLLLPFRASEDRLVPGERLSPGATIVSDNGAFALGFFNPSNSTPASLYLGIWYNGIPELTVVWVANRETPFTNSTSSTPTLSLTNTSNLVLSDGSSGLVVWTTDVAAASSSIAAEAVLENTGNLVVRSLNGTTLWQSFDHVTDTFLPEMKIRIGYATRGTGIRLVSWKGPDDPSPGRFSYGGDPDTLLQLFLWDGARPLGRTGPWTGYLVNVERQYRQVNDRAAVIIYQAIIDNDKENYMTYTVSAGAPLTRYVVTHSGDYQIESWSTSSSSWLILAKWPSPECDRYGFCGPYGYCDQTATPMPTCKCLDGFEPASTDEWAAGRFLAGCRRKEALRGCGDGFLSLTEMKAPDKFEFAGGNTSTMEECAAECSNNCSCVAYAFANLGSGRSGGDVTRTRCLVWAGELIDTGKSGEGIGSTTLYLRLAGLDAAGKRTKSTASTILLAVLGTGVVVFLCIFVAWLKFKGKKNRRKHENATFAGMSSSYELEEGNPPHDHEFPFVSFEEISLATNNFSETCMIGQGGFGKVYKGSLGGQEVAVKRLSSDSQQGTKEFRNEVILIAKLQHRNLVRLLGCCGEGDEKLLIYEYLPNKSLDATLFDESRRLTLDWTTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDVEMKPKIADFGMARIFGDNQQNASTQRVVGTYGYMAPEYAMEGVFSTKSDVYSFGVLVLEVVTGIKRSSNSHIMGFPSLIVYSWNMWREGKTEELVDSYTMDTCSLDEVLICIHVALLCVQDNPDERLLMSSVVFVLENGSTTLPAPTCPAYFTRRSAEMEQIGDDIQNSGTSFTLTEIDGR >SECCE3Rv1G0155670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:68067397:68068539:-1 gene:SECCE3Rv1G0155670 transcript:SECCE3Rv1G0155670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRCCVLTQLLSYPPPSPASHLGRLISAVAPTISPNPASFAIEDYLLETCGLARPQALEASAKLSHLKSPANPDSILAFLACLGLSGADVTSVVAKDPPFLCAGVEGTLAPIVAGLAGLGLSRSEIAHLVTLSHDRFRSVSIVSNLQYYLRFFGSFQNLLPTLRCGLCLLSANLEIVVKPNVAFLRECGLGDSDIAKLCVAQPWLLASNLERVREVVALAEGIGVPRGCKMFRHTLHAVGRLSEEKIAAKVGYLKRTFRWSDAEVGIVVSKFPFVLISSDQMLQSKSEFLISEAGLGPAYIAHRRALLLYNLEGRIKPRYYVLKFLKENGLLDHDRDYYNAVMLAEKVFVEKFICPHKEAALHLTKDYDGACKGGNAG >SECCE6Rv1G0417700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659418208:659422548:1 gene:SECCE6Rv1G0417700 transcript:SECCE6Rv1G0417700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEKKKKEAAAAAAGQSPSAGVPLARLTGGGSGEIRIIERVVRESEGSSASMMLTKTNYMEWSLVMQVRLQAAGLWTVVTNGADDERDDRRALSVILTGVPPEMLRVLAAKDTAKTAWETIKTLRMGSERVREAKAQVRRREFEELRFKDGETVEDFALRLTGVVADLELYGDPVTEHKAVQKFLRVVPRKYRQMAMAIESLIDLKTMTVEELTGRLSACEDHYGLDDAAHSSGQLLFTAEEWAAREHKYGGGSSSGGSGGKGKSPGKSPAKGGGGKSASSNGGTGGPSSSGGKKKGKCHHCGKIGHWKKDCWSWLKEQKEQGKKEQANLVREGGDEHDQGLFMAVVINTSTPELVAPQAVFLNEEKVIPVPSPDGLWYYDTGASSHMTGERSMFATLDEGVHGTVKFGDGSLVAIKGRGAVVFRCQNGDQRALSEVYFIPSLRSNIISVGQLDEGGCKIEIDDGIMTIQDPGRRTLARVKRTASRLYTGVLTIDAPACLLTKTEDVTWRWHARMGHLHFRALRAMSSKKIARGMPVIDRVEEYCDGCALGKQHRAPFPQVAGYRAEKGFELVHTDLCGPITPTTPGGSKYFLFIVDDYSRYMWLELLKSKDEAYQKFKKVQAMAENEGKCRLRAFRSDRGGEFNSIEFREYCEQLGIKHYTTTPYSPQQNGVVERRNQTVVEMARCLLKSMFVPAYFWAEAVKTAVYLLNRAPTRSLDGVTPYEAWHGHKPSVLHLRVFGCVAHVKKLGPGVTKLSDRSTPMVFIGYEEGTKGYRVYNPATQKVQVTRDVLFEESRPWSWSTQGAVTAPTPTTFTVVYSNGHGVHELDTGGSPASTPRSASPRSPYTPPLGSPLNSADTPVASAPGTPQSEPCTPAAVKEMRWATPPTHDDGRDVDSGPIRYRRLSCIFDETEDEAIREELGLCLLTAEEPRDITEALNDGAWKVAMDSEMASIGENNTWELAHLPAGHKAIGLKWVYRVKRDPDGKIVKYKVRLVVKGYVQREGVDFEEVFAPVARMETVRLLLALAAHSGWEVHHMDVKSAFLNGDLAEEVYVVQPPGYVTAGKEQQVLRLRKALYGLRQAPRAWYAKLDETLGTLGFTRSPLEHAVYRRGDAHSFLLVGVYVDDLIITGTDQASIIEFKGQMQELFKMSELGLLSYYLGIEVTQTKDSITLCQRSYAEKVLEVAGMDGCNACQTPMECRLKMKKDDEAKAVDASLYRSVIGSLRYLVHTRPDITHAVGIVSRFMEKPTVTHWTAVKQILRYVRGTLNYGCCYRRGSGKAELIGYSDSDHAGDVGDRKSTSGQIFFLGENPVSWTSQKQKIVALSSCEAEYVAAAAATCQGLWLSRHLAEMRGEEEASSFKLLIDNKSAIALAKNPVHHDRSKHIDIKFHFIRDSVETKEVQLDHIGTDDQVADALTKALGRVKFVELRQRLGVKVISKGR >SECCE1Rv1G0060090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:708701115:708701579:1 gene:SECCE1Rv1G0060090 transcript:SECCE1Rv1G0060090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLVLLLLVGAAIAPTLAAGVSPAVNTSMLPAAGSANDTLQRGIIATNQIAHNVTATLGIISDLVRDLNTCTRLYMTMAKDVAAALDHLHAGRVDNAADKLNDAVGAPSDCDIVLMGIGEIEKVPRDPIESENDENDRLIQLAIDILDPPSS >SECCE4Rv1G0296860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:905023567:905064676:-1 gene:SECCE4Rv1G0296860 transcript:SECCE4Rv1G0296860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYYQIASVLYDMTVTPNFEESEDEKSGDEESELVPASLAPIVPILRAAKEIEEENPRVAYLCRVTAFEKTHKMDPRSSGRGVRQFRTYLLHRLEKDEKETSRRLASTDAKEILKFYEQYCRKNLEGLHTRKSDKMGRYYQVASVLYDVLNTVAPGNHNSMFDQFAKGVEKEKASFSHYYNMLPLNIPGPPQPVMEIPEIKAAVDLLRGISNLPIPRQDKTNVPEEIEGPIVHDLLDWLRQTFGFQKGNVENQKEHLILLLANVDMKRSGGSYEREGQSHMIDHYTIDDLMKKVFQNYISWCMYLHLESNIKISDDASTQQQKLLYMGLYLLIWGEASNVRFMPECLCYIFHHMARDLYDVICSKREGSFDPIIYEEGSDDAFLHLIIQPIYNVIQNEAVMSKNGTVCHSKWRNYDDLNEYFWSKKVFKKLGWPMDPASDFFTHHVKINALRHDYSVSRRRISKTNFSEVRTFLHLFKSFDRMWSFFILAFQAMVIIAWSPSGSLFAIFEPDVFRNVMTIFITSAFLNFLQATLEIVLNWKAWRSLLRSQLIRRILKFFDALGWFIILPVTYSTYIQNPTGLMKFFSNCIGNFQSQSIYNFAVALYMLPNILSALFFIFRPMQRTLEHSNYHTIRFLSWWTQPKLYVARGMYEDTCSCLKYTTFWILLLICKLAFSYYVEISPLVGPTKIILALGQGGYIWHKSFPCLQHNLDVVFTIWAPIFMVYLMDTHIWYAIISTICGGVNGAFSRLGEIQTLGMLTSRFYAISRAFGKHLVPRHGSLPKIHELQIPIALEKARSVKKRDAELLMRIKQDPYTYYAVIECYEALLDILYSLIPETSDRKVMDRIRESLESSIHNGTLVRDFRLDELPQVIAKCDKLLSLLLKIEQEDLDTTIRTQIAILLQDTMEIITEDIMKNGQGILKDEDRETQLFANIYLDSIKDEDWRGKCVRLQQLMTAKESAICVPTNLEARRRITFFANSLFMKMPTAPQVRMMMSFSVLTPYFKEEVLFSKEDLHVKNEDGISILFYLRKTYIVRGMMYYRRALEIQCIHDKTDIAKSDRERTTSSYQEGGSNIVDMAMAITDIKFTYVVSCQVYGKQKASKDPREKNQYLNILNLMIMYPSLRIAYIDEVEAPNRDGTIEKTYFSVLVKGVGEKYNEEIYRIKLPGKPTDIGEGKPENQNHALIFTRGEAIQVIDVNQDNYLEEAFKMRNLLEEFESKKYGNRKPTILGLREHLFTGSVSSLSRFMSNQDNSFFTIGQRVLANPLKVRFHYGHPDIFDRIFHITRGGISKASKTINLSHDIFSGFNSTMREGNITHHEYMQLGKGRDVRMNEISTFEAKVATGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVIILTVYVFLYGRLYLVLSGLEMSILLDPRILKNIKPLENAMALESVFHLGLLLVLPMLMEISLEKGFRKALGEFVIMQLQLAPLFFTFQLGTKTHYYGRTILHGCTQYRPTGRGFVVCHAKFADNYRMYSRSHFVKGFELLILLVVYIAYGSSYDSSNLYLFVTFTIWFLVGSWLFAPFIFNPSCFEWHKTVDDWTDWRNWMGNRGGIGMSVEQSWEAWWIGEQDHLRKTSIRGLLFEIILSLHFLIYQYGIVYHLNIAHGSRSILVYAFSWLVMLTVLVVLKDGRFGTDMKCMFHIIKGLLFLGFLFVMVVLFAVYHLTISDVFASILGFVPTGWWILLIGQECSPLVKKTMLWDSIMELGRAYENIMGLILFLPIGFLSWFPFISEFQTRFLFNQAFSRGLQISSILRGQKDIGEFD >SECCE7Rv1G0521570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874307660:874309003:1 gene:SECCE7Rv1G0521570 transcript:SECCE7Rv1G0521570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWFGREAEVDQAAAGIQTFALGLNKRLADDAGRSGNQVFSPLSVYAALSLVAAGARDRTLDELLGVLGAPSRDFLAGHVRALTQQVLADRSPTGGPRVSFACGVWHDRTIPLRPAYRDAAAGSFKAVARAVNFRQKPEEAREQINAWVSASTNGLIPSILSRGALSHLTDLVLANAIYFKGKWAEPFDKYRTKNNKFHRLDGAAVDAPFMRGLGMHRIACHDGFKVLKMRYEQGRPLPPQLPAPAQAPGPIYSMCVFLPDARDGLWRLTDKIACNPDFLRKHLPRNKVLVGDFRLPKFKVSFGMTMNDVLREMGAKEAFELGKADLSDMAEDGARRKLALERVIHRAVIEVNEEGTEAAAATCMVPATCSANPAPPPPCVDFVADHPFAFFVIEEVSGTILFAGHILDPTIN >SECCE4Rv1G0244370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:514456395:514459326:1 gene:SECCE4Rv1G0244370 transcript:SECCE4Rv1G0244370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLLPIRVPSLAKPTALRFAKQMASNTSKKLIQIDVSSDTVCPWCFVGKKNLEKAMEQTMDKFDFEVRWHPFFLNPDAPKEGVKKSDFYKTKFGPVQFERATARMREMFRGLGFEYDMSGLTGNTMDSHRLITLAGHQGYDKQNALVDELFISYFCQGKYIGDRQVLMDAARKVGIEGAEELLLDPSKGVDEVKEELNKYSSGISGVPHFVINGKYQLSGGQPPNVFMRAFETAAKDAAE >SECCE3Rv1G0207770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:924298764:924300361:1 gene:SECCE3Rv1G0207770 transcript:SECCE3Rv1G0207770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIQPNLLNQLVSLLLGASVAGVLIFFMSSDGIGAGPSTAGVSSWVNGTMAVPVAPAAQEANRTSASKVDGVASPQEASHNTSQAAEEELQRLLRAVADEHRTVIMTSVNEAWAAEGSLLDLFLESFRGGERIAHFVDHLLVVALDAGALERCRAVHPHCYLLPPLAGGKDLSDEKVFMSKDYLDLVWSKVRLQQRILELGYNFLFTDVDIMWFRDPFERMSVAAHMVTSSDFYFGDPYSPVNAPNTGFLYVRSSARMVGVFEAWRAARLSFPGKHEQQVFNEIKFELVDKRGLRVQFLDTVHNAGFCNNTRDFNTLYTMHANCCVGLAAKLHDLGNLMKEWRAYRGMDDAQRRRGPVRWKVPGICIH >SECCE1Rv1G0054460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675019821:675024198:1 gene:SECCE1Rv1G0054460 transcript:SECCE1Rv1G0054460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVAVLDNPTAFLNPFQFEISYECLVPLDDDLEWKLTYVGSAEDETYDQQLESVLVGPVNVGTYRFVFQADPPDPLKIREEDIIGVTVLLLTCSYVGQEFMRVGYYVNNDYDEEQLREEPPAKLLLDRVQRNILADKPRVTKFPINFHPEPGTSAEQPQQDAEQQQQPTSPEPQTALVEPQMAPLESVTCDELKPIAANQ >SECCE7Rv1G0483230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:318992270:318993341:-1 gene:SECCE7Rv1G0483230 transcript:SECCE7Rv1G0483230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETVAKRAREGEGAAAAEGAGEKAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQDVLVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQFFPHLALGFEDPRVSLHIGDGVAFLKNAPEGTYDAVIVDSSDPVGPAQELFEKPFFQSVSRALRPGGVVCTQAESIWLHMHIIEDIVTNCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPSVDFQHPVFSIEEDEYSTKSKGPLKFYNSEFHTASFCLPSFARRVIEAKAN >SECCE6Rv1G0448250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:854800730:854802082:-1 gene:SECCE6Rv1G0448250 transcript:SECCE6Rv1G0448250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAISVCFGGRTRRPSKGRRLVAAAAGQVARWARRLCFSLPKRPASAVIQQLDAHGDNDQDCWSGLPEDVLLTAMALMEVTDVVRAGAVCSSWRSAYAEFRRLRLPAKPNPPPCLMHATADGAAAIYSPSTGATFPCGGGGDGFIIAGSAHGWVLAADVATANPCLLNPLTGARAALPAVATLARVKGTFFDDDGTAVYDVDHAFGNATPDMQLVTARTVRNWMFRHIAISAAGVVLLVHMPHGEASYARPGDERWTSLSSHLEPDAIAVVHNDGDGLFYVLCKGGGILFAVVVDGPAAPTAWPMSYLFTIFEPRHTHYLVLRGGELLLVTRQLLLTRPRESEKVVSTTGICIEKVGLGRSKLVTLDGIGEDYALFLGYGSPICLPVKEYPMLRRNCAYLADDSEQHFPPITRRDVGIWDFESKSMSRFEDLHPWLDNQPPIWIAPSLY >SECCE4Rv1G0274280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783614720:783615539:1 gene:SECCE4Rv1G0274280 transcript:SECCE4Rv1G0274280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQEQGLKLLVPGSGVSIYAIRVQMALAMKGLAYDYLPEDPGCKSDLLLASNPVHKTLPVLIHGGRPVCESLIIVEYLDDAFPGNGASILPTDPYRRAIARFWAAYVDNKMFPSCIGILNTAKQQERADKVEETMMAFGLLEAALAECSKGEAEGEASFFGGGSIGFLDIALGCYIPWFEAIGRLAGMPPFLDTSRTPKLAAWAGRFRAAEPVAALLTAVDKVEEYITKVLYPKWNVAVTAGN >SECCE6Rv1G0387810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:123029791:123035758:-1 gene:SECCE6Rv1G0387810 transcript:SECCE6Rv1G0387810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRRYKRLDLELTNPRGHTLKCSHYLPASVPENIALPCVIYCHGNSGCRADANEAAVVLLPSNITVFTLDFSGSGLSDGDYVSLGWHEKEDLKCAVSFLRTNKQVSRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFTNLYGLMLELVDVYKIRVPKFTVKMAVQYMRRTIQKRAKFDIMDLNVVKLAPKTFIPALFGHGLNDMFIQPHHCDRIHEAYGGDKSMVKFEGDHNSPRPQSYYDSVSIFFYNTLRPPQLPASGSNKLHVKIGTMTNESLFFEIINGLRAARTDAGSSSTDAHGFRKSTTSVVELLSESANQLSIKNDNDLDFLLDENHNLSGADGDSVGLHLQDKTSRHNEESCSYTSSNRESWGRCSSLGAASDGSFSRGLGDKHENMTVNALATPRRHEQRKLAKSSPPKTKEKKIHALWKKLKREREEMGDSLSQRLRMCLGQSPRHRRTQSSSGQQL >SECCE7Rv1G0463060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:50852933:50853331:1 gene:SECCE7Rv1G0463060 transcript:SECCE7Rv1G0463060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQVASPATVGDNTMATTAWSYVEYMARWERQVERRQLFLRSYHFSRDADIPPVRGRTRRVVWAGARRLRRAAAQGLRRLRARIRLCFGWAAPALRRRSSPRRAGHGFRYGRIPRATKASPAANAASVCFW >SECCE5Rv1G0297440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:2561838:2562371:1 gene:SECCE5Rv1G0297440 transcript:SECCE5Rv1G0297440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHLVYPRSLALVAIVMAASWMCSLPRCSADKTVTPMTTAGASGDNVPVPAAAGVPVGSPSATPAATAATLATTIASKKIPLPVPETLPGAEGLGFGGGYGGGQTVPGGGLGGYNGDQGFFGGCCGGFGYNGGPGYNNGPLFFNSAPATRLRLRMVATGNGFAPLLVAGAAAMFYA >SECCE2Rv1G0132430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:891934824:891936376:-1 gene:SECCE2Rv1G0132430 transcript:SECCE2Rv1G0132430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENDO1 [Source:Projected from Arabidopsis thaliana (AT1G11190) UniProtKB/TrEMBL;Acc:A0A178WKD4] MASSGAFIVAAVLGLVLASAPAARAWSAEGHMLTCQIAQDLLEPAAAQAVKNLLPEEAGGDLSAMCVWPDQVRHWYKYRWTSPLHFIDTPDKACTFDYARDCHDPSGAKDMCVAGAVANFTSQLMHYKQGSADRKYNLTEALLFLSHFMGDIHQPMHVGFTSDMGGNSVNLRWYKHKSNLHHVWDREIILTVLAERYGKDMAAFRKDLQHNITKGSWSDESSWKECADLMSCPTKYATESIGLACKWGYDGVHDGDTLSEDYFASRLPIVTRRIAQGGVRLAMFLNRAFGDHKAHGRDVAAPANLVAAHADEL >SECCE2Rv1G0129200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:867124031:867127162:1 gene:SECCE2Rv1G0129200 transcript:SECCE2Rv1G0129200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTGQSRALLLLLLAAAAAAAPEHEAFLRLPSSARSLAPQTPRSAGADLIRALNLHPRDAFPRRPGGVGDALPAGTLVERPIRLASLVAGDDDGGGNTSVSNLGHHAGYYRLPTTHDARLFYFFFESRRHKKEDPVVIWLTGGPGCSSELALFYENGPFHIADNMSLLWNEFGWDQESNLIYVDQPTGTGFSYSSDSRDTRHNEATVSNDLYDFLQAFFKEHPEYVENDFYITGESYAGHYIPAFATRVYKGNKNNDGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMGLITQSEFTKINKIVPACEFAVKLCGTSGTVSCLAAYFVCNTIFSSIRLIIGNKNYYDIRKPCVGSLCYDFSNLEKFLNLKSVRQSLGVGDIEFVSCSPTVYQAMLLDWMRNLEVGIPELLENDIKVLIYAGEYDLICNWLGNSRWVDSMEWSGKKAFVSSTEKPFMVDGKEAGVLKSHGPLSFLKVHDSGHMVPMDQPKAALEMLKRWTSGNLSDAASSGSQRLDFAM >SECCE1Rv1G0056860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689557307:689558946:-1 gene:SECCE1Rv1G0056860 transcript:SECCE1Rv1G0056860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAFITACLAVALVSLLIVIAGRRWGARGDGQRQPPGPWQLPVIGSLHHLILAGQLPHRAMRDLARRHGPAMLLQLGQVKTLVVSSREGAREVLKYHDTMFATRPLSTTMRVLSYGGQDIVFSPYGEYWRQLRKIAVSELFTARRVLSFRDIREEEVATALRVVGEAAAAARPVEMRTVLSTLVTDSTVRAVIGDRCKEREAFLRELDRIVQLASGFNLADMWPSSRLAAWFSGAEECRHTLYSMLDSIVEEHLGRMDDGGGHAEDLLDVLLKIQKEGGLKFPIHMDAVKAIILDVFSAGSETTTTTIEWAISELINNPMAMQKATSEVRQAFQANGTVTEHGLSELPYLRLVIRETLRLHPPLPLLFRECQEPCQVQGYDVQQGTQVLVNAWALSRDERYWPDAPEEFRPERFEEESTKADFGGGDFAFVPFGAGRRMCPGMAFGLAGVELPLASMLFHFHWKPPGPGSAELDMTETFGLTARRTDQLLLHPILRVPIPGV >SECCE7Rv1G0461510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:38214626:38215246:-1 gene:SECCE7Rv1G0461510 transcript:SECCE7Rv1G0461510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAACCNTPSVNLLSLLLLLSGALLVAAADNSVKDACSKAPDQQFCVAFLAGIPESSTVDARGLAELGIRAAAKIGAAEGTAARTQLNLVTIKGPQWQCMDSCVADVEEAVSHLDVDRGKGGVTAMDDAKFNDARDYVESAEKDGLTWNCDLCRDGLTAPVKTGLLPKGNEFEKIMGAVTALIKRSGGSAAPAPAPGPSRTRREK >SECCE3Rv1G0186640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:688030596:688035985:-1 gene:SECCE3Rv1G0186640 transcript:SECCE3Rv1G0186640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 8 [Source:Projected from Arabidopsis thaliana (AT1G79270) UniProtKB/TrEMBL;Acc:Q9FPE7] MEPNPKTELAHKPIEEAVENLKIDASTKASNGNLTLQPAAKDASSSDAISCISSADAASTAAKDAEMNQGTYMGDQGMYYYGYYYPGSFGGWDENSYYAGSNGLEMQPTVVQAENGSYLCYVPGYENGYTAYSPVVPGTGVDGQYVSKDPYYSAVIPVQDPSTPGMYAQPIAYGPELVPAYTWDPYVLLDGVQGHPVGVQQTNYPTRSNYPSNKHAVPSSKASRSTKYASGTTKGSSSAVDTVPTSANNHSSSKFANKASGASITKGHLPSSKFVAHTNQGKGSLYQSKGINLNESGRNCNGNEKLKARSKLNEFGDHDISDKHNDDSKNSLNPGADRFGLSGVQEVNDDIPSLVAVRRDSYNLPDFVTKYEQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAYKIAQERMAGKGTKCPVFLFFSVNASGQFCGVAEMLGPVDFNKSMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFLQGVEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLTTSLDQLMPKDEKSAESEKQAQSASNVEHDNAKRNEEQSNDVATDVDTAKTSQEQSNLDAAHLDTAQTSQKQSSVDEADLDTAKTNQKQSNLDAADLDTGKTSEQSKVVAADVDTAKRSEESNGVAAHVDASNRSEEQTSSVAAAG >SECCE1Rv1G0048970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:638199726:638199995:1 gene:SECCE1Rv1G0048970 transcript:SECCE1Rv1G0048970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATFSSAFRACTTGAVEDEAPSAAPTSWPELVGYSVIKAASLILKDRPEVRVYFYDYEKDSPPPGFNPKRVVMFCDSGNRVVVAPKLG >SECCE1Rv1G0009060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:51923066:51924724:1 gene:SECCE1Rv1G0009060 transcript:SECCE1Rv1G0009060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAPPAAMSALPTFASSHPYPSLPTPKTAAPKPRLNLAYAGVAAAPNHVPHRSAASNDRLRGLVRRGDLEGALRLVESMAGLEPSAAAAGPCAALIKKLCASGRTADARRVLAACEPDVMAYNAMVAGYCVTGQLDNARRLVAAMPMEPDAYTYNTLIRGLCGRGRTDNALAVLDDMLCRGCVPDVVTYTILLEATCKRSGYKQAMKLLDEMRDKGCAPDIITYNVVVNGICQEGRVDDAMEFLKSLPSYGCEPNTVSYNIVLKGLCTAERWEDAEKLMAEMSHKGCPPNVVTFNMLISFLCRRGLVEPAMEILDQIPKYGCTPNSLSYNPILHAFCKQKKMDRAMAFVELMVSSGCYPDIVSYNTLLTALCRGGEVDAAVELLHQLKDKGCTPVLISYNTVIDGLTKAGKTEEALELLNEMVTKGLQPDIITYSTISSGLCREDRIEEAIKAFCKVQDMGIRPNTVLYNAILLGLCKRRETHSAIDLFTYMVSNGCMPNESTYTILIEGLAYEGFVKEAREMLAELCSRGVVSKTLVNKGAIRLLDA >SECCE4Rv1G0253060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:621638475:621642777:-1 gene:SECCE4Rv1G0253060 transcript:SECCE4Rv1G0253060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEHEVYGQEIPVDGEDVDMGAGGDDAAKLHELDEMKRRLKEMEEEAAALREMQAKVAKEMQGGDPNATTSEAKEEMDSRSVFVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFVEAEAIQEAVKLSESELHGRQIKVAPKRTNVPGLKQPRGGRGFNPYGGHPYMRPYPPPFYNPYGGYGRAPRFRRPRRPFY >SECCE1Rv1G0031790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:446713056:446713499:-1 gene:SECCE1Rv1G0031790 transcript:SECCE1Rv1G0031790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAIFVALSLLLSAVAAHGCGPYCQPPVIVPTPPVVVPPPYHGGGGHGHGHGHGQGGQCSISVLNLKVCANVLGGQPGLKIGVPTHDQCCPLLQGLADLDAAVCLCAAIRANILGLHLNVPVDISLLLNHCGKTCPSGFTCPAH >SECCE3Rv1G0187440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:699469274:699471133:-1 gene:SECCE3Rv1G0187440 transcript:SECCE3Rv1G0187440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSPPRRTPTTGGRQSPFFRDLARAIPSHRTSGSLEPSSTPPPPLFTLDDRLNAQDFSPDRSASNLLPIAPSPSPPTRASSASRPPSWDRSRAIPPGPCSLSDWIVEPARKEVLALPPPSPPPTRAANAEVRSPRIPLPAPSRTAPSASATGADGEEWVTVFGFTARETNLVLREFGKCGVILRHYSGPRDGNWLHILYQHQYDARRALEKNGIQLFSGVAIGVKITDPVQRQMDEKMNESNYKGFMVSLPPKSSIQNAGASSNLGDLPRPYDPKAGRNVNRDMGCSTGSVAMPAKSVLAKTMDLIFGI >SECCE5Rv1G0320750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:392065580:392071356:1 gene:SECCE5Rv1G0320750 transcript:SECCE5Rv1G0320750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 38 [Source:Projected from Arabidopsis thaliana (AT2G40650) UniProtKB/Swiss-Prot;Acc:Q8LB54] MANRTDPLARSIHGTNPQNLVEKIVRAKIYQSNYWKEQCFGLTAETLVDKAMELDHTGGTHGGNRRPTPFLCLALKMLQIQPDKEIVVEFIKNEDYKYVRVLGAFYLRLTGTVADVYQYLEPLYNDYRKIRQKLSDGKFTLTHVDEFIDELLTKDYSCDTALPRIQKRWVLEASGTLEPRRSALEDDFEEEEEDKEDGQPMDVDEPNTREKDHLRGRSPTKERDRDRDRKHERHHRDRDHDRDRDHDRDYGRGRERDRDRDRGRERDRERDRERDRHRIRDDDYNRDRDRERDRDGRERERRDRDRGRHRSRSRSRSRDRRERDREEGELRRRRGRGSASPPRGRAEDGPREEPKKRKEKKEKKGGPDPNDPEIIEMNKLRASIGLGPLK >SECCE2Rv1G0105240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:617249904:617253707:1 gene:SECCE2Rv1G0105240 transcript:SECCE2Rv1G0105240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSSMCGGDAGMKCVVWFRRDLRVEDNPALAAAARTAGEVVPAYVWSPEEDGPYFPGRVSRWWLSQSIKHLEASLHRLGAAKLVTRRSADSVAALLQLARDTGATHLFFNHLYDPISLVRDHRLKEMLTAEGIVVQSYNADLLYDPWEVNDDEGQPFNMFMPFWNRCLSMPYDPPAPLLPPKRINSGDLSMCPSDDLIFEDESERGSNALLARAWSPGWQNADKALNAFLNGPLIDYSVNRKKADSANTSLLSPYLHFGELSVRKVFHLVRMKQLVWSNEGNHAAEESCTLFLRSVGLREYSRYLCFNHPCSHEKPLLAHLRFFPWVVDESTFKFWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDSRELDHIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPASVLQAAGVELGSNYPLPIVELDTAQVKLQEALSEMWQLEAASRAAMDTGMEEGLGDSSDVPPIEFPQDLQMEVHWEPARVAPNVLTTAQRRQDQMVPTMTTSLNRVETEISADLGNSVDSRAEVPFRMHFEPRTEREEMIQSTGNAAGTNGIHHHNNFQQPQHRIRNIFAPSVSEASSGWTGRDGGVVPVWSPPAASGHSETYGADEADVSSRSYLDRHPQSHRIMNWHQLSQSLTTGRDVENSVQPNFIG >SECCE1Rv1G0061160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:713779923:713781143:1 gene:SECCE1Rv1G0061160 transcript:SECCE1Rv1G0061160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRSTEVTVLPKDETWPFLLRFPICAFGMCLGVSSQAMLWKTLQSEPSTKFLHVHPLVNHVLWWVSIALMMVISITYLLKIVFYFEAVRREFHHPVRVNFFFAPWIACLFLVKGLPHPVWEIHHDVWYVLTAPILCLDLKIYGQGMSSGERRLSKLANPSNHLAVVGNFVGALLGARMGLRELPIFFFAVGLAHYVVLFVTLYQRLPTNVQLPKELHPVFFIFVAAPSVASMAWTRISGEFNNGAKLLYFVSLFLYVSLAVRVNLFRGFRFSLAWWAYTFPMTSVALASVLYASEVDNMLTRALAVGLSGIAVVTVTGVLATTMYHDIFPNDVSIAITRRRPKFSKILAHLRSSGSDVKELVLPVPNFSSNSKQGAYSDDAGSKSRMSGGVGESPVAHGHGRIEC >SECCE6Rv1G0386690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:99646730:99647119:1 gene:SECCE6Rv1G0386690 transcript:SECCE6Rv1G0386690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELDQLELLSHQLPPVQTLSANEDGVAVHADDGCATPTSEASMLRAPSLCPPAPRKPRPARAKRRQQHCYYRGRRRRCNSGPAHARYWIVAVPHDLAAVFVARRPPSPSSSPCRLPEGKKIRVHVVG >SECCE1Rv1G0035540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:499435521:499440165:1 gene:SECCE1Rv1G0035540 transcript:SECCE1Rv1G0035540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDALVPYVKKMITSMAEEEMRMLLSVSSEIKRLEANLVYLQGYLADAERRRITDKSVKVWVGRLKDAMYEATDILELCQLEAMERPEESGRNASGSSRFCSLVGQLKKKLQGFLEPLLFCLQNPAFAHEIGGRIKRLNEELDGVRKDAAAFNFHSNLGSYKERRQPSDLASRSRISRTTPGFDESSIVGDKVKMDTEELVHKLTSHGHDMASARVKVVSIVGPGGMGKSTLAKQVFAQEAIKVEFKTRIWLGITRCFDKAELLRAAITHAGCKHGGERDESMLETALTDALSANRFLLVLDDVWSDRAWKEVLQVPVVNAGRRQPGSRVLVTTRNEDVALRMGASSSDQLHVSKLDDEDAWSLLKKQLPQLQDDESHFDQLKDVGMKIIEKCDGLPLAIKVMGGLLSTRRPSEREWEIVLNKNLEWEEHGSQEDLNYSVHLSYDDLSPELKQCFLYYSLFPKGSEFLEDMAISMWISEGFVQADERSESDQLDLEEIGAEYHRELVARNLLEPDESAESGWAYIMHDVVRSFAQFVAREEALVVLKEQTDIRGLLSHNQRIRSLSMKLTDSVLEWDILEKLESLRTLVIDCNFKPGSGSGPTLASCFASLRALDISFADCDWLVDHLSQLRHLRYLSFTDTDVSRLPHDIHKMKFLEHIALFNCRKLDKLPDGITKLGRLRYLSIDGSSVDVVPKGFGALTNLRSVYGFPVKMVGDWCTLEELEHLSHLRTLRIHGLENVPGSGSIASRAMISSKKHLSFVDLICHMNEEEDGVGGEQVPEKDQERIEAVFDELCPPPYLVDLFMSGYFGRRLPYWMRAPTATAFKSLTGITLTRLRCCTQLPTGLCGMLSLEGLAIDWAPAIKFVGPDFQALPSGDGGGGAIVTRPFPKLTNLELHNMTRWEEWDWAEEEQGRAISMPALKYLSIIHCMLSRLPPGLASSNRYNLRTLDLRKLSVLASLENFPSVVELDVLYCPKLKKISGFAMLRTMAVTDCPELETLEGVPVLKSLVLNDEPWEIVGTSAYRAGQQRQLPQNLTIIR >SECCE1Rv1G0017890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:169023663:169029794:1 gene:SECCE1Rv1G0017890 transcript:SECCE1Rv1G0017890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPASSRLPGPFALGLESSANKIGIGVVSISGQILSNPRHTYITPPGHGFLPRETAQHHLVHLLPLLRAALAEANASPADLACICYTMGPGMGGPLQVAAASARALSLLWGKPLVAVNHCVAHIEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARILELSNDPSPGYNIEQLAKKGDKFIDLPYVVKGMDVSFSGILSFIEAAAIEKLENNECTPADLCYSLQETLFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDERYCIDNGAMIAYTGLLAYAHGVTTPLEDSTFTQRFRTDEVHAIWREKEVPVLNNTDSDAVAEVSVDGASGPTPIAVDS >SECCE4Rv1G0295250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:897223317:897227008:1 gene:SECCE4Rv1G0295250 transcript:SECCE4Rv1G0295250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFATGALGTLLPKLGKLLMEEYNLQKRLKKGIKDLKDELLVIQAALLKVSDVPLDQLDLQVKIWANDVRELSYAIEDSLDSFMVRVEGVEPIEPHTFFGFIKKTCKKATKLKIRRKIANDIKDVKIQAREVKERYDRYKDVISDASVATKVDPRLLTLYNKVSNLVGIDGAVDELTKRLSKSDDVAQQKLKTVSVVGFGGLGKTTLVKATYDNLKKEFDCGGFVIVGRNPDNKKVLRDILHELDKQNNITESKMDEKQLIDQLQEFLGNKRYLIVIDDIWDVSTWEIIKCALVDSNSGSRVVTTTRIHEVAKKVGGVYNIKPLSDVNSKKLFHRRIFGDEGTSLDDHSDEISDNFFRKCGGVPLAIITLASLLVSKPRDVWCKVFDSIGFGQEDNEVIQNTRQILSFSYYDLPYHLKTCLLHLSGYPEDFFIQKNRVIWMWVAEGFIPMCQEIGAFELGQSYYNDLINRNMIQSIEPDSIDMIDGCRVHDIVLDLIRTLSSEVNFVTTQDKVHHNNTCLPSTSMSTRRLAIHGGTVDDMDMGHVRSFNAVWCAENVLPPLLSFKVLRVLVLESCAFPVGGCHFDHLGKLVQLRYLGMMGTPVTELPSDLGHHLKFLQTLNMQKTGIKELPSSVGELTKMMCVRASKGTRMMGRIGKLTSLEELELHHVDKSPDFTTELGKLTQLRVLEIYFDDMDESAHRALVKSLCNLRRMQTLEICVEQDESVQVDGWEEWAPPSELRQLSLSGIILPRRASWMECSCLPHISYLWFEVDELEARDLQILGRMSSLRFLFLTTRSTDNRSYTVGSHEFQNLTYLMTDIAIVCGDGALPMLEELRCYVSVGNDVGLAGNMPFLKEVCYYLNSCDSSGKEVDGTEAALRQAAETHPNRPKLEICRFFEKYMREDSDDENDSESKKELSGTDQELNDDVVDQISHATIEEDPMETASQP >SECCE6Rv1G0403400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:502142835:502143995:1 gene:SECCE6Rv1G0403400 transcript:SECCE6Rv1G0403400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTLKDEFDRVVKKQKLTSSRTTDLVNQIEKEIEQAIGAIQGNDTDRDAASNLNHEILTNLKNKLKELVPVKQLESCQKEMNTALGKWVKTTEKFFINDISKAHRNVDMEPHVLNEIVANHLYREALFDIGDNFLGEASCFASIKLKQLFQEMYEICGALRIEKSEPALSWAMKNHDALLQNDSCLELKLHQLQFVEILKQGKRDEALQYARAYLAPFATIHKDVIQKLMASILWAGHLDQSPYTEFLVPTNWEKLAEEFAQQFCNLKGQSSTGPMGMTVAAGAEVLPILLKLMTVLTAKREWQSMKEFPFPLDLRREFQFHSMFVCPVLREQGSDDNPPMLLPCGHVLSKQSTVKLSKNSSRSFKCPYCPFEALASECKRLYI >SECCE5Rv1G0321310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:401416167:401417030:-1 gene:SECCE5Rv1G0321310 transcript:SECCE5Rv1G0321310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPSQYHRFPPFAVAVAVAAVLVLLSPPSAADPNDELCLSSLQQSLSLRNWTKSFFTDPCDGFISKLQGVTCNNGRVYKLSLPGLSLAGSIPPELSNCTNLQSLDLSSNALSGAIPTELSKLLNLAVLNLSANALSGAIPRELASCAYLNVIDLHGNQLSGPIPDELGLLVRLSTFDVSYNRLSGPIPVLLANRSVAGGGGAVAAGTTARFNASSFAGNKDLYGYPLPPMRGHGLSVLAIVGIGLGSGLLSLVLSFSAVCIWLRSTDRTAATPGEEGKISHLMPAY >SECCE7Rv1G0471790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:134016998:134019973:1 gene:SECCE7Rv1G0471790 transcript:SECCE7Rv1G0471790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCCRRHLTFSPITAVPSPSGHRPLKPRTPRAPILASKNPPPRPWPLPRRQWRWRCSNTDAVQPPPLVEASVSGGGEKKSFWAAVSLIIGTAVGPGMLALPSATIRSGPVPSTVAILLSWAYVVSSIVLVAELSFAAMESGGVDEVSFTGLASSTLGATFGGVVAVVYAALSFSLMVACVAGIGSLVSQLFPAVNPVLANALFPCFAAVLIAFFPFKAVDGVNRVLCGLMLVSITSLVVTGVSVGRSSMLNSLGYACWSPGAILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLVMVLSWNAVILGLAGATGSARFDDPIKLLLSVNPAALAPVRGFAFAALATSLIGYAVSFPKQLEDTLQLIVKRFSPKQGSVESSNAGGDHGRNEVVLTLAVLIIPIFIVSFFSTAFAKALDFAGVYANCFLFGILPPAMAWIHRSRKKRSPDPCEDILPGGNVALLVLFIIAVILAFRH >SECCE6Rv1G0396840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:376332570:376334072:1 gene:SECCE6Rv1G0396840 transcript:SECCE6Rv1G0396840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLSLWRRHGAKRALQLLSPCRLSLSSVTHSNQDLNNIDLTMEEEASAAQIKSSLLKARNGNVQDLVQSLGADCLGIQLTSNTVDSLLCKFGDDWKSAFGLFQWAQLSGNYKHTAYACSRMIDLLGKMRQIDRMWGLLSDMHCRGLVTVETAAKCIRRLAGARRWKDAVMLFDKLEDMGLERNTETMNVLLDALCKEKKVEVARKVFLVLSPHIEPDAYTFNIFVHGWCSARRIEEAKWTIEEMKARGFAPSVITYTAVLEAYCKQHNFRMVYEILDSMCSKGCHPNVITYTMIMTSLSKCHMFEEALSVSHRMKSSGCKPDTLFYNSLINVLGNAGHLSEASQVFQVEMPMNGVPRSLATYNTMISIFCQKDRDEDALNVLKEMEAHSCKPDLQTYRPLLRLFLSRRGQADSIRNLLNELINKQSLCLDVDTYSLLIHGLCRVGETDWAYQLFEEMVGSEIVPRYKTWELLLNEAQRKNMEGRVERIRNYMTCFGISV >SECCE5Rv1G0371350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846631903:846633344:1 gene:SECCE5Rv1G0371350 transcript:SECCE5Rv1G0371350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal protein, Response to salt and low nitrogen stresse [Source: Projected from Oryza sativa (Os03g0117100)] MCSLEATRAELGLAVVYLNKAEARDKICRAIQYGSKFISNGQPGTAQNVDKSTTLARKVFRLLKWVNDLHALISPPAKGTPLTLVLLGKSKNALLSTFLFLDQFVWIGRSGIYQNKERTDRIARISLYCWMASSVFASLVELGELKRLSKAMRKRAKELRGADKYEDEQYLGKMKQSDDRLLALVKAGMDVVVAVGLLQLAPKKITPRVTGAFGFVTSLISCYQQLPSRAPPAKLKA >SECCE4Rv1G0241210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448322910:448324090:1 gene:SECCE4Rv1G0241210 transcript:SECCE4Rv1G0241210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLTRKDLMIVNMGPQHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRIIIQYLPYVTRWDYLATMFTEAITVNAPEFLENIQIPQRASYIRVIMLELSRIASHLLWLGPFMADLGAQTPFFYIFRERELIYDLFEAATGFISGEEAVNWGLSGPMLRASGIQWDLRKVDPYESYNQFDWKVQWQKEGDSLARYLVRVGEMRESIKIIQQAVEKIPGGPYENLEVRRFKKEKNSEWNDFEYKFLGKKPSTNFELSRQELYVRVEAPKGELGIYLVGDDSLFPWRWKIRPPGFINLQILPQLVKKMKLADIMTILGSIDIIMGEVDR >SECCE5Rv1G0339480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607758589:607759114:1 gene:SECCE5Rv1G0339480 transcript:SECCE5Rv1G0339480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSMAPLHAAAAAAQHAAVGAAPSRDAAPKKNGGSVPAGRDTRRAFLNGVVIAAAGAGALLGHVDAAPAASKRRAPPPPTEEKEKKDPNLSGVQAKVLASRKRKEAMKEAVAKLREKGKEPADAASTVTGIKPRSTGAVVE >SECCE4Rv1G0247930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:564782746:564785467:1 gene:SECCE4Rv1G0247930 transcript:SECCE4Rv1G0247930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPEQSNGDSLMLDLRKYILVMAILAATVTYVAGLNPPGGVWLSSKDGYLIGNQILVVTDHPRYNAFFYSNGAAFMASAVVILLLLIVERIRNHRKPLILVLRVVMALDMLALMVAYAAGASRGMVTTVFSSVLVSAVSIYAVFHFHMIKHPSSPELQANAEPSTNEDKQQVERSHQIMMLFAIFAATITYTAGMSPPGGYWTETQDSHRAGDPVLLDDHSRRFVAFFICNTFAFVSSLAAIMLLTTVTSKLQEGSYKRWCHHGPYVCVNVALLGLFVAYTTGSCRKTRSTIYLFSLVLLVLIYTVFQFMIQKFLEQIKRLLAPSSIEKQLRSCWGGNDNDHEKDNRLKKAHSLVLLLATLATTITYQAGMNPPGGFWPDDRDGHKGGDPILVTTHARRYRVFFYCNSVALVTSVVVIVMVLSKHVRSGVVHKRHALEAAMILDLLSLMAAYAVGCCRDVTTSLHVIALAGAVLVYVVIHIVFFTLDPVVNPEEEMNKKRKLLLLLAILAATITYQAGLTPPGGFWGEDDEFGHRAGYPVLLSNYPRRYMTFFYCNATSFMASIAMILLLVNPNLYTPGINCYALYVCALAGLFGLVGAYVAGSSRHVRTSIIVVALVAAVFVFVILLLIVLKKAEIKDEKGTKENKSQEDEKGTEEKKDQEDKKGTEEKKNKEDEKGEEEKKIQEEKKRTTEKKEYTKGKYLMLVGILVASVTYQAGLTPPGGVWPDSSNEHAAGDSVLHDSNKHRYRLFFYSNSVSFLASAVVILLLLLDKPPFARKGGLLGMESKELLRVAQSSVVLALLGLLWAYASGCSRDWETFGYISALAFAVLLYIAIHVVLSLCDKKGGGKQEPSEVKGESPNGTLEGTQVAGMV >SECCEUnv1G0559190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:336311486:336312125:1 gene:SECCEUnv1G0559190 transcript:SECCEUnv1G0559190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTPSAPLEFFHLKQLDIRLDAESGAFSPNSDYFSLVVFLGACPILETLRLEVIQTRMKHVSVLQDSSHLRQIPGLQHGNIKKVEIIGFCSAKSMVELTCHILENATSLECLTLDTISGGIGIGDVDAYRLNRHKFGECFSEGRHMIVEAHKAVLAVERHIMVKVPSTVKLNVIKPCSRCHVV >SECCE2Rv1G0069380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:28033288:28035618:1 gene:SECCE2Rv1G0069380 transcript:SECCE2Rv1G0069380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIAEYVAFMAGGGRGPGGDELRRRARERLLSSGWTLYKFAKCDGRQELRYRAPHGASYISLIVACKKFQLFSAAPPRPAAPRGKPSKKRAAPDCSSGFGKGKEIARVLRYRVFGSGEEDECAPAVRNPFSGECRARHRKMRRVSALYADDALEKFAARKRKKASASPRSSASSPAASPQSPASPAASPARVLRPRPKDGDKAQAAAACLQPTRARTILAVLMDKKILPPTAKLSYRRTRDGPPVKQGTVTAQGTIRCACGCGGKAFTVAEFAAHAGGCGTERPSASVYLNDGRSLSECLVQLMRAHGGSNRTTCSSSPRARLKRRCPPELEDGDWVCSVCADFGDMLLCDCCPSAFHHGCVGLDATPQGEWFCPSCRCAACGSSEFEPADGGEFTDKTVIYCDQCELEYHAGCVRGRGDHQLERRPEGPWLCGHDCSNIFQRLQGLVGRSMPTSVDGVTFTVLRSSKLPEEEEEEAMAAEEHGRLCAAFDVLHECFITLIEPQTRTDLSHDVVFNRESELRRLNFRGFYVVGLEKGGELITVGTLRVYGKKVAELPLVGTRFVHRRQGMCRLLINQLEKLLGELGVERLVLPAVPELLPTWTGSFGFRPMSHSDRAEIAEHTVMCFQGTTMCQKFITSAAAS >SECCEUnv1G0536140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:62724436:62727878:-1 gene:SECCEUnv1G0536140 transcript:SECCEUnv1G0536140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRTAFWGALLLLVTLLPLSANASSKLYIVYMGEKKNDDPSVVTASHHDILTSVFGSKDEALRSIVYSYKHGFSGFAAMLTESQPNTFHETHTTRSWDFLRLDHNQPGQQHGLLRKAKYGEDVIVGVIDTGIWPESRSFDDNGYGPVPARWKGICQTGQDFNATSCNRKIIGARWYGLGISHEVLNNNYKSPRDIDGHGTHVASTVAGGEVQGVSYGGLGMGVARGGAPRARLSIYKVCWLGENCPDAAVLAAIDDAIHDGVDVLSLSLGGAGHEFPGTLHAVQRGISVVFAGGNDGPVPQTVSNALPWVTTVAASTIDRSFPTLISLGNKEKVVGQSLNYNAAMNNSGFQDLVHVRSCDTESLSLSNVTGKIVLCYAPAQAAIMPPRAELHSLINRTIEAGAKGLIFAQYTVNLLEILTSCEGFMPCAVVDFEIAQRIASYSKTTESPVVKISPAVSVVGNGVLSPYVASFSSRGPSLAFPGILKPDIAAPGVGILAAEGDSYVFKSGTSMACPHVSAVTALLKSVHPNWSPAMIKSAIVTTASMTDRFGMPIQANGVPRKLADPFDFGGGHMDPDKAVDPGLVYDLDAREYNKFLNCTLGLSDDCKSYNLNLNLPSITVPDLKDHVILRRTVTNVGPAEATYHLVVEAPAGIDVSVEPSVISFSQGSSRSATFMVTFTTRQRVQGGYTFGSLTWSDGSTHSVRIPVAVRTVIQDFVADTS >SECCE7Rv1G0522310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:877025734:877026328:1 gene:SECCE7Rv1G0522310 transcript:SECCE7Rv1G0522310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGSNGDVCDITGLSSFAPSSLRSLEIWSTPGHEGIINAIRFTFVDSKNNAIPVGPWGTPLRGQKSQTIHLTNVRVIELSGYTNGQYITSLSFRATDAPRHHGPFGKVRPTTGSDTQFRIPLMHGSIVAFCAQADDYLSAIGAYLKH >SECCE6Rv1G0397850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:404217157:404234715:1 gene:SECCE6Rv1G0397850 transcript:SECCE6Rv1G0397850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKRLFDNVNTPILSSSFSTFQHGAFSFEAQPRIAQRFLEQSLGDSKSDKEKEQYSVNLTKGGLLGLGNGIPQRPAQVISLHGHGIGRRNEFALPFGARGLLQSVRRASTATAGQPKLDIEEEQNEDQKQNKKKKEASPEECDQAVEGLSTAKAKAKAKQVQESLKASRSIVQKFWARLLGIGPALRAVASMSRADWAVKLKHGKDEFISTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPVAVFIVVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGETKQTAEDLDEFLNKVRKGERVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDHYLRFMLRKKLRDIKNDDKVIQAEGVESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAMPSSLLILSRAFIVSGRMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRKKLEFLEMQEELIKEEEKKKEKEEKAKQKKEEKAKLKEPEADEQDLALKEMTEPTAREEEELREGKQRDKEQLCNISQALAVLASASSVSKERQEFLSLVNQEIGLYNSMIEKEGTDGEEAKKAYMAAREEADDADEVAAEEKVSSALIEKVDAMLQGLEKEIDDVDAQIGNRWQLLDRDHDGKVTPEEVAAAAAYLKDTIGKEGVQEFISNLSKDKEGKILVEDIVKLASQTDENNEDQEEESRQ >SECCE3Rv1G0198130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:827930106:827930660:1 gene:SECCE3Rv1G0198130 transcript:SECCE3Rv1G0198130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQRTLRDVMMLEERPTTMASRLLAPDASLSNPSFRNYYGVASAGSVPFLWESAPGTPKNDGVSSRALPPITPPPSYYSKTKITKSESSKKLLSSSKPASFVPTMFRRSHTMPAPSPSKEYSRRKRLVASPPRSSFSSTSRGDDEEADGGAGSPTSTLCFRARRSGGGTGRLHGMLASVVGR >SECCE2Rv1G0066160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:12583064:12588059:1 gene:SECCE2Rv1G0066160 transcript:SECCE2Rv1G0066160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRAARTLLASRSVLLSSRALHAAAAAPAAAGRWGNAPPPPPTPCPGPSSRAGIAGAVSFSLTFATVAVAEVHAKERLPTDLLPRNVVLYQYQACPFCNKVRAFLDYHDIPYKVVEVNPLSKKEIKWSEYKKVPILTVDGEHMVDSTDIINILQRRISPDDEVTNEEETKWRKWVDEHLVHVLSPNIYRTTSEALESFDYIAKHGNFSFTERFAVKYAGAAAMYFVAKKLKKKYNITDERASLYDAANTWTEALNGRNFLGGSKPNLADLAAFGVLRPIRYLQSGKDMVEHTQIGEWYQRMEDAVGEPSRIPEGQYQE >SECCE3Rv1G0207550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:922332872:922337440:-1 gene:SECCE3Rv1G0207550 transcript:SECCE3Rv1G0207550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAATAPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVAVIAVWARFLGRNGNGKGAAGWSITGFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVDVGRKNVVHDAAMPESPVKADVEAAPTGAVAVLVVGSAEEVGGKPSVWRLVKTVAHKLARNPNTYASFVGITWACAANRLHMELPSVVENSVLIMSKSGTGMAMFSMGLFMAQQEKILACGPSYAALGLALKFGLGPVAMAIGSIAVGLRGDVLRVAIIQAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPLLVGLYIVLELIR >SECCE1Rv1G0049030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:638391370:638397668:1 gene:SECCE1Rv1G0049030 transcript:SECCE1Rv1G0049030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPWLQFLCLAAAAAAASSGVLQARAQPDNKGFISIDCGFPGTTSYVDSTTELSYDPDATFIDAGSNHNISVEYMKPQLLLSKRYHDLRSFPDGTRNCYTLRSLVPGLKYLVRATFFYGNYDGLNRMPIFDLHIGVNFWKTVNISGSGYVVQVEAFVIVPNDFVQICLINTGTGTPFISGLDLRPLKKTIYPQATATQGLVLLARLNFGPTDESTFVRYPDDPYDRIWTPRVNTRTWTEISTTNRVQNINDDLFEAPTKVMQTAIRPRGASRHIELFWDAEPQPNDPSPAYVAIMHLAELQVIPNNAVRQINVILNGKPWYTSGFAPDYLSSGAAYTNNLYRQSRYNMSIIATTNSTLPPILNAIEIFSVIPTTNLSTASQDVSTIEAIRGKYQVKKNWMGDPCVPKNFAWKGLSCSYAISSPSTVIGLNLSSSGLSGNLSSSFASLKGLQYLDLSHNNLTDSIPDALSQLFSLTLIDLTDNQLSGSIPPGLVKRTQDGSLTLRYGNNPNLCSNGNYCQPPKKKTSSMVVVYVIVPIVTIIVILLLPVLLICMRRRQGRTRGNIKRWDEANIKGHNSLQFDNRRFTYSELEAITNGFQQVIGRGGFGKVYHGSLEDGRQVAVKLRSESSDQSEKEFLAEAQTLAKIHHKNIVSMIGYYKDMECMALVYEYMSEGALDEHLSGNNNIRTLTWRQRLRIALESAQGLEYLHKGCNPPLIHRDVKTSNILLNANLEAKIADFGLLKAFNSNTDTHVSTARVVGTLGYLDPEYHATCHLTNKSDVFSFGVVLLEIVTGQRHILNDPEPTSIAQWVRQRLTHGNIEDVVDARMCGDHNVNSVWKVADTALKCTAQKAGQRPTMNDVVMVLQECIELEVAHGNVNAGFYTTGSRSNINGCGRYDIDTSANESQSNTTSELEHLGSIPAMSTGPAIR >SECCE4Rv1G0230670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:172254042:172256753:-1 gene:SECCE4Rv1G0230670 transcript:SECCE4Rv1G0230670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCAKGAGHAGDANSEPPPLPLAAPKVEPVASSSAACNGVAAPPAANAKPGKPPAPVGDVLGRAMEDVRTTYSIGKELGRGQFGVTHLCTHRSTGEKLACKTIAKRKLANREDVEDVRREVQIMYHLSGQPNIVDLRGAYEDKHNVHLVMELCAGGELFDRIIAKGHYTERAAAALLRAIVGIVHTCHCMGVMHRDLKPENFLLLSKGEDSPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRRYGPEADIWSIGVMLYIFLAGVPPFWAENENAIFTAVLRGQVDFNGDPWPNISSGAKDLVKKMLNINPKERLTAFQVLNHPWIKEDGDAPDTPLDNVVLNRLKQFRAMNQFKKAALRVIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKLSDNEIEQLMEAADADGNGLIDYEEFVTATVHMNKMDREEHLYTAFQFFDKDNSGYITRDELEQALKEKGMYDAKEIKEIISEADTDNDGRIDYSEFVAMMKKGAGSTEPTNPKKRRDLVLE >SECCE7Rv1G0509710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:783091410:783098580:-1 gene:SECCE7Rv1G0509710 transcript:SECCE7Rv1G0509710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFKDHRELVGIEEPRDELIKRLADEDDCVSKQQLKILSIFGFGGLGKTTLARAVYDKIQAEFVCKAFVSVGQKPNLKNVLIGILLRIDKASCHNVTLLDEVLLIEKLRELLTNKRYLIIIDDIWDLSSWDIIKCAFTNSKCGSRVITTTRIFEVAKEAGDIYKQEPLSHGRSKELFCMRLSIGKSRSPYHESVKISEKILQKCGGIPLAIITIASLLASKPVTDWPGVYDSIGFGNEDNKEVDTTRKILLYSYYDLPYYPRLCLLHLGIYPEDYEIKKDTLIWKWVAEGYVHEEPGKGLFEVGERYFNMLIDRSMIQAVERPYYSIIYACSVHDLVLDMIHVLSEDESFVTASNRTSPRTTARRLAINNEVVEQDGSVANTSMQQVRLYSATMCHFSMLPLLSNFKALRVLALEECTFMGNERSVKPEDSPYHLNHLGRLIHLRYLGISERLVGFSVPGISVPLILEVPEEIGDLRFLQVLDLGRTGIKKLPQSVGRLTQLKCLRFGGSSMEVFDCTNLTSLEELQLHLVSPDFLKGLAKLKELRKLSLHFEEEHDNMLFKDLMGHVANLQKLKVIMFNCRYSTQKEKNCMYPQHPKPWSDYPGSVALGRLRHLTVNGLLPGLPMWINSSCLPNLCHLHMELTVMKSQDMEILGRFSELITLCIHCNYDDVFPDTMEEGAFPKLRYLELKNSNQPRFVRGAMSSLECFRLIIGLEGTNGWDFHSLVNLPRLEKVDAEILGNTAMGIHEDELQAHESLKQAHASLKQAVDIHPNHPTLKIYHS >SECCE7Rv1G0459520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26345469:26348192:-1 gene:SECCE7Rv1G0459520 transcript:SECCE7Rv1G0459520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTELSMKLLVDTKARRVLFAEASKDVVDFLFSFLSLPVGTAVKLLDKDSMVGSIGSLYGSVEKLDGTYVQPGAAKDALLHPCVLSPAVSTKSSLLGLPAPPPPQPQAKTLYGCTLSCSCYNSGYGSNHSSTCTKLKCRTYVTDSYGTCCPSCNKQMSTEVKLVSGGQATQAAAGVSGKGYVQGIVVEIVGWLNIGLDILKASLQSKTVLTDVFLSTAGKA >SECCE7Rv1G0465290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:68658956:68660377:-1 gene:SECCE7Rv1G0465290 transcript:SECCE7Rv1G0465290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSFAHCQPQRHYGLRALAPIAVQHLNKSGLARMQAVRPDMEQQVAELRGELRKVREERDRAHRVLEVTEWKALDSANDRTTIETLEAQLDASRESESRMLESLSLQTKQVEITKMELEEARIDIASLRDTVQRLEASAVAVAAAKPRGRFDRDLQRVSGELRVALASEEKNKRAMEELVLALKEVNAELHTTRQQLARAQHESETARLESDRLHVSLKRKDDKVRALSDEVSRLRSDAEEAFATFRGKEAGFTACMKASEAELAEARRENARLLESQRSGRHEVAKLRDILKQAVKDTKFVKEALEEARSENAVLKEMVGSKDTAVKCSKEELECLRVSEAAARDSVKELQSLLVATSSSPTPSPSAATGRSFDMEDLSSSSSPRPATDQLPPEGEGRLSDARMMPPPDGFTLPRQMSESFEGSVYDIFGTVEEPKAEMGVFTRMPTMPGRRRVVMRKVGSLFRWKSFNNK >SECCE6Rv1G0452990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879781664:879787597:-1 gene:SECCE6Rv1G0452990 transcript:SECCE6Rv1G0452990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFAHYVGHMLAQLAADEVGTMLGVSGEIKKMGDKLRDLNNFLADADRRNITDVTIQEWVGQLKRAMYEATDILDLCQLKAMERGSSTTLDAGCFNPLLFCMRNPSHAHEIGTRIKNLNKRLDSIKQRSAPFNFVNLGSYEDHNNNAHGFRHGNPSRETVGDFDRSAVVGDKIEEDTRALVAQIMQTGKDVNNGIIVVAIVGVGGIGKTTLAQKVFNDEAIQGEFSKKIWLSVNQNFSDVDLLRRAIIEAGGDAQPPESAKTSLHETLKNILIDHKTFLVMDDVWNHRAWDDVLKIPLVNVAGSGSRVLVTTREEGVARGVKAIWPYHHIDTLLPEDAWSLLKKQVCSRELDEDHINTLKDIGLKIIQKCGCLPIAVKVMGGLLRERGGLRRDWQQVLDDSKWSTTKMPDDLNHTVYLSYEYMPSYLKQCFLYYSFLPKSRRFHMEQVVAMWISEGFIHGNSGDLEELGRNYYKELVSRNLIEPDKSYADIWFCSMHDVVRSFARYMTKDEALVTQDGDTDMLAKLASQKFLRLSIETSRSQSGELDWKSLQAQQSVRTLISTIQIKMMPGDSLVTFSSLRTLHIESADMAVLLELLHQLKHVRYLALVNAGISVLPGNIGKMKLLQFLDLGGCTKLVNLPDNIVNLGQLRLFALPRASTVPRGFSGLTNMRILRMFRAHMDNDWCSLDELGPLSQLRFLGLNELENVSAASFASNARLGEKMHLITLLLGCTSKLGDDGFVKEKEGVSEEEQQRIEKVLDKLYPPPGVEDLQISGYFGRQLPSWIMSTSTVPLNNLKTIFFVDLACCTQLPNGLCHLPNLQFLQVSRAPCIKHVGAGFLQAAAASFPRLNKLILLGMVEWEEWEWEEQVQAMPRLEELVLNKCRLRHVPPGLASNASSLKIFVLVHVKQLSYIESFPSVVELIVTGCPDLERISNLPNLQKLTIQNCPKLKVLERITSLERLILKDYTMEKLPEYMRDLKPRHLQLFCRLWLLYAVAAGQSGTEWDKFNQVEHVKAYARDGDNQRKWYVLYTGGDNYKLDSNISSSTIVEEPLSSCMVDRQGFESLYRMRRSTFSYVCSLVRIPFFEDMMGREPTFIDGRVLSLQDRVAVALRMLNSGDSPVNVGSSLGVSESTSLLVTKVFVKAMLEPLSHHFDWPGSAKMQKIKCKFDKIHGLPNCYGAVHTTQITFGSQVHDREENDSVLMRVIVDPDMRFTQVWLASDLLELDSDLLKYYEEDAVVNGSKMKLSDGSEDEQYIIGDAGYPLRPWILTPYLLEDGLSLSDAKVEFNRRHSAVTAFAIRALAKLKDTWKCLQGEGWHPDNNDILDLTIWVCCMLHNIVIDMEKEKDEDQEEGEYEDEGQGELQQVAAVGVRGALSQHLIKSVEEEQGAEDKNKEEEAQQRKATSRGKEKVHDS >SECCE5Rv1G0342840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633916462:633918399:1 gene:SECCE5Rv1G0342840 transcript:SECCE5Rv1G0342840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKQILARPIQLAEQVIKWSDEAYTFRQECVELKAKVERLAALLRQAARADLYERPARRIFDDTEKALDKALALVDKCRAHGLVRRVFTIIPAGSFKKMANQLDNSTGDLSWLLRVSASSSAADADDFDMHIGLPPIAQNEPILFLIWEQIAVLYTGNLDARADAAASLVSLARDNDRYSKLIIEEDGVPPLLRLVKEGRLEGQESAALAIGLLGRDPECVEQMVLAGACAAFAKVLKDAPMKVQAMVAWAISELAANHPKCQDAFAQHNAIRLLVGHLAFETVQEHSKYAITSKLSIHSVVMDKKNNNGMPDLLEEHHPSTARNPAGNASQGKNEMHSLVQSTMAAKSSSSNSNGGGSSKGGNGGSGAIASKQHNASLSGTTTRGREFEDPETKAYMKANAAKALWHLAKGNAAICKSITESRALLCFAVLLEKGEGDVQYNSAMALMEICSVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVEKAEYDDLLIPCIISLGCLSRTFRATETRIIGPLVKLLDEREPDVSKEAAMSLTKFVCTDNYLRVDHSKAIVDAGGAKHLVQLVYFSEQAVQLAALTLACYIAHNVPDSEELAQAEILTLLEWASKQAYMMQDPTIENLLPEAKIRLELYQSRGAKGYY >SECCE6Rv1G0432970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:755216378:755220334:1 gene:SECCE6Rv1G0432970 transcript:SECCE6Rv1G0432970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAKGAVDSVLGTIGAAIGERAALMGSVRRDMRFIKGEMESMNGFLLDVDDPAEQSNQVQAWMRQVRDVAYDSQSCIDRYVQTVGAGRSSAGLLGSVGRAPQLLLTLPDRYRIAMQIKEIKSRAQEVGERRQRYGVQALATTARGGRGRAKEAEEDAAAAGRRRRVLADAEHLLDADAREVVAWVTRGGRHDANHRASPLSRSAGKFVRTLFSTTMFTNTADVELELATAAREVLGDGDPENIADAAAILSKQGSEITDKEISALIAAVKELLGFRRDTAKSSTAPAAGVGGGGRGSPDEHKPGTREKNQAFIDALESLRMANTPTDVALAEKEYSDQLKEWLRKLFDNQLIEDLVLGGWLHNVVKHAQENKYIEMAIERAPDAIHSIIQSVKKMLGNASTQEEPEKPGDTDHVQDKSPGNDSVPVQETSVPTETVHDVSAVEGITIMVKKAAMGVFDDGKAAFDSVKKFTEEASDNSIIKPLAHSIENIESRVAWFQELVDHGGWRGDGGRPRILAIVTPAAGAHEPEKDGDLRPATNLAKTVYNMCSSGQHFDCVAWIDAKCHVDKTQRLRCLLQEVLLQSSSSREDVNTLTDEQLAKEIRSQLQDKKFLIVMADPPGDEDEAPWADIASALPPWDSHSHPDCSGSTIIVTPMVQQPVQFHGWYLASWLFLLKASRYKVHFYSHLEATSKKANELLVGGHDSRELQSIVKRILQKCRQDTFSTNMFLHALYANPRRRENELLALLNQLQDVGTTAIIAEEIIRFCHNDLPDHYKTCLNDLALFQKHTSCKIRRGSSIRRWAAQNNINNRRQALDEADRGFNALVDRGLVLLQDPSPTGKAKSCKLPPQVLTFIETNTSSEVNVVNGHLPSDLVPRLSIRNKVQLEQLPNGRRPTNFSLCQRLLKCTSPTNAEDSKYPSSVISFLNSLSTSSQLGLIMVLDLEGCPGLNRDNLKNICNKKFHLKYLSLRKTEVDDLPKEIGRLQELETLDIRETNIKSFPMEANMLQKLIHLLAGEAATHNKSLDTIHMPGVIGSMTKIQVLSHVEVSGDNVGMLNMVGQLQQLRKFGVVIPCNDHDVVDRLLTEIGKLDECLTSLSVHIKEAEGPDVPPVDMKERVTISHPRSLQSLKITGKILELPTWTRKLHQLSKITLWRTSLSAEHIGILGKLMNLRIVILRRDSCAEHGLTFKQKEFPQLELFVIEVKGTQISNISFEQATAPKLEKIVWTSTSTTRKEDTSSSDMANKADMSGIDKLPSLREIELHCNSFDMDRCSFNMVNIKREMWENPNNPILKEITPKDVGATAAPGASLIPDPR >SECCE2Rv1G0137680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918949827:918955339:1 gene:SECCE2Rv1G0137680 transcript:SECCE2Rv1G0137680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRIYVDNIEEAVQQLIPYLEDTSSTAHKAIYFDGWDGLAASAVLRAIAEDPPPSLLKIFNKIIHIDCSRWKSRRALQRTIAQEVLPHRVFPVEPEILTSIATSYRKDVLGFPNLKHIYFHQLFKLEHICQAKMFAPKLETIRVRGCWGLRRLPAVGQDSRPIVDCEEDWWDNLEWDGPEAGHAPSLFRPRHSAYYKKPLPRVSVLR >SECCE5Rv1G0320720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:391390413:391399167:-1 gene:SECCE5Rv1G0320720 transcript:SECCE5Rv1G0320720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEADGDHFIEMVSAGALYRGGEWERKYWSCSRGKDRYPYPVGYHAVRHFSGISYAMEIQQGPRGPVFQVTSTEGDSATGQTPDIAWKNFHKKTGAKVRDWQKAGSFPQKIDGVELFGFKNASVQRLLRQLLVDSTGFGIDMPSPKIYGASSPSTDTVAADVSDDHEEHRVCLDKTVVTAKRSMIPIQEEGTAKRAHNQDMLTSVDNSTVELDKSARQSFNKGDPVSNSQVSVVSTPICMPPLLEEIPCASKCTLVNGNLGDYVPNSSQEDGLSSSSYLGSEKSDLEAAEKEVARSMMTFLLPQAIPLLEKTYRRRKVKQKKKEDAVLARSVAAQNPSADGCKGATLPTSVGEGSLNGSQAHVHEEPLCEAVKDIGPNDGSMNGEQISKSDDMKAVVADSFEDDGQVGGDSRSKPTGAHHEFDDACSREPNENLKLLNSRRENHAEFSECQVEAHGRKDIPDVVYDHEKGQYILSDSLLACLEEEFGMDDSSHPVHYNHGNSNVGPAQSQQQLKDPKDGINNGSSISTDGAGDKNMGNRHDVSHARSNINHVNGLLSKHPGTSTRGSDHHLELMGCYLHPMPVLSIMLNTKDSSSLHIYVLCGSSESCKRFLYVYDITPNDHDEKPPNFVGYTTLLLPSLEQASTGNSTFGRCGIQFTPDGQFLVLLSSIRIPCCRMQSIDCSCSLCKVDQCGDNSLKIVSVNLGYISLLTKLMPSGTVSCFLISEPNYVVAAEDSRNLHVWMMVAGWSVISEEYVISSSGNVGPSILELRKMPKSSSLIIGHDGAGGFCLWDISKRTLLSTFASPGNIVFQILPLGLCSLQEDVVHASVDDIERRLQEITVSDMSEKDDDESSLMLSGKDIAVWIMVSSASVAEYQHDLRAKEHNARWRLALLANNKVLMGNIFDSRVTSVDACGNHGFAGTHGGFLYMWELSSGRKLTSTQCFNCARVSCVAVDAKSSVVAVADDGCQLLLYAQKRV >SECCE3Rv1G0200460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:853874686:853875170:-1 gene:SECCE3Rv1G0200460 transcript:SECCE3Rv1G0200460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKEDVQAAVAAALSPTHLVVTDTSGGCGASYEIEVVSGKFEGKRLLERHRMVNTALAPLMAEIHAVSIKKALTPAQAQPQPEPAAAAADKPQA >SECCE1Rv1G0034940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:493002584:493002890:1 gene:SECCE1Rv1G0034940 transcript:SECCE1Rv1G0034940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPANILMGSAFVAFGVTLLAGFLYVAVWSKALAPSDNWFLLAVQNDRYYCLLVPLTVPVIIVAVYLHWLSMKMFKHA >SECCE6Rv1G0434030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763393208:763396250:1 gene:SECCE6Rv1G0434030 transcript:SECCE6Rv1G0434030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSHDAIAAATRATLGRPRTGASRRARDPAAVCRERAALIRAAADRRFALAAAHAAYFRSLAAVGGALRRFAAAALLPATPDPAASPVLTLPPSPAAKPLARTASSLPPSPASSSSTVSPFSHSLSDDDDEHLHALDHSRRARLRGPASPPPTTQQQHRHYMRNSATVPTVVYEDPYAEYAEGAASYGYGSGSAYTYGPYGEPVAEEARPRRPPPTPPPPPEASPWDFLDPFTPTPYDQFLEDYSRGMPAEVAHGNRNLPTNSPNYANYAELRRMEGIPELEDEAELEPSTSAVAEDQSVKGKRPAPGPTTNAARPKGTSSSEVKLQSKGPSGADGEAEMLVPRNDSVPSNAGSGSNSKKGGRSDAVSLKGVDFGEIVDGNSSEKKDKNGMPFGENGGDIVGGSSNGKKKGAAIDEDGSIVDADAAGGSHGKSVRSAVTVSSESFSPLHNGERDVVEALEEVKERFEEALHCGDEVSRMLEVGKVPHRTAPKVLRYFSTRVMEPMSLSVPSSSYCVPKRERCSRLPTTSASSVNGRENRNGGLCSTLEKLCVWEKKLYQEVKDEEKLRTLYEKKYKSLKSLDEQGAEPDAIETARSSVRDLRSKITINMKTAKAFSSKIEKIRDEELYPQLVDLIQGLRRMWKAVLECHEKQLSAIRDSRLHLLKARTVSQSAAATLATRELERELTKWYRCFNKWISSQRSYVEALNGWLRRWFPEAQDEQDAPDGAPPFSPGKLGARPIFIVSNDWFRAIDLVPKNDALKSIDHFSKLVHELRKRQEDEHRQKKRVDHASRDYNKRREALQRELGPSTGTGMVALLENPGDHTVDLHKMRRRLDNEMTRHGEVVRHVHLAASATLPVGFVPALEQIVGFFHGNLQVYARIRTNGAGAGAHEPPTEVRRPHLG >SECCE3Rv1G0211140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:947863066:947864128:1 gene:SECCE3Rv1G0211140 transcript:SECCE3Rv1G0211140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHKLTVKTEDDSNPRDKYITWTDEATSFMLDWYIELRKDKPATFKFKKEHHLQCADALNGKFSLGVTQNQVDRHYRSCKEKWGWVRRALANSGNGFDGIAFKFTLSESEKQSLNKTAVNYLTRPIRFFHQLEELFSDQSHADGSLAVDQNTINVNDASDDSEDVREVEGYSFPLDSDEADSDTINRLSPNVDLDGNPLNKKRKRVSSSPSSKPAKGKSHKKGKVSNDDMAASIKKLADSLASPIVSVQPMPPTDPYANLWKRINALTITAKDKLEIVAYLSKPDQDIFRSYLNHADETILGEWVLSYFEPRFREDGGTDGSGASH >SECCE5Rv1G0344170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644123798:644125024:-1 gene:SECCE5Rv1G0344170 transcript:SECCE5Rv1G0344170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSPMQAVLVAPGVKDKKVLAFRRDALKEKDAVAALMRTIAAGGVRSAFYVFDLARVVDLYRGWRRALPGVRACYAVKCNPEPALLGALAALGAGFDCASRREIEAVLALGVQPGSIVYANPCKPEAHLEYAAEVGVNLTTYDSEEEVVKVKRCHPGCELILRIKGPDGGEARVDLGTKYGAHEDEVLPLLRAAQREGLNVAGVSFHVGSGASNTDVYRGAIEAARGVFDAAAELGMPPMRVLDIGGGFMAGPAFDEAAAVINAALERYFGELPCVEVIGEPGRYFAETAFTMAARVIGKRTRGEVREYWIDDGLYGTLSCIPMDHYVPHPRPLAVPRAGEKTYTSTVFGPTCDSLDTVVTGYQLPEMSVGDWLVFDDMGAYTTASGSNFNGFSTSDIKTYLAYSS >SECCE4Rv1G0244520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:516510506:516513322:1 gene:SECCE4Rv1G0244520 transcript:SECCE4Rv1G0244520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAASAPSSSSAHPPAAFPATRQEIQAAIAKATELRALHAALLQGGGANAAAYAAGAGRSPAVIRVPPAASPAFPRAAAVAEDYPVFTPAYGEEPLGGMNYIRQDNRSLSENWSGIGLDHEGAEDEVAFSDLDNHNTFSSSNSELHFSSSNEHTRNRIPRRNHHSFLHPALSADSFLKSASKKTDSAESKATTTCNTCKPAIISRGPEADANALKNLGSVVPQSNHHPSAGSRTRQKGTNVLSWLLPRSKRKAKSDMSPNTAECENMSQLLKEWGVFSLESLKKELAEANEHRDAALQEVGEMRSSLGELTSKLLSLEAYSSELKKALKKATSTKNNAQSHSKRSARSVGVSRDDSLPVSQEVMVEGFLQIVSEARLSIKQFCKVLLQQVEDADNGLADKLNLLLQPYQLTIADKHSKATLYHLEALMNQAMYQDFENCTFQKNGSPRCLDPRQDLQENFASFVALRNLSWNEVLKKGTKYYCEDFSRFCDQKMSCIVSTLSWSWPWAEQLLQCFFVAAKCIWLLHLLAFSFSPPLMVLRVEENRAFDPMYMEEIQVERQRPQQNPSRVKIMAMPGFYVQDRVLKCRVICRYS >SECCE7Rv1G0486680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:415957430:415962874:-1 gene:SECCE7Rv1G0486680 transcript:SECCE7Rv1G0486680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASSATPMGRATTPTPGAQSRWPLAVAQLHLALRSPAARSGSSGRWMGCFRPAPVPAPSSPEVTGAVNEGRGKRPEATEVDMEPARGGGEDLWSVQVEAEVAQGGEYPEHLVVMVNGLVGSADDWKFAAEQFVRRMPDKVIIHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRKGVKKISIVAHSLGGLVARYAIGRLYECSNRTKCSVGNNRAQVECLEGLIAGLKPMNFITFASPHLGSSGNKQLPFLCGLPFLERRASETAHLIVGRTGKHLFLTDSDDGRRPLLLQMVQDHDDIKFRSGLRSFKRRVAYANANFDHMVGWRTSSIRRQHELPKHRLLVRDEKYPHIVHVDRGIMDRNETEVSAHVCGPEEEMIRGLTQLQWERVDVSFQKSSQRLVAHNTIQVKSYWLNSDGADVINHMMDNFLI >SECCE2Rv1G0122800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:819142700:819143184:-1 gene:SECCE2Rv1G0122800 transcript:SECCE2Rv1G0122800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEPPKLCHCNPPRKAPRWISWSRQNPGRRYYACVHALNGGCQYLEWHDDPLPKFFSDLIGDLRDEVWRLKGARTEDAVEELTMTESVILALQEQLKEKSAEIDAVKNKYMTVRVVFAVFVLGLVLGKVLVH >SECCE6Rv1G0419290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:668369250:668370773:1 gene:SECCE6Rv1G0419290 transcript:SECCE6Rv1G0419290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLRRVRPRTGTAGGEDRLSALPDDLIRLIVRRLDTRTALSTAVLARRWAHIPRDLPELDFRISDVIPPEYHRTVALRRRNMPRDTFLAEMLDRLMARCEFDTIAAFIDGITGFLEADGGPADGDARRRANTLRLEFFQTHDGGCAINRLIATAVGAWGVRDLEVVARQASCDVLQAPPYHFPYYHDCLKDRLRSLTLGNYCTLPPLHSYGALTTLVLRDMAASTPVNVYQRVFTECARLQVLHLISCCCAQDYVVVDAPSSQIRELILDQCKFMATELRHLPMLVSLACCLTDTYRLIFGSVPSLMHTNLTFAAESWIVPRRCTDHFGRYIIGMPPTMTDLVLRFTGLRRWMVPSPPAKPLLNLKKLLVADLPANWNITWPRGLLLAAPSLEVLHIHVPCSEEEPNYGSMIMTSLEALQRHHRLGELAITGFLQRHIWFLKYVVSVCTSLQRVILLKDDDHVRYNGLWDWEMVEQQACPWSNDDEMVVRRIIKSGCRPLVELSVG >SECCE7Rv1G0456490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:10974004:10978584:-1 gene:SECCE7Rv1G0456490 transcript:SECCE7Rv1G0456490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYFSTDVLADILRRLPPSSRRRARLVCRHWRDVVNSRTAEMQSRASPLIWDPRSGVAYIVDDLSSSAAAAGSCRPLWTNAAKRTYGDSAVQLRAGGAVTVVNPATGEALAVPALPCAAQLVGRHITRTKWSEAKAWHEAYSFAYHPTTGKHKVVHVPCSYERVCEFDAVHVLTLGETTWREVPVHGGPGCPKCDLAAGVVSVDGMTYSGPDLYWAGPLANGARKLAAFDLEEEHVVAPAAPALPSMPPGHMRYHLTEVRGRLGVVTSGSTMSAEAWVLEKGRQGWIHRYVLGQHVALPHFAYAGGCVVTVRNRSSFFAHWPKDAPPPSGVVRVGHGEQGMSLVDMGGYSFDNYPTFAYVKTMEPL >SECCE3Rv1G0207750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:924180848:924185797:-1 gene:SECCE3Rv1G0207750 transcript:SECCE3Rv1G0207750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAAPCRFLSPLATTTRTLLSSILPTPATARRRLLLSSTTAICAAAMAASGKAGSAPCKVVDSHLHVWASPLQAAENYPFFPGQEPTLRGDVDFLLECMDEAGVDGALIVQPINHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAVRFNPNLWPSGQKMTNEVGRSLFAKAGELGAPVGIMVMKGISSYIQEIEELCTDYPATTVIFDHMAFCKPPTNDDEEKAFSSFLNLSRFPQVYVKYSALFRITREAYPYEDTSQLLSRVISSYGANRIMWGSDFPYVVPECGYKGAKEAISHVAGKVAVSSSDLEWILGKTVSQLFQGAWVTP >SECCE7Rv1G0501410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:682624023:682625169:-1 gene:SECCE7Rv1G0501410 transcript:SECCE7Rv1G0501410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTFLHCVLAILLLSFAAHAQLTTDFYDDCCPSLEAIVRTGMNKAIRAERRIGASLLRLFFHDCFVQGCDGSVLLDAGGEKEAVPNNRSIRGFEVVDAIKASVEAACPGVVSCADILALTARDGTFLLGGPTWRVPLGRRDSRNANRALANANLPPPTADLSTLITLFRRQGLSPAEMTALSGAHTIGLAQCLNFNGRIYKDANIDPSFAALRRQTCPSSGSTNLAPIDVQTPGAFDAAYYRNLLAKRGLFHSDQALFNGGSEDALVRQYSANPALFRSDFAKAMIKMGNIHPLTGSTGEIRKNCHVVNS >SECCE2Rv1G0114270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:732670162:732671992:-1 gene:SECCE2Rv1G0114270 transcript:SECCE2Rv1G0114270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLCLLRDKWRRKRRPETSAPASQAAAASCTLPCSDDASVPAAAAWTASPACSATATSTSDRSGARPASSKSLGSSARSIPELHGERGAYSLLEFGLSELRGATANFSPLLMVGVGGFGCVYRGALRLPGGNPHGTAVAVKRLNPNGGQGHKEWLAEVQFLGIVEHPNLVKLVGYGASQTDQGPQRLLVYEFMPNRTLDDHLFNPEHPVLPWDVRLQVALGAAEGLLYLHEGLELQIIYRDFKAANILLDEEFRPKLSDFGLAREGPSEGQTHVSTVVMGTYGYAAPDYVQTGHLTTKSDVWSFGVVMYEIITARRSVEKKRPKNEQKLLEWVRRHPADSKQFSEIIDTRLEGRYSTRGAREIAKLANSCLAKYAKDRPTMVEVVERLKLAMQHKELDGHDVCSVEQNSPYEPPTTPAMEDAAVVSARRRILHLAALGENANAHARRRLMIMRAAAPPT >SECCE3Rv1G0210650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944361837:944362286:1 gene:SECCE3Rv1G0210650 transcript:SECCE3Rv1G0210650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNSAQLFGAFALGLLIMTHSVEAVNPRPWICSSPSYLYRGPCSNRECMEMCHHEHFTGGYCTRRGVVKELHCECTMRCLKHSPPPPSGVPPPPPSKVPPPPNLMPARRISGGMNY >SECCE5Rv1G0336070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:581859297:581860448:-1 gene:SECCE5Rv1G0336070 transcript:SECCE5Rv1G0336070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFRSCIILTRILSSPTASQASSLHRLLSAAAVSPNPGFAVEDYLVDTCGLTRAQALKASAKLSHLKSPAKPDAVLAFLAGLGLSTADVAVLVARDPLFLCAGVERTLGPVVAGLTGLGLSRPEIARVASYAPDRFRCRHVVPKLQYYLPLFGSSEELLSALKAGLFLLSVNPERVVEPNVAVLRECGLGARDIAKLLIQTPRIITTNPQRVLAMVAWAEGIGVPRGSGMFRQALQAVAWLDEEKIAARVEQLKKTLSWSDADVGIALSKWPFVLRWSKDTMECKSKFLISEVGLEPAYIAHRPVMLGLSLEGRLKPRYHVMRFLKENGLLSHGKDYYSMVLVSENVFVERFIRPHKQAAPHIAQDYAAACIGEVPARFRFT >SECCE1Rv1G0027140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:378605729:378616380:1 gene:SECCE1Rv1G0027140 transcript:SECCE1Rv1G0027140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRDGGASGSGRDLNGGMPYGQVRVLVVGDSGVGKSSLARLILEGSAIARPTQTIGCTVDVKHITYGSPGSSSNSIVGDAERNFFVELWDVSGHDRYRDCRSLFYSQINGVIFVYDLSQRKTKTNLSKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGRRVSSGNLIDVARQWVDKQGLLPSSEELPLVDSFPGNSGLLTAAKEARYDKEAVVKFFRMLIRRRYFSNELPPPSPWSLTPRGDTILPVETTNDEELFKRKSYGGQRYKYNGVAPLSAQQNVTLPLTPSPQQPMSSSAENYRYHRFSSSSIPDKNSSRTSRLDISL >SECCE1Rv1G0028640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:404779782:404784403:-1 gene:SECCE1Rv1G0028640 transcript:SECCE1Rv1G0028640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMRRLKSIASGRSSVSDPGGDSGSKRPKFDQDGLGDIVIQPHLSDDKPMHLDQESSSSHRDAEASTSTSMNPAKAEETGADLPKGMNDMTISDDKVDGHNNDKEAEGVTVDGNGTEAGQIIVTTIGGQNGKPKQKVSYMAERVVGTGSFGVVYQAKCLETGETVAIKKVLQDKRYKNRELQTMQLLDHPNVVQLKHHFFSTTERGEVYLNLVLEYVSETVYRVAKYYCRLNQRVPILYVKLYAYQMCRALAYIHRVVGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVVAELLIGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKTCLPNGRPLPPLFNFTGAELEGLPVELLHRIIPEHMRK >SECCE6Rv1G0440480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:804646856:804647935:-1 gene:SECCE6Rv1G0440480 transcript:SECCE6Rv1G0440480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGSSDCHIVPTRDIHRFLFRARLGPPEDDLLASTVVAGCKCQVFYAAVGKAPTSSSLLALTLCPGHLVVQGAAKMSAHMVLLDKAGSPAPSVGAVGFECAFSPKLTEIAGYILTVERDDLRANCVVDDYFEVLCSVDISWGKNWTPPAPPPPGLGHDLALMFGKQDLTDVSFDVSGESFSAHRLVLAARSPVFRAELYGPMVESKMTSIAIQDMEASTFGSLLHYMYHGSLPDSGEKDVASTMAEYQHILVAADRYGVEELKKICEDKLCDNGVTVDSVVSMLELSEGHICPKLKAQCLDFLTDGDNFKMVATSGEYLHLMQSFPTLMLEARERFKIAHEKPTILKPPPQKKTRVN >SECCE4Rv1G0254130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:632997201:632998694:-1 gene:SECCE4Rv1G0254130 transcript:SECCE4Rv1G0254130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDEHRPLHILFFPFPAPGHLIPIADMAALFATRGAKCTILTTPVNAAIIRPAVDRANDARRGTADAPPPPAIQISVVPFPDVGLPPGVENATGLTGDADRGKFFDAIQRFREPFERFFAGQCPDAVVADSHFQWSVGAAAEHGVPRLAFLGTSMFARACTDSMLRNNPLASCPDAVVSLPGLPHRVDMLRSQMVDPSKRPESFAFFQRVNAEDQRSYGEVFNSFHELEPDYVKHYRTTLGRRVWLVGPVAPAGGDMGATKGATSELSSDGAGCLRWLDKKPAGSVVYVSFGTLSSFSPAELRELARGLDLSGKNFVWVISHAGAGADADTDAQPDWMPEGFTELIAPPDGRGFIIRGWAPQTLILSHPALGAFLTHCGWNSTLEAVSAGVPMVTWPRFGDQFFNEKLVVEVLQVGASVGACDYASFMETHHGVVRAEVVAGSIGAVMGDCEEGEAIRSKARELGVKARSAVGNAGSSYGDVGRLMEELMARRKT >SECCEUnv1G0532900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:24804186:24811742:1 gene:SECCEUnv1G0532900 transcript:SECCEUnv1G0532900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLVEDYTGDGRSSSTEDLPSDQQSHSGESLAEWRSSEQVENGTPSTSPAYSDTEDDDWPRPPELYGKFTWRIDNFSQINKRELRSNSFDVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVINRDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLHDGFVIEDVLTIKAQVQVIREKADRPFRCLDGQYRRELVRVYLSNVEQICRRFIDERRSKLCRLIEDRLRWSSFNAFWLGMDPSMRRNMTREKTDTILKVLVKHFFIEKEVTSTLVMDSLYTGLKALEYKSKNQVGLSKLTETDARSTSMVLVEQDMFVLADDVLILLERATLDTLPHQQLPTKDEKASQNRTKESSSGDDFNKDSIERDDRRLIELGWKTLEFFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRIRKKQAKQKKNNRKNNKGKNERVDMKEVALEGSPSDDRNPDDLSSQAEEVTSNPENPEEASDISDNRDDNSEVLNVDLEDRESSPVNWETDASETRGNVPEGGDVQNEQSGKRASFVDDSSSTCSSDSVPSVILNGSNTGGAWTNVKSSSNRGNNRRNKDTDLRTGHAHGGSNTAPNGSYGSSNSKDMRLEAGDDKVVSQKKQNAQRHVDVTSPSKLRMMESSIPSVSPVKKQPIFSQQPKSSLESTNNLSSRATEVSAAAASTTTTRSAVASAPTVQLVPNKGPPSVPPTHNERSVPVASRPLQVPVPTKSESQKPTSLVNSSSVTKVITVSRPLSAPQVPAAKQVPPAVSTVQTAPVLSRSRSAVGRLGNEPSASAPSYIPRSYRNAMMEKSSVGASGLTHQTSSSGQGVTHSQSKFASSPSILSPDNSARTEKSSLEPGFTFGTVKPESLNQYQWREESSQQASCSSSSSNDHGLLISSVGNESDKLSLNGKPRSKQLLSEISTRFTPYQPQGLVGDEFPHLDIINDLLDEELSDRRKVLQPGFVRQFSMPNNVPNNASTLDYGMFNDQYLFDQSEQYYDEELAPYYSDLNGGPQGLRDRSYSQFDLPSYSNSQFDDMVMGQWPYSRADNSMPNFGEDINAYPYQSRDYQTSSANPASRYPSYHPGNGH >SECCE2Rv1G0096490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:439810745:439812451:-1 gene:SECCE2Rv1G0096490 transcript:SECCE2Rv1G0096490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRPLLRRHAVLPPSPMLRSLFPSPYSKAAARPPAVCHAPLPPPLLREVTPRRAPPIPRGEPRRCTAVQSTMSDSTKSLLDKLKDLKDSKKSLEDMGKNIKERREMIKKALDDSRVANAMFRVRLDGLRKLLAKIRNGREDSARINAIYRRLEDDYDKDWAIHEAKGRLIDEQMEMLRKEGDDYINALRGYHELQISILRHAEKVEEAEKVEKVEEAEKVEEVEKAENMEKVKKVAKVCAAAGLVGGLLVILGKI >SECCE3Rv1G0184400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:662847279:662848598:-1 gene:SECCE3Rv1G0184400 transcript:SECCE3Rv1G0184400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHPVRGAICLLAVSLVLCTDKLCTDAGPSAYALLVDDDEDDDGADGDGSSSFSFSSPQATPDELAFGFYDGTCPSAEAVVASTVRELFAADSNVAAALVRLFFHDCFVHGCDASVLLDRIGGGGKSERDAAPNRSLRGFGAVDKIKATLEKQCPGTVSCADILALAARDSLVLVGGPTYPVLTGRRDSAGSFYADVNIPAPNATYATTLSAFARRGFTERETVALLGAHSIGKVQCRFFRDRIYNFAGTGEPDDSLDADMVGEMRAVCGGDGAAPMEMGYYRQGREVGFGAHYYAKLLAGRGILRSDQQLTAGSTVRWVRTYASGHRGEEAFREDFAHAMVKLSALAPLTGSAGQVRISCSKSVE >SECCE7Rv1G0513350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:820998413:820998796:-1 gene:SECCE7Rv1G0513350 transcript:SECCE7Rv1G0513350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPAADRRLRSSAARCDHEHHNRFLRPGALARLRDSKIVARSLRSAASARLLPPSSPAPPSPAPAAGSVPHFFFGGARGGMRYPLRKKLAAARGVVFLPPPPMSPGALDGFLGAFAAAPSELLAAH >SECCE5Rv1G0372320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:852241974:852242330:-1 gene:SECCE5Rv1G0372320 transcript:SECCE5Rv1G0372320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRMLAVAVLAVLFAGAMAVKVKLTVEKGSDTKKLALKIDYTRPGDSLSEVELRQHGSEEWQPLTKKGDVWEVSCSKPLVGPFNFRFLSKNGMKNVFDEVFSTDFKIGKTYEPEY >SECCE5Rv1G0330190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:526366975:526367871:1 gene:SECCE5Rv1G0330190 transcript:SECCE5Rv1G0330190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSEQDGQHATNNLPGDAANGAVDIDSFSQLPFVRPKPAPVMEAGGSSPTSSIRLFGFDFPPDGAASSVTDGDAAAVSSTAPGQAAAASAGASSGGSGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQFQSAMAMQGHYPAHAYPAFGSGYHHRFLAGPPHMGRYEPPPHYPSWSNHHHLAPTMPAAAPRYYGSGPGSVSQPINGSPVPASALWRVPGVSVAAPAAPRQERPTPLTLAGHDDMAVAWERRGQAGSASSASSASSSSQHEAARHGGEAAENRANVSLDLTL >SECCE7Rv1G0524540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886348027:886351925:-1 gene:SECCE7Rv1G0524540 transcript:SECCE7Rv1G0524540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDQFDNSPLLDGHGSSQENTTEYTGDGSVCISGHPASRKNTGNWKASSLIIVCSFCCYLAYSSIGKNLVSYLTKVLHETNLDAARHVATWQGTSYLAPLVGAFVADSYLGKYRTALIACTIFIIGMMMLLLSAALQLISAGPHAWTVWVHLVSSQYTIFLVGLYMVGLGYGAQRPCVTSFGADQFDDTDEVEKTRKSSFFNWHYFAINSGSLIAGTVIVWVQEHEGWLWGFTISTLFVTLGICTFFLGSIVYRFQKPGGSPLARICQVVVAATRNFDNVLPCDSSALYEFMGQGSATEGRRKLEHTAGLEFFDKAAIVTLPDCESPGQHNKWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEQMSSTFIEQGMAMDKHIGSFEIPAASFQCVDTITVIVLVPIYERLIVPVIRKFTGRANGITSPQRIGIGLCFSMFSMVSAALVEGNRLRIAQAEGLVHRKVAVPMSIMWQGPQYFLLGVAEVFSNIGLTEVFYDESPDGMRSLCMAFSLVNMSAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMMAGLSLLNILVFVFCAMKYKCKKAS >SECCE5Rv1G0325540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:466702520:466704073:1 gene:SECCE5Rv1G0325540 transcript:SECCE5Rv1G0325540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BLUS1 [Source:Projected from Arabidopsis thaliana (AT4G14480) UniProtKB/Swiss-Prot;Acc:O23304] MADDAGAAGEAKYPLNPECYRLVCKIGSGVSAVVYKAACLPLGSVPVAIKAIDLERSRANLEDVWREAKAMALLSHANVLRAHCSFTVGSHLWVVMPFMAAGSLHSILAHGFADGLPEPCIAVALKETLRALCYLHEQGRIHRDIKAGNVLVDSDGSVKLADFGVSASIYETPPPASSFSGPLTHAPQVVLSSSSYFSEMAGTPYWMAPEVIHSHVGYGIKADIWSFGITALELAHGRPPLSHLPPSKSMLMRITSRVRMEDAEISKNKKLSKAFKDMVASCLCQEPAKRPSAERLLRHPFFKGCRSKDYLVRNVLSIVPSIEERCKDVTGLCGCAAGGARCVSPCHGQASTSIVKNRRMSGWNFGADCPRKEDADSFEELDRTETAARLFLPLDDEDTVPERACEGAGEDGDKGTMEQQGDREENEGSFGVKGVVVPHLVTILGSLEVQKRMLAQELEGGCCYHHDGNCCRETTAREEMLLAYVRQLEQRVEVLTLEVEVEITRNARLEELLRERA >SECCE6Rv1G0410070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:594735218:594735876:1 gene:SECCE6Rv1G0410070 transcript:SECCE6Rv1G0410070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAALLLAFAVAVSATALAHGRDLPTQIKLTRGGGVVGGDSLECVYTVYVRTGSIWKAGTDANITLELYTAGNADGVAISDLPSWGGLMWQGHSYFERGNLDIFSGRGPCMAGAPCRMRVSSDGTGAHHGWYCNYVEVTVTGPHRGCAQQLFTVEQWLATDASPYKLEAVVDHCPADGAASA >SECCE4Rv1G0243650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:504728356:504730461:-1 gene:SECCE4Rv1G0243650 transcript:SECCE4Rv1G0243650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTTVPVTAADMDDTVLAKLRASRAAIFSVLSDAAEVEAAIDEAGDRLTDLLSSASSPSSSNLQSKAVAARALRARIDRAVAPAEPLLTALRRVSSLAEEAAQLPENPADTESAVAFVDRVDQMRDAIEDVVARGDEAVRRVEEAVGFLGRTTTKAAGRGRVRRLTEAASALRAVYETEAEAMRFEGPLDEALLGLQDLFEAVLLRLKHAAPADDAGAVGDTDEYEELGTDDEVDAAARMAKTLAGNDCLDICVDIYVKAYTAEAIDGMEWEALESSMALWSPHFHVAIASVLTAERRLCARVLAHLPPAVWPECFAKIAARIVAAFFRFADGVVAAEREPQRLFKLLDMFDAVARERERLDALFSGESATLVAIRERASEVERALARAAAGVFYEFGLRVETHYIAGAGAGTDAVHVPKIVRYAVNYLKCLASDDYRGLMDTALRAERERGGGDEDGGEAGGGGGRTALAEAASNVLEALHRHVEAARKVCADAVAGHVMAMNAYWYIYMRTRGSDLSKLIGEEPMKRRYKTSAEEAAWEYQDAAWSPLVRLLGGSGGKAWPADEAREKAAAFAGVLEERVRKHGAEYKIPDGDLRAQIKTAVTKAVRAAYAGFLKANEKAGAGGRRELLQVDVVESMVRRVFEEMGDGEAGGNGMGRTRSSGRVRRESGNMHALDCHTA >SECCE1Rv1G0041050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568401343:568404620:1 gene:SECCE1Rv1G0041050 transcript:SECCE1Rv1G0041050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLLAVVVVATLLLVLVLAGAHGFQIEEATVDSIQLGFDNGSLTSVDLVRFYLDRISRLNPLLHAVIEVNPDALRQAKRADAERRSSGHAIGALHGVPVLLKDNIATRDALNTTAGSFALLGSMVRRDAGVVRRLRRARAVVLGKANMDEWANFRSFSGGGWSARGGKGRNPYVLSATPCGSSTGSAIAAAASMAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVVPITPRQDTIGPICRTVADAVHVLDAIVGYDSVDAPATMAASKYIPPGGYTQFLKKDGLRGKRIGVPNGFFNFPNGTVQQMVYTQHLNTMRKQGAIVIENLDIENLSVLLDSQNNGQQIALPAEFKLSLNSYLTNLLYSPVRSLAEIIAFNNAHPVEENMKENGQSVFLMAENTTGIGASEREAISQLKKLSANGLKKLMREHELDAIVTPNNAASSVLAIDGMPAITVPAGYGKLGVPFGLCFGGLRGYEPRLIEMVYAFEQVTMVRKTPTFLP >SECCE7Rv1G0471860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:134252173:134253566:1 gene:SECCE7Rv1G0471860 transcript:SECCE7Rv1G0471860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMNMRQNSVSTPIRRTTNPFDSDSDSEVPSRPSRTQSAPTQHTNQSVQELEDYTLRKAEETSSKANDCVRAAEAIREDATQILLTLHRQGEQITHTHRVAADIEHDLTMSEKLLGSLGGLFSKTWRPKRNQHIKGPVSQNSSFKSTSNQMEQRQELGIASTPQQSPSSVHSAPATAMDKIKAEKARQDDAFADLSNILGSLKGMALDMGTEIDRQNKSLDTFSDDVDELNFRVKGANQRGRRLLGK >SECCE1Rv1G0042770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:583951868:583954445:1 gene:SECCE1Rv1G0042770 transcript:SECCE1Rv1G0042770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRFAALTALLLAFALLPDPAAARVLQGKKPGSGEGAPVPNVGTGGSEKSAASKEPGQSSTPVGNPETGKQHQKTPPAETTQTPPPPETTQTPPPPETTQTPKDSPPPPSGVPENKGQKGGEAEVSAQPVPAKNGHNQSPPPGSPKSTGGGSGNKKPATESKEVDENCKDPVDTCSIEGELSACLQVSKTASIGQFFIVTNTGQKTVTVDVKATSDISIKQTLPPLSKGESKRVDISHISPNGGEVTLNVGTEHCVLRIRQPVYDWQQQFQQLTAYATTVKPIYGAYFGVFTLVLVAAVFACCKFAGTKRDGGVPYQQLEMGSQAPDSSGANNTTSTGDGWEDGWDDDWDDDEAPAKPSEKGPAGSISANGLSLRSPTNRSPTNSKDGWDVDWDD >SECCE1Rv1G0045520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:610598673:610599413:1 gene:SECCE1Rv1G0045520 transcript:SECCE1Rv1G0045520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTAHPPPAVDQRVQRLATRASIALAVAATLFLLCFLRHASTSCFPASHTIPLSPFPRSSCDAASRRVLPPDRRLAKLRSSSRWRRRSATLASSVFPPLRGLRLLATSSRVLCLAAGAGNAVDALHGAGVSEVTGIDLVEFPPLVRRADHHRLPFSHGAFDLIFSDDPAGFSGALFPARVAAEAERAVRHGGAIALAVDRQLDPAAFVALFKRSRVLDTRDVTLDGSQVRFLILQTNGSTLNPR >SECCE6Rv1G0420620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:678335586:678336018:1 gene:SECCE6Rv1G0420620 transcript:SECCE6Rv1G0420620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGLVLVMALLFVGCLAVGQCRPVVRGSYQDESRANVTANATSIADSSSSRERSSKLTLNWCYPRNCNNENNPFYTRPCYCCPLLLNELCFSNIDDCHAQCPTCHPNC >SECCE2Rv1G0132690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:893680934:893682661:1 gene:SECCE2Rv1G0132690 transcript:SECCE2Rv1G0132690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKETALDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVESEREQDDPLKLSTKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFLAADGAS >SECCE4Rv1G0259920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:691028287:691031799:1 gene:SECCE4Rv1G0259920 transcript:SECCE4Rv1G0259920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINTNDAIPRSDVNREYFAEEHDRKAAAGIDYDSSYGKARPNDTILKLQRTSPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKAGEMPSLQPPDDETIRTLYIGGLDNRVSEQDLRDQFYAHGEIESIRMVIQRACAFVTYTTREGAERAAEELANKLVIKGVRLKLMWGKPQAPRPDDDEAGRQGHVSHGGLLPRAVISQQQSSDQPQPPGMEGQQPVAQGSGYFNIPPPPAVDQRMYPSMDPQRMGAVVRSQEGDGSKPGPQHAGQAQASSSSGQGYPMRPPLPPYYHGAQYPPYYPPPAYGGGYMAPPRMPYPPQYPPYRPMLAPPAPQPQGSSSQQPAPAPAGQQQAQAPPAQQQPPPAAAAQN >SECCE5Rv1G0374800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:864797258:864798948:1 gene:SECCE5Rv1G0374800 transcript:SECCE5Rv1G0374800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAEQPMLDNGSRHTEHHFTAGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAARSEADHYDREMKREQAEIMTVPDIEAAEIGEIMSQYGLEPHEYGPVVRGLQRKPEAWLDFMMRFELGLEKPDPRRALESAFTIALSYVIGGLVPLLPYMFMSAAKDAMLTSVGVTLAALLFFGYVKGRFTGSRPLLSALQTTLIGALASAAAYGMAKAVQA >SECCEUnv1G0543230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:111317596:111324342:-1 gene:SECCEUnv1G0543230 transcript:SECCEUnv1G0543230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASRRSLCAGAAARRHAAAFAVSGDATAAAAASSSPPSAPPRSVTTPEPVVSRLGGKRLLSVLAAPKLNGAESLSSLKLRESALVGRRYESSASSAAAVDSSDVPPAEKFEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPDLLKDGPELDIRIQTDKDNGIITITDSGIGMTRQELVDSLGTIASSGTAKFLKTLKESQEANVDSNLIGQFGVGFYSAFLVSDKVAVSTKSPKSEKQYVWEAEAESNSYTIREEKDPEKLIPRGTRLTLYLKRDDKGFAHPERIQKLLKNYSQFVSFPIYTWQEKGFTKEVEVDEDPAEVKTEGDGEPKKEVKKKTKTVVEKYWDWELTNETQPIWLRTPKEVSTEEYNEFYKKTFNEYLDPLASSHFTTEGEVEFRSILYVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDEYEAFWENYGKFLKLGCMEDKENHKRIAPLLRFFSSQSNEELISLDEYVESMKPEQKAIYYIAGDSLSSAKNAPFLEKLNEMGYEVLLLIDPMDEVSLTNLNSYKDKSFVDISKEDLDLGDKNEEREKEIKQEYSQTCDWIKKRLGERVARVDVSNRLSSSPCVLVAAKFGWSANMERLMRAQSIGDTSSLDFMRSRKVFEINPEHEIIKRLNAACRINPDDSEALKAIDILFETSMISSGFTPDNPTDLSGKIYDMMSKAMAGKWVSQPQPAQPSQQQPPAPSSSEPEPLEAEVVESVEAGQQK >SECCE4Rv1G0289150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:863731256:863732476:-1 gene:SECCE4Rv1G0289150 transcript:SECCE4Rv1G0289150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSKVLNDDNLLWEILVRVGFPSTLVCAALACKRWYRHASDRKFLNRFREHHPPCLLGFYVHVCTDPTTVAPFFPILPPPPELNSVTRRASFSLDTYPSLLTEIIGCPNGSVLMSFRSGSHFTAGVHSPLRPERGLVVVPRFLVLKSVDGYPVAWRQLLAKEEDTGLSYIELWVGSNKVRTKSMVHVDALRNGSVVLRRHLTLAIDELIAARREPKAVLADDKIYIPSAWRDIFILDLTSSSISRIQLPQGVEYGNKDTRLARADDASGLYLVHAKELQLHIWLHKGDTWLLMDTICLRDMVANLRMPDCKVEDEPTPPLRMHHVGDYAEFFILEIGRWALYLDIKYNKMRKLYDMTETEQLFGEIHPFMMIWPPRFPVLKDDPPRFAFWPLDDQYIAFVEVT >SECCE4Rv1G0228390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:134993513:134995243:1 gene:SECCE4Rv1G0228390 transcript:SECCE4Rv1G0228390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPARPFLPLLIVLVLITSCVADAGADATAWQLEPAQPTSPAALAGEWRLLHANIGVSAMHMQLLPEDFVLMFDRTDSGPSNISLLDPASCAAAAAAPNATVAPVDCSAHSVLLDLRSNALHPYPLATNPWCSSAALLPNGTLLQTGGFSNGDRIARLFSPTSGWVDLPDFLAARRWYATDILLADGRVLILGGRRQYNFEFFPHDGVNGPPPLTFFPFLDETTEVDAENNLYPFLHLLPDGTVFVFANDRAVVFDPYNRTPLRRLPTIPGGVPRNYPSSGSSVLLPLRPEYPTHAEVLVCGGAPRGAYQLALRNGTFVPTERTCGRIAPTDANPVWAMEEMPLPRVMGDMVLLPTGDVLIVNGAAAGTAGWELGREPVMYPVLYRPDTQNGARFEVLTASTVPRMYHSSATLDTYGRVLVGGSNPHIGYVFANVTYPTELSLEAFLPPYLDTRLDGLRPRVLGAPAEVGYGEAASVRFEVPGGALAGGGPEEQVVRVVAVVPAFATHSFGMNQRVVDLAVTRVAQLDVGVYEAEVATPPSPGVAPPGYYLWFVVHAGVPSSAAWVRMRPLGAAP >SECCE2Rv1G0138740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:923074807:923079045:1 gene:SECCE2Rv1G0138740 transcript:SECCE2Rv1G0138740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGEGGSAAAEGGGGGAGAGSGRRLFVAVHVGAGFHAPANERAYRRAIRRACLAAAAVLREGSGTSLDAVAAAIRVLEDDPITNAGRGSSLTESGQVECDASIMDGTTGSYGAVGAVQGVKNPIQVALHLAKEQMSGPSLLGRIPPMFLVGEGACQWAKCKGIDIIEATSEDNNWLVTENAKAQWVKYSALLASAKESVIRSTASASESTSIQLDPSGGQAENLNNAKKIKKEFSSSIMEDDQDCVMDTVGAVCVDEYGNVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFVIGCCATGAGEHLIRGFASRECCVSASLSQSGPASSCTKVLRSVVQSSSEMSHDTGAGLLLVQSDLTKRGESPLLEAVELVAAYSSPSFGIGYFGSNMNSPKVSMLRDSRAPSGSVQHFATRVNFGAPSSEG >SECCE3Rv1G0205500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900555255:900556220:-1 gene:SECCE3Rv1G0205500 transcript:SECCE3Rv1G0205500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARCSLLLTATLLLALSHGAHGHGVAGAGLSSSFYDESCPGAGDIVRRVIQKARVTDARIPASLIRLHFHDCFVQGCDGSLLLDNDLPAIMTEKEVPANDRSARGFKVVDSIKRALENACPGIVSCADILALASEISVELAGGPRWSVPLGRRDGTTTNIESANNLPSPFDSLETLQEKFRNLGLDDTDLVALQGAHTFGRAQCQFTQRNCSAGQDEETLVNLDAVTPDVFDNKYYGNLLRGRAPLPSDQVMLSDPVASATTAPIIRRFSGSQKDFFKNFAASMVKMGNISPLTGRAGEIRNNCRRVNRKPY >SECCE7Rv1G0502000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:691753217:691756884:1 gene:SECCE7Rv1G0502000 transcript:SECCE7Rv1G0502000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAELPVLVSLLLALAASTGSAPIPHAGTAASNSSDRSALLSFKSLIRDDPLQALGSWGNRSTPICQWHGVACGRRGRRRGRVVALDLRDLDLLGAVSASVANLTFLRRLHLPGNRLHGAVPSALGHLRYLKRLNLSDNSLDGAIPPSLSRCRQLDSISLGYNRLKGEIPRELAALPSLGLLELRRNELQGEIPHELGSLHNLVVLDLGHNKLQGTIPSEISSLVNLEFVDISNNRLTGEIPPGIGNLVKLDTLSLESNKLSGSIPSSVGNLSVLGFLSLATNNLTGSIPALHNLSYLSELNLANNGLTGCIPPGLGNLTSLSAISLSRNQLTGQIPASLGNLSLLELLYLSFNNLTGPIPHSIGNLHSLAEIGLESNELEGPLPPLLFNLSYLQVINVQSNYNLNGSFPFDMGNNLPGLQVFFAAYNQFHGPIPPSLCNASMIQKIQLLHNSLTGTIPSCLGIRLKSLSVLTIAENHIRTTQDADWGFVSSLSNCSNLQVLDLGGNMLQGEIPSSVGTLSTNLEFLNMQDNSITGKIPEVVANLTNLHALFLDSNHLEGTIPTSLGKLQRLNLLNLGMNGLSGSIPPTFGNLTQLSKLDLGGNKLTGSIPSSLIGCPLEELDLSNNSLVGPIPRDLFLINTLSIYMRIENNLLTGDLPIELGNLKNIGELDFSGNMISGEIPISLGGCQTLQFLNVSRNNLQGTIPSSIMQMKALLMLDLSHNNLSGSIPGFLGSMEGLVSLNLSFNNLEGEVPTGGIFSKAASASASIMGNDGLCDGIPQLKLPHCSNLTTTKPTQKLVIIFSICGAVVLIALVLALSTFYSKSRKMKANKQTSSVGEQYLRVSYAELVTATNGFASENLLGAGSFGSVYKGTMRIDDQQVVIAVKVLNLTQRGASRSFVAECETLKCARHRNLMKILTVCSSIDSQSRDFKALVYEYLPNGNLDKWLHHHPTENGENRSLHLRVRLQIAIDVASSLEYLHQHKPMPIIHCDLKPSNVLLDGEMIAHVGDFGLARFLHQDSEKSTSWASMRGTIGYAAPEYGLGNEVSIHGDVYSYGILLLEMFTGKRPTDGEFGESFGLHKYVQMALPERAAEVIDRDLLAETEDGKESSSNSNSNMDVRIACITSVLCTGISCSQETPTDRIQIGDALKELLAIRDKFDKELCAEGEPAN >SECCE2Rv1G0130920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:879655389:879655904:-1 gene:SECCE2Rv1G0130920 transcript:SECCE2Rv1G0130920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIELFQGVVVDGSSAYVPIDEEEEEEYEAADDGHEQSPMSTTSRKRGSNNAEMSVSSPGKKHKSTMVKLMTGLINTMNSENTSDMIIEYANKRQEAKDKEKEKKSNNTKESITRCQLLVVECGAEEISVEYFMATQLFADEANRVIFQNISSNEAIMTWLKRWCMMKKLY >SECCE7Rv1G0500860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:676181546:676187120:1 gene:SECCE7Rv1G0500860 transcript:SECCE7Rv1G0500860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNQSPHLLPMAAAAGSEHAAEEGGTLSIYKAARRIKRRESTLYNALRSVADDAAFVAEIAALWPALPLVANLRCGLWYAQPRSLAATCYFKSTDGHAGNWGFSTARLNLHLALLAGERGGCIIVDSTRKGKRFPDSMAKTIPIWCCVLNRAIQRHRLRAINQGSGSNSEMSAVVSNGDAEEYSGSSNWDSSVHLPVWVLETEKNAIEGRIEEWTNLFESCGADIHSLALGLQKPLRPLWISQNTRIWLNEVPDHQLWDFTPIILVSASASGTVATQRTASEFSWRYIPGAGDDEESWARGLTPTLFWKHSYDLLDGGPDLCNQLVADIVEKDRVYRAQRGEHSPQVIVKHVKCSSHGLEPYTGDHTFITQPMNSNPSTIDPANTQYSNGGHVVFWIGTSNLAVASTMQVADGLADMDCILNCDSTSRLPPSSSEDSYLELPIVGSKDERFSLLKNLPKAVSFAKKNLIARRKMLLCCQNGEDISICVALAIITRLFSDTGCFDCGESFMRRDITKLEMRKRLVFICKYAVNARPSRGNLRQVYGFLCNEKEQLSC >SECCE7Rv1G0516070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:842846596:842849417:-1 gene:SECCE7Rv1G0516070 transcript:SECCE7Rv1G0516070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGNYLPLIGMILVQLGLAGLNVLSKLTMASGMSPYVLITYRNLLGAVFLAPFAFFFERATMNQVFYFVGLKYSRPTVASALNNTLPAVTFALAAALKMEPVAALAGKAKVGGTALCVAGSMLMTFYRGPLVRTLASPIHWPYVQRTMAAEAAAHAGGHAAALGAALVIASNVAWAVWFIIQKKMSNTFSSPYTTTVLMAAMASVQCGVIAVAAEPRPSAWALGLDIRLIGSLYAGVVASGIVIAVMSWCIQVRGPVFVSMFSPMMLIIVAVVGWGILGEKIRVGSVIGAVFIVVGLYTVLWGKGRDIIATEAAEDEEEKKIGGGEGSNGALVDGAAVLRPCPAADRHEATGQP >SECCE7Rv1G0480200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:266882386:266884317:1 gene:SECCE7Rv1G0480200 transcript:SECCE7Rv1G0480200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLEASQGAMRTKFISSPGPQNRRLSFTYEELNAATLGFPRDHFLGEGGFGKVYKGVLNGNEVAIKILNPNGLQGNREFCTEVMVLSKMHHPNLVKLVGFCADDDQRLLVYEYMPLGSLETHIFDLPPDKKPLDWNTRMKILAGAAQGLKHLHVNCNPPVINRDVKCANILLGKEYHPKLADFGLAKLGPTGDDTHVSTRVMGTPGYCAPEYLESGQLTIKSDVYSFGVVILEVVTGRKALDQSRIRAERSLAEWAAPLINRREFAMLADPALGNQYSMTSLYQVLSVAGMCLNTTASQRPQITEVAAALTHISKSRRTRRPAHQQSAAQVHQPGEDI >SECCE7Rv1G0524270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885595064:885595348:1 gene:SECCE7Rv1G0524270 transcript:SECCE7Rv1G0524270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSKEQEDALQLLSSLQELDFLIFKDLQQLPAGLRNLTSLKKLSVNSCPAISSLPNDALPDSLEELVVYKCCEELKEKCRGLVGTIPIVKGL >SECCE5Rv1G0298070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:9441495:9443595:1 gene:SECCE5Rv1G0298070 transcript:SECCE5Rv1G0298070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKRSLAESGESSKPKKSRPGPDPLDTIRFLPLKDGPMITAYLVACYTRQFGQDLFMKVNKEERYIDVRFADKKSADNVKRNFQVPWQKPPFNMVLTNTIDGSQIITPGEVKMYQPPRDLDHDNTQPSVPLCWNNPHPVTFELPKKKDEEDDEASPAADESSPAADLPPRVQKPKTTKPRRQPRVIAKYPPTAQPPPPSSSPPFREPKWPTPPPHITA >SECCE7Rv1G0481290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:282549921:282550427:1 gene:SECCE7Rv1G0481290 transcript:SECCE7Rv1G0481290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIPPAAASSAPPHRGGAVTEEERQRKRKTSNRLSARRSRVKRQQREDNLVARAAQLSIDNEAMRVADGLMQQQCSLIEQQNRVLFADARYLYSLLQQRNSQLRELGELAGVPMDVQEIPVHLTQLYGGLQTPPAPPLSLPPEIQMMMFQPDQLDDIMDDAGSLPWL >SECCE3Rv1G0159450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:96130511:96133645:-1 gene:SECCE3Rv1G0159450 transcript:SECCE3Rv1G0159450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEPPRTTAPTTPPASPRTQQPQAPAAVPSRRYGVHFSASSFIQAPLSALLEYSGILRAEPGPHHPPAGGGEVSIRIVGPAPTDDVQEEGDAAGGAQPSAPAPAAAAGADPSSSSYQRYDIQQVARWVEQILPFTLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKMLILVGITILFVVHVSGVYWCYKNGDLIRPLVMLPPKEIPPFWHAIFVILVNDTMVRQTAMIVKCILLMYYRNTKGRSYRRQGQMLTVVEYFLLLYRALLPAPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKIQSFFTSLRALSHKDFHYGSYATSEQVAVAGDMCAICQEKMHVPILLRCKHVFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >SECCE7Rv1G0491220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:519717763:519718688:1 gene:SECCE7Rv1G0491220 transcript:SECCE7Rv1G0491220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEALDYVLVPLGLALMLGYHAWLLLRIQRRPATTVIGVNAINRRIWVRHIMEEPSGKHAVLAVQTIRNNIMASTLLASTAITLSSLIAVLMSGGGGGGPGAGGGGLLPDAPLVVGATGEAALLAKFFAILVCFLVAFLLNVQSIRYYSHTSTLVNVPIRAHRLRRPGLAVDYVTGTLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFAACVVMVCVLYFLDVCEDWEEEQGVSGGDETSERGKDVV >SECCE5Rv1G0325460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:465859031:465860272:1 gene:SECCE5Rv1G0325460 transcript:SECCE5Rv1G0325460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSLPLYAASFLVVLLVWPLMAVASAAAPAAETSYETKSMDPGLALMTLPQPVSGPESLAFDRRGGGPYAGVSDGRVFMWRGRRLGWTEFAYNSRHKSVGMCAPKKKLVVPESVCGRPLGLQFHHKSGYLYVADAYLGLLRVPARGGLAQVVATEAGGVPFNFLNGLDVDQKTGDVYFTDSSTTYRRSEYLLVVALGDETGRMLRYDPRTRRVTVLHADLSYPNGVAVSPDGSHVVVSHTALSELRRYWVRGPKAGTNETFAELPGFPDNVRSDGQGGYWVALTHGGDDGLAAPTVAVRVGRDGAVEEALGGFSFETVSEVGERNGTLWVGSVDTPYAGELKRY >SECCE5Rv1G0362860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:789432993:789434459:1 gene:SECCE5Rv1G0362860 transcript:SECCE5Rv1G0362860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTQNGHGDHAKKQPLVVIYAPPGMAGHLVPTVELGKLLLAQGLQVTVLLGGGDTSFLDGVAAANPALAFHCLPPAALAPDVAAACSSSFEARVFELARASNPDLRDFLRSARPAALLVDFFCSAALDVGAELGVPTYFFVITCIASVALCLYQPVIHEGTTLSFRDMGGDPVRAPGLPPIPADHLAAAVMDRESLSNKHFLELSQRMCDSRGVIVNSCRSLELRAVDAIVSGICTPAGLPTPPLYYIGPLIKPDEETGTTKRHECLAWLDGQPEASVVFLCFGSMGRFSAEQVKEMAAGLEASGQRFLWVVRHPPPPGAERRPPADGDDDGDIEELFPEGFLYRTKDRGLVVMSWAPQRQVLAHGAVGGFVTHCGWNSVLEAVMAGVPMLAWPLYAEQRMNRVFLVEEMRLAVPMEGYDKKMVESDEVAAKVRWVIESDGGRELRRRTREAMRLAEEAVGDGGESRAVLVDLARQWKKRAADSDS >SECCE6Rv1G0380900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:27328235:27331537:1 gene:SECCE6Rv1G0380900 transcript:SECCE6Rv1G0380900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMEWNQRSSVLWDWENFPPIGENPKSAMQAEPRFAGAAATMGNEPLHSSGGSGTFSSSSEMGYGSSKSSLSASIDSSSRAGNNMEFRFAPVKNPDRNTSKNAELGKVDNTRTGTSPSSVVAVSSGEPVIGLKLGKRTYFEDVCGGQSVKSSPSGAASAPNKSPASGKKAKAEQQKPHNSYCQVEGCKVDLSSVKEYHRKHRVCELHSKAPKVVVAGLERRFCQQCSRFHALAEFDQIKRSCRRRLNDHNSRRRKPQPEAISFSSSRMSTMFYDARQQPNFLFGQAPYVQMRSCGSSSWDDPGGFKVTHTKAPWLKPTTAAGVHGMHLSSQQMSDNIMPHGAHHGFDGFMAFKGTCTKFPNQGVQASAVAPDSSGAPDLQHALSLLSSNPVGAANLQPSPQMHSGVAAIAGAPNPAMHALGSSAGLWLDGGPPLDDHPRFQVFERLGDHDSELQLPKPSYDHASHFDRMH >SECCE4Rv1G0270530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755701800:755703455:-1 gene:SECCE4Rv1G0270530 transcript:SECCE4Rv1G0270530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVVLIVGAGPAGLATAACLSQFSIPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKTLFVKYLDDYVERFNIQPKYLTSVESSTYDNDKKCWSIVAHDMAKSKIVRFTAKFLVVASGENSAENIPMIPGLQSFSGNVIHSSSYKSGKSYSGMNVLVVGSGNSGMEIAYDLAVHGANTSIVIRSPIHVMTKELIRAGMTLARRLPLNLVDNLLVMAANLIFGDLSRHGIRRPKKGPMILKSKTGRSAVIDVGTVGLIKKGIIKVQESISKIMGDIVEFQGSKKTSFDAIVFATGYKSTTNIWLMNSESMLNDNGLPIKEYPDHWKGENGLYCAGLARRGLAGITADAKNIANDIKSVIGIMSG >SECCE3Rv1G0157420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:81710698:81712686:1 gene:SECCE3Rv1G0157420 transcript:SECCE3Rv1G0157420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C6 [Source:Projected from Arabidopsis thaliana (AT3G48610) UniProtKB/Swiss-Prot;Acc:Q8H965] MPPPMAMRALLLLVLLSFASGTAIAAPSGASPIKNVVVLALENRSFDHMLGWMRRLLGLPIDGLTGAECNVDPTNSSLPPVCVSADASLVVPDDPGHSFEDVLDQVFGFRPNSTAGADDQPAPPTMSGFVRSALSVNSLLSSAVMRGFTPSLLPAFSALAADFAVFDRWFSSLPGPTQPNRLFLYSATSHGAVAHDKWNLLRGYPQRTIFDSLAADGRRFNVYFKTIPTTLFYRNLRTVRAAAQSFHFYDSAFRDHARRGRLPALSVIEPRYFDLTGTPADDDHPAHDVANGQRLVKDVYETLRASPQWNDTLLIVTYDEHGGFYDHVATPVAGVPSPDGLRGPVPFFFKFDRLGVRVPTMMVSPWIEKGTVVGRPPNGPTATSEYEHSSIPATIKKIFNLRSDFLTKRDEWAGTFEHIFTQLDQPRTDCPETLPEVPFERTTPPKEHGILSDFQRELVELASFLNGDYMLTSFAQETQKKMTVKQADTYVRRAIKGFLQASKQARRLGANESAIVTMRSSLTSKSTTSGP >SECCE1Rv1G0039290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:547274293:547276375:-1 gene:SECCE1Rv1G0039290 transcript:SECCE1Rv1G0039290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAADAQRTDLMTITRHALNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNIQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEAAIFVDAPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNATLEDVLQPGTDMIAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNKGKIYSVNEGNARNWDAPTAKYVERCKFPQDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQSFTGKQRALEIVPSEIHQRSPIFLGSYDDVEEIKSLYASESSTA >SECCE2Rv1G0125370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:840369758:840371356:1 gene:SECCE2Rv1G0125370 transcript:SECCE2Rv1G0125370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMLEHLPPPHPTKAKAKATEQSTSAAVAGEFDPTEPWYARVSTLTRLRRHREALAVLRHADPSPPPHAMALPSAVICCAALSLPSGVAQIHALAAKRGLLPASDAYLLSALISSYCRLGLLRCARQLLDEMPPASTPPATVLAAFNSLISGCALHALTPSCFALFRRMRVHVAGVRFNAVTLVALLPTAPTTVVPQLHALAARAGLASSTTVANCLISVYGRSSPVLARQVFDEMPLASRDLVSWTAVLSAHAQNGLAVDALELYRRMCGHGVEPDALTLVGVLSSCAHLDARGIGLHVDRYVRERIPGFRANVQLCSALINFHARCGSLPQAQQLFDEMPRKSIVSWTALITGYGMHGHGDMAINLFQRMVSEGIQPDNVAMVALLSACSHAGKYEEGRKYFFAMESTYKLRPTLEHYACMVDLLGRAGRLEEARELILSMPMPADGSVWGALLGACKIHKNVETGEEAFKHVIMLEPSNVGYYVLMANMYTDSGQQDGVARVRAMMRERGLKKEPGVATSNARGEFTC >SECCE1Rv1G0007030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:37551528:37554269:1 gene:SECCE1Rv1G0007030 transcript:SECCE1Rv1G0007030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTTASADPGTPYKLLLSCPEGLPRSRVSVRFGSSFDRIPHPDASVEESIGEIWNQRLQQNPSLYNGTKFRYGGHALHRSDESNQEYCVSLHLGLTDYRTFVGTNLSPLWEKFLVPSQDDSVCCQHMSNPLGNGAIVETSDEKIIVLQRSNNVGESPGHYVFPGGHSEPQEVGILAHQNDEKDVADLTERISDEMFDGIIREVVEETGVPASSLTEPILIGVSRRETNVRPAAFFYMRCNIDSSAVTELYARAQDGYESTRLYAVSVKDLRDMSQRLPGCHLGGFALYQLMRNASESL >SECCE6Rv1G0408600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:574624349:574625341:-1 gene:SECCE6Rv1G0408600 transcript:SECCE6Rv1G0408600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLWASSAANALKISSSGARVAAPAYSISRYFSTVLDGLKYTSSHEWVKNDGSVATIGISDHAQGHLGEVVFVELPETGTKVSQGGAFGNVESVKATSDVNSPISGEVVEVNSKLSETPGLINTSPYEEGWMIKVKPSSPAELEGLLDSAKYTKHCEEEDAH >SECCEUnv1G0565450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:394186010:394186321:-1 gene:SECCEUnv1G0565450 transcript:SECCEUnv1G0565450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >SECCE6Rv1G0429730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737453381:737455721:-1 gene:SECCE6Rv1G0429730 transcript:SECCE6Rv1G0429730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPCECCRTKLKFLRQINGNFMHSLVIPEWFVNQFGGKIWGTVRLETSDGNMYDVGVTESMNRTILKSGWATFVDANQIEENYSLMFRYLGNARFEVTIFDSSGKEKAWCCSGMRNASGVHKPSSFDVDNSSSRGGTTQSSASEGSDSDGSQKKRSYSSEESSEDNLSIDNPVESDDVRNYYVLSGQCDLTEAQELKLQAFVQKIGPEIPMLVVLMKKSNVKRNGDLVIRKDYAHEYLPCKNTNIILQVPRKNRVWECKFHIRPSGLTGAGRRNLSLGDFVGDNLIREGDICLFEPVTNTKQKRFTMTVHILGKLSIGQSPGRTTDIGSNHGRRSTKMGSVKTEPPINGEEYSSQHEEYGVSDNSEEGSEPPFMLPDRSYLTETQENKVLEKIKDIGSDSELPFYVAIMGKINVCRGSSHCNNTPILNFGFRYAARYLGKKFAAGHHGGKCNAINLVLQRDGKSRQWPTKLRYTHITRASSQQMRIIKGWPSFAGDNRLRVGDLCLFKLMENEEQLTMMVYIIRREKY >SECCEUnv1G0561150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:352150042:352151304:1 gene:SECCEUnv1G0561150 transcript:SECCEUnv1G0561150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLSSALSSMEVMLDALRQRGIGKPDDKPKEEPPPALPTRPTVRGRPPSIHRPAPGAPWSQRPPLAPLPPPPEGEEEEAKEAERREVELELERRAVRAEEEAKQKDDDVRLKEEEIAGLRQQVELYEARLAEWEAKMKSVEEELQRQTAALQMSQAAAAAAAARSAAGSTSHRREPTLSDGVAQAEEPPAPLPTRAEEASVKRGESLFRGASVKPQQQQQQQQQRGGELTVAVAPVVLSSISKKGHAEHHLATEFARETQAFEHAARAVTEVKPGTMSVDELKMLRRQFAAWKKEYEARLRKTKAELKKRVHSEKSHDQQQAAHGGRRRWCGWWRTIKAPKFRAPKRCCTCACAIKLPSLPSCKFPSFPSCSFSCCCFRRRR >SECCE4Rv1G0257320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:666101826:666102275:1 gene:SECCE4Rv1G0257320 transcript:SECCE4Rv1G0257320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDSKDMLKNADWKTVSGPVITESSQPIVKKRLPKKIRQVPECYFLPRRSLPSALAIYGAVCAAGVGAGMLLEVWINKKIKEDGGVVWEMGK >SECCE4Rv1G0230550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:166528888:166534571:-1 gene:SECCE4Rv1G0230550 transcript:SECCE4Rv1G0230550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSQQKDRAIDSSRLQNLGATDRHGIHEVGGPFLVGGASLLNTRTGLFYDLELRHILTDAHRFQAYLEFREKALEIAVAELMFGTKSPADLFLWVAADRHNFNSRLVEYILTRYMRHDGASSILQSSSAGTEVDNIRIMHYLPKDKIRQIEDDQKLLRERLSSVQRYFAYRKHLLKLDFIQSNSDPEDVLLRLKSSNRRPTLQDDELSHCLKSHIDFLKDYKKRKRDPALSIPEYENAASEKINEELSSGLQKHLDRYDWMLMEQYYDRMKLRGLARTCATQAQKTGAILVGEALPIREHLKEYAQSASPELKKLPWIEQAVKQSLQDYRSRIQEKFRNRAPLGKSLQVLLLGALGLCAWRRPTN >SECCE4Rv1G0250200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:589852041:589852286:1 gene:SECCE4Rv1G0250200 transcript:SECCE4Rv1G0250200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARKTMRLALPVLVAALLLLAVLGEARPLGGADWAAAGGTPLLPGASTMAQTLRRLYTQRLGGPGASCSTNSPNNPCPP >SECCE5Rv1G0363060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:790717085:790718913:-1 gene:SECCE5Rv1G0363060 transcript:SECCE5Rv1G0363060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLRLPDMAAAASTSSAAAPGSSSGGGGRSPAAAVACACPICLEGFEDEAYLDTCLHSFCYECITQWVSIVASKHEEPLSSVKCPLCKTESVSILHAFDGKSFQRHYIEQDPGKRHLVDAHQLITQFYNTREISDDTASVLQYWKQRKYLRKNIWLETWLRREIQALTQDENVEAIVYHIDGMIESFMKTQEKLHTSKQTSPEHTREEFRSLLSDAARPFLLGRTARFVTEVELFLISQRNIDAYSRVRLQRFKESASHVAREQDALPQDRPLEDHYLYLLNEETDCVGGVI >SECCE4Rv1G0258730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679064573:679068442:-1 gene:SECCE4Rv1G0258730 transcript:SECCE4Rv1G0258730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-lyase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57050) UniProtKB/Swiss-Prot;Acc:P53780] MAAAAAAATPAARLFLLHSNPPSSLPCPNPSPSSAHTPRLAYPRLALSRRMAAAPAPAAIAGTSGDSERDLSASAVSLEAHGSVESSGDDLERKEPSVATILTSFENSFDKYGALSTPLYQTATFKQPSATVNGAYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLLQSGQEIVAGEDIYGGSDRLLSQVVPRNGIVVKRVDTTKINDVAAAIGPLTKLVWLESPTNPRQQITDIKKISEIAHSHGALVLVDNSIMSPVLCRPIELGADIVMHSATKFIAGHSDLMAGTLAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQDNAQKIAEFLASHPRVKQVNYAGLPDHPGRSLHYSQAKGAGSVLSFLTGSLSLSKHVVETTKYFNVTVSFGSVKSLISLPCFMSHASIPSSVREERGLTDDLVRISVGIEDVDDLIADLDYALRSGPA >SECCE1Rv1G0044710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:604431021:604433447:-1 gene:SECCE1Rv1G0044710 transcript:SECCE1Rv1G0044710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRAVLVGINYAGTKAELKGCHNDVARMRRCLVDRFGFDDDDNAIRVLTDADRAAPRQQPTGANIRRELARLVADARPGDSLFFHYSGHGTRLPAETGQDDDTGYDECIVPSDMNLITDQDFTELVQKVPDGCLFTIVSDSCHSGGLLDKAKEQIGHSTKQNKAQQGKREERSDSGSGGFRSFLKQTVREAFESQGVHLPRRSGQQSSYDDAESEEPSLDSGTDGHVKNRSLPLSTFIEMLKEKTGKDDIEVGSIRMTLFNIFGDDASPKIKKFMKVMLDKLQQGQHGGVVGFVGALAQELLKAKLQGKQEDLKPAMEQEVHGVEEVYAGTTARAPHNGVLISGCQTDQTSADATTPKGLSYGALSNAIQSILAEKDGKVTNKELVLRARQLLSKQGYKQQPGLYCSDRHTEVAFIC >SECCE3Rv1G0163260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:146452889:146454202:1 gene:SECCE3Rv1G0163260 transcript:SECCE3Rv1G0163260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNRSVERLAQRLVAPAEPTPVGPLRLSWLDRYPTQMALIESLHVFKPALDRDIAVGPARTIERALAQALVQYYPLAGRLGFTDEGGLLQVDCGGDGSGVWFTEAAAACALEDVEYLEHPMMIAKDELLPPTPAEEEDVRRLVLLVQVTTFACGGFVVGFRFSHAVSDGPGAAQFMAAVGELARGRTVESLAVEPQWGREAIPNPSSAVIGSLPSPAGAKRLEYLAMDISADYIGHFKSQYNTEHAGSWCSAFEVLVAKAWQSRTRAAGFEPESTVHLCFAMNARPLLQASLPRAGAGFYGNCYYIMRVSAPAGKVSGSSIPDVVKIIKDGKRRMPSEFTRWATGEAGADVGEDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVAAFHQGMLDMN >SECCE2Rv1G0125150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:839222593:839224445:-1 gene:SECCE2Rv1G0125150 transcript:SECCE2Rv1G0125150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPSPPPEHRTPPAPTTISDLGDDQLREIFIRLPDLPSLASAAFTCRGFLGAVRSSRAFRRRFSALHAPPLVPCFLAHTIAPFPASRRPSAGSTPLQDDDTSDWRVDFYGPWVLYNDRRIAIKHRSVKHESVWYNPQTMDLFLCPKDHHDMPDGTTLWFRTFSREEDQMPSRVVCVRYDYSRPCARFAVFSSDTMEWQISPETSTPLPQGFRSGACTVLDGFICWQWESMWMMVVREYIFVLNADTFQFYRMDLPPPLRVLHQTFKIGQTNDGKLCIVNEKECTFSIWVLTAGDDGIESFVLHKTFPLQARFMEITNCSVEDTISVRLMTVFNGFVYFALCPWRNYADQYECPEWFMSMSLETAELKQQLKSRERPVFLVHPYSAWPPSMKYIPEDSKSEAIGNGVDDVGLDSTEKDSSLLIKALRSYKEALIKDGDANVAEIEAFSLCIDVEDEKNSLVRKIMDSDELLRTLRDRVLRAGADYEFYREKIKSESWWQVCKKKLWKAFCTG >SECCE5Rv1G0360970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:776536694:776538505:1 gene:SECCE5Rv1G0360970 transcript:SECCE5Rv1G0360970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMAVRGPMGRASTAGARGIGVPLPPWWIQQQAKKNKKQSFQRRRALEKKSEGQLHAGGSTEAPLPKKPRATPDPSGLALPGVSKGKATEPIPVEEAPEVECFKCGRLCHYQSRCKFKPLCVLCKEEGHASANCPTRGRQLHLQIMVSAISGEGFFCLEYDDDDELETPIDERIKNAAILSAEPGKLTLRILKQELKHMVANDWDWQVTQVGDDDFLVVFPSADLLHMAKSSGKLFLSIHDITARVRDMLHEEIQPMLMPETWVRLYGIPKKHRREDRIKEGLRMLGRPNVVDELSLIRLGPVRMKIACRAPEKLNGVVEVWFNSEGYQIKVEREVLPKRGGERAHMVVAATNPPKIAAPAKGLCAPLPPAHGSNPSGSVGARVLGTTKAVIPPMPVATDEPDESLNDTSIDTETWEKLGALTSEVWAIGMATQDTAVSVPPLARALDTSFNEYGSNLEALPLACKVGADTSKLPPPSNTPMNEDQELVVLGDCELTPGPRRGSNSAGRQPKKTAGRKPASKAPTAAVGNSTELGGELGSALGATGTNSKAKRSKATPVAQRAPSARAKAKLGELLSMESAQLRIADKNMEAAGLDAVGESA >SECCE1Rv1G0003670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14871815:14872069:-1 gene:SECCE1Rv1G0003670 transcript:SECCE1Rv1G0003670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVENKPEGVNTDTGDHHNQKTEWPELVGMSVDEAKKVILQDKPDAWFIVLPVGRIVTMEYRIHRVRLFVDSLGNIAQVPRVG >SECCE6Rv1G0447050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:848353503:848355149:1 gene:SECCE6Rv1G0447050 transcript:SECCE6Rv1G0447050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLISYVSVVLFFLSGAYVYYTTRSRPTQRLPPSPPGWPVIGHLHLLTDMPHHALAELAKTMRAPLLRLRLGSVPAVVISKPHLARVALTTNDAAMASRPHLLSGQFLSFGCSDVTFAPAGAYHRMARRVVVSELLSARRVATYGSVRGEELRRLLAHLTKNAAAGATVDLTECLLNLANDVLCRVAFGRRFPHGKDDKLAAVLAEAQDLFAGFTIGDFFPELEPFASTVTGLRRRLKSCLADLREVCDDIVEEHVSGKHQRLPGDRDEDFVDVLLRVQKSPDLEVPLTDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPLILRKAQDEVRRVVGAKGRVEEADLGDLHYMRAIIKEAFRLHPAVPLLVPRETVEACTLGGYHIPPRTRVFINTFAMGRDPEIWEDPLEFSPERFEVGGAGDEIDLKDPDYKLLPFGGGRRGCPGYTFALATVQVSLASLLYHFDWALPAGVRPEDVSLEESFGLATRKKEPLFIAVRKSDAYEFKGEELNEV >SECCE3Rv1G0198670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:834437587:834440817:-1 gene:SECCE3Rv1G0198670 transcript:SECCE3Rv1G0198670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 43 [Source:Projected from Arabidopsis thaliana (AT3G46980) UniProtKB/TrEMBL;Acc:A0A1I9LP04] MAAPGQLLPLTRSLLPPSAPPFLSGRRRLPPPARAQTSPRPPWQPRRLPCPSPLQPLRPLARHRTRHVAAPPGASAAGGGEAQALAAEFMTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQSSFLWGYLISPIIGGALVDYYGGKRVMAYGVALWSFATFLSPWAAARSLWLFISTRILLGVAEGVALPCMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRIGTFGPFVIFGLFGFLWVLVWISAITGTPGEHPQISAYELEYITKGQKLVKPQVQGEKLRKIPPFRNLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIFHVNLREAAWFSAIPWVMMAVLGYVAGVVSDTLIRNGTSITSTRKIMQTIGFVGPGIALIGLNAAKSPAIASAWLTIAVGLKSFGHSGFLVNFQEIAPQYAGVLHGMANTAGTFAAILGTIGAGFFVDRMGSFRGFLTLTSLLYFSSALFWDIFATGERVDFDGTS >SECCE1Rv1G0060310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709716154:709718616:1 gene:SECCE1Rv1G0060310 transcript:SECCE1Rv1G0060310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLRHLLPLLLAASTAAAFVLEEATVESIHRAFAGGELTSRGLVELYLRRIASLDPALHAVVEIDADGALAAADVADAARLELDGAALPPLHGIPVLIKDNIAAAGDGSGRLNTTAGSLALVGSRPARDAGVVERLRRAGAVVLGTASLSEWCNFRGPRIPAGWSPRAGQGINPYAPSATPCASSSGSAIAAAANMVAVTIGTETDGSIMCPSSFNSVVGIKPTVGLTSRAGVIIISPRMDTIGPICRTVSDAVHVLEAMVGYDPRDAEATRMASRYIPKDGYGQFLNIDGLRGKRVGILRKDFFRFAPGSIQEKVFRDHFNTMRKMGAILVDNLEIPSMKVINDAVQSGERALMLAEFKLSLNSYLSELATSPVRSLSDIIDFNNKNPVEERMAEFGQSYLLQSEATNGIGPAEERAIAKLNKLCEEGLEKIMRANQLDVIVAPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPTLIEIAYSFEQATKVRKPPIMQHSVI >SECCE4Rv1G0296750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904299757:904300884:-1 gene:SECCE4Rv1G0296750 transcript:SECCE4Rv1G0296750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGGFYSGITNENGLMKGTLMGAITGGLVSAELAESIVSIWCCNDYTLEVRIRRTLELQSLLLLERNFMRGRAFVTISSAIESEIDELLGVEPFQPSYPVMATPRAIFDSLQVMEITNETAERHTTCIICLYDFQAGEKATMLPTCCHVFHSACIDNWLMWKSECPMCRRPVYSGEKNLK >SECCE2Rv1G0083150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:162035533:162038053:1 gene:SECCE2Rv1G0083150 transcript:SECCE2Rv1G0083150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase QRT1 [Source:Projected from Arabidopsis thaliana (AT5G55590) UniProtKB/Swiss-Prot;Acc:Q9FM79] MSMAAAAGGKRPGCAVVLGLLLAAVSAAGAEFITWEDLTMPAAAGLIRGAPGDVKSAAAGRGGVGMTSTIVVSQDGTGHSRTVQGAVDMVPAGNTRRVKILVRPGVYREKVTVPITKPFVSLIGMGTGRTVITWNARASDIDATGHQVGTFYSASFAVEADYFCASHITFENSAPAAPPGAVGQQAVALRLSGDKTMLYRCRILGTQDTLFDNIGRHYLYNCDIQGSIDFIFGNARSLYQGCALHAVATSYGAIAASQRGSASDASGFSFVGCRLTGSGMLYLGRAWGRYARVVYAFCDLGGIVVPQGWSDWGDRARTKTVMFGEYKCKGPGASSRERVPWSRALTYEEARPFLGRDFINGEQWLRL >SECCE3Rv1G0200250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852023889:852025292:-1 gene:SECCE3Rv1G0200250 transcript:SECCE3Rv1G0200250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVMLPWLAFGHVLPFTEFAKRVARQGHRVTLLSTPRNTRRLIDIPPGLACLIRVVDVPLPRVDRLPENAEATIDLPSDDLRPYLRRAYDAAFERELSQLLQEEEEAGSRPDWVLVDYASYWAPAAAARHGVPCAFLSLFGAAALSFFGTPETLLGLGRHAKTEPAHLTVVPEYVPFPTTVAYRGYEARELFEPGMVPDDSGVSEGYRFAKTIEGCRLVGIRSSSEFEPEWLRLLGELYGKPMIPVGLFPPAPQDDVAGHEATVRWLDRQAPNSVVYAAFGSEVKLTSAKLQQIALGLEASGLPFIWAFRAPTNAISGGLPEGFEGRVAGRGVVCRGWVPQVRFLAHVSVGGFLTHAGWNSIAEGLAHGVRLVLLPMVFEQGLNARNLVDKKVGVEVARDEQDGSFAADDIAAALRRIMVEGQGEQFGAKVKEMAKVFGDDEENDQCVRDFLRHLSEHGKKNQEQA >SECCE4Rv1G0263020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:714350022:714350918:1 gene:SECCE4Rv1G0263020 transcript:SECCE4Rv1G0263020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSSSLLQLLAVLVVAVQFLGSEAGGISIYWGQNGGEGTLAETCATGNYKFVNIAFLAAFGNGQPAVLNLAGHCDPTNGGCMNLSTDIQSCQSRGVKVILSIGGGAGSYYLSSTQDAKNVATYLWNNYLGGKSSSRPLGDAVLDGIDFDIEGGTPLHWDDLARFLKGYSNSGKRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNAPCQYTSGSTSNLADAWKQWLTVPAKQIFLGLPASPQAAGSGFIPSDDLKSDVLPLIKSTGKYGGIMLWSKYYDDQDGYSSSVKSDV >SECCE1Rv1G0027520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:383796114:383803706:1 gene:SECCE1Rv1G0027520 transcript:SECCE1Rv1G0027520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLDEKDLEKKLKKEQKAKVKEDKKLKAKQKEAARLQVQAASDGAKKSEKKQKKKGATDENPEDFLYPDTPTGQKKLLASQMAKQYSPPAVEKSWYTWWESSQYFVADATSSKPPFVIVLPPPNVTGALHTGHAIAVAIEDAMIRWRRMSGYNALWIPGMDHAGIATQVVVEKKIMRERKLSRHDLGRDKFLSEVHNWKDQYGGTILRQLRTLGASLDWSRKCFTMDKQRSEAVTEAFVRLYKEGLIYRDIRLVNWDCTLHTAISDIEVDHVDLKAEALLEVPGYSNPVQFGVLTSFAYPLEEGLGEIIVATTRIETMLGDTAIAVHSQDERYKHLRGKYAVHPFNGRKLEIICADDLVKASFGTGAVKITPAHDSKDFKVGKEHNLDFINIFTDDGNINENGGPQFEGMPRFTARASVIGALKEKGLYRGMENNDMELGRCSRTNDIVEPMIKPQWFVNCNTMAKVALDAVKSKEIEIIPPQYEQDWYRWLENIRDWCISRQLWWGHRVPAWYVTLEDDKEKDMGSYIDHWIIARNKSDAVLEAKQRYPEKKYRLDQDPDVLDTWFSAGLFPLTVLGWPDNTADLSTFYPTSVLETGLDILFFWVARMVMMGMLLHGDVPFRKIYLHPIIRDAHGRKMSKSLGNVIDPIDVINGITLEGLQKKLEQGNLDQCELEKAKEGQKKDFPDGIPECGTDALRFALISYTSQSDKINLDIKRVHGYRQWCNKLWNAIRFAMIKLGDQYTPPATLAVHTMPSICKWILSVLSKAVGKTVSSLEAYKFSDATSSIYFWWQYQLCDVFIEAIKPYFNESQEFESARGASRDTLWACLDTGLRLLHPFMPYITEELWQRLPQPKEACRKDSIMISEYPSAVQGWANDKVENEMEIVLDVVSKLRSLRPPTDIHIRRPCFVLCRNLEVTATIRCYQAQIATLASVSSLEILTEDDPTPPDCATNIVNKDLAVYLQLWGALDTEAEHEKLRKKREEVQKQYDTLSQKMSVSGYREKAPQSKQDDDMKKFATLLEELEIISEAEGKLDAKN >SECCE1Rv1G0037590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:526676388:526680882:1 gene:SECCE1Rv1G0037590 transcript:SECCE1Rv1G0037590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPALMRLVLALAVVGCCAARQPGGRVDLWPMPASVTRGARTLYVARDLKLSTAGTGYKDGKAILADAFRRMVAVIQLDHAINGSYHGLPVLAGLNVVVRSPDDELKFGVDESYKLTVPATGNPIYARIEAQTVFGALHALETFSQLCYFDFILSVTGLHWAPWTIVDEPRFPYRGLLIDTARHYLPVPVIKSVIDSMAYSKLNVLHWHIVDEQSFPLEIPSYPKLSNGAYSYSEKYTINDAIDIVQYAEKRGVNVLAELDVPGHAGSWGVGYPSLWPSATCKQPLDVSSDFTFKVIDGILSDFSKVFKFKFVHLGGDEVDTSCWTTTPRIKSWLVQHGMNESDAYRYFVLRAQKIAISHGYEIINWEETFNNFGDKLDRKTVVHNWLGGGVAEKVVSAGLRCIVSNQDKWYLDHLDATWEGFYMNEPLTNIYNPQQQKLILGGEVCMWGEHIDASDIQQTIWPRAAAAAERLWTPVERLAKNPAAVTARLAHFRCLLNERGVAAAPLAGYGRAAPSEPGSCVRQ >SECCE7Rv1G0511760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804994300:804995056:1 gene:SECCE7Rv1G0511760 transcript:SECCE7Rv1G0511760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVLLLVGALLALACSHGVTASDPGLLQDFCVADKTSPVRVNGLACKAAKDVVVEDFYFSGLHVAGNTTNKQGSAVTAVNVAQIGGLNTMGVSLVRIDYAPFGLNPPHTHPRSTEILTVLEGCLHVGFVTSNPENKHFDKVLNKGDVFVFPKGLIHYQYNNRTTSAVAIAALSSQNPGVITIANAVFGAEPSIPAGITTKAFQVEKSTVEWIQAQF >SECCE2Rv1G0087760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:231215474:231227761:1 gene:SECCE2Rv1G0087760 transcript:SECCE2Rv1G0087760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] MAGAAGGFVTRAFEAMLKECSANRGKFAALQQSIQSYLDAIKGVAAQEQQEDGAPVPVTQVLASAGRVLEGTQAELVLQPLRLAFETKHIKLVEPALDCLHKLVAYDHLEGDPGLEEGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNRFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVFRRMESEQASVPPASSAVKDTPSSSTKEDSENGEISTDKQDEEKTTLGDALSMNRASEASPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTMNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDLFAKNFHFIDSVKAYLSYALLRASVSSSPVVFQYATGIFSVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSSLSQKSSVLRMLEKVCKDSQMLADMFVNYDCDIDGPNLFERMVSALSRIAHGSQSADSAAVASSQTVSVKGSSLQCLVSILKSLVDWEQARRDSSNHGSVAESHDDGASARSLATDETKVQEDGRNQFERAKAHKSTMEAAISEFNRKPAKGVEYLLSNKLIENKASSVAQFLKSNASLDKVMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYILAYAVIMLNTDAHNPMVWPKMSKSDFVRLNTASDEEECAPKELLEELYDSIINEEIKMKDDLHAAKTSKVRPEIEEKGRLVNILNLALPRLKSASDTKAESEKIIKQTQAVFKNQGQKRGIFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDNKPRVVLCMEGFKAGIHLTRVLGMETMRYAFLTSLVRFTFLHAPKDMRSKNVEALRTLLALADTDMDALQDAWNAVLECVSRLEYITSSPSMAATVMQGSNQISRDSVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFDGLCAVSAEELKQTPPRVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHHDEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSRSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEYIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGFIPGGSVKPVDVLPEANFDVTEHYWFPMLAGLSDLTLDSRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSLGFQKTNNQQLLSRESETDASSYHDIREGEASISNNGEQEGHQETSPRIGLENSEGLPSPSGRAQPAVSPPNQTFGQRFMGNMMGNLLVRSLTSKSKGKMDDAAPPSPAKTPEADGADKTEEEENPMMETVRSKCITQLLLLGAIDSIQKRYWSKLQATQQIAIMDILLSLLEFASSYNSPSNLRTRMHHIPPERPPLNLLRQELAGTTIYLEILHKSTVHNGANGSSEETNESGVDSDQQEKLKSLAEGKLVSFCGNILKEASDLQPSNGETASADIHRVLDLRAPVIIKVLNGMCIMDAQIFKKHLREFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >SECCE1Rv1G0031830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:446827053:446831339:-1 gene:SECCE1Rv1G0031830 transcript:SECCE1Rv1G0031830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGPPFFPLARTTPTIKPEMLSIFPKLSKQIPFLPVHPSPSRRRLLQHSLLPLLMADPSPSSPTAASAGDAVLAPAASDAPDATLPAAAPDLDKEFGFQRAELGKEKLAGTVGFHERHVFLCYKGPEEWPSRVEASESDQLPRLLAAAIKARKPNLKKSTKLTICEGEDGTESSPGDVLIFPDMVRYRELTHLDVDNFVEEVLVKDTEWLPGSPEAIKGSYVFVCCHGSRDKRCGVCGPALITRFKEEIGGQGLDGQVAVSACSHVGGHKYAGNVIIFSPDAKGEVTGHWYGYVAPDDVPVLLRQHIGQGEIVGHLWRGQLGLSEEQQKKALELRHATNGLTEEESSSKESPEANGTNGAACNPPPAGGCCQGNGGGVTCCQSDLPEAKQDKSIPAEQNRESSTTESHKENGAASKKGRMKICRTPTWFETWDRSDTYTTLAVVASAATVFAAFRCYKAMN >SECCE5Rv1G0336030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:581717869:581719660:1 gene:SECCE5Rv1G0336030 transcript:SECCE5Rv1G0336030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLITGRPGASGFGSASTAEQVTDGVDASNLTVIITGGPSGIGLETSRVFALRGAHVIIAARNTEAASEAKKSITEANPAARVDVLKLDLSSLKSVRAFADQFNSMNLPLNILINNAGVMFCPFQLSEDGVEMQFATNHLGHFLLTSLLLDNMKATAESTGIEGRIVNLSSIAHEHTYPKGIQFDKLNDKKTFDRRSAYGQSKLANILHAKELSRRLRDEGANITVNSLHPGVIMTNLMRHSYAVMKAIQVATCLIWKNVPQGAATTCYVGLSHQLKGVTGKYFADCNEEKTSKLAESDVLAKQLWEFSEELVRSASQI >SECCE7Rv1G0495700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:601307947:601309006:1 gene:SECCE7Rv1G0495700 transcript:SECCE7Rv1G0495700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVPSWVALALLVLVALAATVNGDELSAGYYEKTCPNVQGVVRSVMASSVAAQPRMAPAVLRLFFHDCFINGCDASVLLDATPFSPSEKDVEPNASLTGYTIIDDIKSALERDCPDTVSCADVIALASRDAVTLLGGPTWSVPLGRKDSRFAADTESTENGLPSPHDDLDELIAMFSRLNLDARDMTALSGAHTVGMANCLHYSDRVYGTDRNEEIDPSFAQIMQQTCQGPFGKAPFDVQTPMRFDNAYYRNLIARRGLLTSDQTLYGGGGLQDNLVEMYSADGEAFARDFAKAMVKMGNIPRPKGMPVEVRLKCSMANY >SECCE5Rv1G0334710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:567640984:567644601:-1 gene:SECCE5Rv1G0334710 transcript:SECCE5Rv1G0334710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPRRVCVTGGGGFIASWLVKLLLSRGYAVHATVRDPCDSKNAHLMQLDGAPEHLRLFKADVLDSAALAAAVEGCEGVFHVASPVPADKIVDPESEVMVPAVKGTVNILEVCSSMKVQKVVVVSSTSSVHFNPSWPQGKPKDESCWSDRKVCAEHELWYCLAKTVAEETAWEYAEKSGLNVVTICPCIVFGPQLQPVINTSSELLVYVLKGGPNAMNDMLWHIVDVRDVADALLLVYEKPEASGRYISAPNYITRKAILELLKKKYPDYNYVNCKAEVHRNFPITPISSAKLRNLGWKPRELEETLLDSIEYYRKTGILQDGEGEGHACRLPDLFRFFHAAEE >SECCE5Rv1G0332110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:544650095:544653161:-1 gene:SECCE5Rv1G0332110 transcript:SECCE5Rv1G0332110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAAKDDDQRPAAPAARGKAMQRLLVALNCGMLTLGTTGGPLLSRLYFSKGGHRKWLSAWLETGGWPLLLLPVAASYLSRRAHDPSAPVVLTPPRILLAAAGLGLATGADDFLYAYGLSFVPVSTSAILISTQLAFTVLFAFLIVRQRLTALSVNAVALLTVGAVVLGLHVSSDRPAGVSKGQYWLGFLLTLGAAALYGLVLPLIELTYKRAAGGGRVVTYALVMEMQLVMGFFATAFCTVGMVVNNDFQAIAREARAFQLGEARYYTVLVWSAILWQFFFLGAVGVIFCVHTLFAGILIAVFIPVTEVLAVIFLHEKFSSEKGVALVLSLWGLASYSYGEYSDAKAAKKKAALDAQAS >SECCE7Rv1G0491080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:517138438:517139070:1 gene:SECCE7Rv1G0491080 transcript:SECCE7Rv1G0491080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKFVTAVDFEDDMITATVTSSGDAVKAWLRQIRYVYRWVYHKLIVGLDVEWRPSYGRAQNPVALLQLCVGRRCLVFQLLHANFVPRALHRFLANPDFRFVGVGVQDDADRLSDDYGLEVANTVDLRDLAADGMRRPWLRQAGLKDVAGVVMGANLQKPRRVRMGPWDACRLSQEQIQYACIDAFVSFEVGRKLLTGDYSSDEEEEDY >SECCE3Rv1G0197500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:819684918:819687173:1 gene:SECCE3Rv1G0197500 transcript:SECCE3Rv1G0197500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKDGTLDAARRASAFVRGDDVVHKLFTELAYRYKDRAGGYTRLLRTRIRIGDAAPMAYIEFVDRENELREAKPATPPPPQRVPLDPWAKSRASQQWAGPKVTVNSKSEGL >SECCE4Rv1G0276780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:799918844:799919506:-1 gene:SECCE4Rv1G0276780 transcript:SECCE4Rv1G0276780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEWMMRPCSAAKSTEAGDHGPATRFPSKRNAAARSSYMKADELPIDKMLGEAGLSSIVAKQGAGASMAPSGADSSASFGKVPLPADEVKLVLALKRDDLPSIDYLDDLARYYTPEEIAERRLLHDKDLALCKKIDDEFEVYQKEVRDSMLKKGYFEVDSDYLEDRKKINAWTKEQWASIKEVDLVFCAPDADQATQGYIEYVDSDDDGSEYDDDSD >SECCE7Rv1G0496960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:618732178:618739696:-1 gene:SECCE7Rv1G0496960 transcript:SECCE7Rv1G0496960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPAISYVLDLLESSDLKDIIVVVEGQEAARLVGAWISSAYLDRLVVEVVAVTEDIGTAGALRAISKRLVANDVLVISGDLVTDVLPGAVAATHRRNGAAVTALLCSVPVSGPSDAASSGGKDKAKKPCRLNIVGLDITRQFLLHVVSGTDVEKDVRVYKRKIRAVGQMEIRSDLMDAHLYAFNRTTLQDVLEQKETYRSIRLEVLPYLVKSQLRSAPSGGEGTIVDETGNVVVPPSSNLQCLSQHRAIAPSAFKQDLLPSSGGGTHRCCVYIASKNKYCHRLNSIQAYCDINRDVIGEASHLSGYSFSSHNNIIHPSCVLGSKTTVGPQCMLAEGSQLGDKCGVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVVCNNVQLQERSVLKDCQVGAGYTVTIGSDHKSESLARK >SECCE3Rv1G0169570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:238198976:238201462:-1 gene:SECCE3Rv1G0169570 transcript:SECCE3Rv1G0169570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTMVNAERRGKATAQLQPISGVMISFLNIMKHRGYIKNFEVFDPHRVGKITVELQGRIKDCKALTYRQDLRATEIEKYRTRMLPTRQWGYVVVTTPNGVLDHEEAIRQNVGGQVLGYFH >SECCE5Rv1G0344260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644420084:644421388:1 gene:SECCE5Rv1G0344260 transcript:SECCE5Rv1G0344260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYSAGEAPVPATEYIPLSIFDKVTFNMQMAIIYAFAAPAPSTAAIENGLATVLAQYRAFAGQLGEAPDRTLSVILNDRGARLVEAAVDADLVDMAPSKPTPELLKLHPDLEAEHQEVVMLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLPMGLPPLHHHKDLFKPRSSPRVEHDHRNREYYLPLPSDVVGHHGDATDNIVIHKAHFTKDFIAGLRAKASEGRGRPFSRFETILAHLWRTMTRARDLSPEETSKIRLSVDGRHRLGQPAEYFGNMVLWAFPRATVDDLLNRPLKHAAQVIHDEVARVDGAYFQSFVDFASSGAAEKEGLARSAVCKDAQCPDVEVDSWLTFPFYELDFGTGSPSYFMPAYFPTEGMLFLAPSNFSDGSVDAFVPLFQENLQAFKECCYSMD >SECCE1Rv1G0035190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:496897264:496901154:-1 gene:SECCE1Rv1G0035190 transcript:SECCE1Rv1G0035190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKMASDGLWQGENPLDFALPLLAVQIAVVLVVTQGLAFALKPLRQPRVIAEILGGILLGPSALGRWGAFHRTIFPEWSTPALDTVSGLGLLLFLFLVGLELDFRAVRRVGPRSVAIAAAGIVPPLLAAAGIVPLLDLAIPAPRQASYLSLCVFIGAALSVTALPVLACILKELGLLGVPFGETAMAAAAVNDVFAWALLALALAVSGGGHEPKGSPLAPVYILSSGAVFVAFTFFALRPLMARLARRAGPCSSDGNLACSCAVACALLAGVVTDAIGVHPVFGAFVFGLAMPREDGVAERIGEKVAPLVSGLMLPLYFATSGLHTNVDNVQGVAAWGMVALVVAVAVMGKFTGTYAVAVAGTGMARREAAALGVAMSAKGLVELIVLNIGKEKKVLDDTTFAIFVIMALTTTVIATPLMTALYRHPSTATTPEIDGMELKGGDACPA >SECCEUnv1G0563140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:371931199:371933075:1 gene:SECCEUnv1G0563140 transcript:SECCEUnv1G0563140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLYCTRIGYSYTKRPLAYSISTDGGPTVPVKLFIDNEKKRVLFAESDKEFVDVLFSFLTLPLGRIVRSFGVRSQIGCLDELYMSVGSLSADHLQTRDCKTMLLSPVNAAAVHLDRLKVKIDSTRGKGFYLCSDRRCGKFTSFYDCNICRCGSSKSFWEYPSDYLVTAGDNEDGVFVKTGTKFIVTDDLQVAPSSTSLVFDLLDRFGLQDQANLEEKNLELNFAKISSLLQRALMSRQPLTGLCFDVAISPDDIDVNRLPENPLLKQAGETKSVFGPIKIKLVHTKDNSSVLYVEAGADFLDILFGLLAVPLGSVIRTYGQWSPNGCIDNIYSSIAGSAKACVRQERRSLLLTPNLAPFFGCSRNVLQADELKPRSLTFSCFSCRRIAGGKKCGCTMPVNVTLHEMNPKSPGNSSDNTAKAYVKGGMRNFLVTNDLRVSHFSLANTLQVIRAAKIPKEKLVEKELALDKRQVLKLLRTAMLSRDALTSLLLPSQN >SECCE3Rv1G0193000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:764639289:764641291:-1 gene:SECCE3Rv1G0193000 transcript:SECCE3Rv1G0193000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRRASAVAGGDGRGEEKAAAPAPAPAPTVWFALKKSLQCRSQSSEVHVPKPKASSSAGAGAGGHLSSIVTKRAARSGCSRSIANLRDVIHGSKRHPGQPPSCSPRSIGSSEFLNPIAHEVVLSTNSRCELKITGFGGCGGLGAGGGGPAHEAEGGDGGGVVSSFVGTLRPGTPGPGWSHGLQYSGSCRAGSMRCTPPRSPNPLLDRDGAAAAGAAHRASCEADAAKTGGKGSGGLSCHRCTEQFSKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIERVFKVHNTQRTLARFEEYREAVKLKASKLAKKHPRCLADGNELLRFHGATLSCALGSAGASSLCASDKCAVCRIIRHGFSSSSSSSSSSKKEGVGVFTTSTSGRAFEAADEEDDPAARRALLVCRVIAGRVHKPLENVREFAGQAGFDSLAGKVGPYANIEELYLLNPRALLPCFVVICKP >SECCE7Rv1G0465920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:75521343:75522729:-1 gene:SECCE7Rv1G0465920 transcript:SECCE7Rv1G0465920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVKELVLRCLSLCTEAEAEPEAQPEPEPDHAPAASDGLQAFALGLNKRLADDDAGRSGNLVTSPLSVYAALALVAAGAREGTLDELLRVLGAPSRDFLAGHVRALAEHAFADGSRTGGPRVSFACGVWHDVTVPLRPAYRATAAESYKAVARAVNFLQKPEEATEKINAWAAAATNGLIPSILSPDALSGRTVLVLANAIYFNGMWAKPFDKELTKDDKFHRLDGTAVDAPFLRGWGWHNIACHDGFKVLQLRYVQGHPSPGRPQPPPIYSMCVFLPDARDGLWELTDKIACNPDFVRKHLPWGDAMVSDFRLPKFKVSFGMAMEGILQDMGLNEAFEPGKADLSDMAEDGAGKLALEKIIHRAVIEVNEKGTEAAAATIATVMLCSAKTSTAPHVDFVADHPFAFFVIEEVSGATLFAGHVLDPTTN >SECCE6Rv1G0393050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:273523326:273605799:1 gene:SECCE6Rv1G0393050 transcript:SECCE6Rv1G0393050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSDSSSQGGDRRSFRQITRDRLLFEMLRSTRKDSKSAWKVLIMDKFTVKIMSYSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENVVMFLSDMSGRSPLYKKAYVFFSSPIQKDLVAQIKRDSSILPRIGALSEMNLEYFPIDSQGFITDHERALEELFCESAEGFDKYNACLNAMSTRISTVFASMREFPRVHYRIAKTIDASTMTTLRDMVPTKIAAGVWNYLSKYKTSIPEFPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVSSKNGSASEKKEVLLEDHDPVWLELRHSHIADASERLHDKMTHFVSKNKAAQVHQARTGGELSTRDLQKMVQALPQYTDQIDKLSLHVEIAGKLNAIIREQCLRDVGQLEQDLVFGDAGTKELINFFRTQLGVSRENKLRLLMIYAAINPEKFESDKGTKMMQLAGLSADDMIAVNNMRCLCADTKKSSGGGFTLKFDVQKKKHAHRKERTTEQSAWALSRFYPVLEELIEQLSKGELPKDEYNCMNDPSPSFHVVPTTTSTSARTSPAHSMRSRRTGGSWARPRGSDDGYSSDSVLRHASSDFQKHGQRIFVFVIGGATRSELRTAHKLTSKLKREVILGSSSLDDPPQFITKLKMMSAEELTLDDLHI >SECCE2Rv1G0125300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:840203245:840204163:1 gene:SECCE2Rv1G0125300 transcript:SECCE2Rv1G0125300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIESSVAAPRLFRAGVMDWHTLAPKLAPHIVASAHPVEGEGGIGSVRQFNFTSAMPFSLMKERLEFLDAEKCKCKSTLVEGGGFGVAIETATSHIKVEPTANGGSVVKVDSTYKLLSGVQVKDEITKAKDSVTAIFKAAEAYLIANPDAYN >SECCE4Rv1G0224990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:86948905:86949630:-1 gene:SECCE4Rv1G0224990 transcript:SECCE4Rv1G0224990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIDGPGSLRSLLRPVTDERRTNKHGSTGGAVVGLFKMFKLVPMLTTSTGCKMAALLGRHSSGTRALLADHAPAVTLFGHRRGRLSLAIHEDTRAPPAFLIELPMLAAALHREMATGTVKLALESDTRSARRRLLEEYVWAVYCNGRKAGYAIRRKDPSDDERHVLRLLRGVSMGAGVLPPPPADGSHGPDGELTYMRARVERVVGSKDSEAFYMINPDDDSRGGEGAAELSIFLVRKK >SECCE3Rv1G0197960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:824713747:824714789:1 gene:SECCE3Rv1G0197960 transcript:SECCE3Rv1G0197960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLLEVHLVDAKGLSGSDFLGKIDPYVVVQYRSQERKSSTARDAGRNPSWNEVFRFQISSSAANVQDKLVFRIMDHDTFSRDDFLGQATINVTDLISMGMESGTSRLNPTKYRVVTADNSYHGEIKIGITFTAAKVDAHGKVGEDGAQVGGWVQSLREQKV >SECCE6Rv1G0403090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:496304991:496309685:-1 gene:SECCE6Rv1G0403090 transcript:SECCE6Rv1G0403090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLGALCRGGGWSYAAIWRSDRRDPRLLTIGECHCEDEARKVVENMLNQVHVVGEGIIGSALVSGECRWISDDNSFSLVQTCNAETPGLFQGYTWWQHQFLSGIKTIAVVPIPALGVAQFGSVQKVSENLEFLEHVKGTICRSVNISWDPSTKHIHGNALPHNTQFQLNSLSTKRLMHIKDDPENMKLLENTVGIESLGSLVSTSSSYSPSSSNGFTSYGSCNGRNPPHIVAMPVNSKSINAVRLFHNGSNLMQHNGGSENPLQLQSAKQPDSSFASATTSYSSLNNLPRIEHELSCAPNNLGYHLQSEDSSSYRNSFSACFPVGDELKPMLFDNNNNNNNNNNHGNTGLLESTVFDPLIHDWWDNSVLLAENIPDFGATTTSSATEQANSDPLSIEGRGLFSESVLEELFGASGNVNTDPACGSVVSSTVPLAGCFSGCELPGYTLHQDSSYSACKEQVPPLNFPSSSYTSENAPNGASKAMPVSLANLSMDDSCSLHTANSKAGRVTNAEGVKVIKKRARPGESTRPRPKDRQQIQDRVKELRELVPNSAKCSIDALLDRTVKHMIFLQGVTKYAEKIKQADEPKMISKDSGAVLKDNSSGVVLKDNSSASSNSGATWAYEVAGQTMVCPIIVEDLSPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSHNRSSDQLAKVISNGVRSFAEHQQSPMLIPVGLAER >SECCE3Rv1G0205560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900657218:900658183:-1 gene:SECCE3Rv1G0205560 transcript:SECCE3Rv1G0205560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARCSLLLTAALLLALSHGAHGHGGAGAGLSSSFYDESCPGAGDIVRRVIQKARVTDSRIPASLIRLHFHDCFVQGCDGSLLLDNDLPAIMTEKEVPANDRSARGFKVVDSIKRALENACPGIVSCADILALASEISVELAGGPRWSVPLGRRDGTTTNIESANNLPSPFDSLETLQEKFRNLGLDDTDLVALQGAHTFGRAQCQFTQRNCSVGQDEETLVNLDAVTPDVFDNKYYGNLLRGRAPLPSDQVMLSNPVASATTAPIIRRFSESQKDFFKNFAASMVEMGNISPLTGRAGEIRNNCRRVNRKPY >SECCE1Rv1G0002230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8309438:8309656:-1 gene:SECCE1Rv1G0002230 transcript:SECCE1Rv1G0002230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWGPVIISFMLFILLSPGLVFQIPGKSRTIECGKFHTSVVSILIHTIIFFALDAFFLVVVGVHIEFGSSS >SECCE4Rv1G0296540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903432480:903433445:-1 gene:SECCE4Rv1G0296540 transcript:SECCE4Rv1G0296540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDLSRCARHPSQPPFTGFCSACLLERLSAAALPATPPAPSPSPLPTPPPPPPRPDGEGRTTLLRLFQLHDQQDRPPTPPPPHGPGEQDPAPQPEPPPALQRKRSLRQSCEWIVCCEHGHDPASWLPSRQSWDGSASASTSAAAAAPSATAPASTSALVLHASSGSKASLRPWWDRTRRAANPVAGFLSRSLSSQSWRETDAAARARAQGGAAARANGGSHSVSSSAGGVDSEVSPADSLHAHVHSASRRRRAGDSLLRRFYWLGRSSSVHCPSPRRSPDTGMLRFHRTPSSTTTRSKTQGRRRLNLFGTHSHRHQQQQH >SECCE2Rv1G0098590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:488643614:488643943:1 gene:SECCE2Rv1G0098590 transcript:SECCE2Rv1G0098590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVWVFEDGIVRRADSEAPGRGGGSGARPGKVLVHVPSGEVVTTYDILERRLRELGWERYLNDPCLLQFHQRSTVHLISVPRDFARLKLVHMYDVVVKTRNVFEVRDA >SECCE7Rv1G0462490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:46249372:46254729:1 gene:SECCE7Rv1G0462490 transcript:SECCE7Rv1G0462490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLSEHAPRAAVQRRVEDYRGRVIAIDASLSIYQFLVVVGRKGTEVLTNEAGEVTSHLQGMLNRTVRLLEAGIKPVFVFDGEPPDLKKKELAKRSLKRDDASKDLHRAIEGGDEDSVEKFSKRTVKITKEHNDGCKRLLRLMGVPIVEAPGEAEAQCASLCKNHKAYAVASEDMDTLTFGAPRFLRHVTDLSFKKSPVTEFEVPKVLEELGLTMDQFIDLCILSGCDYCVNIKGIGGQRALKLIRQHGCIEEVVQNLHKRYTVPEDWPYQEVRTLFKQPNVCTEIPDFQWTSADKEGLVNFLAFENSFSSDRVEKAVEKIKAASDKYSPAGRAKLLTPVANLSGSTEKESKCVLGASGQGLRSRTAVQVCSSSSSGFRYGSSSSKPLVLGRQSGVHVKPPTFSFI >SECCE4Rv1G0252530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:615105452:615106077:1 gene:SECCE4Rv1G0252530 transcript:SECCE4Rv1G0252530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIIIRVDLDCGRCHAKIRKVLDRIREKGEFIIDDIKYDEKKNQVIVSGPFDADKLGDKLCCKACNIIKEIETVEPIKKQEPNPPPPKEKVKPPGPATDEKVKEKPPSQKEKEKPPPPPPTKVVEVPVPYPCPYPYPFPAGPSGCCCHQGHGGCQCWQNPPPPSYPCGYMMVCEEDPSGACTVM >SECCE6Rv1G0412000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611902882:611903211:-1 gene:SECCE6Rv1G0412000 transcript:SECCE6Rv1G0412000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPIVIASAGLGMLAGVAMANRTAGDGLPAASRWDARPRCSTCSGTGREECLCSRWSDGDVGCGTCSGSGRKRCRSCGGSGTGRPLPARLIVQEQKLPTAPGRRGDYN >SECCE7Rv1G0507680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:764155268:764157042:-1 gene:SECCE7Rv1G0507680 transcript:SECCE7Rv1G0507680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVAVASSLLLVGAALLLGLAAGAARASNEEGDALYALRMRLSDPNGVLQSWDPTLVNPCTWFHVTCDSASRVVRLDLGNSNVSGSIGPELSRLVNLQYLELYRNNLNGEIPKELGKLKNLISLDLYANKLTGRIPKSLSKLNSLRFMRLNNNKLAGSIPRELAKLSNLKVIDLSNNDLCGTIPVDGPFSSFPLRSFENNSRLNGPELQGLVSYDFGC >SECCE5Rv1G0350050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:688010758:688015952:-1 gene:SECCE5Rv1G0350050 transcript:SECCE5Rv1G0350050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDASKGAAPVPETAVEADVDAGFEFAFDNEAFSDRVLRIEVVGAGRKRRREGDDGEGSTPVLRVKTIHISSVILAAKSSFFFKLFSNGMKESGQGQSTVRIADSEENAFMELLRFMYNGRLTPTTESTLLVDILMAADKFDVVSCIKLCGQRLIGLPMTLESAVRRLDLPCSISMADDLSEVAKKFLAKRYKKFLSTNFQDELMRIPLAGIVAILSRNHPGVASEKSVYDFVLRWADLQYPNSEQRRKILSSSLLPMVPLVRSMTNVILIDQPSCIINFSLKREHCSGSFPSGSMRSPPFYCAGHGFFLSAHRRIEPYNSFVLIIQKLENKGLVRGTVDYDIEVKTTPSLEFTTLWSRTTTTGCAQGFGCSVPWPELIPDDSPYFIDDKLHIRVHVKITPQQQ >SECCE4Rv1G0221380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:48540888:48542144:-1 gene:SECCE4Rv1G0221380 transcript:SECCE4Rv1G0221380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLKTIPEMLKVYKRRVRRQVPVIPDELVFEILVRLPVKDITRFKSVNKTWHAIISHPCFVGSHLQQSAKNQERKPSFLITPHTLDKVIDSEAWPTTFSNYIPFYSWQEGQDNACLVHSTAFRGEFGSVHNMVHCDGLVVLPTNTKVFVFNPAIHDVLKLCNGQKDEWLFPTVGLGLDPCTSKYKVARFFYRSINFSTRTYSVGMEIFTIGGDDNSWRSIAEDPPLPIEPGTVMHFKGSLYLLVWDELVERCPQGVLRFSLEDETFSFICHHQLQSCKGEQLNFIELGGELCLAQCLDGKQVIWMLHSVEGHEWIQHRVIILPKPEVYKTLSVLPKDVLLIRSGNCLYHYADARRDAREVVRLDQLRYKNPRVGSFDYVGHDIFIFNMFSYTESLHPVTKPGQQRIHKDGNEALDG >SECCE4Rv1G0220770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41870839:41875323:-1 gene:SECCE4Rv1G0220770 transcript:SECCE4Rv1G0220770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTRALWAALLLVALAAAVEGQGNLTSRADLAGLYALRGALGLRARDWPRKADPCSAWVGVGCRGGRVVSVSLVGLRRTRLGRLAPRFDVDGLRNLSRLESFTAAGFGLPGSIPAWLGAGLAPTFRALDLSACAVTGEIAASAVAGLSNLTALNLAGNLLSGQLPAAALAGLPKLGTLNLSGNAFSGALPDAVWSLPELRILDVSQTNLTGALPGAGIAPSATLQTVDLSGNLLYGTVPDTFSQLFNRVMANISGNYFEGKLSSVAAGNVSSEMNCFLDVAGQRSPEDCQQFYAGRGLPYDGPIAAPTPQPAPAPAAKKDGRHKNLKYILIGAIGGGVLLLAVVAAVVFCVVCSKRGRSDQRESGAPSAPSGVHGSARAAAATGATQSSASPASLAKVGDSFAYDQLANATSGFAEERIIKHGHSGDLYHGVLQDGTTVVVKRITTRVARKDAYMTELDLFAKGLHERLVPLMGHCFDKEDEKLLVYRFVRNGDLSCALHRKTGEEEEGMQSLDWIKRLKIATGVAEALCYLHHECTPPMVHRDVQASSILLDDKFEVRLGSLSEVCPQEGESHQNVITKLLRFSSTADQSSSGSPSASCSYDVYCFGKVLLELVTGRLGISASSDGATSDWLDNTLRYINIYEKELMSKIIDPTLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGSSSARLRATSSRGSWNAAFFGSWRHSSSEIGPSRDDNMFKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSGSRDTED >SECCE2Rv1G0099800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:526894019:526894675:-1 gene:SECCE2Rv1G0099800 transcript:SECCE2Rv1G0099800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGREDADEEGSQCSSGCQSGWTLYLEHSDSGSGQQPRRTLPYAQPGDVLRQMLPQVEYSDDEEEDSMVSDASSGLPPHLRGEEEELLQVRGQTSMLSQQSRSALGGDQCHSGWGGSRRSASLSGSRSFISTRSSSSGEMRSRKKRRAVVQQRDESARRRHGAFDDDDLHDTASSSGVIAPAAVAEAMKDGMDLQPSCACAFSVRNTGMQGLAMLHHN >SECCE2Rv1G0091470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:317461546:317467154:-1 gene:SECCE2Rv1G0091470 transcript:SECCE2Rv1G0091470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAAMPHDSAPWRDPSTSTSTSRHRRFFNVNVLIPPQCHSFSDAAPTEPTPRRRRIILERWAAAAAAATASEPPPPADARRRDREAELSALASATRPLAARAAVFRAPSPAPSDASSTATAGPELPPSGPRASSLIQRWREIEAVGPVTPRASGDVTSESDGSPRGRVGCIVKKLSGAASLPEEDLDAANDLALSQSAPPSPAPLHNAVDSLALHIKGTRPPKLVVRTVRGRRAVEELVARMAHRRRRELAALAERHAVSRFGHKGRIQSMLRLKLLRHGDAINDEVWNLLRPVRPHPPKCGHVALRDDSSKTDSQDANECSLQNNRADEQHNDDRNSSAEKSTGLVIESLVNSDGSGNRQYDEKIDTKGNQCQEDRVNLVNSCVHNQESSKASSFARYDDHRVVYDNQYMDDISPSTISTSCELQETPSSRGETLREEDNQSLNGSWDERALWMSSLGWPAPVDSMSPDSWHQGTIGDMENHNQIEFNDRPWIDSPNSWRSLHIATQADCRDLSGNADICNLLESKKVSKSLESDFSNKMNHLLRAVLQKQRQQHMIDDFGGYYPEHLYWQQNDEPRNEDQVASAPCSLAPVSHVTALHQENWQHSSFEHQQHEHQNLLEMEVRVRSEMAQIHHEIFELRKLAESCIASQVNMQHSIKEEVCSALREAGLMPSQPDITAKKGTCCICHKMQVDSLLYRCGHMCTCFNCADQLKSSSGSCPICQSPIDDVVRAHLNF >SECCE1Rv1G0033070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:462685562:462688981:1 gene:SECCE1Rv1G0033070 transcript:SECCE1Rv1G0033070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARHSHPSRYVKLTKDHDASASGPVEDIRPGELNLPVAVPQLEQMKCIQCGQVLPEGHQAPADEPWTTGIFGCAEDPESCWTGLFCPCVLYGRNVQALREDIPWTRPCTCHAVCVEGGIALAILTAIFHGVDPDTSILIGEGLVCSWWICSQYTSIIREKLQKKYHLENSPCDPCIVHCCFHYCANCQEHRERKGRLAENSVVPMTVVNPPPLQEMSMAENNAPTSENEASKAT >SECCE1Rv1G0034180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:477783391:477788900:1 gene:SECCE1Rv1G0034180 transcript:SECCE1Rv1G0034180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQYHEEYVRNPRGVQLFTCGWLPASSSPKALVFLCHGYGMECSVFMRACGFKLAAAGYGVFGIDYEGHGKSMGARCYIQKFDRLVADCDRFFKSICDMEEYRSKRRFLYSESMGGAVALLLHRKDPAFWDGAVLVAPMCKISEKVKPHPVVVTLLTQVEELIPTWKIVPTKDVIDSAFKDPVKREHIRKNRLIYQDKPRLKTALELLRTSMDVEDSLSEVRMPFFILHGEADTVTDPEVSRALYDRAASADKTIKLYPGMWHGLTAGEPDDNVELVFADIVAWLNKRSHDRKPEPGGRVGIAPSEPARYQRRPVSGGSGMDSPVSMPRRRGSFLCGQCRM >SECCE1Rv1G0049260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:639878130:639879036:1 gene:SECCE1Rv1G0049260 transcript:SECCE1Rv1G0049260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAECSSIWGSLNSICEESEMIAHLQSVLWCSSDSDANFCSSENDSINASFDLQVDDLGSANERNIGIKRKMQVDEQSNRHNEVPAILLAPKKSGQKSRAAMDSQSNYAKRRRHKINERLRVLQHLIPNGTKVDISTMLEEAVQYVKFLHLQIKLLSSDEMWMYAPLGYDGVNVGLPHLDSLAHE >SECCE4Rv1G0258100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:675481235:675481480:1 gene:SECCE4Rv1G0258100 transcript:SECCE4Rv1G0258100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIAAASVIAAGLSVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >SECCE4Rv1G0295400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898138120:898138704:-1 gene:SECCE4Rv1G0295400 transcript:SECCE4Rv1G0295400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQQERVCQVCKYPTEICARGLGFSQLSLTISRRFKCTMVIPCKLGENLTRFWRSEMKLQMTPTGEWYEFDVGKRGRKYYLTGEWEMFASIYRINHGDKLHFNVGSLVHEHLVVGHVRRLSGDVAMPRCTIAEYEAEQ >SECCE5Rv1G0364690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802718535:802720785:1 gene:SECCE5Rv1G0364690 transcript:SECCE5Rv1G0364690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDEASFNNIRNWIKNIEQHASDNVSKILVGNKADMDESKRAVPTSKGQALADEYGIQFFEASAKTNMNVEQVFFSIARDIKQRLSEADSKPEGGTIKINTEGDASAAAGQKSACCGS >SECCE5Rv1G0360180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:767908480:767916612:-1 gene:SECCE5Rv1G0360180 transcript:SECCE5Rv1G0360180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSAGLPPSGSRRSAASWGSRRSGSISHSLSQQAGAGDPFGRAASRQGHEDDEENLRWAALEKLPTYDRMRRAVVLSNHAGVDGADGAGHELQGLVDINQLASGEPGRALLERVFQDDSERFLRRLRDRVDRVGIDLPAIEVRYQGLSVEVDAFVGSRALPTLWNSATNFLQGLVGRLASSNKRTINILQNVNGIIKPSRMTLLLGPPSSGKSTLMRALTGKLDKALKVSGSITYCGHTFEEFYPERTSAYVSQYDLHHAEMTVRETLDFSRRCLGVGARYDMLAELAAREREAGIKPDPEVDAYMKATAVQGQETNIVTDLTLKVLGLDICADMPIGDEMIRGVSGGQRKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYIRQLVHVMNETVVISLLQPPPETYNLFDDIILLSEGYVVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSKKDQQQYWYLDQEQYRHVSVPEFAERFKSFHVGQQMLKELQIPFDKSKTHPAALTTNKYGQSSWESFKTVLSREKLLMKRNSFIYIFKVSQLVILGLIAMTVFLRTKMPHGQFSDSNKFFGALTFSLMTVLFNGFAELQFTIKMLPTFYKQRDFLFFPPWTIGLVNIITKVPISLVESIVWVVLTYYVMGFAPAAGRFFRMLLVFFATHQMAMGLFRFLGAVLKSMVVANTLGMFVILLVFIFGGFVIPRGDIRPWWIWAYWSSPMMYSQNAISVNEFLSSRWANPNNDASIDARTVGEAILKSKGYFTRDSGFWVSIGAIVGFTILFNILYLLALTYLSYGSSSNTVSDEENENETNTSIPIDEATNRPTRSQITLPFQPLSLSFNHVNYYVDMPAEMREQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGSVEGSITLSGYPKKQETFARVSGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDENTRKMFVEEVMTLVELDVLRNAMVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVNTGRTVVCTIHQPSIDIFESFDELLLMKRGGRVIYAGELGQHSHKLVEYFEAIPGVEKITEGYNPATWMLEVSSPLAEARLNVNFAEIYANSDLYRKNQELIKELSVPPPGYEDLSFPTKYSQNFYNQCVANFWKQYRSYWKNPPHNAMRFLMTMVYGLVFGTVFWQKGTKIDSPQDLSNLLGATYAAVFFLGSANCITVQPVVSIERTVFYREKAAGMYSPLSYALAQTCVEMIYNIVQGIQYTVIIYAMIGYEWKAAKFFYFLFFIISSFNYFTLFGMMLVALTSSSMLANIPIAFVLPLWNLFAGFLVARPLIPIWWRWYYWANPVSWTIYGVIGSQFGDNTTPLSVPGGSPTVVNQFLEDSLGIKHDFLGYVVLAHFAYVIGFFLVFGYSIKVLNFQKR >SECCE1Rv1G0011250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:74396166:74396843:1 gene:SECCE1Rv1G0011250 transcript:SECCE1Rv1G0011250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQSSSSYGSSEEDTSCDGSDHQEVEMQPLKQAAQHDSSNGEQSSEETGDTEESSEEDAGDNVVPDDNNLHPPPQQGDTVPDSESESDKSPPRSQPKRKAVDTEIVEPKKMRTAESPQPRMQFEDAEVAPIQPVVAEHALSKSDVEKLFQEKIASYELLGQEVLALEENYPGFFKSPFLKLPEEKARTLNDKLQKQHVAKLKALLQLSTIRKEVTNSLINLLD >SECCE2Rv1G0071780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:47552767:47553255:1 gene:SECCE2Rv1G0071780 transcript:SECCE2Rv1G0071780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVCALLLAAVLPPVAALLVAFAGRAAARGVGALARAHYAWPAASPFVPARKCGRTAAPEQPDHELRVSRYRGCAAVECAVCLSGVGEGDEVRELRCRHVFHRACLDRWLATPPATCPLCRSRLLTAPPVEEEDAEDLDSDLLLLMAYVHGGGGGRWFWSP >SECCE2Rv1G0066880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:16366445:16367484:-1 gene:SECCE2Rv1G0066880 transcript:SECCE2Rv1G0066880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPRSAQVTHMFDIFGYSKHRGMGNEKFIRSGTFSVGGHDWSIRFYPDGFSAEKVEKDYISVYLELMSKGAKVRGSCDLRLVNQSTGMSASVHKTELRTFDPADLSSFAPQTICVLVNDRLTIECVITVIKEPYVTESKPFRKIEVPMPPSDIAEHLGKLLEDDEGFDVTFCVRRNTIGAHRSILAARSPVFKAELFGPMKEARTPRRVTIKDMQPDVFSALLHFIYTDSLPDGDKNTEMIRHLLVAADRYAMERLKLVCQSILCENLNMDTVATTWALADQHSCDKLKDACLEYIACSNAMDVVVETQDYKNLKATDPSLIVDLLERATKVRNA >SECCE7Rv1G0520130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:867779962:867781576:1 gene:SECCE7Rv1G0520130 transcript:SECCE7Rv1G0520130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEPSAGTLVFLTLASLVILASLLSRSRLKNQRRPPGPWRLPLVGSLHHVLTSQPQIALRDLAKKHGPVMYLRFGQIDTVVISSAAAAQEVLRDKDLAFASRPSILASEIFCYGGRDIVLAPYGPYWRTTRKLCTVELLSDRKVRQFAPFRDSQTMSLVEKVRAAGGGPMNLSRLLVSCTNTITAKATFGQVCDGDLQEVFIAGVSLALKTAGGSSIGDLFPSLWFVDALTGLRSRLWRAHRQLDAILDKIIADECSQGQRGDHLLGVLLRTRDEGKLEFPIDMTHIKAIILDMFTAGTDTTSAVAEWAMSELIRNPNVMAKAQAEVRRTFENKSPIDHEELIDHLSYIKMVVKETMRLHPVVPLLVPHLCRETCDVGGFEVKEGTRVLVNTWAMARSPEYWENAEDFRPERFDDGTATYKGSRFEYLPFGTGRRSCPGYTFGLATLELVVARLLYYFDWSLPAGTRVDELNMEASVGLTTRRKYPLHLVATPYKGAC >SECCE4Rv1G0272600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:770748841:770749113:-1 gene:SECCE4Rv1G0272600 transcript:SECCE4Rv1G0272600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERFLTALVFCEAPLDGYGTSVMTSSRAIKPLVSAGSIKLAVAASKAEDAYAGNKTGFCGETTAQRRAAYELAFDGLNCFDTVVMHQA >SECCE4Rv1G0283420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:835496943:835500104:1 gene:SECCE4Rv1G0283420 transcript:SECCE4Rv1G0283420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEDGGEVAPPEAPAAATSAAADSPPPAKEEPAAPAEAKPASAGEGVSLNYEEARALLGRLEFQKGNVEAALCVFDGIDLQAAIERFQPSSSKKTTEATLVLEAIYLKALSLQKLGKSIEAAQQCKSVIDSVESMFKNGTPDIEQKLQETINKSVELLPEAWKKAGSLQETFASYRRALLSPWNLDEECITRIQKRYAVFLLYSGVEGSPPSSGSPAEGTFVPKTNIEEAILLLTVVLKKWYQGKTHWDPSVMEHLTYALSICSQPSLIANHLEEVLPGIYPRTERWNTLAFCYYGLGQKEVALNFLRKSLNKHENPKDTMALLLAAKICSEDCRLASEGVEYARRGIANTESLDVHLKSTGLHLLGSCLSKKAIIVSSDHQRAMLHTETMKSLTESMSLDRSNPNLIFDMGVQYAEQRNMNAALRCAKEFIDATGGSVPKGWRFLALVLSAQQRYSEAEVATNAALDETAKWDQGSLLRIKAKLKVAQSSPMEAVEAYRVLLALVQAQKNSPKKVEGEAGGVTEFEIWQGLANLYSSLSHTRDAEICLQKARALKSYSAATLEAEGYMHEVRKQSKEALAAYVNASATELEHVSSKVAIGALLSKQGGKYLPAARAFLSDALRVEPTNRMAWLNLGKVHKLDGRIADAADCFQAAVMLEESDPVESFRTFS >SECCE7Rv1G0514850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:837230459:837232613:-1 gene:SECCE7Rv1G0514850 transcript:SECCE7Rv1G0514850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFERRGVRQYSRSDEPRMRWTEELHRQFIEAVDCLGGQDEATPKRILQLMGAKGVTISHVKSHLQMYRSISSNTTCNGGPPNASMDPRRDHRVVDGPRNGHRNGNDMGAASERMDHASSYAVPPHGHRSSPPYQIPSIEEVFRNWERSRGRLPWNSSMPSEKATGWACHADRSTRQKKKQQQQPAAGCDLTLSIGRWEAEAANSDADVSSTTTEEAIVPARDRGAGGHRRSVSATGLDLYLNLELAVSSSCL >SECCE4Rv1G0252590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:615362351:615368193:1 gene:SECCE4Rv1G0252590 transcript:SECCE4Rv1G0252590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDKDVMKTSVSEDSPNPGEKDVIKTSVSEDSPNPGEKVEDKGDLSRKTEMLGVKETVNSMSENSSNEVEGQIHGKENPEKDLNEQMDKNRSPDAMKPTDSDQTVKEILEEEKSEEPVFDGTEIPEMEQMRRSSDQSVELDSKAQQGSVINERAAAIGNFVKEKGAIAVSTFIRRLSGRKDENDLPVEDDKNDGSANGNGEKTVSDSEIKPKEVQKKSEERSTWNPLNLIKVGGDIVTSTTGEAGDANVPGLTEQPIAKGRIIVYTKLGCEDCKMVRLFMHQQRLKYVEINIDIFPSRKLELEKNTGSSIVPKVYFNDLLIGGLTELKKMEESGILDEKTGALFNDELSSAAPLPPLPGEDDESGCGKMDELATIVRKMRESITPKDRFYKMRRFSNCFPGSEAVDFISEDQYLERDEAVEFGRKLASKHFFRHVLDENVFEDGNQPYRFLDHDPVIMTQCYNIPRGIIDVAPKPMAEIASRLRKLSCAIFEAYVSEDGRHVDYRSIQGCEEFKRYIRTTEELQRVETSDLSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRKKFFGDFKYVIGGCAYSLSAIENGILRGNQRPPYNLVKPFGQKDKRSKVALSYPEPLVHFALVCGTKSGPALRCYSPGNIDKELMEAARDFVRNGGLIVDPEAKVASVSKILRWYNTDFGKNETEVLKHAANYLEPAASEQFLELLANTQLKVSYQPYDWSLNI >SECCE6Rv1G0388440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:135704219:135704797:-1 gene:SECCE6Rv1G0388440 transcript:SECCE6Rv1G0388440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLSCSSTHRGTLSQQPHQPAPVRVIAADGSLKELPASPRLAVSDVLAGEAASFFVCNSDALYFNEPPPALASDELLRPGQIYFLLPSAVLGRPLSSADMAALAVRASAAIAAKRPERRGGKKNLRVVPVREEVEDGDCEDVMFNEKLNERTLGEFATSVSPVKKSNGKLAARSRLRLKRALSIIQEAAD >SECCE2Rv1G0084610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:177048939:177052748:-1 gene:SECCE2Rv1G0084610 transcript:SECCE2Rv1G0084610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLSFSSLASAFAPFPARSRPRHPPLRLLGLHLSSGHLLRASTLSTAAAPAPDADADADPYEGVDTVEHLLAPKPTSPGGGRMGRLMKMQRRADADGPAAGRGRWFPYLDAFRGAGGVEVTSQEVVDVLEPYILEPRRDRIRRAVQSRSYSVCLVVEGLTDFGNVSAAFRSADALGVQSVHVISCEDNKRYRDNRHVSMGAEKWLDIELWSSPAECFDALKKRGYRIACTSLGSDSVSVYDMDWSHPTAIVVGNETMGISDVALKLSDMHCSVPMKGMVDSFNVSVAAGILMHHAVYDRVSRLGQDGDLTPEENRILLAEFYLRHRESTATVIHEYAKRKANNLVAKL >SECCE1Rv1G0011420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:76565528:76570708:1 gene:SECCE1Rv1G0011420 transcript:SECCE1Rv1G0011420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKRRYKRNPTAGGPRHSAGAGRRRSLPELPSFVSPTSVAAAFGPSSSGGRGRGRGGRRSAAAEPANAVPFSYTAALRPCPASAGGATQALEVAIDTGSCADPAASASMYSYEVVGGIGLGFHGDEEAEGPEEAGESAPHLGLGFHDRIEEEMDAEVEELEEVSFVTPRQAKGKGRQNGGFISIGGVRIYSEDTSSPESEGMGDSDEESDSDYEVRDRNADVDSDEEDSDDDKGDPESDEEGSGSDSEEGLSIGDSSVDDEIVADYMEGIGGSEELLSSRWLNGMKLVDSDDEMDTDDDEDGFLKKGKEKLEGYALMRASEQYGMKMPNSAERRKGKSTNGRDCDRGLTSIHVTGMEDAMMVKDVRMANRSRKGVNASSSSQLSRSWPDAAGKSKKYQRVPGEKKKHKKEHIAKKRRQRMLSRGVDLEQINTKLRKMVVDQLDMLCFQPMHSRDCSQVQRLASIYQLKSGCQGSGNKRFVTVTLTGQSSMPSADGKVRLDKLLGTEPEDYSVNWNSSKGPARAKGLSAPGKLARHSDSCGKNVPTKKVSFAERPVSFVSSGTMVETVTEAVAAGGSTAGDVSCEKVVGSDSKLGTFETHTKGFGSKMMAKMGFIEGTGLGKDGQGILQPVQAVQRPKSLGLGVDFDSELEAAKARSEPPANARRELSRSRSEPRRNTRPPEMYDCGTFERHTKGFGSKMMVKMGFVPGSGLGKDGQGIVNPLTAVRRPKSRGLGATNKY >SECCE6Rv1G0450470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:868029984:868031556:1 gene:SECCE6Rv1G0450470 transcript:SECCE6Rv1G0450470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPTVFLLLLVFLALDDVEARGTPRTHGSNHQWSSMFVFGDDFVDNGNVPNIIGEKTSRQWSYPYGSYRNSSWSGAPVPTGRFSNYRMQSDFIARMLGLAEAPPAYELTSDQSCDSSGMTFAVGGAGVFKVTSTARKVPTLAAQVQAFKRLVNDDVISTRQLHHSVALIAISGNDYRSGSDANNGFYSSFDDLDTYVGNVATEILDNVAQLQTLGVRKVLVNNLHPIGCMPSQTSSNNYTTCDILGNYGASVHNKYLEQMIGERDNVHILDLYSAFTDIVNHAPGGGSDRSEDFKLKLTPCCQSSYEGGYCGERSSAGKHLFDLCQNPDKRFYWDETHPTHAGWEAVMEALEQPLMEFLDQHYVP >SECCE1Rv1G0000940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2895988:2900931:-1 gene:SECCE1Rv1G0000940 transcript:SECCE1Rv1G0000940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLIAVSKIGTVVLDEAVTAVIQKLSRKVDALKELPAKVKIIDIELTMMKNTIQDFDSTQLSNNVVKGWIGHVRKLAYRVEDVIDKYSYEALKHKDEGFLHRYIFRGSRHIKVFGKIAEEVEEIEKEMMHIKGLAVLWRDNVQPIRTDHAKIDKQRSGSCFPERFSDEDLVGIEENRSKLTEWLTGDDKESKVITISGMGGLGKTTLVKNVFDREKTNFPDAHAWIVVSQAYDVVDLLGALLTKIQHTPTLSVGAQADVYELTEAIKKILQGRKCLIVLDDVWDTEAYTQMCNAFQGLQGSRVMITTRKEDVAALAPPSRRLLLQPLGGTESFKLFCSRAFHNSPGHECPPELKKVAADVVERCHGLPLAIVSSGSLLSKKQATEHAWNHMYNHLRSELRGNNHVQAVLNLSYRDLPGDLRNCLLYCSLFPEDYAMSRETLVRLWVAEGFAMKKENSTPEEVAEGNLMELISRNMLEVVEWDELSRVSKCKMHDIVRDLALAVAKDEKFGSANDPGEMILMDKDVRRFSTCGWVDSKAAAGVEFPRLRTILSLAAASSSTNMLSSVLSGSSYLTVLELQDSAITQVPASIGSLFNLRYIGLRRTNVQSLPDTICKLSNLETLDIKQTRVESLPPGIVKVEKLRHLFADRFADEKQTEFRYFVGVEAPQMVSNFQELQTLETVHASKNLSLELKKMKKLHTLWVDTINASNCDDLFKTLSDMPLLSSLLLSAFDEKETKHSFQSLVPVSKKLHRLIVRGGWADGTLKCPIFQGHGRNLKYLALSWCNLGTEDRLQLLASHLPALTYLSVNRVSSAAILVLSAGCFSKLKTLVLKRMPNVKQLEIKEGAIPHIDGIYIVSLSELNMVPHGIENLGSLKKLWMLGLHKDFKAQWNLNQMHNKMKHVPELRS >SECCE3Rv1G0184630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:664769582:664777460:1 gene:SECCE3Rv1G0184630 transcript:SECCE3Rv1G0184630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQGGNGWGVCAVLAVASLVSAVVIILGGGGHQQSHAPAFGRKVLLSITSGHPQIDLDNVLHPSQLQVPTLQSLGLTVKLSAPTSTDVNRQYDHYAPSPTIKHEDEVVSAFKQAVLPTMQPSLSPDHFYQSPEISPSIQSPGQPAPPSQLVSPATQRGNHHLQEQMPAASPSIPVKPHVSHAKIAVNTPSAAPFLSQPPWSSQPPPSSPSTGSQSTQSAPLPRIYGHSPSSFHTPPTGQDTLRVPVASPPGELPGKKKPPQEVVPLAPIAPGSSQDRDGMSFARPPNNLPTHSSSPPKGTRETNHLTPAAPPLIHRAIQTPPQQMQRPHSNGPAASPRTTIHPANHGKANHVPVASPLKGRHHHSIPINNTHGISGAPVVAPSMGRHHRSLPVNRTSVEGPVVSPQISPSIRRRGHGIPVAAPPKEPSSHVPPANHKHHKGSFPVISPAPHRTGNASATSHGHSGLDHSPAPAPLVLPPSNGKDGNPAYAPHHPHQYHSPSYSPEPALPPDNPAVRKPRALAPAPSHSLPPPPPNSYCTALNCKDPMTNSPPGTACLCVLPIKVELRLGIALYMFFALVSELAQEIASGVFMNQSQVHVMGANAATEDPEKTIVLIDLVPLGARFDNTTTLSVFERFWHKQVIINPTDFGNYDVLYVQYPGLPSSPPSAPGNLNNSLSNGNDQRLHPLAADIGNHRETKSRGIIVIIVLSSVFALILCAGAALVIYFKLRNRHHLTEASLTPEKPADSAIAGSRLESRPISASPSFSSSIVAYKGSAKIFSLVEMDRATQRFDESRIIGEGGFGRVYEGILEDGERVAVKVLKRDDQQGSREFLAEVEMLSRLHHRNLVKLIGICSEEHMRCLVYELVPNGSLESHLHGSDTDTALLDWDARLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLENDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVTWACPFLTNRDGLGTLIDASLGSSIPLDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCKEGSEFNESTSFSQDLHIQDAETVSRASLDMDAGPMLSTEQFAASARYDTLDASGSFRRYSSSGPLKVGRTERNRERGLSTGSSSEHCGLQRFRMDSE >SECCE1Rv1G0004290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:17163790:17165214:1 gene:SECCE1Rv1G0004290 transcript:SECCE1Rv1G0004290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAPATPLDIDEIPFADLLLLLLSPEAASAAGGDDDDADGRRRRLLATVWAALGPGGTGLLAVSGVPRAAALRRRLLPLARRLALMDHPSRANLLKKHGLGSDVPLKKPDRSVSSFAQLLRQHDPGKLHSETAGGMEVLAGVDGFGENKGDDIENLGGLFKELGMCMMELGILVARACDIVIGGNQLEQSITEFGSAKARLIHYHSELDNRIIKERRSLVNNAGLAARPVLDHIDTSCCQLSGSEDGSCIKSAEENSLISLVNLWQEWHYDYGVLTVLTAPLFLRSALGQECPVSEEFPLPDGHSHLQLFDKRKIFSVRCSQESLIVQVGEAAGILSGGKLRSTLHAVSRPLGLPNISRETFVVFLQPSWDKTLPFSGYSSADEDDSSDHTKLAFRDDGYILMQEILKKIPPLSSRLKEGMTFAEFSRQTTRRYYGGGGIQQNS >SECCE4Rv1G0257680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:671815259:671815835:-1 gene:SECCE4Rv1G0257680 transcript:SECCE4Rv1G0257680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFPDDAAPSSSFLSPALVAKLHRFNVACGSQAAQQQDKGASTSSVGAEPRAPPAPFAGYTGNAGAQSAAAAGWSGGFLEEQYVEQMIEELLDSNFSMEISC >SECCE3Rv1G0181270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:609424000:609426042:-1 gene:SECCE3Rv1G0181270 transcript:SECCE3Rv1G0181270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNKGVQIQMASQMNEQSSREDLPSLLIQVPSRTIAGFDCVSVSPAALHEQSNRDTVISIQAPATPPAAAPVRAYDDTQMPYSLSLSMPASPSGLHFSQFRAASVRRNEARAAAALSDTKQVEVQSPRLLQQTRFHSQPILHASQMNEGTRRADSTRDKRFDPFKTFSGRLERQLSNLRGRPLDPMDLGSHHSKISEETDQVPGTDRYFDALEGPELDTLRATEVAVLPNDETWPFLLRFPISAFGMVLGVSSQAILWKTLATAPPTAFLHVSLVVAHVLWYISLALMGLVSSIYLLKIVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGAPRELAEVHHGVWYMLMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGIREGPIFFFAIGLAHYIVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKINGDFDNGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGASIATITYATEVTNVLTRTLSIGLSGIATVTVAGLLVTTMFHAFVLRDLFPNDVSIAITRRKPKFSKILAHFRSSSSDMKDLVLSVSKSPNTDSDDSSLSGSTETKGKAEP >SECCE1Rv1G0012290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:91110912:91112255:1 gene:SECCE1Rv1G0012290 transcript:SECCE1Rv1G0012290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSESEEHGSLLEKISDKIHEFKKDSSSSSDSDDDKKKSHKSKKKHLFGRKHPLHHVLGGGKAADLVMWRDNKTSGSILAGVTVIWLLFEGIGYHLLTFLCHLLIVFLTVSFVWSNAASFINRSPPKFPEVILSETQCLMIAHVLRKEVNEAFITLQSVASGKDLKTYLKSIGILWFISIIGGCFSFLTLSYTLFLMAYTLPMLYEKYEDEVDVVGEKALIEIKKQYAVFDEKVLSKIPMLADKKQH >SECCE6Rv1G0407310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555669608:555669895:1 gene:SECCE6Rv1G0407310 transcript:SECCE6Rv1G0407310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTEHFVVVPTEFKLRNNTDCSWKVTVKLINGRVTLDQGWATYAVVHQIRIGYMVTFKLLTPDTLKVIIFDDDGVEVVNKCRKHDEVFAAKD >SECCE7Rv1G0457130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14365684:14367405:1 gene:SECCE7Rv1G0457130 transcript:SECCE7Rv1G0457130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQLGASTMLVLLLLTFIYLVLRLRRKTSKPHTLPLPPGPAPWPVVGSLPEMMINKPAFRWIHRTMEELGTDIVCVRLGGVHVVAVACPTIAREVLRKQDATFASRPLTFASAAFSLGYKNAVLSPFGDQWRKMRRVLTSEIICPSRHRWLHDRRADEADNLTHYVYNLANTTTKVLSSAGGAVDVRHVARHYCGNVIRRLLFGKRYFGEPGRRDGGPGPMEVEHMDALFTSLGLLYAFCVSDYLPWLRGFDLDGHEKMVMEANATMNRLHDAVIDERWGQWKSGERKELDDFLDVLITLKDAEGKPLLTIEEIKAQSQDITFAAVDNPSNAVEWALAEMANAPEVMAKAMEEMNRVVGRERLMQESDIPQLRYAKACIREVFRLHPVAPFNVPHVALADTNVAGYHIPKGSHVILSRTGLGRNPAIWDEPLRFMPERHIHTTTDDVALTENELRFISFSTGRRGCVATSLGTAMCMMLFGRLLQGFTWSKPVGLAAIDLCESEHDVFLAKPLVMHAKPRLPVHLYQAATI >SECCE5Rv1G0327960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:499027128:499035166:-1 gene:SECCE5Rv1G0327960 transcript:SECCE5Rv1G0327960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGIEMFVLDALKNGYFPIVMNPRGCGGSPLTTPRLFTAADSDDICTTIRFINSKRPWTTMMGVGWGYGANMLTKYLVEAGESAPLTAAVCIDNPFDLHEATRTFPHNIALDQKLTAGLVDILRANKELFQGKDKDFDVKKALSANCLRDFDRAISMVSHGFANVDDFYSENSVRPSVASLKIPVLFIQSDDGTVPLLSVPRSSISENPFTSLLLCSCVHSTGFTFERYTKLWCQNLTLEWLSAVEFALLKGRHPLIKDVDITVNPSKGLAFTVPQPNDKKVPKEDNFHEQAHFFLSNNFPHGINGLLNDSANGYSGAQNSKNVLLKDNGDIGRIIKEADEEEPEEIPEDIEKGHVSGAQNSKTGLLKDNGDVGRIIKEADEKEPEEIPEDVEKGHVLQSAGLVMNMLDATMPGTLDDDQKKKVLVAVEQGESLVKALEEAVPEDVRGKLTSSVTEILQSKRHNFNLDALKRGWTNARSTTKTVVKEKVKESDHESGAKDAKILDQNRSVTSTGEGDQKETNLTSNDNNPADGVDLSQGNPYQASGPVGTGIETGSEQTQLDKSEKDNNSGVNESSEEKQEADQGSVTDPKHLSDDPSTANSNETPREGVQSADATAEQNPQSNMADKEVDVVHANEDKLALNVVDQSTQISKTEESKHPSVNNVRQALDALTDFDDSTQMAVNSVFGVIENMIDQFQKHKDSEDRRNSDGATDKPSVDETESDVMVDVDNELSGKDKIPSSSGESQHNTSVKVRPIMSEDHNFSEKKSDLNTVPPSKGKIRNSQRNIPGNEVDGDVAKMVSASPDYLLDIAASSYLKVQYALYLHEYHSRQLQLQSPDLNSATDLFLDPQEGKWKLVDQMDNVQGDISISGKYSFINEEIKHADSSQAPPRTGNVILAPYSALRKFADSETKRKVIEKLPGNALRQTLTYFITDELTNTLKTEVGRRLGITKAEKLQRNLAHDVERLATQVSRTIVHDSELYRATSVQRNPTTVKFGVVHGENVVEAVSTAVLLSHDLRKVLPVGVIVGVTLASLRNYFHVGVSKHDNLTKAAVKSGILDEDLIVQDADEGNIDSLSIQKEANTDLCTQKEANTDYYIEKPGEHKQRKITKSDGKGMMVGAVTAALGASAFVAHHQQKNAEEHDSMDSTQRNLDGTELEKRQNNLVSSFAEKAMSVAAPVVPKKGDGELDHDRLVAVLAELGQRGGILKFVGKIALLWGGIRGALSLTDRLILFLRISERPLFQRIMGFSFMVLVLWSPVVIPLLPTLVQSWTISASTGIVGDACIVGLYVSIMILVMLWGKRIRGYENPVEQYGMNLASSSRLQEFFQGLVGGVSVVWLVHSISILLGFATFREGVPSFLPFDLLKSSRNVLLPALRGFITATSIAVVEEVVFRSWLPEEVAVDLGYYNAILMSGVAFSLIHRSLPSVPGFVLLSLVLFGLKQRTQGNLAAPIGLRSGIMTASYLTQISGIIAFKPETPFWMISTYHLHPFDGAIGLSICALLAILFFPQRPAQKDTSVS >SECCE7Rv1G0515050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:837805851:837808229:-1 gene:SECCE7Rv1G0515050 transcript:SECCE7Rv1G0515050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTMPSLPCPVFGHHGTIPLRAPATTRGSKRARSHGVPSTTTLPDDALMEIFARLPAKSVGRLRCVSRSWAATLKSATFVDLHLREANRHQQQPTPKLFFTTAHPEDGWQLDEVLTKPCNGLVLIRRLPHHRHFVCNPSTGALLPLPDSHECRRRSGESYGLGYSPAIKEYKVVRLFSSFRATPCCEVFSLGVSAHWRAVARRSPTSLAAVRGDPAVLCDGYLHFLQVRVRKHGGGIVTFDVGDESFGSLSMPPSVQDDDGPLELTALGGRLCLCVFHERRPPTRSDADPYCIWRLACRESGQWEKLYRVLHHQTLRPELDLLRVHWVSPLETYLAGNGQRKIMFATEEGVLAFDLEGSGVPVPEVLVSPTALVAAGDGEEATITRGTVGLLVESLVPMGRTSEEVVFSSPWRKAWSDVLKWMPAQSVAALTCVCREWRAVAQSDRFVRTHALHANLGRSPGVMVVRAQPYSHVLDLYPLEFCESLEVHYAVAEVAPPFLFDHRVSRTVCSTPCHGLVLVSYTPQAGSGSGVVDFICNPGMEYSSRITTDTLDDDDDGVDSPHYSAAGTMGLGYDSLTNKHVLARLVVVRPGKSRRHHRPVKVKCHVQLLGDTAWIPISPPPRPPAVDVQPAYADGKLYWMVDDDGGSARCELLALDVGTREFEVLPGPPCDRGRATSVVELQGNVCVLCSDTNANAIDVWKRLEGGSWSAWPCRIELGEFRRMYPSEETRLLAVDPRDGRVLLSTGRALGYYDPEARALQTVYCVGEHLQDMRFAPALCHESLIRPRTY >SECCE7Rv1G0516360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:845164421:845165053:-1 gene:SECCE7Rv1G0516360 transcript:SECCE7Rv1G0516360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDTGSSFADWADDLYRYDAPSLGAAADSTIVAAAATPASPASAGSGDGSPSRAAGGALGARVAGNKPAARKRARASRRAPVTLLNTDASNFRAMVQQFTGIPSPPAGPFSGAPVISFGGASGYGFAPPQQPASASFDHHLHQQRQQYTGAAFGYGGSHLQHPLAGGDAFAHGLGAAEDRMLLQSMQLAAQMPGARAAHNGGANGYFA >SECCE4Rv1G0223790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:73742248:73742908:1 gene:SECCE4Rv1G0223790 transcript:SECCE4Rv1G0223790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQMEELVQQCDMEVMKMAMLKHEQTFRQQVHDLHRLYRVQKQLMGDQSGRPSVPPCRQVQRRMQHPRRPELSLQLPVDDDDEDEYAVVSAGTGRLATLPSTESEDELELTLAVGGGGSSRSQRRRRESARDCSGRRSPQTPSSSTDSDEALRPVPHHQRAAACDLRGGVMVSKQPQWLVRCLSLRMA >SECCE5Rv1G0348100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672516403:672516816:1 gene:SECCE5Rv1G0348100 transcript:SECCE5Rv1G0348100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEELYNTVFDITKKYKVPGKIGRENRLLLERLSVQVQPRPNDPYWRSCRRTEITNHILTAVGSCSLSFMAPLALGWLITAVTPRKKNLLEQWWDAVFGN >SECCE3Rv1G0183090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:644060930:644061523:-1 gene:SECCE3Rv1G0183090 transcript:SECCE3Rv1G0183090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTYVTDVAFGEKVITTTVTSSGAAVDGWLEEVYSMYAGCPNQILVGLDVEWRPSYSRVQNPAALLQLCIDQRCLIFQLLHADYIPDALSGFLMDNQFWFVGVGVDMDANRLAQDYDLQVLNLEDLRGVAAEEMGIPELGQAGLKDLAREVLGVTIEKPRRVTMGPWDACCLSGEQIHYACIDAYVSWQIGFELFL >SECCE2Rv1G0066230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:13271951:13273815:1 gene:SECCE2Rv1G0066230 transcript:SECCE2Rv1G0066230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGGMGAGELAWWLGLVFGAAPLLCLAAWHSADAWHRAAFFLRHGGRRRLPPGHMGLPFLGETLSLLWHFKLARCPDAFIAAKRRAHGDGAGIYRTHLFGSPAVIVCTPAANKFVLQSADSFGVRWPVPELVGHTSVVNVEGASHARLRGFILAAINRPSSLRTIATVVQPRVVAALAAWADMGTIVAATEIKKVTFANICKMFISMEPSPLTCQIDQWFSGLVAGLRAFPLDFPGTAFHGARKCRRKLNAVFRQELEARKKVGKECDDLMSGLMHMEDEQGKKLSDEEVVDNIVSLVVAGYESTASAIMWATYHLAKSPTALAKLRVENVALSESKAGSPLMITHDDLPKMKYTAKVVEETIRMANIAPMVHRVANKDVEYGGYMIPAGWSVLVWVRSLHTDPNFYPDPLTFNPDRWDEPAKPGTYQVFGGGYRICAGNMLARLQLTIMLHHLSIGYEWELLNPNAEIHYLPHPRPMDGAAMAFRKLRPNT >SECCEUnv1G0550960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:226938480:226939304:1 gene:SECCEUnv1G0550960 transcript:SECCEUnv1G0550960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVTATVLHILSLLLLRAGADDDSAFVYAGCSQGRYDAGTRYESGVDSVLTSLANSAPYAPYANITSPSALPPVAGLYQCRSDLPASVCTGCVRSAIARLSSLCSWSVGGAVQLRSCFVRYGNDSFVGKPNTAVLFKKCGGSPGDAGGAAMRDSALGGLASSVAPAGGGYRAGGAGGVQAMSQCVGDLDAKACSDCVSAAAAQLKAGCGYASAGEVYLGKCYARFWSNGGGFVSGATPGNGYGFVPRMNSERLALAVGGFFASLAYFSVLLV >SECCE5Rv1G0354700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:726313013:726315394:1 gene:SECCE5Rv1G0354700 transcript:SECCE5Rv1G0354700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPLPLLLLLLLALLLPSPAPAGAASLSPLPATSNASAHAYAFAAREPVPAPDPTFFDDIVDAVADKYGWDPDAEVRVWPLDAGGALVGAVQRYEFRARAGGAAPALARASDGFVDWSRPEAPAVEEVVGPDGVDFVAGDGALAFGPGVRDLDLVGPLDVFVSDGAGGGLAELRLPSLNATYTGLKRVLVAAGVALKITGAQRVFFSHPHSIGLLANGSLVATNKDLSRILPLSHSTCAPLLHMRVVGSSVTIVAHETNISDGHMKPLLTSDDAIELLSDQSEVNDMSDRLISACAFCSISPRLQRLEKLLKTWFSERNELNRTMNFFEARVTSMTLVKFRLELERDITEEDDIWDDVPEWKTLPMVQRITLDVIARVEEEGRLKAMSVKKVRKSLQIADATSWSSLTSNVSFADFTSLVLPPDPLSLDVKW >SECCE2Rv1G0106830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:639277687:639280594:-1 gene:SECCE2Rv1G0106830 transcript:SECCE2Rv1G0106830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRIVIRVSMPCDKCRSKAMELAAKTTGVILIKIAGDYNDRLEVVGDGVDVACLVNRLHKKLGRAVIPEVEEVKDKKPDPDEKKAEKCEKKPHVPAPQCYSGCYHYRCPPVPQVVVYEEFDACSIM >SECCE4Rv1G0231710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:187517381:187522805:1 gene:SECCE4Rv1G0231710 transcript:SECCE4Rv1G0231710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFFDLSLLPSPNSNSRLLAAARALELGYAAVALDHPHRGLLADADRCHTAPFPALSSLRLPPSASLHRSRNGSPTSEPFRQYTRITLSLDSAAAAASALAPSAARLLRTYDIVAARPLTQAALDHLCQSATEIDVISIDFSHKLPFRLKLPMIKLALQRGIHFEIAYSPLIDDVNSRKQVLAEAKLLVDWTKGKNLIISSAAHNANEIRGPYDVINLCAYLLGLSMERAKAAMSVNCRLLISKATRKKHFYKETIRIDRLLPNEQLNSTKYKVGDWIGLDPISFKGDPQTLETNLEPSPNKDEPPVSPTNFPAKVLCQKRHNADVSLFADRLEQSQEETLQANRSEAHSGAVHTIMVNPETNEIVMAGSVQACVASSVDQKCIEEHVEFVEDAMELDATELCTLNLISGDSTPLSSNVKLPCSSLPQSMELFDTSLENKDPDQPSAIVDDTNTCANHGYIRTSGEREEQAPLDHEIVSCSDVCLEGKCLDKPHDVPVDSKTHRDAAESLGCSTGGRDDETPLNLTVPLSTDLCEDIVLPAHQVEQNVDEDIKNTHSYKVEPVYRNAIRMISVENTLSGQEISSAAVVYDKGSSDGTWANNELEEQNLKKPNASLEKDVAKIDGGTLNYDYADKVDISTARSEKRRQKLLLHGPSYIPFLGFLKPVSFKKKVCKVVSRRKS >SECCE6Rv1G0445230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:836387007:836387609:-1 gene:SECCE6Rv1G0445230 transcript:SECCE6Rv1G0445230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPATVLHRAAALAPSGTGGTSSSPAASPPQPPEQAAPMAVDSDMAVILASMLCALVCVLGLALASRCACRRRRSSSSPDHSPPPPKGLKKKAIDALPIVSFAAAASPQPAATECSICLAEFADGESVRVLPLCGHAFHVLCIDAWLRTCATCPSCRASIVAAPAPAPMVLVVVAGNNRCGRCGEAAPLAHGGDSTVLP >SECCE1Rv1G0001090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4017381:4019903:-1 gene:SECCE1Rv1G0001090 transcript:SECCE1Rv1G0001090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLYILLLGLLLSHTPRCSSSAPAGDTLTEGQVLGVGDKLVSTNGKFALGFFQPATSTISKSQNTTSSSWYLGIWFNKIPVFTVVWVANREEPIAHPNINSTKLKFSSDGNLVIVTNHGDAVTESLVWSTHIVNRTQASSVNTTTSGGAVVLLNSGNLALLTNTKVMLWQSFDYPTDIALSGAKLGWNKVTGFSRKFVSRKSLIDMGLGSYSLELDTSGVAVLKRRIIPSVVYWHWASSKTSSLSVIPTLKTIIDLDPRTKGLMNPVYVDNDQEEYYMYTSPEESSSSLFVSLDISGQVKLNVWSEADQSWQTICAEPADACTPAATCGPFTVCNGNAQPSCECMESFTQKSPQDWGFEDRTGGCIRNTPLHCSTSGNNKNMTSSTDIFHPISQVVLPYNPQSIDVATTQSKCEEACRSSCSCTAYSYNNSRCSVWHGELLSVNLNDGIDNNSKDALYLRLAAAAKFEKKKNQTNIRVVTAATIICFGLLLMLVLLLLIWKNKFKPLYNNQGTGGGIIAFRYTDLVRATKNFSEKLGGGGFGSVYKGVLKDSTSIAVKRLDGARQGEKQFRAEVSSVGLIQHINIVKLIGFCCEGDHRLLVYEHILNGSLEGHLFEKSNAAAAVLDWNTRYQIALGVAKGLSYLHESCHKCIIHCDIKPGNILVDASFVPKIADFGLAAFVGRDFSRVMTTFRGTAGYLAPEWLSGVAITPKIDVYGFGMVLLEIISGRRNSSLETPYSTRSSSTSYQNVDYFPVQAISKLHGGDVKSLVDRRLHGDFNLEEAERVCKVACWCIQDDEFYRPTMGEVVRVLEGLQEINMPPMLRLLAALTEQLGVVTSV >SECCE3Rv1G0202780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:877406498:877410185:1 gene:SECCE3Rv1G0202780 transcript:SECCE3Rv1G0202780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGATINWIRTPFHAQRFHDFSNLSFRCRNTFGSIQPCWLATDQDSSLSKVRVAADYSDSVPDSKYMRDRGYHPLEEIKERPKKKDISLTDVETARTVVEANSKGLLVFPARVHNEPHGHVSWSEFQYVVDDYGDIFFQVPDDGNILEDDDANNPVTVLIGTDGAIIGETSAVTSDFSDHVVVEDSMDMHDNDSKVDTEITDILIEWGMPVTMCSIHPIYFAKCLTKAVHDNHGEKINNPSNGVSIVGYLRPAFIEEESYLRSLFGTECSADGYSSNWREEYNREPRPASGTNGLIDGDKSRSDINDMESSIDSTIYKLEMMSIELFSVYGKQFMIDPQDFQDAEPDLLSNHASDIIKRVKENSDQCTMALRSLCSRKKGLTVEDASLIGVDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIKRMLFPRYRKTVKAPAEDEC >SECCE2Rv1G0136850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:915025543:915025830:1 gene:SECCE2Rv1G0136850 transcript:SECCE2Rv1G0136850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHFTKHFVAVPTEFKLRNNIGCSWKVTVKLLNDRVTLDQGWVTYAVVHQIKIGYMITFKLLTPDTLKVIIFDDDGIEVINKCGKHDEVFAAKD >SECCE2Rv1G0141500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935785417:935789953:1 gene:SECCE2Rv1G0141500 transcript:SECCE2Rv1G0141500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAKRDLVDSLRRYRETLRWETEFLRSEVTSRGLETIAGRKEYLKEHTAGRSLSRELPQQLSGGQKIASLPVVSLPYLVANQEEALDLLLHEAHKLGYRVQSLRELAAPISLETARLLCLVSERCAEMSRIIARQGSPNSGKSCADAATAAYSERRESWESIWGSPVLRSGGFNDITTLSPMYFTPFPPAIIPYTGFATRALQIYSFEISDLNDCLKWPLYVYGVVAARDVVDGNRNLLFSRSRANCQVLTEKDPFLHLTGPSRVILAEYPVDFEVELRIRDGTESQDKALMSSANHHSFASADSAVFFSCLCSANLSLRTLNSAVQATILSIRLVGGESSFEYGGRVSCSSYTEGVNADACREVVLIDCDEKFLEDGLDGYISLSRNVVTVDFEGSLKITIKEYTKSRLVANEAQLDIPAQHCQVSKGACVLGGHNVVVRIAWSLLVRDKLDNLVMG >SECCE4Rv1G0256810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:659638877:659645881:-1 gene:SECCE4Rv1G0256810 transcript:SECCE4Rv1G0256810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAPASTSGGGGGGGGAGTKTVSASLWWDPFVALCDDLDRAAASPSAAVSDALAERIKGHHEWLRGAVSMFGKPNGASRAALDAGQVAVGEHRLAIKPDLKEAALRASKSLDLDEVQSYILVKRSSESAPTGGGADPQELLRLVSLQYYLERQCLLKCIRRIFVHATCTDDDSDSTDAIKQEASLLGSDEMEQRLLSIIKDSLAAAFSVKSAADFTVSWLEESLIEINLILDILFLAICDNFSRCNGGLWIALCSLFKDMLSGSYDVGKFAVSAEAKRSFHYAKAQLLLILVETLDLENLLRMVHDEVSFSGGYSQYSVADILEMDIEVSRLPEFEVESGPLLLAWAVFHCLLLSLPGNDANMEIDNASYAQRAFELAPFNYLLGVLCSIIFRESDGPVSGFRAVLRSFISAFIASYEISYQTEDSSLGVILNIICQVYGGEESLCMQFWDKDSCVDGPIRSVLQMVEKEYPFQIKDLLRFLSAVSYGTWPAQCVYNYLERMNGLTTLYATPGSVPDSVNYFDQIEIHHPISIPGMEGITLPRGTRGYILKILEDNAALVRWEFPHSGVFILLLTLAQDLYSCNYVEACDIMDLLYQMVSSNQDLCSALLHADKSLAVQKSRSLGQIGEYIRIDVVKILCSSIFKYEQNGNNASIMSKTFSVLAEFLKCVPYRVFDVALECGIFSSQLNDPSSDWLLSGALARMVFAASEENGDCSSLTTSLLDFAIQVLQKGAAADDMISPFIVFSIQYIMVNHMNWKHKKCSRWKTTLKVFELVKSCIQVKPFLSKLGGIIWQMLLYDSSIHSVLWHTVCTSMQLLESRGSFSNGVEDIEDIQLVLCCGLDIIFFMLSNLPEDLMPVAPFVTLVLSSSLKPLPFITATISSMSFQNSALQVSAARALSALCYTAHRVQPQLMENGSFLVDGSEIWRLQAIISQILDKEDDTNNCLIVAIFSLLTSVARYQPALFVSLTEENATIQADHSNSANSQTNGSSTLSSSRSNSRLVEQMLGYIENSTEFMNSSPSLLLSILDLLEALWESGVQFICILDKLRSSRTFWESLSRCIRATFDHCPVDSVDTVDEKFSSRYNCQAKIFKIMSHELFLKGRFLVEAKTSNPVADGTKGQKEPSASCPSNIVCKWFDSALLEDFINHLSSNGYQKELFHRAKVASCVCIIRLITKLSTGDTASLSFSAVKKIQLISSKLLQHRAFIALLSQYALHGYSGEQELTSLVINDLYYHIHGELEGRQITPGPFQELLCFLLEFKFFECNATEQPHSAFSAASGNVLFDVAHTREDLGVKLWNHSDWKPCKELAQKMLDIMHKANLMKRHADAKLCTLRSFITFLSVYTGTSSSNELTLPDGGISATAMESAIRCACKYLQSTVDSLLPEVDTNEVLFPLLSGQVDLLLTLTRFLFHQAKQTKSYVHLYPVIVLLMRTSGASTSFLVDLVPSSPALRKPVKSLLVLILSLFEFIYGKDDMKDGSGDANLFGESSIISTRLLPVLCKLADNREYSDLAVGSMDLLLKGFIPPNVWLPILQKHFRLQSILHKCQNGAILSTQVILNFLLTLGRTKDGAKMLQSANIFAFLKVLLSKLSLDDSCFRNSLSSQAKDVHMWSLALAIVASLNHCMDDDVSRSSVANGTVSFLSGQVPLMSSYLSAQSVNTHQNKKRAVLQQSQTSLSALSLTENILSLLCVLAKYHFPRDTGMMEVDSELREIIIHLLAFISKGSARTGDSPNWNPSFCCPPIAKEEVVLHEDPPLIRSKHGWFRFAASSTHSAAAISAPSNAALSLVIRDKSSGDSGSVKQTRFTEMVAVQIYRIAFLIMKFLCSQAKEAVKRAGELEFIDLAHFPELPMPDILHGLQDQVVSIVTEVLGANGSSALGGETDRVCHLLLVTLETSLYMELCVSQSCGIRPVLGRFEDFSKGIKAMLHALEKHSSLKPLVRSLAQITTLLYPGLAQSNFLTM >SECCE3Rv1G0151000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:35127018:35130409:1 gene:SECCE3Rv1G0151000 transcript:SECCE3Rv1G0151000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLQPFLLLLVASFLWLPAPAGAACSPKRCGDLNITYPFWLEEKGQTPCGSPSFQLNCNGSQALLSRSILGGYQVVQVFAENSSFIAVDNNLPLHDGCPPWWFNISLGLGLSPFVISKKNKELLVLDKCTEQQVMPPGFNRTGCTNESFIRPGGEYGSHRELADLPPACRLFVVPVLGFPGGDDYVQSMRQGFLLEWTVPSDDCPKCEASGGQCRYANDGTGFSCHCSGDVYPEKCGDSGKTSIKFIIVGAVAGFAAFLAFVFFALYQRKKSKQAVASNEFMRSGSSMTSYSKDLEMGGSPHIFTFEELEVATDGFSASRELGDGGFGTVYKGKLKDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVILYGCTSRMSRDLILVYEFIANGTVADHLHGSRAAERGLTWPLRLNIAIETAEALAYLHAVEIIHRDVKTTNILLDNSFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYIDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMNRSHSEINLANMALNRIQNHEILQLVDPELGYDTDPETKRTIDRVAEVAFQCLQMERDMRPSIKEVVEILTCVRDGDCRAKSMKKKASQKEDAHLLTDDMQFSPDSVIHRFLSQSTNHSVPSNGSGL >SECCE4Rv1G0217640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18122887:18124850:1 gene:SECCE4Rv1G0217640 transcript:SECCE4Rv1G0217640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVIGVVGRDFAVVAADTSAVQSILVHKTDEDKIMLLDSHKLMGASGEPGDRVQFTEFIQKNLHLYQFRNNMPLSTAATANFTRGELATALRKNPYSVNIILAGFDKDAGASMYYIDYIATLHKIDKGAFGYGSYFCLSLMDKLYRPDMTVEEAVDLVDKCIKEIRLRLVVAPQNFAIKIVDKDGARDYARREIGGDSPAAASATIAATA >SECCE2Rv1G0139410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:926756641:926757179:-1 gene:SECCE2Rv1G0139410 transcript:SECCE2Rv1G0139410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAAIAPPPQPTAPPQPMAENATAPPSQPMAPPQPMAENATAAPPQPMAENATATVVVVVPPPPPDSTTTFLCLILAFFIPPLGVFIKYECEIEFWICLVLTFLAYAPGIIYAVWVIVKK >SECCE7Rv1G0474650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:174810065:174812900:-1 gene:SECCE7Rv1G0474650 transcript:SECCE7Rv1G0474650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENQSQAEVLWPRLVANKLFRKPSGSHAFVADFPAVAGAAEEEFDGCGSPDADAQRCVKRPRPQQRSKTLKYRLFASTWNVGGVAPPDDLDLSDWLDTRNGTYDIYVLGFQEVVPLRARNVLGADKNRTGMRWNELVRAALNRSSPSPSPGATGGGEKQKVHPVRDGAGGLQSRDFRCVVSKQMVGILLTVWVRGDLRRFVRRPSVSCVGCGVMGCLGNKGAVSVRFWLRDTSFCFVCCHLASGGREGDEAHRNADAAEILRRTSFPRRHCSSSSPSLASPHKILDHDRVILLGDLNYRISLPEAKTRLLVERHDWKTLLDNDQLRGEVSSEGGTFQGWNEGAITFSPTYKYHRNSDAYYGCAQQLGKKGDKLKRRAPAWCDRVLWRGAGIRQTRYDRCESRLSDHRPVRAAFAVEVDAPWNLNSLRSFFLSERFDDRAKGPADGLFRLREDDHTAASARYAEDV >SECCE3Rv1G0148980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:21145555:21147155:-1 gene:SECCE3Rv1G0148980 transcript:SECCE3Rv1G0148980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPTPATRPGSGRVAVVPMLLVFCLAYVLGLVSNATFQNCYVHMLSPLLMPGSRLQSPSTTPEGVPCILPPFPSHETTPPPPPPPSSSTERRRMESGTGVMHNMTDEELLWRASMVPRIKSTPKHGIVPKVAFLFLVRGDVPLRPLWDKFFEGHEGLYSIYVHTSPDYTGSPPPDSPFYGRIIPSQRTSWGNINLMDAERRLLGNALLDVANARFALLSESCIPILGFPALYAYLTGANTSFVDSFDRRDGRARHRAFFAERNISLAQWRKGAQWFEMDRAFALEVVSDETYYGPVFRDGKHGVRNLEEHYPMTLASVLGWGARNSNRTVTYSDRRHPVGQHPKSHNGSEVTEELFEEMRRGYGDCYYNGGVAEVCAVFARKFKPEALDALLDLAPKLFASG >SECCE5Rv1G0348160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672813214:672813687:-1 gene:SECCE5Rv1G0348160 transcript:SECCE5Rv1G0348160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKMVRLRSSDGQELEVNEEAIAAASNTIKDALAEKGGGAIPVPGVTGRVLSRVLEYVNRHFSDPAADPFDRIPNFDDPLKAFDDAFVRVDQDALFDLIDTVADQMRGKTVEEIRKKFHVVNDYTAEEGNVRQENAWAFE >SECCE5Rv1G0340620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:616385926:616386675:-1 gene:SECCE5Rv1G0340620 transcript:SECCE5Rv1G0340620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDLSGSSPSSSGSSSPEHASGRASSAKRPAGRTKFRETRHPVYRGVRRRGNAERWVCEVRVPGKRGARLWLGTYLTAEAAARANDAAMLALGGLSARCLNFADSAWLLAVPSALSDLADVRRAALQAVADFQRREAANDLITRTVAEEVPPSSAPAQSSSESDSADSSETSEASADGEFESLATMDIDMFRLDLFPEMDLGSYYVSLAEALLMDPPSTAAIIDAYWQNGDGGSDVALWSYSSDSPLF >SECCE1Rv1G0009150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:52893213:52893865:1 gene:SECCE1Rv1G0009150 transcript:SECCE1Rv1G0009150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNHLTLLSVFFFFLLLTGLEAADPSREKKVTMTVQFPPAASPPGEKITISTHYVAEDGVGDSHFDLDCDDDEPQLASAIKYLVKSLEKIREGEASPSEADMPSEWMQVLFHLVSGKIRRDLLYYRSIVSVRSSFARANNHSSLAALSAVHY >SECCE7Rv1G0480740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:273087113:273089432:1 gene:SECCE7Rv1G0480740 transcript:SECCE7Rv1G0480740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTADASSAADAATKQPDADKDYSSYNGASTAGVGGGARRGGGGGVVESVVARWKREDMLDKCPLALHAAAAAFAFVALVLVASNQHGDWMQFDRYQEYMYLLATAALAFAYSLAQALRHAHRMRGGADPISAPSARLFDFIADQAIAYLLMSALSAAIPITNRMRTAVINNFTNATAAAISMAFLAFVALALSATVSGYRLSRQMYM >SECCE7Rv1G0475500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:188698962:188702807:-1 gene:SECCE7Rv1G0475500 transcript:SECCE7Rv1G0475500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGFVSRILLLVFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALMTVMERFGDLTISWLPFYSEAKLLFFIYLWYPRTKGTTYIYGTFFKPYISQHENEIDRNLLELRARASDVVVVYVQKVAAVGQNTFFDVLKYVASQSPSQKSKQQRPQEPQQPQQQQSQPQPQVQVQQQQPQRQAQPVMRRAASIAARQAAMAQQAQETKPVSPKIKRQASAKGGPAASVKPAAAAAPTAKPDETTKKSEAKPAAELVPTPAADAVVPRPEPKAQPAPEAEVSDDMAIDEADVAVEGAEELDPALEEETVMEETIRVTRAKLRRRAAAEGPA >SECCE2Rv1G0128560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:862798880:862799260:-1 gene:SECCE2Rv1G0128560 transcript:SECCE2Rv1G0128560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLITIPSVAWLRRAVRRWRARRSTSTSAPVPAGHVAVCAEGARFMVRLAHLSHPAFLELLRQAEEEYGFPSGASGPVALPCDEDRLRDVLRRVSSSPHSEGPRRSPFCRRRGDSRPLLQGVAFP >SECCE5Rv1G0342780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633813472:633818173:-1 gene:SECCE5Rv1G0342780 transcript:SECCE5Rv1G0342780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MVKIVTYNVNGLRPRVAQHGSLRRLLDSLDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSSRGRGPYSGVATFCRVTSAFASQEVALPVAAEEGFAGLQGSAKDNEVIGNFVLDMPLDEEDLGEITRDELLRVDNEGRCIITDHGHFVLFNIYGPSIGEDDEERVRFKLLFYKILQKRWEFLLALGKRVFVVGDLNIAPSSIDRCDASPGFEKQMFREWLRSMLREHGGPFFDAFRSKHPERTGAYTCFNQKVGAEEFNYGSRIDHILISGSCFHDCNSVEDHSIFHCHVEDCEIMNHFRRGDSGNISKWKGGRSSKLEGSDHIPVYMILNGIPELPAHNTPPSAARYLPEIRGRQQSIVSFLSKGKFCEVEDGPGLNISRDIANESCCSDDLERRSISKEELATGTAEFSKDVNQPSPTSKRRNLDHWINEGSSGSSQNSNVTLLAAQGMKASFSGVKSMSNKKNKHNLSSQPTIKSFFRRPETKPGDANINSLVSSVDTVAKMDVLCSPKDDSLQENIQCTAAADGDPDNSNISSSLSTDKCNIATLEWQRIQERMKMTLPLCKGHREPCIPRSVKKGSNIGRLFYVCARAQGPSSNPEANCNHFQWASVKSKGKRQ >SECCE6Rv1G0449320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:861256221:861258113:-1 gene:SECCE6Rv1G0449320 transcript:SECCE6Rv1G0449320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRISNNNLTGEIPASMGQLSQLGWLDLSSNKLEGELPSALGNLKNLFNLSLGDNLLHGSIPLEIGALSNIELLDLSSNNLDGLIQNSVEHCLKLRLLKLNHNNFGGNIPPELGLLRSLHDLLDLSDNSFTAAIPSQLSDLIMLDTLNLSHNKLNGSIPPSFQSMESLTSIDVSYNELEGLVPDSKLFQGTPMQRFMHNKMLCGVVKGLPPCSSANQSRGERKGYKILVLAIVPALLSLVLAVVTLIFWHERKKTNATNDDKVTKEKVFSIWGFDGANVFMQIVEATNNFSEMHCIGIGGYGSVYKARLPTCEIFAVKRIHVIEDEDCVNETMFNREIEALVQIRHRNIVKLFGYCSSNQGRFLIYEYMERGDLAKTLKDNERATELDWTRRIHIVLDVVHALAYMHHDCSSPIVHRDITSNNILLDYEFRACISDFGTAKILNLYGWNPTRLAGTKGYLAPELAYTENVTEKCDVYSFGVLVLELFMGSHPGDLLSSLLLATTNNELCLQDLLDSRLVLPDAGIARDIYCMVTVAVRCVEPSPSRRPTARRVGDELSTIEACEDHVDYLHAGITFPAL >SECCE7Rv1G0526640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:896205937:896207496:-1 gene:SECCE7Rv1G0526640 transcript:SECCE7Rv1G0526640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGVTLETLPNKVPLDFLKKITSNFSKELQLGEGAFGEVYKGILDSGELIAVKKLAENSPVPRETIFDNEVQNIMVLEHENVVKLVAFCREAQNRLVQSNGRHIIAEITETLLCYEYLPKGGLDKSLVGEFSSIEWDIRFKIIKGICQGIHFLHTLPSPVLHLGLKPQNILLDGNMTPKIADFGFSRIFGQEQTRMNTRSVVGSVGYMAPEYLYNGEISARSDIYSLGLVILEISTREKNSSSTDQKHARKYIDEVKEKWKLETIMSEYELEEDELNQVEACIDIALKCVEIDQKNRPTIQYIVNKLSNL >SECCE4Rv1G0282360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829076162:829079789:1 gene:SECCE4Rv1G0282360 transcript:SECCE4Rv1G0282360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVVSMARSMLMSAIRVAASAAAAEMSLLIGVRKDIWFIKDELETMHAFLLSIEATKNKRMLLQVWAKQVRDLSYGIEDCLDEFMVHVESQTRSRWMFKMKDRHRIANQIRDLKARVQEVSNRNTRYNLITLDASSSIDGVNSYIEDIRSHSASNIDEAELMGFVKTKEELIKMLDVDSRDGLCKVICVVGMGGLGKTTLARKAYESKEDTVNNFSCCAWVTISQSFSKIDMLKDMIRQLLGGDSLKICLKELEGRVVQVDNLASYLREKLVEKRYFIVLDDLWTIDSWKWIKDIAFPSRNNKGSRIIVTTRDDGLAKECTSESLVYHLKYLQTNDATNLLLIKSRKTHQDMDNDKEMKTTVEKIVKKCGGLPLAVLTIGGMLATKKVTEWESIYKQIPLELEVNPSLEAMRRIVTLSYNHLPSHLKSCFLYLSIFPEDSEIKRRRLVERWMAEGFIRARAGVSIEDVGNGYFNELVNRSMIQSSSVNLEGVVKSCRVHDIVRDVMVSISRDESFVYVVEENVTSAAEETFRHVAHHGSKFQNIGMDWGHVRSLTIFSERPLESSIFSPEARMLRILDLGNAQFRVTQEDINNIGLLCHLKYVNFSNPKRYSYIYKLPRSIGKLQGLRTLDIRGSYITELPTEISKLKSLHSLRCTRNSSYEYFDLDHPKECLLTTFCLPVLFTPLVNRIDGTEVVAELHMAWSSRWSGSKGVKVPKGIGNLKELQILETVDISRTSRKAIKELGELVQLRKLSLAMQGATKKKCKVLCDAIQKLTFLRYLVVVNGSNGTIEWLHSVSSIPILLRTLGLCGCLVEIPGWFANLLHLVKLYLLRSQLKEEGKFMEIIGALPSLIHLYLGVGSYIGEKLVFKMGAFANLKKLDIWSLEQLRELMFEEGTSPQLERIEIDYCRLKSGIIGVEHLASLKEISLGWDGKVARLGMLQHKVDTHPNRPVLRLKMDRSHHDLGDIVQGSTASVQVQVEEATVGEESSRHADPAAARESSSWQVVAVTTWSDRSVANLSFVQ >SECCE3Rv1G0162400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:130451637:130453247:-1 gene:SECCE3Rv1G0162400 transcript:SECCE3Rv1G0162400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFWSFFLSYPEVFLAIACFFCLSLFRLVRHCHKSDLPVNWPVIGMLPFLVRNLYQIHDSVTDMLREAGCTFRIIGPWFLNMNFLATCDPATVNHCFNTNFNNYPKGSEFAEMFDILGEGLLVADSESWEYQRRVAMQIFASRAFRSFSMSTITRKAGTVLLPFLDHMAKHGSQVELEGVFMRFSLDVSYSTVFATDLDCLSVSRPIPAFGQATKEVEEGMLFRHVVPPSLWKLLRMLKLGSEKKMANARVVIDQFIYEEIAKRKAQATKQCQGDVLSMYMNWPMDPSMSEQQKILFLRDTVVGFIFAGKDLVAVTLTWFFYMMCKHPHVEAKILEEIKALQSTTWPGNLSVFECDMLRPAIYLQAALLETLRLFPATPFEEKEALNGDVLPDGTMVSKGTRIVFSLYAMGRIKGIWGKDCMEFRPERWISKSGRLRHEPSYKFLSFNSGPRSCLGKDLGLSNMKIAAASIIYNFKVELVEGHAVMPESAVILHTRNGMMVRLKRRELTAA >SECCE7Rv1G0503680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:715084137:715090719:1 gene:SECCE7Rv1G0503680 transcript:SECCE7Rv1G0503680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAEAAWGVASLRMGSRHSYRERGADVFSRASSAAGAGSEDDEEALMWAALERLPTHSRVRKGFVVGDDGSGVELGLIDVAGLGFQERTRLLDRLVRVAEEDHEHFLRRLKQRIDRVGIDFPTIQVRYEHLNIEALAHVGNRGLPTFINTTLNCLESLANLLHIIPNKKIPINILHDVNGIIKPKRMTLLLGPPGSGKTTLLLALAGKLDSDLKVSGKVTYNGHGMNEFVAQRSAAYISQHDLHIAEMTVRETLAFSARCQGIGSRYDMLTELSRREKAANIKPDPDLDVYMKAISVGGQDTNIITDYILKILGLDICADTMVGDDMLRGISGGQRKRVTTGEMMVGAERALFMDEISTGLDSSTTYQIVKSLGLITNILGGTTVISLLQPAPETYNLFDDIILLSDGHIVYQGPREHVLEFFELMGFKCPDRKGVADFLQEVTSRKDQPQYWARNDRRYQYVPVKEFARAFQAFHVGQRLSAELSRPFDRSQCHPASLTTKTYGASKTELLRACVEREWLLMKRNMFVYRFRAFQLLVMTTIVMTLFLRTNMHHGKVNDGIVFMGALFFALVAHMFNGFSELAMATIKLPVFFKQRDYLFFPAWAYAIPTWILKIPISCVEVSITVFLGYYVIGFDPDVGRLFKQYLLLLLVNQMAAAMFRFIAALGRTMVVANTLASFALFVMLVLSGFVLSHHDVKKWWIWGYWVSPLQYAMSAIAVNEFLGQKWQRVLQGSNSILGIDVLKSRGMFTEAKWYWIGVGALLGYVVLFNILFTFALSYLKPLGKSQQILSEDALKEKHASITGETPDGSISAAAGNINNSRSRRNSAAPGDSGRKGMVLPFAPLAVAFNNMRYSVDMPAEMKAQGVDEDRLLLLKGVSGSFKPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARISGYCEQNDIHSPNVTVYESLVYSAWLRLPSDVESETRKMFIEQVMELVELNTLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSCDLIQYFEGIERVSKIKPGYNPATWMLEVTSQAQEDILGVSFAEVYKNSDLYQRNQSVIKDISRAPAGSKDLYFPTQYSQSSVTQCTACLWKQHLSYWRNPQYTVVRFFFSLVVALMFGTIFWQLGGKTSRTQDLFNAMGSMYAAVLFMGISYSSSVQPVVAVERTVFYRERAAGMYSALPYAFGQVVVELPYVLVQSLAYGVIVYAMIGFQWDAKKFCWYLYFMYFTLLYFTYYGMLAVGLTPSYNIASIVSSFFYGVWNLFSGFVISQPTMPVWWRWYSWVCPVSWTLYGLVASQFGDLTEPLQDTGEPINAFLKSFFGFRHDFLGVVAVVTAGFAIFFAVAFGLSIKVLNFQRR >SECCE4Rv1G0253640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:628445309:628445998:-1 gene:SECCE4Rv1G0253640 transcript:SECCE4Rv1G0253640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDQSKTATRRFGWLYVARWAVASVVTVLAVAVIVRAVVVMLRPEKLQLKLAGGRVAVDWIPSMPPPRNEVALNFVLVANNPSGRASVEYTNVTVRLTDVTSASSPSPTKIAEFDLSQSIPVLQRTAHEAAVRVTMTPGEDVPMRYVRALFEGRSVDGVEMMLRGVFHSHVAMASGDYVTTSDFATYYCWPVTIAVGGSSSSSSPDYTSVVVTDAPCLDKSEAPAIV >SECCE5Rv1G0349780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:686580629:686583619:1 gene:SECCE5Rv1G0349780 transcript:SECCE5Rv1G0349780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDDASTGAEADAGGFEFAFDNEAFSDRVLRIEVVGSHDGPGSVSGAGRKRRREDAEGDDGKDIDSSCTLMALMGTPVLRVNSIHISSAILAAQSPFFLKLFSNGMKESEQRHATVTIVDSEEKSFIELLRFMYSGKLTPTTEPTLLVDILMAADKFEVASCMKLCSQRLIDQPMTPESAVRCLDLPCSISMASAIKEAAKEFLAERYKEFLSPKFQDELMRIPLHGILVILSRNRLGIESEGSIYDFVLRWACLQYPNSEQRHKILSSRLLPLVPRTLSMTDAVLIDHPSCIINFTIKREKCLGLYPSGFMRSQPFHCAGRGFRLLADCKMVEQVPFFAISVKMLEEDKGAASGTMDYIIGFKTRPSLKFSTKHCRATASNIRRGVGCMIPWSKFIAGDSHFIDGKVHVRVQVKITPQS >SECCE5Rv1G0315450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:291718300:291719632:1 gene:SECCE5Rv1G0315450 transcript:SECCE5Rv1G0315450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRWCPSERQCHHLQPNGAIDLELDGGIASLHTEIGLRKGNTRAKWSHQMKLFLVRLLKDHDVPVFRTHNAWSKEAWANIVSRLNQRFDKSFTLNQVKQKEQDLKRDYRSVKELLDQSGFGWDKDRKMVDAPDSVWASFAARRNSKDVLQWKERSFPLYEELAPLYEGRYAEGRTRQGLDHYTGKRKHAPVPSSQSAQVTDLCQSPSPTMPVTGDSDMQFTLDEKLEEHVQAPPSSTQIEKHDIRRGKKLRRCANDDFHEKYLKLKKEEIDRFAAIEEKKLEDPYTINKCITTIEGLEGLQLGDMLMASDIFKCKENREVFLSYSTNELRLAWLKREIARAQTNNQN >SECCE1Rv1G0044070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:599145774:599147341:1 gene:SECCE1Rv1G0044070 transcript:SECCE1Rv1G0044070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGGFMEKAKPYIAMISLQFGYAGMNVLTKVSLNGGMSHYVLVVYRHAFATLAIAPFALFLERKVRPKMTWSIFFQIFVLALLGPVIDQNFYYVGLKYTGPTFACAMSNILPAMTFVMAVIFRMEKIELKKVRCQAKIFGTVVTVAGAMLMTLYKGPLMHLPWTNGHAQPSGGEAPGAAGVDPTAREWFLGSLFIIIATLAWASLFILQTHTIRKYTAQLSLTTLICFIGTLQAIAVTFVMERRVSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAVMGSFILSEKIYLGAVLGAVVIVVGLYAVLWGKHKETQEQEADAKAALPVASKGPDGASVLQGDATAAGDDDGMRSASNGRGAGSASAV >SECCE1Rv1G0058090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:697178296:697182614:-1 gene:SECCE1Rv1G0058090 transcript:SECCE1Rv1G0058090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQCLHMANGDGENSYATNSRLQEKAMLETRPVLQAAVVELYRSLPAKSTMVVADLGCSSGPNTLLLVSEVIGTISDHNWEAVQEQRPPAGAMELQFFLNDLPGNDFNLVFRSLDRLENLTTGKKATKRGAQYYVAGMPGSFYTRLFPCRSVHLFHSSYSLMWRSKVPDEVSRGAYLNEESIYIGKSTPPAVIKLYQEEYRKDLSLFLTLRFNELVCGGHMVLTFLGRKSKDMLLHGEASSMWDLLAQALLSLVLKGLVEKEKLVSFNLPFYAPSVDEVKAVVAENNLFNVEHMSVFESSWDPHDDDTHDDVVLGCTSSGLNVASCIRAVVEPLIKKHFGEAILDDLFMIYASMVSKHLKKAKAKYPIIIVYLKAKH >SECCE7Rv1G0455900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8648641:8649103:1 gene:SECCE7Rv1G0455900 transcript:SECCE7Rv1G0455900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANIVILAVSALLFSSVLALYLPTAASGHHDAVLNPKDGLNSAGGLHHVVASGAGKAGPVTGAGGCSGPTTAEMRTSDAAAEMQGLLETDYAWDWRKRPRTPPSRSK >SECCE6Rv1G0404250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:513730133:513734339:1 gene:SECCE6Rv1G0404250 transcript:SECCE6Rv1G0404250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPSLQGQFTQVELRSLKAKFVSLKRDSGHVTTKNLPGLMKKLRGLHEVVSEEEIAAFLLESYPDSDQEIEFEAFLREYLNLQARVSAKEGSAAAAGGGGAGGRKNSSSFLKSTITTLLHNLNQAEKSSYVAHINTYLGEDPFLKKYLPIDPSGNQLFDLIRDGVLLCKLINVAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVNNFSSDVKDGEAYAYLLKALAPETSPETTLETKDPDERAKMVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTSDIKQVTLTQTASRDDVLVSREERAFRMWINSLGVESYVNNVFEDVRNGWVLLEVLDKVSPGSVNWKLASKPPIKLPFRKLENCNQVVKIGKELKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNILQLLNRLRSHSKGSQGKQITDADILNWANSKVKASGRTSRMESFKDKSLSNGVFFLELLSAVQPRVVNWKVVTKGEADEEKKLNATYIISVARKLGCSVFLLPEDIIEVNQKMILTLTASIMYWSLLRQPQPEISEASEPSSMASDATSDIGSEDGASTAAPSEGEEVNSLSDTMSTLTTDDASSNAPPAENGSDASSNASPAENGTDASSNAPPAENGNDAT >SECCE4Rv1G0275040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:790608478:790610145:1 gene:SECCE4Rv1G0275040 transcript:SECCE4Rv1G0275040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGGKPYSLDIDTGSNLTWLECKYPGYRCNTCKGPHKPYVPNITHKVMCGDQLCTDVNRDLPIPEPRCHTGHPHLCHYHIEYVGGTSSEGFIVKDTVSVNVLSPPLPSKRVQISFGFGCGCNQKPLKDSPVDGVLGLGMGKVGFVAQLKARNVIHKDIIGHCLSIDGGGYLFVGDHSIPRGITWAPMRKYSHYYTPGPAQLSFGGAKIGSPKMVVFDSGTTYTYIPDGVYKELVRQVTMSVRGSLQMVPDPALPVCWKGPFQSIQLVKRKFKPLALEFTNKVMQIPPENYLTLTVNLKPVNLMHTVFLVMISSLIFCLADVSMQDLLVIYDNENKRVGWVSKKC >SECCE3Rv1G0158920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:92534666:92542750:1 gene:SECCE3Rv1G0158920 transcript:SECCE3Rv1G0158920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRRRTTAGFQVNGGLMPHVIDSPRGGGGGGGAPNRDEHALTANGGGSALRGSKPRGGGSGCSATKGTGRRRPAGRMPVWRLVIFASLALNVAALPLLLHQYIVNHSHHPGVVSPDQQRHDCAPQPGTSGAAARAPSTGKPSVTSDSVINLDHGDPTMFEAFWRETGDAAELVIPGWQTMSYFSDVNNVCWFMEPQFDQQVRRLHRTVGNAAVDGYHVLVGTGSTQLFMAALYALSPADADQPTSVVSTAPYYSSYPAVTDFLRSGLFRWAGDANSFVGDAYIELVCSPNNPDGAIRDAVLSSGAGKAVHDLAYYWPQYTPITRRADHDIMLFTVSKSTGHAGTRIGWALVKDREVARRMTKFVELNTIGVSKDSQLRAAKVLRAVSDGYADGGASRHRLFDFGRRKMVERWRMLREAAAASGAFSLPEETSGRCNFANETAANNPAFAWLRCDREDVEDCAGFLRGHKILTRSGSQFGADPRYVRVSMLDRDDAYGIFIRRLASLK >SECCE7Rv1G0525470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:890461552:890467965:1 gene:SECCE7Rv1G0525470 transcript:SECCE7Rv1G0525470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec24-like At3g07100 [Source:Projected from Arabidopsis thaliana (AT3G07100) UniProtKB/Swiss-Prot;Acc:Q9SFU0] MRPSAGNERPPGRPVSGFMPGFAAGAPPPAPGASPFGAAAPLVRPGAAAGPFGPPPTQQQGVPVPQAAASPRAPFGAAPPAAMGGYRGPPPPQGSFGAGPPPPGPFSAAPPPQGPFGAAPPAQGPFSTAPPAQGPFGATTPSQGPMGAAPPPQGPFGAAPRPQVPFGAAPPSQGPFGAAPPSQGPFGTAPPPFRPPPSALPQPQSPTGSAMAPPTYVRPPPVQQQPQPVQGYYPGGPNPQFPPVRPGFQQPMQNMPPPPMGPHSSYSNQPAYPTTGPPMGMLQSLVEDFQSLSVSSAPGSLDPGVDVNGLPRPLYGDEEPTKVMEAFPLNCHPRYFRLTTHTIPASQSLVSRWHLPLGAVVHPLAEAPDGEEVPVVNFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCALDASGRRFDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLLGFPRTQIGFLTYDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLADSRHVVESFLDNLPNMFQDNVNVESALGPALKAAFMVMGQIGGKLLVFQSTLPSLGIGRLRLRGDDVRAYGTDKEHILRIPEDPFYKQMAAEFTKNQIAVDIFSLSDKYCDIASLGSLAKYTGGQVYHYPSFQATTHGEKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDADKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSVLARVAVENSLSDKLDSVRQQLQLKLVKSLKEYRNLYVVQHRIGGRLIYPESLRYLPLYILALCKSLALRGGYADVSLDERCAAGFSMMILPARRLLNFIYPSLYRLDEVLTVEPDMIDGALKRLPLTLQCLDTAGLYLLDDGFTFLVWLGRMLQPELMNDILGVSLSNFPDLSKIQLRECDNNHSRNFMAVQRALRERDSSCYQLPRVVRQGEQPREGFLLLSNLVEDQMAGTSSYMDWILQIHRQTQSS >SECCE3Rv1G0178120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:492736524:492742510:1 gene:SECCE3Rv1G0178120 transcript:SECCE3Rv1G0178120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFALLVGAELDGLTNLQPRGGCDDPSYPYYFKLRCESCGETSAKTTCVSLDEVVELPTGKGTANLLQKCKLCSREGSVVMIPGQGTPLTSEQSQKGEMTCLMVFECRGYEPIEFAFGNGWKAESVHGTPFDIDLSEGEFDEYDEKGECPVALSKLQSTFKVVKKQGFHGKTRYV >SECCE1Rv1G0023300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:302233037:302265387:-1 gene:SECCE1Rv1G0023300 transcript:SECCE1Rv1G0023300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDRSFSTSAALSRLLARCPALGADPCLLTLASAPAAPTWDDVAAALAEPLLHPRYTVPIIGCFLPLAPALLDHASELLRTAAPALLVDSAASHEEEVGEGDTRVVEFYLSRGRGLRLHELVCLALSRALDLAPYLIRCVLKYFKFSPPPFHRLLCEGVPSPTKGLHLFLDATQVSYRFLELEPRVFCEQWDWSCFLDLVNGTTDYRLADDSLHSVVLDLRWCAIQILVVVFKASDMGNASFGLGADDAFTCLLRWKEFCTDTSLEKASLYLQTEEGNSNIDLTSLADTLLDWSEVTMGKECNIGCNICPFVLTATMRKSYEVALMAVSQRWPVLLYGPVGAGKTALINELAQNRGNRVLFIHMDEQMDGRTLVGSYVCTEKPGEFKWAPGSLTQAIVKGFWIVFEDIDKAPTDVQSILLPLLEGSSSFSIGHAEAVEVADGFRLFATVTTSKHDFSHALEGRLTYSGLWRKVMLGEPNREDMVNIVNGCYPSLDTISSKLIDTFEKVNSLVSYQFGGLNLAGGFSDGILHRFSLRDLLKWCKRIVGVDLNFEGLGLASSGYQFIYYEAADIFAASLSSPDKRQYVAREIARILGLFHQAETMHPTDKPIIQARHTDLQVGRVTLQCSDKPALIQKGPFADIRSALDVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPTDARSICFPLYIEFKDLFCQSFSKKGNEDLLRYFDVYVMEKNWVKLLKSLAKCAKNAHKLLQGDSKKRKRSLGEWDSLFSRLNVAHSQLGSASGMSFQFAEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGEKGTLCLAERGDVDYIERHPRFRMFACMNPATDAGKRELPYAFRSRFTECFVDDLMDDDDLRLFVNKYLDGLHTAKGVIDSIVCFYKAAKKESEDKLQDGANQKPQFTLRSLSRALGYVKMAEKSFGFRKALYDGFCMFFLTMLDVPSAKIIKNLIVSLLLNGRVPPSISFADYFVEKPKQLNDSESDEFLSSYVLTNSVTEHIVNLARAVYIKRYPVLLQGPTSSGKTSLVRYLAAKTGHEFVRINNHEHTDLQEYLGTYVPDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKMLSRAFRNRFIEVNVDEIPEDELITILEQRCIVARSYATKMVQVMKDLQMHRQNSRVFAGRHGFITPRDLFRWANRYRTFEGKSYEDLAKDGYLLLAERLRDDNEKIVVQEALERHLRVKLNIPDLYNLESIYGESLSSHAIRQRVQESFGHITWTKSMWRLFFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPVRDRSTIALEYKHLISTLKGMKIFIHVAGDVLFPSDISGAISAVNRMDEILDRYRKEKELFPEVPPQDIDDMEQIKLKLMHLHQKSKAIFLWQDGPLVQAMKSGDLFLIDEVSLADDSVLERLNSVLEPERKLSLAEQGGSVLEKIVAHPNFFILATMNPGGDYGKKELSPALRNRFTELWVPAVTDADELKSIAVERFNKAELSCFGDCIVNFSKWFNHLHTGRMLTIRDLLSWISFINLTEMKLGSQQALIHGLFLVLLDGLALGMNLAKSEATELRRTCMSFILEELQKVEGKPLNSGLNDLKNYGWGDDITKTDIDCNHPDDFGIAPFYITKGHFACKQQGFLFTAPTTSKNVMRVLRGMQLPKPLLLEGSPGVGKTSLITSLAGYSGHNVVRINLSEQTDMMDLLGSDLPVEGENGIEFAWSDGILLQALKKGSWVLLDELNLAPQSVLEGLNAILDHRAEVYIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELKEDDYFSICKSEHPLLSDDLLRNLICFNNRLFTDTMIHRKYGQEGSPWEFNLRDIMRSCQMIADSPDTSKNDCFLNTVYLQRMRTTVDRNEVLKLFEEVFQIKPSIHQSKMLYVNAHCLVVGNASIRRNNSRSYAVQINQLNILPGILHSLEAAIHCIHQGWLCILVGQHSSGKTSLIRLLAQLSGNTLNELNLSSATDVSELLGCFEQYNFFRHYKEVISQVERYVNEYFRLSMDIRWKDLIMVRKALFVKWFEFVVAKKYSSIDTSTFIEMGKNASLPPLSLVIDIVEQMKGDLELLDLPISLTKDDLSKTLKSIYSLQQKEALHQPVKFEWVAGDLIRAIERGEWVILDNANLCNPTVLDRINSLFEQERSIVVNECGLVDGNPVVLKAHPNFRMFLTVNAKYGEVSRAMRNRGVEIFLMEQSRCLEGCTNVPENSERKDLTRFLISCGIPRMELISSMTEAHLYAKAAGLRLGINITILEITRWVQLFQQLLVKGNQLRWSLHLSWEHTYLPSLGQVSGSDVVEEGKLRFLTNVDGCSAGLPCDFSLSLPGGWPIELKLRDFIWYSKESCVQRNCMYLQSLGAQYAAYRLSNLEGSSSLIGPSNIHPYVLPASSLYALQFPTLSGQHSVKTRVTGGFNSELADQMLFIAANWVMEQSTASDLDLYRIWFKWYNGLLQPYCSFFENYGNILNQESEHPVWHSILECYEEISAYHKVDAAHPIPLLSTRLLDMAGCDTLKTCQKRLHRALNGLNLLRLTLWQWQSETVLPDFGVLKAALLPALKSLRCLEDEVLKLIVSSRKLLQIYTRILDYHRSIWKMIVSSQFEGLPVVWNVLRKEILKLQPKFPEVVGIFMMESVNLNNLQDFNLQNSKPTLWFHGGHPLVPSSGRVFYKIQEIVAFSAAVWPLKNLSKKQLDDKQQIIDAMLSANQDLRCLALEGVALAFRVASEPEEEESTVLAHLDDIHKSLVRKVEYERNNLGLLSKTSNAEVKVCCSVSSDILCSTCGFNGWLASLPLLNLKSLNLDTALLQCLSKWIQIDSSEIHQSIANSESLLTYAIDYSLELSSRSPLEFTQHNLVLWIHRSWDTVENVDAKFASSLLEIWYNYHTSLWTYCSGSPKALFPLTHDETSDLAHLTKMDAINTIIRGDLCVMDYQKNSLMLRMSSRSLWEGVSYAGGLVGGLYSAAVSLFKQIIFAHKKHFKPEEYSQLEHILFQQSEHHLEDECLRTACALLSSSSHGVLASFSGSNKLIGSLLLELYSSYSRDSLLHLGAAWIYIGMLRFQLLLSSYNPDPAFLSAFMHSQILEKISLLDLKGKVHHECEELAGSSLAGNCHDQKLMQELKTEEKNLRSKVVFRPRQSKHKSLIAACCEFEGRLSDCKDLLSHLNCKGAGQLEVNRICNWQITSGNFIKRLTEEYGEYVDLIQPVQVAVYEMKLGLAIALSGSLEREYLKKVKEDDIEKVLGAIFTFMQFPNGHVAGMTSVGVPDLTNYSMGDQLETQYSEFKDVGMLEKLSRVSSQLNVGEVADEVRSHSQMLVTFHHVSLVRTTYRICHSLIMDKTSYLSLKKIFDYFKSMWIDMKSSVKARENDDSQYYKFRSRIIDIEDIFEGDVPSLSDIDSDANAGPDNEETLELEFFKIMERSDKDDGSVEDKWDLVPESALRCIILTHNQLFGSPDLIEKTEKFQISDQQKLQSFVDSYDFGARILKGLPELTSSTLDEKLMPEHLLRVCLEYQRTCAASLGSNSYNAYKDPNPPVLFKMVEPLTALQEKVRTFLDEWPDHPGLLKILEIIASLLAMPLSAPLSKVLLGLQLLAGKAQTLQENDSKFFLKDHLPPIFMLLSSWQRLELECWPILLEEVQGKYESNAAKLWFPLRALLSQSCDSPTNDDLSIIKSIEEFVQTSNLGEFKTRLHLLLAFHGEFSDGASVGVYLSTPVKKIQNILYNVFGYYMQFLSLVLRQIEVGKESVEKELKDQVKLYRWEQDPYSLASIENFKRTRQKIFKLLQRFNDILKKPVIALLNEEATARKVPCWLDPEIPESQFPVDTEKLGERFLWYNKWKSQSSLSLRTLLHANGSAAAVPNMKESVYAVVHNMNHQQDETELSDRLKFFWYAVERICNAADFGSILKHGKKNQKKTALSSLFKTLEECGLSKHRPMNHEWGNELDAPSPLFLEQSYNTTHLLQQVTAACEDVRSIHSTLLGTNNWKLANQQYFRCLATVQQLKQISLKFNKDLDLVEVNKAASFMNHLLTTLCEQRHLAYDLFEQLNQFRHMILLLGSGGKSESLSSCQNLLLISMWQQKQFFDNMLAMAADTNLLLKTFKGSHHASCDNFEVEVAAMSTLLEKFITRFSESKNLLDKFLVGSNNILAGAHKNMPLATIEMEQLVAVNCQLVDTFREDIQVLCHQDVSLRSVKKVLLSRIEELLDKGIATESFSRELKDKHGLFSDEQKPEDSYAEAFRETFALAVGVVGQLTGLGRSIDETKEPSLEGNITSWKDILHSYVMDLQMDHVCDAGENLSVLVRKLVDYKPEMCSIIEAQLMHLRVLLGVILSSAEGILSELLEAHRRTSEMTHALGDLFIYLCAEGFGCVEDTTEDASDGQKDATGTGTGMGEGEGQESASSKIDDISQLEGTNEMDAQCKADQTPKDDDQAIEMEGDFAAELADVSENESNDSGSEDEDNLDNQIGDTGDASEMVAKKSWDKNEDDDSKTSDEKYESGSLGKGADENDRELRAKDDCSMEADPVETDDNEQGKNNDMDDEPSACEDADENTDDVMNKADAYDDRTGPELTELDKEDEDVNMDDTEQTDDMGADNPDNEDMGPEEGQQEVDSAVVSEDMEEDDATHDGDNVVDNEGDHDEDGNVEPNNMEKQQLDKIESLAHPSQGIQPNQLETDSNRESEANLANSMDMSSGVAPSVDFSSNEVPSLEISIPNSGEGSRNLSNSKPELQPDAPPSHIKQTNPFRSIGDALEDWKERARVSADTRDHQPETEHHIDDESATEFRYVPEGEQSTSQALGDATADQINNELQVRQPMLDDETRAQVEQPDERIPGDDKPEMPHLQTSQSRANKSESANGLERRDIQTDASIEDLVQDEIIDTFGDVVSFKQCLTDDRVVQLDALTSDREMPTQMDLDIINEETERTIMDWRNLELATMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASQFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKRGNVKVLHDFDQIFNAEAGVKMISSLSFEQDNKIEDQPVADLLMHLNTMLDAAVARSRTPSGQNPLQQLILVISDGKFHEKENLRRCIRNVLNRRRMIAYVLLDSHEESIMNSLEACYEGDKLILGKYMDSFPFPYYVMLKNIEALPRTLADLLRQWFELMQSANE >SECCE7Rv1G0473290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:155963941:155969423:1 gene:SECCE7Rv1G0473290 transcript:SECCE7Rv1G0473290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEEAGGGDQFPVGMKVLVVDDDQTCLAVLKRMLVQCRYDATTCSQATRALAMLRENRGAFDVIISDVHMPDMNGFRLLELVGLEMDLPVIMMSADSRTDLVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKFGGNKEHEHSGSLDDTDRNRLTNNDNEYASSANDGAEDSWKSQKKKRDKEEDDSELESGDPSNNSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGMANPYGVPASSAQVASLGGLDFQALAASGQIPPQALAALQDELLGRPTNSLLLPARDQSSLRLAAVKGNKPHGEQIAFGQPIYKVQNNSYASLPQNSPAVGRMPSFSAWPNNKLGMADSMSTLGNVSNSQNSNIVLHELQQQPDTMLSGTLHTLDVKPSGIVMPSQSLNTFPASEGLSPNQNALMSQSSGFLTGIPPSMKPELVLPTSQSSNNLLCGIDLINQASTSQPFISSHGGNLPGLMNRNSNVMPSQGISSFQTGNTPYLVNQNSMGVGSKPPGVLKTESTDSLNQSYAYANHMDSGLLSSQSKNAQFGFLQSPNDVTGGWSSLQNMDGYRNTVGPSQPVSSSSSFQSSNAALGKLPDQGRGKNLGFVGKGTCIPNRFAVDEIESPTNSLSHSIGSSGDIPDMFGFSGQM >SECCE3Rv1G0155870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69548176:69549799:-1 gene:SECCE3Rv1G0155870 transcript:SECCE3Rv1G0155870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPAVLGLTLLLLLVLNPNGAEARPAATGGHQKKASSATFFVFGDDFADNGNLPLADPITRMSRQWAYPYGSDYVDADGFPRPNTPSGRFSNYKIQSDFIATIMGLEEAPPAHARTAEKTCDPSGMTFAIGGACVLDSTSHEVPALAKQVDTFRKMVKDGTITTNQLSHSVALVAFSGNDYAGTNVIGLSSPNDINAYIGKVTKEIATNVDQLLKLGVTKVLVNNLHPIGCTPSHTRTNNYTACDIFGNLGASIHNDNLKQVMASKKNVYIVDVYTAFANIVDHAAGKGSELSKKFKRKLSPCCESLDSEGYCGQQGESSSELLYTVCDKSNRFFYWDDMHPTHTGWEAVMKQLEKPLREFVNQA >SECCE5Rv1G0341490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:625013401:625014788:-1 gene:SECCE5Rv1G0341490 transcript:SECCE5Rv1G0341490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRCLCCVAPGGDSEPEAAPSRRRRDPSRRGSKNKNRSVEFPWEMYTLKELVQATNNFNESNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAIEVEILGRVRHKNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPASQQHAPLDWPRRLAIALGAAQGLAYLHHEASPHIIHRDIKASNVLLDADLVPKVADFGFAKLIPEGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAGPLVERRKWDRLADPRLTGRFDAVQLRAVVETAMLCSQSNAEGRPTMAEVVEMLRFGGEQRNREIVPVADAASQDTTVTMDREDDVTGSSEPLDRGRSWKLTTLR >SECCE1Rv1G0053000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:666222666:666223457:-1 gene:SECCE1Rv1G0053000 transcript:SECCE1Rv1G0053000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSVSAAMEGPGHEYMIRFDGHLDDPSPSTATAEPPPPFAGRAITPEQEHGAMVAALLHVISGYTTPPPDCLFPAAASKEVCPACRVDGCLGCEFFGAAEATAATAALDAPKAPVTAGGPQRRRRNKKNKYRGVRQRPWGKWAAEIRDPRRAVRVWLGTFDTAEDAARAYDRAAVEFRGPRAKLNFPFPEQQQQLGDGNAAAAKSGTCSPSPRSADVEVRVPQSWQQSGGQETGDQLWDGLQDLMKLDESELWFPPSGNSWD >SECCE5Rv1G0347950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:671616032:671618370:-1 gene:SECCE5Rv1G0347950 transcript:SECCE5Rv1G0347950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g19350 [Source:Projected from Arabidopsis thaliana (AT4G19350) UniProtKB/TrEMBL;Acc:Q8LAF5] MAAAAGSYGKLDKSFKLAARSILTAFSREDLNNAFPSFTDAERERLYQMFIYVIKSLHGNIVEEFQNVCDEIEIATALDKVDQFVEEQTLDVLSSDKTSIEDIRERISKEKKDEIELLKGLLEKTQERNNAMKARIEPMKQGEDFNDTRDGLTKLKQWNAACHSYDDH >SECCE1Rv1G0040880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:566767029:566774747:1 gene:SECCE1Rv1G0040880 transcript:SECCE1Rv1G0040880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRVGADSGGGSGAWEPVEAGGGVHERVLPRGKSARIAEPVSAPRGGGNDNDNDDVEITLDVREDSVVVRSVKPVVGGADSEVTLEKRSSTYGHGVLRIASTRVKQVSQGLRRIASFNLRGGGPSRIDRSKPVATQALEGLKFISGTDGSAGWTAAERFFDRKAKNGRLPRSKFGECIGMKEAAFAGELFDALARRRNITGDSINKSELREFWDQISDTSFDSRLQTFLDMVDKDDDGRISEEEVKQIITLSVSANKLTMVPRHCEEYARLIMEALDPHGQGYIELNNLKMLLLEAPSESATNKHKLSKLLSQRLRPTTDPSPVRRWYKRAKCSLEDNWRRCWVMLLWLSICVGLFAWKFVQYRHHAVFEVMGYCVCVAKGGAETLKFNMALTLLPVCRNTVTWLRSHTSAGRVVPFNDNLKFHMVIAIGITVGAGLHIISHLACDFPRLLHAMDDEYEPMKPFFGAAKPPNYWWFVRGTEGWTGLVMLALMVVAFTFATGPLRKGKLRLPKLKLLESLDSNPERRELTRFDVLVNASRSRLTWLVNALLNRFTGYNVFWYTHHLFLAVYALLIVHGHFLYLTKKWQKKSTWMYLAVPMTVYACERLTRTLRSSVRSVKKVRVAVHPHPATLLSLHLSKPQGFRYKSGQYIYVKCPDVSPFQWHPFSITSAPEDDYVSVHIKTLGDWTNQLRNAFLKICPAPTEGTTEILRAEYNRDDAMSNPSLPKVLIDGPYGAPAQDYKEYDIVLLVGLGIGATPMISIIKDIITNAKRLSEDVESGNGNAGGARTFRTRRAYFYWVTREQGSLEWFRGVMDEVAVADEKRIIELHNHCTSVYEKGDARSALIAMLQSLHYAKNGVDVVSGSRVMTHFGRPDWNQVYQRIADENKEQRVGVFYCGEPVLTNKLRELAKKFSRKSSTKFEFHSENF >SECCE3Rv1G0209480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939066258:939066824:1 gene:SECCE3Rv1G0209480 transcript:SECCE3Rv1G0209480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLVLALLVVVATIDTANSDILHSDPKLQVQPQQSFPHQPQQQTLPSFLEQQLISCRDVMLLQCDIITPSFKSQILQENVCAVMRNDCCEQFRQIPKQSLCPAINSVAHAIILHQQQQEHQQQKQYSWDVGTFKLPQQLYPGQSSMRPSFEAIRTFVLQTLPLSCGVYFLPDCFTTAFSFGDIVGN >SECCE6Rv1G0444240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827381622:827383936:1 gene:SECCE6Rv1G0444240 transcript:SECCE6Rv1G0444240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OS9 [Source:Projected from Arabidopsis thaliana (AT5G35080) UniProtKB/TrEMBL;Acc:A0A178UMY2] MGFAGRASATAPLPLLLLLLVAGAAATDQIFTTSGVPFGKNSREPRYRVEFHPVDSPYHPENGQESEPMTDHEGRHYTCYLPVEETKTMKSIVPQNATNFIIESERKVKPKEPDELLEVLKDQCFYRHEGWWSYEFCYYGKIRQVHVENEKVIQEYVLGEYDPDATDAYHDNHTSEPADEDHVKDTSKRYHVHVYTNGTVCDLTDIPRYTEVRFVCSEPTVLISSIKEISSCKYVLTVQSPMLCKNPLFQQEKRTFFIHCNESLAEAEAEATEDDDSLPKEAQMSIVPNPDELHNYAAYAT >SECCE3Rv1G0156050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70140787:70141059:1 gene:SECCE3Rv1G0156050 transcript:SECCE3Rv1G0156050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISILGIRGILLNRRNILIMSVPIESMLLAVNLNFLVFLVSLDDMMGQSFASLVPTVAAAESAIGLAIYVIAFRVRGTIAVESINFIQG >SECCE4Rv1G0234330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:273637776:273643310:-1 gene:SECCE4Rv1G0234330 transcript:SECCE4Rv1G0234330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to TaWIN1 [Source: Projected from Oryza sativa (Os11g0546900)] MSPAEPTRDESVYMAKLAEQAERYEEMVEFMERVAKATGGAGPGEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNDAHAATIRSYRSKIEAELAKICDGILTLLDSHLVPSAGAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDTNEEDVDEIKEAPAPKESGDGQ >SECCE3Rv1G0199200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:843313027:843316800:-1 gene:SECCE3Rv1G0199200 transcript:SECCE3Rv1G0199200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GABA transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G08230) UniProtKB/Swiss-Prot;Acc:F4HW02] MGAPSREEEEAKKMEAGGDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFAALGWSAGMVCLVVGAAVTFYSYNLLSRVLEHHAQQGRRQLRFRDMAADILGPGWARYYIGPIQFMVCFGAVVASTLLAGQSMKAVYLIANPGGAIKLYVFVAIFGVFLVVLAQLPSFHSLRHVNLVSLLLCLSYSLCAVAGCIYLGTSDRAPPKDYSIVGETHTRVYGVFNALAVIATTYGNGIIPEIQATVAAPVTGKMFKGLCLCYAVVVTTFFSVATAGYWVFGNAAQGLLLNNFMVDGKPVIPVWLLLMAELFTLVQLSATATVYLQPTNEVLEGLLSDPKAGQYAARNVVPRLVSRTLAVAFGTTVAAMIPFFGDMNALIGAFGFMPLDFAVPALFYNLTFKPSKKGFVFWLNTAIAVVFSAVAVVASVAAVRQIVLDAGTYKLFANV >SECCE7Rv1G0471460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:130456951:130460960:-1 gene:SECCE7Rv1G0471460 transcript:SECCE7Rv1G0471460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAIAVFAALGFAFYVFFLPFVGSQTSQYVAMGLYTPLITCVVTLYIWCAATNPGDPGICKSTRLHSRLDKDGRQSHSHSHMDSDHGLHAADNSEKLSSMLERKDSPSWPRCSQLLCLVCLPFSCLCKRWLRPDDQHSEENMSEEGMFFCSLCEAEVLKNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFILMSCAVLLLVVQWLSGTLVIILCIVKRGEFSRQIDSKLGSSFSTVAFVIVVATCTILAMIATVPLAQLLCFHILLIKKGISTYDYIIALREQEEDQQEEVAGHQSPQMSIISSVTGFSTTSSFGPLHRGSWCTPPRLFLEDQFDVIPPEIGMSQNPGSKKMKIPEGARRRNGTVKISPWTLARLNAEEVSKAAAQAKKKSKILKPIARQDPPIIHDNRRGLFPAELSLDPLARLSASGTESNFSDAAMEASASLAPLQLEARSAFQPSTAASSRIAISSPESSFDSPDLHPFRVSSCTADEMLGVTPHASQSHIEFTRSTSDGYEASGGEDSDRIPSRIVHRSSNWANSLLHSGQAGPAPDQHMPLAEGFLNNSRSS >SECCE7Rv1G0506010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:745117818:745119215:1 gene:SECCE7Rv1G0506010 transcript:SECCE7Rv1G0506010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSVLVGTVRTRPGWSDLPGDLLECVLARLPVPDRFRFPAVCTAWWSAASALQPRAVPVPSPWLMLPFDRRRGGGTSSEEARFLSLTDGRAYTVPQPAPAISDRLCVGSSPDGWLVTADAASELHLLNPLTRAQVPLPPPDTLPFVHASRDAEGRVVSYSLRCCFADDDGDEAATVLVPPEYFAPDRLRYELYEKAILVSAPREGHTAAPGSGGPWGGYAVMLICQPLSRIAIARAGDTRWSLVDTPSRRWADAVRASSTASAGGRQLVYAMDSAGRVEAWDVDVTADTTPTRKAIAPPCCCCSGRACSMSAACSRYLVELSPGRLLQVHRLRDAAHVRCTWEPRPEQVEYTTVAAEFFELIGGRWAPVDSRNGRAGILAGRVLFLGKNASLCVPVDDCPGLRGNCVYFTDDGPWSHDRCREVAPDVGVLDLADGSYRLPRGAARNLLWKWPPPVWVFPSCAD >SECCE4Rv1G0218180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:20160015:20166853:-1 gene:SECCE4Rv1G0218180 transcript:SECCE4Rv1G0218180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQEGDLDAVLKEAVDLEHIPIDQVFENLRCSHEGLTSEQAQQRLQIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGAKPPDWQDFVGIIALLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAVLVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCICSIGVGMFIEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFEKGVTQDQVILMAARASRIENQDAVDTAIVGMLGDPKEARAGIQEVHFLPFNPTDKRTALTYIDGDGKMYRVSKGAPEQILNLAYNKSEIAQKVHTVIDKFAERGLRSLGVAYQDVPDGMKESPGSPWHFVALLPLFDPPRHDSAETIQRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIADLPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTNFFPRVFHVKSLEKTSQDDLKMLASAIYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNIVFYFPLDIIKFFIRYALSGKAWDLVIDQRIAFTRKKHFGKEERELKWAHAQRTLHGLQPRDAKLFPEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >SECCE2Rv1G0088950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:250438686:250441699:-1 gene:SECCE2Rv1G0088950 transcript:SECCE2Rv1G0088950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLEVDVDAQAVFFLDKDALAPFSRRIKNLFEEELVGAAASPRRRLVLRGFPGGAEAFELVARFCYGGGGGVTVTAANACAVRCAAEYMEMAATAPSLAKVADKALEDMPHWPWHCVVDAVKQCQSLFPLAESTGVFDKVVGALLAQMAIPAPGDATPTSSSPESSAFRFSCDTKCSSLSLRRTWWFEDLVVLSPGMVERVAKALLARGADHGIVARFLFYYLKCRIAGANAEDKKAMLEAAITVIADLDRSSVSCKGLFGILRIASPVKLAAGCQEMLVAMIGRKLDHATLDNLLVQAPSGTSSLYDVSLVLRFLEAFLRHGDEPGRLKKVGELMDLYLAEVAPDPSLRPARFVELATALPAAARDCHDALYRAIDVYFQVHGRLTDDEKMKICKGINYEKLSPECCKHLATNSGFPTRAAVQALASQHTVLKGIIRHSGPLKPVPPPPPPATHRESYDDADGNGNGQVVLYASRLELTLENQNLKSLLDSMHWRVMELEKVCSRMKTQMTKMKASRRGGDAGAARSLPRMCS >SECCE3Rv1G0189520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:726715395:726716852:-1 gene:SECCE3Rv1G0189520 transcript:SECCE3Rv1G0189520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWSSRDALRSGPIEGRIQRQMTPPQQSRRLVSSAAPATLLLLLLAGAADAVPPLVGVSPQDEAYFAPQVIACRDGSGSFPRSRLNDGYCDCTDGTDEPGTSACPEGKFYCTNIGDLPRILFSSFVNDNICDCCDGSDEYESGIHCPNTCKKRHDTAETDNGVSELSVAHLGGTDIISSKHTLDIEDLIQKLRGLRMAAVIELGLVVCIFVFCFARRSTRARRRQYILKRK >SECCE3Rv1G0211780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953260579:953262667:-1 gene:SECCE3Rv1G0211780 transcript:SECCE3Rv1G0211780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIPTFGPTPSQRHAHQSTTTHSLNPPQAETLAVGPLPPPPAAMGEPQFIIGTPMSDMLASMERDSRDPATLQLGSNYVLHFVYGYLPDPPVSPAATRSLAGAARVPDGIDRISRLPDAVLRNIVSRLPAKDAARTSALASRWRPLWRSAPLSLVDSHLLPDGGAAGQFPIGVPSPRAVTAAVSRILVAHPGPFRCVHLTRTTMEEHRGEMSRWLDILVAKGVKELVFVNRPWPMDLRLPATLFGCNSLTRLYLGVWRLPDTAAVPRGAGFPNLRELGLCMTVMEDRDLAFMLERSPALEFLVVMGSQTGVRLRLVSQRLRCVQLGHALLEYIDVVDAPSLERLFFSEIVPPGKLKSFRKRNNDNHSTMNGCSRIKIGRAPNLRVLGYIQPGEQELGIINTVVVAGSKEKIVPSVKILAMEVQFGDRNAVKKVPGFLLCFPNLETLHVYSPRIPKESTGKVNLKFWQEGGPIKCVVQTMRKVFFYEFHGSRSEVAFLKFIAETGRVLEQMLILVANECFSSGDDNVRAKLKPLASAKWNSEACKVELFRSRRTNPGGPVYSNKIASDFGFADPFDLLGY >SECCE5Rv1G0367260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:820093569:820102748:-1 gene:SECCE5Rv1G0367260 transcript:SECCE5Rv1G0367260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKSFVKKTKQGRVVKVVREHYLRDDIPCGTFSCPSCDAAARRLNADAAAILVVDTNVVLHQIDLLENPAIEDVVVLSVVLDEVKNKNLSVYNRLKTLCTNTARRFFVFTNEHHRDTYVKEMVGESPNDRNDRAIRVAARWYQSHLGDSAKVLLVTNDRDNKRKATEEGLNAETVESYVRSLAQPGLLDLVVVPSSGDVAMEDVEDLRPSKRKIVYNEHKPMSEITSGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIRGSSNMNRSFDGDIVAVELLPQDQWHDSKSFIADDDEDDNEDDVRLVPNSADDAPRNTNSTQSTVGSSAASVPSRPVGRVVGIIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLNKRIIVAVDSWDVMSRYPSGHYVRTIGDIGDKETETEVVLIENDINTRPFSTQVLACLPPLPWTLSPEDVANPNRQDLRHVRVFSVDPPGCRDIDDALHCTPLPNGNFEVGVHIADVTNFVHPGTPLDEEASQRATSVYLVGQRIDMLPKPLTEDVCSLRANVERLAFSVIWEMTPDADIISSRYTKSVIKSCAAMSYVEAQARMDDSRLADPLTVDLRNLNSLAKIMRNRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANVSVAEKILQHYPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKRDDPYFNKLIRILATRCMTQAVYFCSGDLSLSEYYHYGLASALYTHFTSPIRRYADVVVHRLLAAALDIAKLPPVFQDGPQLTGIADNLNYRHRNAQMASRASVELHTLIYFRTRPVDTEARIVKIKANGFIVFVPKFGIEGPIYLTAKGDKGADWVVDEVHQKVTKPGTNISYAVLQSVMIHMEVVEPQPHRPKLQLTLI >SECCE1Rv1G0030170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:424585094:424586977:-1 gene:SECCE1Rv1G0030170 transcript:SECCE1Rv1G0030170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAARRRTPPLPARLAAAFFAAKPQPQPQPPALSPQIVEAAVSRCPSDGLALSFFLWCARRPAYFHPPSSFDRVLPAATRLASRLGTAPALLRELQSLGCPIRPHTFLVLLRLYWRGGLYPLVLHLFDEMPRWGFHQNAFARNVVLDVLLRTGSVDDALRFVQGNPSPNYLTYAIVLTHLSRAGDWSGLRVCFTAMLSQGFVPSATSLASVFACCSKAGTMPELLQLLSYVLVSGQQLTSAMWTCLIARMCSEGRLAEACQTLRNMVRSGSSPSVVTYTPLVRGLFRAGRHDIARELLGSMASNGCTPDLVMYNVLMDCMMRERRYDEAIDIYLHLHGSQIKPDAYTLSTLVQVLQLSRNIDLLPRLILGSDISYDLVACNSMLSALCKSGFPSEALQFYIDMIGLGIRPDSYSYVGLLDSLCHLGRIDHAVNLYRSVVTSNPGSDAYVHAAILRGLVRRGQNIMAFRILREAVRQNYALDTVCYTIVLHGLFRGHFVQEARDLFDKMKDSGVASNTCTYNVMLRGLCRARDMLAVTQLLTEMEGANVQMDSISFNVVVVLLVKLQRISSATALIREMLNLGMKLSTKTCWLLSQSIGHRFVLEDSISAESDGSDSTCDLLVCSAS >SECCE5Rv1G0332360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:547831654:547837608:-1 gene:SECCE5Rv1G0332360 transcript:SECCE5Rv1G0332360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVVPVVDLGALSQSELVALAAASPYAVDPRRGRRVADLLPPPKIDRAVFNESAGSRKQTFSRRRAATNLSHNLTPSTASSSSAVAAPTEEDSENRLIVFHLQQLFARDDPSYLPPPPPIPLQQLPPIPVPAPTIPAPAPPVASVPIQQLPDPDRELTNPKGLAVDLARLAELVDPYGEEIRNRTAGLALESELLGFMNELEGQWGSRRRRRKFVEAAIFGDHLPRGWKLILGIKRKERAAWINCRRYVSPRGHQFATCREVSSYFMSLLGYVEAKPTTVQNNDVGVCDLSAVNTAVLHQQNDLTGDMQSAVSATSVTLSIHNGDSQGQRQKPYKDEAPIEAVRKECKKCNLTFEDQSAYVQHQLSFHQRKAKRRKVDKSIGLGVSKDGKLETQESQRTSEDKPGYFGPGVADVKSQGQSPAELFGGASSGDVGAQLSLAAAPCGLQEITGLPEQVEEPSAGEPVSGHQKDLPQETTGLPQQEKDLSATEPFAGHQKEHVDNSGDHEIHDGACHIAEEPLAFDVGSNLSTCKSPEPEVHEHDSSKDLEFSIADCSGSFDRSHEISKIPQDVPCTADAPGEKSCADDPMDCADITLPKQVPERCDMLDHKFRGFPGETGFNDQVENNPLSANLDEPDLSSSSMEVDNSKIACKFGDVLNSTSSEYDKPVVDQIDRGVTALKNDAINIDDGMNIGVRIKEVNLNSCLDTISSPVSGANCETSNALHDGSRSSILAQCFGANSNDDNVCQDESFVNQNNVSKAEIFVNQSNDMVYQSNLNMNPISPPQISVDYFTSCSMNSEIKNNDNRRDDNAKEQFVNSRNISNNEPSFDAEAYNNDIFTGAMAETSFAQLNNAMNMKTDYSSCYSISDLNTLTGGTATDGIDFHGMRSSFVSGSTSRNEPNELDFDIKGSMLEALERSDSDLETQYNGGDPAIDSLPASGTSGTSVDDFMSMHTNFGSLTSLVRSVEGGPMSRLIQDQCDLQLGFGAQKQQIYPSFEEHLRMASAGAPQFGNMGRHNPMPVPVPVPEPTLMLGYEPTLMLGYAPQFGSCPPVQLGWDLSKMVGVLQSVCVWCNTRFQHFGTAEQQNDSVGFICPACKDKTSGHLGTLNNGSLL >SECCE4Rv1G0231440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:183808229:183809739:-1 gene:SECCE4Rv1G0231440 transcript:SECCE4Rv1G0231440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSNMGNEGSSAASNDDSTVEEEDGQDMTSPGDSSSSVRPYVRSKNPRLRWTPELHQCFVRAVERLGGQDRATPKLVLQLMNVRGLSIGHVKSHLQMYRSKKIDDSGQVIIGHLQQGGQVYNFAHLPFRHRVSGAGTMLSRFGTRLCPPLRGSWHEPYWLHGHRLLGSKPYYSSAAAAHHDQALLRARTQHVSGYNSAFIVQESSSSRNDHHAMNRYHYERWLLQAATTVDNDDHIHGRDINLDLSLDIGGGQRLEMMSKRGCTSRGKQAEGDDDDQVDVNEELKNTATRLSLSLFSPSPSTFDSKGSSASDHGLGLDVNMGTTGLSAICSQGKHS >SECCE6Rv1G0409920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:592841703:592842017:1 gene:SECCE6Rv1G0409920 transcript:SECCE6Rv1G0409920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKLVGVGFKARTEREGRELFLKLGYSHEVHFTAPPAVRVFCFKPNIICCTGIDKHRVHHFAGAVRCSKPPEVYKGKGILYIDEVIKLKPGKKQQKK >SECCE6Rv1G0389020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:145035551:145035883:1 gene:SECCE6Rv1G0389020 transcript:SECCE6Rv1G0389020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSLMLAAAVLACALLATRHADGAGAGAAAPAPGGLACNPLQDKTCKPGDPKAPENTEEEGGLGTKLPSLTSLPIPGIGGKVDRDGDGDTDEDDELPSFDTHMTILGH >SECCE7Rv1G0506390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:748307339:748309567:1 gene:SECCE7Rv1G0506390 transcript:SECCE7Rv1G0506390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPWPTPRSVRQATELHALLTASGRLLHQPSAAHLLNSLASCISPSDPLHLRYALSLFDRMRCSTFLFDTALRACFRASSGPEHPILLYRRMRRGGVPPDAFTFHFLFKCCARGHAHVLLCRMLHAACFRTMLPSAVPLIASSIIHMYAELGLPTDVRRAFDEASVKDAVAWTTVISGLAKTGLLDDARCLLAKAPARNVVAWTGLISGYSRAGRAAEAVDCFNRMLSDGIAPDEVTVIGVLSACAQLKDLNFGFSLHMLVGDKRMLMSDKLVVALIDMYAKCADIGRAREVFDALGRGRGPQPWNAMIDGYCKVGHVDIARSLFDQMEDHDIITFNSLITGYIHGGRLREALLLFTKMRRHGLRADNFTMVGLLTASASLGALPQGRALHACIEQRLVERDVYLGTALLDMYMKCGRVEEATVVFKQMSVRDVRTWSAMIGGLAFNGMGMAALEHFFWMKCDGLHANSVTYIAVLTACSHSCLLDEGRLYFDEMRLLHNIRPQIEHYGCMIDLLGRSGLLDEAMDLVRTMPMQPNAVIWGSILSACRVHKNVDLARNAADHLLKLEPAEDAVYVQMYNIYIDSRQWEDASKIRRLMEERGVKKTAGYSSIAVAGQVHKFIVGDRSHPRIMEIIVMMEEIRHRLKSVGYSPITSQITVDVDEEEKEQALLAHSEKLAIAFGLISLAPNLPVHIIKNLRVCEDCHSAIKLISRLWNREIIVRDRSRFHHFRGGACSCNDFW >SECCE7Rv1G0459460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:25963297:25964019:1 gene:SECCE7Rv1G0459460 transcript:SECCE7Rv1G0459460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLDCGAIDCGDCGDCGDCCVECFDCCDEPCFCFVCFSDAISGKALLRILCGLVIFAVLATVVTLLVIALVPRRVGVSMEDAALARLALVHKNATATALAYDISIAVAVHNGNWFMPAQHTAPLYAELLFDGARFARVGLATAGSVVRPRRTEVYHATAADDSASVALGSAGVADFVQEKAAGQFQLQVKLVGEVMYRPHHKKHRLDAICRVELALSTATSPAMFKKVKCDVQKGHGVH >SECCE5Rv1G0352330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:709087480:709095112:-1 gene:SECCE5Rv1G0352330 transcript:SECCE5Rv1G0352330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDA15 [Source:Projected from Arabidopsis thaliana (AT4G14790) UniProtKB/TrEMBL;Acc:A0A178V2P1] MAVAALLRRGALSSSSSCRHDVYISCLLSASDLHPLVNSVNLRFWRGNHNSGKFDFTDMTHPHLWYPNAREKKRNVFLHVGPTNSGKTYNALKRLEASSSGVYCGPLRLLAREVAERLNKASVPCNLTTGQEREEIEGAKHSSVTVEMADVTTEYQCAVIDEIQMVGCRTRGCSFTRALLGLCSDELHVCGDPAVVPIIQRLLEATDDVVTIQYYERLSPLVPLKSTLGSFSNIKAGDCMVTFSRREIYKLKKKIEMAGKHLCSVVYGSLPPETRTKQATMFNDEASDLNVLVASDAIGMGLNLNISRIIFSTLKKFDGICTRELTVPEIKQIAGRAGRYGSKFPVGEVTCLDAEDLPLLHSSLKSPSPIIERAGLFPTFDLLSVYSRLHGTDFLHPILERFLDKAKLSPDYFIADCEDMLKVAAIVDELPLALHDKYVFCQSPVDVRDDISTQGLTQFAENYAKKGTVRLKEIFTPGTLRVPTTHNQLQELESVHKVLELYVWLSFRFDDSFPDRELAASQKSICSMLIEEYLERSGWQPQGQRRFLHTPRKLRQEYDASQLRGYFQEIDARSK >SECCE1Rv1G0035440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:498793002:498805256:-1 gene:SECCE1Rv1G0035440 transcript:SECCE1Rv1G0035440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPGFADSPCRPRSARYAVKGYPNYAEEPDFCGPATPCRPRSARHARKGCLDYAEPPDARAVHAPCRPRSARDADKGCLDYAEPPDARASAAPCRPRSARYAEKGYPDYAEPDDFIDSAPRRPRSAPHTEKGCPDDAGSVERLVHKWDEGGVPVKKPVLHYVRRKQREAAKAAETAVDMLVPLLQGMEVESDAVALGGRKRRRTVTAPTATAMTACEVLALPKDNEEVAPVDGGADEHGGGGKSWRLRVKETLRAFSSNYLHFVQEEQQREQAVRQELKASRAIKRQANNQDDEGFEEVKRPSKRPDLKALTKMQATNAVLYPEKRIGHLPGVDVGDQFYSRAEMVVLGIHGHWMKGIDYMGSKHQDKPGYQDLIFPLATCIVMSGAYEDDFDKADEIIYTGEGGNNLLGNGHQKAEQTLLRGNLALKNSKDNGNPIRVIRGHTEKNSYTGKVYTYDGLYKVVDCLSEKGVRGHLVFKFRLKRLEGQPPLTTSRVLFNRGDVHMPISELPGLVCWDISYGQENIPIPATNLVDNPPVPPSGFVYSKSLQIPEYIKIPADSIGCNCKGDCSSSTHCLCADHNGSDLPYVSRQKKVSAKHGDSTHKNVGRLVEPKAVVFECGANCSCHCSCVNRTSQQGLQYRLEVFKTELKGWGVRTWDTILPGALICEYTGVLRRNAEVEGLLDNNYIFDIDCLQTIKGLDGRKQRSGSELHMASLQDEHDSEASQAPEYCIDAGSIGNIARFINHSCQPNLFIQCVLSSHRDIKLAKIMLVAADTIPPLQELSYDYGYGMDSVIGPDGNLVKLACHCGASDCRKRLY >SECCE5Rv1G0328800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509772617:509773135:1 gene:SECCE5Rv1G0328800 transcript:SECCE5Rv1G0328800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMAMSSFAGAAVLPRGSASRFGARSLPALGRLALVVRAQTEGPSAPPPNKPKASTSIWDAMAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSSTGQAWFAYTVAVLSMASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEIITGSPFINV >SECCE7Rv1G0459640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26588190:26591391:-1 gene:SECCE7Rv1G0459640 transcript:SECCE7Rv1G0459640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLALSRAGTHLARRGLGKVIYGEVAAAAKSTTRAGRVLRSLQRRYGSNYFEQRPRARFEADSLLKEFNDQEAIREFEMQNPLHSSALSKYINARISAELKTREETAKSEPARNFLGNLFSPGGTAKSEPARNFFGNLFSPGGTAKSEPARSSFGNLSSPGGIAKSEPVYPSKPLDIAFWTVMGTTAAYLGLMLYAMLNRPDELGTDEEYRNFSIKVLDDSNKGGTGKSRTMDKVNKVLGDSSKGVTRKSRTLDEVNKVLDDMVKAVDDLKRAATKTSAKPSDVSGKGGNVDDVNKGTTNSSRKSSYVDAEKGSVSMKSTTGFRDVKGVDEAKAELEDIVHYLRNPKLFTSLGGKLPKGVLLAGPPGTGKTMLARAVAEEAGVPFFARSGSEFEEMWVGVGPKRVRELFSEAKKQSPCIIFIDEIDTIAGQRQVNDRNGARETLNQLLVEMDGFKQNDGIIVLAATNFPQSLDKAVIRPGRFDRHVQVPNPDVEGRRQILEACMSKVKAKGVDLMTIARGTPGFSGAALTNLVNEAALKAAKDGSEAVTMDHIEYSKDKIMMGSERKSAVIPDNCRNMTAYHTGGRALVAMHTDGAHLIYKATIIPRRNSLGMVIQMPEEEDAYKFSRKKMLAKLDVLMGGKVAEEVIFGESEVSSDALSALREATQLATDMVTKYGMSKRMGPVCYGNNDGKQTATLSWQTTALVNEEVKELLVKAHKNAENIITAHRNELNVLADALLEHGTLTGDQIRQMVNGVKIGNAQNQETPSSA >SECCE7Rv1G0504120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:719974840:719975124:1 gene:SECCE7Rv1G0504120 transcript:SECCE7Rv1G0504120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVFLSSSRIAGQLAAANKRGVRSYVAAAAAVTRQEPSAAGAASRLAAAAEEAAPKKEFFWMRDPKTGCWMPENHVDVVDPADLRARLLFSK >SECCE1Rv1G0028510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:402778003:402781564:-1 gene:SECCE1Rv1G0028510 transcript:SECCE1Rv1G0028510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVVVSEGAAASSSSAPSSSFAETRVICRVCQKQFAQYTCPRCNSRYCSLTCYKGHSMQCTESFMRENVTEELKQIQPEDESKKKMLDILRRFHLEEEMESDGEDESMLSEELIQKAISGDEIKLEDLSDDEIKRFRQALASGELSKMIEPWTPWWKNPSAKSISLSHDGTQLIRQISIDDTTLSDPMTASESIMSEIPEGPESPLPSLKQLTRAEPSPLLTVHLVDILYSYCFTLRLYNGDWQSDPLGASTVALSMSKVMGDGAKPETVSEALTTCIEETCSPVYRHTGGFRFAIGLVDDIVTILSLGGNAVVCALCDFHRLIQAGESILKEDKMGETEKARSFKKLHAAGRKLFFMTCWAHEQPSEAWPSLACIVEVQKAAFEELDSGSGLRKAGRKNNPQSKVLIEEV >SECCE6Rv1G0402410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:483738162:483740889:1 gene:SECCE6Rv1G0402410 transcript:SECCE6Rv1G0402410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTQESAMTTEENTADRRRNHPSSHDMDLSGDDHVPRARKPYTITKQREKWTEEEHKRFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVTRESSGSSSGSGAAAATATAAIQIPPPRPKRKPAHPYPRKADDGAAAGGKHVQGLTHLERPPVRMAEQEEGSPTSVLTASRVEASGGRFSNNSSGSGSPAPSTGGSLYGSLASSVNRGDGCLWPNTKASKFTANGVVKEGSCTGSETSVLKLFGKKVVVNDPYQKPNTSTGNPQNGGDVGTEASDDTTTQGSRNLPSGGATEGSSWNPWQSSMQQFVYLVPQPDGFATQSVVPWFGYNGALPGAMFYQQAVAPNKQQRHCSETADHKVMQREGSWTGSNTGPDSRGRGNSSESDKTPMPRLTKCESSVSVSLQRGFMPYKRCAAESELLRSEAPREESDGELTRLCL >SECCE7Rv1G0514370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:833417468:833420903:-1 gene:SECCE7Rv1G0514370 transcript:SECCE7Rv1G0514370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAGGQTYGFPIYCASWIPLAHTIKPSPSAGDVVDADASPALSSPPPPPMVALGGGGGEGRSGVPNALVVAALDPAAAGALSPEPVFRLGTEEQVPYRMAVHPRGDGVLCAFPNGCRLVRWESPEGEDPHSLVLRSDQEALVKLKDVGLQLAVSFSGEGSILATGGEDGHLRVFKWPGMETIIEDPDAKTSVKDLSFSSKEKFLVVNRSSGPSRVWDLKSSEAVANLPREQGEIFGFCRFSTKSDNSQILFVTAMQGDYGKIISWNTTSWTRIGSKKVTRDAISAFSVSPDGTLLAIGTIEGSISVLGSRDMRVVVKVKKAHLGIVTTLAFSQDSRALLSTSFDSTARVTSTESPKSDGISLWSMVLAIILAILVYYYMQHKEDLLAMLPQ >SECCE1Rv1G0000700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2269926:2271411:1 gene:SECCE1Rv1G0000700 transcript:SECCE1Rv1G0000700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDALLPSILLILFVLSIVVTQAPFFRARRLIGDDGGGRATVCTKDAATCGCDFSDGKWVRSGATDAMTYGEDCPFLDPGFRCMRNGRNDSSFRHWRWQPRRGSCHLPKFNATDMLERSRNGRIVFVGDSIGRNQWESMLCMLAAAVPAGSRIYEQFGKPLSRHKGYLSMVFADYNLSVEYYRAPMLVMVDRLPSASDGAIRRAIRLDSLPRHAARWAGADVLVLNTGHWWNMHKTIKSGNYFTLGDRFNMTTDIKEAFRRSLQTVKDWVLTNPRLSKSSYIFFRSYSPSHYGNGTWDTGGSCADQWDPLAMITSESDQQEHLWINTMISSAAQSMRRRHGMNKDAIFLNITYMTGMRGDGHPSRHREPETPSDAPEDCSHWCLPGVPDTWNQMMYGHLVSMGYDMRSIKR >SECCE6Rv1G0396860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:376932921:376936109:1 gene:SECCE6Rv1G0396860 transcript:SECCE6Rv1G0396860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFVHAPRHRASVARGLLLECECKHGAENTLLRAGYGGWLLYTAASAGDAGFVQELLDRDPLLVFGEGEYGVTDMFYAAARGGNADLFRMLLDHAMSPRCSTYCRDGDGAGAGGRASVFRLEMMSRAVHAAARGGSVRMLRELIDGRSDVSAYLDIRGSTVLHAAAGRGQLEVVKYLIASFDMINSTDNQGNTALHVAAYRGHLPVVNALVAASPSTISAVNNAGDTFLHSAIAGFRTPGFRRLDRQLELTKHLIRERVADIRRIINLRNDAGLTALHMAVVGCVHPDLVELLMTTPSIDLNVQDADGMTPLALLKEQLRSTTSERLIKQIVSAGGVLSSSVLRSRSAVVSQIKMRGGIAISPGTMFKISDAEILLHSGIAATESRRASSCSSDGKCDPVHAGANGEGDENHGSSEKRLSSASRAKDRLKMMLRWPRHREKMSRTPRKSEDGGPLDTIKKLNNHVADTPTPLRQAFTKTTALNNKRTLALKSSAPSSASKKKLIHGIMEAMPHLAASSASTRSPPSTLPRSSMSSAPPSTKLKDICFEEEVSTMVTPPLGKLKDIILDNDDGADDPSCSNSSLADEGVSVAARRNHGCGNGRLINICFGAQGLTVEEASGQQTSKMFKQQCLRVS >SECCE3Rv1G0164450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:163204411:163206404:-1 gene:SECCE3Rv1G0164450 transcript:SECCE3Rv1G0164450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHAAGDGKTDDTKAFEETWNSACRDRGGANVYVPAGRTFLLRDATFKGPCKSPITVQVDGDIVAPSTLWQLKSSNVLTFHEIDNLTVDGSGQIDGRGAPWWDCHNHKKCSAQPILVSFSFCNGLRVTNIRLKDSALKHISMYKCSQALVHNISISAPCDSPNTDGITIGASDHVRVSSSSIKSGDDCVSIVTGTTDVNVTDIACGPGHGISVGSLGGAGEGPAMVERITVSNCKFFNTTSGVRIKSWQGGQGKANGFIFRDLNMTQVQHPIDIDQFYCPNGHCPERQGGVAITDARFINIHGTSSEREAIKIMCSNSVPCHDIYLDNIDLSWSNHSAPPQAKIQNAHGSVAGKVKPQVWFSGR >SECCE7Rv1G0513040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:818032591:818033016:-1 gene:SECCE7Rv1G0513040 transcript:SECCE7Rv1G0513040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGPIHEILMLFGGFVLLLGGLGVVLLTNPIYSAFSLGLVLVCISLFYFLLNSYFVAVAQLLIYVGAINVLIIFAVMFVNGSEWSKHKNYWTIGDGFTSLVCITIVFSLMTTIPDTSWYGILWTTRSNQIVGRINGVGK >SECCE2Rv1G0095150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:414133084:414134013:-1 gene:SECCE2Rv1G0095150 transcript:SECCE2Rv1G0095150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVISLILATILASKAAAQNNGCSSVMMTLSPCLDFIGSKALEPGFSCCTTLASIVQTDPRCLCMVLDGSAESFGIAINHTRALELPGICKVQAPPISQCTAIPVPPATAPEDPPEETSNQVADAPKGSPNSNATSSSRVSKNAANLMVTMLIPACALIYIF >SECCE7Rv1G0475110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:182477805:182478586:-1 gene:SECCE7Rv1G0475110 transcript:SECCE7Rv1G0475110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLVLFGALALLLAVSFGAAQAAPAVVVGSVKCLDCSPDDVSAEDALKGLQVAIKCRSSAGETYETQTVGQLDDNGAFSIPLQAGLLREDGELDRDCFAQLHSAPDTPCDGPAPPRIAPAKSTTQGVADADTYLAVAEDTVFSPVACACKKKKKHFMVGPPPPPPPRPEPSYGPPTPTPTPTPSYGPPSTPKPPAPEDDPKPFFHKHPKMKKMMHKKKPCPPLGEEDKPKN >SECCE3Rv1G0183850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:655835440:655840249:-1 gene:SECCE3Rv1G0183850 transcript:SECCE3Rv1G0183850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 73 [Source:Projected from Arabidopsis thaliana (AT4G28500) UniProtKB/Swiss-Prot;Acc:O49459] MTWCNSFNDVRAVENNLASAAAVAAAAAKKQQQHHQQASSHVSLVRTCPACGHHAQYEQLQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDTRKLHPLIDEFIPAIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTDGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGGSTAAAVRDAAITTGNIIKGGGAGAATDHHRHHHEDGHNNNMLKEAAGMVDFYSPTAAALIGYGSQAAPPNNRAAAAAAAASAHLMPNFEVHTGGTGFGP >SECCE6Rv1G0400400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:451177884:451187546:1 gene:SECCE6Rv1G0400400 transcript:SECCE6Rv1G0400400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-nicotianamine (NA) transporter, Fe and Mn transport to the endosper [Source: Projected from Oryza sativa (Os02g0649900)] MEVAPARGVATEIEQCEGGGGVESEPVPVASAQHEERVPPWREQITARGLVAALLIGFVFTVIILKLALSTGIIPTLNVSAALLAFLALRGWTRVLGRLGVPSRPFTRQENTVVQTCAVACYTMGFGGGFGSSLLALNKKTFVGLLNLLPLRKALVIDYKLTYPSGTATAVLINGFHTPQGEKNAKMQVRGFVRSFMISLLWSFFQWFYTGGQSCGFLQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSTLCGAVLSWGIMWPLISKQKGIWYPANVPESSMTSLFGYKSFMCVSLIMGDGLYHFIKLAGITAKSLRKQPNHKHVNRAANEDTVSFDDLQRNEVFTREYIPNWLAYTGYASLSIIAIIVIPIMFRQVKWYYVVVAYVLAPVLGFSNAYGTGLTDMSMSYNYGKIALFVFAAWGGKDNGVIAGLVGCGIVKQLVQVSADLMHDYKTGHLTLTSPRSMLVGQAIGTVMGCIIAPSTFLLFYKAFDIGNPDGYWKAPYALIYRNMAVLGVEGFSALPKRCLALSAACFAFSVLLNLTRDFSPHKYRKYVPLPMAMAVPFLVGANFAIDMCVGSLIVFAWHKMSRKEATLLVPAVASGFICGDGIWMFPSSLLSLAKVNPPICMKFTPGS >SECCE3Rv1G0170960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:261031845:261032897:-1 gene:SECCE3Rv1G0170960 transcript:SECCE3Rv1G0170960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVTHDDLSLRKAQERRAGRSGSQIAVGLVALSVLCGLVSFILCLAAEGSRSEVSNYLMTVAGSEAQVELCFYNGSGRSALAFSIGAFLLLAVAMFAVHAYMLLAVASPDSAAAGLAVAEDHPRVSSATNTLTWQTCCLFFVTWICFGLAEVLLMIGIGVESGHVSDWRRPRQVCHRVRPGMFAAAGILGLITVVVGFVVYVTAVHTQKLLRQHGGGHYPPHPGSAPYPSGAPYPSVQQHHLQPPVSYPPHPHPHPAPNAPEITAAACQVQSSNAWRITKDKESTDV >SECCE6Rv1G0443960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826093536:826094018:-1 gene:SECCE6Rv1G0443960 transcript:SECCE6Rv1G0443960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKPSVNLFALLDSNDPGDKLVIDLDDAHAKQDAPAAKHKKTATPAASLTKDLFAQAYPSAQDYIIKKHQLERQARFKAKAEAEARAKANNGVSGHDKSPDMSGSGFEVPKRQQRNMSRYEAAPAEEVVEAAPPAPQQRAAPPWPPSLYDINEFPSLK >SECCE3Rv1G0200080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:850781111:850781500:1 gene:SECCE3Rv1G0200080 transcript:SECCE3Rv1G0200080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGGKVSKLESLRLSLSRARGGGGGGQSSSTTTARPGGGGGVTGAVSTSPRRLSSSSSSTASPPSSCVSSEGSPDAAAGAPMVLAGCPRCMMYVMLSREDPRCPRCHSAVLLDFNDDQQQRRPRQRR >SECCE4Rv1G0293000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884373760:884377368:-1 gene:SECCE4Rv1G0293000 transcript:SECCE4Rv1G0293000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEVIKADTIDVAVDMILIELGKDTTRSRENAIYFDGWDGLGASAVLQAIAKRLGVSNEPSTRPAELQFDKIIHIDCSKWESRRAMQREIAKQMNLPNWVMEMLDKQDEEDDFNGLDQGSRTEIAQVVKEIYQTTQNHRLLVILHNGSSEEIDIFDFGLSLDRYANSKILWTFQGRFRIDPKMIDSVKKSRTTDVILSASRDERGPRELWFYLVREEVAQVPFNKHGDCIIDPGIAAECVLYALKQSWIGPRINDYDWANHSSNYWVCDGIIALRDIDKAWQVGNILQHEVPLLNINTRLNNDESAVMASYHLARSAELTPYWITTTTSTFGFVMSSSGVIPNNMFRHSHRLGVLKLSRCAFSFSSPPFLCCRSLRFLWLDHCQDLPTRSTTDHHHTEADKEEELDSNTTSLWKCFESLWVLDLRYTDLDQILSAQVMDLMTQLRELHVMGAKNWDMSHLRGRLHNVRKLRVTKSTCYFNNNVFSEMESMELLEFSGNYITQHMTSLYVSASNSRLKAVTVDGCSGLKIISFGGCKELKNIFIKGSLWSLEELDLSGTRVKTLNLTGVEAIPLPKKIILLGCEKLRAILWPLSMTKEGLPKVLHIDTTSPSASAYGGEAPLVHPHSDLSLHQQKEEMFKDRWRICLTDARLLRSLSHVESFLKAVSIHIDICISAVDCGSNIQGTSSNKLVQVQQDASILMHSKYSDAFRDDPVVAVMMWDCPKIWKSVWTEFTCFIQVMMHGQGNRLLEDAASASTSALLLPKFICELTTSLHVYDNLSITSIPGPPEGSGWLSLRWCRVERCPRLYTVFMGPHCTSLSDSFGCLETLWASKLLSALYIWDRPVENGYFICLKFLHLDHCSRLVHVLPFSMWGTYTFYWLKTIEIVYCGDVREVFPLGPKLQEQDTILEFCQLRRIHLHELPMLQSICGLRMSAPRLNTIKIRGCWSLRRLPAVGCNTKPPKVDCEKEWWDKLEWYGLDKYHHPSLYEPSHSLYYKAPLPRGTVLR >SECCE7Rv1G0462380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45533361:45536869:1 gene:SECCE7Rv1G0462380 transcript:SECCE7Rv1G0462380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSHHSSRASINCHPVALGALTPSLSLFLTTHSTRVRVPSLIQTKMLGAGGVPGAGGLKGSVVLIPKKALDFNNFQGTVMDGGTGTDTQLFGGGVTCQLISSTLHGRSKASRGKVGEEANLEPSLLKKSLRGAPTGEEKFEVTFNWSMELGVPGAIIVKNNSDTEFYLKTITLNDVPGHGAVVFVANSWVYPQAKYSYNRVFFTNDTYLPHQMPPALRPYRDDELRNLRGDDQQGPYEEHDRVYRYDVYNDLGDARDILGGSEDLPYPRRGRTGRKPSANKPDHESREETVYVPRDEQFGHLKESDFRDYTIAALVHGIIPAIREYLENDDTPGDFDSFDDILKLYRGGIKVPNNPALGPEKVRKGSQLQLQLVKDLITPMADDPLFLKLKLPRPHIIQEHEKAWMTDEEFARETLAGVNPMMIKRLTEFPPKSTLDPSKYGDHTSTITEAHIGERLEGLTVQEALTSNRLYIVDQHDNLMPFLLDINNIDGSFVYATRTLLFLRGDGTLAPVAIELSSPLLQGDLTTMESTVYTPEDTGVEGWIWQLAKAYVAVNDYGWHQLISHWLNTHAVMEPFVIATHRQLSVTHPVHKLLDPHYRDTMNINSRARGLLINADGVIEMTVFPRKHAMPMSSMVYKNWNFTEQALPDDLIKRGMAVEDPSSPHKVRLLIEDYPYAADGLAVWHAIEQWVADYLTIYYPDDSVLQGDVELQAWWKEVREVGHGDLKDAAWWPKMQTVAELIKSCATIIWIGSALHAAVNFGQYAYSGYHPNKPSMSRRPMPVPGSKEYADLKDYPEREFIHTITSLLQALVGISLMEVLSKHSSDEVYLGQHDTPAWTSDSKALEAFRRFGARLEGIEKQVVARNEDPQLKNRIGPAKFPYMLLFPNTSDHTGEAVGLSAKGIPNSISI >SECCE5Rv1G0342910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:634016401:634016700:1 gene:SECCE5Rv1G0342910 transcript:SECCE5Rv1G0342910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRADKENLRPATAPAAGVARPHGVVARSCKLKRLGRSRRRVPLRDITNLFAVESAVAQWQQALLRQPHEGSAVKNGLAAAVPVLKAGRYSLRKEFR >SECCE2Rv1G0138850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:923621018:923622149:-1 gene:SECCE2Rv1G0138850 transcript:SECCE2Rv1G0138850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYLIELLRDHDVPKYRTQNAWSKEAWTSIVGKFNQRFDVSYTVVQVKQKEQDLKRDFKAVKDLISESGFGWDRDRKMVVAPDNVWAALEARKNKDALTWRGRSFPYYEDLFALYDGHYAQGRSCHGMDYFARKAAQLPQLPTSHSPQLQGPEPDLHTPTPTIHAPGDSSMQFDIEEDSENTNWFSSNNTLSQVEANFGQGNDLALHAPQDEAIPISSQHVGQTLHEIPQVVHHNPRPSSSAPEVTSTKRAKKQKMTSIDDFHERYLKLRREEIDRYAAIEERKLKDPFSIKKCIRALERLEGLSMADMLKAADIFTANKENREVFLSFSSNELRLGWLTGKVRNT >SECCEUnv1G0539530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75978407:75980683:-1 gene:SECCEUnv1G0539530 transcript:SECCEUnv1G0539530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHLLPLLSRTPHAPTPIHLRHALCSSTAAPTTIDAPPPAATPAETPEDAPAAAATEATEAGEPAPARAPREEEEEEPLHETILHMIRRRKWTTRMENSVRLLCPALSAPVVHGVISAAAEAGRADLALQFFRFAYRRAGFRPEPATFALLVPLLASKGMLNHARCILLETMPSFSASPDEATVAALVAAYGRAGIPQEAVKLFRLMPDLGITRTALSYNAVLKAILCRGREAMARRIYNAMIADGVAPTLSTYNTLIWGFGLCKKMESAVRVFGDMKGHGVTPDVTTYNTLLNAWVRKGDLESARKVFDEMPGSGFERNSISYNVMIKGYVEANKVEEAVGLFTEMGGKGLRLSEKTFAALMPGLCDDEGKAAEARKAVADMAERRLTPKDKSVFLRLVSTLCKAGDLDGALEVHKKSGQFKHVLVDPRQYGVLMESLCAGGKCDGAVEVLDELLEKGTLLSPKSPVLEAPAYNPVIEYLCNNGNTNKAETFFRQLMKKGVDDKSAFNSLIRGHAKEGALEAAKEILAIMTRRGVPTDPHSHALLIDSFLKKNESADAKTALDSMMEHGHLPRPALFQSVMVALFNDGRVQTASRVMKSMIEKGITENMDMAHKIVEALFMRGHVEEAIGRVNLMVENGCMPDLDKLLAVLCEKDKVMEAQKLADFALDRDFEVSFSTYDRVLEALYTEEKTLPAYSMLCKIKHKGGVVDQKGCDALMDSLKAGGYSKQADILSRILVENGSSTSKRGKKSAMRA >SECCE7Rv1G0497090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:620762568:620766459:-1 gene:SECCE7Rv1G0497090 transcript:SECCE7Rv1G0497090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIDSIECVSSSDGMEDDDAMSSNLPRPFLKASAAAIAAASIGVVPGGGGGASGAGAAGGIAGPLIPPATSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESQCNFRPYSCPYAGSECSVVGDIPFLVSHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQQNPDSGACIPNLFS >SECCE4Rv1G0252750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:617977266:617978342:1 gene:SECCE4Rv1G0252750 transcript:SECCE4Rv1G0252750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDLYGAANQLSSSSSSSSSDQELMRALEPFIRSASSPTSSTSTSTSPFSFYATSTSTSTSSPFSYCNYSAQPQESCYFPASSSYSYTTLQAPFAPATSSFSQLPPLPPTSQYSTSPSATHPSAGDAVGLASLGPQQIHQIQAQLFLQQQQQQQRGLSATLLGPRAQPMKQAGAPSAGKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDKAAFRLRGDAARLNFPNLRRGGAHLAGPLHASVNAKLDSICQNIAAAPSKSATPDSPKASTSTTSSTEGDGSVLSAGSTPLPPPPPQHQQPAAPLHEMANLDFSEAPWDESDAFHLHQDLHKCPSWEIDWDSILS >SECCE7Rv1G0463690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:55986716:55987291:1 gene:SECCE7Rv1G0463690 transcript:SECCE7Rv1G0463690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNSKAASSEEEAAVRSHSPAVAAARHGDVDAAAVSASAAPSARPYYGCVFCKRGFTTAQALGGHMNVHRRDRAKPVRLPTECNLAYPPAPPVSSGGFAMLYYARHVGTGVNAEAVAVSPGSPIPRELSLFAADDGTHDHDLQLGLRCHGSSGGDPSHAPEGPSERRQDGELPERKLDLELRLGPRPRN >SECCE2Rv1G0105080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:614171050:614175285:1 gene:SECCE2Rv1G0105080 transcript:SECCE2Rv1G0105080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVSSLTSGSLARYSPEVSRRRRSSLASASPRRRQILTGLAIKSWGISRVQPTTRGATQIPAAAAGPSSGDPPGGNFPFPGVPSWVKVLVGVFFAAVPLYRQMRALEDKVEQTAEVAIEVVEKVAEAAEKIADDVSETFPGNDNLKKAASRIKAVADAIEKDAEKAEALIEKVEEIEKEMDSVVDSVIEKVKKERSLRKNSVRGDNVDRKHK >SECCE2Rv1G0084590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:176309805:176310710:-1 gene:SECCE2Rv1G0084590 transcript:SECCE2Rv1G0084590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEMAPSKPAAALLLALAVLLAAAQPSAAIRVKPACAPVVKPSPPPPAASPPPPPTPVISNPPPSVPASPPPPTPVISNPPPSVPASPPPPSPVITNPPPSVPASPPPATPPPATVSPATPPSQPTECLTPLMGLIPCMGYLTNTSVATPPATCCGAFKSLVDNAPICLCHGLNGDINKIMPAPMDFMRMMSLPGNCAVPLPMQTLAQCATAPVPPLDPPTAPAAPSPKP >SECCE7Rv1G0462210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:44076707:44076940:1 gene:SECCE7Rv1G0462210 transcript:SECCE7Rv1G0462210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHSFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRIGP >SECCE6Rv1G0416940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:651294696:651297436:1 gene:SECCE6Rv1G0416940 transcript:SECCE6Rv1G0416940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWQSCAVTLLMPYLVLATRGEDVRTTKNRENIDQIRQVNKTIVTDAGDVYDCIDVNLQPAFNHPLLKEHKIQMEPSSFPNGLNVKSPFLHVESEAHPSIIECPIETIPILRNNRREHIAGRNIDAVFTKFKQHEMAGIKYFDDVYGAQAIINVYEPKVKKDSKDLSATSVQIDNGPDGPNRLDSIIAGYSVAPNLVGDSFARFHIAWVEGASNKSCYDHTCPGFVQVNHNFGLGGRLQHVSVYNGKQYIIKVLIFKDTKTKNWWVAYGEGNTPIGYWPSSLFTYLRDKGNYTLWGGHVSGPTASSDSPQIGSGHFASEGHGKAAYIKSIQIVDENNNLVTPNENRVVDGTSDIRKYTVDGYGIDKQGIHMYYGGPGNFV >SECCE3Rv1G0184420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:663332016:663334296:1 gene:SECCE3Rv1G0184420 transcript:SECCE3Rv1G0184420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPRPDLLLVALRRSRARTLRARAAALPRARRPPAPPQAARRVFLGLGAAFVDQLARMASGGSPSRSFVAAARPRQGVSPVEEILKNVEWPDEFPFKPDDFSRFDESSDTVFYSAPRFVTHIDDPAIRALTKYYSQVLPPSNTPGVAILDMCSSWVSHYPVGYKQEKIVGMGMNEDELKKNPVLTEYVVQDLNLNPKLPFDDNSFDVITNVVSVDYLTKPMDVFKEMRRILKPSGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGGFEPPEAVDISPNPGQTDPMYIVCSRKKIA >SECCE5Rv1G0342610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633026242:633027657:1 gene:SECCE5Rv1G0342610 transcript:SECCE5Rv1G0342610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVEALPRRPRWLRPAGRADDIEDDPDSSTPPGMVLLDPLAYIDGERNATTAAAARSNGSPIQVTFWIARPPRVSHLAVHCPGLPPDAFPELPLVVATDDDLALIHISVSRRQRFGDGRADELFVYRAGAGGRPPLLLLIPDAQYQLYHEKKIGILSCRADDKFFLAALVSDPYTTFERHTTRYIYLFDSETLAWSNKLVHVDWPTDKKYKYAVTNKVIAIGGRHGSIGWVDLWHGIFVYDVLRGSNNDVLRFIPLPSTPESDLQLQSMSETYVYADGTTEHHTSDSDLEAMGPTVRDIIGGGGAIKYFVMYSPGVSTTDVSGDWQADTWRMKDPWVKWQQGRHLKASKIMVDHTTHSSLLPEESDDDTEEKPVLTRLRVCNPVLSRHDDDVVYILMKPMHKDHKACVITVDIRTKALRGVDEFDVGRTTTQRSTRCIPPYTQTEIFRCMGTEVEEEEEVEQWIGHAFYK >SECCE4Rv1G0277530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:804697450:804698198:1 gene:SECCE4Rv1G0277530 transcript:SECCE4Rv1G0277530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLQLDDDDYFVDQLGEKAETNVRCSYYPECPRPDLVFGLKPHCDGTVLTLLMVDDSIGGLQVLRDGVWWDVPIVPHTLLVIIGDQTEIMSNGFFKSPVHRVMTNAKKERLSVALDYSVDHEREIEPSAQLIDEKRPALYMKVKVKDYITGLYEHFSQGTMVIDTLQI >SECCE2Rv1G0137780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:919677646:919677990:-1 gene:SECCE2Rv1G0137780 transcript:SECCE2Rv1G0137780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWAATPVLLLLLLLLLLVQGRGGHGAITIASMEMDMDMEAAQMGSGAAAHGRLLWGTGGGGRRYISYDALRGDAVPCSRPGVPYYNCRVSTTANPYTRGCESITRCRDDDPS >SECCE1Rv1G0063380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:724700815:724702209:1 gene:SECCE1Rv1G0063380 transcript:SECCE1Rv1G0063380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRRRKREPDDGGGAAAAAGAGGAGADESKRDLDLRITNILAEYRAPRRALPLLELNGRVLAEEDEEGEAEVVSPLTAPAPALPVVVVDGRPSKRRVVAGGGDDASPYQRRLWVKDRSSEWWELRSSPGYPEAEFLREFRMGRATFGMVCDALGAAVAKEDTALRAAIPVRQRVAVCVWRLATGEPLRLVSKRFGIGISTCHKLVLEVCAAIRSILMPRFLRWPDRGSAAAAAVKASFEASSGVPDVVGAMYTTHIPIIAPKVHVASYFNRRHTERNQKTSYSITLQGVVGPDAAFTDVCIGWPGSLADDQVLDKSALQQRAAAGMMEGSWVVGGASYPLTDWCLVPYTHQNLTWTQHAFNEKVGHLRGVAVDAFSRLKSRWACLQKRTEVKLQDLPVVLGACCVLHNICEARGEAMPPELRVEVHDDLAVLDNPVRSEAAAKARDKIAHNLLHRGLAGTAFF >SECCE7Rv1G0457670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18413154:18415298:-1 gene:SECCE7Rv1G0457670 transcript:SECCE7Rv1G0457670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPIQLWNEWAVQILVMLSFGLQIFLFLFARTRRHGPSAVLRILLWLAYLMADSTAVYTLGHLSINGSPREQKLTVFWAPFLLVHLGSQDTITAYALEDNKLWPRHLLNLGVQAFGVAYVLYKHITEVPTSLGLATGLIFIIGLIKYGERIWALKCATLDSIRSSIHDFHFLPTYFDEIPPKRPWEEERDEEELLLFAQAMLPLCKGVMADFPLALYELPREGHLSLRTSCISNWNWKATLKVVEMELSLMYDILYTKAAVIHNWYGYLFRLVMPLATATAFVLFQLSDNEDGYRRVDVAITYTLLVGAFLLDMASVFNALGSSWTCNLLLDRGWNRLSLAILSLRRRVKAAAGNRGWSGSIGQFNLVHICNRTGKVNLSIRVAYMMGLMNRFYEWLYMETIVISEDVKELVFKRVWQALKEIHEPGAKHETQYPPYEKGVEEHMAMMPSMIAKMVFFRPESYEDTTRRRTKLTEALNLGGELQEVILTWHVCTGLFLVFSWSEYKDDVYLNATKALSNYMSFLVAVCPDMIPGLELRSLYKETRNALDACGPARPRGQHGYPFEYTTIADEKLAFNLENREADRALKNSTARHGILYANLLLKLADTGNPDKSAVISRYEGYDPAAMDKLKLYLMPDLESLCQGGVFNMDKAMALILDAWVRLLILASVRCSRDAHARLINCGGELTTIVRLMEEHAAIFFKPPGEDIPLV >SECCE5Rv1G0341150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:621886452:621890292:-1 gene:SECCE5Rv1G0341150 transcript:SECCE5Rv1G0341150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRRPHAAGPPPPSEGGGDAPPQPPGKAPQIRPWPERRVLTLALAFRAANALLVRTYFNPDEHWQCLEVAHRIAFGYGHLTWEWKRGLRSYLHPLIFASLYKFLAFLHLDTPLFMVMAPRLLQSVFAAFGDLYLYKLSKLIFSEHVAQWTLFSQLVNWFMFFCITRTLSNSLETVLTVAGLYYWFIAIESSKEISVISKQQAASYQSPHSRKVALLIAALACAIRPTSAITWLYVGLLDFIYIKSKCRFLFLEVIPLGAIVLAATTFLDWWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFTQGFPSMIWTFLPLSVFGVIKSREWRLSGLIAWVLGVYSILGHKEFRFVLPVLPLALMFSGYFLAAMSQFKGKNLHGKRHFSRLQLSVILLIITNIPMALYMSLFHQRGTEDVMFYLSKEAHNGSVKGVLFLMPCHSTPYYSTLHSSLPMRFLDCTPSDNKGTLDESDRFLMNPLDFVGEVSGNLSSFSHIVLFESEERHVIQLLLHDSFQEVRRFFHSHFKIDRDLQSSVVVYSRRDVL >SECCE7Rv1G0522050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:875897458:875899347:1 gene:SECCE7Rv1G0522050 transcript:SECCE7Rv1G0522050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTGLGQAATVAQLVGADVGGLISMTMQAALTARQNRAECEQLARRVLMIAQLLPHVQLADDPEAAAWPLAGLGDALRDAHELVVSCQGRSAAYQFVMAGRTAERFREVQGRIDSYLILFPVISHIGITRRLERIYNVLVPDDDSATTGGETSRPPPPRLSRLQQEVEIVPNGTHEFTLAEIVAATNGFARDIVIGHGGGGRVYRGRLHDGREVAVKRITHVQSGSLQLELDIMSQLQHKHIIRLLGSCVTAATHKRKRRLLTTRRRKEPEEEPEGLIVYEYMENGTLYDHLHRDQGSSAPSQVTMCWKMRIEVLLCVSRAIEHLHCHANPPIIHRDIKSSNILFDADWVPHLSDFGSSVAWHVASDKESSGLECPVTGTFGYVDPEYCLRGRVKPASDVYSLGVLMLEVLTGERAFLQGGLKIKEDLACFASPIIEAGNLVELLDKRPVPEPTQWKLHALKRVAQIARCCVKWVGRARPAISGIVANLEMVYELMCRDEPGSIDESTPWPFLEKVDESTHSRSVPLAARYESSLVHHKLVELCSN >SECCE3Rv1G0160010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:101510206:101522027:1 gene:SECCE3Rv1G0160010 transcript:SECCE3Rv1G0160010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRWRVWGQPDGRLVWIPAPEPDAPPPPAAAPPLRPPLPPGRGAGMAAVSPEDALVKGRGAADGGRVESMADLLVQARNTLLEGDGMSGATVDAGEGQLFCTGSGRSVSVSERAIRRARALVGEEVEKAGNKRKHPFDDVPGAEGELREMDAPFRGGVHNTTVPPVFQTGSGKAVLLCKDSIQKARAILGEQPFDHVPDAEGESSEMDAPFRGGVYNTTMPPVFQTGSGKAVLLGKDSIQKARVLLEGVHGMEQFPLFQTGSGRVVSVSAASVQKAKSVLKDNNTSEENTESFGRPNQPMSFQTGSGRPAMIRERSIERSRAVANEGDAEKSGHWDTDCQFPMFQTGLGKPVAVSWSSVQKAKAVLVEEKFETTGRGDSSDCATTLQTETPTSVLMSSSLIMNSRSVTPKEDSAMQVTRTEKNHKDDDHVPLFQTGLGSIAISKSSLKRASAVLEPRNIAKELEDEAHLDDAHDTPVFRTGLGRSILASENSRKELPILEAEEAVKSVNNYNEETFVEEATFQAGIQKFVPQNRISTHKASMLLKQRNFMEKGYKDSGSQLPMFRTGSGKSVLISESSVQKARAVLEEEVKINKDNHKLLNMDKKIPVFASPLKTSCARTVNISSVGVSRAATLLGLEENTLSTQFFGHVGDKLGTKINFEQENPEQRLGLASYPTENQVHKEPHWPFELSNNTVSDSGEHSIRFSTAGGRSMAISSDALERAKSLLGESDLMVSTNNLVGYPLGSACNDKMQNLTVAPKEGESDLSKRRRVSGRTELATFSHQAMSDRKHTGSFGNVVSDIHPTSENTNRFHVGSRSTSEIPKIMKPSSRCLSETDNANDTKDKTRQLHMPAGALVDISNFMGANSGNIDHVVNEKRRIGGRNSTSSFKRPRSSRFITPISTNKQSSAGVPKLPPTQITSCRTKLSASYPFQHKRNTWKEYFGGPPCFNCLTEHITDEVKLMDAKGAEKYKFHNMNTGAEEFQKLLIACGASLTYATKEWVNNHYKWIVWKLASLERCYPTKAAGKFLTVANVLDELKYRYDREVNNGHRSAIKKILEGNALPSLMMVLCISAIYSHPDVNKLKAAGTDGNENSIDNKSLLAAKRNMPAHIELTDGWYALETSLDVALSEQLQKRKLFIGQKLRIWGASLCGWTGPVSFHEASGTVKLTIHVNGSYRARWDAPLGFCKHVGPPLAFKCIKASGGRVPRTLVGVARIYPILYKERLPDGCSIVRSERMERKALQLYHQRVSKIAEDIMSEQDENCASIDDSEEGAKLCKMLEQAAEPEVMMAGLTSEQIISFSSYQAKQKEARQNEIAKKVENALEVAGLSSRDVTPFLKVRVTSLAHKISATKTINKEGLITIWNPTEKQKADLVEGQVYIATGLLPSAHCTNILYLHARGSSTMWKPLASAQAADFQPFFTPRKAVELSLIGEVPLASEFDIAGVVLHVGDVYLCSNQKRQWLFLTDGSKFISASQSTVQDDCLLAVSFSCSSASNDGAFFSYALSGNTVGFSNLVKRQKDQTRRIWVAEATQSSTYSLSHEISKKSHLKEAATCAEKWASSSFDKIQQLKERVLCIIGDSGG >SECCE5Rv1G0303860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:51424621:51425067:1 gene:SECCE5Rv1G0303860 transcript:SECCE5Rv1G0303860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDSLLIGASMALLVVLSLVAFFCSNRRRAAQSSSRQGVADIELGDGRCAAAAAAGLDEAVLAACPTTVYSTSTQHHQAAATGGERTAKEEERGCAVCLAEYADGDELRVLPGCAHAFHRRCVDQWLRRRPSCPLCRTSPQSTTRAC >SECCE6Rv1G0393540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:282444429:282460488:1 gene:SECCE6Rv1G0393540 transcript:SECCE6Rv1G0393540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLLQGIVLPRTTGRHVGASFSTEVGETIRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRDRVSAIHKANIMRKTDGLFLKCCREVAEKYPEITYEEVIIDNCCMTLVKNPGTFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDISGKNLANPTALMLSAVMMLRHLQFNDQADRIHNAILQTIAEGKYRTADLGGKSSTSDYTKAVCDHI >SECCE3Rv1G0167410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:201579739:201582105:1 gene:SECCE3Rv1G0167410 transcript:SECCE3Rv1G0167410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATSKKAANRLVVEEATTNDDNSVCNLHPATMEKLSIFQGDMVLLKGKRRHNTVCMALADDTCEGHKLRINKVARSNLRVRIADVVSVHLCHDAKYGRRLHILPVDDTVEGIAGNLFDAYLKPYFVGTYRPVHKGDLFLVRGGMRSVEFKVMEIDPAVDYCIVAPDTEVFCEGEPVKREDEERLDDVGYDDVGGMGKPLTLIRELVELPLRHPQIFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPNREKTHGEVERRIVSQLLTLMDGMKARAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLDEDVNLEVVAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDTIDAEILNSMAVTNDHLKTALVGTNPSALRETVVEVPNVSWNDIGGLDGVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGGRVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDEASRHQVFKACLRKSPVAKDVDLGALARFTAGFSGADITEICQRACKYAIREDIEKDMERQRMGKDSMEVDGGQEEEAAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGAEFRFPAQPQAAEATVHATAAVDEDEDDLYK >SECCE5Rv1G0358240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755000396:755000884:-1 gene:SECCE5Rv1G0358240 transcript:SECCE5Rv1G0358240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAMSGSRILLATAVAAALLVASASAQSGCTAALVGLYPCLNYISGNDTAPTKSRCSQLGSVVQSQPECLCSALGGITINKTRALELPKACNVQTPPASKCNGGGSAPGAATPEVQTPAGSGSKATPSAYLQENGGSSLQGTVGLVFALAAAAFYAVSAV >SECCE5Rv1G0350400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:690381184:690388547:1 gene:SECCE5Rv1G0350400 transcript:SECCE5Rv1G0350400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKSVFPSVVGSIEQAEDTDEAKPDKEADSASDPKNGSKPMDVDKAKTKRKFYVGQELEFRRDHMEVISPMKDGTVTDWDVVDNIWNHAFRRRLLINPEEHPMLIAEPSINSAQQREKAAELMFEKYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVSAVHDGYVLQKSVATSPIGGEFLTDCMMKSLESKGVVIRPRYSFKKKEVSPGDYKVVDLDFPNTTDSYRLYHMRAIASDIKETVCRVPDTPFDEVAYANVPTTSYELPDGQTIEVGAERFKIPDILFNPYLSQTIPGIDGFGDSTSIRGLPRMVLESVNRCDVDIRKELLSSILLSGGSSSILQLKDRLEKEVLEESPQNARVKVLASGNSTERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >SECCE6Rv1G0431340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746232337:746233041:-1 gene:SECCE6Rv1G0431340 transcript:SECCE6Rv1G0431340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSTSLPSRPHVSETEVEQELQSLEASISSSNSISTVCDGLRSLANIYDALEEIICLPSNQVCSSQQRNILDEEMEGSLELLDLCSSMQEIFVEIKAIIQELQVALRKGDDAATQAKIQSYTRLVKKAKNLFKKNTKKTPADSSMVMLLAKAREISMSLLESTLRLLSKQIEMPKQSLVSKAFHKKKAVACKEEQLSELECSIGDLESGAGHLFRKLVQSRVSLLNILSS >SECCE5Rv1G0297200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:229300:231692:-1 gene:SECCE5Rv1G0297200 transcript:SECCE5Rv1G0297200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytoene synthase 1, chloroplast precursor (EC 2.5.1.-) (Fruit ripening specific protein pTOM5) [Source: Projected from Oryza sativa (Os12g0626400)] MAGSSAVYTSCRPHAHRAFLLPADQRGGGLLPAAAWRRGGAALAPRASLVAARTATEEAVYEVVLRQAALVGDLQGRRAADAGSSRRRWRDEQLEQEGDAELGWGLLGDAYDRCGEVCAEYAKTFYLGTQLMTPERRKAVWAIYVWCRRTDELVDGPNSSYITPKALDRWEKRLEDLFEGRPYDMYDAALSDTASKFPIDIQPFRDMIEGMRLDLWKSRYRTFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASAESVYNAALALGIANQLTNILRDVGEDSRRGRIYLPLDELAQAGLTEEDIFRGKVTDKWRRFMKGQIQRARLFFDEAEKGVMHLDSASRWPVLASLWLYRQILDAIEANDYNNFTKRAYVGKAKKFLSLPAAYARAALSP >SECCE1Rv1G0020100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:252730706:252731002:-1 gene:SECCE1Rv1G0020100 transcript:SECCE1Rv1G0020100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGNKSDLEDERAVSTEDAKEFAEKENLFFLETSALQATNVENSFQTVLSEIFKIHSKKNIVTDPKANGAAPPLAGKKVLVPGPAQEIPKSKCCSSM >SECCE4Rv1G0269150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:747613703:747614652:1 gene:SECCE4Rv1G0269150 transcript:SECCE4Rv1G0269150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTCKRTPPHAGVKTCLQIFSIRVTEIDGGYLEWPLEVYGLVATRDSVDHNRNIIFRLRRDACQLLTQQDPFLALTGPAHAIVFTGPVDIEIQLKVKGRTTEDEDKDFISKVLVFGRDPSEKLAGIGNHDIVRTSCFGELCSLQVTSALIAEAVEATVISAEVIEGQWPPKSGIRVVSGTASIDEDFVLLDARDGTLRVDPADGVIPITRNVVCVEKDGRLKLSIEAYRKNGRMYAESVTELIPRRSSASTAICKLPFCTVEFTVAWSCLVATVDDLRKYGI >SECCE2Rv1G0076700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:93910869:93927464:1 gene:SECCE2Rv1G0076700 transcript:SECCE2Rv1G0076700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPRAVAPPPALLPRAAPLLLAGRAAARRLRARGARTPALAATRRSWAVSARAVLDLPRRRAPQKPVQEAADLNDILAERGACGVGFVANLSNEPSFNVVRDALTALGCMEHRGGCGSDNDSGDGAGLMSGIPWDLFDDWASKEGLAPFERTHTGVGMVFLPQNENSMAEAKAAVEKVFIDEGLEVLGWRPVPFNVSVVGPNAKQTMPNILQIFVRIAKEDDADDIERELYICRKLIERATKSASWADELYFCSLSSRTIIYKGMLRSEVLGQFYLDLQNELYKSPFAIYHRRFSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATIQSPVWRGRENELRPFGDPKASDSANLDSAAELLLRSGRSPAEAMMMLVPEAYKNHPTLSVKYPEVIDFYEYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWKTSDGFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLETGQVLENTEVKKNVASAKPYGTWLQESTRSIKPVNFQSSPVMDNETILRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPENADQVTLSSPVLNEGELESLLNDPKLKPKVLSTYFNIRKGLDGSLENAIKALCEEADAAVRSGSQLLVLSDRSEALEPTRPAVPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLNELGRETLSFWVRAFSEDTAKRLENFGFIQSRPGGEFHANNPEMSKLLHKAIREKSDNAYTIYQQHLASRPVNVLRDLVELKSERTPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLTDVVDGYSATLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQINPKAKVSVKLVAEAGIGTVASGVSKANADVIQISGHDGGTGASPISSIKHAGGPWELGLTETHQTLIQNGLRERVVLRVDGGFRSGLDVLLAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGYEKLDDIIGRTNLLKPKHISLVKTQHIDLAYLLMNAGLPKWSSSQIRSQDVHSNGPVLDETILADPEVSDAIENEKEVSKTYPIYNVDRAVCGRVAGAIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNVRLVGEANDYVGKGMAGGELVVVPVDDTGFVPEDAAIVGNTCLYGATGGQVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYMLDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSTKGAKILSEWEAYLPLFWQLVPPSEEDSPEACAEFERVLARQKTAVQSAK >SECCE5Rv1G0354870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727383173:727386695:1 gene:SECCE5Rv1G0354870 transcript:SECCE5Rv1G0354870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLLAMKTGASEASQALLESDLRELGMAARKLANHAIVLGGGVGFIGTFLQWLAFAAAVYLLVLDKTNWKTNMLTGLLVPYIFFTMPGLLFGFIRGEIGSWIAFVFVVLRLFFPRHFPDWLELPGSLILLTVVAPSIFANTFRGSWLIIGVGVCLVIGCYLLHEHIKASGGLKEAFQKTNGWSNTIGILLLFIYPVWAVVMWFL >SECCE5Rv1G0325200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:461525338:461527156:-1 gene:SECCE5Rv1G0325200 transcript:SECCE5Rv1G0325200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTVVVPEVGMTAAAPTACPCPGSLFPYPPPRAGMAVSRKCLRAAQAELGAGMLNGLVESMRASSPTHARAAAALAAGVDDEHAAWMARHPSALGKFEEIVAASKGKQIVMFLDYDGTLSPIVDDPDAAYMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKSSKSKAKGVLFQPASEFLPMIEEVHQRLIEETKHVAGAKVENNKFCVSVHFRCVDEKSWGALAETVKGVMREYPKLRMSQGRMVFEVRPTIKWDKGKALEFLLESLGFADCSNVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKDTSASFSLQEPAEVMEFLLRLVEWKQLSKARLRLRRQADA >SECCE4Rv1G0285100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:842615104:842616915:-1 gene:SECCE4Rv1G0285100 transcript:SECCE4Rv1G0285100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRRRRPSPAAVPPLEDEDLLSEILLRLPPQPSSLPRASLVCKRWRGLVSDPGFCRRFRRHHRRNPPLLGFFQVLDDGLSFAPSLKAPDRISPDRFSLQHQDCGGHFVSLGCRHGLVLVFHRKNLQLLVWDPVTGDQHRIAVPPGIDTDTSPISGAVLRSAGDVLHFHVAVVGNRERQITQAAARVYSSETGIWGDLVTTPLQSEDPASFRMAVSRIKPAVLVGGSLYWLLYGGSEGFLEFDMDRQRLAVIPVPVSELSFFHFSMIRADGGGFGLLILSGFSAQFWKKMTNCDGVASWVLARTIEIDKLLNWEPESKGSQVILGFAEENNVVLLWTIDGPVKLQLESLQFEEVLGTNVMTHYHSFESVYTTERGIRGAEADLLHNT >SECCE4Rv1G0228810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:139582077:139585319:-1 gene:SECCE4Rv1G0228810 transcript:SECCE4Rv1G0228810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPPPAPSSAAGGAPRGHRRAHSETFIRFPDADLLLDPDSDFSFSDLDFPSLSDDSPAVSSDPTPHPPPLPPQQQGAAASPSPAPRPPGGGGAHMRSLSLDAAFFDGLALQGGGAGHKRSGSMDGASSPSDGESALSGGLPDYAKKAIPAERLAELALLDPKRAKRILANRQSAARSKERKIKYTGELERKVQTLQTEATTLSAQLTLLQRDTSGLTVENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQVPNMNGNPFGGGLQQHQQQQQQIPNYFSQQQMHYQGHQHHQPQNHSQNSSNGGQSLSGQSLSDSMDFM >SECCE4Rv1G0215990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8485702:8485989:1 gene:SECCE4Rv1G0215990 transcript:SECCE4Rv1G0215990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRKKNGGESSASPGASPCRDDEREKVPRGHVPMVTGCGARVVVPVRLLRDPCIAELLDMAAQQYGYGQPGVLRIPCDAGHFRRVVDGALHRAD >SECCE4Rv1G0267270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735444251:735444769:-1 gene:SECCE4Rv1G0267270 transcript:SECCE4Rv1G0267270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPPAYHDQKLAAAKRCAREAALAGAKAAAIAAVAAAVPTLVSVRMLPWAKAHINPTGQALIISTVAGMAYFIVADKTIVSMARKHSFENAPEHLKNTSFK >SECCE6Rv1G0428750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:730060014:730060620:1 gene:SECCE6Rv1G0428750 transcript:SECCE6Rv1G0428750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLAVELGWAHTSENGVTLEKLLGTMIEESDPRLPPGYIRLDEIASRAKVNSPPLGTLIHSLQKEGYAACRSHIGANAVKTNCPISSCIVVAREIRNLR >SECCE3Rv1G0213650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961799403:961803842:1 gene:SECCE3Rv1G0213650 transcript:SECCE3Rv1G0213650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYYRQALVLQSCLDMAKEDDLMEGFRAADILSEESHLLTQSKAVADMKFTYVVSCRSYGIQKRSGDQRAQDILRLMTTYPSLRVAYIDEVEETSKDRSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVCFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGRRFIHNDPLQVALASQLFVQLGFLMALPMAMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRGTGRGFVVFHAKFVENYRLYSRSHFVKGIELMILLIVFEIFGQSYRGAIAYIFITFSMWFMLVTWLFAPFLFNPSGFEWQKIVDDWTDWHKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAVRFFIYQYGLVYHLNITKHTKSVLVYCLSWVVIFFILLVMKAVSVGRKKFSAEFQLVFRLLKGLIFIVFISTIVILIVIPHMTIQDIFVCILAFMPTGWGLLLVAQAIKPAIVGLQLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARSKDDA >SECCE4Rv1G0280160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:818590805:818593953:1 gene:SECCE4Rv1G0280160 transcript:SECCE4Rv1G0280160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSRRRPPPPRRRASPMAPSPLSVSAPSAAPPPSRRLRRTAVAAAGAALAALLLLFAAAAVWRPGYLPAALLRRPAPAAARFYSFDLVREYPHDPKAFTQGLLYGGNDTLFESTGLYHQSSVRKVDLQTGKVLEQHQMDGWMFGEGLTLLGDRLFQVTWRKNDGFIYDRYNFSKRESFTHKMRDGWGLATDGKILFGSDGTSKLYQLDPESLEVTKTVTVKYQNNAVSFINELEYINGEVWANVWQTDCIARVSHEDGQVASWIFLHELRQQLWNSGNTAIDVLNGIAWDEENNRLFVTGKLWPKLYEIKLRPVDGPPDGSVERLCPRASFY >SECCE2Rv1G0092510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:360946723:360948609:-1 gene:SECCE2Rv1G0092510 transcript:SECCE2Rv1G0092510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEDKKDANSGGGGENTLEAAAEAILPVGQEPGQELEGEAEESADREGNGDDAGKEDSGCKDLVLVEDLVEDPEEAVATAVLQEEMRELLASVPEGAGASFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQNYPTLMGLATLCLDFGKSPEPEPGRCRRTDGKKWRCWRKTIPNEKYCERHMHRGRKRPVQVIVEDDEPDSASGSKSLSGKVTEGGKKTDDKSSSSKKLAVAAPAAVEST >SECCE7Rv1G0479100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:247478880:247483278:1 gene:SECCE7Rv1G0479100 transcript:SECCE7Rv1G0479100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATMTWHEELATLVGDTGVRLPAAAAPAPNSAAAAVGGGWYGEEEEGKVEEGWAQQARGFAESTAEMLRELGLGVWDVAAQSLAGAEDSELARRLRRPAAAAGKRLSFMNEYLPEDRDPVRCWVVVAAVAFVALLVLGVGSSDDTPVEQPKKLYISPPNAKRFQLPDGRHLAYEEKGISADRARFSLVAPHSFLSSRLAGIPGISSSLLEEFGARLVTYDLPGFGESDPHPGRNLNSSALDMLHLADALGIVDKFWVVGYSGGGMHAWSALRYIPDRVAGAAMFAPMANPYDSKMTKDEKRKIWDRWSTKRKLMHILARRFPSLLRLFYHRSFLSGKQGQPESWLSLSMGKKDKTLLEAPTFSAFWEKDVAESVRQGDVQPFVEEAVLQVSDWGFSLSDIQMQKKEDQGVFEFIKSLFSQAEREWVGFLGPIHIWQGMDDRVVPPSATEFARRMVPGATVHKLLDEGHFSYFCFCDECHRQIFSTLFGTPQGPLNPAPESSEVALEPTEETIPAYEEAAEQEQETSGLA >SECCE1Rv1G0039670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:551862583:551865077:1 gene:SECCE1Rv1G0039670 transcript:SECCE1Rv1G0039670.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKAKDAWGLALVLFLGQLVAFSMAAASFASSFIASLGVNTPLTQSFFAYLLLTLIYVPILLHRRQKPRIPWYWYLALAFVDVQGNYLVVKAYQYSSITSVTLLDCWTVVWVIILTWYVLGTRYSFWQFLGAGTCVAGLGLVLLSDAKSPEQQDGGKMPLLGDALVIAGTVCFAFSNVGEEYCVKKNDRVELIAMLGLFGLFVSAIQIFIFERKSLEAVAWSPTMISLFAGFAVALLIFYTITPFVLKMSGSTLFNLSLLTSDMWAVAIRLLFYQQEINWLYYVAFTVVAIGLIVYSLNESSSTDGRATGTEAAAHYQQLPSDDSSTSGSNLDSQEKKQLEGTHGIC >SECCE3Rv1G0166820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:193788314:193793794:-1 gene:SECCE3Rv1G0166820 transcript:SECCE3Rv1G0166820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGRPAAIDDGRCGQTPPPAGKLSATGVAVLRREEEARKQARARDEALERRRAAAMAMAACQVRSPVPRALEAEQVAAGWPPWLASVAAEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKQKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGLKLTEPQVKCYMQQLLRGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVAVDLWSTGCILSELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYPRRVTDTFKDFPSPALALVDVLLSVDPADRRTASSALQSEFFTTKPYACNPSSLPRYPPSKEYDAKRRDEEGRRQGTAGGKQHPERRTRESKAVPAPDANAELVSSLQKRQAQANTKSRSEMFNPCKEDSASGFPIEPPSSTHIIESSGDSKHVYSARTFHSGPLVNPSKTGTSKHGEHHVRAVADPRNFPVVVSAKSDARPDDSSVTGFTQAEAFAHGRRLSESINGHFSGSGKYDQVFHQKDDKSAGRADGGAIGYGSKGNKIHHSGPLTSCPSGNVDEMLKENDRQIQEVFRRTRVEKSRARRAHGHAGEVGLREFGAVPVFPSSRSSYRAAPQ >SECCE6Rv1G0402670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:486744750:486748821:-1 gene:SECCE6Rv1G0402670 transcript:SECCE6Rv1G0402670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPTSAGRRPRPHPHLTHPPLTTTAAGAAQHHRPPAYVTPELPLARHPHPHPKPRPFPFRSAAQAMMPLTRRRLLATLLCLCALPAPARSQNASATPAPASVEGFNCSANGTYPCQAYALYRAGFAGVPLDLSAVGDLFGVSRFMLAHANNLSTSAAPAAGQPLLVPLQCGCPSGSPNAYAPTQYQISSGDTFWIVSVTKMQNLTQYQAVERVNPTVVPTKLEVGDMITFPIFCQCPTAAQNATALVTYVMQQGDTYASIAAAFAVDAQSLVSLNGPEQGTQLFSEILVPLRRQVPRWLPPIVTRNDVSATPPSPPPTATPGPSDVADNRDGVVTGLAVGLGVVGALWLLQLLLLGCLWRRLKAKGRRGESAASGDAGEGGRSGKSASGGVGGERFLVSDISEWLDKYRVFKVEELERGTDGFDDAHLIQGSVYKANIGGEVFAVKKMKWDACEELKILQKVNHSNLVKLEGFCINTATGDCFLVYEYVENGSLDLCLLDRARARRLDWRTRLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDARMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLVDGLVTTKMDVFAYGVVLLELVSGREAAGDGGSGGDLLLADAEERVFRGREDRLEARAAAWMDPVLAEQNCPPGSVATVIGVARACLQRDPSKRPSMVDVAYTLSRADEYFADYSGESVSVDGSGEIAAR >SECCE5Rv1G0361650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780589952:780591930:-1 gene:SECCE5Rv1G0361650 transcript:SECCE5Rv1G0361650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSVPIYLHIRSPFSYCNTRPEYNTLINLILLRPPLPIGSAHVHMEEAGGQLEVVMFPWLAFGHMLPFLDLSRRLAARGHAVTFVSTPRNLGRLPPVPSHLSGRLRFVPLPLPRVDGLPEGAESTADVPPGEDGLLKMAMDGLAAPLATFLADAVAAGRRPDWIVHDFCHHWVPPIADEHKVANAVFEIVLAGLAAFMGSREANTAHPRTTLDDFTVAPAWYPFPSTVAYRRHEAGWITTAIRPNASGVPDIHRLWQMNERCRLAIYRSCDEVEPGMLALLTDLFRKPSIPAGVLLPPLDLGGNDGGVRPDVLRWLDDQPAKSVIYVALGSEVPVTLKNLHELALGLELAGVRFLWALRTPTGMSDYGTDADDTGVLPNGFEERMRGRGMVETGSVPQVKALAHGAVAAFLTHCGWGSTVESFAFGHPLVMLPFVVDQPLVARTMVEKGVGVEVARNESDGSFDREDVATAVRCVMVDDEGKVLASNAKKLQEVLADQERQERHIDDVVEHLRSYKDE >SECCE5Rv1G0306500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:95335040:95335357:-1 gene:SECCE5Rv1G0306500 transcript:SECCE5Rv1G0306500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQTLAVTLLLVLVVSLATIEGVHGVCGMSNDEFKLCQPAAAVNNPTDSPSVECCAALGKASLSCICRYKGMAGIWLKMYHIDARRAMALPGKCGLTMPSNCS >SECCE5Rv1G0323150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:430414535:430416100:1 gene:SECCE5Rv1G0323150 transcript:SECCE5Rv1G0323150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAAGAAAARQDYPGRLTLFVFMACLVAATGGLIFGYDIGISGGVTSMDPFLSRFFPSVYRKQAEAADNDNQYCKFDSQILTMFTSSLYLAALVASLFAASVTRVAGRKWSMFVGGITFLVGCALNGAAENMAMLILGRVFLGVGVGFANQSVPVYLSEMAPARMRGMLNNGFQLMITLGILAANLINYGTSKIAAGWGWRLSLSLAAVPAAIITIGSLFLPDTPNSLLERGKAEEARRMLRRVRGTDDVDAEYRDLAAASEASSAVKRPWRDILRRRYRPQLVMAVAIPLLQQLTGINVIMFYAPVLFKTLGFGGSASLMSAVITGVVNLAATLVSIFTVDRVGRRALLLQGGAQMFASQVAVGALIGAKLGWSGVAEIPAGYAAAVVVVMCVYVAGFAWSWGPLGWLVPSEVMPLEVRPAGQSITVAANMLMTFAVAQAFLPMLCRLKFVLFFFFAAWVAAMTFFVALFVPETKGVPIEDMANVWKAHWYWRRFVTDDGDAPRRGDVEMGNSVLKN >SECCE6Rv1G0407910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:566457291:566462951:1 gene:SECCE6Rv1G0407910 transcript:SECCE6Rv1G0407910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESNIDGIEISVSNDERRDRADAENSEDEPKHRRMRSLRKKALHASTKLTHSLKKRGKRKVDCRVPRIPIEDVRDAGEEQAVSSFREVLFARNLLPERHDDYHMMLRFLKARKFDVEKAAQMWDEMLQWRNEFGTDTILEDFEFHELEEVLQYYPQGYHGVDKDGRPVYIELLGKVEPNKLVQTTTVERYIKYHVQEFERAFREKFPACSISAQKHIDTTTTILDVHGVGWKNFGKIARDLVRCMQKIDGDYYPETLHQMFIVNAGAGFKLIWSTIKGLLDPKTSSKIHVLGAKYQSRLLEAIDASQLPEFFGGLCTCTNQGGCLRSNKGPWSDPLIMKIVHSMESSALRDILQVSDIEETITGSVRLRALKLPERISDTSNAESGSDVDDLGSPIAPEDVEYHSLAPVREEARESGSTTYGCSDDRPLSVDKAVESNKRYNLAGNVLRQYNTRQNSSMNRVSPEPGRAPNVREGDADDGILKLFSRKVLAVILKVLSLLRLFTRHQQQLENVHPHTVAVPSNQPNLQIVKEDRVNPCLERLERLESMCNQLSRKPPEIPQDKDRAIQDSFDRIKSIEFDLEKTKKVLHATVIKQMQMAETLESVTESGHRRRKFCT >SECCE2Rv1G0139690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:928755716:928756276:1 gene:SECCE2Rv1G0139690 transcript:SECCE2Rv1G0139690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHPQHPLATCYYSEASTFHCAVCERIVTGDGYRCRQCAFHIHEACAKLPFQISLDQHPQHRLSLTEAAVSRRCYVCGQNARRYVYRCLLCDFNVHLGCVPEPQPTPQPQQPRPRPRNPEPNWPQPRPRNPEPNWNGDGARRAGRIAGRIAHTGLHVLHLAHGVHGVHSLATTLGSWFTGGNYR >SECCE7Rv1G0464560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:62301703:62304360:1 gene:SECCE7Rv1G0464560 transcript:SECCE7Rv1G0464560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAIRTGSLSKRWRHLPHQLSCLLIGVSDIQGASYVTLGQIMGAYTETTRRLLSSTCECGSCSIKSLRLTFYLSKPFLCSIGHAVGDVAKNGMTECLEFVILTHLARPSDAQRVSLGQRFMSFFHSCPVAFRWLTRLTLHNLAFGDSDVPNLLNACKKLQFLNLRCCKLSRKSVLSIDAPSSELQKLELFCFECVRVELVSVPKLRQVLCDTWWGVAAPVCCGFVPKLEKVSLASAALSGQKPFTLTKCLSSSSTRSLSTLCLDFCSQMIWIQPEDPKHLTRIFSSLRDVYIYNVFAECDLNWTFFLLQAAPSLKNFYLSRHSCEPNKFEDTAKKTNLVWETPSFKHLNLKLLFMKGFSEEEKVTNYIRLVMKRSVGLKRIELHDKDPCEECKAIAPECLNFPVDEACKRRIKEQLTYGFSSDVEIIMG >SECCE1Rv1G0034880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:492447825:492452781:-1 gene:SECCE1Rv1G0034880 transcript:SECCE1Rv1G0034880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGGQREQPPGAAAEGGGEGRRGGRSCSGCRRSVRPQCVAALLLGAAVVLSALFWLPPFAGRHRGRRAGPPDPPGDALAADIVASFVLQKTVSELNESIPKLEFDIYEEIGIPNSTVAVNFLQPAGASNQTNVIFSVVPYPKYSTMSSTWLSILRSHFMSLVVQQSTLQLTESLFGNSSFFEVLKFPGGITIIPPQAAFLVQKPYASFNFTLNFPICEVQDKTNELKDQMRAGLLLDTNEILYIKLTNLEGSTVAPPTVVRASIILEVGNHQPSPPRMKQLAQTIANSSSGNLGLNHTVFGKVKQISLSSYLRHSLHSGSDSDAPSPAPMTHEDHRRHHHHHHRHHHHHHHSHHRGHEVIRHLPPSPAPVHPPVEQPKYRSPSPSGHSYGYTNKPKNKAPVAPAAEPVVRNHHYASPPTMPHAVSPSSISPSPSARHSTNIHNRHHSSPAPSPANVKPPLHTVSLAHAHHPAQVPAVAPAPNTSFATRRHSCQWALAILLCLLAGLP >SECCE4Rv1G0249640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:585155960:585156660:-1 gene:SECCE4Rv1G0249640 transcript:SECCE4Rv1G0249640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDDMIPYSPSGDSSPSSSDLDTESTGSFFPDRSTTLGTLMGVSAFGGAGGQRRLTRAAPGQESERPTRAATEERDGRRAGGWRRRRRRRGGSWWRLCRDDASGPPTRLGEFLDMERQHAGADFLCGGGGPQQPEAPSVAATPLFEDGRVRPPQQRAAPPEERGRWRLQRAAEGPSTSSSSSLARLPVLLTGICSGGAG >SECCE2Rv1G0115560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:752506074:752512181:-1 gene:SECCE2Rv1G0115560 transcript:SECCE2Rv1G0115560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKRTGPGGSGESSGEASGASGQGSSQRAERAPQQYGGGRGLAPQQYGGGRGLAPQQYGGGRGLPQQGRGGGQHQDRGGYYQGHGGSSSHYPGGGPPEYQQRDYEGRGHPGGGPPEHQHRDYQGRGHPGGVPPEYQPRDYQGRGGPRPRGGGVPQPYYGGHRGGSAGRNVPPGPSRTVPELHQAPHVQYHAPFVSPSASGAGSSSQPVAEVSSGQVQQQFQNLAIVDQSSTSQASQLAPASSKSVRFPLRPGKGTHGDRCVVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMAELVNLYRHSQLDGRLPAYDGRKSLYTAGPLPFPSKTFEITLHDEEESLAGGQVAPRRERHFRVVIKFAARADLHHLAMFLAGRQPDAPQEALQVLDIVLRELPTARYSPVGRSFYSPNLGRRQKLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLSRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFNIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREKDILQTVHHNAYYEDPYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVSHWACINFSRNVQDTAARGFCHELAIMCQISGMDFAPEPVLPPLTARPEHVERALKARYQDAMNIIRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGARGPPQGGRNTRPFGNVAVRPLPALKENVKRVMFYC >SECCE5Rv1G0363860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:796663418:796667448:-1 gene:SECCE5Rv1G0363860 transcript:SECCE5Rv1G0363860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGGRGRGGGRKPSTPSSSSSRQRNPRSSREFGHDKSLDSQKEGSCSSSSSVSSKKLPLQMVPPESLESRLQTVAPVQPPKSLDSPLQTVKQVQPPKSQHSLVQMVASTQLSKPIDSSSASCVPGTIGSGLGAAPFDICIGNTKGTVILKHGLLEINREKRRAKELSNIAPVQHLRSGMVLLKSNLKPDDQVKIIKCCRDLGVGPGGFYQPGYREGAKLSLRMMCLGKNWDPDSGSYGDIRTFDDAQPPKMPEELTKYVKDAIEASHEFLKQRGKGATNPAVEVPVMSPDICIVNFYTTGGKLGLHQDKDEDKSSLAKGLPVVSFSLGDTAEFLYGDDRDKDKASKVKLESGDVLIFGGESRHIFHGVSSIAPKSAPLWVTDKANLRPGRLNLTFRQYKYEP >SECCE6Rv1G0397320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:389151229:389152121:-1 gene:SECCE6Rv1G0397320 transcript:SECCE6Rv1G0397320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDSCGVAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLDVLGAKLPRCDICQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGLGPVSACSGGDHGASHDADHHAPPKVACEPQPPAQPAASAQQVPSPPQFLPQDWAVDELLQFSDYDSSDKLHKDSSLGFKELEWFTADMELFHDHAPKGGRATMEVPEFFASQAADDAAYYRPSRVAATAGVRQSKKARVEIPDDEDFFIVPDLG >SECCE7Rv1G0462540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:46696937:46700680:1 gene:SECCE7Rv1G0462540 transcript:SECCE7Rv1G0462540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIRAPLTSFDDSGDDGDGEGYASSLSSGAASPYRSPSTSPPEAPRALPVLGAVLGAPRVAAQLSSSTDDEADLFDDAAGSSGDEVLEEVSNGFFRVARVPPPPPSPSSDEATAPSPPASASSGGGSSASSGESEYFGAAEGASEEEAAAADVFVDAGAGSGLEEDGGAFAASAVAEGSLDGSFVSSMSVLDDGAAFSDLVNLSGISLMNGGKQGAEDSGAEAVDGVEPEPSIGDAGGTRIVDASDGDAGGSQQEEVVVELPPGLNSTQEEEDAGLDEVRNADSNAKDTTPKHEAAELPHVVSSTEAEATGSEIHNADSDAENYTPKHEVSSTEAEGTDPEVCNADSDAEDNTPKPEVVTHSEDASPEHVATRDATVEPAEVHTNVDSSQFTVEGGHHMVDGETDGDYEPSDEPASAPIIGTNVALESPGKEMEDSVPASKGARFGLDDSDEDQLDDDYEVEELSGKETELFDYAALAELLRAANRSPAQGKAKVFPVETSDPIQPSHTVVGVPRTSVASTHVVDAVADPESTMTDEEKKLYIKVDMARIKYLRLVYRLGYDTEHQVPVQVLYRLSLVEGFRRIRMANHSSELENAWSRALQLEAEGIDDLEFSCNVLVLGKTGVGKSATVNSIFGEDKSKTNAFLPATCSVKEITGVVGGVKFRVIDTPGLGTTVRDEKSNRKMLKSVKKYIKKCPPDIVLYVDRIDTQRQGADDLSLLQCITSVLGLPIWSKAIITLTHSAADPPPEGPSGSPINYEMIVTHRTHALQQSIRQTTNDPRIENPVALVENHHLCRRNMEGEKVLPNGLIWKRLLLLLCYSLKMVAEIDTLSTRRAASPSLFDLRLPMPPLPYFLSSLLQSREHPRRANEQKVESVDSDVDPDELDEDQDDEEYDQLPPFKPLSKSQVAKLSKEQQKLYFDEYDYRTKLLQKKQLKEQRRRLKEMKKSEGNDNDVLVDNDQPDDEYDNDRSLMPDWALPSSFDSDDPVYRYRCLEPAANLLARAVTNPEGWDHDCGFDGVSLQYSHEVAKAFPASMWVQVNKDKREFTIHSESSISAKHSEYASTLAGFDIQTMMDQLAYTLRGETKFRNTKNNATTGGLSMTLAGNTMITGMKFEDKLSVGNWLTLVAHAGAVSMKGDTAHGLNVVLNLLQKDYPVMGLGLATLGASLVRWHKEWTTAANLDTQFSVGRTSNMGVHVDVNNKLTGRVSIKANSSEHLTIALLGVYSMEMYVWNRMHPRADPNNE >SECCEUnv1G0540240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78698319:78702616:1 gene:SECCEUnv1G0540240 transcript:SECCEUnv1G0540240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet hypersensitive 1 [Source:Projected from Arabidopsis thaliana (AT5G41150) UniProtKB/TrEMBL;Acc:Q2F6I1] MLPFEEQAVADLLEDPNGGLVVVSSGLPLASLAATLLLHLHHQSTSFSPSPSGGGGGGGCFLILSAPDALKDQIRRRLVESQLLQVHDVASDVPAAHRRALYSSGEGVALFLTPRVLAADLLTSHLLPSRVQALLLLAAHRSSDTSADAFIARLLRQHRLLPVYAFSDRPHAMVAGFAKAERTMKSLYIRRLHLWPRFHVLAAADLERSPPEVIDVRVPMTHPMVGIQAAILDAMDACLKELRRTYKVDVEDLTIDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLGRYDAVTYLKYLDTLRVSEGVRSVWMLAESSHKIFELAKRRVYQIVRPDGTRVSIAKGTPTKKRKVTPSSSKKGKESETEDSAANKDDPQKVNAEASLLLEEVLEEAPKWKVLRELLQEIAEEQRKGDGVVHEDENNECGIVLVACKDERSCLQLQECISRSPQQVMREEWEKYLLGKAELFGLHKKKKKRSQQPRGFGVLDGEFPTGSNENENENAGPVSISKLETNALLAAASGISSLTKEADAKEDSVPSCSKKGSVKGKGKKGVPKKTLTKRQASKRKNKSTTENDNCQGTDVEASGKTGEQSSDINVSKVSVEDAPAPASTADHVGGLIDAKMLPPVQFYALDSDQHVLDIWKPSVIIVYHPDMTFVREIEVYKAENPSKKLRVYFLFYEESSEVQKFESSIRRENEAFESLIRQKSLMMIPVDQSGRCIGPTPANDPEPLLSQNSLTRKAGGRKPAGKDMQVIVDMREFMSSLPNVLHQKGLRIIPVTLEVGDYVLSPLICVERKSIADLYQSFASGRLYNQVEIMIRYYKIPVLLIEFSQDKSFSFQSASEVGDDVSPTNIISKLSLLVLHFPRLRIVWSRSLHATADIFMSLKSNQDEPDENKAMRVGVPSEDGVVEDDVRAENYNTSAIEFLRRLPGVTDSNYRAIMDGCNSLSELALLPVERLAELMGSQKGARTLKEFLDAKCPSMIS >SECCE7Rv1G0470220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:117695607:117698062:-1 gene:SECCE7Rv1G0470220 transcript:SECCE7Rv1G0470220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSASTLPLLFLHRSTASPNPTALSFASSLRASPLRSRASASAAPPAETLADDLPSDTPPVGEGSGIPMPSSIGEDGEELLFGATAGKETVSRARAQSHPSFSDSFNVSQERIVITNSSGEKLVGVLHEAGSNDIVVLCHGFRSSKESRTIMGLTDALTSEKISVFRLDFSGNGESEGTFQYGNYCKEVDDLHAVIQHFKEHKRDTRAIAGHSKGGDVVIIYGSMYRDVSRIINISGRFDLKRGIGDRLGNDYMERINQHGFIDVTQKTGQFMYRVTKESLMDRLRIDMQSACMSIDPNCRVLTVHGSDDDVVPSEDALEFHKYIGNHEVHIIEGADHRYSSHRLELANIVMKFVTSV >SECCE4Rv1G0245950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:538601267:538604393:1 gene:SECCE4Rv1G0245950 transcript:SECCE4Rv1G0245950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMRAAARQLVDRAVGSRAAHTSAGSKKIVGVFYQAGEYADKNPNFVGCVEGALGIRDWLESKGHHYIVTDDKEGLNSELEKHIEDMHVLITTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELMRILILLRNFLPGYQQVVKGEWNVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLQINPELEKEIGAKFEEDLDAMLPKCDVIVINTPLTEKTRGMFNKEKIAKMKKGVIIVNNARGAIMDTQAVADACSSGHIAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPAENYIVKEGELASQYK >SECCE4Rv1G0253620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:628362358:628364787:-1 gene:SECCE4Rv1G0253620 transcript:SECCE4Rv1G0253620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAGDGYGGGLSYNASCGKTSGLVWNLTSSYADQSNEASMLSATVIMFLLAALFFNLNLFSGLSDTSAILDPKVRVLLSKALSLFLPVMSYLFSEAKNAGGLASAAAELPLRARLILTWMLLVELLRNKVEEIRMQRGDDGWHRGTVERAGRVVWLGSLVFFNLRGAGRKSVLGILWLLCVAKLVQRVTFTLVGKNSLAYGKNARLIISYMHAPHDQLLQQQHGDDADHGDDLLKGCRYAVMGEDKLVNKPTPAGYSVTSDITTAPTTTTVGKIWRLAETDPFLASVDQDGRLRRLCLSFALFKLLRRSFEHLPPMSEAETRDCRGLIFSKGGLYCSSKRSNRSNGQGEDEKAVAAAEELFQVMKDETIFLSEYYHSVVPVALASPFFLLANYLLLPAMVLLLCLVIVVLCGNGDVFFAFRSLKSDNYSVSFGVLRMASCLLTRVLSSPSVFFSTIDLSITLLLFLVLVYEQVWEFVVFLFSNWFLVSMLHGYAAKPHWRRSATFSWAIRRMLWVRTKMSHPDITMKQFSALSSCCLSRQLRMMPAVSLTLPTIAVPKEVKHSIAEYLSATSLQEKEDDCDLLSNGQLAVAEYGELRRACESESIAEVILAWHIATCLFAEKFPSQCASPSRDMVVATTLSKYCAYLVAFHPELLPENEESTERVFEIMEEDLRRTVGFWRYHLPAAVAPLLGSGYEQIMRLEERPNLAQALELAEMSALQRGAILERALEKEARCAAGVEGMWKVLADLWVQLMVYAAPTGGEEHVMGHAKVLPEGGEFVTVLWALATHTGMRRAAPVAVGSMEHV >SECCE7Rv1G0454750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:4135741:4139312:1 gene:SECCE7Rv1G0454750 transcript:SECCE7Rv1G0454750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEQANGAATAAREGDLADVVARANTMVYSTGARRQPPPPPPPSAAARDMIPYEEERQRRPANVACGGGGGGEVTFEAPPSTLVVDPYLLAAAGGYGLPHQHQHQHQQLLAFQISEHACCACAAADSDDPMRISPPPPPPPPAPHHQMITRKNDVRKVVCIPAPPVMSNRAGGGGEVIPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERSRSDPNMLVITYTAEHNHPWPMQRNVLAGYARAHTHAAAKKQHKISSSSSADNATSSSSSNSFHVEQINPIGHDQLPVSCKMPDSTAAAGDGSGMLFEGGIQPDEVFAELEELETDNNPMMISANVYGSRGVSSNYEWHKF >SECCE6Rv1G0402690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:487212322:487214288:1 gene:SECCE6Rv1G0402690 transcript:SECCE6Rv1G0402690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAGACSGGGKETLVASFLRFILLSLLPLTALYVLYALHAFLSSTPPCPTEHDRIIAAASVSHVTTHNHTSSAPPPPPAMVVVPTPPPPAIVTVPTIRTPPTPATVTVVPTARMQAPPATVSVVPTARMQAPPATVSVVPTARMPPPPATATVVSTGRMPPRPATVTVVSMASTPPPPPPATVTVSTTATTLQHVVFGIAASARMWEKRKEYIKIWWRPNSGMRGFVWLDRGVRESSVPEGLPAIKISSDTSGFPYTHRRGHRSAIRISRIISETFRLGLPGVRWFVMGDDDTVFLPDNLLAVLGRLDHRQPYYIGSPSESHLQNIFFSYGMAFGGGGFAISQPLAARLERMQDACIRRYPWLYGSDDRIHACMAELGVPLTRHPGFHQYDVYGDLVGLLAAHPVAPLVSLHHLDVVRPLFPNVRSRPAALRRLFDGPVTLDSAGVMQQSICYDAANRWTVSVAWGFVVTVARGVMPAREMEMPARTFLNWYRRADYKAHAFNTRPLARNQCERPALYYLASARRTVVRTGETTVTRYQRWRHRNEIRPPCRWRIPDPDALLDSVIVLKKPDPGLWDRSPMRNCCRVLSSPRKEGGGNKTMTIDVGVCKDWEYSQV >SECCE2Rv1G0125750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:843214271:843214540:-1 gene:SECCE2Rv1G0125750 transcript:SECCE2Rv1G0125750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDALIGPLVGRLQELTVSQARALVAVNNDIRRLGDKLMFLQAFLHEADAKRHLFSDEITRVWLKQTRDAVFDAEDAVDHYYVQVDMSR >SECCE5Rv1G0367410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:821084850:821085098:1 gene:SECCE5Rv1G0367410 transcript:SECCE5Rv1G0367410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRKLLSAALLLVLLLAGTGEMGGPVAVAEARTCEAKSHRFKGSCVRHHNCANVCKTEGFPGGRCRGFRRRCFCTTHCRQ >SECCE7Rv1G0466120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:76694259:76695282:1 gene:SECCE7Rv1G0466120 transcript:SECCE7Rv1G0466120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAPRGPRKAVSRGPWSREEDAKLMNHIAKHGLGRWSEIPRLAGIERGGKSCRLRWLNHLRPDLKRAALSQEEEDLIIQLHSIIGNSWTLIAACLPGRTDNGVKNFWNASIKHKLRRRGIDPDTHEPVVVDEGRRNGDTQHILPSHLVAGSNTCSHGALPLPDTITSHMDTDPLQLQHGVVLVQQVVSSSSTVGSDPSSSASDTGEQWNNRADSGSWLFELTESSASATTTESGTTNHTCSPRRLEAGWSCSTSTLTGSVSYWK >SECCE3Rv1G0182800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:636898921:636902751:-1 gene:SECCE3Rv1G0182800 transcript:SECCE3Rv1G0182800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGDEMTRVFWQSIKDKLIFPFLDLDIKYFDLGVLHRDATDDKVTVEAAEATLKYNVAIKCATITPDEDRVKEFNLKQMWRSPNGTIRNIINGTVFREPIICKNVPKLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLRLVFEGKDETVDLEVFNFTGAGGVALAMYNTDESIRGFAEASMAIAYEKKWPLYLSTKNTILKKYDGRFKDIFQEIYEAGWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLMCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLEFAQKLEDACVGTVESGKMTKDLALLVHGSSKVTRSDYLNTEEFIDAVAAELKSRL >SECCE7Rv1G0461180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:36234299:36237563:-1 gene:SECCE7Rv1G0461180 transcript:SECCE7Rv1G0461180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEYNLDSGELAAFRPPPDDYGSDCERFNLMLAEDGGLGAVEAIDFELLLWKREASDGTDARWVLSRIIGLHSFGGGTPAWVLGFAEGANTIFVKTVGSLFMTELQSEQGKRVCGNHGFGNLIPVVSFYTPHSRLQVPDGEHHDPAQRLNLLRRSGQQRVSEETSLELAQVLIDKGCKAINEKDFANAADCFRHALEIRVRHYGGLAPECASTFYRYGVALLCKVQEATNRSGNVSKRAPNEESITPTTNNDDAGSSVASGSSVEHAPPSREGANLHDKDQKDGNMTGDGDDSDLHLAWKTLNTARVIVAKSPDKTMEKVIILNSLAEISMRREDRHSSIDYYFEALSILEHLVRPDHIRIVDLNARICLALELASKVGDAIPYCSKAISVGKWRMHNLINAKEALLSDKGRSGKSTLEDEISYLARMLRRLQKKLEELQQAMPTSSVIMKRAVSQASHEQNVNNTMARTASSTSSQMAGSNSSFHSPTMSKAAARGSTGSSVTDFETVGRDMKRANDKPIFDEPSPKRLAADDSPSVNEI >SECCE6Rv1G0379340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13400863:13405914:-1 gene:SECCE6Rv1G0379340 transcript:SECCE6Rv1G0379340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTEYGEASQYQIKEIIGRGSFGVVAAAVDTQTGERVAIKKIHDMFEHASDGTRILREIKLLRLLRHPNIVEIKHILLPPARREFRDIYVVFEFMESDLQKVMQVNENLSADHHRFFLYQLLAALKYIHAANVFHRDLKPSNILVNSNCKLKICDFGLARPLCDDAPLAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTQKPLFPGRNGTHQLDLITDALGNPSCETLSQIRNEKARRYLTSMKRKHPIPFASVFCNADPKAVRLLERLLAFDPKDRPTAEEALADPYFEGLRNLEHEPLPHPFSKLEFEFERLKLTKGGIRDLIYREILVYHPQMLQDYIRGGGQASFVYPSGLDGMRLQFAHAEEKYLRGERGTTPPQRRHASLPRERVCTPMGSDKHDCNNENRRTASSAAQTIIRSQQGGLTHAYVNQNGTSIPNFCSGYYLQNGSASASSCVIEENEGPKENGVPEEEKVAYELSERLARI >SECCE7Rv1G0467320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:86481856:86485321:-1 gene:SECCE7Rv1G0467320 transcript:SECCE7Rv1G0467320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAETPTQRNSMAVSCSSSSVLGSGKALACFHTSHLAPQRTAPARSRLQCIKMCSAPAAPGGSKKTVWVWTENRQVMTAAVERGWNTFLFGSKDVGKDWSSTARIHPLFIDGLEIFDEGNQKVAAISEISSPSELQLIQPDNVEVQNTVIRFGGDWQVIPAENIVAAFQGCAGTVLAVSRNSTEAQVFLEALEQGLDGVVLKVEDMDDIIKLKDYFDRRNEAKSQLQLTKATVSKVEVVGMGDRVCVDLCSIMRPGEGLLVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVTVPGDKTSYLSELQSGREVIVVDQNGLWRTSIVGRVKIESRPLILVEAKENSGNGTYSIFLQNAETVALIGPDRGSGGRTAIPVTSLKVGDEVLVRKQGGARHTGIEIQEFIVEK >SECCE7Rv1G0503210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:708560179:708562513:-1 gene:SECCE7Rv1G0503210 transcript:SECCE7Rv1G0503210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPACCSLDLSSPPSIYSLPPRSDHRPTTLATALSTMELLRAVVLLSLALLANGRDTDLSRDSFPKGFVFGTASSAYQVEGNALKYGRGPCIWDTFLKFPGATPDNATANVTVDEYHRYMDDVDNMVRVGFDAYRFSISWSRIFPSGIGRINKDGVDYYHRLINYMLANNITPYVVLYHYDLPEVLNNQYNGWLSPRVVPDFTAFADFCFKTYGDRVKNWFTINEPRMMAWHGYGDGFFAPGRCTGCRFGGNSATEPYIAGHHLLLAHAAAVKVYRDKYQQGQKGTIGILLDFVWYEPLTYTVEDEYAAHRAREFTLGWYMHPITFGHYPETMQKLVGTRLPNFTAEQSKLVQGSADIIGINHYTTYYVKHHENLTHMSYATDWQAQLLYERNGVPIGKQAFSKWLYVVPWGFYKAVMHVKNKFRDPLILIGENGIDQSGGDTLPHALYDSFRIDYFDQYLHELKRAITDGARVTGYFAWSLLDNFEWRMGFTSKFGLVYVDRKTFTRYPKDSTRWFRKVIKSEE >SECCE5Rv1G0344430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:645315104:645315802:-1 gene:SECCE5Rv1G0344430 transcript:SECCE5Rv1G0344430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGDGRRERCACALCGAAADVHCEADAAFLCAPCDAQVHGANFLASRHRRTRVSPAPKGVDVMSRTATTSSSCVSTADSASTAALHGRKTTTPARRRRARGEEVLEDWARRMGLEAGAAHRRAAAASRAIRAQVAAAMPRVPLRVAMAAALWSEVAAHGVHEPGEALRRLEACAHVPARLLVEVAALSTMGDARAKKRTAAVDAEDGWGNCSIVSPHKTPSSPRHELLLFV >SECCE2Rv1G0117270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:771010598:771011611:-1 gene:SECCE2Rv1G0117270 transcript:SECCE2Rv1G0117270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKPQLSSSLLASTPLFNPTSNPNQARPIAASPSPRRRLRISAASTAVSPGATALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQSSVIRDLVLLSCVGLRPILVHGGGPEINSWLLRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKNLVSLINLAGGTAVGLCGKDARLITARPSPNAAALGFVGEVARVDATVLHPIIASGHIPVIATVAADETGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILADRNDPGSLVKEIDISGVRQMVSDGQVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >SECCE5Rv1G0344070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:643288634:643288957:-1 gene:SECCE5Rv1G0344070 transcript:SECCE5Rv1G0344070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKKNPREAKVTFEGLVTEALPNGMFRVRLENDTIILGYILGKIRSSSIRILMGDRVKIEVSRYDSSKGRIIYRLPHKDSKRIEDSKDSEDLKDSEDLKDTKDSKD >SECCE7Rv1G0462840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:48945381:48948960:1 gene:SECCE7Rv1G0462840 transcript:SECCE7Rv1G0462840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPALGRELLEEARAPVFAAWMLGVRRQIHQHPELAFQEHRTSAFVRAELDALAIPYVWPVARTGVVATIAGGAGPGPVFALRADMDALPIQEMVESEFKSKEDGKMHACGHDAHVAMLLGAAKLLQSRKDNLKGTVKLVFQPAEEGHAGGYHVLQEGVLDDVDAIFGIHIDTVLPAGSVCSRPGTFLAGSARFKATIVGKGGHGAIPQAAIDPVVVASSTVLSLQQLVAREIDPLQGAVVSVTFIKGGETFNVIPESVTLGGTFRSMTTEGLSYLMKRIREVIEGQAMVGRCTATVDFMEEELRPYPATVNDEGMYVHAKAVAEDMLGEANVSLCPQVMAAEDFGFYAQKIPAAFFFVGVRPVGKKISHVHTPHLVIDEDALPVGAAMHAAVAIKFFNKHTIPSPLS >SECCE4Rv1G0249490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:583886132:583887155:-1 gene:SECCE4Rv1G0249490 transcript:SECCE4Rv1G0249490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLVGFLLTHGHYCWRVVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDEEQQLVIDLHAQLGNRWSKIAAQLPGRTDNEIKNHWNTHIKKKLRKMGIDPVTHRPLGQEAPPPLQQPPPITTTTTAWQQQDGTEHCQQAEDEDVKAVPLIQPHEATAPPATASSNCSVSPASVISPSCSSSSAASGLEVTEWPEPMYLLGMDGIMDAGSGWDAGFVVPGGLGVDPFDHYYPDPAGFDQEAWP >SECCE1Rv1G0061860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717584183:717585328:-1 gene:SECCE1Rv1G0061860 transcript:SECCE1Rv1G0061860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRKEEEQQAVEGLPNDLVWEFLSRVPYRSLCRFKCVSTAWLALCSDPAIRRRSPQTLSGFFGLSRSGSNRFVNLSGRGRPLVDPSLPFLHGFENVKLLNCCSGILLCHGIRAGAVEYIVCNPATEEIWAVLPMPDRHETPRPLAYRTICLCFDPVVPSRFAVFVMIDNGRDITMTEVYSSDTGEWTSMSSRWGHRILLYNYEPGYFFLNGTLHSVAYDSRVETFDLEGNSIKMVVTVDTIGNTWRTTLQPHKVEFTFIGFSQGRLHGIEMEDGGGHSISVWILKDYASGQWTLKHTTSMQELLGRPCLENEEYYVIVALHPQRNLIFLSGGMEPEQTLMSYDMDTQKLHVICNLEDYEMEPFRPYIPCFVEWRPSNAP >SECCEUnv1G0569860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:452573972:452574487:1 gene:SECCEUnv1G0569860 transcript:SECCEUnv1G0569860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRMLNSNATLMVIMGLLIMSLAINSATAAQCGCCISARAKACCFTCIAAGGSNTICKNTCCFPCVLADSVVAKMVEMGVQAHMEGEL >SECCE5Rv1G0306700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:98246184:98247742:-1 gene:SECCE5Rv1G0306700 transcript:SECCE5Rv1G0306700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGPSPKRRRSAAPGVEEDGGCADYISALPDAVLGDIISLLPTKEGARTRILASRWRHLWLSAPLNLDCRELTAGRNEVRSDVVSRILSSHRGPGRRLYINTYIHRIPADTVEACLRSGALDNLEELHFINHVSEQPQRALILRFSPTLRVANICGCNFSDVNFHELHLHFPLLKQLGLGNVMISECSLHSLIAGCPALECLLIDMCSGFRCIRINSPTIRCMATRVRSLPLQRLVIEKAPCLVRLLFDRDTILQVSVLTAPKLETLGFISDKCSAGEFSRLMIGSTVIQGLSVDNLITVVHSVKILALDMQNLSLDTVIELMRCFPCLEKLYIQTFQSRQGNLWRRKHRNLIKSFHMSLKTLVLQMYRGKNSQINFLTFFVLNAKVLESVTLGITTRNNNEKFLAKQRKKLQIEYRVSRDAQFHFRTGSCVRSSYDVKHIGDLDSTDPFAHM >SECCE7Rv1G0467620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:89447909:89449830:1 gene:SECCE7Rv1G0467620 transcript:SECCE7Rv1G0467620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 704B1 [Source:Projected from Arabidopsis thaliana (AT1G69500) UniProtKB/Swiss-Prot;Acc:Q9C788] MSSPMEEAHLGMPSTFFPLAGLHKFMAIFLVFLSWILVHWWSLRKQKGPRSWPVIGATLEQLRNYYRMHDWLVEYLSKHRTVTVDMPFTSYTYIADPVNVEHVLKTNFNNYPKGEVYRSYMDVLLGDGIFNADGELWRKQRKTASFEFASKNLRDFSTIVFREYSLKLSSILSQACKAGKVVDMQELYMRMTLDSICKVGFGVEIGTLSPELPENSFAQAFDAANIIVTLRFIDPLWRVKKFLHVGSEALLEQSIKLVDEFTYSVIRRRKAEIVQARASGKQEKIKHDILSRFIELGESGGDDGGSLFGDDKGLRDVVLNFVIAGRDTTATTLSWFTYMAMTHPDVAEKLRRELAAFEADRAREDGVVLVPCSDGEGSDEAFAARVAQFAGLLSYDGLGKLVYLHACVTETLRLYPAVPQDPKGIAEDDVLPDGTKVRAGGMVTYVPYSMGRMEYNWGPDAASFRPERWIGDDGAFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILCRFFRFELVEGHPVKYRMMTILSMAHGLKVRVSRAPLA >SECCE1Rv1G0008360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:46874171:46876657:1 gene:SECCE1Rv1G0008360 transcript:SECCE1Rv1G0008360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRSSATPISSLRLLRHISPSTSTPTPTSPTSRSWSPHAAFAAATERVRAGTLRPEDAHTLFDELLRQATPVPERSLNGFLAALARVPASGACIRDGPALAVALFNCVCREEAGPQVAALTACTYSILMDCCCRARRPDLGLALFGRFLKKGLKTDQIVASTFLKCLCYAKRTDEAANVLLHRMSELGCVPDAFSYSIVLKSLCDNSMSQQGLDLLRMMAKEGGGCSPDVVAYNTVIHGFFKEGETSKACNLFHEMMQLGLVPDVVTYNSIIDALCKARAMDKAEVVLHQMFDTGVQPDEVTYNCMIHGYSTLGREKDATRMFREMNRRGLVPDMVTCSSLMTSLCNHGRSKEAAEIFDAMTAKGHRANVVSYCILLRGYANEGSFADMIDLFNSMERNCIAADCRVFSILINGYAKHGMMDEAMLIFTEMQGQGVSPDVVTYSTVIAALSRMGRLTDAMEKFNQMIAMGIQPNKVVYHTLIQGSCMHGDLIKAKELVSEMMNKGIPRPSIAFFGSIINSLCKDGRVMDAHDIFDLVIDIGERPSAITFNSLVDGYCLVGKMDKAFGMLNAMESVGVEPDIVTYSTLLDGYFKNGRVNDGLTLFREMPRKRIKPNTVTYGIMLDGLFRAGRTVAARTMFHEMIESGITVDIPTYNIVLRGLCRNNCTDEAIALFQKLGAMSVKFNIAILNTMIDAMYNVQRKEEAKGLFATISASGLVPNEYTYRVMIKNLLEEGSVEEADNMFSSMDKSGIAPSSRLLNDIIRMLLEKGEIIKAGNYLSKVDGQGISLEASTTSLMLSLFSRKGKYQENMKLLPAKYQFFGEFG >SECCE5Rv1G0346560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:662539325:662543660:1 gene:SECCE5Rv1G0346560 transcript:SECCE5Rv1G0346560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPRRRAHPRRGALLPAVAALLLLFLAVSLLSIAISAPPLADRQPGISRRSLRHPPTSRWTARDLWGSELAGNFYGCSNSSGKFLDSSVATQPDRYLIIVTSGGLNQQRTGIVDAVVAARILNATLVVPKLDQTSFWKDSSNFSEIFDIDWFISFLAKDVKIIKEPPEKGGKAVRPYKMRVPRKCTPQCYLKRVLPALLKKHVIRMTKYDYRLSNKLDTDLQKLRCRVNYHALRFTDPIQELGERLIQRMREKSRYFIALHLRFESDMLAFSGCYYGGGEKERRDLGAIRKRWKTLHTSNPEKGRRQGRCPLTPEEVGLLLRALGYGSDVHIYAASGEIYGGEETLAPLRALFPNYHTKESLSSKDELTPFLTHSSRMAAIDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHRKTIRPNAKQLYHLFTNRENMSWDEFSSQVRIVQKGFMGDPLEVMPGRGEFHAYPAACICEKTGENKSSPGSNQETVNRTGIRKAIGEPAYPVYTDEEADGSDTEDDPTGTGEEEMITEDDPAAGEETVTEDDPTATEEVTDAEAEADDDSSVRQEGSELEEILSD >SECCE6Rv1G0384730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:66780592:66781961:1 gene:SECCE6Rv1G0384730 transcript:SECCE6Rv1G0384730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVPRMKLGSQGLEVSAQGLGCMGMSANYGPAKPDDDMVALLRHAVAAGVTLLDTSDAYGPFTNELLLGKALQGGLLATATGGAGVQVATKFGVKFTEAPPGWEFCGSPAYVRQACEASLRRLGVDCIDLYYQHRIDTRLPIEITMGELKKLVEEGKIKYVGLSEASASTIRRAHAVHPITAVQLEWSLWTRDSEDEIIPTCRELGIGIVAYSPLGRGFFGSGAKSADNLSERDIRKNLPRFQAENLEHNQRIFERVNEMATRKGCTPSQLALAWVHHQGPDVCPIPGTTKMDNFDSNLEALTLRLTPEEMAELESYAAADAVKGFRTYNAANTWMSSDTPPLDSFKGE >SECCE2Rv1G0078030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:103888282:103889196:1 gene:SECCE2Rv1G0078030 transcript:SECCE2Rv1G0078030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVLQLRSADGKVLIAPAWDYRPAAAQARPLEMRVPSRALERVLQYWTKHSLAKATGESRESLARWDADFQRRLEEDGLAKEAAAAAQELRRHGVDHGGRPHRHAATAASDVAAPVKAARADPVRAWCPLVHHLKGVNHGERSHAPAMTGAFHVSDIAAACPGPATTLVAAAGAEPVGVRCAIRARGRQMAEDEESACHHRKRPASKAPLCLPATAVAPVKKVSRPIASKVTPAVVSRPVTPLPVSDVAPVVKKMTPAVSTLRARRGMRELSCKVPKQIHVTAAAPKKQPIPWLRPVVLRPC >SECCE6Rv1G0423730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697267115:697270177:1 gene:SECCE6Rv1G0423730 transcript:SECCE6Rv1G0423730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPMRGGGGRGGGRSFGDSGGRGRGRGFGGRSDGGGRSGGRGFGGRSAGRGGRGGGRGGGRGGPGMKGGAKVVVVPHKHDGVFIAKAKEDALCTKNMVAGESVYGEKRVSVQNEDGSKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTQAAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQK >SECCE5Rv1G0308770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:137899207:137899887:1 gene:SECCE5Rv1G0308770 transcript:SECCE5Rv1G0308770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLRNGDQAAAGGRRKESRRLGALLLVLRVAALCFSLAAAVFAATDGAVLRLAPFRFLLAANAIVAVYSVFEVAAAAWEVAKGATLLPEALQLWFDFGHDQGFGYMALAAAAAAAREAALCGGGQERNISRTACLQGDIAVGLGFAAFAFLALAALATGFRLVRFLATGSRLPAGTSSPY >SECCE5Rv1G0370710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:843649152:843653099:-1 gene:SECCE5Rv1G0370710 transcript:SECCE5Rv1G0370710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC028 [Source:Projected from Arabidopsis thaliana (AT1G65910) UniProtKB/TrEMBL;Acc:A0A178W7T7] MAPVSLPPGFRFHPTDEELIIYYLKSKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQKRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECEIDNGLQDAYALCRIFKKTAPGPKIMEHYGAAQYHGEQPQWTPSSVERSPTPCDGRGGGDDFESSSFSFPTEAPMASGSMHGGGFGMQMMMGGGVPHEDGRWMQFLSEDAFNATNNPYFMNQASASNFSCLPSKVDVALECARLQHRLALPPLQAEDFPQDVSLDTKTGILHSNPNEIDILQEFLSVASASQELINGSGSSSYPDMWLGAGTSSGGGHYMNELSSLVDLGAAKAKEEADNFYQMCSIGASMMRRDDEPGRLVEISDMQEFKEEKKRPVENLRGVKLVNNDLGEIVVEGDESNAAEGITHYPIQDTAENSGEAGHHMTDHTTDEGGIDTTPIFSQSQPDDFALAIGFDNDGDDNANPNGSFDLYGKVDVQRGLFVSRVGAAKTFFHRVEPSKKVSFHLNLAAASEVSKAIEKFHYYLPVTSKVSGSGSSSSRVSVLGKVKALIRGKFPTMRRPQSPSQRQRSETTVSELLQIVSLLLAPKEAVAEREEMVSSKKAKPGRWGCHGGCSGSWQLGLPGKGSKGISSMFLSGKWALISNLCIGGRPRPRAVQSLLKGRSSLGSTISDHDYLL >SECCE3Rv1G0195710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:801809242:801812060:-1 gene:SECCE3Rv1G0195710 transcript:SECCE3Rv1G0195710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSIK [Source:Projected from Arabidopsis thaliana (AT3G08760) UniProtKB/TrEMBL;Acc:A0A384KX76] MMGCFTGLRSKKKKSPLVASKKHGDARDTSLRLPEPEAHVPSLQSAPPSFRNRAKICQSANKVSYSRARVLSAPSSLILVDQDGLPYAEFDDQDDSRGKGGAIKAHRFSNPLPLPLPSPEGSSLRNFGSFKAINASGPLEVSGPLPLPPKRSDGLKNFSYDEISTACQWFSSDQCVSETQTLTSYKASFRDDYVEPKKMEAIVARLLPSNQSFKEFKAQVNTLASLQHPNLCKLIGYHAREESNERMLVYERLHHGSLDRLLFGRPEGRFMDWSTRLKVALGAAKGLAFLHDEGPFQAMYDDFSTSNIQIEKDFTAKLSGYGCVGFNSEEERSKASVTATNLSEETLEKGVLTPKSNVWSFGVVLLELITGRKNLDVRSTKEERNIVKWGRPFLTDDSRLSLIMDPRIKGRFPTKAARTVADIILKCLHRDPSERPTMRAVVESLASVQDIKVPCRYPLQVPSAAPRKVMLKSTSLNGIVPQHPVMTFSPSPPSRNQHLVSPRSSTSALLPPRNCSSTITLDYPRVSSVKKSPPNIMRRPGVEGF >SECCE6Rv1G0414430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:631978079:631986254:1 gene:SECCE6Rv1G0414430 transcript:SECCE6Rv1G0414430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAQEAGAACLQSFELYESASRFYIFGTNADKTVWRLLKIDRSETPVLNIDECSTVYTQAEYLELVSGLDEDHRSTGGVKSVTKFYGIIGFIKFLGPFYMLIITEQRKIGEIFDHPVYQVTKTSMIELANSKSRSSFLNSRDENRYKKILNTLDLRKDFFFSYSYPIMRGLQKNLSDPQEGWSLYESTFVWNEFLTRQIRNCLQSTLWTVALVYGFFKQDKFAISGKDIMFTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVYEAVPMPIEVSSVVQNRGSIPLFWSQDTSKLNIKPDIILHEKDKNYEATKLHFENLRGRYGNPIIILNLIKTRERRESMLRREFDKAIRIINKLFSEENQLRFLHWDLHKNSQGEPTNVLDVLLKVAFRALRLTEFFYCQVAPPTGSETAPHWPALLHSHDPYFCDENSNSDISQEDISGSSDSSGNGTTEDKAETSELPQLKRPIFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGCVESPELGLGDPAAHHLMHFYERMGDTLAVQYSGSAAHNKIFSAKRGHLKLIIRSQEFFRTLQRHYSNACIDANKQAAINLFLGYFQPKQGCPALWELESSSEEHNNGSLGHTSDGIKRVNSDGSILSVSNTSISGCSGCHNELLTAPQLDVSTELQSSDLLYENEITSPIETKVSNSRCTPTPSHNHIHDAPSSQLDPRNDSGDSNFLDLEWLSNSGNSSDGRSHAISTPDAQLSTENVISDIIPETMENQVAGVQAQKLPEQFVQWVNNSDAFWC >SECCE6Rv1G0393800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:284559922:284560281:-1 gene:SECCE6Rv1G0393800 transcript:SECCE6Rv1G0393800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPRGYIARRRRTKMRSFASNFRGAHLRLNRMITQQVKRAFVSSHRDRGRQKRDFRRLWITRINAATRVYKVFDSYSKLIHNLYKKKLILNRKMLAQVAISNPNNLYTISNKIKIIN >SECCE4Rv1G0256850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:660510609:660511088:1 gene:SECCE4Rv1G0256850 transcript:SECCE4Rv1G0256850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPDGINIPEGKFYLGDAGYACRPGILPPFRKTRYHLNEFSSRNYPRTAQELFNLRHSSLRLTVERAFGTLKNRFKIPDQKPFHPYSTQVKLVLACCILHNWIFQWGFDEHVPEEEEVEPDDVVSSGHGVEEFYNDAWKNKMLEWAEAMWLNRGQCRI >SECCE1Rv1G0032960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:461468216:461470953:1 gene:SECCE1Rv1G0032960 transcript:SECCE1Rv1G0032960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHNGHNSRTCPNRGVKIFGVRLTDGSIRKSASMGNLSLLGGSTSGGGGASPADVGHDAAAEGYASDDFVQGSSSANRERKKGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQANMSRRKRRSSLFDLVPDESDLPPLPGNQEPEAQILNHPPLPPPMEEEEVESMESDTSVIAESSSASAIMPENLQSSYPVLVPAYFSPFLQFSVPFWQNQNDGDDLGQGTHEIVKPVPVHSKSPINVDELVGMSKLSIGDPKQDTVSTSLSLKMVGGQNRQSAFQANLPTRAHA >SECCEUnv1G0553670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:276491313:276492912:-1 gene:SECCEUnv1G0553670 transcript:SECCEUnv1G0553670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYFSFARVRVVALFFLVAAHQCCGCGYLPDLFCYPPPPPAPTTSVPATNVPASNIPTSNSSSGGSGTNTDDGGWLDARATWYGAXXXXTYQLTETDAGGACGFKNVNWPPFSSMTSCGNQPIFKDGKGCGSCYQIRCVAHRACSGVPETVIITDMNYYPVSRYHFDLSGTAFGGMAKYGRHDELRHAGIIDMQFKRVPCQYPGLTVTFRVQHGSNPNYLAILVEYEDGAGDVAQVDIMESRLPDRAPTGYWLPMRESWGSIWRLDRLRPLQGPFSLRVTDESGRSLVADQVIPAYWQPNAAYRSLVQFDETLPMSLVTSAASRRLLVLPISAALTYVWPCVRTILAV >SECCE5Rv1G0356400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:739186254:739189160:1 gene:SECCE5Rv1G0356400 transcript:SECCE5Rv1G0356400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASQVAFSAPVAGSDRAVRGSGIQSSNNLSFGNKSWIGTALACDSKVAAPPRHVCRAVQQSSKSKVSVAPLDLESAKEPPLNTYKPKGPYTATIVSVERAVGPNAPGETCHVVIDHGGNVPYWEGQSYGIIPPGENPKKPGNPQNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCNSKPGDKIQMTGPSGKIMLLPESDPNATHIMIATGTGVAPYRGYLRRMFMEDVPNYRFGGLAWLFLGVANSDSLLYDEEFTSYLKQYPDNFRFDKALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKKVAEQRGESWDQKLSQLKKNKQWHVEVY >SECCE2Rv1G0082300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:149839788:149841044:-1 gene:SECCE2Rv1G0082300 transcript:SECCE2Rv1G0082300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASSGGRKRKCEELSAPELGQLHEDMLERVLARLPPASFFRLRGVCRRWREAAGSPAFLAACARVPARDPWFLMLSDQQAEEEQRPPCPAVAFDAAEKTWARCRAAPGPVLVAASGGLVLYRAPETGALTVANPLSGASRALPPPPPAAPDALYAVAMYGSPYRVVLILGELPHLSMTVFDSSKNAWEDAVPLSRKTEASHADAQAPHDDDDDIDEDMDGDGDGAVYYLSKSGDVMVSSTQRSASRQYSSAVTCRSDGGEAVAYFLSNSGAVVACDLARRVFTELPRILPVHSEYSIDVVACDGRAYVVVLSEYLDTASLRVWEFSDGAWWQVAAMPPAMSHALYGKKADVNCVGHGGRVMVCVSSGDADANGCFMCDVGSNAWEELPRCAGGDGEAMDFVAAFSFEPRMEVAV >SECCE1Rv1G0057100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692199378:692201495:-1 gene:SECCE1Rv1G0057100 transcript:SECCE1Rv1G0057100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase MMS21 [Source:Projected from Arabidopsis thaliana (AT3G15150) UniProtKB/Swiss-Prot;Acc:Q8GYH7] MSSAATKLATAADVTSTEAQTLVLDMRKALSSMKSLAVEYERTGKPDKVKQLEDAVQELVASYEDCAYLAEAVKKVPGAYQPSDQATDFRKLIEGEVAKVKGTSRSSGHKDQLIRQFKEAVWDVHHAGQPMPGDEQEELVMTSTQNNILNIVCPLTMKPIVELENPVRCTDCRHIYDKDPILRYIRTNKAPNCPVAGCPAVLQAAKIVCDTFLRMEIEELRASGQANPNATEIEDISDHDDDEDLMDDDDDE >SECCE4Rv1G0289570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:866413782:866414849:1 gene:SECCE4Rv1G0289570 transcript:SECCE4Rv1G0289570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAIPTILLMAIVLVAAMQVASSVDITDTDLASEKSLWALYDRWCEHHNVDRGLGEKARRFSVFKENARMVHKFNQGGAPYKLSLNLFGDMTDEEVDDGYGRCSNPLPDSGQQLQGRDTQGVLPTRDNYPRYVDWRMTGYDQRPSAVTNVKMQRGCGACWAFAATAAVEGINSIRTRKLVSLSVQQLIDCDKESSGCRGGNPLLAFEYMIDHGGISTEADYPYIAREHDYCLVPKGKDPKVTIDGFKLVRPRDEVALLQAVAAQPVVVGVDTKTFRRYGGGVFVGPCGTNRTHSMTVVGYGSIDGDDPLDYWILKNSWGPKWGENGYIRLARGVRGGTEGLCGILKGSYYPVKN >SECCE6Rv1G0408820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:577140816:577143853:-1 gene:SECCE6Rv1G0408820 transcript:SECCE6Rv1G0408820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKFGSFKSENKGDSAASAAGATAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNADDPVASEKFQEATFSYNILSDPDKRRQYDSSGFEAIEADSHELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVEITQIHLGKSVCRKVEKQSAHFYSVDITEEEAKLGLVCRVRSTAKSKFKLLYFEPEENGGLSLALQEDSAKTGKVTSAGMFFLGFPVYRFEHNNSAAAAKDPDSAFFKRLDGFQPCEVNELKEGTHYFAVYGDNFFKSATYTLEVVCAEPFSTEKEKLRSVEAKLLAKRSELSKFESEYREVLAKFTQMTSRYAQEMQTIDELLNERNAIHASYTNSPTLKRSSSDSKGKTSSKGSKNDDDQSVRKEKKPKSPTTMEASASDEEGSNKKEKKPKERLRRKRWFNIHHLKVDKRRPC >SECCE5Rv1G0302790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:41951824:41954990:1 gene:SECCE5Rv1G0302790 transcript:SECCE5Rv1G0302790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATKLEGGYCFHSKNSPLDQPEGVKIHLYRLGIEQREHDALKSFACAGQGSPSLLDTIILSQWENFAQKGQLGYDVTTCELKVIEGQRDFVIQMNDKWNTPLKKYEKFGHPFGCLKPNSARSYEELLLCIAEGEDNEPEVVPSTTPPNDGVLLIANAYPVEYGHIFLVPNATNQLSSFWDKKMFGLITKIASEVNSAAFRVFFDDGTSIVPKHMFFQACYFANPLPVESASTVALYDGTTRSGICVSEVVDYPLKALVFTSNNVNALIDVVSEACLALHENNTAHSLMMSNNGRNVFLFPQVKNLVTGCYLSAWECCGYFVYHTKVDFDRASETEISNRMASFSFQDGDFEDLKKLCCAIADDLVVT >SECCE1Rv1G0042880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:584779792:584780639:-1 gene:SECCE1Rv1G0042880 transcript:SECCE1Rv1G0042880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSNPVDEEEHLDYSSGNVTLITDLKSWEKKLEDATDANKTLVVKFSAVWCGPCRIAAPAYSELSLKHSDLVFVSIDVDELPELVTKFDVRATPTFIFLRDNKEIDKLVGGNQADLQQKFEPYCRPGEEVMCKQSFEDKT >SECCE7Rv1G0492880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:558994523:558997218:-1 gene:SECCE7Rv1G0492880 transcript:SECCE7Rv1G0492880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRESIHNFFKTVGSSSRPSEHDATADNVQPSEQNRPNCTVGDAQIDPDVSVPEATEEATIITTSYDREPGRRLQIWQLPPDEHDAARRFYISKGAYQPILQPDEYEFTGTGAGCRRFQSDWFTNHWWLEYSPLKNCAYCLPCFLFSKKPIGKCGSDTFTVSGFDKWKKVNNGKECAFLKHMGTTPSSSHNFAVGCYENLRNRINHVDEVMKKQTKKRVLDARLRLKTSIDAMRWLMFQACPFRGNDESEDSKNQGNFKEMIKLLASYNKDVHEVVQNAPKNAKYTSATIQKEIASIFAGKVKTSIKEELGNSKFSILVDECRDESKKEQMAVVLRFANIEGVIREHFLDLVHVRDTSALTLKNTIIAVLVDNGLNVQDIRGQGYDGASNMRGEWNGLKALILRECPYAYYIHCLAHQLQLALVAASREVHEVHNFFQHANFIVNTVSASPKRNDELLENQAAEIAREIELGELDTGRGANQIGSLQRAGDTRWSSHYKSIKSLLKMFAATVTVLRSVAADRSATRNSRGDARGALKILLTFDFVFILHLMERIMKITDVLCLKLQHKSLDIANALDCVSNTKVLLGELREDGWDNLFEDVTSFCVKHEIDIPDMDQKYVDVTKSRNKHDNTTVIHHYKVDVFNVAIDQQVIELNDRFSSQVTELLDLCSSLDPRHDAFSKSKICRLVEKFYPADFSSQERDRLECELPHFQLDTFNHPEIKNCKSLADLTKGIVKTGKSSDYPMVERLLRLVITLPVSTATAERAFSAMKLVKTRLRSKMGDDFLCHCTLVYIEKELAAKFSSEEIIDIFDTGARKAEFKLIEM >SECCE7Rv1G0516170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844052097:844052408:-1 gene:SECCE7Rv1G0516170 transcript:SECCE7Rv1G0516170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE2Rv1G0126390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:846242971:846243581:1 gene:SECCE2Rv1G0126390 transcript:SECCE2Rv1G0126390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSLLLAALLVLAVSLAPHGAEAGKQLPLALIAGVVPCSAGSSINAAAVPPFPNAAVQMVCGRDVMASATTDRSGTYTMNMGLATSSLLAPLLGNQCKVVVVTPLAACNASLASVTGTLTAQVQLLGIDSGSGSGAGGLGGLGGLIGLIGQIVGGLLGGILNIIPLPFSII >SECCE4Rv1G0217570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:17351632:17352480:1 gene:SECCE4Rv1G0217570 transcript:SECCE4Rv1G0217570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHKGGVCCFLLLAGVLLAAAAAGAEQHDGAVATAVPEVDEAEEVRLRVDDQGNDSCDLKCQHHQVPARKKQCVDECHSREHHHPSRASCETKCSHWVDPTRKEQCVQQCMRYGVNLRVDERPHAGEVEVGRRADDQGNDSCDLKCQHHQVPARKKQCVDECHSREHHHPSRASCETKCSHWVDPTRKEQCVQQCMRRGLSLDVGGSNAVDEHPHAQEEEVARRPCDRECQFSCQGKCRGWKDRTKYQQCVQQCIRGSNIVGDEHLRGWEAVAGAILEVV >SECCE5Rv1G0369640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:836841428:836843198:-1 gene:SECCE5Rv1G0369640 transcript:SECCE5Rv1G0369640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSGLGNAATVAQLVGKGDAGGLIAMIIRAAATARQNRRECEQLARRVLMIAELLPHLEHLPEAAARSLAGLDETLREAHELVGSCQGRSVAYQFVLARRQADKFRELHGRIDSYLIVFPVVSYIGITRRLDQICSILVPGHTPCPPSSPPLPRPRPQLQDIDPDGVGAEEFTLAELATVTSNFAPEAKIGEGGSGMVYRARLRHGREVAVKRFTRNAYRDHEDAFRTELAILPTLRHEHIIPLLGGCSEEEHLLVYEYMSNGSLHDHLHKQPAPLTSCSPVTMSWRARVEVLLGVSRAVEHLHGHAAPIIHRDIKPSNILLDASWVPCLADFGLSLAWDPREAARVHPVVGTYGYADTEYLCTGRLSPASDVYNFGMVMLEVLTGMTADSQVVNRAGERWVPEDSVSFALRFIEAGELEEVLDRRPSAEPASQQLKALELVAHTAARCVRLRGTDRPPIQDVVASLQAALDIISQDECMPWHGLYKWV >SECCE5Rv1G0337470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:591725224:591726810:-1 gene:SECCE5Rv1G0337470 transcript:SECCE5Rv1G0337470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVRGLRLGYFLVLCLVPFLRHAMAIRFVMDRGECFSHNVDYQGDTVHVSFVVIKADTPWHYSEDGVDLVVKDPDGNQVHDSRAKISDKFEFVVQKRGVHRFCFTNKSPYHETVDFDVHVGHFSYFDQHAKDEHFGPLFEQIRKLDEDLYNVLFEQHWLTAQTDRQAILNENMSKRAIHKALLESAALIAASTVQVYLLRRLFERKLGTSRV >SECCE4Rv1G0250730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:595992328:595993428:-1 gene:SECCE4Rv1G0250730 transcript:SECCE4Rv1G0250730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVQYPWLLLLLVLLPAVSPATARTPVFDDNYVPTWGADGHHLVNQGTQVRLSMDKRSGAGFCSKSTYGSGFFRMRMKVPGGYTAGVVTSFFLMSLPPQSSDRDEVDFEFLGNVDGQPITLQTNVFVNGHGYREQRMKLWFDPAADFHEYKILWNTHQLVIFVDNVPIRVLRNLTGRVPEYEFPAKRMGIWASLWDGSEWATDCGRIKIDWNRAPFTAGYQGFGVDACANTSSTPCHSTNWWWNARRYKRLSARQRAAYDNVRKTYMYYDYCADKDRFKNTTMPVECSHTA >SECCE3Rv1G0202670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:876222291:876225415:1 gene:SECCE3Rv1G0202670 transcript:SECCE3Rv1G0202670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMEVEEGPLLAIDAKLHAGMCRAFHPAVSKLLAIFPFIEASRPRSKSGIQALCSLHVALDKSKGLLQHCADCSRLYLAITAETVHLKFEKSRSQLQESLKRVESIVTEDIGHKIVEIIGELEEVVFTLDQSEKEAGDEVINLLQRNSKMNSSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSGDDKRKEFVVSYLYNLMRKYSKFFRSEAGDDTDSQGSAPCSPTVLGMDDMYGPCGNSRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRPSGPRSENMSIPPEELRCPISLQLMYDPVIVSSGQTYERVCIEKWFNDGHSTCPKTQQQLSHLSLTPNYCVKAMISSWCEQNDFPVPDGPPGSFDVNWRLALSDSQATGCVSVDSFDTSNIKGVKVVPLENERKEEPANSESGTLDDSACFEFDMNEGYRNLLLVLNERNNILSQCRLVEQIRYLLKDDEEARIQMGSNGFAEALVQFLRYSVEEGNEKAQEVGAMALFNLAVNNNRNKGLLLSAGIVELLEQMTSNPRLAAAATALYLNLSCLTDAKSVIASTQAVPFLVDRLYNHDACDPKASSCKHDALYTLYNLSTHQASIPLLLSAGIVDALHTLLTDSSVSEGIGWTEKALAVAISLAATPAGRKEIMSTPGLVSTLAMLLDTGEPTEQEQAVSCLLAMCAADDKCIAPVLQEGVVPSLVSISATGTGRGREKAQKLLKLFREQRQRDGGQPPASQQPQQQQTPSSEAGNGIGNGGGVMVCHRESKPLCKSKSRKLGRTLSSLWKNRGFSLYQC >SECCE6Rv1G0427200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:718304328:718305467:1 gene:SECCE6Rv1G0427200 transcript:SECCE6Rv1G0427200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAAAEAATPLLPGLLDDIVLWEILTRLDPKSLLRCRAVRRAWRCATSNRRFLLAHHARQPALTIFPASSCNRRDSHHDITAFDHRAAAAAQLHTVARLDKPFAVEASCDGLLVLTMFDYTDDSQTFSVCNPATHQHAPLPQLLDFRILGMYQHRPTGEYRLLLKWGYQTGYYVFMLGSDQPPRYIGCPGTKLALSGIHVRVRDSLHWYPVYCPSESEYETESKLIIVFDTIAESFREMRAPVIPTTSYIFDMDDMLGIYGCNKATGIVDIWVLQNYESEVWDLKYRVELPIAEIKGKHEDPYGNGYWYVIVALDDGDVILLVNFGDWVFCIDTDGEMVASFQEFFAYRHQLKQTLVLHDFFTALEGYVVDASPFI >SECCE4Rv1G0230120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:158731323:158734705:-1 gene:SECCE4Rv1G0230120 transcript:SECCE4Rv1G0230120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRTLFKSSLPSNHASDASSSAGTVTTHRLYQVWRGRNKFLCGGRCIFGPDANSIVLSVSLIMTPLALFVAFVSFRLAELMGKPLGPFVPMTAMAVGAFDLIVLVLTSGRDPGIIPRNTKPPDPEDLQVDGMASPMAGGPSSGTLPPTRDVYVNGMVVKVKYCHTCMLYRPPRCSHCSVCNNCVERFDHHCPWVGQCIGKRNYRFFFMFISSTTFLCLYVFVFCWVNLVLITRKYGCSMGGAIVESPVSGFLIFYTFITSWFVGGLTAFHTYLASTNQTTYENFRYRYEGKSNPYNRGAARNLVEIFLSPIPASKNDFRQMVVVDPDTLLYGPPSMAYSYSFGLLSSSKKSFNTQASLSFDMGKPSFDLGAGYSVKRTSIGSSDFGDIYNPNGDGMDGAAHQQPRHNIFGGGRFQGSKKVAENPESVVTDVTTAGYGAAVRPHGREFEGV >SECCE3Rv1G0165300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:172682241:172685287:-1 gene:SECCE3Rv1G0165300 transcript:SECCE3Rv1G0165300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSLARRAVSCRRLLLSRAFGAAAAAARPAKRVLVPVAAGTEPVEAAATADVLNRAGARVTVATVASGPAGDEGLLVKAAYGVKLVADSRVADLEGEAFDLIALPGGMPGSVNLRECKVLEKMVKMHAEKGGLYGAICAAPAVTLAHWGMLKGLKATCYPSFMEKFTAEVIPVNSRVVVDRNVVTSQGPGTAIEFALALVEQLYDKEKMEEVAGPLYVRPQHGADYTIEELNSVEWKCSGTPQVLVPVANGSEEIEALNLIDVLRRAGANVTVASVEDTLQIVTRRHKFNLIADMMLDEAAKMEFDLIVMPGGLLGAQKFASTDKLVDLLKKQAGSGKPYGAICASPAHVLEPHGLLKGKKATAFPPMAHLLADQSLCENRVVIDGNLITSRAPGTATEFALAIVEKLFGREKAVSIAKEFVFM >SECCE2Rv1G0098230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:478555513:478564306:1 gene:SECCE2Rv1G0098230 transcript:SECCE2Rv1G0098230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRPSWSRSVTETVRGSHQYTVKGFSLAKGIGPGRHLSSDTFAVGGYDWAVYLYPDGKNHEDNASYVSVFVALASEGTDVRALFELTLLDQSGRARHKVHSHFDRSMQAGPYTLKYRGSMWGYKRFYRRTQLEASDFLKDDCLVMNCTVGVVKNRLETPKNVQINVPPSDIGRCFKELFELHIGCDITFEVGDEKVQAHKWILAARSPVFKAQFFGPIGKPDMDRVVVEDVEPIVFAAMVNFIYSDELPSIHEVAGSFSMWTSTAVTQHLLAAADRYGLDRLRILCEAKLCDELTSETVATTLALAEQHHCAQLKSACLKFTAVRQNLGAVMETEGFNYLEETCPSLLSDLLATVAVVDDDPASVNRKRGVCISEDVNPVESVEASDRRTRRRV >SECCE3Rv1G0170040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:246792748:246793662:-1 gene:SECCE3Rv1G0170040 transcript:SECCE3Rv1G0170040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIMAARGQGLKQDFDFFVVVDFEATCVKDARIFPQEIIEFPAVLVAGATGRIESVFRRYVRPKHHPMLTQFCRELTGIRQEDVDGGVDLGEALWMHDAWLKAATAGAGSLAIVTWGDWDCRTMLESECRFKGIEKPSYFDRWINLRVPFQAALGGGGRTTLQEAVRAAGLEWEGRLHCGLDDARNTARLLVELMLRGVKMTITGSLAPPPPIQQKQQPPQLLTSPCGGSSALVPPPIQQKQQQPHMISPCGGSSATCFCYCRVPTRGGVVSVPGPMQGKCFSGCGNWTPAMGPVCPYFVWTN >SECCE3Rv1G0169220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:233695415:233697712:-1 gene:SECCE3Rv1G0169220 transcript:SECCE3Rv1G0169220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSVECLSLPDATMDADDVGLALHPHGALLGAAASSRPAAYPKAGAGAGGVVAPGSSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKIKHEAMCSFRPYSCPYAGSECSVTGDIPYLVNHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKMVWEGTPRSVRDSHRKVRDSHDGLLIQRNMALFFSGGDRKELKLRITGRIWKETQTPDGACVPVLCS >SECCE2Rv1G0122160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:813518326:813519666:1 gene:SECCE2Rv1G0122160 transcript:SECCE2Rv1G0122160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSALAALLAVVVLVVCSPAPRVLASDPSQLQDFCVADIMNPVIVNGFVCKNMKMVTANDFFKNGLNKPGVLNAQGSAVTPVTVRQLPGLNTLGISLARIDFGPNGGQNPPHTHPRGSEILTVLMGQLLVGFVTSNQQDGKNLLFTKQLVEGDVFVFPQGLIHFQVNNGKVPAVAIAALSSQDAGVITIANAVFGSTPPISDLILAKAFMTEKDTVDWIQAKFAPAMSGNSSMGGGGYDMPPGGGEGEGGNSTGGDGGYYPGMRKRKP >SECCE3Rv1G0150890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:34885225:34886679:-1 gene:SECCE3Rv1G0150890 transcript:SECCE3Rv1G0150890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVVLYPGLGVSHFVPMMQLAAQLVKHGYAVSVALIDPGVKEDIVFRAVAASMPSVSFHTLPGVEDPPKLTMGIEFIPRYIDLLRRYNERLHDFLCSMRPRRVHALVVDSVSSEAVVVAKRVGIPCYNLFTSSASILAAFSQLPTLLPEGGPSFGELGDSPLQLFGLPPMPASHLLREMLEDPEGETYKATMGSVCWIMEANGTLVNTFESLEAPGVAALRDPRCVPGRVLPPVYCIGPFIRAIAEANERHECLVWLDGQPDRSVVFLCFGSTGAKSHSEEQLREIAVGLENSGHRFLWVVRAPVHATEKPFDPCADPDLAALLPEGFMERTRGRGLVVKLWAPQIDVLRHRATGAFVTHCGWNSVLESVTAGVPMLCWPLYAEQKMNMVLMVGDMGIAVEMVGWQQGLVKAGEVEGKVRLVMESEEGRELSARVEAHKEGAAAACNHGGSSRLAFAQFLTDVASLHDRASTGEARDPHGTQ >SECCE1Rv1G0047660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:627178530:627180323:1 gene:SECCE1Rv1G0047660 transcript:SECCE1Rv1G0047660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLVLILLVAMFTGSDAMYCVCKSDANPVAMQKAIDYACGKGADCTQITSSGPCFQPSSVVAHCSYACNSYYQKNAGMGASCDFMGVGTLVAADPSAGSCKYPASASGVGTGGGTGGMGTGGTGTGTGVGTGGGMGTGTGTSTGTGAGVGTGTSTGGMGSMTPPGATSTGMPGSPFGGGAYGPSGMNQDYSAAVVGRRVAAAGLLVAAAAPLLLHFI >SECCE4Rv1G0290480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:872829149:872829646:-1 gene:SECCE4Rv1G0290480 transcript:SECCE4Rv1G0290480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVACAERLGVPRGSGIFEHMLQAVAFLSKDKIDAKVEFMKNTFTWSDAEISIALSKAPVVLRSSKEHLQRTSNFLISKAGFEPAYIARLWTLITYGLEGRLRPRHYVVKFLTENGLLDHDRSYYATFKMTAKDFVEKFICLHREAAPHLAKYYAAACRGELPAR >SECCE2Rv1G0097300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:456430407:456432123:-1 gene:SECCE2Rv1G0097300 transcript:SECCE2Rv1G0097300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQPPDAPPLGFFERISTCDVSLRKRQQRDSAAMASSDPALPPPLLLLPPPVPEHALAPPPARANPSPTVLDSVLSLLRSGESLIRGAFRGNSRRASPTGPPTSQQQQQPPPPQQHHRNHPGEIMKRLQRETFPDVIRLMDKHEQIDRILSMYRSGKGFHFPELPVRAKVALDAVGALLLVDDEEFDHTREILGEAGNRTGLNSRFVLESKTRGNDTIAAEFSTRLGIGASLGEEDTERRPLELTRLQYCAHVNQWLSMILVPFGAQCNGFLHGTSLIQNLRSQASLDGPPSFSEQHNCAAGLSMRGSNFTVSLAELVFGSGAQDGDHGVANRMTTFGQVHFEPAQDVKLSLSGLWQIRPLLSRFNNLGTLAIPFGSLKPQRSTPPPPAILLTPRPRTDPAAHGPGPRPAQSITAAMVECELLEGMRAQGWVEMEGWSGRAPVRWGCCLSDTPEHELGWGVRMGGTTEGDTHRPHLEGFLSFNLGRGGKLQPGLVYVMEGEKRTPALVLRSSWFM >SECCE7Rv1G0498820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:646056915:646057385:1 gene:SECCE7Rv1G0498820 transcript:SECCE7Rv1G0498820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBF1C [Source:Projected from Arabidopsis thaliana (AT3G24500) UniProtKB/TrEMBL;Acc:A0A178VDH9] MPTGRMSGNITQDWEPVVLRRAKPKAADLKSAKAVNQALRTGAPVETVRKAAAGTNKKTSAAAVAAPARKLDEMTEPAGLERVGGDVRAAIQKARVAKGWSQAELAKRVNERVQVVQEYESGKAVPVQAVLAKMERALEVKLRGKAVGAPAPAGTK >SECCE2Rv1G0100930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:550049708:550054575:-1 gene:SECCE2Rv1G0100930 transcript:SECCE2Rv1G0100930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGADKSGSGGGGPGGPVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRSLTDGA >SECCE6Rv1G0428620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:728710900:728712210:1 gene:SECCE6Rv1G0428620 transcript:SECCE6Rv1G0428620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMKIPKRYVIVLLTFICTNVCYIERVGFSIAYTVAADAINVNQANKGLILSMFYYGYVLSQIPGGWAAQRLGGRRVLLLSFLLWSLICGLIPLDPNRVVILVLSRLFVGVAQGFIFPAIHTVLAQWVPPQERSRSVSLTTSGMYLGAACGMLFFPSLVKHMGAQSVCLVEAVLGLAWSVIWLKFSSEPPRTDLPKVAMPKVASREKIKAQSVGVVAPRTVKIPWRRIIFSLPVWAIVVNNFTFHYALYVIMNWLPTYFELALKLSLQDMGSSKMLPYFNMFIFSNIGGVVADHLITKRILSVTKTRKLLNTIGFVVSAVALMALPSFGTPSGTVICSSVSLGFLALGRAGFAVNHMDVAPKFAGIVMGVSNTAGTLAGIVGVGLTGNILEAAKASNMDLTNSETWKTVFFVPAYLCIFSSVIFLVFSTGEKIFE >SECCEUnv1G0567180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:412324039:412326175:-1 gene:SECCEUnv1G0567180 transcript:SECCEUnv1G0567180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIMKQIMGTRGDSLEQMEEYEVGKKTRDFLLHKRYLVVLDDVWETDTWDQINKKVKAFPNASNGSRVLLTTRKEDVANHIQMPTHVHHLKKLDEDESWKLFNSKALPSYIRRTIIDAYEFEELGRNLARKCDGLPLALAVLGGYLSRNLNTQTWSDILLDWPSTKNGQMMREILARSYKDLENHHLRSCYLYFAAFPEDYKISVLALIEVWIAERFIPQLPNHKLEETAHKYILELAQRNLVQVIDRSEVHGCILTVRIHDILRDWCIEEARQDGFLDVIIKTAGQGGSSSSNTMISYRSSFQNLDGDLICHESMSNSARTLVVFRIPSVSFSNKLKLLRVLHVEDSSLENFSRIIDGCIYLRYLRFRRCKGVTLPSSIGQLLYLETIDLKYTQMNSMVPKSLWDIPTLRHVYLGNEFSPPRSMRHKELQTFELYLTSAETKHQRHNMVIFLGQMNQLTTFSWAISRIPSEVINIFANMPHLVDIYLNKFNVLKTIPAGFPQSLRCLVLFANIIEQDPMPIFEKLPSLVVLELSGYHGRIMSCSGKGFPRLQSLKLDEFSIEEWRMDVGSMTRLSHLALRRCGQIERLPEGLLHVRLLIGDIELFNVPQITEDDNTLYELQRRGWKVKHLP >SECCEUnv1G0541780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98274647:98275225:-1 gene:SECCEUnv1G0541780 transcript:SECCEUnv1G0541780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSTDMKDRNMLFAAIPSICASSPKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNEVIPEESNEQQRLLRISLRICSTVVESLPTARCAPKCEKTVQALLCRNLNVKSATLLNATSSRRIRLQDDIVTGFHFSVSERFVSGSMFKASTIDLIREGLIVLRKVRVGGSI >SECCE1Rv1G0010250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:61293849:61298040:1 gene:SECCE1Rv1G0010250 transcript:SECCE1Rv1G0010250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase GALT3 [Source:Projected from Arabidopsis thaliana (AT3G06440) UniProtKB/Swiss-Prot;Acc:Q9ASW1] MRNCSGVILIVSLAALLFLLSPSPLTNHQPATYPAGSVADLLPSLPGLSGLYPAPANSTAHLSWHLLRPILSRSDAIPGTAAGVLEAAAAWRNLTVAVAAAAAAGSDQETRDANCRASVGGDLRARGVKIPCGLAEGAAVTVVAVPKQGATRFQAELVGGGGEVVACFNVSLGPSGMVVEQSSWTREGGWGEWERCPPLGHIGSNSSWQLSPVDALVRCNQQVSVNNIQGSSNTTQNVSVNQPEDEKRLKGRAHFSGSSAIVEGEPFTATLWAGAEGFHLTVNGRHETSFAYRERLEPWSVAEVKVSGDLELLSILANGLPVSEDVDMASVELLKAPPVPKKRIFLLVGVFSTGNNFKRRMALRRTWMQYESVRSGEVAVRFFTGLHKNEQVNMELWREAQLYGDIQFMPFVDYYTLITLKTVAICMFGTKIMPAKYIMKTDDDAFVRIDEVIASLKKANPHGLLYGLMSFQSSPHRDKDSKWFISPKEWPVETYPPWAHGPGYIISRDVAKFVVQGHQERTLQLFRLEDVAMGIWIQQYKDSGQKVNYVNDERFYNEGCDSDYVLAHYQSPRRMMCLWEKLQKESQAVCCE >SECCE2Rv1G0085200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:187384253:187387581:1 gene:SECCE2Rv1G0085200 transcript:SECCE2Rv1G0085200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKETLGPGHAFPGDCSPSHLPSFEIPTDLFGPFPRHLHETREEAPIDVFRFEIAPDAFEEEEEEEEAVVFAEKKERGFLESESESESEFLNWDDIPVGAGGDPVDGVNLSDLMDYDPLAVDADDEETNCPGKKFPVAAATKVDDIWSRIYTRRNIEYQKKCEEIEAREDENATFPSYPLKILPEATGLCVERGFCHHREYKTHDTSTTRSTLGLRDPRLMLQIFAMHLSISESYSYPVSVYGIVAVRDALEPLRNLLFNRPCRDDAFTVDQDSLTLPLCSPRRGMYLVRDRALLEVDLWVKEEGDGSSDKQLLSLYAEFEGMYELDQMLDGEVSSDLGSLVIDYLLLDESVEAVIQVSAKIDCPHHMRFTAFTSGFDGEIVLFDDKFSGNGKLFQYVVAVKAEGKLDICLKMEESLFWWTFQEGAVGAVRFPDDSVLNYGQFEVTVLFAPKNFTMAG >SECCE7Rv1G0458580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22173227:22176813:-1 gene:SECCE7Rv1G0458580 transcript:SECCE7Rv1G0458580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKGARMPNAPAGAGALVKLGLLGGAALYLGNKTLYNVEGGHRAIVFNRLEGIKDKVYPEGTHIVIPWFERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPMPERLPTMYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRNLLTERAKNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKKSAIIRAQGEAKSAELIGNAIANNPAFVALRQIEAAREIAHTIATSNNKVFLDSGDLLLGLQSLKMLNNNNKK >SECCEUnv1G0532210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19604382:19606109:-1 gene:SECCEUnv1G0532210 transcript:SECCEUnv1G0532210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRLLGLSATAVSTADLSSCLRRSLSTAAAVSGRLRRPFSTADPPAVSSIFAPAHLVDPTARPTAANTKVRYLAGNVVQVVFGDGHLLLSYREIRAEGPVTLSTLAGNPEVDRFVCNPLTGQVLRLPDFAGSRRYFVMHHMGLLTEADGGLGCGPPDRFAVAEFVLNGAAIVRFLSDEGKWRTVRPVHGDPSLPRPMVMNQETVAFGGRLWWVDLTLGAVSVDPFADRPEIRFVELPSGSVLPERARADEGDFRKAEENVMFMREVAKHRRIGVSDGRLRYAEVSPHEPFLLSSYALDDDQRSGWKLEHQVALRHVLADGGYPWGQNSAPQIAVLDPLVGSVIYIKAGEDVVVVDMHNGRVIGASPLEEEYNYLVPCLLPPWLGASRIPMSGKKDGLESG >SECCE2Rv1G0114870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:740572418:740573742:-1 gene:SECCE2Rv1G0114870 transcript:SECCE2Rv1G0114870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRKKMVRGAAVFVALAAMAAIVRAVIRKRRPRITYGPMHERDRIRFDYLNQKIWQSDVLCKNMLRFERAAFFNLCAILRDRKLLEDSPHLSVEQQLAMFLHTIRHNLRNRVVSANFCRSYGTTSIYFRKALLAIGELRNDYIRPPSLETPAKIAGNHRFDPYFKDCIGAIDGTHVRAGVTKDVEHSFRGRKAFTTQNVMAAVDFDLRFTYVLAGWEGSAHDATVLADALTRERGLQVPPGKFYLVDAGYGAKPGFLPPFRGVRYHLNEWGNNPVQNDKELFNLRHSSLRVTVERAFGSLKRRFKILDDAKPFFTFPTQVDIVIACCVLHNYALSQGIDEFIIPEVTWTTQPIRTSRQQASDTRAVVDRRLQMAAQMWEDRQLMYANL >SECCE3Rv1G0197990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:825040830:825043596:-1 gene:SECCE3Rv1G0197990 transcript:SECCE3Rv1G0197990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTISSGLHCSFLMATLTLLVVQAQGITRHYDFNIQMANVTRLCGTKSIVTVNGQFPGPELVAREGDRVHVRVTNHVAHNMSIHWHGIRQMRTGWADGPAYVTQCPIQKGQTYVYKFTVTAQRGTLWWHAHISWFRSTVYGAIVILPKLGVPYPFPAPHKELTPVIFGEWWLSDTEAIVSTALKVGGAPNISDAFTINGLPGPLYNCSAKDTFKLKVEPGKRYLLRLINAALNDELFFSVANHTLTVVEVDAVYVKPFTVRTLVISPGQTTNVLLATKTAYPGANFYMFARPYSTIRPGTFDNSTVAGILEYRSPGSPSSSSFDKALPIFKPMLPYFNDTNFVTNFTTKLRSLATKQYPAAVPQSVDRRFFFTIGLGTLPCPKNMTCQGPNGTQFAAAVNNVSLVLPSTALLQSHFTGLTSGVYAANFPAMPLSPFNYTGTPPNNTNVATGTKLLALSFNTSVELVMQDTSVLGIESHPLHLHGFNFFVVGQGFGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTTWGLRMAWQVQDGSKPSQKLLPPPSDMPKC >SECCE6Rv1G0402610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:485003027:485003663:-1 gene:SECCE6Rv1G0402610 transcript:SECCE6Rv1G0402610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 45 [Source:Projected from Arabidopsis thaliana (AT3G56891) UniProtKB/Swiss-Prot;Acc:B3H6D0] MLRFWRTRRSAALSNALSIVEMNVHMDCEGCEKRIRKAMSRLEGVSTVEIDMGAQKVTVTGYVDRRRVLRAARRTGRAAEFWPWPYDAEYYPFAIRYLEDDTYLPTHKYHLHGYNDPVVGYYPGHAFTHVLDDRALALFSDDNVHACAVM >SECCE7Rv1G0511570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803996672:803998120:1 gene:SECCE7Rv1G0511570 transcript:SECCE7Rv1G0511570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQHFLVVTFPGQGHINPARALAERLARTTPGARVTLSAAVSAHRRMFPSLASPDDEVHDGTISYMPYSDGYDHGFSYFAGDGDEVERYVEALGRVGRKTFSAVLDCLAARGRPVTCVVYAMLMWWAAEVARERGVPRALYWIQPATMLAVYFHYFHGFERLVTEHAAEPGFTVSMPGLPPMTIRDLPSFFTNLTDGRLVAAFGDIRRTFQQLDLDVDSSSSAGGSRQAMVLVNTVEELEAGALASVPGLDVFPVGPAVVSLFTQGEGGTSGTAAAVGDLFEHDEKGYMEWLDTKPARSVVYVSFGSMAAVSKRQKDELKRGLAASDRPYLWVVRNNNRGDGFDDAGDERGMVVGWCDQVRVLSHPAVGCFVTHCGWNSTLETVACGAPVVAVPQWSDQDTNARLIVRWGIGVRAETDVDRVLDAEELGRCLEMIMGDREEGAAIRANSAAWEAKLRQAIADGGSSGRNLKTFLDQFANDA >SECCE7Rv1G0515800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:841238579:841239073:-1 gene:SECCE7Rv1G0515800 transcript:SECCE7Rv1G0515800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPPGLRPPAPFYPGGYPPPPGWPGYPMPFVPVQGYGAPPGAYPQPGGYPPAGYPQQHGHAPQAGYAVHDKSKKKSSKKGKKGKGGGFGSFLAGAASGAVTVLGAVLAEEEEQSSGKFKWK >SECCE6Rv1G0442900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:819726701:819732083:1 gene:SECCE6Rv1G0442900 transcript:SECCE6Rv1G0442900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRTVLAKMATNWVINLYLAARKLGFNGVLNYHNSCSTKPSTAAASRCTIPSVGESSVVCDFDGGLLRSTALFPYFMLMACKGGSLIRALLLLCCFPLVWIVGEHTDAGVGVMAFVTFVGLRPRDTDLVARAVLPKFYMESLNAHVYDQLWLPARRKVAVTSAPRVMAEWFLKEYMAANVVVGRELQMVEIRYGCYFTGLLCRPGPDMRQQAPRVVLEADGAMADLAVVGTSNPLNHLFIPYCKELYVVNTAESARTAQLPRERYPRPLIFHDGRLAFIPTSSAMLAFFLFLPLGIILSVIRINIGIVLPYRTSFAASAFFGVRFRTSGHRSTEASAKPRGVLFVCTHRTLVDPVMLAVALQKPVSTVTYSLSRLSEILAPIKTVRLTRDRARDAKTISQLLEQGDLAVCPEGTTCREPFLLRFSPLFAELAGDMVPVALDAQVTLLYGTTTSGHKWLDPIAFLANPTPSYRVEFLGRVPWHQTCAGGRTSVEVANWVQQQLGEALGFEFTGLRRRDKYMMLAGNDGVVSN >SECCE3Rv1G0197060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:814838195:814839355:1 gene:SECCE3Rv1G0197060 transcript:SECCE3Rv1G0197060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSLREAFDRVVEKRVLSSAKVQEAIGQIVNEVEQAISKMQMMNTDTMDSCDHSSILAELKAKLNEMVPLIQLEGCQKELNVALSKYLKLLEKSFNPDVAKAYRNVDYDACTVNNIITNHFYRQGLFDLGDSFVHECGESDGTHLKFSFQEMHGILEAMQARNLEPALSWAAKNHDHLLQNSSTLEMKLHSLQFIEILTKRSRDDALQYARTHFVPFASLHTAEIQKLMACLIWADRLDQSPYAEFVSPTHWEKLAEELIHQFCSLLGQSSESPLGVAISAGFQGLPTLLKLSTVMAAKKQEWQAMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDDNPPMLMPCGHAVSKQSIMKLSKSSSRPFKCPYCPSEAVASQCKQLQF >SECCE5Rv1G0305160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:67237542:67238621:-1 gene:SECCE5Rv1G0305160 transcript:SECCE5Rv1G0305160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARQFLNVVMQKYGRGSLYTVSRIKPEEQLFYPSTAEAQAAAKSKPSMESISRMPTARLRLEAYRSDEKRLNFLPFYGRGSGGDRKILCVDAAGHTVLCDAHGLSVQPVPCLNEPKVDSPVSFSITRTSPENPNRAEALYVLDRFVTNRNSFNFEVLMYGKKTWEWVRLPPPPYINNPAYDSTSIQSYTLLGDGSTICISSPQHSLVGTYCFNTISCNWEKAGSWALPLYGRAEHVPELRNLWFGMATDNPHNFCALDLSNLDQAPKLLQDWQDLDPPEDWVQMRCSLLYLGAGRFCITKFFNIGDSDIEDNTTAAVLTGVEVLCGVSGGEVVHGGSSELQMIKHKSFISYDGIRCVL >SECCE3Rv1G0152770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:50127181:50129910:-1 gene:SECCE3Rv1G0152770 transcript:SECCE3Rv1G0152770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGGVALDGRGAVSASRRQEQQQQRHIGTAAHLAAGGFAGVVSKTCTAPLARLTILFQVAGMHSDAAALRKCSIWHEASRIVREEGFGAFWKGNLVTIVHRLPYSAMSFYSYERYKKLLGMVPGLDDPNYVSVVRLLGGGLAGVTAASVTYPLDVVRTRLATQKTTRYYKGIFHTLSTICREESGRGLYKGLGATLLGVGPGIAISFYVYESLRSHWQMARPNDSNAVVSLFSGSLSGIAASTATFPLDLVKRRMQLHGAAGASQLEKSSITGTIRQILQKEGPRGFYRGIVPEYLKVVPSVGIAFMTYEVLKSMLSSIDGEDE >SECCE4Rv1G0252980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:620391553:620393180:1 gene:SECCE4Rv1G0252980 transcript:SECCE4Rv1G0252980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMAEEKKAHAMMFPFPCSGHINPTLKLAELLHSRGVHVTFVNTEHNHERLLRTGGARLAGRDGFRFESVPDGLDDADRAAPDKTVRLYLSLRRSCGAPLVELARRLGDQEGVPPVTCVVLSGLASFVLGVAEELCVPSFVIWGTSAVGFVCTLRLRQLTQRGYTPLKDESYLTNGYLDTPIDWIAGMPTVRLGDISSFVRTVEPNGFGLRVEEEEANSCARAQGLILNTFDELESDVLDALRDEFPRVYTIGPLAAAMHRRVDHGASGLSLWEEDGACMAWLDAQPVAGSVLYVSFGSLAVLSLDQLAEFAWGLAASNRPFLWVVRPGLVAGDRGMDALPADFLAATKGRRFIAEWCAQEQVLRHRAVGGFLTHSGWNSTTESIWAGVPMICAPGFADQYINSRYVCGEWGIGLRLDEQLRREQVVAHIEEVMGGGEEMRRRAAEWKARAEAATAPGGSAYENLDKLVEELRLEVPDGAKLAKVTHAR >SECCE7Rv1G0469250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:107220875:107229338:-1 gene:SECCE7Rv1G0469250 transcript:SECCE7Rv1G0469250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQDAAEDIVIAGAGVAGLAVALGLHSSSAQPCTPINNFVFISHLLESSPVLRTSGFAIAAWTNAFRALDALGVGDDIRSKHLQIQGASVMSSTTGEVAREVDLRVEGKWGPHEARCVQRNVLLQVLEEELPRGTIRYSSKIVSIDDQDRDGDAKILHLADGSTLHAKVLIGCDGINSVVAKWLGLAKPSDSGRRATRGHAKYPDGHGFEPKMLQFSGQGFRAGLVPCNHTDVYWFFTWSPPAPSSPDGMNDDYRDQSPAAMKQFVLVKLRRIKAPPEVLEAVERSEMNDVLVAPLRYRSPMSLLSASISKGNVCVAGDALHPTTPDLAQGACVALEDAVVLARCLGDAIREKERESVQAALQRYARIRRWRSAQVIAASYMVGLVQQSDHAVVRFARDRLLSGMLAKGLLMMPDYDCGTL >SECCE6Rv1G0410060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:594730703:594734085:1 gene:SECCE6Rv1G0410060 transcript:SECCE6Rv1G0410060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALLGGYSSAEDDDPAAGAGAELSDSGDSSAEEAGSASDEESAPPKPAAKPRPRVNPSPGDGDSSLPSALDVFAEISGPPAFLNRRVAQPEEVGEALGVLDRRSNSRERRKPPPPGAVVAAKPQMVAIRERVSSDVKNGGNPPVAVVSAKPQLVAGHERVSSDMKNGANPPDSVDGKRKIGAANPGPEDAAELLRMCLQCGIPKTYSHAQGMVCPVCNDRPVQAKEPEKKKGSAVKDKEKVKRMRGQSSHASWKSETEMALRQQFD >SECCE3Rv1G0164610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:164843241:164844163:1 gene:SECCE3Rv1G0164610 transcript:SECCE3Rv1G0164610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMELSMKPMIDTKSQKVCFVKAGSDFLAGLLPLPLGTALDLLTKERLVGSIGNVLGSMEKLDANYKSKERYLSPAVTPATLPLLQQLLGTRLSNGIVTFYTCEGHNTGYSSGVGTSCGYLSAIRNRACPRCKCYTQRAMTLAAETNGTLAAAAPLTTTPVPVGMYTVGDDLSVTSASFFATISLLGIKDLSALQEKTVKIGKEEALEIFAASLKSKTVLSDVFLPKKNARLKREHPE >SECCE6Rv1G0414930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:637871541:637872653:-1 gene:SECCE6Rv1G0414930 transcript:SECCE6Rv1G0414930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITKALILSILGCLCFCSLVLAARELNDVSSMVARHESWMVQYSRVYKDTTEKAHRFEVFKSNVGFIESFNAENRKFYLGINQFTDLTNEEFKATKSNKGYNPSLERVPTGFRYENVSLDALPETVDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKLKTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESNYPYTAADDKCKSGTNDAATIKSYEDVPANNEGALMQAVASQPVSVAVDGGDMTFQFYKGGVMTGSCGTDLDHGIAAIGYGKTSDGTKYWLLKNSWGTTWGENGYLRMEKDITDKRGMCGLAMEPSYPTA >SECCE3Rv1G0170880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:259078214:259079407:1 gene:SECCE3Rv1G0170880 transcript:SECCE3Rv1G0170880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLPMLIAGASPPPRPFSPWRRRELPVRLSSYKPPRALQNSEGGSRPLGRAWPAVSAALFGAGFLLGPLLDGIHSRVGLQAYGNGALDLGPLHTHILVPPLLGAFYCTVGLLQLFLDERAAPRSKATGSPRDTATSLIVLALFIELSAEMYRAGVPSNVEAYVLFAVAEFVWLFLDSSWLGFALACLVGVACPLAEVPLVKLLECWSYPNADVQLFGTGLMSWTTTCYFVYTPFLVNLARLLKSRLADDNTEGKEE >SECCEUnv1G0539050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74962977:74974306:-1 gene:SECCEUnv1G0539050 transcript:SECCEUnv1G0539050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANFAGHIKELRALIAGSSSSAAAPASAHYEAKLREVLPSLLRDHVPPAPTATEMETKVVLRLLNYTAPRFPGVFSNGRPAEVIRVIGRILPLFADPYYQSIIFEPVWSLLSLLRTGDREAYRQFFLDAMVAVEDLQYVASKHTESGCLLKCFCGSFSDILESPAIFSELPETFQPKNGPGVLIDLSGDMRWCPFATSLIRLINKCLTDGTLHVEGVVTMPFVSAACSILCYGDESLHKVCFDFARIVATVMTVEILPTETIIRSITSILSQDVNELSSIRDPDYDLSMGACLNALHSSCPGYIVESTAADIVNAFTRAVQSSRSSELQAAMCNAYMRIVEVCSPQVWKPEILLKLLYLPKPYDKVTECIRLVVDKFGQSSVSLDASDDRGSFQEKSEVFDLPKVGQKRVAQNQENTLYKRQKMSESRSTIGSFMAKLSPAGIGLEPAKDYAYDLQLSLNSRIKFLSPDNHNVYPVEPDIAIQVLSLLSLSFCVNPRTSLFISISKQVLSWIPWICKQATEKCFFSFDVLLYFKALHTVMLLRSIHPGDSKLFEDEARLIGDRSEDLDYSLYVDLISLLKRVWSDGHVSTQTCLDWNLKCLVVQVIAKIGNRLNIDCDLELLELAIHSESVEVQNEALMSLPIIVLYSGPRMLGVMFKKLELFGDLGSDKLWKCVAFSLGFLSCLNGTTDVTDKAGNSCKLFMDKDPKQPVSTLDLLLKGFWCPHCDNRNVNTKEHISIVDMAVLEAESVDLKHNILKAHRLFFKFLYAQTSKECIISMVEVLPRVLRHSSKEVLLEMKIKWVKCIDFLLLNGMKDVRDAFSLVVCCFLENRVMDILFSDELGMEGGTKELKFMDKIKQAFAEAEDSHVLLTLLESTATIMQGSDTQGEVFFCSFVLLIAQLDNHDPIVRKTASRLLHRCCTYSFKGGIELFLSNNFRVRDELYDYLSSRLLNHPVVISEFAEAVLGIKTEELIRRMVPSVIPKLIVSHPDNDQAVITLHELANHLNTELVPLIVNSLPKVLSFALFYEDGQHLPSVLQFYHTETGSDSKEIFAAALPTLLDEIICFPGESDHIETDRRTTRISPTIQNIARILTGNDALPEFLKNDFVRLLNSIDKKMLHSDDVKLQKQALQRIRKLVEMMGPYLSTHAPKIMVLLIFATDKEALQMDGLDVLHFFIKQLAEVSSTSIKYVMSQVVAAFIPFLEKCRECPSVHLRKIVEILEELVVKNSKLLKQHIRELPLLPSLPSLSEVNKVIQEARGSMTLQDHLKDAVDGLNHESLNVRYMVACELSKLFKAKREDVTALIIGEDISDLDVISALIMALLKGCAEESRTMVGQRLKLVCADCLGALGAVDPAKFKVISCERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLAGCQSLPKEDNGEDSSSCEMSRRGQKLWGRFSSYVKEIIAPCLTSRFHLPGVNDAALLGPIYRPTMSFRRWIYYWIRKLTSHATGSRYGIFSACRGIVRHDMPTALYLLPYLVLNAVCYGTPEARQSITDEILSVLNAAASESSGAIVHGITGGQSEVCVQAIFTLLDNLGQWVDDLKQEIALSQSNNAMAGRQAGKLNDESSSNNGQDQLLVQCSNVAELLAAIPKVTLAKTSFRCQAHARALAYFESHVREKSGSSNPAAECSGTFSDDDISFLMEIYGGLDEPDGLLGLANLRNSSSLQDQLIINEKAGNWAEVLTLCEHALQMEPDSVHRHCDVLNCSLNMCHLQAMIAHVDGLVGRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLPEADKGLVYSSTENSASFDIGLAKIFKAMTAKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLCELEDFNSLLGDESFLDKSFSADDPSFLKLTKDWDNRLKCTQSSLWAREPLLAFRRMVYNLSHMNSQVGNCWLQYAKLCRLAGHYETAHRAILEADASGAPNVHMEKAKHLWNIRKSDSAIAELQQTLLNMPAEVLGNAVLSSLSSLSLALPNAPISATQASKENPDVSKTLLLYTRWIHNTGQKQSEEIRSLYSRVTELRPKWEKGFFCMAKFLDDLLVDARKRQEDKKFTGGVGSVTPGSAGSASAPAKERPWWELLPTVLLCYAKGLHKGHKNLFQALPRLLTIWFEFGNIYIREGPSEEMKVIHDRTLAVVRGCSKDLPTYQWLTVLSQLISRICHQNGELVRVVRYIIQVVLQAYPQQALWMMAAVSKSTVSARRDAAAQILKLAKKGVGKRSDHVALFNQFPSLIEHLIKLCFHPGQPKARSINISTEFSSLKRMMPLGIILPVQQALTVTLPSYDSNMSGQSTFHPFSISEHPTIAGIADDAEILSSLQKPKKVVFLGSDGLARPFLCKPKDDLRKDARMMEFNAVINRLLSKVPESRRRKLYIRTFAVVPLTEDCGLVEWVPNTRGLRHILQDIYITCGKYDRMKTNSQMKRIYDVCHASKIPEDEMMKTKILPLFPPVFHKWFLTTFSEPAAWFRARVAYAHTAAVWSMVGHIVGLGDRHGENILIDATTGDCVHVDFSCLFDKGLQLEKPEVVPFRLTQNMIDGLGIAGYEGVFLKVCEITLSVLRGHKEALMTVLETFIHDPLVEWTKAHKSSGGEVRNPQAQRAIANITARLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHKNLGKMYIWWMPWF >SECCE6Rv1G0402910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:491421548:491422771:1 gene:SECCE6Rv1G0402910 transcript:SECCE6Rv1G0402910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVPLALGLDTVGLQVPWYFRCPISLELMQDPVTVATGQTYDRASIESWVATGNTTCPVTRAPLADFTLIPNHTLRRLIQEWCVAHRSLGVERIPTPKQPADPDLIRSLIAQGPGLPVLRKLRALARESDKNRIVMATHETRAALVEMAFGGSSEEAQAEAMAVLALVGMGEAEAVDVVGREERVTRLGKVLGSQGTTLEAKVNAGAVVEAAAAVSGAEARVLLGSADGVIEGLVALVDEKANARAVRVGIRGLFALCLAKENRQHAVSAGAASALARRVAEGGCAGELERALAAVERLSRTEGGREAVVSGAGGGAAVVIALVRAMSGRAAEHAAGALVAVVGGSEVLQLEAVRAGAMSQLLMMVQGGCSERAKRKAQHLLKLLRSAWPTTDSMANSDDFLQPY >SECCE4Rv1G0251760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:607687480:607689911:-1 gene:SECCE4Rv1G0251760 transcript:SECCE4Rv1G0251760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDIQEEEAPPAQQQAPPPAAVDVDLEVLEAVLERKGGALPFLHAAIDVAHRSSDLFRDPSAVGKITAMASACRAQVEAEERKARDAKRKAAELEKAAAAEKERVVKAAAEKEKRESSVVTEERGAGTPAEKDDSTEVEKEEGKANKPNAGNGLDMEKYSWTQQLPEVNVNVPVPEGTKSRFVVCEIKKDHLKVGLKGQPPIIDGELHKPVKVDDCFWSIEDGKALSILLTKHNQMEWWKSVIKGDPEVDTQKVEPETSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQDMLKKFMSQHPEMDFSRAKIA >SECCE5Rv1G0353800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720029389:720036738:1 gene:SECCE5Rv1G0353800 transcript:SECCE5Rv1G0353800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADELLRKIRELEEGQAQLAREMGKPGPSRRRRPPSPAFPPRHPSSWRRAVAALQDPSPRPLRDNRARLSDRHCHWILQSLGQAVHIIAPDGKLLYWNRYAEHMYGYSASEAIGQDAVELIVHPTDFDAANVVIQNIFMGKCFRGKFPVKNKTGERFFIVVHNTPLYDDDGSLIGLICVSLDVRTLQEIFSPSPTEKSYQSSSKPHFHVNNRPKSGSQNKDSFDSQQPLQSAITSKITTFATKLTSRVRSRIRTGQNCERRRASGCEDQYSEHDVRADLTSSEASTPIEDVRHGVFVAEEKSPGELSKTSTDDSGEGKVGFHKVFSSKAEALLAKKGISWPRKGRENDGGSGKSNIPLTHLHDKQEIDQSHQRVPVVQPIIIPDCQDTEYAQSSKHEFSGSWWTFNNTSSISSTSTIGSPIERVDYEADCLDYEILWEDLVIGEQVGHGSCGTVYHALWYGSDVAVKLFSKQEYSEEMINTFRQEVSLMKKLRHPSIILFMGAVASQDRLCIVTEFLPRGSLFQLLQKDTGKLDPRRKLNMAIDIARGMNYLHNSIPTIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKGGKGTPQWMAPEVLRSEPSNEKSDVYSYGVVLWELVTHKIPWDTLNPMQIIAAVGFMDHRLEIPSNTDPQWASIIVSCWDSDPQRRPSFQELLERLQDLQKQYAVQARTRREAAGKGAGKMNAEDC >SECCEUnv1G0569830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:451141549:451142391:-1 gene:SECCEUnv1G0569830 transcript:SECCEUnv1G0569830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRDWWALDCRHGRALLNGLASGTLLVWDLMTGSKCYVPLPMQVCDGQLRCSGAVLCAAGHANYDHDCHSHPFLVAFLFSDYRDSITSAWLYSSETGVWGEITSIHVPNSYVKGEQTLLVGNTLYCPLNDDGIIGFDLDKNTLDLAAVVPYIHAQSHIIIIPADDGLVGFARVDGSSLRFWSSVVKIDGGLSWTHRRAIDLEKLLPPEILEACMVPVAPVAYAEDAHVIFIYVNHSMCMYMIHLKSMRVEEVSRKGVYRSVLPYTTFYTPGISIVSSIA >SECCE2Rv1G0133170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895090230:895090532:1 gene:SECCE2Rv1G0133170 transcript:SECCE2Rv1G0133170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGKATASSWAAAMSVGTGTGTGTVEALKKRHAGLCRWNYHALPCVQQRAGSAAAGNSKSMTAPAAGGAAARRRKARKEQEEELRTVMYLSNWGPNN >SECCE4Rv1G0265640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726794911:726799897:-1 gene:SECCE4Rv1G0265640 transcript:SECCE4Rv1G0265640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMGHVAVLAAVLAVAATVSASPEVGVQPLSKIAIHKATVELQGSAYVRASALLLGNGDQEGDTATVTVEFGWDNPAIDDWMAVFSPSDFMSGSCPNPRRYPTEPLLCTAPIKYQYANFSANYLYWGRGTIQFQLINQRSDFAFALFTGGLENPRLVGLTKLSPFKNPKAPVFPRLAQGKTHDEMAVTWTSGYNVGEAYPFVEWGMVTSGSGAGNPTRTPAGTLTFNRGSMCGAPARTIGWRDPGFIHTAFMRGLWPNKEYFYKIGHELPDGTVVWGKPYTFRAPPTPGQSSLQRVIIFGDMGKAERDGSNEYANYQPGSLNTTDALVKDLDNFDMVFHIGDLPYANGYISQWDQFTAQVAPISARKPYMIASGNHERDWPNTGSFFDVEDSGGECGVLAETMYYYPAENRANFWYKVDYGMFRFCVADSEHDWREGTPQYKFIEECLSTVDRKHQPWLIFAAHRVLGYSSNKWYAEQGSFEEPEGRESLQKLWQRYRVDLAFFGHVHNYERTCPLYQNQCVSNERSHYSGTMNGTIFVVAGGGGSHLDGYTSAIPKWSVFRDRDYGFTKLTAFNHSSLLFEYKRSSDGMVYDNFTIHRDYRDVLGCVHDSCFPTTLAT >SECCE7Rv1G0521590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874324844:874326226:-1 gene:SECCE7Rv1G0521590 transcript:SECCE7Rv1G0521590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSLGAILQRFPLLAPRPASRRAPTTRRAVANKISCIGWDPEGILAPPQPGHISRLEFRRRLDSDADARAAFDLQVKEEQERRRKDREARVIPETDAGLVEFFLDTDAREIEIEIGRLRPRLNKAFFDHIQREIAQIKFAVTRTAANEDRLIELEAMQKVIGEGVEAYDKLQNDLVTAKERLTNILQSKDRKKTLLDMVERNELNMSILTLLDENIASAKTSNQEEAVAFMEDVRSSMLKYITV >SECCE2Rv1G0133130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894985384:894985641:1 gene:SECCE2Rv1G0133130 transcript:SECCE2Rv1G0133130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAKASWMVATSVGAVEALKDQAGLCRWNYALRSIHRAAKANVRGGASQGTKQLPTAVAERRPAEKAEEGLRTVMYLSCWGPN >SECCE6Rv1G0409350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:586460251:586461456:1 gene:SECCE6Rv1G0409350 transcript:SECCE6Rv1G0409350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAGDAGGGRRPNFPLQLLEKKEEQPCSSSAAGGPSAGGGNGAAAGGSAGGEMQVRKAVPKRTSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSIPAHLRGALPSPGVRFGSRADAWDRVVGLGYPPEGPASSSSTPSPLLLNFHSGSVGLDVQPSPSAAAAAAAADLSRKRRWEQEMQQQQQQQQQQQYQQQMAGYTQSQMPGTVWMVPSNNTQGGGAPSGGGNGGGGGGSGESIWTFPQMGSAGASAAVYRGSVPSGLHFMNFPAPMALLPGQQLGLGPVGGSGGGGGGGEGQMGILAALNAYRTQAAEAAAGQGGGGGGGSSSQQQQHGGGGGGGGERHESMSTSES >SECCE6Rv1G0450070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:865888102:865892483:1 gene:SECCE6Rv1G0450070 transcript:SECCE6Rv1G0450070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEVTGHLPKSESNKPNTMTTQASKRRRSVYISSGSEDSGTDSEVEGSKRSQKSGTTSISSSEHRPSSNKKAGSMNASKTRVCRNILGKLMDHPGGWLFHKPVDPDLFGIPDYFDVIRNPMDLGTVKKKLTNRNYLSTDQFAADVRLTFSNAMTYNPPGNQVHTVAEQLNIMFNSEWTSYERKWSDRNLKPVQLPMKVIKAHVAVNPKPVVSRGLVECSNSSAKKTLTNAISSKVKIKFCVRGSGQALSKETPLQAAGSKEGSVNHSIPCTKESANTAKVQSSEHRVQSIGNESRSCSDTSTSPLSSYGQGGGSYLHAEPLSPTKALRAAMLKKRFAGTIVKAQQKALLDHGQQIDPAKLQLERERLEKRQQEEKERIEAQVKAAEAAAQFKLDEELRKKREREREAARLALHMMKKTVDIDNSDFLKDLENISKKWELNPPAKLIVDFVDGMELPPGLGSPLERLGLFMKRDMEEEVEDEMEDSVSPPSVDIDSVSPPSVDVSSILPPAVDMDIDEEGEMGCCG >SECCE2Rv1G0133890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898745717:898748153:-1 gene:SECCE2Rv1G0133890 transcript:SECCE2Rv1G0133890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHQLMHAAPAMYNGGGAGAVSHGMWWNSNATAAVPAAACSTELAGFNTWPAGLAAGGYDVAADGGKQAKSCTTTASSESPGNNSSITFQETASINDPAAAGFADWNNPYMSSGAGNMHGFLQVGHHDMSSRPDQHSMMNAVAAAPNNLDLALQGHHHHHQQQQQDDHQRQQQLLSSLGAPELLLSPNSPYGFQSSLLRSLIEPTGKPAPAGGLLQQYQYQQMGGQAGARGEPLQFTNDAAFWNQSAGFDMGMAAPPATDNTSVRAAKQPSPAPRGANLALKTVSEGVGDSSSIVTKKASSEPAFKKPRMETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGVLSAPYLKTGHHQHQVPQYLKSSSNGSPDKSCKDGGEVSLKGRGLCLVPISSTFAVASDVPVDFWNPFGPQFR >SECCE1Rv1G0059340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:705367849:705369178:-1 gene:SECCE1Rv1G0059340 transcript:SECCE1Rv1G0059340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEQWRLVGPTTATAAARWKELHGERSWEGLLRPLDLELRRTVIWYGEMAQATYDAFNHERHSPHAGLSRFGRRRFFERVMLPGHAAAYRITRFLYATSSAHAAKAVAFVKGRHPRSRCRESNWIGYVAVATDVGKAALGRRDVVVAWRGTIQALEWTNDLEFAMVHPKGILGDATGADAMVHRGWLSIYTSTDPASTHNKDSAREQVLAEVRKLVDTYKDEEMSITVTGHSLGAALATLSAFDIAENGYNCTPTAAFPVTAFAFASPRVGGAGFKKRFSTAAAAGLRVLRVRNARDVVPRYPGLPYHDVGAELSIDTNASPYLKAPGDEHVWHNLECYLHMVAGAPTASGEGFEHVVERDVALVNKSYDALKDEHGVPAAWWVPWNRGMVKGDDGRWRLVDCEDEDDREDAVVPPANK >SECCE4Rv1G0274810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:788288133:788289224:1 gene:SECCE4Rv1G0274810 transcript:SECCE4Rv1G0274810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNINRSSNAPISVHRVMIGPEGWADLPHGLLHSIIVLLGSTRELLAFITTCPSWYAAFMSIKSTLGKLFPPVIFRNCADQTSSAGSNIGNTWELIDPVYPSTLLCRLTPPSILDKMAVIKCSYGHAIFCYDRSLVIMDVLTCTTVAAPPFPLNQLCYKTFISPEASPDSYLFVSSPHCLYAWPVGSPSWLHCDFLNAHLIKEMVSFKGQIIMRIRQKLYTVHLTPQFHVEVLRVDCRDYMDPYVLSGNLVACEDTLLLLGRNGEAFSIDFSAEPAKYVTVEEGGLKKWAFFFGEKRIGHPRYLVNPERMGLRGGLVYQLDENARVFSYPVDGNQNEELEPEPCFATINAHLARNPTSFAAWV >SECCE2Rv1G0131950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887792489:887795791:1 gene:SECCE2Rv1G0131950 transcript:SECCE2Rv1G0131950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRPMPRAAWAGRRKPQGFPPATVPAVRLAQNPARRPLVLRSDAGSRSTDPIRGASLKGLCCHKSTGTEKVHYSADEALVLKQKAEDVLPYLNDRCVYLVGMMGSGKTTVGKIIAEVLGYSFFDSDKLVEQSVGIPSVAEIFQVHSEAFFRDNESEVLRDLSSMHRLIVATGGGAVIRPINWSYMKKGLTIWLDVPLDALARRIVAVGTASRPLLHQESGDPYAKAYAKLTALFEQRMDSYANADARVSLENIAFKQGHNDVNVLTPSAIAIEALLKMESFLTENAMVRN >SECCEUnv1G0538450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72820565:72820870:-1 gene:SECCEUnv1G0538450 transcript:SECCEUnv1G0538450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVESSSPPSLRHKLRTTFCGCFGSPSSPGSGGESSQGGGMARWRRRVPAAGEFRYDALNFDHGGNDDGTEAEDEAFPYRNFNSRLPSSPVPASRAVAIA >SECCE2Rv1G0083430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:166325814:166327367:1 gene:SECCE2Rv1G0083430 transcript:SECCE2Rv1G0083430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPRPLPPALTPPMAPLPVRPPIAPVPVPPRTPASASTSAAAGGGDDDEVDYEVSDDHRAARERHERAVRELLQRRRAYAMAVPTNDSAVRARLRRLGEPITLFGEGAMERRDRLRALMARLESEGQFDRLLRAQEDEQGAPADDDDEDTEQIKYPFFTEGTNQLLQARVDIAMYSLPRAKARVERAKRRLADPDEDPEAEAGLVVKQAGDFVLERSEIGDDRPLTGCSFSRDASMLATSSWSGIVKVWSMPQVTRIATLKGHSERATDVAFSPVDNCLLATASADKTAKLWNSDGSLLMSFDGHLDRLARLAFHPSGRYLGTASFDKTWRLWDINTGTELLLQEGHSRSVYGVSFHPDGSLAASCGFDASARVWDLRSGRLYCTLIGHVKPVLGVSFSPNGHMVATASEDNFCRIWDLRTRQMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTKAALWSTRDYKPIKSLSGHESNVTSLDISGDGQQIATVSLDRTIKIWSCRGSARDNEMELD >SECCE3Rv1G0172960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:310980733:310984582:-1 gene:SECCE3Rv1G0172960 transcript:SECCE3Rv1G0172960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGLGGFQWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVGRAQRWPLSRTLLVTAFGAVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIFLGAGYILLFALGKGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMLILAIILKISLWWCDYIKTTITVMTSLVALSFYGASQIKFHWVERYDKVLVGSVLCLVGILTYVFHHHDGDDHSLHAHVHRKLVGP >SECCE3Rv1G0154290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59569787:59572024:1 gene:SECCE3Rv1G0154290 transcript:SECCE3Rv1G0154290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKKGLSSTLRNLKFMQRAAVAQKIEDKADVEVEAAAAEVVMTPAANGGGVGSSVQVARKCVVVMEGNPLPGAVKGRMSFQNFNPSIDKLNDEASGRPTQSASPSNSQQDSANTSRVDDISASRFRSFNVDSSESISLNELKRKEPELEMETPPSRKLPKTTGQNVDGQPSSQSNGRGSGKPNKHEKLDFNLLRKRKSK >SECCE4Rv1G0253460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:626964712:626966859:1 gene:SECCE4Rv1G0253460 transcript:SECCE4Rv1G0253460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAKIPAVLWLLGAVLALGVSFSPAQGAKTRYHDFFIKESNYTRLCKERTVLTVNGQFPGPTIYARKGDLVIVNVYNQGNKNITIHWHGVDQPRNPWSDGPEYITQCPIRPGGNFTYRVILSKEEGTLWWHAHSDFDRTTVHGVIVIHPKLGTTFPFKKPHKEIPVILGEWWNADVNHLLQEAVRTGGEINISDANTINGQPGDLFPCSKAGTYKIPVQHGKTYMLRIINAGLSNDLFFGVAGHNLTVVGTDGHYTKPFAVEHIMIAPGQTMDALLEANRAGGGRYYMAARTFVSNPNIEVNNSTATAIVEYMDDAPGRTAPPEFPASLPGVNDIDSATAYTAQLRSLGSKDHPVDVPRKVDERMLITIAVNVLPCAPNETCGGPAGNRLAASLNNVSFANPSVDILGAYYRSVRSVFETDFPNKPPFFFNFTDVDNDPVERWATKRGTKVKVVEYGAVVEVVFQDTSILGAENHPIHLHGFTFYVVGRGFGNFDEQKDPATYNLVDPPHQNTVSVPKAGWAAIRFRAANPGVWFMHCHFDRHVVWGMDTVFIVKDGKAPEAKMMPPPPNMPTC >SECCE7Rv1G0517090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852139507:852143506:-1 gene:SECCE7Rv1G0517090 transcript:SECCE7Rv1G0517090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGGAAGTSNGGSAADGDAARRNNTRMPKYSKFTQQELPACKPILTPKWVVSVFFLVGVIFVPVGVVSLLAAQDVVEIIDRYDHACVPPNMTDNKLAYIQNETIPKECTRILTITKEMKQPIYVYYQLDNFYQNHRRYVKSRNDAQLRDSKKSNTTTSCDPERFTADGKPIVPCGLIAWSLFNDTYSFTRGKDNLTVDKKDISWKSDREHKFAKNVYPSNFQNGALIGGKKLNSSIPLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKANDTITVRLSNNYNTYSFGGKKKLILSTATWLGGKNDFLGFAYLIVGGLCIFLAFAFTLLYLIKPRKLGDHNYLSWNRHPAGR >SECCE5Rv1G0351270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:697684969:697688606:-1 gene:SECCE5Rv1G0351270 transcript:SECCE5Rv1G0351270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGDLHEVKFKRTGSLGSSDYVRADKIDLTSLDIQLEQQLNKKWGKSNIKPQGPKADWEIDLAKLEIRHVIAQGTYGTVYRGTYDGQQVAVKLLDWGEDGFATEAETTALRTSFKQEVAVWHKLSHPNVTRFIGASMGTTDLKIPVNDNGARANLPARACCVVVEYLAGGTLKQYLIKNRRRKLAYKVVVQLALDLSRGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDIPRCCPSAFANIMRKCWDGNPDKRPDMDEVVQLMEALDTSKGGGMIPDGQSSGCLCFTRARGP >SECCE4Rv1G0218770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:24462101:24465663:-1 gene:SECCE4Rv1G0218770 transcript:SECCE4Rv1G0218770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRVLLLLALVAACHGVSAAGAGATPRRANWLGGLSRASFPKGFVFGTATSAYQVEGMANAGGRGPSIWDAFAHVPGNIAGNQNADVTTDQYHRYKEDVNLMKGLNFDAYRFSISWSRIFPDGDGKVNHEGVAYYNNLINYLLQKGITPYINLYHYDLPLALEKKYGGWLNAKTVGLFADYADFCFKTFGDRVKHWFTFNEPRIVALLGYDVGSNPPQRCSKCAAGGNSATEPYIVAHNFLLAHGYAVARYRTKYQAAQKGKVGIVLDFNWYEALTNSTDDQAAAQRARDFHVGWFVDPLINGHYPQIMQDLVKERLPRFTPSEAKLVKGSADYIGINQYTASLMKGQKLLQQTPTSYSADWQVTYAFERNGKPIGPKANSNWLYIVPSGMYGCVHYLSQKYGNPPIVITENGMDQPGGLTRDQYLRDATRVRFYRSYLGELKKAIDGGANVLGYFAWSLLDNFEWISGYSSKFGIVYVDFNSPTLDRHPKASAYWFRDLLQH >SECCE7Rv1G0494120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:578993724:578994017:1 gene:SECCE7Rv1G0494120 transcript:SECCE7Rv1G0494120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKEDIKHATAQARLTVDDELRTGYFNGSPLEGGKIADSDPVDLFAQARHVADASPQQQQRRGLEEEEGKKTGSEEPRAQARQGMGAGGRQLGRQ >SECCE5Rv1G0326780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:486303775:486306165:-1 gene:SECCE5Rv1G0326780 transcript:SECCE5Rv1G0326780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDSPDPMVAASLRRTPSRDDSDNATDHSVEDFGHDGAAKGGSSAVVQSLQDVQEEPPTPSHDGSEEAGDMEDALYEKLKDAIMEAGSLRHEAYEETRRRQKAERDLGEASRMARDAKSSYHGEARRRKEMEQSLARERAAMEQERRELDAILAKIREVDERSAELELQVTDSGRVMSELDVRMSESCSVLDALRRERLREGPAADEESMPAVAGGDQSVSFLRLGLSELEEATDHFDESAVIGGARAGSRGRVYRGSLRSMSVAVKMIFPEIAVDEARFARTVDAIARARHPNIVTLVGACSEARAVVHELVPGGSLEDRLGGEAPPVPWHARCGIAYRTCSALAYLHSTATVHGDLAGLGMPGLVVSPQLPGGVALAYVDPRYLATGELTPQCDVHALGLGVVLLRLVTGMPAFAAKKVAQKAADGSTPWHEVVDASAGGWPLERATEVALLGLKCCDADETGGPRRPTELLDEVLSVLEAATDATPRRTWSSLSASTVSDSGGAPSYFLCPILKEVMRDPQIAGDGFTYEAEAMMEWLGSGHDTSPMTNLKLPTDELVKVKVIVRMIEYFIDLRITYLYKDLGRIE >SECCE6Rv1G0435690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772601657:772602229:1 gene:SECCE6Rv1G0435690 transcript:SECCE6Rv1G0435690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGISSSMLGCALLLLVLSSATDVHGGPSSTAASLSPLDDLCRSLGSWYVTPSLCVSTLCVDPSCRSARGLPDLAVLATRLAVANATVAKASIESALSHAKDAKARKVMRSCLQLYVSGVVPPLQGAARSVAAGQYSGVSEKLKAAMDASSGCTRLAGHEVTLPKEDQDCYMMAYVVQAVVEWVQHVIG >SECCE1Rv1G0033890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:472535565:472538933:-1 gene:SECCE1Rv1G0033890 transcript:SECCE1Rv1G0033890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRLSPEAAQKNEDLVPKDRRFNIAAYISSGADVIAAALRKHVEQTQELSGEDLPSVMDRLYEQIPSLLQSNDATENLLSLHAIDALIDLPLGGASKVSKLASVLKNVFEVKHGPEILVPPSTILGHLANAGGALTTHEVERQMITTALGWLGGDRVEYCRFAAVLILKEMAENAFTVFKNYIPEFVDAILIALSDPKLVIRERAAEAVHALAHSNMEAAAADCATKKAKLEGIDLISHLPDETLGTIISFLRTDDAVRTSAISRRWRHLWRSAPLNLDTAHIRGFCPQRIEVVTEILSVHQGPILRLHLHSLYSADLDGWFRSPALANLQEFDIWVPKFDDPLPLSVLRFAPTLRVARFAHCSFFEDEEAPVFDFPQLKTLVLGSLSVYDDTLRSILSGCPVLENLLVDNCNGFSRLVINSATLRNIGVCDDHYMEEIVIEDAPCLKRFVRSNLYRTTPVIRVIRAPKLEILGSLTDNFDQLKLGTTISQEMVDGNLKMLMHSVKVLHITSSGPNLDALVVFLKVFPCLEKLYIMSSLQMDMQNMHHHEPTDLIESLDHIRYVELKCYTGKKPDVDFAKFFVLNAKVLELMKFVVEGRCTQEWRTNQYKCLQFDSRASRNALFDFRSYSGGAHPTGCIQRGNNHNDHVLSMADPFDSSSCRHCRQAWPG >SECCE6Rv1G0410350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:597273876:597274307:1 gene:SECCE6Rv1G0410350 transcript:SECCE6Rv1G0410350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRQSSSTMHPLEDDDLLQKILLRLPPQPSSLPRASLVCTRWHRILSDPKFPRRFRKHHQKPRLLGFFSLVPLGSRTFTPILDRPDCIPATRFSLPQGHDLPQDQWHYMGCRHGLTVVINAWQCQIVMWNPLTGQLRRVTFP >SECCE5Rv1G0339090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:605004260:605004859:1 gene:SECCE5Rv1G0339090 transcript:SECCE5Rv1G0339090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVPYADGAGGSLPHSVPLLSGNNYTAWAIKVEAKLDAAGLWEAVGVPEDAVAVVIAEKDKPTRAYLLGALTEDLLLQVVSKKTAAEVWVSLKARFIRADRVRVVRLGTLRGEFEMLRMVEGDTLDGFAGKLGGMAESYKGLESMLDDTAMVKKLLDSVPDRLYAAVDGIEQFCDVSTMPFEDALGRLKPFDERLRRC >SECCE6Rv1G0440560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:805013499:805014539:1 gene:SECCE6Rv1G0440560 transcript:SECCE6Rv1G0440560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTPGNNSLALAAPRPGMELGNVQQHPNQAFGFGPGGKQRSSSLEAPIMLLTGHQSAVYCMKFNPAGTVIASGSHDKDIFLWYVHGECKNYMVLRGHKNAILDLHWTTDGSQIISASPDKTLRVWDVETGKQVKKMAEHSSFVNSCCPSRKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKFQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTESLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTFTGHQHNFEKTLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPIIGSCGSDKQIYLGEL >SECCE5Rv1G0323660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:438661784:438663567:-1 gene:SECCE5Rv1G0323660 transcript:SECCE5Rv1G0323660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPPGGSLNRKNCPNEPTSIPNPTNFGHPQFPQHMQLSQPPYSMNIPFHQFPQHHLYTPNVQYVVVQPQYAPYSLPPRLPPPPPALVMPSTSASDSGTPRMETRQEEQDIDIVNDETAEPDRPAKRLHWTEQEDIRLIRIWLNSPKIKKYDMYWADVIAAYNSNTPKDRRRESAHLRCHWHKMIPKIARFDDCWCQVKAKYPSDLSDNMQLMDKTWVMFNVEARAMYLEEVKRRFAYNHCWKIVWDQPKWKSYILSLSSRRTKLSESGDYTSSSEDTEDDPEKETSEEGSITAKEKYEGKGEVEKDIQCSLDLQNMLKTNPEEMTGVQLLHADQNLEPSRIEPPERKDNEALIPEKQPELLMTAASWCNEFLPGSVLSAGNSKFSELQHGVVLREDEPEKGTLKQGYKALDHDRATAMENLPEKDDTQVFKKPDHGIAVRENVPECKTGAQSCKATNLKRKRKGKALPPCSSEVQEDIKRAVDLQTMLMKDREKMSEVQLRLSKEKLEFAKLKQQEAKDKKETKLYEKYSELLMADTSRFSDFQKAEYEKAVKRMGETLFGRDDS >SECCE6Rv1G0437420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:783562361:783562936:-1 gene:SECCE6Rv1G0437420 transcript:SECCE6Rv1G0437420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIYILPDWEGSAHDGRVLRDAISRPNGLRVPEGQYYLVDAGYTNAEGFLAPYRGQRYHLGGWTPQNPPRSAEEYLNMRHARARNIVERCFGRLKGRWGILRSPSFFPMKTQCRIIMACALLHNLILQKMYVDPLDINDPPITQETLEDMEGELDQPEFITSISTSNEWTNFRNDLAQGMYNRHRAARAH >SECCE4Rv1G0245380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:528499450:528499803:1 gene:SECCE4Rv1G0245380 transcript:SECCE4Rv1G0245380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSCSSLRLCLPILVLVVGHAVSTMVAAAAEAPGHRGRPVAPDCWKTVMETPVPCARDIIQTLVRGVPHLSKECCVVLGQVGEKCVVDVFSRLHLGAAYLPVVNRICGLLPGVVA >SECCE5Rv1G0300540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27024316:27025405:1 gene:SECCE5Rv1G0300540 transcript:SECCE5Rv1G0300540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGNNLYGGINSSAETVTRPSHCDAISQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDMIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKKGRSDGSEVQFDDNAIVIVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >SECCE4Rv1G0265630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726784993:726791888:-1 gene:SECCE4Rv1G0265630 transcript:SECCE4Rv1G0265630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAHVVVLAAVLAMAATASASPEEEGVQPLSKIAIHKATIELDSSAYVRATLLLSDGVEEGDTTWVTMEYSHGKPSADDWVGVFSPADFIQGSCPNPRRYPTEPLLCTAPIKYQYANFSANYLYRGKGAIKFSVINQRSDFSFALFSGGLENPKLLSMSKLSPFKNPKAPVFPRLAQGKTHDEMAVTWTSGYEVGEAYPFVEWGMVAPGGGVGSPTRTPAGTLTFNRGSMCGEPARSIGWRDPGFIHTAFMRDLWPNKEYSYKIGHELSDGTVVWGKHYTFRAPPTPGQSSLQRIIIFGDMGKAERDGSNEYANYQPGSLNTTDTLIKDLDNYDMVFHIGDLPYANGYISQWDQFTAQVAPISARKPYMIASGNHERDWPNTGGFFDVKSSGGECGVLAETMYYYPAENRANFWYKVDYGMFRFCVADSEHDWREGTPQYKFIEECLSTVDRKHQPWLIFTAHRVLGYSSNTKYAAQGSFEEPEGRESLQKLWQRYRVDLAIFGHVHNYERTCPLYQNQCTSNERSHYSGTMNGTIFVVAGGGGSHLSSYTTAIPKWSVFRDQDYGFTKLTAFNHSSLLFEYKRSNDGKVYDNFTIHRDYRDVLGCVHDSCFPTTLAT >SECCE2Rv1G0095700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:422189338:422191359:-1 gene:SECCE2Rv1G0095700 transcript:SECCE2Rv1G0095700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDESSPSSQLSSPSSLSSDSIDLNFLPFLRREPKSEPASPEHDPLPPPLPLPPQATAAVPAAFAAPTTPDLSSPEVLTPLQSLPPNPDEDALFAEYCRLASLYLLSAGTGAIVPAPTPEAAAPAAVQAGSGSAAKKRRPRSSELVRVSSLSLRDQIYFRDLVRRARITFESLRGLLLKDDERAEALGLAGVIGLGSVDRRRVRADLRAAALMADRDLWLNRDRRIVGPIPGIAVGDAFFFRMELCVLGLHGQVQAGIDYLSAGQSASGEPIATSIIVSGGYEDDDDRGDVLVYTGHGGRDPNLHKHCVDQKLEGGNLALERSMAYGIEIRVIRAIKSKRSPVGKVYFYDGLYKVVDYWLDRGKAGFGVYKYKMIRIEGQEPMGSVNYRAAEHLKVDALSMRPTGYLSFDISMGREIMPVALYNDVDDDRDPLLFEYLARPIFPSSAVQGKFAEGGGGCGCIENCSIGCYCAERNGGEFAYDKAGVLLRGKPLLYECGPYCQCPPSCPNRVSQKGLKNRLEVFRSRETGWGVRSLDLIKSGTFICEFSGIVLTHQQSEIVAASGDCLVHPNRFPSRWLDWGDISDVYPEYVAPNHPATTDLNFSIDVSRARNVACYISHSCSPNVFIQFVLFDHYNVSYPHLMIFALENIPPLRELSIDYGMIDEWVGKLTM >SECCE3Rv1G0200540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:854475059:854483984:1 gene:SECCE3Rv1G0200540 transcript:SECCE3Rv1G0200540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MGCNSETSVAGEGSGSGDLRRRRGGRDGAGSSSSSFAEGTREFVLSSMDERFSGSVDADGFPSSRREGFGHSKSTTATSSRFRGQDHAFVRSYSDRLLKCDLTLDMLSENEKMKIVEKLVKIQKDGTLEVDVTRSALVASELSEIDAFGSVHRDVEEVKSGFSKSVPKLKIAILVVGTRGDVQPFIALAKRLQEFGHHVRLASHVNFRTFVKSAGVDFYPLGGDPRIMAQYMTKNKGFLMAAPTEISVQRKQVKEIIFSLLPACTEPDLDTGIPFRAQAIIANPPALGHLHIAEALGVPLHIFFTFPWTPTNEFPHPLARTPQSATYRLSYLIVDLIIWWGTRGFINDFRKKLNLPPIAYFSTYHGSISHLPTGYMWSPHLMPKPNDWGSLVDVVGYCFLNLGTKYQPPPELSQWLEQGSKPIYIGFGSMPLDDEKKVTTIILDALRETGQRGIISRGWGDLGSFSEVPVDVFILEDCPHDWLFPRCTAVVHHGGAGTTAAGLIAGCPTTVVPFFGDQFFWGEIVHARGVGPAPIRVTELTTEALSDAIRFMLDPEVKSRSMELAIAIGNEDGVAAAVDSFHRHLPSELPLITPPPAPVEEERLDLLQLLSRYLEKCCLPFNS >SECCE5Rv1G0337290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:590691789:590698378:1 gene:SECCE5Rv1G0337290 transcript:SECCE5Rv1G0337290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGQSMPKTLERYQKCSYGGPDTAIQNKENELVQSSRNEYLKLKARVENLQRTQRNLLGEDLGSLGIKDLEQLEKQLDSSLRHIRSTRTQHMLDQLTDLQRKEQMLCEANKCLRRKLEESSQQMQGQMWEQHAANLLGYDQLRQSPHQQQAPHHGGNGFFHPLDPTTEPTLQIGYTQEQINQACVAASFMPTWLP >SECCE4Rv1G0243110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:496527834:496529340:1 gene:SECCE4Rv1G0243110 transcript:SECCE4Rv1G0243110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSAWRRAQDGSVTTGEAVVDLDRSPIAGGGGGGIQHETPITAGTRASPIDVEALGDEGMSRTKIRRRVVVVDLEADADQEGCDGARTTFSHFSGNKRRKVPPVICLSPDREERFSNQRNNMVGVSKPSVDAAPKELTFTCPVCLNKMELPSATSCGHVFCEKCIKAAIKAQKKCPTCRKRLGPKSHRRVYLPATADQV >SECCE2Rv1G0124890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:835092396:835097998:-1 gene:SECCE2Rv1G0124890 transcript:SECCE2Rv1G0124890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPARHMPSSMMGRSSGGAPYGSSSALSLGQPNLLDGSNQHLLPHHLLEQIPARAAESGDNNGSGGGVGMIRGRDSMDPLGDEFESRSGSENVDGDAVDNAEQDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENSQLRADNDKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLRDEIDRISAIAAKYVGKPMVPFPVLSSPLAAAPGASAYDVFAGAASVLQAPPDDKQQGVVVELAVAAMEELLRMARLDDPLWATTVDQTLALDEEEYARMFVDPRGGLGPKQYGLVSEASRDAAVVIMTPASLVEILMDVNQYSAVFSSIVSRAATLDVLSTGVAGCYDGALQVMSVEFQVPSPLVPTRESYFVRYCKRNADGAWAVVDVSLDGLQGVKCRRRPSGCLIQEAPNGYSKVTWVEHVEVDDRSVHNIYKPLVGSGLAFGARRWVGVLGRQCERLASAMASNIPTSDIGVITSSEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDETSRSEWDILSNGGIVQEMAHIANGRDHGNCVSLLRVNSTNSNQSNMLILQESCTDASGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAGTMHSAAGATVTGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKTAVISNGGASPPQ >SECCE5Rv1G0375700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869319156:869319758:-1 gene:SECCE5Rv1G0375700 transcript:SECCE5Rv1G0375700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIGSRRSDYLLVAAMVLAPLAAIALILCLAILGARGPLYSAAIDAVTGLDGRHDLNPLFNLTLRVASRSIFTGDCTAPGTVVEVSYAGVPLAAGPVPRFCTKRREARELHAVVAWGEALKVPGFVLDGLATDALRGPQVFDVAVTMPSTHSSGHHGTMVSCTGLRVGDTASLGATCAASDVDTVVTLPRPSGKVDGAN >SECCE4Rv1G0257170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:664553000:664555593:1 gene:SECCE4Rv1G0257170 transcript:SECCE4Rv1G0257170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGGEAMATATGHGQTVCVTGAGGYIGSWIVKLLLEKGYAVRGTVRNPDDAKNAHLRGLDGAAERLVLCKADLLDGDALRAAIAGCHGVFHTASPVTDDPEEMVEPAVRGTRYVIDAAAESGTVRRVVLTSSIGAVAMDPSRAPDAVVDESCWSDLEFCKKTKNWYCYGKTVAEREAWEGAAARGVDLVVVNPVLVQGPALQPAVNASLTHVLKYLDGSAKTYANAVQAYVHVRDTAAAHVLVFESPAAAGRYLCVADGAVLHREDVVTILRKFFPEYPIPSRCSDEVNPRKQPYKMSNHRLRELGLEFTPVAQCLYDTVVSFQEKGILPVPPAPAQQAMREIN >SECCE7Rv1G0525520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:891064242:891067836:-1 gene:SECCE7Rv1G0525520 transcript:SECCE7Rv1G0525520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARRHAASTSGPLLLRRLSAEPKPKAPAPPPPPPPPSDEGAAAWARRAAALSLLGLTGAVAASAFSDLSVFLSCSSQALEKATKNQQVASAIGEPITRGPWYSASIAVNRARRSVSCTFPVSGPQGDGLLKFKAVRLGEESWFRFLQRSDWEILIMDAILDVRTEDGKHQTMRVTVADNTAAPPPADCRTCKPRTTPTPKPTEVPTPMPTSAPHLSQEK >SECCE6Rv1G0383240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:51374294:51374845:-1 gene:SECCE6Rv1G0383240 transcript:SECCE6Rv1G0383240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAMGSRGRIDPAEEARHRQVMGSHGVYDADWALSKALEQSDVQAGQNRLLLTREKVRGGPIPELFPELEELRGDGLNAQNRVSVKVLDADGREKDAHLRYLNSCKAYWVVGPEWRRLVEESGMRKGDRLDLYACRRGIGGDRCLFVFRSQGGGAGDTSWSNREDGRALRRVTSSMAAMLIE >SECCE4Rv1G0288260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:859307654:859310090:1 gene:SECCE4Rv1G0288260 transcript:SECCE4Rv1G0288260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGGDPVDEFLVAGAGDGGEGLAAFCDGGLGIEDVSGGDSGLGHSNLGKRGRDESSSSGPKSKACREKMRRDKLNDRFLELCSVMNSGKHSGLEECSASNPGKNAKLDKASILSDATRMLTQLRGETEKLKESNANLRETIKDLKVEKNELRDEKLSLKAEKERLEQQLKAASAAPTGFAPHMPYPAAFHPAVFPPFAPPYQVPANKGAPVPAAFPGMAMWHWLPPTAMDTTQDPKLWPPNA >SECCE1Rv1G0023380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:303937898:303949857:1 gene:SECCE1Rv1G0023380 transcript:SECCE1Rv1G0023380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGKLSAGHLKKPEALNSNGHPVDDIIIIESEGDENKVVVETNIIEGPFEEHKEHEYEKTDTTVHEEASISTDDDSESDLYGFLCESENEQTSESDVEETDIEVALPEEKVEELVAEFHDVESKAAEAQESLEKESLEKIEAEVRLELSERLQGDALDLAVSIEMEQFKKEWSTELDDLEIRSAVLLEQLDAAGIELPSLYKSIESQAPNVCETEAWKNRTHWAGSQVPEQANQSIRKADEYLQSCRPVRRKHGRLLEEGASGFLAGKVPVVDDDSVQCHEKSWSSFNELIKSNKCAKTSFGSSNWASVYLANTPQEAAALGLQFPGVDEVEEIAEVDGAVDDIKCVDEIELSEEQRRKYRKVREEDDAKAIKRLRRYMEKRTDGWCKGNIGLASSSNGCCKLPLLLDDGVLDANSGRPLSEKQKIDKDEVSAELLKRTREDDVELDHKRSKTVVIESDDDMQMDSKLVLHIKDSEHSSAELEKGVDIIDLDLFPSQSPKFSDMDLPKVFKCTICAKVLNASDVHRHPVLDVAVCGSCRFLVIEKNRLEGPVSGGYCTWCVQCEQLLSCSSCKMLFCTKCLLNNLGEKCISEAKITGWQCCCCQPGQLERLISECDKALSGVESSDLEDDNTSGIETNDPVSKHKRKKKIRRIMDDTELGEETKRKIAMEKARQEHLKSMHEQSASKLRSSNIGTSSGALSEVFLQDAGDGHIVNPAREEDEEPVRIPSSISAKLKPHQVAGIIFMWENVIQSVRTVKSGDKGLGCILAHNMGLGKTFQVITFLYVVMRCAQLGLRTALIVTPVNVLHNWKKEFTKWQPAELKPLRVYMLEDVPRLKRLYLLNKWRAKGGVLLIGYSSFRNLSLGRHVKEKDAADEISNALQCGPDILVCDEAHMIKNRKADTTHALKQVKTQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPPKKVFVITVKLSQLQRKLYRRFLDVHGFSSGTSEKPYQHGGFFAKYQKLAQIWNHPGLLQMAKEQRGSLRREDAVENFLTDESSSDDNHNIEIQLPNGGKQKSRTDQQSKKIDFLNEESNWWENLLDDNTFKEADYSGKMVLLLDILATCYELGDKALVFSQNLTTLDLVEFYLSKMQIKGKEGKYWKQGKDWYRLDGSTPSSERQNLVERFNDPANTRVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRVWRYGQMKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLHLFEFGDEELLDESGSDTIIDRTKVGTEKLSMPNSTELPVDTLMRNLLSDHPRWIAGYHEHEVLLQENEEERLTKEEQDMAWSNFKQSQHLDAVARKGGHDPERKPIETNPPPPKVTSRSRQAQQPKSHSNNQKKCTNLNHMLTLRSHGTKAGCTTSCDECGQNISWETLNRSDGRLR >SECCE7Rv1G0484570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344100182:344100883:-1 gene:SECCE7Rv1G0484570 transcript:SECCE7Rv1G0484570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPQANETEVEQEVLSLEASISSSTTTIATMCAGLRRLGDIYNGVEEIIGQPSNQVAKMLDSEMEASLELLDLCGTMQEIFVEMKAIIQELQVALRKGDDTTAQSKIQSYARLVKTAKKHFKKSAKKANAVSAGCKMVMLLTKAREISVSLLESTVHLLSKEIGMPKQSLVSKAFHKKKVVVCQEEQLQELECSIGDLENGTGHLFRKLVQIRVSLLNILSS >SECCE3Rv1G0201800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:867464652:867465743:1 gene:SECCE3Rv1G0201800 transcript:SECCE3Rv1G0201800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLSGSSSFYSSEDELASFPNPSFECGGAGRRVSPYHPPPAQTLAHGVAPASLLTPSASPTSPPPIASPPPPPKPAPGFSFCPSDSELVSFYLRPRISGQPLPDATKQFFHEADVYAADPASLVSGHLPGPARAKGESKNWYFFSLVKPKSAQNSRKSRVVGGGKGTWKQERGNDVVDAGGHAVGRFEKFTYTPNPKEDKKPPEWLMMEFSVGQEDGGQPRPVLCLCKIYQSPRFLKSASKNSASARKRKTPDDGPLLSPSSLHASAAKKSKAPMDESSAARRQLLFPSLPPPTNLPSPVLFASPPPSAPNPVVEDDFLAKILADPEMNWSWEELSAPLACSMTAVAPALLGSCHGSQCA >SECCE3Rv1G0154260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59479449:59480390:-1 gene:SECCE3Rv1G0154260 transcript:SECCE3Rv1G0154260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMAESSPVQWQAEEAWQAYLQQQRSRRGWAQYLRCRPMALLGKKGADDGNRVVMPPSALDRINSALVEYPLMFRVQNAATLEASHCGVLEFVAEEGFVHVPALTMARLGLEEDDLVLMTSTSLPKATSVKLRPHTVDFLGAKDLKRLLEFNVRLNTPCVTVGDTIAVAEGDRLYLLDVVEAKPADAVSTLDTDCEVDFATPLDYVQPPAPVPVKVAAASCQDCSNGCERRFAGVGIRMDGKPVDQTPPPAPASTAGSSGKRKTASEHVLRFFGGRGSVVVPPPGAKMAKKMNGEEDKQEAKRFTGQKYTL >SECCE6Rv1G0396610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:370114676:370122571:-1 gene:SECCE6Rv1G0396610 transcript:SECCE6Rv1G0396610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGGVSLRPARLRHLTPGEASAGSFLPRRLQLARTAARPARRALVVESRGGRGWSDRQSQQQRRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNIVTGGTTAKIMLAAKDNFLGKYIYKDTLARNLATVIYKDEDDIIDLAKEQFRVLKGETEFRYGYKIVEKGNLRSALATSNVLELPKKEELKSVVDKVRDFFGEVTSGAKESFAQITGSASAAEAEAEAKAQDERPRSKRRGSKRKGKQQKPKQGFKPES >SECCE2Rv1G0076410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:90982137:90986529:1 gene:SECCE2Rv1G0076410 transcript:SECCE2Rv1G0076410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSLFLQTTYVCMFLLAHPNRGVRLYPVVKEGNNSLQQDLVYGLAKQKLSSLKSNSLEAKISGQGNNSSGVLYYATHDSPGGTDASYYGLHATTDVYGHELKRGQLSRSGIWVGHSGDGEKSSYNAISVGWHIVPEKYGDSHPHFFTYWTRDGYQSTGCYNMDCPGFIAASGATITPGARINPSSKVTLRVLKDDQSGHWWVYYGFNGVPTAVGYYPKSLFTYMAKKANQFSFGGFVYAERVVPTPPLGSGVLPGGKGRAASFTDLRLIERDGKSSPITKDLPTTIFNSKCYSITPIVQSGCFYGGPGGCV >SECCE4Rv1G0288820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:862026811:862027786:-1 gene:SECCE4Rv1G0288820 transcript:SECCE4Rv1G0288820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVCCHSLQVMNVLRLREIPHRHIFKRWSIHGRDNLPDHLKHYQQDMGPPDAPTYRHSALYITALEVVKMGDRNLEAYKFMLDGLVDLRERGADISAPNDGLGIVEQQVSNSGVVGGGNKKTKAACSVIRSVEGNHITAVGETSASVHAPATQGEGAPTSRNMASEGSCPDADSYNSDFSETASRFTVNLDESLLAPERNKKRGRPTTSRDKAPYEKAGNKRSRFCSICRGKGHKSSTCPDRGDAPKKERKVGTCSKCGVPGHRKTTCSKPLVSSMPGVPPSH >SECCE2Rv1G0111860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:704680709:704682902:1 gene:SECCE2Rv1G0111860 transcript:SECCE2Rv1G0111860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAEKDKQIAEEEEEEEEEEEYVLLELDDVHYSCIQPNAPYILSGLDTLTPTLVVGDGLKMIGEYEETVGTCYLFSESDAPPKPGHEEPAPPKENKDKQGRNIKEAPPKEVKHLTSVRKILKFRSTSEGRQEPRAYRYKDKDF >SECCE3Rv1G0154010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:58390582:58391601:-1 gene:SECCE3Rv1G0154010 transcript:SECCE3Rv1G0154010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIDVDLHTDECPLTTKNFLKLCKINYYNGCLFHKVERDFLAQTGDPTGTGAGGDSVYKLLCGDKDRFFDDEIRPELRHSKAGTVAMAGAGENRNASPFYFTLRDGLDDLDDKHTVFGMVPEGGGLDTLAKINESCVDDKGRPFRDIRIKHTYVLDDPFDDPPRLAELIPGNSPIGKPRDEIAEERSEVSLDETVDCSEDALSNNVVIVSCDHCGGHIPGVESPYNVLYVSDLNPITQDEDLHTIFSRFGMVKSAEIIRDRKTGDSLCYAFIKFEARQACERAYFKMEDSLIHDRRIQVYFTEGVPKLWAQFWQSKRNANKDGRLKCVPK >SECCE4Rv1G0285170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843088367:843091016:1 gene:SECCE4Rv1G0285170 transcript:SECCE4Rv1G0285170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHSSPTAASPLEDENLLSEILLRLPPQPSSLPRASLVSNRWRRIASDPIFSRRFRRHHRPNPPLLGFFDIDGCRSFVPTLEAPDRFPPGRFSLQRGDDDWFWSLGSRHGLVLILNLNRPTSQLLVWDPVTGDKHWIAVPPGFKCNFDSSIGGAVLRSAQDDHHFLVALVSTIEEQGHTRAIASAYFSETNTWSDTISTPLPPASPPRFQAQDLPPFECPTMIYCRIPAVLVGDSLYWWLHDSSYNILQFDLYCGGLAVIPAPVDLYDSDINFSLMRADDGGLGFLSVSKFSVQLWKTKIDCDGFGSWVFGRTIEMDKLFPLDSKERKSPMIVGFAEENNVALMWTKGSLFMFHLESLQLKKLVETRIAFYHPFESVYTAETSIGGGHDGADLLPST >SECCE6Rv1G0407650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:560543025:560546006:-1 gene:SECCE6Rv1G0407650 transcript:SECCE6Rv1G0407650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARSFAGEAAKRSQELSLEAAKRSSVLVSETAKKSKEIFSETAIKSREMAAEATKQADLLAGQIMHLASDIPVPSIPPIPAISPLPSAAESEPDAAELERYGITDDLREFVNGMTISTFRDFPLQDQPEMSDVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRIYFLLVNNYVTPYETKYFEELKVKAEEEKTVAQKEISQTSQATTSEQKDTKVPSKTSTSSNREQDLDVFLLGDLGSDDEGPDAGDDDGLDDDFDKIDGTSGLESDGDEDKLAENAKEQL >SECCE3Rv1G0149290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:24009452:24012269:1 gene:SECCE3Rv1G0149290 transcript:SECCE3Rv1G0149290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVLLLLLLLLLLIPGLATAIGYVCGSRGNYKADSTYQSNLAVLAATLPSNASSSPELFITANATASCSPELFATASSSPQLFANASMYVLALCRRDTINLTACTECRASALNYALKMCPNDRTATVYFDYDEVDALRPGCILGFSDDGDFLSPASGTTGNGTLFQYFNHEANIHGNAGVVADAVRELLRQTAQDAAATGGNFATGFMDTIGNGTTTTLYSLAQCTPDLSTGDCLACLQWLVVVGSANATNYLRLGGRIFRLRCNVRFEAFMFFDDKSVRRIPSPLSIAQAPATAPALAGKRHGVKPWVIALSVAASVALVALCFIVYCLWRLRTKSKKRGAALQGKRTHEFQERKGLVWEMETELAEFAVIDFNQILEATVNFSEENKLGQGGFGPVYKGHFPDGNEIAVKRLDSHSQQGFIEFKNEVELIAKLQHRNLVRLMGCCSQGEEKILVYEYLPNKSLDFFIFDENRKAQLDWDKRLAIIVGIAEGLLYLHKHSRLRVIHRDLKPSNILLDSEMNAKISDFGLAKIFSSNNTEANTTRKVAGTLGYMAPEYASHGLFSIKSDVFSFGVLTLEILSGKRNSHECGNFINLLGHAWQLFEEESWVVLIDAALLPNGNSEEMMRCINIALLCVQENAIDRPTMLDVVAMLSNKTMIIDKPKHPAYFSGDKEAPTTNQSCSVNDMTISTITPR >SECCE4Rv1G0286670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850229374:850230216:-1 gene:SECCE4Rv1G0286670 transcript:SECCE4Rv1G0286670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWDEGRLTEAPAAASGLAGGQSQQQLEDAMAAPKADGESSHSGGGSGQDEEPKEGAVVVPANRRPRGRPAGSKNKPKPPIFVTRDSPNALRSHVMEVASGADVAESIAHFSRRRQRGVCVLSGAGAVADVALRQPAAPGAVVALRGRFEILSLSGTFLPGPSPPGSTGLTVYLAGGQGQVVGGSVVGALTAAGPVMVIASTFANATYERLPLDDAEEDHQLEAARMHGAPDGGVPQMMAGDPSAAAMPMYGVPPNLMPGGGGHAGPEWAAHARPPY >SECCE7Rv1G0471100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:127789724:127793801:-1 gene:SECCE7Rv1G0471100 transcript:SECCE7Rv1G0471100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAPDPARTSRPPLTPALDKPNSGAAPRRPARSGTKPVSSRYLASAASPASSTSSTSSSSSSSASSRRSLSAQRGRSSTPPPQHATSPTPVAAAATAATVTATTMRSLSVSFQGESFFYQTSRAPRAASPSSPAGRRGPTPERRKSVSSVPEAENARPQHRWPAAKPKASDPLARSLDCNLDRKDSILAAVQLLRRSMAFDSTTSFSPSDHAAAAGPDLSASDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPDAGLPQQSSGRRSFSDSQMSPRLPGRSPSPSRGSKGTASPSRGRAGEASPNGHMVQTAANAPSIISFAAEVRRAKKGENRIEEAHRLRMLDNQHLQWRCINARTDATLLVQSFTAEKTLHSAWKEISKLRDNVSSKRCKLQLQKQKLKLFAILRGEMSYLEEWSHVEKHHSSSLSAAIEALKASTLRLPVVGGAKADAQGVKEAVNSAVDVMHTMASSMCNLLSKVEGTSSVVYELAKLATQEQMLLDQSRDLLSTVAAIHVKQCSLKTHLLQRKQRQSLQTQW >SECCE6Rv1G0423560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:696535230:696536216:1 gene:SECCE6Rv1G0423560 transcript:SECCE6Rv1G0423560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGESKAASALVKKIAGLHAAISKLPCLSPSPEADALFTALVAACAPPSAVVDVATLGPRARRMRADLVLLCADAEARLEAHCSDALAALDAPLDHLRLFPYHDNYVRLGELEHALLSRHAPDHLAVPARVAFVGSGSLPLSALLLAARHMPDAAVDCFDRCGAANERARRLLLRGNDAGNMAPRMSFRTADVEDLTHELDAYDVVFLAAPVGVTPEEKARVIAHLGRHMAAGAALVVRSAHGARGFLCPVVEPAEVRRGGFQVLAVHHPDNAEMVYSVIVARKGYLELVPPAVSPPCNCCEVEKARISVPSTSCHLDAMDEIRRRAW >SECCE2Rv1G0102230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:575208407:575218462:-1 gene:SECCE2Rv1G0102230 transcript:SECCE2Rv1G0102230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAVSGATLGVARAGVGVARSGSERRGGVDLPSLLLRKKDSSRAVLSRAASPGKVLVPDGESDDLASPAQPEELQIPEDIEEQTAEVNMTGGTAEKLESSEPTQGIVETITDGVTKGVKELVVGEKPRVVPKPGDGQKIYEIDPTLKDFRSHLDYRYSEYKRIRAAIDQHEGGLEAFSRGYEKLGFTRSAEGITYREWAPGAHSAALVGDFNNWNPNADTMTRDDYGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGVKDSISAWIKFSVQAPGEIPFNGIYYDPPEEEKYVFQHPQPKRPESLRIYESHIGMSSPEPKINSYANFRDEVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDRAHELGLLVLMDIVHSHSSNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQMTFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPDAVSIGEDVSGMPTFCIPVPDGGVGFDYRLHMAVADKWIELLKQSDESWKMGDIVHTLTNRRWLEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQTLPTGKVLPGNNNSYDKCRRRFDLGDADFLRYRGMQEFDQAMQHLEEKYGFMTSEHQYVSRKHEEDKVIIFERGDLVFVLNFHWSNSFFDYRVGCSKPGKYKVALDSDDALFGGFSRLDHDVDYFTTEHPHDNRPRSFSVYTPSRTAVVYALTE >SECCE3Rv1G0177220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:460396345:460426839:1 gene:SECCE3Rv1G0177220 transcript:SECCE3Rv1G0177220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS2-associated factor 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G20020) UniProtKB/Swiss-Prot;Acc:Q9SL79] MATNNLPSRSLLAAPAQHPGLRLGTSLRLSLSISHHNQPADDPERRRAPVGSHPAFSRGNRAKKIPVPTTGEPAAGIRVTDRGLAYHLEGAPFVFQYSYTEAPRARPVALREAPFLPFGPEATPRPWTGKKPLPKSRKELPEFDSFVLPPHGKKGVKPVQSPGPFLSGMEPRYQAASREEVLGEPLTRDEVAVLVKGNLKAKRQLNMGRDGLTHNMLENIHAHWKRKRVCKIKCKGVCTVDMDNVCQQLEEKVGGKVIHRQGGVIFLFRGRNYNYRTRPVFPLMLWRPAAPMYPRLVKTVPDGLTSDEATQMCKRGRQLTPICKLGKNGVYANLVKEVREALEACDLVRVDCSSLNKSDCRKIGAKLKDLVPCILLSFEFEHILMWRGNGWRSSLPLSEVVSDQHPFNGEEKLNKMETDSATAPTSIGLVNNAASVYNSILSERECFLEDPLNPSLANDVVPSSPLTVSGLCNSKSVAGPKISVVGPLQLSTSDTVVPSLMSEFYGQGVLSCNTENADPVQRSPVDSGNSEQYSDVVETSICPTSRSDEISKLETTSKISKDLNGHDSIKSYSTMLSCVEGMLLLLKQATLTGRANVLDENEFVDADVIYQKSVAFAKTAPRDPLFKSARRKAGLWKIMPDKSARVKKHSAEHEEPSDVERKYGVDRRLGKQRNDCAQEFLSDVVPRGTLRVDELAKLLA >SECCE4Rv1G0273060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:773828080:773828349:1 gene:SECCE4Rv1G0273060 transcript:SECCE4Rv1G0273060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAKEKVKDAASAAKAKAKITQAKVAEKTEAATARSHDERALAHERGKAKVAAAEAELHQAKVTHREEAMEHRLHKRAGTGHKHGAAH >SECCE4Rv1G0218430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:22330598:22330885:1 gene:SECCE4Rv1G0218430 transcript:SECCE4Rv1G0218430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVANQPVSVAVDGGDAIFQHYSGGVMTGSCGTDLDHGIAAIGYGITSDGTKYWLLKNSWGTTWGENGYLRMEKDISDKSGMCGLAMQPSYPTE >SECCE4Rv1G0276010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:796312440:796312703:1 gene:SECCE4Rv1G0276010 transcript:SECCE4Rv1G0276010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAKEKLKDGASAAKAKSTITQAKVAEKAEAATARSHDERELAHERGAAKVAAAEAQLHQDKAAHREDAMSHHIHKHGGHKHGHSH >SECCE3Rv1G0165160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:170042762:170043547:-1 gene:SECCE3Rv1G0165160 transcript:SECCE3Rv1G0165160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFLPQTTPTASPRAAARPRAGAITCGPRDNRGPLQRGRSLSTEAIHAVQSLKRLTAADRSPTAARGAASASLGRLLRADLLAAMAELQRQGHWSLALAALHVARAEPWYRPDPELYATFVSSSPPSEAAAGAVDALVEAFLEEKEERRVGGLSESEGPWVGVDVYKLTRLVRALVAKGRARAAWRVYEAAVRRGGCEVDEYMYRVMARGMKRLGLEAEAAEVEADLAEWEARVSPPARHLLDEMRAREESSKTTTTA >SECCEUnv1G0562180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:362802584:362803459:-1 gene:SECCEUnv1G0562180 transcript:SECCEUnv1G0562180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGGHPYSPSDLELPGFVPQQLSPLELVAPLIGTSLLIIAVIWLVTGRVLNTGRSGRLSKADRLLMCWWAITGLTHLIIEASLLFTPNYLTKENPSFFDEIWKEYSKADSRYAIGDTTTTAIEVIAVFLQGPLSLLAV >SECCE2Rv1G0142540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:941434950:941435933:-1 gene:SECCE2Rv1G0142540 transcript:SECCE2Rv1G0142540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPRRVAVAAILAVVLAAALATAARAQQCGSQAGGATCPNCLCCSRFGYCGSTSDYCGAGCQSQCSGCGPSPGGGVSSIISRDIFEQFLLHRDRCQDAAGFYTYDSFLAAAAAFPAFGTTGSTETRKQEVAAFFGQTSHETTGGWATAPDGPYSWGYCFKREQGSPPDYCQPSSEWPCAQGRQYYGRGPIMLSWNYNYGPAGRAISVDLLNNPDLVATDATVSFKTALWFWMTPQANKPSSHAVITGQWTPTAADNAAGRVPGYGVITNIINGGLECGRGADSRVADRIGFYQRYCNILGVGYGSNLDCYDQRPFVEGLLIQQVTQ >SECCE5Rv1G0334240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565164500:565165009:-1 gene:SECCE5Rv1G0334240 transcript:SECCE5Rv1G0334240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLTSDQKDRPWFYFISSTSLVISITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSFLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGGRSSFKKL >SECCE5Rv1G0345340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:650570617:650571036:1 gene:SECCE5Rv1G0345340 transcript:SECCE5Rv1G0345340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLAILFMGWLVITSECRLATERRSYLDGGDNKTSSQNATQSNLISSLDDSKIGIKFCGYKRCDYGTCYCCAVLKPLPCYPTLDECKSKCPSCNPKCSHELMIELHA >SECCE5Rv1G0343190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:636305014:636306966:1 gene:SECCE5Rv1G0343190 transcript:SECCE5Rv1G0343190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHQHHQLDQWLAGGVGGVLRPTKSAPCSPVKPAAVSMLRTHSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWGAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRVRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGHAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALVIGPDNNKMCNLGICLMKQGRVLEAKDVLKQVRPAGVDGLRGADSHLKAYERAQEMLRDLEVKLVGRPGWAGAIGDNLVDKKWLFDALMLGSSSSIWQPQPCIDHLLPPPAPQPRDHFADENNAGPGAATAAAGKKMAALQQQQQQQANLNIDAQPFYSHRMPPLAAKPQQNAQRQQQQLPQQKAPSQMHHDLMGNLKRTRSGTAMDKAAAAAAAGEKTKEEQSSNKEADKNLQDDSSNNGRRKSLTAEERWPELPDHSAFDEALVASVLAPVLADDENCKPSAKAPPPASCCDTSPAAVKEKVGKRLRIFQDITQTLNAL >SECCE4Rv1G0216240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:9941958:9945158:-1 gene:SECCE4Rv1G0216240 transcript:SECCE4Rv1G0216240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTVTYSSSSPPPSSSPPLQQQAEQAADLDAVSLGRLSANLQRLLDPAFLSCADADVVLAAGGAVVGVHRCILAARSSFFLDHFSSKPAARDKEKEKEKEERPRLVLAELVPGGRHIGRDALLAVLGYLYTGRLKPPPRDAAVCVDERCPHEACRPAIDFVVESTYAAAGFQISELVSLFQRRLSDFVTIALVEDIVPIVHVASTCQLHELLSQCIQRVAGSSVDSRYLEKELPDEAFAKVKEFRRYSLHDDSDEFILDPEHAKKVRNIHKALDCDDVDLVGLLLQESAITLDDALAIHYAAAYCEPKVLALLLKLDPAGDCVNVNLKNDSGYTPLHLACMRREPQILLSLIEKGASVVERTQDGRDALTICKRLTTEKDRNNRSEKCKERSKAYLCIDILEQEIKRKSFIFEELLSAEVSVATPLLVDNFHMRLINLENRVAFARIFFPSEAKLVMRIAQADSTEEFAGLTSANFSKLKEVDLNETPTMQNKRLRERLDALTKTVELGRKYFPHCSDVLDKFLMEESTDLHFLESGTAEDQYTRRTRFSELKEDVRKAFSKDKAVAAIASSTSSSSPRGDGKVSRHGHNKKARLSR >SECCE3Rv1G0189170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721932099:721937760:-1 gene:SECCE3Rv1G0189170 transcript:SECCE3Rv1G0189170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEKAATAEGDEEEDVSDVDDSPLPALRRREAASDDDEEGDGGGGGGSGSSPRSRVVWSDSDSDELGAAEVYGEDDGSEECEEVREEVDAGSGGGGEAKEVAPDEVAAAPEEEGRYEEEEEAQAETGAELEEEDKENEGFEPDAVPRTGAFYMHDDRFQNKENRGRGRQRDFFGGQKLWNSKDDSVWLHDRFNEINTHDVQHDSTRRPRSPFRAWAGGRTHDINHDRFDEINTHYVQHDSTRRPRSPFRAWAGGRTHDVNHDRFDEINTRYVQPDNTRRPRSPFRAWAGGRTHGVNHGYLEGTNDYRAEYKYGSTNNCNRFPKEANTSYYSTKNYRSVPRKSHSYYDEQNLYNARTESRICYVNAKGYNNAPNVNRGKPSRPYQPPWKNTFQTSSVKNNRTYSRSQNEEGRSDTGVGKNSHRTQNEQEFSSKQELPFIERRKARPDILSKLFSSSVRMAHSSLKPQSRPSFGVKAFAPSGEHGNTAGSLSMVKGTPNLGSHSTVSTSNSQYSESRDQGSGLNIVGPTKNKLSTQIFHQNIASTSKIQSHPQNTLISSTEDGESSPPPGSNNSLAPSVIIVQNDKAEAVSGSFPCGGGHALDVTGPKGLTLGTPPVLPVMKFGEQHPRGSDIPCTGMALPGILSHQPSDSSELNQITWLQTLSDATGVLGATYGPSYIGSHYPQPSVFPRHHCVTEVPVLLNSPEIPGHELGQRKNKLLRYSEMNFAS >SECCE2Rv1G0082220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:148417427:148423219:-1 gene:SECCE2Rv1G0082220 transcript:SECCE2Rv1G0082220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTKTTGRRGGAAAIGAALLFLAAASLGAHANTDSNDVTALNVFYTTMNHPPQLKNWVSQNGDPCGQSWQGITCSGSRVTTINLPGMSLNGTLGYNMNLLTALVQLDMSNNNLGGSDIPYNLPPNLEKLNLAGNHFTGTTPYSISQMFALKDLNLAHNQLSTISDMFNQLTNLTTMDISFNTFSGNIPQSFNSITSLKTLYLQNNQFSGTIDVLANLPLTDLNVENNQFTGWVPDKLKKIRNLQTTGNSFSNGPAPPPPPATNPTPTPTPQRPALPSSNGNNGPSDSGSKHSKLQGGAIAGIVICLLVLGAMFAFFVIKRKSWKLSRGRDPEQKEPLSPLASGFKQMKSIKIISTTGKDQFQKTVSMSLKPPTKIDLHKSFDENDLTTKSISRKISLSSIKIPAYTVADLQIATGSFSADHFISEGSFGRVFRAQFNDQKVLAVKKINFSAFPGYPSDLFIELVANISRLNHPNLAELVGYCSEHGQCLLTYEFYQNGSLCDLLNLVDDQSKPLSWNSRVKIALGSARALEYLHETCSPSVVHKNFKSSNILLDSELNPHLSDSGYGDLIPNQEFQQSEENSGYRAPEVAMSGQYSLKSDVYSFGVVMLELLTGRKPFDRSRPRSEQSLVRWAAPQLHDIDALDQMVDPALQGLYHSKSLSRFADAIALCVQAEPEFRPPMSEVVQSLVRLVQRANMTRMSSGESYTRRDGEPGDCAV >SECCE3Rv1G0207250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:919216198:919220560:1 gene:SECCE3Rv1G0207250 transcript:SECCE3Rv1G0207250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIQAASVVDGHEMVTKADGSSTLQVAADGADTSEKFAPSMWGDFFVTYVPPISQHRSEDWMRERAEQLKGQVRRAFKGSKDAMTIADALTYVDTLERLGIDNHFREEIEEALNLFHAEQAETDGSNSLHITALKFRILRQHGLWVSEDVFDKFREGQGSFSESLGCDPRDLLSLYNAAHMATPGEVALDGAIAFARGHLEAIKGNVGSPIAEKISRTLDIPLPRFTRRIETMHYIDEYEQEGVYDGMLLELSRLNFNLVRILHLKELKDLSLWWRDLYETVKLPYARDRMVEIYFWTCGMLHEEEYSRARILFAKVFGMVSLLDDTFDVHATLEECHRLNEAMQRWDEKAVSILPEYLHILYIETLSNFKEFEDALEPNHKYRMAFIKKAYKLSSEYYLREAVLSSKKYRPSFKEHEEISIMTSGLPMLTLVTLMGYGDVAKQEVFEWVDSVPGMVRAGSQVTRFLNDMSSYKLGKHKKDMPSAVECYMMENDSTGDEAMVAVAALLENRWRILNQVTMGIDRVLLPAAEVVVNMARTNEIIYLKGRDAYTYGSDLKDLVTALFLNPVPF >SECCE5Rv1G0361480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:779678861:779680986:1 gene:SECCE5Rv1G0361480 transcript:SECCE5Rv1G0361480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSGVVVAALIVATATLVGLVGADFAADRAECADKLMGLATCLTYVQLAATARSPTPDCCSGFRQVLGTSKRCLCVLVKDRDEPTLGIKFNVTRAMNLPTACSIPATFSDCPKILNMSPDSKEAEIFKQYGLEHEGKNATAGGGGAATGTSGGKSADAAAGAGRHAVGFTVVISALLASVFVLA >SECCE3Rv1G0165000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:168816160:168817290:1 gene:SECCE3Rv1G0165000 transcript:SECCE3Rv1G0165000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIPWKPLFWERIHDSASIAVVVRNRLTAITRDLAPPLRGDDVERSLSRIGESEEKLAEAGKLLWFVLSWLGTAELLALRRIRNDDATEVRVRPRAGAARALAGAAYGELATCRGHLGAAARLVARGDIPYRFAHAEVLAATRAVAAALEHLHGVEDALPSRGEQAPVLLPLPRSVNSDTRAAPTTTARGHDDAWRALARSVPDALLLACAALPELEAARAELDHCSDALKPTDSLDHAATAAAYAAVLRKLDAAHAAIARVISVNAGVSTAFLAATSQLGLGRVGPRWVSWMESRSDFRRYGRAALDLLWSAAACVDYHFGVVVAWPDSPSQDGDLMLRRAVTEASEARDAAKLMGEAGVRLFFDTSAIIDGA >SECCE5Rv1G0348260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673248740:673252778:1 gene:SECCE5Rv1G0348260 transcript:SECCE5Rv1G0348260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCAAAADLPAGRPRKRARHGWDVAPATKAQITFCGQEVGDMTTMVLPSHPPDYTCFSLLSKGVARNASPPRREDDKDGHYVFAVGENLTSRYKIYRKMGEGTFGQVLECWDRERKEMVAIKIVRAVNKYSDAAMIEIDVLQKLARNDATGKHCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKTAYRPFPIDLVRELGEQLLESVAFMHGLKLIHTDLKPENILLVSSEDAKLAENKDGSFSKKVPKSSAIKLIDFGSTAYDHQDCSYIVSTRHYRAPEVILGHGWSYPCDIWSIGCILVELCSGETLFQTHENLEHLAMMERVLGPLPRHMLERADHHSQKYIRRGRLNWPEGATTRESMRAVLKLPRLQNLVMQHVDHSAGDLIGLLQGLLAYEPSARLTAQEALSHRFFRKHRERRSL >SECCE1Rv1G0001880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6539469:6543789:1 gene:SECCE1Rv1G0001880 transcript:SECCE1Rv1G0001880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVVTMAIGPLVSMLKDKASSYLLDQYKVMEGMEEQHKILKRKLPVILDVITDVEEQAMAQREGAKAWLQELRTVAYGANEVFDEFKYEALRREAKKNGHYRKLGFDVIKLFPTHNRVAFHYIMSRKLCLILQSVEVLIAEMQVFGFKYQPLPSVSREWRQTDYVINDPKEIASKSREKDKVEVVGTLLRQANNADLAVVAIVGMGGLGKTTLAQLIYNEPGIQKHFQLLLWVCVSDTFDVNSIAKSIVEASPKKNDDTNKPPLERLQKLVSGQRYLLVLDDVWNREVHKWERLKGHLQHGGVGSVVLTTTRDKGVAEIMGADNHILRPLDDRFLKEIIEAGAFSSAEKKPVELVKMVDQIVDRCRGSPLAACALGSVLRTKTTVKEWKAIASRSSICTEETGILPILKLSYNDLPSHMKQCFAFCAVFPKDYKIDVAKLIQLWIANGFIPEHKEDSLETIGQLIFDELASRSFFLDIEKSKEDWEYYSRNTCKIHDLMHDIAMSVMEKECVAVTMELSEIEWLGDTARHLFLPREVTVGILSDSLEKSPAIQTLLCNNVVSGSLKHLSKYSSLHALKLCIGAESFPLKPKYLHHVRYLDLSYSDIKALPEDTSILYNLQTLDLSNCCYLELLPRQMKYMTSLRHLYTHGCRKLKSMPPELGKLTKLQTLTCFVAAAIGRDCSDVGELQHLNLRGQLELSQVDNVKEAEVANLGKKKDLRKLTLRWTSVCDSKVLDNFEPHDMLQVLIIYSYGGKCIGMLQNMVEIHLFHCARLQFLFRCGTSFTFPKLKVLTIEHLLDFERWCEINDRQGEQITFPLLEKLFIRHCGKLIALPEAPLLEEPCSGSNRWVCTPFSLLENLFIMYCGKMTTLPEAPLLQEPYSGGGYRVVRTAFPALKVLELEDLESFQKWGAAAEGEQILFPQLEKLSVRKCPKMIDLPEAPKLSVLEIVDGKQEIFHCVDRYLSSLTNLTLRLEHAETTSEAECTSIVPVYSKEKWNQKSPLTVMELGCCNSFFGPGALEPWDYFVHLEKLEIGRCDVLVHWPEKVFQSLESLRTLVITKCENLTGYAQAPLEPLASERRQHLRGLESLYLRDCPSLVEMFNVPASLKKMDIGGCIKLESIFGKQQGMSELVQGSSCSEAIMPAAVSELPSSPRNHFCPCLEYLRLFGCGSLQAVLSLPPSLKTLEIDGCNSIQVLSCQLGGFQKPEATTSRSRSPIMPEPRAATAPTAREHLLPPHLESLAILDCAGMLGGTLRLPAPLKGLRIIGNSGLTSLEYLSGEHSPSLEFLHLERCSTLASLPNEPQVYSSLWFLEIRGCPAIKKLPRCLQQQLGSIKEKRLDACYKATEFKPLKPKTWKGIPRLVRERRQACRS >SECCE3Rv1G0194770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:785259392:785266375:-1 gene:SECCE3Rv1G0194770 transcript:SECCE3Rv1G0194770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP24 [Source:Projected from Arabidopsis thaliana (AT1G08710) UniProtKB/Swiss-Prot;Acc:Q9CAZ0] MSASDLPDELWARVLELGAASAVLGFRDLCCLAIASRRLRRLSLHPALWSGLLSRDFPSQSQPSSFPSSSSQQQQPDPKSLYRTKFERHKLRMAEARRRAVYEAEGRVLACRRRLTELEESMCAEGGRIKATAQELDNLERVRRASVALNVWQPQVIHGRQKQLVQQCTVPVDSRLSALHMELKVCKQQIATYKNAYNKEKLRLSEYEEALRRAKYHPMQNSSHTSAPGNEPQAKRKRLK >SECCEUnv1G0548640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:190598457:190598822:1 gene:SECCEUnv1G0548640 transcript:SECCEUnv1G0548640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRMLVATVVAALVAVAWCAPPPVSFTVEKGSDEKHLALQIKYDKDGDSMKEVELKQNEEWLPLKKGYSGAWEIKSDKPLKGPYSFRYETEKGQRNVFDDVVPAEFKVGTTYKPEATY >SECCE5Rv1G0301610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:32167211:32171420:-1 gene:SECCE5Rv1G0301610 transcript:SECCE5Rv1G0301610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTRWSALAASALIQCFAGGSYCFGVYSPALKASQGYDQSALDAVAFFKDVGANVGVLSGLLAAWAPGPAGGRRRPWIVLLTGAALCAAGYLPMWLAVAGVAPAPLPLVCLYMLLAAQAQTFMNTADVVTAVENFPDRRGTVIGIMKGFLGLSGAILVQVQRTLHIDPGSFILMLAILPTAMALLLMYFVDVHIAHQRYNKKFLDAFSLMAVTVAGFLMVVIICDQVFMISSAGQSVCFGILLLLILSPVAIVVRAQRPESKQREEPTSEERTGLLLHDDTAQQDSENASSSTTLVGSNSQGMSSDKAENLNVVQAMCKLDFWLLFLAMACGMGSGLATVNNISQIGGSLGYTSRETSTLVSLWSIWNFSGRFGAGYVSDHFLRSRGVGRPFFITATLLVMGVGHAIISSGFHASLYVGSVLVGLCYGSQWALMPSITSEIFGLNHFGTIFNTVAVASPVGSYILSVRVVGFIYDKESPQGELACAGKHCFTLSFQIMACVCVFGSAVAFVLFIRTRKFYRQVIYARLLSFVDK >SECCE4Rv1G0229340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:147522816:147527957:1 gene:SECCE4Rv1G0229340 transcript:SECCE4Rv1G0229340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTTLTLSSSPAAAARRSPARAAISQPHLRHYPVRTSRLRVAQSLAPRPLSSGWRRRRRGSGLVVRAETFGQLTTGLESAWNKLRGVDVLTKETIVEPMRDIRRALLEADVSLPVVRRFVSSVSEKALGSDVIRGIRPEQQLVKIVHDELVQLMGGEVSDLVFAKTGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTVLGEQVGVPVYSEGTAVKPAEITKNAMEEAKRNNIDAIVVDTAGRLQIDKTMMVELKEVKKAVNPTEILLVVDAMTGQEAAALVTTFNIEIGISGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVVRQEDTMELQKKIMSAKFDFNDFLKQTQNVAKMGSMSRVIGMIPGMNKVTPAQIREAEKRLAFVESMINAMTADEREKPELLAESRERRIRVAEESEKTEQEVSQLVAQLFQMRAQMKKLMGMMQGQEAIAGMGDLMDSLNADEKAPPGTARRRRRRSEPQRELDAVGGASRP >SECCE2Rv1G0107850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:655300848:655302573:-1 gene:SECCE2Rv1G0107850 transcript:SECCE2Rv1G0107850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAAAASPSCRFLSPSTAARRRFLHHLLAAPAPPRPPQLRRCSPYHWMAQFWTEGSLEKNNALVEYLKQYGVVRTDKVAEVMETIDRALFVPEGFTPYIDSPMPIGYNATISAPHMHATCLELLKDHLQPGMHALDVGSGSGYLTACFAMMVGPEGRAVGIEHIPELVAASTENVERSAAATLLKDGSLSFHVSDGRLGWPDAAPYDAIHVGAAAPEIPRPLLEQLKPGGRMVIPVGTYSQDLQVIDKSADGSTSIRNDASVRYVPLTSRSAQLQDS >SECCE1Rv1G0043410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:592460930:592461370:1 gene:SECCE1Rv1G0043410 transcript:SECCE1Rv1G0043410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSCRSRSPRPPLASLCLVVLLLLLAVLPLQPASAVPTSRSMHLGNQQLPPSLKLSSLQETTTGKPRGRAAARMDVEVNDYPGSGANNRHDPPKGPGRG >SECCE6Rv1G0429550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736213992:736215095:-1 gene:SECCE6Rv1G0429550 transcript:SECCE6Rv1G0429550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPDELHLEILHRLPPLPQVLACASVVCKTWRGVVNDPGFLRELHRKHRGPPATLGFFHNSDELLNRFVHAGNQASFALGFSDRRTDWQIVDCRHGRVLLSDGWDHRFLVWHPMTGERHLVRAKALGMEEAPDDNNQVGAALLCDCATCEEGQGQGTGCYASPFRVAVVFKNSQTNCMIASVFSSLSNQWSAPAELPLAWQVRSDPCIVVGNILYQPLTDRGILAFDTDERSLATLQRPTRGNVRLLKVDGGVLGLAGVMGYELKLWALELDSETWVLRKSVDLREILPGLLTAPSPKTDPRFSCMPRVKIIGVSEEGDALFLWTMIGILMLCVQSMEVTKVHATAELKTVYPYAAFYLPATTGM >SECCEUnv1G0548540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:189954893:189959744:-1 gene:SECCEUnv1G0548540 transcript:SECCEUnv1G0548540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHRRFFRRLASVTTTTTLLLAVLHVLRTAAEDEQPPWPICGPEPSSGNYPQNSTYQTNINLLSATLPINASSSPALYATGNVGDVPNIVYGQALCRGDVANASACETCIANAFRGAQRVCPLYKDVVIFYDLCQLRFSNRNFLLDDDYIVTTYILQRSRLMDSPAFDGAVGLLVNATAGHVVEDSSRRFGTGEEGLDDSRNPKIYALSQCAPDITADVCRKCLNVIIGHLPDLFSRRTGGAILGVWCNFRYEVYPFFSGRPLVQLPKFVERPPASVPPVIRGGEKRRNSTGKVLAILMPTIAVMLVIAVVYFFGWRWRRPEEDAFLPSQSATSDNIQHIDSLLLDLATLRVATDDFDNSKMLGKGGFGIVYKGVLPDGQEIAVKRLCKTSRQGIGELKSELVLVAKLHHRNLVRLVGICLEEQEKILVYEYMPKRSLDTILFDSEQNKELNWGKRFKIINGIARGLQYLHQDSQLKIVHRDLKASNILLDFDYNPKISDFGLAKLFGGDQSEDVTSRIAGTYGYMAPEYAMRGQYSIKSDVFSFGVLVLEIVTGIRNNGSYNIEQDVDLVNLVWERWNRGNVIELIDPSLSNHPPVDQILKCIHVGLLCVQRKPASRPMMSSVNIMFSSHTVRLPSLSRPPFFIEEVSVSESWTAHSDAYPPGENTTVMSSNQVSVTELSPR >SECCE4Rv1G0259790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:690316662:690317417:1 gene:SECCE4Rv1G0259790 transcript:SECCE4Rv1G0259790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESAWCWPWLGSGAAWFLFFNVVVGAVAVLSWAQGGDAQVARRRRLTRSASSMVMERLRSMSVFAAFHSVPELDYGSSLASPALQEYYTSREEGGEEVAHAVEQTEPALPVVAVAPSTPSAPDAAVAHAWMPGSDDKAEAGTRTEVCRCLGDACAVVVARRRDAPAPAPEPTPATAAAKGASTAERPRKRQPAKVAENVQRRAHAEMEEKAEVNAQAERFIRQFREELKLERINSILNHGRAAAAASAR >SECCE6Rv1G0442850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:819679512:819681794:-1 gene:SECCE6Rv1G0442850 transcript:SECCE6Rv1G0442850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTPEQRRLKLSFAAGVGGGGGEDRLSALPDDVLIDILLKLRNPSVAARTSVLAHRWRRVWALLPELHFPAGTDPDHIRAALAAHDAPALRHLFVAAVEATADTVAACLAIAALRLSGVLHFENTGNMDEVSSSPLKLPCFQNATEVLLDLGSVGLALPPSGLFTRLADLKLFRIQLHGPCSLGDVVSSPRCPSLRRLSVCKVRGLDKFTVHSESLLQLELRDVRSLQQLNVVAPALKKLAVWICFINALNQSQPVANISAPQLVTLDWRSAYDPSSVLFGEMAHVQQLTTNHLYVYGRDVSSANNRSCLMLLQHFHHISKLNLMLLYPPAVKGNFRFLMEEMTKLPNITRLGLLVSAYGHSFGASLFHVFKMSSGIRELKLKLFYAQSDSEPFAACQSDCNCDQPSNWETEELVLHCLKNVQIYDLRGTKHELALVKRLFYWTTMLNGMVVIFHDSITQSKGEELRELLISFSSPGIRMRFAHRGEVYSCH >SECCE5Rv1G0356440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:739466222:739466842:1 gene:SECCE5Rv1G0356440 transcript:SECCE5Rv1G0356440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPPLLPIRPLNGESGYLRWKESVLLRLHTLGVADVLTEDRPAEENTTAGKRWARADAMCRGHILATLSDRLLQVYAPHATAAAVWRAVARTYDMDISVDDPSFCVPRAKAMTFQYAEGEPVTEQLALLEGLATKAELDDTCVCVIVKDQFPTLRMAVIKRRYCVSMDKLWEIARRKEMAARADVQESSSSDDERCPIRRRRT >SECCE2Rv1G0122620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:817553796:817557391:-1 gene:SECCE2Rv1G0122620 transcript:SECCE2Rv1G0122620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASASFAISLSAPARLIPPRCFRGRRRWSRRIRASSDTNGAPDGERRIGALERRMGDLRALVASVPPAVVSIRKNIGLNSIAGFGFGIAFLAALARLVIIRTRQQDSTSSGSVSDLVRRGHLKSGQRGIAKPRVYNDPFNNSLVKIDEGTSTAQMFGKEYRMAPVRLTNEQQAMHQERRSHAYQWKRPTVFLKEGDPLPPDVDPETVRWIPVNHPFAAGSAEVDEETAKRNVYQKDGVPSRVKAEHEALRAKLEASNDVTPFSSDPRGIQHGARSSKLSDEPSGNLQQSKPDMVNNQNGQPMLEPEPESSDNGSLSKSLEGQ >SECCE5Rv1G0351870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:705211972:705213014:-1 gene:SECCE5Rv1G0351870 transcript:SECCE5Rv1G0351870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSFVSASGSSSSIIAGDVSGYHVLKIVGYSRTKEVPNGKQIESCPFRVGGRTWRVRYCPNGWKPECTDSISLFLCLDDTVTGNEPVKATFSFSLLDQDGKQVPSYSYTRSLCTHDFAVPKSWGHHSFIKREELEKSKHLKDDSFTVKVDITLSDFCKQETPSIVVPPSDMHRHFGDLLSSKEGVDVEFRAEVFSNLLTFIYTDDVPAPDTSQLLLDEYAMAQHLLVAADRYGLERLILICEDKLCNHIDTSSVATILALAEQHHCHGLKKACLLFLGSLTNLTAVRESEGFEYLTKTFPAVMKDLLISKVITG >SECCE7Rv1G0477710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:226435743:226437366:1 gene:SECCE7Rv1G0477710 transcript:SECCE7Rv1G0477710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALNASCYPSSFQSSLLPRRMAAAVVIPGRRNVLPVIRAVAVAPTAPAPAKPAAVRSRTVSDTMAKLMAKGKTALIPYITAGDPDLATTAEALRLLDACGADVIELGVPCSDPYVDGPIIQASSARALASGATMDGVLAMLKEVTPELSCPVVLFSYYRPILCRGLAEIKEAGVHGLIVPDLPYVAAHSLWSEAKKNNLELVLLTTPAIPEERMKEITKASEGFIYLVSVNGVTGPRENVNLRVESLIQEIKKVTDKPVAVGFGISKPEHVKQIAGWGADGVIIGSAMVRQLGEAASPKEGLKRLEAYARSMKNALP >SECCE6Rv1G0377750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3258570:3263726:1 gene:SECCE6Rv1G0377750 transcript:SECCE6Rv1G0377750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAAVTVTATRLHPSLVRHSAARPQPTLRLRLLLPAPPPLRLRRRFPLLAVASAGEGRAEEAAEKSDKARQLQRRVLVGIAIGVGAGGVVVAGGWVFTAAMTAVVLAGAREYFGLVRGTASGGSTPPPRYVSRVCSAICALMPILTLYYGRMDVPLTLSAFIIAISLVLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRCGLAAPALNTSIAHNWPILLGGQAHWTVGLVATLISITSIIAADTSAFLCGRAFGRTPLTNISPKKTLEGALAGLTGCVLTTVLLSIFFRWPRSLLSATAYGILIFLGSLFGDLIESLIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALCYSFVRVALPLYGV >SECCE4Rv1G0242310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:474168165:474170301:-1 gene:SECCE4Rv1G0242310 transcript:SECCE4Rv1G0242310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPATLSLRPCATLVPSRAALPRAHAHAGFAPASRPALSVSCPPTRFEGLRRAATIVSDRQGSAEPSEKQEKSRTYYFLVANAKFMLDDEEHFQEQLQEKLRLYEERSKEQDFWLVIEPKFLDRFPNVAKRLKRPAVALVSTDRNWIRFMKLRLDRVLAEQFDAETLEEALSSNPAELKFDKPEKWTAPYPKYESGWWEAFLPPKSSNGTA >SECCE6Rv1G0445930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:841888294:841890490:-1 gene:SECCE6Rv1G0445930 transcript:SECCE6Rv1G0445930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEGGRLVQLWNEWGIQFLVLLSFTFQVFLLLFAGIRRRGGSTVMRLLLWLVYLLADSTAIYALGHLSVTSSTSRGHQLVAFWAPFLLVHLGGPDSISAYALEDSRLWLRHMLTLVVQAFAAAYVLHKYIADSGILLLRAAIMVFIVGVLKYGERTWALKHGSMGRIQSESRSLGFLDEFDMPDGIADRRDNEEELLIAAYSMFPMCMHLFGGTIIGPNDSNSMLRKYRLGGEDLHKLVEMELSLMYDTLYTKAAVIQTWYGFCIRIISLALTIAAFLLFKSSNKLGYSRADVAITYVLVIGALAMEIISVCGTVGSSWICQFFCRCLRATRKRRWSGSIGQYNLFHLCTHGQTDLGSRLANMMGLEDWWSRLHFMGTTDISKTDLKDLLFKTLPDIDSRNSRGANILKNRGLGKDRAEWCHWSVNIDLDRSILIWHIATDIYLCKSKVEHGKKLVEAVKVLSNYMMFLLVAKPAMLPGLIRPALYAQTRSNLYSNWSRHLSGGWVVPSHQSQVVSPKKSWLCMVKELFHHEGPNGPCRIIQREELARSMLKGEFSQVSRKAQTAASREFAIDYAVDLAMELVLLESSKPDLLEVIFGVWVEMLCYAGQNCSPDSHARQLGNGSEFITVVWLLIYHVGKSGDDSSSLDVPPPPMLRPAGRWPYSASA >SECCE2Rv1G0111780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:703979791:703983217:1 gene:SECCE2Rv1G0111780 transcript:SECCE2Rv1G0111780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G10560) UniProtKB/Swiss-Prot;Acc:Q9LXA8] MAPPFLLILLLLVAGAGAAAPPNAHACASAEANTYAFCDASLPFPVRARALVSLLTLDEKIAQLSNTAAGVPRLGVPPYEWWSESLHGLADNGPGVNFSSGPVAAATIFPQVILSAAAFNRSLWRAVAEAVAVEARAMHNAGQAGLTYWAPNINVFRDPRWGRGQETPGEDPAMIAAYSVEYVKGFQGEYGDGREGRMMLSACCKHYIAYDLEKWGKFARYTFNAEVNAQDFEDTYEPPFKSCIQEGRASCLMCSYNQVNGVPACARKDLLQKIRDEWGFKGYIVSDCDAVAIIHENQTYTSSDEDSVAIVLKAGMDVNCGSFLIRHAKSAIEKGKIQEEDINHALYNLFSVQLRLGLFEKASENQWFTRLGPSNVCTKEHRELAAEAVRQGTVLLKNDNSFLPLKRSEVSHIAIIGAAANDAYIMGGDYTGVACDPITFLKGMQAFVPQTTVAGGCKDVSCNSTDGFGEAIEAAKRADIVVVIAGLNLTQETEDLDRVTLLLPGKQQDLVNIIASVTKKPIVLVITGGGPVDVSFAKQDRRIASVLWIGYPGEVGGQVLPEILFGEYNPGGKLPMTWYPESFTAVPMTDMNMRADPSRGYPGRTYRFYTGDVVYGFGYGLSYTKYSYNLQGPNRISLSHSPVPGLISRKPAYTRRDGLDYVQVEDIASCESLVFSVHISVANDGAMDGSHAVLLFTRSKLRVPGFPLKQLVGFERVYTAAGRSTNVEINVDPCKHMSSANTEGRRVLLLGSHHVMVGDEVHEFVIEA >SECCE5Rv1G0304410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:58680566:58693463:-1 gene:SECCE5Rv1G0304410 transcript:SECCE5Rv1G0304410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFERAVEDGLRLSKRLVLPGGAAPPRPHAGMDRYGAPDAVASSSLLPVAPMAYAVVTDPAAVDSPDVPSYQPHVYGRLSPPALIPLQMREVELRVDCAAGCATAEVTLVARWWLHCLTRSRDCDCRLVVPMGEEGSILGAEVTVGKKSYNTHVIDVDGNSPVKIVKTESGGILKRQFFQLTIPQVVGGEDIFATVRWSQKLLYDNGQFSVDIPFRFPHYVNPLPKLFTKKEKIQLSVNSGVSKEILLQGTSHPLKEKTRQAEKLFFLHEAVVENWSIKDFTFSYSVYSGDVSGGVLVQRSTLRDYDDRDIFSIFLLPGNNKNRKIFRKAVVFIVDTSGSMQGKPIESVKNAISTAVSELEEGDYFNIVTFNDELHSFSSCLEKINGKTTDNAINWMNSKFVAEGGTDIMHPLTEALALLSNSHGALPQIFLVTDGSVEDERNICHTVKTQLTNKGSISPRISTFGLGSFCNHYFLRMLASIGKGHYDAAFDTGSIEGRILQWFQKASSTIVADISIDVTKHTQEFEVDCEYIPDISAKYPLFVSGRFRGELPETLYAEGYLSDMSKISIELKVQHIKDIPLDKVLAKQQMDLLTAKAWLSENKQLEQLVAKMSIQNGIPSEYTQTVLLQTIMEKIDPVQQGKGKPKKPEEPSATPLEGLTLGFGNVTATAENLTSGFGDTRAPDKFEMFGKAVGGCCGRAADCCCCMCFINCCSKMNDQCAILLVQMCAALSFLGCFECCSELCCGGGGSD >SECCE4Rv1G0246950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:551356326:551359381:1 gene:SECCE4Rv1G0246950 transcript:SECCE4Rv1G0246950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGITCGSLLQKLQLVWDEVGESDEDRDKVLYQLDQECLDVYKRKVDQATNSRDLLIQALDDSKIELARLLSALGEKAVARTPEKTSGTIKQQLAAIAPTLEQLNKKKNERKREFVSVQSQIDQICGEIAGTTEVGEQVATPQVNEDDLTLERLEDFRSQLQELEKEKSNRLEKVLEYVSMVHDLCTVLGMDFLSTVTEVHPSLDDSIADNCKSISDDTLSKLDKTVATLHEDKKLRLSKLQELAGQLYDLWDLMDAPTQERSMFDHVTCNRSASVDEVTAPGALALDLIEQAETEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHTVIDTAAAHMKLLALIEAGNIEPTELIADMEGQITKAKEEALSRKDILDKVEKWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVSKIPALVETLVAKTRAWEESRGLSFMYDGVPLLAMLDEYVMLREEREEDKKRMKEQKRYVEQKLNTDHEGPFGSRVSPNRPATAKKIPGPKSNGTSMNGTPPSRRLSISGQQNGRSGGKDSKRDSVTKAAASPGNGVAAAAAKEDASSHISGTDMAPTTP >SECCE4Rv1G0217410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:16253101:16256871:-1 gene:SECCE4Rv1G0217410 transcript:SECCE4Rv1G0217410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGGLIDSLTGANKSARLKGTVVLMRKNVLDLNDFGATIIDGISDFIGKGVTCQLISSTLVDHDNGGRGKVGAEAELEQWVTSLPSLTTGESKFGLTFDWEVGKLGVPGAIIVNNYHSSEFLLKTVTLHDVPGRGNLSFVANSWIYPAGSYTYSRVFFANDTYLPSQMPAALKPYRDDELRNLRGDDRQGPYQEHDRVYRYDVYNDLGEGRPVLGGSADHPYPRRGRTGRKPNANDPSLESRLSLLEQIYVPRDEKFGHLKTSDFLGYSIKAITQGILPAVRTYVDTTPGEFDSFQDIINLYEGGIKLPNVPALEELRKQFPLQLIKDLLPVGGDSLLKLPVPHIIQADKQAWRTDEEFAREVLAGVNPVMITRLTEFPPKSSLDPSKFGDHTSTITAEHVEKNLEGLNVQQALESNRLYILDHHDRFMPFLIDVNNLPGNFIYATRTLFFLRGDGRLTPLAIELSEPIIQGGLTTAKSKVYTPVPSGSVEGWVWEFAKAYVAVNDSGWHQLVSHWLNTHAVMEPFVISTNRHLSVTHPVHKLLSPHYRDTMTINALARQTLINAGGIFEMTVFPGKFALGMSSVVYKDWKFTEQGLPDDLIKRGMAVEDPSSPYKVRLLVSDYPYAADGLAIWHAIEQYVSEYLAIYYPNDDVLQGDVELQAWWKEAREVGHGDLKDASWWPKMQAVGELAKACTTIIWIGSALHAAVNFGQYPYAGFLPNRPTVSRRRMPEPGTEEYAELERDPERAFIHTITSQIQTIIGISLLEVLSKHSSDELYLGQRDTPEWTSDPKALAVFKRFSDRLVEIESKVVGMNHDPELKNRNGPAKLPYMLLYPNTSDHKGAAAGLTAKGIPNSISI >SECCE1Rv1G0007280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39877873:39880130:-1 gene:SECCE1Rv1G0007280 transcript:SECCE1Rv1G0007280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIKRLSLLQHLLFFFLFLVLDLSELATGQQDQFLYSGFAGADLALDGVATITPDGLLELTNGTFRLRGHAFHPTPFYFGKAPNGTAVQAPQSFAVSYVFAIYCIQAQTCGHGMASVVAASSNFSDTMPTQYLGLINDHNNGDPANHFFAVELDTNWNDEFKDIDNNHVGIDINNLVSVNSSSAGYYNDRNEGNFQNLTLASYKMMQVWVEYDGGRRQISVTLAPVDMAKPTKPLLSTTYNLSTVLPDMVYVGFSASTGSFDSRQYVLGWSFGINRPAPAIDVTKLPKLPRQGPKPRSKVLEIVLPIVSATFVLAVGTTVILLVRRRLRYAELREDWETEFGPHRFSYKDLFHATEGFKNKNLLGIGGFGKVYKGVLPKSKVEIAVKRISHDSKQGMKEFVAEVASIGRLQHRNIVQLHGYCRRKAELLLVYEYLSNGSLDKYLYDQEKKPTLTWAQRYKIIKDIASALLYLHQEWEKVVLHRDIKPSNVLLDDGLNGRLGDFGLARLYDHETGPQTTHVVGTIGYLAPELGHTNKATPLSDVFSFGMFVLEVTCGRKPIEPTSQGNQLTLVRWVIDHWHQGFLTDAVDTKLRGAYNVDEACLALKLGLLCSHPLINLRPNMRQVTQYLNGDMPPPDLNLTHMSFNIPSLMQNEVSIDDLRAALMV >SECCE1Rv1G0034690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:488097495:488099483:1 gene:SECCE1Rv1G0034690 transcript:SECCE1Rv1G0034690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQHSNTLLHFLRHVSFPPDAHLLPSALKSCPAQPLARALHAAAAVSGLAEDPFVASSLLHTYLRLGATSDARCVFERMPDKNVVGWSALIAGYSARGNAEAAWGLLERMRSAGVEPNVITWNGMVSGLNRSGSALDAAKALVRMHGEGFLPDATGISCALSAVGDVKMVSIGEQLHGYVVKAGCRLDQHVVTALIDMYGKCGRADEISHVFHESSHMDVTSCNALVAGLSRNAQVSEALLLFSEFVSRGVELNVVSWTSIVACCVQNGKDLEAVDLFRKMQSKGIEPNSVTIPCILPAFANVAALMHGRSAHCFSLRKGFLHDVYVGSALVDMYAKCGKVRDARTIFDAIPSKNTVSWNAMIGGYAMHGEAANAVQLFCLMQKCKQKPDLVTFTCVIGACGQAGLTEEGRRYFHEMQHSHSISPRMEHYACMVTLLGRAGKLDEAYDLINEMPFEPDGCIWGSLLGSCRVYGNVFLAELAAEKLFELEPENTGNYVLLSNIYASKKMWDGVNRVRDQMKNMGLKKEMGCSWIEIKNKVHMLLAGDNSHPMKTAITEKLKQLTIEMSRLGFAPSTDFVLHDVEEQEKDDILAVHSEKLAVALGLISTSPGTPLRVIKNLRICGDCHEAMKFISCFEGREISVRDTNRFHHFKDGKCSCGDYW >SECCEUnv1G0550680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:222256929:222259383:1 gene:SECCEUnv1G0550680 transcript:SECCEUnv1G0550680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPLDDDDLLSEILLRLPPQPSSLPRASRVCRRWRRLLSDPAFHRRFRIHHRRGTPPLLGLFRANRGTITFRPALPAPDRLPRGHFSFQLNDDYIPLGWRHGLALFYLPLPHQVFVWDPLAGDQHRLVIPEGFVSDPDEEDPINGAVLRAAGDVDHFQVVLVTSDGRRPTLACVYSSETGLWGDFISAQLQSATMVHWGEPSVLAGGCIYWLISVTSILEFDLDAQSLAVILVPAGMRTGRSYHSAVIRAEGGGMGFLNVADFTAQLWRRETDCDGVGSWMLGGTVELNRLLPPGSDNEPLRVFGYAEENNVLFFSTVVGVFMFNLQSLEPTRLSETSISGYHYDPFETVYTPGIGGVQEQEGPEALVVGKRRSWWKRWRQHIRGLFSCARGGNDGDNT >SECCE5Rv1G0368560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:829793087:829794319:1 gene:SECCE5Rv1G0368560 transcript:SECCE5Rv1G0368560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVRARPRRIPAFGEWNYYDDGGYGYGDWPATQTQYLDSAMQAGVVFALPASPKPLKKVVKWSDSGTLEVDGEQKVVAGLREEHGPRKKQGKPIEIYLKVSDADDARLTASCNKTRRVKAVDEDLYVIPPDMLCHNNARKRLTKRLWIGCLGGCVSA >SECCE7Rv1G0482420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:304912889:304917048:1 gene:SECCE7Rv1G0482420 transcript:SECCE7Rv1G0482420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASAASDSAPPPPSPAQPHGAAPPYGAGLAGILPQKPDGDDKKEEKVDYLNLPCPVPYEEIQREALMSLKPELFEGLRFDFTKMLTQKFALSHSVLMGSLEVPSQSADVIKVPTSQYEFGANFLDPKLMLIGRLMTDGRLNARVKCDLTENLALKINAQLTSEPHYSQGMFNFDYKGTDYRAQFQIGNNAFYGANYIQSVTPNLSMGTEIFWLGQQRKSGIGFNSRYNTDKMVGTLQVASTGIVALSYVQKVSEKVSLATDFMYNHMSRDVTSSVGYDYILRQCRLRGKVDSNGVVAAYLEERLNMGVNFLLSAEIDHPKKNYKFGFGMTVGE >SECCE5Rv1G0297160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:156136:157311:-1 gene:SECCE5Rv1G0297160 transcript:SECCE5Rv1G0297160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRRDEGSSEKRCDCGNRRRKHLYVVLDDWDKGFTVHKLDALSFLDDSDDDGGGGGELKRLPDPPAVRITEAQHSPMLFAGLGTTIFVANKKQLSPQATGALVYDTETAAMAMGPCLPENPCCVFVAAGRGESKGKHNEENEKLYTLAKVDHGGRSNHPRGYLMGVSVHALSWARSPASEPWLPSHEWAWESAASPMAAPFDGNEETVVSYAVHPDGRTIFFSTRGTGYRPAGTYSFDTEQREWRSHGEWVLPFDGQGYYERELDAWVGLHKDGYICCCEATPGAGAGAAPEWRKTERKLFREGDRERHLGATLTYMGNNVFCLVESVVREGVEPGRAYGAGRGCALHVTVLGLKYSRDGELQATVGRVTKSYAVCKYIPGFAHEAFWM >SECCE1Rv1G0022540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:285387355:285397477:-1 gene:SECCE1Rv1G0022540 transcript:SECCE1Rv1G0022540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKAVEPSVPSTNGGGDKDRSSRRRSSTAGGGGRDQDERGSKRTRSGEDRERHRSGREHRDREDGKEKVDREKVRKKDEGRDREKVREKDGGRSREKVREKDESRDPEKVREKEEGRDREKVREKDGSRDREKVRETEREGRDRLMERENGRERRSRSRSERRRGEEEEMVRELQRERERSDRHRDYRDRDFRRKKDDGTEPEVDPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALTGQPLLGQAVMVKPSEAEKNLAQSNATSGGAASGGARKLYVGNLHSNITEDQLRQVFEPFGQVELVQLPVDPLTGLCKGFGFIQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDQGGVQLGTTTGDLDDDEGGGLALNASSRALLMRKLDRSGTATSLTGAIGAPGLNTSVGLPAASVLGAPLTAASLLVQPVGAIPGAPLPIISQSADIGTPTEFLLLKNMFDPAVETDPDFDLDIRDDVQDECSKFGVVKHIFVDKHTAGFVYLHFDSATAAASAQRSLHGRWFAGKMITATFMTAQQYEMKFPDRAAME >SECCE4Rv1G0265990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:728177089:728179156:-1 gene:SECCE4Rv1G0265990 transcript:SECCE4Rv1G0265990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thermospermine synthase ACAULIS5 [Source:Projected from Arabidopsis thaliana (AT5G19530) UniProtKB/Swiss-Prot;Acc:Q9S7X6] MVGAVQEGSIVGEMKHRLEANGQGKAAAVAKQQQQLQRGDHEESQWYDEEIHDDLKICYALNSVLHRGTSKYQEIALVDTKRFGKALIIDGKMQSAEADEFIYHECLMHPPLLFHPNPKTVFIMGGGEGSAAREALRHKTVQRVVMCDIDEEVVHFCRTHLSVNWDAFASDKLCLVINDARAELEKTREEKLDVIVGDLADPVEGGPCYQLYTKCFYEQVLKPRLHHGGIFVTQAGPAGVLTHKEVFSSIYNTLRLVFKHVKAYTAHVPSFADTWGWVMASDHPFTLTAQQINERIVDRVDGELAYLDGETLISSTTLNKTVLQSLLNETHVYTEDDARFIHGHGRAPCA >SECCE3Rv1G0184070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:659069001:659074869:-1 gene:SECCE3Rv1G0184070 transcript:SECCE3Rv1G0184070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAFPTAARLGLHVLLYSSLLLNALFVAHHFLSAPPAPSPLLSEANNGGALSWALRAAMDAESVAAAGCSGHGRVFLDGIVSEDGRPGCECNTCFEGPDCSVRTPDCTVDADSGDPMFLEPYWMRHAEASAVVVSGWHRMSYRTTNGLFQSVELERCIRRLHAAVGNAVTDDKQIVFATGSAQLINALVYALSPESNSGSTASVVATTPYYPAYRTQIVLFDSREYKWGGNTSKWANATGNSTAKEDIIEFVTSPNNPDALLHQPVVGGSSAILDHAYFWPHFTHIPAPSDEDVMLFTASKLSGHASSRFGWALLRDKKVAKRVNDYMMQNTMGASRDTQLRMLSIFKAILANLHGEEDIFGFGHDVMTAKWRKLSAVVSRSRRISLQNIPPQYCTYFDKIRGPSPAYAWVKCEREEDGDCSDVLLKAKIITRSGVWNDASSRYTRISLIKSQDDFDLLLERITEFVDAELTAAGSNSM >SECCE4Rv1G0218110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19863365:19863859:1 gene:SECCE4Rv1G0218110 transcript:SECCE4Rv1G0218110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHDQNYGRVLDKEKFEKELAKVNNEHERELAKLKMENDKLCIDYTKLVDDVSKMFDWQDGRVDKRVYQKQVEEEELEKKKELEEKAMLEVQMEKLKLAKEQRCILQSQADIIKNTRKAMKDVELDKDVLKKEKAKLELVVAELLKDGYGSKEKLEKIKAILES >SECCEUnv1G0563440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:375230506:375231330:1 gene:SECCEUnv1G0563440 transcript:SECCEUnv1G0563440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSAATGALKPVIGKLATLLGDQYKRFKGVRKDIKSLTYELAAMEAFLLKMSEEEVPDVQDKVWMNEVRELSYDMEDCIDDFMKCVDDIELKPDSFMEKIKYSLGKMKARRRIGNEIEDLKKQIKDVGERNERYKTRQSFSNTKNAIVDHRALAIFEHASNLVGVDKPKCEIIKLLNEEDRIASMQEKPKMVSIVGSGGMGKTTLANQVYQDLKGNFECRAFVSVSRNPDMMNILRTILSEVNLKGYAHTEAGSVQQLISNITCFLANKR >SECCE6Rv1G0431310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746138386:746139264:-1 gene:SECCE6Rv1G0431310 transcript:SECCE6Rv1G0431310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTVREVLYMYSVARQAYERFLSVCGSPEKAQNAVALLVWLDQGTISAIHHVPAMAPDAVAVVAEEANAVLGCLRHQEPAVPPIPLISVLCMQGGVHIEPGFFAFHQDLVVRGVAHFLDGAGKFVFNNRLHVLLRRSETGLMVNPPELMAPYTSQPVVVPEDSRSMFITFSKGNALHREEIFEYFKQKWGDCVVRVLMEKTKGGHMPMYGRIIFKTEAIVKLVLNGERLVKISIGQREIWLRKYVPRPTNAAT >SECCE4Rv1G0286960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:852813728:852819401:1 gene:SECCE4Rv1G0286960 transcript:SECCE4Rv1G0286960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVLDAFASYVQNMLTEMVSEEVHMLLGVTSEIKKMDVKLKDLKNFLADADRRNITDERVQEWVAQLKRAMYEATDILDLCQLKAMERGPSTADVGCFNPLLFCMRNPSHAHDIGTRIKALNQNLDEIKERSAAFSFIPLGSSEDRSSKVQASRSGNKRRETSGVFDRSAVVGEKIEQDTRKLVEVMLSEKEGNPNIMVFAVVGVGGIGKTTLAHKVFNDEALNNKFEKTIWLSINKDFDEVELLRTIITQAGGEPGRNKALAVLQPILVTTLKGKKLFLVLDDVWNHGAWDDVLKTPLANVVAPGSRVLFTTRDETVARRMKAMLPYHHVDKLDEEDAWSLLKKQIISSEIDRRDIDMLKDIGLQIVAKCDGLPLAVKVMGGLLCQKDKKYREWEMVLADSIWSISGMPEELNHAVYLSYEDLSSCAKQCLLHISLLPKTAVFYCDEIIGMWISEGFLHGTSGDLEELGRKYYKDLILRNLMEPNTEYVDQCVCNMHDVVRSFAQFVARDESLAAHSGETNIVSKLSAHGFLRLSVESKGSESDGLDWSCLQAQKTLRVLVSVGFINIKPGDSLVNFPCLRTLYILSAHVVALLESMHELKHLRYLSLENTDISSLPDSIGKMKFLQYIKLQGCQQFVKLPPSIVKLGQLRYLNFIGTSMKGIPRGFYALTNLRVLIGFPAQEDGDWCSLEELGPLSLLKNLGMYELENVTTSSSAAKAKLGEKVHLTNLSLYCGSILGDDRLIKEEDSVSEEEQQRIKKVFDELCPPSRLEYLCINGYFGQRLPRWMMSSSVVYLNSLRILFLEDLVCCIQLPDGLCRLPYLEFIQIDRAPAIKRVGSEFMQSYHQHSPCPSRMVAAFPRLHEMNLIGMVEWEEWEWEEQVQAFPVLQQLMLKQCKLKCLPPGLASQATALSILTVYYVQGLISLENFPSLVELDLDENLDLERITNLPRLQKLTIEGCPKLKALEGVPSLQRLMLTDKHMDTLPEYTGRINPRHLELYCSLALLNSIAAAQSGHEWDKFSHFKHVKAYASEGDNTKKWYVFYTADPYNLETNVSRSFMSRGTLTSFEDTQRFEYVFKMTRKTFSYICSLVMKPSMKDMNNYAFVDGRVLSLEDRVAVALRRLQSSELPEIIGSSVGVNESTLLLITKSFVVAVDKRAGHHSRWPDSSEIDKVKSIFEKIHNMHNCCGVICTTHIPFGPNWDTETNDSILVQLVVDPEMRFRNIWWGWISSMNQSSILHESNFFKECQKGALLNGSKLKVGLEGSEVGEYIIGDAGFPLLPWLLTPYQEEDLSDSKAEFNRRHNAATTCAQKALARFKDTWKYLQGETSCPVNPDTLVATIHACCYLHNIVIDIEDDEAMLSVEEPGDSEDDGTTSTVEEPDDCEDDEAMSSVEETDDSEDLRQVENEDAVVARDMLSQYFLTTMSSESGVGPVDVEEEREVVASGSGDENKEQEAETRTSDEEILN >SECCE7Rv1G0511470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803580435:803580725:-1 gene:SECCE7Rv1G0511470 transcript:SECCE7Rv1G0511470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGRACERESAVRASLRLGGRQTACAAGGVGRGRHCATTETAEAQADQTVAARTSSWKAEAVEREETRRATGAAAGAAEIARTEKLLHLLLWGPN >SECCE5Rv1G0330810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:533580082:533582820:-1 gene:SECCE5Rv1G0330810 transcript:SECCE5Rv1G0330810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAAAPARTLPARLLLLLLLLSARAADAGPLAAGLVYPGFTASGYEYIDTRGAFLESNNGAFRAAVHNPGKQLASFYLAVLHEPTGTPVWSANRDAPTGSSGRVQLSARGLSVTDADGATVLWSTTPRAPVAALRLRDDGNLQLLDARNATLWQSFDDATDALLPGQQLRAGAYLTSGRSPSDFARGDYRLAVSASDVALTWQASMYWRLSNDLRSFKERNVAASSMSFNSSGLFVVAADGALVFWVDFAPADFRVLKLGHDGRLRVTSYALVNSSAPLGGDFVAPATDCELPLQCPSLGLCAAAGNSSTCTCPPLFAASVKVSGGCTPGDGSALASPDSCQTNSSASSISYLALKPKIAYSASRYDAPTTTGVNRTACRALCTANCTCLGYFHDNSSTTCYLIGGRQLGSLHWSTRAAPALGYIKTINSATRAGKNKRDSSSASRSLPIILPSVAGFLLIVVVAWYSLWWRRKRKSGKKGKAKNSSAKNVNPGLQNPRSRDTSYDEDPDDDDIVIPGMPARFSYEEIGSMTAGFETKVGSGGFGSVYKGELPAGEGLVAVKRLEAVGMQAKREFCTEIAVIGNIRHVNLVRLRGFCAEGSRRLLVYEYMNRSSLDRSLFGATGAPVLEWGERMEVALGAARGLAYLHTGCDQKIVHCDVKPENILLADGGQVKVSDFGLAKLMSPEHSAIFTTMRGTRGYLAPEWLSNAPISDRADVYSFGMVLLELVHGRKNRGEQEQANNVGALTGSGDHSAFPSPSGQSSTMTSSTMSGGTSGGDDEYFPMVALELHEQGRYLDLVDRRLEGRVSGAEVARAVRLALCCLHENPAQRPSMAAVVRVLEGSVPPPEPRGDVLGFLRLYGRGYAMPAPVTGMPATSGSAGNAATHGWSTSAAAGSQQDGSLQDTSAPR >SECCE5Rv1G0326320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:477101886:477115857:1 gene:SECCE5Rv1G0326320 transcript:SECCE5Rv1G0326320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMGCRRAGGSVGWQYVFEDEEDEREDGDAPLVEEEGADEDWGVEMERRGAKRKGPRSSRPPKRRRLATLCASPTSSDSGSPSSSAVPKRRRVATLCASPASSDSGSPSWSTVPKRRRSGNGARRGRPVAVPTETAAPAAEEATPSTSAAGRTKGRRSCHQCKAARKQQMTKCKQCREKIYCGPCIEDMYPGLSLAEVRVRCPSCRGICNCKRCTAKEQGEPKSSVSKKHNGSRSVTKRKKANTSGVKSTRVRNEVAQTEANDNSVLRSNEINSTSVILDKVDMLDAKPDEIARETKVKHARYLLHYLVPCLSDLNRDQMVERKIEAEIQGLELSELSVERADCRNDERMFCDNCRTSIFDLHRSCPNCSYELCIACCKELRENNLEGSCREELVSYPNRGIDYMHGGDPLPELMNCIQTDLSSCQPKTTKWCAKTDGTINCPPPELGGCGDHALKLRQMFPKDWLNKLERDALQLSKQLEPSDIVSGYTHECPCCTKHENARHAATRDNSTDNCLYCPKSDNEKADDLTHFQSHWVKGEPVIVQGVLQKMPHLSWEPPHMWSEVHGASTTPDMKNVKCIDCLSCCEVEIRTQDFFNGYYYGRVYQNEWPEMLKLKDWPTSNHFEELLPSHGVEYINSLPFQPYTNLKSGLLSVSALLPDDILKIDMGPKSYIAYGYAQELGRGDSVTKLHCDISDAVNVLMHTAKVPPSEGQENAIKNLKARHEVQDEKDCCGNFAIDGSDACHKNFVDSNHTSSPNYNKDDEGGALWDIFRREDVPKLETYLRKHSKEFRHIYCSPVEKTFNPLHDETFYLTEEHKRRLKEEHGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIAIDFVSPENVQECVKLTQQFRVLPKNHRAKEDKLEVKKMIIYAVDHAVEILKEHCHSSPFAC >SECCE1Rv1G0016970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:145611687:145612058:-1 gene:SECCE1Rv1G0016970 transcript:SECCE1Rv1G0016970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPASAVSFSPRPSTGTTFRPRAASASGSVGAGRVRAAGAPEGGKWWAPLLGWSGRADYIEAAAPAPAMSAVEEEKAGRSFVGGLTEEKARQLRARMVETESFHDAMYHSAIASRLARST >SECCE2Rv1G0074720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:79251402:79262264:1 gene:SECCE2Rv1G0074720 transcript:SECCE2Rv1G0074720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGPLLVAMALVILSPSLCPVTSAWGIFSSSPKPTSQTVSAPTLPGGGGGGDRTVVDFSMEGGGGPRGVELLDGARRRIAGPASCWGEAYRGLFASCAHIMSDKERQSRLAWRLSACYQEDSGRPPLPPCDEGSRMVHCRKRLSEHEEKVFLEFFLETNTLCHQLQAEAFKQSTERLVNDLTRSAAAACEKLSAIEERSDQIIQESSKLHGSMSSIVSQTAHLTAASDNLKSRIRDVLEQSAAIADQSRQIAAAQEGLREGQEEMRGRIDAGMARVEEEYARLGEEMVRLKEEAAGIEQEVRAVGDAMAARMEGLQRTAEEIGIAAGRSLENQQELLEGQANATRALGELHGFLTRALEDSREAMQKLARFGRQQQEELLSRQEQLRRAHDHLMRNSESILRAQEEFSAKQAGIFAALDKLYVLHNAVLVESRFIKAFFFYCCVVFLLYLLTSAKQTFAIRSQLIRCLCVTLVVEVALIRLGATDDDLTRPFWIVSKVLLLRSAFLAVAAAQILHAIFAFKDYEVLNHELLQTLVEKVRAMEDNAAGDKMCPWGTGSDDEGSLSDYSWVFDELQDDVDSEIDPDFELREDEICKKDHGFQEEIGENSLSASVAVRRYNLRARIMPR >SECCE5Rv1G0308480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:131891667:131892995:-1 gene:SECCE5Rv1G0308480 transcript:SECCE5Rv1G0308480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSFPIFLDPPSWTQMQQQPLQCFMGGGGGGSEHQHHQLIPASSGQLAPLPDVPGNTAASAPVVAGSSSASLQLVVSGQHGNPGEQPPRPSSVSMTERARMARVPLPEPGTLRCPRCDSANTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNTKRSSKKSSRQGQGQGGGGAVAAATSSSSTTSTSTTTSAAAATAADVIASMQALPHHLGGLPAAAALEASLEGYHSHSHHQHHNLPFLPPQFLQQGLHGYHFADVDIGSQLADGFPRGVASGLLAQLASIKMEEHGAGAGSAGGGGFVGAHEQYWPGSGGGGGWPTEFLSGFSSSSSGNVM >SECCE1Rv1G0043740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:595957242:595962889:1 gene:SECCE1Rv1G0043740 transcript:SECCE1Rv1G0043740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLHKLKGLDAYPKVNEDFYKRTLSGGVVTLISALVMLLLFVSETRSYYYSATETKLVVDTSRGERLRVNFDITFPSIPCTLLSVDTRDISGEQHQDIRHDIEKKRLDSHGNVIESRKEGIGGTKIEKPLQKHGGRLSKGEEYCGTCYGAEESDEQCCNSCEEVREAYKKKGWALTNPDLIDQCAREDFVERVKTQHGEGCSVHGFLDVSKVAGNFHFAPGKGYYESNVDMPELSAEGGFNITHKINKLSFGTEFPGAVNPLDGAQWTQPASDGTYQYFIKVVPTIYNDIRGRKIDSNQFSVTEHFRDGNVQPRPQPGVFFFYDFSPIKVIFTEENRSFLHYLTNLCAIVGGIFTVAGIIDSFIYHGQKALKKKMEIGKYR >SECCE5Rv1G0310960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:182229212:182230269:1 gene:SECCE5Rv1G0310960 transcript:SECCE5Rv1G0310960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVAALEAGGARDYSDPPPAPLVDVDELGRWSLYRAVIAEFVATLLFLYITVSTVIGYKHQTDASASGPDAACGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARRVSLVRALLYMAAQCLGAICGVGLVKGFQSGLYARHGGGANEVGAGYSVGTGLAAEIVGTFVLVYTVFSATDSKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNGDKAWSDQWIFWVGPFIGAAIAALYHQTILRASARGHGSFRSNA >SECCE1Rv1G0001550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:5128634:5128918:1 gene:SECCE1Rv1G0001550 transcript:SECCE1Rv1G0001550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDITKHFVAVPAEFKLRNNTDFSWKVMVKLLNGRVTLDQGWATYATVHQIKIGYMVTFKLLTPDTLKVIIDDAGIEVANKCGKHDEAFAAKD >SECCE4Rv1G0280680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:822695210:822695824:-1 gene:SECCE4Rv1G0280680 transcript:SECCE4Rv1G0280680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSILFSAIAIIVLSNTIAAKSSASGGGEPKVTNFILEACKNASMKNQIYDPNPITQEFCVSTLKMDNRSAEAKDLHSLIHVAIDVLKGQVAAANDNVKQMLHDTKNGTTTMRALSFCMVDYNRMVSILNICDTMIKEYHGRKGRADDGLLSSELAACVEKVDKPFIDCWLGLLAMEAKKLLDENFAVGMLVKLNFCLASTI >SECCE1Rv1G0000670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2236753:2239856:-1 gene:SECCE1Rv1G0000670 transcript:SECCE1Rv1G0000670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFGTCHSTSSSSLLTILVQQYRKMSGLHVIALLLLPLINQGIYLATAWDDKDFFKYCPPSQCSQHGPEIRYPFCLESSNKSSSSSSSCGCSDRSIRKLACSGQDTILVHPVLGPYSVSAIDYRRSSMKLIPLVDPCFVLQQKLVNSRSSSSPQVDVINDEIPGLDRWNSTVVRCLREFAPGAADGIAGPVSCLGNTTHFFYLVDGSEDMSIIPLDCKVVPVPDSVSRSLIPMYSSCFPGYDTQSFKEAAKTFISYAETKMCWNYRSCGNCELSGRRCAFSSQQNLAFCMPNGSHIKVIAATSSVAAFVVLLVTVATVLYLSLKTRYNAEIHLKVEMFLKTYGTSKPTRYTFSEVKKMARRFKEKVGQGGFGSVYKGELPNGVPVAVKMLENSTGEGEAFINEVATIGLIHHANIVRLLGFCSEGMRRALIYEFMPNESLEKYIFSDDPNIFQNLLVPDKLLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRTNADPRIGSQDDVYLPEWIYEKVINGEELALTLEMTEEDKEKVRKLAMVALWCIQWNPRNRPSMTKVVNMLTGRLQSLQMPPKPFVSSENELMP >SECCE6Rv1G0448830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:858651177:858652079:-1 gene:SECCE6Rv1G0448830 transcript:SECCE6Rv1G0448830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFVRSISFPLSPSRSPKSRAPSPSYHGRSVSLPCRSHPILAHLHTHIRSVRAWSQQGPAALASSVASGLAHVDALHAALGDLLDLPEAQDALSGAGGSVDRLLDSFLRLADAHGCFQETVVALKQDVAEALAAVRRHDGARLASAVRAQRRAGKELARLAAAASECAVRPLRLSILGGGHGSSAEVEVMGLLMESAAATASASAALFGAVAAMSGCVEAESRSCKGTAALVCLVKKSKKKSSAPGCGEEGMAVAAVAERLEELEECIEELEADSEKVFRSIVQTRVALLNIHTLHIF >SECCE1Rv1G0013000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:99955128:99960273:-1 gene:SECCE1Rv1G0013000 transcript:SECCE1Rv1G0013000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVH6 [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/TrEMBL;Acc:A0A178W4P7] MKFDLEGLTVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLANPSRPLRLLYCTRTVHEMEKTLAELRLLFSHLPPAASRSLLALGLSSRKNLCVHPQASASAARDSVDTACRRLTASWVRDKAASDPESTPLCEFFESFDRAAAAGDLASFMPPGVYTLADLRSLGRERRICPYFLARQMVKYANVVVYSYQYLLDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALSVSVRKQTLDGAERNLRRISQEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPSLPDDILKEAVPGNIRKAEHFLAVLRRLVKFLDGRLETENVENEMPVSFVASIHSQAGIDQRMLRFCYDRLHSLLLTLEITDTDEFMHIQTICDFATLIGTYSRGFSIIIEPYDDRMPEIRDPVIQLSCHDASLAIQPVFDRFQTVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASSVPDGIVCFFVSYSYMDGIVNSWNEMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSKILRARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHTAREFLRRMAQPYDKAGSGGKKTLLTEEDLQDMARDAMEM >SECCE2Rv1G0069450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:29128689:29129798:1 gene:SECCE2Rv1G0069450 transcript:SECCE2Rv1G0069450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQHSHLDGDRTGKRPRRAPKKHLYLVLDDWDTGFSIYKVDANTLQDTCTSTSDVQFGFPDPPVLRFPVPVRHLGMSFTAFGNSIFIATNPHCPQTPTLVYNTKIAGITIGPSLPRSLLGGIDISVAAGDTLYGLTSRHAGEQHSFEAMSWAATGSDELSDPRPDMDWSWKSVPSPPPFATDDIISSYALHPDGHTIFMSAHDILYQHVPKGTFSFDTKRCEWRWHGEWALPFQGQGYYDSELDAWIGLRKDGHICACEVASRSRESAVQPYCKVAKEKLFLKVPERRVAATRATLAYMGNNNFCLVDCVQRQGVEPTCIFDCCVLHVSTFGLKYDRRGELKTTRHCSNSCVVSKHILSFSPLVFWM >SECCE2Rv1G0127690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:855453358:855453647:1 gene:SECCE2Rv1G0127690 transcript:SECCE2Rv1G0127690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGQFVIPILGIVGAAAATFYAVSFMEIREKSLEDLDDKYSEYEEGGGRQRRARRRSGRQAKKRND >SECCEUnv1G0561330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:353905087:353908682:-1 gene:SECCEUnv1G0561330 transcript:SECCEUnv1G0561330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVMMSASTGAMNSLLGKLTTLMGEEFAKLKNLRKEVKFIKDELGSMKDTLEVLADVDKLDPQTKSWRDTLREMSYDIEDIIDDFMHHIGKKSESENHGFAKKTVRLLKKLRVRHQIAGRIKEIKALVLEISARRQRYKLDISPSKNVEIDPRVAVLYENAANLVGVKRPAKELENLLKDENKKFKVVSVVGFGGLGKTTLANMVYGKIKIGFQCCAFVPVSQKPDIPNLLHDLLSQLGCKPSSHDCGLNVMLDQLREHLHNKRYLIIIDDLWGVSDWDIIKRAFPENDLCSRAIVTTRVQEVAAACCSRHHDYILQMKPLNDKHSRRLFFGRIFGREDACPNPLRDVSVEILKKCGGLPLAIISIAGLLASESHNQEEWEHVRNSLGSMSGTKLTLKGMRQILNLSYKDLPCQLKTCFLYLGMYPEDYTIYRTDLERQWMAEGFVSKENGQDMQKIARNYFNELVNRSLVQPVRFDGSGSVTECKVHDMMLDLILCKCAEENFLTVVVGSQDNTTQKYNVRRLSVRLNGAANVTLLLGKISLSQVRSVMMFGRSKDIPPLSKFKFLRVLFVKGKRTTDLAGMSELYHLTYVQIDYYGDDLHVPTPIRGLQQLETFDIMSCASVPSDIAHLPHLMHLCIGLRKGLPDGIGKMKSLRSLQWFDLSANSLDNITGLAELTNMRELALNGNMVRHMDVLCSSLRKLCSLEDLYISTEGCMDKFSPPPRRLQRLSICSSYQWGCLFSRVPDWTRELSSVRVLELSVEELLEDDLGILAELPALTKLDLKVRRPPKEMIVIYGRGTFPALKRFTLALSSPSYLTFQAGAMPMLQWLWLHFSVEGWNQNEGGPAGIEHLHALEKVCAEIYNATIESEKSSAESAFRSAVNRHPNNPRILVGHHCYKY >SECCE1Rv1G0041690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:573460724:573462445:1 gene:SECCE1Rv1G0041690 transcript:SECCE1Rv1G0041690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVAGAVAAPLRRSLCTAASRPPWALTYRMAPPGARPSLDLHEPPCVSQLSVPTHLADGMDLAAVSIQAASCDGLLLLDFAGTLRGDRDYGDSLPAVAAFAAAYEPGVRRFVCNPLSGELFRLPLPNNMDAEKITMPFGLLTQSDSSDGPPDRFVVAQLCLRERDGQRVVRRFLSETGEWDEPPLSVPAEAPGWRAMPLHEVVAFGDRLWWLEPYFGVFSVDPFSDRPEHGFVVLPRPLPNFDMEVQPELYRLLGVSEGKLHYVELTIKEPFMLYSFSLDDEGSSWKLTHETRLKLVLPDNSTPRLDQMPWISAIDPFDANILYFQHGKIISALDLAEGERIGRSAFPDDIKSLYHGFVPVMLPAWLESYNIPCAGTLSSKTTNCTSKTLADMLVRVDKD >SECCE2Rv1G0086590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:214162217:214175399:1 gene:SECCE2Rv1G0086590 transcript:SECCE2Rv1G0086590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) UniProtKB/Swiss-Prot;Acc:F4I2H2] MSLNRLKETLLPCSSSAQSQSQPRSPSPPRPPRRPPKTSLSQQLLRLEASYSLPAPPPSPPKPPSQKTAEDAAEQPTSSSEEDVAPRPRRRPAPPPAASFEPRGPYEPLVLSPPGEHPVVQVPPSINCRLLPHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSSVIGKDNNQGERSTDKGKKNSPVLILCPTSVIRNWENEFSEWANFSVAVYHGPNRDLVLEKIEGQGLEVLITSFDTFRTRDKVLCDISWELVVVDEAHRLKNEKSKLYTACLGITTRKRFGLTGTIMQNKIMELFNVFDWVVPGCLGDREHFREYYDEPLKHGQRLSAPERFVQVADKRKKHLVSVLKKFLLRRTKEETIGHLMLGKEDNIVFCRMSDVQKRVYRRMLQQPDIQILVNKDLPCSCGSPLTQVECCKKIEPRGIIWSYLHRENLDGCPLCPFCLVLPCLVKLQQISNHLELIKPNPRDEIEKQKKDAELAAAVFDTDIELVGGSAKSENFMGLSDSEHCGKMRALERLLSLWTLQGDKILLFSYSVRMLDILEKFLIRKGYCFSRLDGSTPMNARQSLVDEFNKSPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRYGQKRHVTVFRLLGAGSLEELIYSRQIYKQQLSNIAVSGKIEKRYFDGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEDEKAKTSEATGIREIVDTDLFGTQGQMKSSTAAIGDENQALADYGVVYAHRNEDVVNTRTRDDDNNERNDGAAAECVERISEDLHSKKQIKPTADRAKLRLVEEQKRKEFSRIAACVGMNDLEFSKWLLSVSPLQRQRVLDDHRKKGSITERK >SECCE1Rv1G0057730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694866629:694869363:-1 gene:SECCE1Rv1G0057730 transcript:SECCE1Rv1G0057730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGSLGLLQAPSFAAAKCRPVAATSRGAARAVFTVRASAGSAATKDAVLRPFRENRALKIISGLQNFDRSNVASVVTAADKGGATHVDIACDQDLVKLALELTNLPICVSSVDPSAFQSAVQAGAQMIEIGNYDSFYDAGIEFSSEQILNLTRETRKILPDITLSVTVPHTLSLPDQMRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVSIPVMCASGLSSVTAPMAVTAGAAGVGVGSVINKLNDVVAMIAEVRSIAEALGKTASRNVSEDLRRVHN >SECCE3Rv1G0202070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:869401990:869406578:1 gene:SECCE3Rv1G0202070 transcript:SECCE3Rv1G0202070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEAAADAMLAAASRAFCTSVAVFFQIQGCCICLLLAFGWAVASLVRKKEIRKMRRKMASGHSFAFLCDDVDELEHSVQEKLPMVSVVMPLKGFGEHNLQNWRTQITSLYGGPLEFLFVVESKDDPAYRAVSRLIVEYKDKLDAKVVVAGFSTTCSQKIHNQLIGVEKMHKDSKYVLFLDDDVRLHPGTVGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSIGFATGGRTFFLWGGCMMMHADDFRQDLYGLVTALKNGGYSDDMTLAAIAGQHKRLITSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSKVNWIMNRALFGVHFYLSWGFVCPYVMALVHIATTLRAPYSAIVKEAAGSSCGLKLVSFLLICTLTELVSMWNLTRVEIQLCNMLSPEGPQDSLRSYNWGLVFVAVLVDNFLYPISAIRSHFSQSINWSGIRYYLRDGKISKIERENSSKYTDLGGKHLYGKRTYPAGKSLLGYLSISLAQWHQPKKYDV >SECCE4Rv1G0227750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:127041394:127054181:-1 gene:SECCE4Rv1G0227750 transcript:SECCE4Rv1G0227750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASFRFFSPPAPNPHDRMHPSLLACPTRRRRLWATRCSSMPQPPPSPPLEFPLLPFQPAEVLIPSECKTLHLYEARYLALLEEALYKRQNSLVHFVLDPVLSSSSKDSFAVRYGCLVQIESVQKLDFGALVSIRGVCRVNIKNLLQMEPYLRGDVSPMMDKSCDGTGLSLRISRLRESMCNLHSLQMKLKVPEDEPLQTNIKSSLMWSEKETFEGYDEEFIPGLVERLSFSAYQSVSGMSDAELLTLQKYKIKAMDSTDTLERVNSGIEYVEHNIGMIAARLAIQNI >SECCE6Rv1G0420580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:677972787:677979004:-1 gene:SECCE6Rv1G0420580 transcript:SECCE6Rv1G0420580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-10A [Source:Projected from Arabidopsis thaliana (AT4G14330) UniProtKB/Swiss-Prot;Acc:Q8VWI7] MAPLPTPSPRTGPPSTPQASAGAATPFRTPTSRHRLHFPAATPRSAAGNHHAGAATEHPVEVIGRVRNLTASAAGASVLEVPGGAGGTTVRVRGDAGGISCRDFSLDGVSVSEEEDLEGFYRRFVRSRIQGVRVGAKCTVMVYGPTGSGKSHTMFGCAKHPGIVYRALRDILDGGGGCGTSGESGEEEDAGFGVGLFVQVAVLEIYNEEIYDLLVGSGANTKGNAPKVRLEVMGKKAKNATYISGNEAGKISREVAKVEKRRTVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFDAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHASTPRDKISSEESSSMLNSRIVAMNQFIYKLQKENKQREKERNEAQNVLRLKEEELAQARAKLRLLEGQGAAAKEEEINSKVAEKTQLLKSELQMMEEKMLRQQQELLALKRRLQEVELEKADAHQPAHQDVIGGRLLARLSEMSAGGDPCMSMAMSMSMDLDAGDQPSVLDVKVIKEDTRQQQGQMWNHTSTAGSGTTALEQEDVVRLSGFPEKAVLSTVFEEGDEEGEEKDNGAEVEVCKEVVEEESYRVDRMEQPLAEPDRTNRIQNIFRLCGNYRELVKKQNADESPAKQQAFGDENKQPGQQQLLGEENHQHAKQVFGDENTDPSAAWAAIETPMCDVKVADSPVSSQLSPIVCQVVDDAELTVPEELKSCTTDGEANEQSKKEREGLLDVYIKWESGSLIKGLKLLPTACLSDLRKLIEAHFEEAGSKQQHHHQFTFLLLGDPSGAPVSREKEATVQISRLPNWNNQTNSYLACLRVAKKPATMEQQQQLHQTPFSPLESKLNSLALNEVHHHHAAAGALSPKVAAQMSPSYIRELRA >SECCE7Rv1G0468960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104476468:104477201:1 gene:SECCE7Rv1G0468960 transcript:SECCE7Rv1G0468960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTYADFVPSHDLVEDAAKQTLVVNLPGFKKEHLRVQIDNYGRLRVSGERQLEGGQWSRFRKEFQVPEGCDAGGIRARFERDGVLHVTMPRLTPLEDVADHEAEAARHAAAAAEETKRHEEMEEEDARRRRADDEDDDASDDGEGAHRQAASAGRQAYGFARDRSRSGMVRALLLAVAVALVGVAGLYARYRWMDPSAETAPADGAIVGLSDY >SECCE7Rv1G0469620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:111810722:111811582:-1 gene:SECCE7Rv1G0469620 transcript:SECCE7Rv1G0469620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVDWRSYRPDLPAAMYHMVESRDQVIHAFAPPTAPGATPTISFAFPCPGAEQSAGLLRGASYLTPAQILQLQSQLHHVRRAPGAAMAAVGQPMKRHGVAAVAALPARPATKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDAAAFQLRGDSARLNFPELRRGGEHHGPPLDAAIDAKLHSICQGEDLHLPQSQSNGTPAPTPTLTPNSFPDVKSEPGCSVSESSSSADGEVSSCSDVVPEMQLLDFSEAPWDESLLRKYPSLEIDWDAILS >SECCE7Rv1G0467720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:90708268:90709842:1 gene:SECCE7Rv1G0467720 transcript:SECCE7Rv1G0467720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKRLALLVLLALAASAAAKTTREAAEAAATAKTTPGGAETAPGKDEESWTGWAKDKISEGLGLDKISEGLGLKHHADEEEAARKAGQTVKSARETVQHTASETGRQASGKASDAKEAAEQAATGAASKAGQAKDKAAETVKGTAGEASNKAEQAKHKTKETAEAAAKTGAETHERSKQGKAKVEEAAKEKAGQGYETLKQTKDAAAEKAGAAKDTAAEKAAAAKDAAGGATQTAAEKAAAAKDTAAEKASGAKQTAAEKAAAAKNAAGGATQTAAEKAAAAKNAAGGATQTAAEKAAAAKDTAAEKASGAKQTAAEKAAAAKDAAAGATQTAAEKAAAAKDAAAEKARATKDAAWEKTESAKDATWQAQEKLKQYNDVASEKAANVKDAAWKNAEAAKATVGEKAGAAKDATLEKTASAKDAAWETAGAAKDTAWETAGAAKDTAWETAGAAKDKANQGYEKVKEKVGEVKDKVTGAAADGKAKKHRKDDEL >SECCE1Rv1G0054150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673455234:673457972:-1 gene:SECCE1Rv1G0054150 transcript:SECCE1Rv1G0054150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCLFTSPPGGALAGEWSVMLRSAFPLVFQLPHRKPPPIRPPRPPPVRRYASPAAAVPPPPPPPRPLPPASAYVHLPFCRKRCHYCDFPIVALGSSASSPGEGEDPRIADYVRLLLREVAATRPVSDDGVPLETIFFGGGTPSLVPPRLVAAVLDALRGRFGLSACPEVSIEMDPGTFDAAKLRELVGVGVNRVSLGVQAFQEELLRACGRAHGLREVHEAVGIVTACEGLQNWSMDLISSLPNQTQEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQIYTPGVFPLPNETDSANFYKIASKRLSEAGYQHYEISSYCKPGYECKHNVTYWQNRPFYAFGLGSASYINGVRFSRPRGMKNYADWVQKLEDGTWCHESSVSETKDMAMDSVMLSLRTARGLDLHSFSKSFGEGLTRSLCDTFRPFVESGLVITMDKEREALQFNEFESDLESEGEMTGSRVAFIRLSDPDGFLLSNELISLAFGTISP >SECCE4Rv1G0286920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:852366697:852397614:-1 gene:SECCE4Rv1G0286920 transcript:SECCE4Rv1G0286920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTISRIRLENFMCHSSLDIELGEHVNFITGQNGSGKSAILTALCIAFGCRAKNTQRAATLRDFIKTGCSDAVIAVDINNQGEDAFKPDVYGNLIKLERRITKSSSSTILKDQHGRKVAHRKDDLNEIVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQRVSELLETIRDRVNKADSDVQELEKSIKPEMRELDELREKIKNMEHIEEIANDIDNLKKKLAWSWVYEVDQQIEEQTKKLQKLKERAPLCQERIDRNEVVIDKLKKELIEKEENLRSLVGKTCEENNMKKSMEDNIAEAVKRKIELEAEHERGARMLQRKNGRMNQLQAQLCDLQMQHMQFTQAEDSQMEKDVQNIQQQIDYLHSDVTRLREDEKEFTEELSGIQKSINEISKEIAQNDKKIKQVKSDIGDLQLQQSNKVTAFGGRRVLKLLESIETNQKRFKSPPIGPIGAHLQLASESWSVAVDRALWRLLDAFIVSCCKDLHVLRECASKVYYHNLRIIVYDFTRPRLIIPDGLLPTTKHPTVLSVIQSENHIVLNVLVDQGHVEGQVLVKDYEVGKSLAFDDRMRNIKEVYTSDGDKIFSRRQVETFNPPPSKKGRPNRLCSSPGKRIAELNNEADGIQRTIWEKNGQRSKLVKDRRDLEQKIANSKRKREREEYLLEKERMKLDDAKRASAENKRHAAVDNTELEEDIKKEKNIIVESEVLLQKINSKLAAASREVNDRREAYKTFMDSVNEETGNRISANDELELIKRKLDAAEQEKTHYEGVMTTKVLPAIKTAEAEYADLQQLRQDNFKKASTICPESDMEALNNVARSTPEQFSAKLNRLKQRFDQESRRHSEPIDDLRALHDKKEQKILSKQQLYANFRVNIDSCEKALDLRWKKFRRNAGLLKRQLTWLFNEHLGKKGISGLINVDYQNKVLSIELTMPQDASNDTVRDTRGLSGGERSFSTLCFALALHGMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFAVAHGSQWIFITPHDISMVKPGDRVKKQQLAAPRG >SECCE7Rv1G0482150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:301613690:301617097:-1 gene:SECCE7Rv1G0482150 transcript:SECCE7Rv1G0482150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAKRAALSPKVKRRVGKYELGRTIGEGAFAKVRFAKNTETMEPVAIKILDKEKVQKLRLVEQIRREICTMKLIKHPNVVRLHEVMGSKARIFIVLEYITGGELFDTIYTNGRLKEEEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDAGGNLKISDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDRGYDGATADIWSCGIILFILLAGFLPFEDDNIITLYKKISEAQFTCPSWFSTGAKKLITRILDPNPATRITIPQILEDPWFKKGFRPPVFDDKYETSFDDVYAAFGDSEDQHVKEETEHKPTSMNAFELISLNQGLNLDNLFEAKEEHKRETRFTSQCPPKEIISKIAEAARPLGFDIQKKNYKMRMENPKAGRKGNLNVATEVFQVAPSLHVVELKKAKGDTLEFQMFYRSLSTQLKDVVWKCGGDVEDNSTAA >SECCE3Rv1G0202700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:876304112:876308234:-1 gene:SECCE3Rv1G0202700 transcript:SECCE3Rv1G0202700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPTPAHHGGMPPPQLARYGSAPGSFLAALADSVARGGGGGDAPASQQHQQQHQPVAAVVSRFFSGESSGLTSCESSCRTADAPPALQRAYGGSGEIHVPLPQQQHPGLLRHSSSPAGLLSRLMADPHGNGGMGGTRGGGGMGSGFLHSHSHSHGGGGGNADAMAAAQQTRLSSQWSFSRQQDMMPHIAGMGMGMPTPMPPADAGESIGTGHGNGSSDLSRSFSMSSWDDTNSNIIFSAPGGGGKKAKVMADGDDGMVTSFSNIDSQFGSSLDMPGMDDYLQLQQDSVACRVRAKRGCATHPRSIAERERRTRISKRLRRLQDLVPNMDKQTNTSDMLDIAVDYIKVLQDQIEKLKQDQGNCSCSADQKC >SECCE1Rv1G0024830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:333358665:333359984:-1 gene:SECCE1Rv1G0024830 transcript:SECCE1Rv1G0024830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAPAPAPPAPSLDARTGGRVLRRAAAHLLHPASLPPLLLAALLLLLFRSALFAGTLRLSSFADRDPALRSLLLRLSPPAQPSPPAPQHHLPRRRSPFTSSSSSLSDDDFLVGPFDPVSSAPSSRHNASYHNIFFTSFSTPKPYPVPLSQLPPSSVSPFLLAIHNETSSPKPASPRGGELRLLDLTRRDAAAIINLLALLSSAHVLAILGYITVHSIALGTVFASVAGRHLPERRRGFFLSGAAMGARRLTGFAFLRWATRDAVVQMLCLWFFADVHDQAQLFRLFVVAKLMPFSASANPWLAAAISGPELDGFFIAWALLDAVVSVLFTVVPWVVAMDRDPRPPGRNAVKEGCYLVSLMATDATLIKCWETVVCGSMGRLIMVTFGGKVLGGFLHSFAEVYFMVVWLMFYFAARCKESRLGGRQFGLEDVAAALS >SECCE4Rv1G0289300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:864738169:864739284:1 gene:SECCE4Rv1G0289300 transcript:SECCE4Rv1G0289300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLEMMLVVAIVLAATTSGALAMDIKDKDLASEDSLWELYERWSEHYRVNRDLDDKTRRFNVFKENARMIHKFNQGDAPYKLSLNLFGDMTDEEVHHAYGRCSNIKSNGRQHRQQGRFTDNAINTRKGLPSSVDWRRRPSAVTNVKLQGAHCGSCWAFAATAAVEGINSIRTRNLTSLSTQQLVDCNKENGGCKGGFAKLAFKYIMQSGGIETDANYPYVGHEDGHCSVPKPNHNRVVTIDGYKQVAPNDVVALEQAVAAQPVVVGVDSNSTAFQRYGRGVFVGPCGTNLDHEMTVVGYGTTDKHEYKNPIRYWIVKNSWGADWGENGYIRIARNVRGQPKEGICGILTDASYPVKFSRKGGTDITKRN >SECCE3Rv1G0187570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:702268182:702272342:-1 gene:SECCE3Rv1G0187570 transcript:SECCE3Rv1G0187570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLQRSSLARIASTTRAAWARQCSSSSSIILGARAAPYCTMAGRSGEEAGAAMAGVATGGVEDAYGEDRATEGQPVTPWAVCIASGHSLLRDPRHNKGMSFTEKERDAHYLRGLLPPVVLPQELQEKRLLQNVRQLEVPLQRYMFLVDLQERNERLFYKLMIDNVEELLPVVYTPTVGEACQKYGSIFRRSQGLYISLKDKGRVLEVLRNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEDLLNDEFYIGLRQRRATGQEYTELLDEFMAAVKQNYGQKVLVQFEDFANHNAFTLLDKYKATHLVFNDDIQGTAAVVLAGLMAGLKFAGGTLADHTFLFFGAGEAGTGIAELVALEISMQSKTSPEEARKNIWLVDSKGLIVSSRKDSIQPFKKLYAHEHEPVKDLLSAIKDIKPTALIGSAGVGQSFTKEVIEAMSSINKRPIILALSNPTSKSECTAEQAYSWSQGRAIFGSGSPFDPVKYNEKLFVPAQANNAYIFPGFGLGVVISGAIRVKDDMVLAAAEALAEQVTAEHFDKGLIYPPFSSIRKISANIAARVAAKAYDLGLASHLPRPKDLVKYAESCMYSPIYRSYR >SECCE4Rv1G0283850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836623381:836624194:1 gene:SECCE4Rv1G0283850 transcript:SECCE4Rv1G0283850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMVDALLLKLQSKICYHRDVNIEYLEIKSIVDKLVEANKKLKDEKVDFQDVINMVTEEKENLQHDYQVIKEQMAKREEQLETVKKELAEAKHEHVAAKKKLEEEKHGHVATKKELVAAEEQLAQRNEELEVLRKKLQESEHVPSKMVTRSAHKRQMLFQGSMSNDVAGHRPKKHGSSQHASHTL >SECCE7Rv1G0506040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:745544953:745545439:-1 gene:SECCE7Rv1G0506040 transcript:SECCE7Rv1G0506040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGALALFLILLTFASHGIWCEAARRGTMADAARHHLRPHLQVQGLHETKGRRRLLGKLEHMAGGGPGGGASGGGRNTGGGAVNTRPHNTKNGGAVALPDPVTSVLALVFSTAILLSLPSF >SECCE1Rv1G0004680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:20326387:20329669:-1 gene:SECCE1Rv1G0004680 transcript:SECCE1Rv1G0004680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLHGTIDATIVGADNLHDRSRHTGKVPGILGNIVQGVQETTGLGKGLPRMYAAIFLGSACIARTRTIAVPAAGSARWNEQLRAYCAHHAAHVVISVMIEQLGLNGDTVLGRAYLPVRELLSNDTTIDRWFDVLGANRKKLPDGPKIHVQISFRDVADQGLAWGGGVVGGGGSFGVPRTFFSQRPGCRVTLYQDAHASEEFEPKIQLDGGGLYKPGHCWEDLYDAISNARHLVYITGWSVFPHITLLRERDGQHETLGELLKRKAGEGVHVLMLVWNDVSSIDGLLDGLMDTRDEQTANYFQGSRVQCVLCPRNMYVRGYIFDAKMPTDSLVYSHHQKAVVVDQELPSSKDGRRQIVSFLGGLDMCHGRYDTQSHSLFRTLGTGQAHSDDFSQVNFGDEDAALGKGGPREPWHDIHAKVEGPVAWDVLHNFEQRWRKQGGGHKDQLVDLVALEAKVAPASWAVTLPGDQEAWNVQLFRSIDNIDTVGFPDSMEATYEAGLLQDKHRVYERSIQDAYIHAIRAAKNFIYIENQYFIGSSFQWKSHDGIDPAEVGACQLIPRELSLKIVSKIEDGERFAVYIVVPMWSEGSPTGRYRQAMLDNQRRTMALMYDDIAVALQAKRIDANPRDYLTFFCLGNREANNPEGGEYQPPQRPKDGTDYARAQMARRFMIYVHSKMMIVDDEYIIVGSANLNERSMAGYRDTEIAIGAYQPHRVNTGAELAKGHVHGFRMSLWHEHLGKMHDDFLRPGSLECVQRVNKMADEYWSLYVGDQLTGDLPGHLLSYPVAVNKAGTVSTLTGFEFFPDTEARVLGKPTGIDDYFLST >SECCE1Rv1G0044660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:603961990:603963165:-1 gene:SECCE1Rv1G0044660 transcript:SECCE1Rv1G0044660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRRLLLLGGGIPPAPPVSCGHPPLAHFLKHLLGSPAGLSHAAADPCSLTLHFLRNSCGLSEPDAAKIAGRVHLRSTKRAHAVLALFRGLGLAGADLARVVAAAPEMLNYRADAILAPKLDFFRRDLALTDHHIRKIILSNPYRSLCYSLEGRLRPNYLLLRELLGTDQNVRSAVKLSMELIHGNVRSDLLPKVKILRDHGATDAVIVKLVTTHPRSLIHRSSFFSESLAAMKELGVSPSSGIFPYAFGLFARLSPATWKRRIDNYLSLGWTEELVKQAFVRHPYCMSVSDDKVRRISHFFADKLGWSPEYVSASPVLISLSYEKRLLPRYRVLDILVSRGVIRRIRISHLILGEKKFMEKYVTGHQQTIPEVLEAYRGAGSDSAVTLE >SECCE7Rv1G0455320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6190506:6192134:1 gene:SECCE7Rv1G0455320 transcript:SECCE7Rv1G0455320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGADDTEEKGTGGGEDEGNGKASKSKQPQQKKKEDGDGGKKKAAPVVLGVDLHCDGCARKVVKAVKAAQGVEGVATDVAAGTVTVCGKAVDPWDLKDRVEARTHKPVAFVSPPNPPNPKKKKDTAAEGKKSPAGDGNGAKAAGDDKNKKKNNNEGPESTVVVKIGLHCNGCIDRIRRTAHKIKGVKEVKVDTAKEHVTVQGTMDAQALPDVLRRKLRRDVDVVPPPAGGAKSKDGGDKKKQQKDGGEGAGEPQQQQGQGGGGGKKKNKNKNRHEGDGEEAGGAAVMVDQAFPMAMMYGGGGGGGGGGVVAGGWTTAYRVEMLHAPQMFSDENPNACAVM >SECCE4Rv1G0221410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:48878754:48882863:-1 gene:SECCE4Rv1G0221410 transcript:SECCE4Rv1G0221410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLLRVRTAGQCHFLSVLLLLHLVPVLLLLPLSRPASAAASTVVTHLPGFDGPLPFNLETGYVGVEEETGVELFYYFVESERNPSTDSLLLWLTGGPRCSVIMGLAFEIGPLKFVLAPYSGGLPELVYNPYSWTKMANILLLDSPVGSGFSYARDPKGYDVGDYSSSSQVQTFLNKWFTDHPQYLSNPFYIGGDSYAGKVIPLIAQGISEGIDMGQQPVINLKGYMVGNPITDPKFDENYKIPSAHGFGIISDQFYETAVKNCKGDYVNPVNEVCVDVLHTINNLISEISIEHILYKKCDGLAPNTIDDASRRAFLLEETAQLNKPPARPTIDCFTYGYYLAYFWMNNNLTRNALGIKEGTTSEWIQCNIGLPYTYEIPSSIPYHLNLTTRGYRALVYSGDHDLAAPFLGTQAWIRSLNFSIVDEWRAWHLSGQASGFTIEYTNNMTFATVKGAGHTAPEYRPKECFAMAQRWLDNEPL >SECCE4Rv1G0222900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:64231583:64233131:-1 gene:SECCE4Rv1G0222900 transcript:SECCE4Rv1G0222900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPPGFRFHPTDEELITYYLSRKVSDFSFATRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATESGYWKTTGKDKEIFHGGRLVGMKKTLVFYGGRAPKGEKTSWVMHEYRIQNKFPYKPNKEEWVVCRVFKKSPIVKMRHPQDSHDMDSPCNDGHASLGELGEIDVSSMLGSFAPAGANASGDNFGHRIDMGAYMNWLAAANQGAAAMLPWAAAAAPGLLGTVFSANPAMQKALAPFAGCSQLPRDVGGDALFGNAMAKVDMECEQPPQLEMHESTWRTF >SECCE2Rv1G0066030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:11347142:11347768:-1 gene:SECCE2Rv1G0066030 transcript:SECCE2Rv1G0066030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMVFNTDLRPMLSLVTSPCTIISTSANTVASTFALNYMMQRMKNARIKLVEVKTKGHLPHLTAGKMVANHIADVIHEWITRTEPLTSVPPSLLPSYDRLCEEIRVLKEENMLLKIENEALKKPAIDETTKLATVSRPGGGSHESSPASGGS >SECCE5Rv1G0355690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:733486177:733486865:1 gene:SECCE5Rv1G0355690 transcript:SECCE5Rv1G0355690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMLVTVVAAAALAVAFLPGLTVAMEHVVGDDKGWTLNFNYTAWADTKQFVVGDTLVFKYNSSAHNVVEVGGPDFLSCAKPANAVVWTSGEDRVTLDKAGRKWFFCAVGQHCQNGMKLKITILETAPPTPQPAPSNPAGKLQARFGEAAVVVTALAAAVLVL >SECCE4Rv1G0296420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903057094:903061015:1 gene:SECCE4Rv1G0296420 transcript:SECCE4Rv1G0296420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRAGSDRAQPPNLPPIPPPMPAVGARRSTRAFLPRAQKQAPRPPEPARVTRSAKRLAVSSHHPHSRSHWLGWDRAADVSDGDEDDEGPKPRTPPRQPSPEPPMSPRSFGAVYRRKRRQRPPAEPEPEDFVGVGDGGSSRRFGIVFTRKHKRAKLAPFSPGGGGILCSPSREFASRAGLLEDTQFLDGAAGIRSAVLVVLVDMSSPGSSSRFSRFLLPALLWLRRCQRGHVRSLASFLLASPAVATAFASQGVHFIRLQRQRASALLQRPTVVNCGWCELLGADPSRQPVLSLNFSALPSYFQGLHSVIALRFIYMPAVIRQAMGLVGGAEEESYPRDHLEVDSGGSPSTAGDPTPAAGSYGAVQDYVPLEQAPGVVLHGLRLKKHQRKRSSMRHPLSRRRRLTVRFSDKEFGVKEGTVTSQTELQKPPLTGGGGPEVSEEPVQPKPAMEISLDLLENMDDSDVSTPMGPSGKQKRSFFKSPVDRTSERLALSEVRQNIDTFRCKANLLIIQADRCWREEGAEVMLELSNSNGWCVAVKLHGVTRVSLKPSEQRFYVVNRHTHAYVWAVEDGWKLEFPDKWDWLLFKELHIEGRERNSQGKIIPIPGVHEVSDGMGGTVADPFSRPVPDYIRTADDEVARALSRDSAYDMDSEDEEWLIQLKHGSSDRRRTRLSQISYEDFEKLITLFEKDAYNNPEEANDVDQLLSRHPALGKGDNVLAIYEYWSNKRDKKGTPLLRIFQGAPAVRRGRPSQKSSVKKKRSVKRPQRSQPGRGKPGFFLQGGEGEALQRVVEADRAAKQAVEKAVQLRSRAQALMERANLAAYKSVMAVRIAEAASVSNKCRDFVWRSLD >SECCEUnv1G0556330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310680807:310681769:-1 gene:SECCEUnv1G0556330 transcript:SECCEUnv1G0556330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNTFSWVKEQITRSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLASKPVDIEVPQAVLPDTVFEAVLRIPYDMQLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLAFQSYRPDKKNILVIGPVPGKKYSEIVFPILSPDPATKKDAHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSTGIVRKILRKEKGGYEISIVDASDGRQVIDIIPPGPELLVSEGESIKIDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >SECCE6Rv1G0400470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:452721735:452722891:1 gene:SECCE6Rv1G0400470 transcript:SECCE6Rv1G0400470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGCGLLEYCLRRGEPDTHDAGRTDPRVSAEPSGASAGKSGRARRLEWAEVESVTGGFSSRVIGQGGFSTVYLASLTSSRLGAVKVQRSSERLHRVFRQELDVLLSVRHPHIVRLLGYCDEREEGVLVFEYAPNGDLHDRLHRSGQKRAALPWARRMAVAFQAAMALEYLHESQDPAVIHGDVKASNVLLDANMDVKLCDFGFAHVGFSAAVLPAAARASARHVMGSPGYVDPLFLRSGVATKKSDVYSFGVLLLELVTGREAICADTGCRLTVTVAPVVSEGKVADVVDRRLGDAYDREEAVTVAALALQCINVSSGLRPSMTDVVRVLQEKTSALISAVGPKPASKMVS >SECCE2Rv1G0114540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:736284392:736285087:-1 gene:SECCE2Rv1G0114540 transcript:SECCE2Rv1G0114540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARVALALCALLLHGVAWEARAASYTVGDSAGWDISADLQSWAAAKIFNVGDVLVFTYSKTHTLDEVDAAGYKSCSAASALLSSSDGNTTVPLTAGGDRYFICGHQMHCLGGMKLHVHVTSPAGSTPQGAPAGAGAGAGAPLASPGAALGPAGGTDDDAGIPRLDLGGSHRTGVVWPALAALWLCLAAALFA >SECCE2Rv1G0072140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:50595893:50597159:1 gene:SECCE2Rv1G0072140 transcript:SECCE2Rv1G0072140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVTAVMDLALPPGFRFHPTDEEVVTHYLTRKILRESFSCQVITDVDLNKNEPWELPGLAKMGEKEWFFFVHKGRKYPTGTRTNRATKKGYWKATGKDKEIFRGKGRDAVLVGMKKTLVFYTGRAPSGGKTPWVMHEYRLEGQLPHRLPSTAKDDWAVCRVFNKDLAAKNAPQMAPAAVGVKEDPADPLAFLDDLLIDTDLLDDADLPMLMDSPSGADDFAGSSSSTSSAGLPQQQILSPNYFMPATANGNLGGAAYSPYQAMGDQQAAIRRYSKPMAEVASSSALPSPWLGALDGADTSFLMHSSRSYLDLEELFRSEPLMDYSNMFN >SECCE5Rv1G0333570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:559361698:559361931:1 gene:SECCE5Rv1G0333570 transcript:SECCE5Rv1G0333570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFFEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE2Rv1G0104430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:606214768:606215208:-1 gene:SECCE2Rv1G0104430 transcript:SECCE2Rv1G0104430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSARNESSESEDIQELIDSSLKCNASTPADDMESGRGRAGAAGSTSSSPSPPAILPPEQKARYSREEDPGRSSCSDSFFGKYFSFLLLMFVTASLVILPLVLPPLPPPPSMLMLVPVAMLLMLLVLAFMPTSGGRGATGPTAYL >SECCEUnv1G0565250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:391887771:391888274:1 gene:SECCEUnv1G0565250 transcript:SECCEUnv1G0565250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRVYDRSQVDPEGKRRAVHVVDGPVVANGGFIGAPRKPTNQSKSGGLRALGRDALVQDEEEEPQLHPPLGPRSAEYYYSTTCQSPFRFEAAPYQWRYDAFAPEELQAPRPPPAARSGGRATSCKGSRKFKHNEIKMYYVDAADDVDGRLDYLYDFDS >SECCE5Rv1G0369280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:834674835:834682704:1 gene:SECCE5Rv1G0369280 transcript:SECCE5Rv1G0369280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNWRSLQHRHRYTYTSVVFPKHYLEALPLVPAQVSASNFFAQLNNLISLPSTYAQIVVVKDFASAFVQFLSAPAISDDAVLAAAKLYLEILFFENSLPLHRVLISVLAKCNKFSALISTCFTLLCEEYGGSGVKAKKRFSVSRAALSLIGYPKLGFLNEAVEKGVEIMAWDVVVGLDGVIRDIDDGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTGLDKASAVFKSAVRTILTVLKSSAFSRDCLVASGVSFCAAIQVFMSPEQISWFISQGLFGIFPDHEEDLAAHDALSDFHLSEEIRDLSVLSRLCLLRGILTAIPRKALNMRQLHSNGSLWTVLYDGILPELCMHCENPIDRHFNFHALTVTQICLQQIKTSVLADFTDFSGDYKPFSRDVVNRVLKIIWSNLEDPLSQTVKQVHLIFDLLLDIESSLPSEDQSVKLVLCDIANDLLRLGPRCKGRYIPLASLTRRLGAKSLLSLKSNLLLETAYAYMDDDVCCAATSFLKCFLENLRDECWNEDGVEQGYDAFRGLCLPPLMRGLVSGNSKLRSNLNTYALPTVIEVDTDSIFAMLGFISVGPSANANKLDVPLKSDQCIAALVSLLKVSRNLALVEGDIHMDSDELLEQEDNKGAVIISVKGITVRVPANWFVLALTHSDETLRIDAAESLFLNPKTSSLPSSLELSLLKLAVPLNMRCSSTAFQMKWAGLFRKFFARVRTALDRQLKQGSWLPSPNSIVKEADPVDTIMDTTVQRAEDLFQFMKWLGSFLFNSCYPSAPYERKTIAMELILIMLDVWPIRRSEGKTDLHPYNDSITSPDSTISFVGSIIDSWDKLRENSFRILLQFPTPLPGISQSASINDVIRWAKELVLSPRVRESDAGALTFRLIFRKYVLELGCVIVFSEENDCLQCYTKSTDADAEVITSQSPVAQYISSLIQWLCTVVEEGERDLCEACKSSFVHGVLLTLRYTFDELHWNSPAIQSCLSEMRSLVGKLLQLIMRITSLALWVVSSDAWYMPYDMDDMIDDGSFLLDIIDEDQPDTALATTEKNAKSGNNGKPAEHVIMVGCWLAMKEVSLLFGTIVRKIPLPVCSHSNSSQNGLSDNTEETNMSGEVLDVEQLEMMGDHFLQVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCKMTESWMVLLMDRAVAKGQTVDDLLRRSAGIPASFMALFLAEPEGTPKKLLPRALQWLIEFAKTSLSNFQKDHNQKSEAMKDCIVDSCEPQSGITTSVHSNGNLSKSRDEGVVPTVHAFNVLRAAFNDANLAADTSGFCAEATIVAIHAFSSPYWEVRNAACLAYTALVRRMVGFLNVQKRESARRSISGLEFFHRYPALHPFLSSELKVATELLADGVSRNLESHIAKAIHPSLCPILILLSRLKPSPVSCATDDPLDPFLLLPFIQKCATQSNYRVRVLASRALIGLVSNERLQHVVGDILDDLPCGGRKVSTHNSQSSSFNSIHGLLLQLFSLLDSNFRGLTDSNKKDQILGQLVEALSKCSWLGCCKLCACPVVSTSYLRVLDQMLDVARTGKSKHTDVIRTLLLQLSSQSLNNVTSTHHAFHDPTRIEFQQQAVASYFSCVGIPKGHDETAEEDVRLQILDQSTSSMSETPCAVSLTELHKEIMSCLADPVYDVRITVLKRILQLVKSIRSGDSKNILHQWARASLHSVTMERLSVEEHPKCLYYSLRIIFSWNVECQFNNGEDCNTFLSIWDRLVHLNGTVSHAKTREIILCCMGMCMKQFAKLMRDGVLPEGLKTSELSTSSGSIHKGNDRLSAAIISTDIFVSLVKKQSAPSETVNSRRAAAEAIIASGLLEEANFVKACVSNAYIPSEQDNECHLEEKCLKASVGEFASLYACKILDLWFICIQLLEDEDVHLRQKLANDVQKIIGNGSANKLCDDSTPLQVDRVIALSFEFITYLFGHWLKCVEYLLRMVLDTANTLDSDGDLVRQIFDKEIDNHHEEKLLICQISCSNIQKLVRSGHQPAPGGSREALLQNWRERFLHQLTSLTSGYLEKEGKTDWIGGIGNHKDVFASVYANLLGLHALTESRWLPEQAEDRHESYLREFSDLEGFITPFLKNPLISNLYLLVKRSHKPEDKVGGSAASESFDPYFLIR >SECCE5Rv1G0299600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19175033:19175851:-1 gene:SECCE5Rv1G0299600 transcript:SECCE5Rv1G0299600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTFETTQGRRFVVEIWYFSTVRRIKEYILKQEGIPVESQRLFFQGQELQDDLDTEHYPIVEGSHVLIVLPDDSPAAAVAAAADGHAASAVVHVVASGPALGQGRIALELDASCTVARLKETLQERTDGALPAAKVSVFLDKAEMEDDKALAEFEPPADGTKMEVRVVLRQTPPPPACNNGNGVGKVNKRMSVEVKWGPNTATLEVSDMDAVKELRAELGSAAPHLLLPNDGAYFFIYKQNVMEEDRTLRWHDVKTGDTIEIFNGRVTGGA >SECCE7Rv1G0521690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874566622:874567689:-1 gene:SECCE7Rv1G0521690 transcript:SECCE7Rv1G0521690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYHALLFLQLVLAGAIARRGVVVGALDVAYYAATCPDAAATVRQAMELQFYNDRTIAPAIIRMLFHDCFVRGCDASVMIVPTARRSSERVAIPNQTLRGFNIVNRIKKVLESACPGVVSCADTLALMARDSVVLLGGAAYDVPLGRRDGMQSNPWEVDLPPPFARLDDALGSFAARGFSAEETVVLFGGHTVGATRCTHFRYRLAHPDGTMDEALRRDMADACGLAGDLPPDADPTAFLDPDTPFAIDNACYGQMIGNRSLLQLDQEAATHPATAGHVAYYAASPDAFVRRFSEAMAKLAAVGVLEGDAGEVRKVCSAYNK >SECCE1Rv1G0059310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:705269269:705270817:1 gene:SECCE1Rv1G0059310 transcript:SECCE1Rv1G0059310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVGTPESGGGGGAKEQERFLPIANIGRIMRRGVPENGKIAKDAKESIQECVSEFISFITSEASDKCMKEKRKTINGDDLIWSMGTLGFEDYVEPLKLYLKLYREMEGDTSKGSKSEQAAKKEVALNGQPGSSFNSM >SECCE4Rv1G0258320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677078695:677079162:-1 gene:SECCE4Rv1G0258320 transcript:SECCE4Rv1G0258320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-like protein RUB3 [Source:Projected from Arabidopsis thaliana (AT1G11980) UniProtKB/Swiss-Prot;Acc:O65381] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKERIPPDQQHLIFAGKQLEDGRTLADYNVQKESTLHLVLRLRGGLSIKVKTLTGKEIEIDIEHTDTVDRIKERVQEKEGIPPVQQRLIFAGKQLADDKAAKDYNIKAGDVLHLVLALRGGACC >SECCE6Rv1G0447520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850762911:850763463:-1 gene:SECCE6Rv1G0447520 transcript:SECCE6Rv1G0447520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCLLLPLLLLPLAPGPAWAATPARSPSPSSSSSSVVFQLQGDVYPTGHYYVTMNIGDPARPYFLDIDTGSDLTWLQCDAPCQSCNKVHY >SECCE6Rv1G0453590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:883925051:883926349:-1 gene:SECCE6Rv1G0453590 transcript:SECCE6Rv1G0453590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLVFLLVLLCSSASLVTCSSGAGLRMKLTHVDDKAGYTTEERVRRAVAVSRERLSSTQQQRGAFGDVSAPVHRATRQYIAEYLIGDPPQRAEALIDTGSDLIWTQCATTCFKSCAKQDLPYYNLSRSASFAPVPCTDSTKLCAANRVHLCGLDGSCTFIASGIIGSLGTETFTFQSGAARLAFGCVSLTRIATGALNGASGLIGLGRGRLSLISQTGATKFSYCLTPYFHNHDASSHLFVGASASLSGGGAVMSMPFVKSPKDYPYSTFYYLPLVGITVGKIKLPIPSAAFELRQIDTGFWSGGVIIDTGTPFTYLADAAYEPLRKELARQLNRSLVQPPADSGLDLCVARNDFDKLVPVMLFHFSSGADMAVPPGSYWAPVDKSTACMMIEDGGDDSLIGNFQQQDLHLLYDIGKGELSFQTADCSAL >SECCE5Rv1G0347130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:665715266:665722880:-1 gene:SECCE5Rv1G0347130 transcript:SECCE5Rv1G0347130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWPGELWKRWSIQILVLLSLGLQDRITLFLFAEIRRRGAHPVLRSLLWLAYQLADYTATFALGHLSLKGAPREHPIVALWAPFLLLHLGGPDNITAYSLDDSKLWKRHLLHAVLQVFGAVYVLYEHIADRVIPLQLASVLMFTIGAVKYVEKTWALMRGNLDSIRGSIEKQPPAMHGHFHPQDKVLKDEELDEESLVRQAHSLFHICKRAVVDYPVMEDDSHGQDTTKMIGEVKLWRLMETELSLMYDMLYTKAAVIHTWFGYSVRLVSPLAIVASLLLFKFIDKDDNRRVDVYITYILYGGALFMETTSLLNALRSSWTFAFLSTTRWRWLRYAALCNERWDRLRRVVAYLHHLVRVGGGSRYTSRRWSYTMGQYNMLHFCTRSHSALTRPLLGRLAKMVGLGLNELWNREHYSWVIDMPDHVKDCISQHMNKMYKEGGVNSLGMLRNRWGKETLVSYKLFTGIFKDSLGVEFQECIIIWHVCSDVFLAKSERAKEEEALLDVEAIRVISNYMMFLLVEQPEMLPGLSQNRMYQRTCENLVKTRRSTHTRQSMNLSATLKNLFRLHDDPKSSSRVSDREELARNLYDEYESKSFSYDAPRLPYVAQLAKQLLTMEKDGTLDSVKLVLDVWTDILVYAGNKCSRKAHAEKLNSGGELTTILWLMAEHFYQLYLGTLITRKKMDLAGEGISHAGA >SECCE3Rv1G0188790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:718899135:718903875:-1 gene:SECCE3Rv1G0188790 transcript:SECCE3Rv1G0188790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGFEGQISANNIEIGVIRADREFKVLTPAEIKDFLEEVE >SECCE5Rv1G0305120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:66664227:66664721:1 gene:SECCE5Rv1G0305120 transcript:SECCE5Rv1G0305120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKLALLVVLAMAAAMANPCNAQNSPHDYVLAHNVARAAVGLGPVTWDASVAAYAASYARQRAGDCKLVHSKAPQYGENLFWGSGKDWTAAQAVKIWADEKANYNYASNSCAASKQCGHYTQIVWRNSTHVGCARLLCDHDAGVFITCNYSPPGNYIGQRPY >SECCE2Rv1G0126840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:848828606:848830863:-1 gene:SECCE2Rv1G0126840 transcript:SECCE2Rv1G0126840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGPAIGVDLGTTYSCVAVWRPSHNRVEVIPNDQGNLTTPSCVAFTDAWRLVGDAALNQAARNPVNTVFDAKRLIGRRFNDASVQGDIKLWPFKVISGPADRPMMVVQYGGEEKHFTAEEISSMILVKMQETAEAYLGTSVKNVVVTVPVYFNDSQRQATIDAGAIAGLNVMRIINEPSAAAIAYGLDRMPGSGEVKTVLIFDLGGGTMDVSIISVQNGVFTVKATSGDTHLGGQDLNNRMVEHFVQDFLKRHKSDIRGSPRALMRLRTACERAKRMLSTTVEAKFEIDSLHDSIDYYGRITRARFEELSMDLFRKCIEHVEKCLGDAKMDKSQIHDVVLVGGSTRIPKVQQLLQDFFDGKTLCKSINPDEAVAYGAAVQAAVLSGEFDRKGQDFLLLDVTPLSLGVEVDPGVMSVLVPRNSTIPVKREGPYTTMLDNQTSVLIKVYEGEGELTKDNRLLGTFVLTGITPAPRGVPKLIETMEVETNGILKVTAEEITTGNKKSINIITNKGGLSKEEIERMVKDAEKYRSEDRKMIMKMKKEDEEGWLSKEDFERIVQNAKKHPSDDKTRVKKIKMEDDEGWLSKEDFERIVQHAKKQRKNVKEEGGGP >SECCE3Rv1G0200400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852981330:852983539:1 gene:SECCE3Rv1G0200400 transcript:SECCE3Rv1G0200400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAADTFRTDLMTITRYVLNEQSRHPESRGDLTILLSHIVLGCKFVASAVNKAGLAKLTGLAGETNVQGEEQKKLDVLSNEVFVNALVSSGRTCVLVSEEDEKATFVDPKLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKNQDTVTLEEVLQPGKDMIAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFIMTHPDIKIPPKGKIYSVNEGNAKNWDTPTAKYVEKCKYPTDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVMYEVFPMSFLMEEAGGQSFTGKGRSLDLIPTDIHERSPIFLGSSDDVEEIKALYAEEAKKAGSA >SECCE4Rv1G0279820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817062747:817065008:-1 gene:SECCE4Rv1G0279820 transcript:SECCE4Rv1G0279820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPTLYSLAQCTPDLSTGDCLTCLQRIIGMVNATTSMRQGGRIFVLRCNIRFETFMFFDQPMRRISPSIIPTPPARIKPWIIAICVAFAVAQGAFCFMVYCRCLRGRIRKRSMRLRENRTNMLHGGDHDLVWDMETGILSGFSFFEFDQIQEATGNFSEENKLGEGGFGPVYKGHFLQGTEIAVKRLASHSGQGLVEFKNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYMPNKSLDFFIFDEHRKTLLDWNRRLAIIEGIAEGLLYLHKHSRLRVIHRDLKPSNILLDNEMNPKISDFGLAKIFSSNKNEENSTRRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLVLEILSGKRNSGSHQCGDFINLLGYAWQLWEDRRWIDIVDASFDSFIPKMDPTEMMRCINIALLCVQENAVDRPNMLDVTAMLSSKTMILREPKNPPYFNRRVGNEEDSSATKSCSINGVTLSIATAR >SECCE1Rv1G0047950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631028158:631030779:-1 gene:SECCE1Rv1G0047950 transcript:SECCE1Rv1G0047950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVKSTDDHALLDAEHELWATTFSYIKSMALKSALDLRLADAIHHHGGAATLPQIVARVAVHPSKIPCLRRLMRTLTVSGVFSVDQQQDVVDPAAPVSNGTSNGAAAAEPSYALTPVSRLLVGSRNLASMITMLLSPNFVTSFLGIGAWFEHALPDPCLFTQAHGEALWTMADRDATFDALINDAMVSDSSFIMDIAIRECGEVFQGIGSLMDVGGGHGAAAQAISRAFPSLECTVMDLDHVVAKAPAGTDVKYVVGDMFQSVPPADAVFLKWVLHDWGHEDCVKILKNCKKSISPRGKGGKVIIIDIVIGAGPSHLKHRQLHSMFDLYMTIVDGIERDEQEWKKIFLEAGFSGYKIIPVLGFRSIIEVYP >SECCE4Rv1G0220080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:35101603:35103742:1 gene:SECCE4Rv1G0220080 transcript:SECCE4Rv1G0220080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAALRSPAAAAAAPSRRAAAAGSLPFGRRRSFALGSIKGLGRQQLTSRRLRSVVRASSSPSESLPSSSPIAPLQMESPIGQFLSQILVTHPHLLPAAAEQQLEQLQTVQDSAEKKDAPAAGDIVLYRRIAEVKEKERKRTMEEILYALVVQKFVEAGVSLVPALSHSIDTSSGRVDQWAEHVEGKLERLHSQEAYDMIENHLNLILGQRQADGTVAAISKLRVGQVYAASVMYGYFLKRVDKRFQLEKSMKSLPWGSEDDALNQVMTTDSRPSDQTYSSHPEVESWTPPDLSAGGLGQSVKPSRLRSYVMSFDSDTLQTYATIRSKVAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEYVRISFSGLRRLILEAVTFGSFLWDVESYVDSRYHFVTN >SECCE5Rv1G0337960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:595101133:595102665:-1 gene:SECCE5Rv1G0337960 transcript:SECCE5Rv1G0337960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSHLPQQMDYGLTNAGSFLLCHGNPEDAAAAAASREGSSVVLDTPLVATASVEKKRKPKEDTTSLNSAHSKETKESTRKRGGKKQGKEMDEEEEEPKGFIHVRARRGQATDSHSLSERVRRERISERMRMLQSLVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVVYGFGMESEAAFSDQSQKIEGMFHEAAALPTGPPMNRSSSPTPSQAMMDTGTSSSSPPYSLQGTQDNGSSSSSYMMQTVGEPRHELFNQVVFSNYMCSFQQ >SECCEUnv1G0546440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:156362359:156362884:1 gene:SECCEUnv1G0546440 transcript:SECCEUnv1G0546440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYTIHILALATLVSLHLICSATVAQCQTMVGTDSKKINLPYGLCVHIGPNDCIEGGPCFHCLENDVYYTTMDDCMRGCNNSSSLRDMIVAMTTPHPPPLPAH >SECCE5Rv1G0319820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:379250333:379253623:1 gene:SECCE5Rv1G0319820 transcript:SECCE5Rv1G0319820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWSIRAAQLLLFFFFLLSGRHGSRAALDEEGRAMGFREERPSGSLWNLAQKKAFFSAAATSLVKANKLSIEIPNELQERIMISEVLTDGRQQSCRKCLTKTIRSNTLRQLLQARELKESAPSSNAIDHKSQPPMSSLPSHSQAETNESSSVLHWVIYALCIIGVLGLVVIASVVYLLVSRRKKDNTVNPWATGLSGQLRKAFVTGVPSLGRAELEAACENFSNVIGTVSESALYKGTLSSGVEIAVASSPVKSAKEWSDRSEEQFRNKISVLSKVNHKNFMNLLGYCTCDDPFTRMMVFEYAPCGSLFEHLHVREAEHLDWPTRLRIIMGVTYCLEQMNQLDPPVTPRTLNSSSIYLTEDYAAKFSDTEFWKDDDADAAPTRSAGQDSIVYKFGILLLEVISGRLPFSEDHGLLVLWASSYLDGKRPIGSMADPVLRASSPVPEEDLAALCDVVRLCINREAAERPSMGEVAGLMKGAVRLSPEQTTPRNNPLWWAELEIMSTGST >SECCE3Rv1G0173870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:364070020:364071093:-1 gene:SECCE3Rv1G0173870 transcript:SECCE3Rv1G0173870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVVARRYWCHMCATAVSPVAADAGVEIKCPYCGSGFLEEMETARSSVAAGTAHASGTYPSADNAISVWAPIIDSMVGDPVRRRRSNRRTVDAVAAAEDELDNVDFSRRRRRATAFLRLLQAIRERQLQRLESAAGGSLEAEHYSPFGRSIFAAAPLGEHGMALGDYFLGPGLDALMQQLADSDAGRQGTPPAKKDAVEALPTVEVVGGANEEDAASCAVCLEDYASGERARELPCRHRFHSQCIVPWLEMHSSCPVCRFQLPADDDPKSSCGSGSSSTTTYVTYVSSEVNGNESGDGGVEAAGNAAVEREDVDVEAEGNVSRLPASIQWLNSLFSPQATSPSSTSGGSSRHFED >SECCE7Rv1G0524570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886462879:886465992:1 gene:SECCE7Rv1G0524570 transcript:SECCE7Rv1G0524570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNNSIPPLVHDDVHKPRPYPASQWGDFFLDYKPCTPQQYLSMEGTAEAKKEEVREIIIDTTKSSDLPQKLELIYTLQRIGIDYHYGKEIDELLCEIYDGNTELLDLRTASLQFYLLRKHGYRVSSDVFSKFIDKNGNIESTDATSLLGLYNAAYLRTHGEKILDIAIISTKKILKSIVNHLDTTIAEEVRHNLETPLFRGTNRVETKRYISAYEKNSIRNEIILEFAKLDYNLVQGLYCDELKDLTIWWNRFDIETHLTWARNRMVEIHFWMMGVLFEPHYSYPRIVLTKLFTLVSVFDDFYDNYSTTNESNMFTTAINRWEEHAVEQAPAYMRPFYKGTIASINQIEEDLKLQKYKHAELVKKLFIDAANCYNAEVKWRDQKYVPANLEEHLKISAPSTICMQISNIAFLLMGDVTSSETIKWAWGYPTIIKAVCIIARVMNDIVSHEREQASQHMVSTVQTCMNENGCTVEEANEKLNEVVEQAWMDISESCMQPSVHPLVVLSRVANLARVTDFLYKHDDGYTLGYSVKGTLDSVYVHPMDV >SECCE2Rv1G0096380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:438633643:438634606:1 gene:SECCE2Rv1G0096380 transcript:SECCE2Rv1G0096380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKELIMNVILILVCFIVCVAGAPQEKAESTTPIPTLSPPEGNTSFIDGVTWCVARPGVPQEDLQNALDWACGQGAADCTPLQPGGHCYQPNTLLLHASYAFNIFYQQNGNSDIACNFGGAGTITKRDPSFGACKFLASETSAASALMLRSMRMICAAFLTVLQLRVFQAVY >SECCE3Rv1G0174680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:400616837:400644399:-1 gene:SECCE3Rv1G0174680 transcript:SECCE3Rv1G0174680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFFRSGNLASRVFDRQFLSPRPGATVNTVRQFYENLVPSYTIYDIDCPDYSFRKFTDDGKYLVAFSRNHQDLIVYRPIWLTFSCHEECDSHDLPANAERFDSFFKQLYSISLASSNEYICKDFFLYMECHQYGLFATSTAQSNDSSATDGAIHGVPSIEKITFYLVRLEDGAILDEKAFCNDFINLAHSIGAYLYEDLVCIVSLRYQTIHVLQIRDSGSLVEVRRIGAFCREDDELFLYSHGQAAQGNYFLPGIKQRLLSFIFRKTWNEEPDQALRVQHLKKKFYFHFQDYVDLIIWKVQFLDRRHLFIKFGSVDGGVTRSTDQNLAFFAVYNMETTEIVSLYQNSSEELYSLFEHYYEHFHANPQNSSHEKFISSHSNSVHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSIFRYDEKLISAIDRHRHCTEHPIKFISVRQPNVVKFKIKPGSDSGSSDNRAKRISSFLFHPFFPLALSIQQTYMQPTVVNVHFRR >SECCE2Rv1G0138820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:923551832:923556177:-1 gene:SECCE2Rv1G0138820 transcript:SECCE2Rv1G0138820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLASAALNPTTHLGLRSHARPSSENLCLRSAASSQKSKGRCNLIVRSQADSQPAEPIGLVTTKLKPWQIPRRDWFSPEFIFGAATSAYQIEGAWNQDGKGESTWDHFCHKYPERISDRTNGDVAADSYHLYEEDVKALKAMGMDAYRFSISWSRILPHGTGKVNQAGIDYYNKLINSLIRHDIVPYVTIWHWDTPQALEDKYGGFLDRRILRRQGEALVTFNEPHTYCCFSYGTGEHAPGRCSPGMDCAVPEGDSLKEPYTAGHNILLAHAEAVELFNTHYNKHEDAKIGMAFDVMGYEPYEDSFLDKQARERSIDYNLGWFLEPVVRGDYPFSMRSLIGDRLPMFTDIEQAKLASSCDIMGLNYYTSRFSEHIDISSNFAPKLNIDDAYSGSEIVGCDGNTIGPKTGTYWIYMYPKVLRDLLLIMKEKYGNPSVFITENGIADVDGDETMPDPLDDWKRLDYLQRHILAVKDAIDQGADVRGHFTWGLIDNFEWGSGYSSRFGLVYIDKNDGLKRKLKKSAKWFGKFNTVPKRLIGTTKPTGKGHV >SECCE7Rv1G0509680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:783050414:783053821:1 gene:SECCE7Rv1G0509680 transcript:SECCE7Rv1G0509680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATAAMDSLLPKLFELHHGEYKLQKGVKKDVEFLEKEMRSIDAALRKVAMVPRDQLHDNSKIWAGDVRELAYEMEDVVECFMVGIQGFGPAANQDSFKGFVKKVANLFKKSKERHQIADAIKSIKDQVQDVADRRDRYKIDDVETSLAMATIVDPRLMAQFKDQKELVGIEGPRDELIKRLVDEDDCESKQQLKILSIFGFGGLGKTTLARAVYDKIQAQFVCKAFVSVGQKPNLKNALLGILLRIDKASCDNATLLDEVLLIEKLRELLTNKRYLIIIDDIWDMSSWDIIKCAFTESKFGSRVITTTRIFEVAKHASDIYKQEPLSPGRSKELFCMRLSIGKSKIPYHESVKIAEKILQKCGGMPLAIITIASLLASKPVPDWPGIYDSIGFGNEDNKEVDTTRKILLYSYYDLPYYPRLCLLHLSIYPEDYVIKKDTLIWKWVAEGYVHEEPGKGLFEVGERYFNMLIDRSLIQAVEYPYKSIIHACLVHDLVLDMVHFLLKEEFFVTVLNSNRALTSSHSTARRLAIQNEVVEQDGSMANMSMHQVRSYNATRCRFSMLPLLSNFKALRVLALEECSFMGSEQFSMKLGDSPYHLKHLGRLIHLRYLRISGQHWSLELPEKIGDLRFLQVLDLARSGIDKLPQSVGRLTQLKYLRFHGSSMEVLDCMNLTSLEELKLHTVSTDFLKGLDKLKEMRVFEVLFEEHDNMLFKDLIGRLDNLQKLQVIMVNCWHRENRKPWSDYAGSVALGHLRHLTLHGLLSGLPVWINSSCLPNLCHLYMKLTAMESQDMEILSRFSELISLCICCDDGVVFPDSMGEGAFPKLRYLKLKNSKQPRFVQGAMSSLEYFQLIGLVRTNGLDFHSLVNLPRLEKVDALIMGIDVRQAHASLKHAVEIHPNHPALNVRVTNIANMLSFSCM >SECCE7Rv1G0478690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:240239192:240241194:-1 gene:SECCE7Rv1G0478690 transcript:SECCE7Rv1G0478690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLAFPCRSSPLTPASISPPVPSPCIKIRRSPRAAPHRRLIAARSWSSPRTVAMAAAAAAAAPVISPKENLPPSLTSTSEPPPLFDGTTRLYVAYHCPYAQRAWIARNYKGLQDKIKIVAIDLADRPAWYKEKVYPQNKVPALEHNNQVKGESLDLVKYIDSNFDGPTLLPDDSAKKQFAEELLVYTDEFNKALYSSITSKGDVAEETVAALDKIEAALGKFSDGPFFLGQFSLVDIAYVPFIERFQIFFSGIKNYDITKDKPNIQKFIEEVNKIDAYTQTKLDPQFLLDHTKKRLGIE >SECCE2Rv1G0111580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:702071470:702073518:-1 gene:SECCE2Rv1G0111580 transcript:SECCE2Rv1G0111580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKSCQKPKAQHRKGLWSPEEDQKLRDFIVRYGHSCWSTVPVKAGLQRNGKSCRLRWINYLRPGLKHGMFSQAEEETVMSLHATLGNKWSQIAQHLPGRTDNEVKNYWNSYLKKRVEGARAPGKSAGSDAPPSPTPSESGRKRSIVNQPSNSGSSSPPESSSTDDASSLTGPHAPVLPKVMFADWLDMDYSTSLMGPSALDAAFDCNPSPQVEGLCGAVDSLHGLGNGGICWEFDAGQNHLQGGEGFCDLLSVSEFLGIN >SECCE5Rv1G0310510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:170224772:170229196:-1 gene:SECCE5Rv1G0310510 transcript:SECCE5Rv1G0310510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTHFAEEDVAHEATGDGDVCRRDVGKMEHGCEHYRRRCKIVAPCCKEVFPCRHCHNDATALGDRHNICRQDVEKVVCVLCDTEQPVSQVCVRCGVNMGEYFCDVCKFYDDDTEKGQYHCHDCGICRVGGKENYFHCAKCGSCYAVALRDNHQCVEDSMRQNCPICYEYLFDSLKGTRVLNCGHTMHMDCFAEMVDHNKYTCPICSKTALDMTHHWGMLDQEIEATIMPPVYRYKVWVLCNDCNKVSEVDFHVIGHKCSHCNSYNTRSTSRPADLSGSSSPSTTDSS >SECCE3Rv1G0145260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:6037867:6038280:-1 gene:SECCE3Rv1G0145260 transcript:SECCE3Rv1G0145260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGASLLVAVVVFSMLITSSLGGPRPLCSECETQCRINCTAEAETTCRGYCTRGGGPRSSCMKYVLEDCNVKGTCCSSNGTCTCDCNAMAERVCMTVSDGTLQCEPCKSSIYDQCGRACRNDCSNNCKKKGCRHA >SECCE2Rv1G0071650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:46527167:46528504:-1 gene:SECCE2Rv1G0071650 transcript:SECCE2Rv1G0071650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFCACMGGSAKCASASTPFQSKVNPRSSTSNSNSKASRRSSSGPEKPPQPAEAPAAAGEAVAPPASLKSFSMADLRAATKNFGSTSYLGEGGFGCVYKGWIDETTLAPARPGATNAMMVAIKKLKKESFQGHREWLTEVTYLGDLHHDNLVKLVGYCSDSDSNKLLVYEYMPRGSLENHLFRRGSQPPLPWSTRVSVAVDVARGLAFLHSRDVIFRDLKSSNVLLGPDHRAKLSDFGLARAGPTGGKTHVSTRVVGTRGYAAPEYVATGHLSAKSDVYGFGVVLLELMTGRRALDEARGVASELLVDWAMPMLQGERRKVIRVMDTRLGGQYPKRQAQDMAALALRCLQNDPKARPSMADDVLPSLQLLLQPTTAKSSSSLTTTSSRSPAASATTPVHRGHRRSSQRLAS >SECCEUnv1G0536340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63495953:63498412:-1 gene:SECCEUnv1G0536340 transcript:SECCEUnv1G0536340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLPWLFLQFLLLLLGVSSAAQAQVNITRGSSLTPQGPNSSWLSPSGDFAFGFRQLEGNSSSYHLAVWFNKIPEKTVAWYAKSGSDGQESPVQVPSSFVLQFTDDGLLSLRNPSNDEVWSPRIPGAAYARMLDTGNFRLVGADGKPKWETFDVPADTILPTQVLPLGQDKVLRSRLLTTDYANGRFLLAVQPDGNLVFYPIADQHSTFRYDAYWASNTVGNGSQLVFNETGRVYFTLINGTQINITSAEGYSNSMDDFFNRATLDPDGVFRQYLYPKSRKARGQWSSQWTSVSSIPQDICHRVMVKAGSGACGFNSYCTFDGTKNQTSCQCPQGYEFFDNERQYKGCRPNFVPQSCDLDEEAAMAQFEMTLVNSVDWPQSDYEQYSPIDDIECRRLCVIDCFCATAIFQASTMTCWKKKLPLSNGYMAESVDRTVLIKVPRSNNTQSQLSSGSSKWKKDKKYWILGSSLFFGSSVLLNLLVISILLFGTYCGISITSKKKLKSPQSSGSSILPPKIFTYNELEKATSGFHEVLGSGASGTVYKGQLQDDHATNIAVKKIGKLQQETEKEFMVEVQTIGQTFHKNLVRLLGLCNEGTHRLLVYEFMTNGSLNEFLFGDARPDWNLRVQVALGVARGLLYLHEECSTQIIHCDIKPQNILLDANLMAKIADFGLAKLLRANQTQTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRRNVELEIADEERSILTYWANDCYRCGRIDLLVEGDDEANFNIKKAERFVAVALWCLQEEPTMRPTMLKVTQMLDGAVQIPTPPDPSSFISSLS >SECCE3Rv1G0161800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:122669688:122677729:-1 gene:SECCE3Rv1G0161800 transcript:SECCE3Rv1G0161800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQDRRPFRPPDRGSPPPHLHLHLHRQQRPRQPPPHARQFAVILLRAGPSFSSPPATEVDALVAGLQSPPPDNLSVCSSGRQAARLLFRSLPAAAAAARELWSLRLDGLHLLTPHLPDAALASHAYPHVASLFASHASRLLDSGLVSRYAARSAELAVSIQSVKRRLRGHNNFRDFDQFNLQKKTLEAEKGLVDAKIAEYKAAMCSIRRAMLPGTDDEEEVEEEGVDVFGIGKGEDVDFVRLHVTMLRECRRLNEGLPIYAYRRKILDHIFNNQVMILIGETGSGKSTQLVQFLADSGLSGNDSIVCTQPRKIAALSLAYRVDEESKGCYADNFVSPYSSFLNDQNFSSKIIFCTDSCLLHHCMTDADLNGISYIIVDEAHERSLNTDLLLALIKKKLLHRLDLRLIIMSATADANRLAEYFYGCQTFHVKGRNFPVEIKYVPDVSVEAASNTVPNFLRGPCAAASYVNDVIRQVSIIHKNEEEGAILAFLTSQLEVEWACENFTDASAVVLPMHGKLSPTEQSRVFKSYPGMRKIIFCTNIAETSLTIKEVKYVVDSGLVKDRRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGKCYRLYSEADFSMMELHQEPEIQKVHLGTAVLRILALGVKDAQNFEFVDAPNPEAINMAVKNLEQLGAVKCKCNCYELTDTGRHLVKLGIEPRLGKIMLDCFGFGLRKEGVVLAAVMANSSSIFCRVGSDEEKHKADLQKVRLCHQDGDLFTLLAVYKKWEDGHENRNMWCWQNSINAKTMRRCQETIAELENCLKHELKIIIPSYWCWNPEAPSEHDKLLKRIILSSLAGNLAMFLGHERFGYQVISTDQIVNLHPSCSLLNYGTKPDWVVFSEILSVPNQYLVCVTAVDHDALYAIHPMSSIQQLEKHKLQIKVINGLGNNLLGKFVGKSGQNQQKIISSLKEDCRDDRVTVEVNFNNNEVVLFATAQHMEKVFCIVNSALECEGKMMRNECLERSLFPERSGSSSIALFGSGAEVKHLELGKRYLTVEVLHQNASDINDKELISFVDSLVSGIANFHKSSGNFRLCSDGTKWGKITFLKPENAEDAVSKLNGVEFHGSSLKIVPVCSPDNQGLRFPSVRAKLCWPRKESRGLALVTCASGEAQSVADDCFALGIDGRYISCRVSTKEENCVFVERIPLHVSEPELYDAFRSTTRRRILNIRLLRVKEAAIASPPVSVCEEALIREISPFMPNKKFPGTNFCVEVFAPEENDSMMRATLTFDGSLHREAARALDHLEGSILPCCQPWQIIQCKHVFHSTMSCSVRVYNVISQEVTSLLESFRSQKGVSYNYEKTENGIFRVKLTANATKTIADLRRPLEILMKGKTINHPELTLSAVQMLLSRDGVAHLRSIEQETGTYILYDRQSLNIKVFGHQHQIAAAEENLVHALPQLLEKRPLEICLRGHNLPPDLMKKTVENFGVELEGFKTVMPSVKVELHKHRHILKVCGSKEDKQRVEGMISQLITSVKHNVPVHLPSENVGGSKEDKQRVADNELSMDACPICLCEIEDPFKLESCGHIFCRACLVDQCEVATKSHDGFPVCCLKTGCKNPFLIVDLKHLVSNEKLEDLFRASLRAFVASRAGIYRFCPTPDCRSIYQVAAPDAETKPFICGACYVEICTKCHLECHPFISCEAYKEYKEDPDATLREWRKGKENVKNCPSCGYTIEKAEGCNHVKCRCGCHICWACLANFRSSEECYGHLRSVHQSFVDIV >SECCE7Rv1G0465570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:71486903:71491118:1 gene:SECCE7Rv1G0465570 transcript:SECCE7Rv1G0465570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTPEPAAALLSSLTVAEDTTATPAATALPSAPASAAAGTPSPPPLQLFNSMTKKKEPFVPRVEGKVGMYVCGVTPYDFSHVGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIIKRANQNGETVTSLSSRFIAEFLADVAELQCLPPTHEPRVTEHIENIIKLITEIIKNDKAYVVDGDVYFSVDNFPEYLSLSGRILDHNRAGSRVAVDTRKRNPADFALWKAAKEGEPSWESPWGQGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFINIDDQKMSKSVNNFFTIRDIITLYHPLALRFFLMRTHYKSDANHSDKALEIASDRVYYIYQTLYDCDEVVSLHREENISVPVPAEEQKLVDDHNKAFLESMSDDLRTTDVLDGFMELLKAINGNLNDLKKLQQKLEQQKKKQQQQKKQQQKQQRPQKQPEDHIQALNALGAEIKHKLSILGLMPPSPLAEVLKQLKEKALKRAGLTEESLQEQIEQRIAARNNKQFDVSDQIRKELAGKGIALMDEPTGTVWRPCERE >SECCE3Rv1G0151970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:43335131:43335498:-1 gene:SECCE3Rv1G0151970 transcript:SECCE3Rv1G0151970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFYKTLLVSLALVALLSSDLVIPATANGGGSLIPEDCRQTPVIPGPCNPRACLRNCQTNVGPGAVGDCDAGGCRCTYCTVSQRN >SECCE4Rv1G0226050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:103416458:103417009:-1 gene:SECCE4Rv1G0226050 transcript:SECCE4Rv1G0226050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKVLLLLLFAAAAAAAMLSPASAADNLTKFKVYFHDVLAGKSPTAIRIAQAASTNSSSTFFGAVVAIDDPLTTSPAVTGSAKSKDEVGRAQGSYTFADQATFGLLMNMNFVFTAGDYKGSSLTIYGRNEVLSTVREMSIIGGTGKFRMARGYVEASTVDSGAKSGETVVEYTIHVMAAAA >SECCE4Rv1G0271800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:764091603:764091833:1 gene:SECCE4Rv1G0271800 transcript:SECCE4Rv1G0271800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSIAGRDCWGRVCHASVLAERQREAGIDGEEAARGQGREEPMAERKRDARPSRFAPEFDGLNCFEYIVSS >SECCE3Rv1G0205220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899452258:899453013:-1 gene:SECCE3Rv1G0205220 transcript:SECCE3Rv1G0205220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAGAGASPLLPGLPDDISVWEILVRLPPKCVLRCRAVCPAWLRATSARDFLLAHHARQPATPLLYCYNYVDDGIGSLDLVSLDYRAGVAAVDQLQSVAHLAHDSYRHDDSFILEACCDGLLALSAHFPPKLSICNPATRQYARLPQLDGFKSMGMYPHLPTGEYRLLLYPCPAEAQAGFYIFTLGSGQPSRHIGCPEAKELINSSVSVSVSVLFRGSLHWCAHNLMMAFDTTAESFRQVRSPAGPGYS >SECCE5Rv1G0321880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:412110918:412112070:-1 gene:SECCE5Rv1G0321880 transcript:SECCE5Rv1G0321880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGSTWLLLEQKGAGPGARSSHAITLVGGTAYSFGGEFTPRLPVDNTMYAFDLKAQSWSALDSAGEVPPPRVGVTMASVGATVFVFGGRDKDHTELNELYSFDTATSTWTLLSSGDDGPPHRSYHSMVADGEGSRVYVFGGCGNAGRLNDLWAYDVAAGRWEELPSPGAACPPRGGPGLAFAGGKVWVVYGFSGDAELDDVHSYDPATGEWAVVDTTGDKPTPRSVLCAVGVGKHVVVFGGEVDPSDLGHLGAGKFSAEAFVLDTDTGAWARLDDAGSGHHPGPRGWCAFSAGALDGRRGMLVYGGNSPTNDRLGDMFLFTPLLT >SECCE1Rv1G0056080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:684775542:684777011:1 gene:SECCE1Rv1G0056080 transcript:SECCE1Rv1G0056080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTQPQLKDKAAHEVPRATAASAVASLTKWMRERAAEAPPNLLADERDDLVVLQLSLRRVPPKPTTKPHLLPLPHAVVAHSAASVCVISDDRAGSGSPAAAAILDAARSLKLPVSEVVPFSALRTDYRPYESRRRFAASYDLFLADRALLPMLPRLLGKAFYSTKKAPIAVDFTRAGWPEQVRKVLSSTFLYLRTGTCSGIKVGRLDMEEEEIVDNVMAAVEAAVEKVPKKWENVRALHLKAVDSVALPIYQVVPELGMKIEVPVGRLEAGVGAGEVIDAAEVETGSKRKDKKMKALKNADANGGAEGVKYKRKRNKKEQTEDVVMEEAQEGSEKRRKKEAGPSVELSAGEGLKEVAAPLVDLSAGEGLKTPKKGKDKKRALDKEVDDASPAEKKGKKSEHKSKEASNKKSKGKKEDIKQAPEEVEDVGSKKNKGKKKKSMKDHSDDGEILFDGESTPAALIPDKEEKKSRGKKSSGDKMKRSRARV >SECCE2Rv1G0110120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:687301204:687314724:1 gene:SECCE2Rv1G0110120 transcript:SECCE2Rv1G0110120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSAIHFLQAPHLTASFDLAGVHPNLARPAHGSDHPLVLAPSHMTSKRPLMDAASPHPPAAASQLPPSQPEPPVRADYLSFSVEVHDPFRPSRRDQRDPSASERELHEGGEYRAVAVGEPSPEFAGNAVRTAKYSALTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDIRRHRSDRRENNRLAAVLTPQTAGEFLPKKWKHIRVGDVVRVASNETLPADMVLLSTSDPTGLAHVQTVNLDGETNLKTRYAKQETQVRFSHDGQVAGILHCERPNRNIYGFQANLEIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNNSGPPSKRSRLETQLNRETVILSIMLIGMCITASVLAGIWLLNHQRELEFTQFFREKDYTTRKNYNYYGIGMQIFVTFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADNDLYDESSRSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFMCASIHGVDYSSGKHACGSSVVVDDLLWTPKMAVRTDPQLLKLLNNGSSNEEAKLVLEFFLAIAACTTIVPLVLDTRDPRQKLIDYQGESPDEQALAYAAASYGIVLVERTSGYVVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDKTVKLYVKGADSSMFGIINRSLELDNVRATEAHLHKYSSLGLRTLVVGMRELSQPEFEEWQLAYEKASTAVLGRGNLLRSIAANVECNIHILGASGIEDKLQDGVPEAIESLRQAGMKVWILTGDKQETAISIGYSCKLLTNDMTQIVINNNSKESCKKSLEEALARTKEHRAASSIGSPHPVLASESSGTVLALIVDGNSLVYILETELQEELFKVATECSVVLCCRVAPLQKAGIVALIKNRTNDMTLAIGDGANDVSMIQMADVGVGISGQEGGQAVMASDFCMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYKNATFVLVLFWYVLYTSFTLTTAITEWSSLLYTVLYTSLPTIVVGILDKDLSKSTLLAYPKLYGSGQRNEKYNLNLFVLNILEALWQSLVVFYIPYFAYRQSTIGMSSLGDLWALASVIVVNMQLAMDIIRWNWIIHVFLWGTIAATVICLFVIDSIWVLPGYGAIYHIMGQGLFWLLLLVIVVTSMVPHFAIKTLTEHFVPSDIQIGREIEKFEALNQVN >SECCE4Rv1G0221360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:48524132:48525576:-1 gene:SECCE4Rv1G0221360 transcript:SECCE4Rv1G0221360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNPPRMVVLLAAAVVTTMLSLSSCCASSAGGQPLHPVILIPGSGGNQLEAQLTDGYRPSSLTCRVWPLVRGRGGWFRMWFEPSVVVAPLTRCFAERMMLYYDRDADDYRNAPGVQTRVSDFGSTSTLRYLDPTLKVLTGYMDVLATTLEKAGYEEGRDLFGAPYDFRYGLAAPGHPTQVGSAYLERLRLLVESACAANGGRPAILMAHSLGGLYALQFLARAPPAWRAAHVERLVTLSAPWGGSVQEMLTFASGNTLGVPFVDPSLIRDEQRSSESNLWLLPTPKVFGNTTLVVSHRHNRTYSAKNVTQFLNDIGFADGVEPYRARIRPLGEVLPEPGVPVTCLVGTGVDTVESLVFGDEGFDAGPVKVVYGDGDGTVNLASLMGPIKAWSDSPAQVLEVVELPKVSHTGILKDKSALDQILRILDSINLNATTTTTYQS >SECCE6Rv1G0387070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:105172772:105173212:1 gene:SECCE6Rv1G0387070 transcript:SECCE6Rv1G0387070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIFSFGLATGKHAMGSGEPLGSPMPDFPGTPDIEVLDGPDKPFVKPFDKPFDPLHDRKRKRGGLMEEEINVFCSMTEVVKEVATSIRECKPLDVHPDLYGAVMTQGGFNDEALMVALRHLLDNKAQGVGFVGMADAHRELWLRT >SECCE7Rv1G0493980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:577577381:577579870:1 gene:SECCE7Rv1G0493980 transcript:SECCE7Rv1G0493980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGAELILSVPDDVLALISAHLRPRDLLALSAASRRLHAAISASDKSWLAQCRRLLPSSLSNLLAWRAAAGGSSLTVCRFLHSVSPLQGLWAHQNPELGNLVAALPGFFSLVAVRAIPQELSPRLLWAPVFEILADADGRPALLFLHGHHPGSLFPGLLASVNPHANTLLLEAHAPASSEPSSQFSRLSFGNRRRLLDALVASCRVTLPPELAGAPLFARSDEDLPMLAARREAMLRLHIESGGGMVRRPELEALLGAKKVAPSLPVDSAGERVRLRRSLSAMAGYVRNGLRQMVTRSASANSRSEYADSKHLSLDEFLRASESTGLSLRGARLRLSTYRAWPSMHDNRFALYKLTTQVPMPGREYAGLWRGTFGWPPGRPDDERKPGKALFFLLLSYEEDSEGKLLLIATKVLEGTHYVVHPNGSSMFVVRVGEASTEAFPFPTDDDSGSVSIERCFAGEGIATGYGFRYPGSKPGSLFVLRDGRLAFVWRDNKSVLTLQRLDLEELLRKGERVPTLPPIPNFAYLTKSYSNVFVAIHGSSSCSSSLR >SECCE3Rv1G0185060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:670175172:670176443:1 gene:SECCE3Rv1G0185060 transcript:SECCE3Rv1G0185060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSIPASEPKHPRHDQEGRGADDDGAESPALAAMYLYGDVLESVVERVPAPDLAAAAGVSREWLRAVRSALRRRPRRLPWLVVHLHGRRRRTAGYDPHSGAWLTVQAPRHATPSHVRLVRGARGDRVCALSCSGLDVAADPLGTSACVAMKAPSVWRVDPVFAAVGDRVVALGGACQLALAEGEDAAAVEVHEGGSWTACDPMPPALRESAAATWLSVAATDHRVYLADRTTGCASWFDPSMRRWGPTRRLKPAAGVSTWGVAPGRAGAEQLVLFGAKREGEGAKNKVVIQAWEVDGDTLDLPPGAGHDAMPSEMSARLFPHDEDEEDDGERLPSIGVCGNATGGYVYNSAEPANGAVLYELQEGKTGGAVERWEWVPCAPSLRAEPLGRVILACSPVGLDELELSRGLPPLGPQRACMNVQ >SECCE2Rv1G0066320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:13581976:13583651:-1 gene:SECCE2Rv1G0066320 transcript:SECCE2Rv1G0066320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWTGLGQAATVAQLVGADVGGLISMIVQAAMTARQNKTECEQLARRVLMIAQLLPHVQEPEAAQPLAGLGDALRDAHELVVSCQGRGVTYQFVMAGRTAERFREVQSKIDSYLILFPVISHIGITRRLERIYNVLVQDGSTRDEPSRLPQSSQLQESAEVVQEVLSQGIKEFTLAEIIAATKNFVHDTMIGHGSHGRVYRGRLHDGREVAIKRMSENIQLEDFRTELDHIIHLLGWCASSKGKRLLTRRRKELERLIVYEYMENGTLFDHLHRNQAGSSMLSPVMMSWKMRIDVLLRVSQAIEYMHCHSNPPIIHRDIKSSNILFDVSWVPHVSDFGLSVVWDKASEVSWLDVTVRGTLGYIDLEYLHTGHLKPASDVYSLGVVMLEVLTGKKAFSQAKEEMNRDLASFALPIIEAGNIEEMLDRRPVPEPTPWHLHALKRVAQIARCCVKFAGKDRPAISGIVANLETACVLICRDEPDSVDESGLWPFLEKVDDSPDSPHARSMPLF >SECCE4Rv1G0263630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:717508679:717511782:-1 gene:SECCE4Rv1G0263630 transcript:SECCE4Rv1G0263630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGALSPVLRKLGELLAGEYNLEKRVKKAVQSLRTELEMMHAVLREVGKVPPDQLQEPVRIWAGKVRDLSCDMEDAVDDFLARVGEGSGSKPTDMRSRVNKFLKKTTILFGKGKALHQISDAIKEAQDLAKELVELRKKYELDMRSTSNGATIDSRVLALQKDVGELVGIERTRDELVKTMICEDGSSEEQLRTISIVGVGGLGKTTLTKAVYEKIRAQFDCAAFVPVGQNPDMRKVFKDVLYGLDEEKFKDIHNTTRCEKLLIEQISKFLVDKRYLIVIDDIWEKDIWKYIDCALYKNELHSRVITTTRNVIVSEACLSSTNGTIHRMKPLSDEDSQILFHRRIFQSKENCPENLQIVSRDILKKCGGVPLAIITIASLLVSNQQVKPNHEWMDVYNSMGRGVTQSDIAKDMKRILSLSYYDLPPHLKPCLLYLSIFPEDFETEREWLIWRWLAEGFIQHEKKETSLFEIGESYFNELMNRSLLQPAYINEEGSVVTFRIHDMVLDLICSLSSQENFISILDNAEWHAPNLQRKFRRLSLHNINSKVQSHQFDSTSLSKVRTFAVFFPVNCDWLPSLSSFQFLRVLDLGNCGSHGSSSGISLKYVGNLIHLRYLGLKGADVCELPMDIGKLQLLQTLDIRDTSIKELPSSVVQLRNLICLYVNYRVRLPKGMGGLMSLEVLQQVGLSSSPHIVKELSHLTEVRTLSVDCEDMDEDLIDILIKSLGNLQKLQSLRIYDGGRLLDLMRQSWVPPPNLRSFDSWGHSFSSWFLRLPKWVNSRLLPHLSTLEIDVEELQGDDIQIIGMLPALRFLRLRASRVMGTLVVRADAFPSARCCRFFGFPTPPCLFPPGAMPRVQRLQFRVSASSIARGEVDCGMGHLPSLEHVVVFLQPENSSGEENVTDEEKETAKALVRRAAEAHPKRPTIQLYY >SECCEUnv1G0537500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68917707:68920891:1 gene:SECCEUnv1G0537500 transcript:SECCEUnv1G0537500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLLIGVVVGVVVASNLLLRWNEVRYGRRRNGCLPPGTMGWPLFGETTEFLKQGLSFMKERRLRYGRIFRTHILGCPTVVCMDPELNRRMLLQGEAGGLVPGYPQSMVDILGHDNIGAVHGHLHRLIRGAMLGLLHPTAIRTSILPKIDAFMRSHLDGWSGCVVDVQAKTKEMTLLSALSQIASITAGPLSDAFSTELYTLVLGTISLPINLPGTRYFQGLQARKKLVSMLEKMIADRRSSIQAHGDMLEALLRSGDDGTREKLSDEQIIDLIITFIYDGYETMSTTSMMTVKYLSDNPQALQELRKEHLDIRKGKSREEAINYEDFKSMAFTRAVIFETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPFMYPDPMTFNPWRWLEKNMESHPHFMLFGGGGRMCPGKEVGMVEIATFLHYFVTRYRWEEEGKNTILKFPRVEAPNGLHIRVEDY >SECCE4Rv1G0217500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:16982616:16984941:1 gene:SECCE4Rv1G0217500 transcript:SECCE4Rv1G0217500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSMADSMVASFWGPVTSTNELCEENYARSSYIAEFYNTLSNAPCILLALIGLVNALRQRFEKRFSVLHISNMILSIGSIIFHATLQHVLQQSDETPMVWEILLYLYVLYSPDWHYRSTMPTFLVLYGAAFAVVHFFVRFQVVFKLHYIGLCLLCIPRMYKYYIQTKDQAAKRLAKLWVLTIFLATVCWLVDRIFCKKLSLWYINPQGHAWWHVLMGFNSYFANTFLMFCRAQQRGWEPRIIHLFGFLPYVKIQKSRKRE >SECCE2Rv1G0078520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:108266783:108267688:-1 gene:SECCE2Rv1G0078520 transcript:SECCE2Rv1G0078520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVLQLRTSDGKVLVAPAWDYRPAAAQALPLEMPVPSRALERVLQYWTKHSLAKATGESRESLARWDADFQRRLEEDGLAKEAAAAAQELRRHGVDHGGRPHRHAATAASDVAAPAKASRADPVRAWCPLVHHLKGVNHGERSHAPAMPSAFHASAARPGPATALPAAAGADSVDVRCAIRARGRQMAEDEEYACHHRKRPASKAPLCLPATAVAPVKKVSRPIACKVTPAVVSRPITPLPVTAVAPVVKKMTPAVSTLRARRGKGELSCKVPKQICVTAAAPKKQPIPWLRPVVLRPY >SECCE5Rv1G0301690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:33537372:33538388:1 gene:SECCE5Rv1G0301690 transcript:SECCE5Rv1G0301690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPAPLALALPCLVVDHDGAGGEPCTTLLDVSKPEHQYQHHACDDDAHALLRKMRRWMTPHGWVLSCDTSILATFLWNPQTSEKIHLPPLMPGQDIPLQSSCSLSGKPSTAGFTVVAVEPEKTVVWYCHVGGDAAGGRGWVRHEYDVGSVTFPVVNGRRLQGKKFITRLTAVGGKFYFFKSHDELGVLEFSPAPLHSSVPVPAIERPPGTTCMAPSFVELGGELYLVSAFLHYDIGGATSVLGCGVYKMDLTGKRWRKVHNIGDRAFLMCPLYFGGCCSATVSGLRPNCVYWMGLCDDNLLRVFDIDGNEGTHGVHDPCKDIPGSIRNAMWMLPTDP >SECCE6Rv1G0425560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:707974883:707975362:1 gene:SECCE6Rv1G0425560 transcript:SECCE6Rv1G0425560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIIVCLVQTFGISPSYSVTIYSVSGLDPATDLGHKAALHPEFNLTLGVASWGYWAHACADLGVYVEVSYRDVLLATSSTLMQQICAGPRDAVKHPFIARGTGVVIPGPLLDSLAMDMLSGAPEFDVELHGPSPRKLVWPCGPRMVGDADAIRAECGM >SECCE7Rv1G0492450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:551451702:551458523:1 gene:SECCE7Rv1G0492450 transcript:SECCE7Rv1G0492450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKTQKFSKGHPLGFVPDYRYGVETVGVSKGLGNPARSEAKRKCINLNTDEGADAPGFNVPRVVFELPRMSASDRNELEMRLRDELEQVRALQSRLFSRGATTSMNGGTASAPRGDFNGNKKDGKLRRSNSVQSGRGLPPSIPQPVVSSINYTASFKKCQELLKNLMKHRSAGPFVMPVDPVKLCIPDYFDIVKHPMDLGTIQKKLNAGVYPTPWEFAADVRLTFSNAILYNPVGNAVNIMAQTMSSVFEPRWKPIEKKLPRPDEDLSAIEPSIKNDAVEKNIFDNKEPSDRRPSNKGAYKKNTFQKEEVVAKPVLHPKKRKASPLVQDVPVASVIQMAPVAEEVPVVQTAMGMMTDEQKVALSVRLQSYGGFIPEHVVEFIKRHVNDDNDADEDELTIDMNALSDDTLFELQKLLDDYDRVNQSGHPTKDEPHEVEFQSEYGLANSSMHHEGNELVEEDIDIGGNDLPPLTYPPVVFESEMADRSSKHSSSSSSSSESGSSSSGSDSSSSSGSDLGAKVPPANIGAKENVLPVFSLDQENDSQDTLNIQEQCADRVPISADDEEENVSDKKYRAALLKSRFADTILKAREKALDQVKDPEKVRREREELERLQREERARLQAEAKAAEEARKRAEAAAVAEAAAEAKRQREREREAARKALQQMEKTVEINEGNLFLKDFEMLGTVTGEQNLNLVGEMSPRHTPEALGFQLGGNPLEQLGLYMKNDDDEDEEVESADEPTVDVEEGEID >SECCE1Rv1G0003380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13706820:13707796:-1 gene:SECCE1Rv1G0003380 transcript:SECCE1Rv1G0003380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRPL28 [Source:Projected from Arabidopsis thaliana (AT2G33450) UniProtKB/TrEMBL;Acc:A0A178VYG9] MASMLCSYSYSYSMAATARAPLLRANANANAASLGFATSQLAGLSLGLTSTATATALSLPAKNTIVARRICPFLEKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEAGKRYVKLRLSTKALKTIEKHGLDAVAKKAGVDLNKK >SECCE5Rv1G0328000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:499138865:499145222:-1 gene:SECCE5Rv1G0328000 transcript:SECCE5Rv1G0328000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSWLLLLCLAGGVLHAGGQRAPDTTGFVSVDCGLSEHSGYVDDATKLPYSSDAGFTDAGQNHNVSAQYNDQAFFRRHRQLLTVRSFPGPPGRRGCYTLPSFEAGTSKYLVRAAFMYGNYDELNKPPAFDLYLGVNFWKTVNISGPDAVHTAEVIAVIPVDAAHVCLVNTNSGTPFISSLELRPLEDTMYPLVNWTQGLVLIGRGNFGATELIRYPDDPYDRAWVPWTNLKEWVRISSSSFIVMKLGDKPLYYVPSVVMQTAITTLNSSQTLIRIPWDAEPNHIYPRPGLLPILYFSELQVLNETQDRLFFLGVNKAEYWWGSMAKVERDITEVFHVNEPSPFLSPQENYIFLNATNRTAIRENHGINATSTILPPFINAAELFSPISTASVGTDAQDVSAITAIKAKYQVKNNWVGDPCAPKTLVWDGLACSYPISRPQRITNINMSFHGLGGDISSYFANLKAIQYLDLSHNELTGSIPEGLSQLPSLMLLDLTANQLNGSIPFGLMIRMRDGNLTLRYDKNPNLCSDSSSCQPTRKKQNSISAAHIAVIIVGLVAIGGLVVLLCFVMKKKQGPPNPPNEVSEVPSRNRRFTYAELEVITSNFQRVLGEGGFGMVFDGFLEDGTQVAVKLRSQSSNQGVKEFLTEAQNLTGIHHRNLVSLIGYCKDGEYMALVYEHMSNGNLADKLRG >SECCE6Rv1G0394780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:305715492:305720248:-1 gene:SECCE6Rv1G0394780 transcript:SECCE6Rv1G0394780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRSSDAPAPAAVEKPDDSAPERPERTLFGFKDQPDSAAEPAPKDAGPFFRNKEKVLITCSRRIIYRYRHLMQNVVSLLPHAKKDSKVESKQSKGSALNELVELRSCSSCLFFECRKQKDLYLWMVKSPAGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSSNFDQHPHWKLLKEMITQIFATPKDHRKAKPFHDHVFVFSIVDDHIWFRNYQISVPHNEIDKVDKGGLDKMTLVEVGPRFCLNPIKIFGCSFGGPTLYENPFYVSPNQIRALEKRKKAGKYAKKVKAKVRRKMHEMENTLEPDEFADVWKGED >SECCE7Rv1G0468580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:100175350:100178517:1 gene:SECCE7Rv1G0468580 transcript:SECCE7Rv1G0468580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MGHHSDDEYSVAGDKPEVEFMDFQNDNTLQDYQSDDGPVVVTAPFPFVNGKPKSVLVGETSADTICIENTSCEPVNLWSVRIFSSNPEDSYVLSMMRPPLNDADEAAKKAFLGLTSVEDRTLQPGQTLTIWLSCMPKDIGLHTSIVHVDIGDEKIERVAFLLADDNVSMALSSDKPYSRRQGSQRKQFECNTFVPGSRPIRQHTQGFKYKLPQYAIPVEIRELIECKQRPDVLSEELSMMNYAKFFSTLLVMEELNLEEEMRSYDMERVSMRRRGNDYLSLVVPGLAEKRPSLVHGDYIIARHAGSDARPYQGYIHKVEADEIFLRFDDQFHHAHHDRNKYDVSFTYNRLNMRRQYRSVHDAELIGPDILFPSLSRYRSVKKVPFKPLNPNINTEQADTVGMILGCRGVTPYVIYGPPGTGKTMTLVEAILQLYKSNRRANVLICAASNSAADHVLEKLLSSSYPIRPSDIFRLNAPSRQYEDVNPDFIRFCFFEEMVFKCPPMRALMRYKIVISTYMSSSTLQAEGIRPGHFTHIFLDEAGQSSEPEAMVPLAALCGRDTVVVLAGDPMQLGPVVFCKQADQDGLGKSYLQRLLGEFEQYHSGDPNYVTKLLRNYRCHPAILELPSQLFYEGELIPCKGDEVPSVYDCIGLPNKSFPVLFVGIQGCDEREGNNPSWFNRIEVSKVVNIIRTLTRSGDVSEADIGVITPYRQQVNKIKKALETFEMPDLRVGSVEQFQGQEREVIIISTVRSTVKHNEFDRFFNLGFLSNYRRFNVAITRAKSLLVIVGNPHIITKDRHWDKLLRYCADNGSYQGCPLPPPEEEVRYSGYGDTRDQPAGDGWGYNQEEPANHIHNQDPSDRGFNGRSSTAHEAAGWPEEKPDGDYHPYPDAGAAPQEEAQQEQQHVVEGAATGHDDVQAGQWPANDVDDGAVQDAYVQKYTFPPGWGDVSSIPATGWD >SECCE7Rv1G0510620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:791264656:791265917:1 gene:SECCE7Rv1G0510620 transcript:SECCE7Rv1G0510620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKKVLMLCGDYMEDYEAAVPFYALAGLGVAVHCAAPGKAAGDPCLTAVHDFLGYELYTELPGHRFRITADFAAAAADPSYDALVVPGGRFVEQLSVDAEAVALVRAFAGELRRPVVLTCHSQVLLAAAGAMGGVRCTAFFSLRPVVELAGGTWVEPDPFSLCVADGHVLTAIGWPAHGEIIGKLLTALGGRVLGGRGQGVLFLCADYVDDYEANVPFRALAGVGCRVEAACPTKRKGEPCVTAIYDDVAGAPGAVSEEKRGHNFVMSVDWADIDVDDYECVVVPGGRSPELLVTNEKAVALVGQFAAKGKVVASIDQGHLVLAAAGLLKGKRCASGVPMRVISNLAGAAAVEPEGAVSDGKLVTAASWPDLAEFIAHLVDLLGITISF >SECCEUnv1G0536530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:64735088:64735905:1 gene:SECCEUnv1G0536530 transcript:SECCEUnv1G0536530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHLAGLVKVRVMRGVNLAIRDLRSSDPYLVLRMAKQKLKTRVVRKSTNPEWNDELTLCIEDPAVPLQVDVFDKDTFYDDPMGDAELDIGPLVDVVKMRLQGIPDNIVVKKLVPNRQNCLSEESAIYVSEGTVKQDVVLRLRNVECGEIELQLQWVDIPGSKGV >SECCE7Rv1G0491830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:539982250:539983314:1 gene:SECCE7Rv1G0491830 transcript:SECCE7Rv1G0491830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKQASWPDDFLCPISLEVMTDPVILPSGHTFERRSIQRWLDGGHRTCPVTNLPLPPQPTLIPNHALRRLIAAVSVSPAAVSADKGDCQGQGVPPASSSSVLGMLRLAKSGPAGRREVLESGAVAVLLQHAAAGDEAAARALLYLSLDGDDARVGLVADGAVDALCAAVSGGGSAAAHAATALTSLATVGVNKCTIGAHPSAVPALSRLLWRGGARERREAATTLYELCKLPENRRRTVRAGAAPALVELAANGSARAVEVLGLLAKSREGRHDLSKIPDIVAVLCTVAGSGNARAIDQALVVLNWICSERNELAMEAIKLGAFQLCEALVNDDNCKIAKNAVELARTLEKA >SECCE1Rv1G0040330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:557858249:557859915:1 gene:SECCE1Rv1G0040330 transcript:SECCE1Rv1G0040330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGAGQSGWLLDYGLVEEEIQASDFIYMVDDPPVSSVILGFDAPRREDAAAAAAQDNSGAKKRSRPESSAQPGTKACREKLRRDRLNERFSELCAVLEPGKPPKADKVAILSDATRLLDQLRSEAQKLKASNESLQDSIKSLKSEKSELRDEKTKLKAERERLEQMLKGVSAAAAAPRQFVPHPAAAAAPQFHPAAAYAHAGKFVPAYAAGYPPPAAFWQWIPPTSLDTSKDPAHWPPVA >SECCE2Rv1G0064780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5024556:5028719:-1 gene:SECCE2Rv1G0064780 transcript:SECCE2Rv1G0064780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPPARHRPAAKRPMWIIVLLCLVCVVLIGAYVYPPRHYSQCYLSASSVCTPFKDWLPSIGRREKTDDEVISAAVIRDILGMPMSTSKSPKIAFMFLTPGSLPFEKLWEKFLQGHEGRYSIYVHASRQKPVHSSSLFVGRDIHSDAVVWGKISMIDAEKRLLANALEDADNQFFVLLSDSCVPLHSFDYVFNYLMGTNISFIDCFQDPGPHGNGRYSLEMLPEIEERDFRKGAQWFAITRRHALLILADNLYYKKFKLYCKPADGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYGVADVSYDLLKNITAVDETIHVTSDDKKLVTQKPCLWNGSKSPCYLFARKFYPETLDSLLKIFTSYTSV >SECCE7Rv1G0516300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844774165:844776458:-1 gene:SECCE7Rv1G0516300 transcript:SECCE7Rv1G0516300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLRERGRLRRDWEHVLDDSKWSVTKMPPEINNAVYLSYEYMPSYLKQCFLYYSLLPKSKPYNMDQVVAMWMSEGFLHGNSSDLEELGRNYYKELLSRNLIEPDKLYVAPWISSMHDIVRSFGHYMTKYEALVAQDGDNDILTKLSSQKFIRLTIETNQLQSAEVDWKSLQEQQSVRTLISTIQIKMKPGDSLVMFSSLRTLHIEFANVVSLVESLHQLKHLRYLKLVNTNISVLPGNIGKMKLLQFLDLHGCENLVNLPGSIVKLGQLRLLELPSISVIPRGFRALTNMRKLNWLRAHMDGEWCSLDELESLSQLRFLNLYELENVSAASRAANARLGDKKHLIRLSLFCTSRLENDGLIKEKQGVPEEEQRRIENVFSELSPPPSIDNIIIGGYFGKQLPTWMMSASMVPLNNLTYIMFIDLACCTQLPDGLCQLPNLQFFKVDRAPCIRRVGAGFLQAAATPFPRLNEMYLLGMVEWEEWEWEEQVQAMPRLEKLMLRKCKLRHIPTSMATNARALKELFLQDVQHLNYLESFPSVVELTMLRIPDMERITNLPNLQKLTITDCPKLKVLESIPALERLILQDYVMEELPEYMRDMKPRHLQLFCSIWLLSSLSAGQSGPEWDKFSHVEHVKAYACDGNNPRKWYVLYTRDNYKLDSNISSSTTFEGDTMAAEEERQAAAAAVASGPGDGNNEQEAHRPQTADLGKEKVHDS >SECCE1Rv1G0006700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:35263838:35264944:-1 gene:SECCE1Rv1G0006700 transcript:SECCE1Rv1G0006700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFDDVHFVRLRCRVRRTKYLAADDDGHGVCLSSQRGAHNTVWAVQHMEGAAEGAEEGAEGGPFVLLRGAYGRYLFATDVQAAMGPGHGVQAVQHPRPHPNTPTCMLWQAIRHRGSRSFLIRGAGGRYLRANGKYLRWRTAVTVAGDDGSAMLQWDVEAVPLRLDRPTLIDPPPQLMRRRRRPPTEEEVSRQIRYIRAGVDGDIDETAWRTVRICTNSLMQLRLTVANLLGQNRSALHTTLCVRAGAYADLSPLLIDLPIGNDRLDIVVITHGTPVDNSLLYPNVNARA >SECCE7Rv1G0525030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:888080478:888081419:1 gene:SECCE7Rv1G0525030 transcript:SECCE7Rv1G0525030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCCARMHGIISDIIDGRKAAQHSADAEDLLDVLLRLQAQDSLPFPLTTESIGVLIFDIFTAATETSATVLEWAMSEIFNHPKVMAKVRSEVRHVLGRGKAVITNNDLGGLHYLRMVIKEVLRMHPPIPLLVPRESREDCEIMGYHIPKGTTILVNAFAISRDPKYWDCPEEFNPQRFENNNMDYYGTHFEFTPFGAGRRQCPGILFGTSTVEIGLTNLLYHFDWAHPKGAGESVDMSEKFGIATGRRSDLQLMAIPYVPSSST >SECCE5Rv1G0347750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:669685967:669686344:-1 gene:SECCE5Rv1G0347750 transcript:SECCE5Rv1G0347750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGRADDGHRGHLGPPGFGRRRGRQQSTPRAERRTSKSRSAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTR >SECCE5Rv1G0374780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:864654258:864659135:1 gene:SECCE5Rv1G0374780 transcript:SECCE5Rv1G0374780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTNHYMDPYSSYYRHHAPYPYYPPPGWEAGHKQMPEADSSCRPPYGPWPYSGSMNNSGLPESHSHSCCSHTYPPGYYSFRPPFPQELPPPPPALYYHGPFPPHHHPNPYSSSPYFGALSPYPADQTPYNGYYDKFPKSHCCGCPNHVCHGDGGQRSSVKIEEHNMPAEVKDDSKQKAADNNSSIIRNPNYQYPVMWLPPGDMEDNKGSGRSFEFPPHLFSKWFPQSGERAEDVKPADDSQKAKQLQWPMVWMPAGYGETKPEAKKELKEIEQSPKKTQEDPPSPKIKIIPLSWFGNDSRDQKPAARDGSGEQNGRSSVANPAAGTEHQHDTTGDGNCKTIPVVPEKPNSGKEPATSAVLQKANSGNKPAISVVPKKPNSGNEPAISVVPEKQDVEKKVRTCRTIPVMPQKENDEKKSHMDGNKEENKAIEVRKEGENKKSGNVESSKPKPSKLPPVCLRVDPLPKKKSGNTSSRSSNQATKKVCEKEKDVKEAQAKNQEPKISDHKKEDNGPVKEKSSDEIAKKTGPRNVTVLDASVKNAQEEQVLKSMTDQKVEPTVSAGAQENVSARSLQECDKNRKEDELKIQGEASNLSREIKLSEPDAAVRIQSAYRGYHVRRWQPLEKLRKIKNVHKQMQEVEKQLQALESSSKQPTEKEQIAINETIMNLLLNLDTIQNLHPVVREARKSVARQLVCLQEKLDSLCKKLPAEPSHPKSEEASLTGVDEGQLPSSVNSKSEEASLTGVDEEQLPMHDEVSSEVSLKLSQDGACTEPNHPKSEEASLTGVDEGQLASLVNSNEPMHDEVSSEVSLKLSQDGACCTEQTHQMEESSTANEEAQDEGKAAAAGECQDLMPDADLSGLITEKKHQMQEPDILGEESVEEEKAAVAKSEEGLSTHCLDGSVSKQCSEGSAERNWNTQESNQRVSPTTTEDDTTAVAAASTDSEMAADNDGSAEGGQVQESASIGISCLKHDDAPAEDQCKEASAPFHHLEDSSVTSQFADAHVITNPGDQQEESVVDDMQKEVADAMLDSGKEPNGTPTPRTIGDAGNMDDVTGANSDNTEQEKIVLVGSETAKQCEVSGKVDSTLVDQQNELAVEDPAGGSAIAKETEAVPSDVEGKPMENSPNDALGEVPEAVPVESEDKTMEHSLNDAIGQEPEAIAALESRADAIVEESEAVALEIDGKSTENTLDDVIGEEPEAAPLENMENTLNVAIGEEPEAVPLESRDETIEKTLKDAPGEEPEAAVPVETEGNTMENTLNDAIEEEPEAAPLENRGNTVEDSLNDSGSVSNTSPEPALPGGTGDGLPGEHDGGRALYGDNPDSKLPWKSQGNLLQTEEHDDGGKLGASEAAEVNATMPEETVSCATGANSAAPVLEAGKPEEGAEGAPPEAEEAAAATHDNNGPKKGDDNVDKNKGLTDENERLKEMLQKLVASGNEQMGVIAELSDKVKSLERKLAVHKRRKPKVRVQHRPARNATSTEVSGCL >SECCE1Rv1G0016370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:137519582:137521612:-1 gene:SECCE1Rv1G0016370 transcript:SECCE1Rv1G0016370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTQGSQLRKSRSWWWDSHISPENSKWLSENLQEMEMQVKEMLGLVEEEGESSAEKDYPERPVLVAHIKNFYHMYRTLAERYDNVTGELRKNIPSSLQPHGSFGAPESDSETQSPPSTEQKPKPRSDCFDVSIGSGVSSDVSKKGSDGSSSSSESESELDESKEENGNSMFYALSQRIIELEDELHEARGKLDALEEKNTISEVPEHDKRLREDLEEVNSEKEALEAALLANKNETDGLKEAMASAAKQFEIELAHRDLEVDKCKQELGELSAKYLRDKSALEAETGMLQGVITKFEGELAKLSQEKLQLGSRIEELEDTAVCLDYSASEMVKLQEAIKNTKAELEEVLLEKEETIKNLEAQLDRALQEKSILQDRIKELERVVCDSEEKHSLEKSDLSAELSTLSEANASLEGKLASMEAEIKQAYADRAEEKMNSEKHISELNQDLANLTSKLELILSEKVTVDDKLATLQTDFTARDEKMKQMDVHLSQLQLEHAKISAESAIVHESLSELRARVSELEELVEKQNLVISESAEGKREAIRQLCFTLEHYRSGYQQLREMLQQGHRRPLMLMAA >SECCE3Rv1G0166180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:183749966:183751084:-1 gene:SECCE3Rv1G0166180 transcript:SECCE3Rv1G0166180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKRSAAAVDGHASRPGATCLKKRRVGTTGDYDHESCLGEGMFGVVDKRRHRATGQAVAIKSFRNPFNKDDPVDAQEVLREARFLEACGGHPHIVGFRGVVRDYVTNELCLVMEYVQGKSLHCLLRERRGGLPEATVRDFMWQLLMAATRMHRCHVVHRDIKPANIIVGEGGMLKLCDFGVALSMSEAPPYRPAGTGMYRAPEMLLGKRDYDALVDSWSLGCVMAETITGERLFEEDNSTCLIRRIFEVVGMQDDTTWPGFTSLPFADEVPQVQQNTLRELFPEETLSKEGFEVLSGLLACNPDKRLTAAAALKLPWFATIAANAHHLASPPAAATTTVPIKVEEVEIAPSTPRRKRVRAKNYLSLFVTK >SECCE4Rv1G0219410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:30398593:30399417:-1 gene:SECCE4Rv1G0219410 transcript:SECCE4Rv1G0219410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSGDQSSTRAASDLAVLPSYPEMIVEAIASLREPNGSSQAAIARRIEAARGAGGDLPPSHPALVAAHLSRMSAAAELVAVAGGKYALPPPPSRSPVEEEDMDAEVEEEAEEEEEDDSSDDAPLLLLPPPPAKRGRGRPPKVRPPGYPGGPPAAIGAPPSDGLAVAVAVAAAPRRRGRPPKPRDPDAPPKIPRPRGRPRKNPLPEGMAPVPRPGPTTAKPRAQFAEVGFV >SECCE7Rv1G0483250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:319578259:319580070:-1 gene:SECCE7Rv1G0483250 transcript:SECCE7Rv1G0483250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRPSARVEEENLLVRLAARCASGRQLDQLHARAVLLGHARNSFVAAKLVRAFADLGHLGRARAVAAALGPAANAFVWTALVRAHSQSDAAARDAVALYAQMHHGCPGIKPLTFTVSSVLKAAARRKMLQEGEQVHVHVFKNGFQTDERIATTLVDFYAKCSRLDDARRVFDRLSVKDAQLYNTMIAAHMEVGEVERAEELFETMPEMNAYTLVEMVCGYSARGDMDSAKRLFEIAVAKGAENSVVCTAMISGYAKTGNADDARAVFDGMRVRDVATWNVMIGVYSGAGRAVEAVYLFKIMLEIRARPKVEPNHTTISIVAAACAQCGLPSQASWIQDYVDRHTMLLNNHTVAALIDMHSKCGNIERALDLFCSWRQRDLICYSSMISALGMHGRGKDAIAVFNELCDNGFDPDEICFVSVLNACSHTGLIDEGRRYFKTMKDDYNIIPTVEHYLCVVDLLGRAGCIDEAYQMITHEMPKDIEPHAGIWGALLSACRTYSNVEIGEVAASHLFKLEPNNVGNYVLLSNIYANAKKWDGVQKVRALLRRQGMKKPPGWSQVDAEGSLREFITGELDSVLEAVLEILNWELKDHGYTPIIEDE >SECCE5Rv1G0308590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:134313959:134321462:1 gene:SECCE5Rv1G0308590 transcript:SECCE5Rv1G0308590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEVHITFHLGPSADRSARAFFGPFSPFYLWAAPTRKSGMTVLGKIPKPINLPSQRLENQGLDPNVEIVPKGTLTWGSKPGPTTPNAWNSSSLLSPKKDGISGAPSQFNGRPSSGGGSRPSTAGSESLDSPNAWGPNSRPSSASGTLPSQNVPVVTNRPRSAETRPGSSQLSRFADNPSDNMNVSIRTVDRSGSSHGRVFTLSTGDFPTLGSEKISESNSQRGHSSKGRPTSSSGKDGAQNDTGKSLPAGSGEVVRPPNNQPADILKTDQPAHDGSAPFPATGPPNEAQQPQPYPPNYCMPPPQFDSWRASPGHPPEGMWHRGPGGPYRPVGPSSNFPVEPFPYYGQFPPNSEAAARPGSGHGGYHSKNADAYHSMPPNSYVMNQPVIPVRPVYQGPIPYDGYYAPQRPNFNNANVRDSPFIGGPHQPGILNQFPNQNEKFQPGHSQSRPVKHEGSSKELLESDRVHLICRGQTRILHDNPDRLVGPGEVERKSQPAPPLLPHPDGNRNDVNTRADTRNIPSERNMVLMKSVHDNRGPDRISHSSVLENAHSHPRETDDGALLKKLKEDNLPLDQQPIIKKNAALIEKIESLNNKTRSVDARNVAEAFSNKEIKEKQLKNAGSKADRVIKDVPSTPAITAFASASGQAACVSPRSPVVQKLQKEPNDGGVVGPLRSPVVQKLQKEPNDGGVVGPLHSHFAEASKAGKLGVSTHDRTHRRVDSSRNSHHGPAKDMPPNNSTGHGRGENHATESLSVVQVRNSQHDQPPEHVSQLPPDDMPASPDYESQRVKMRELAVQRAKQLQAEEEERTKRQKAKALAKLEELNKRSSVHQKNSNDPPPENDEVHNKQKAGLDGITEPVSSTAESHDVTALDNVSILQPPNEPKDTAVPAQPMSALLHAAGTDKDPSDHNTSTSGMNTQSNMMEHIAHKSISQSHDVSVPKPRQGYRKRHAVSEDKIPGEKSSVAINTEHVKKAVEVSLDTSTAVVTSHDDPPAHSKKSARNSRNKKKVDDAPATSNTSKYPPMVLGQQNTPSISSEPKIKTAGVIISSSILPAENTVLTVGSITVGGISFGSFNQERLKLPEEAQSTANSRPKPQQAKGSKKIQHAIRPVEKPHGNESVVWAPVKPSGWNEPSEEADAAVAGRPKSIGKCTTDGENVTRTKRAEMERYVPKPLSKELQQQNLEQNLPVEKSSVENKSNDNEKLTATKEPKKWEDKKTSRGHGKSHSSWRRRNTDDSASVVPIPSERADSYQESHEVQRPSDKHQQLEPDKQADYVAGNSLAPAEAVELPASTAKEHAAANRQRRQHIKGPKNEASNYSNENRDGRKDVNHMSTRGMDANSSEHRNMPKPEVKSSAAGTHSRAHWKPKSSHSQNNSQGNNTTEGQVDSATLQDSSNRNSNQGKGDMTHVDENQKSESHENAEQQQLNHATRRQGQHNGRYHKGSGAHRGRGYDAGQPSHGTNAERRRGSTHLEYQPVGSNKPSDFQQNPRGDEQSAGPPAPGPVYRERGHNRGHRPSGGHFVKRNPAPAPAPNSYREE >SECCE7Rv1G0466570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:79577092:79577520:1 gene:SECCE7Rv1G0466570 transcript:SECCE7Rv1G0466570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHLLLIIFMASILQAATSDTAYDILAQNNFPRALLPLGVKSYVNHGGALQVSLPNSCDFNVTVAGGQHKIRFDSLVSGVIQPGSITQLGGVRIQLEWDFPAFHTVERIGDKLRFTGGDHAALFDQSFPVSNFVQSPRCN >SECCE2Rv1G0089840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:263162372:263162979:-1 gene:SECCE2Rv1G0089840 transcript:SECCE2Rv1G0089840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPCTIQLAIKAASSSSGWRSPRATQGGLRALQLGGGAAWLRPSLLSKVAPARESGRVGFFKFGNKDAEGAGIYGSQARDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNQSIHPVDILLILAASEGDLPKIEELLKAGAKYDVKDVDGRTALDRASDEVREFITGFAVAKKA >SECCEUnv1G0529450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7689438:7696130:-1 gene:SECCEUnv1G0529450 transcript:SECCEUnv1G0529450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASSTLQKGSLSVRTRSNGAASAVEGPTVDDGESLGEPVSPSARLVEEYFIVVVIGLGAPINQSSGRAGIGATIASYPRFHSIQATDEDGTLRWVRTTVDLDYHIIFPKLDTAAVVVNPDQAVEDYVASLSTKPMDHSRPMWEFHILDFPTTEATATAVIRVHHSLSDGTSLLMLLLSSTRSAADPSKPPALPPLPARTGSIYVRPRPPMSAGSPAFALWLWSFVLLAWHTMWDAASFISTILFRKDTQTPFSSTDHGNRRPNRIVHRSLSLDDVKLVKDAMNCTVNDVLVGCTDAALSRYYYRKSGDSKTGKEIRLRSVLVVNLRATTALHECVSMIQSAKGSDVKWGNQLGFIILPVHIAMHHDPLNYIRKAKNTVDRKKSSLEVAFTHVAAEVFHKILGRKAGAYIIDRMFSNTTTLLSNMIGPVEQVEFCGHPVVFIAPSQYGLPQAINVNFNSYVNTVRVVLAVDETQFPDCHELLDDFFESLRSIKDAADKLGSHDRKA >SECCE7Rv1G0504930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:728071156:728074425:-1 gene:SECCE7Rv1G0504930 transcript:SECCE7Rv1G0504930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVAEEGRRAEEPEPVTPAAAGAAAAAEGSQGLEDRRLLRSRYLAVKSQINDEKDEIAGADSVKFQSVISQVETLHQHVHKPREQIADAEALLDIASSLAASVRSQSALGITPSHLVAALLSKFGTQGDADGEGASLRWSDVGLAASHVFMAVPGCCTMFGPMTTEVKPRRTHTARKRTARPSRNDRPEQLADPETTTTDTDRNMAALFNVLRKKKKARLENLVLNRMSFAQTVENIFALSFLVKDGRVEMNVNDDGHHILSPRNAPAAGAIASGEVKYNHFVFRYDFTDWQLMKGIVAEGEELMVHRPPSLSMAGGNTQAEMPSRSTPGGNNQGEVLARGTPGGNEDPATPAHGMSGGNNEPEMHAHTTSIRKHCRNRGVVTQARQDEATTMEDKQQTDAQDEAIRTVVKREVMVMEGVEIVQTYKRKRSDRHPPADGHRAHASWGSFGVGKEFTRLT >SECCE1Rv1G0014130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:110497625:110502863:1 gene:SECCE1Rv1G0014130 transcript:SECCE1Rv1G0014130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASADVGDAGDGASSAATAPAGRRIPPASSMPWVRNLRRFVGTGAGLGSEALMELETKRILLEIFKERQRKSAEAGSIPSFYKKKPEDGSISNRVQRLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPSAFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTEIFVERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRIALPDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA >SECCE7Rv1G0519160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863617442:863619158:-1 gene:SECCE7Rv1G0519160 transcript:SECCE7Rv1G0519160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGTLVIMATLVVYFVLKNKRVLLSQQQWGRPGRLPPGPAWLPIVGNMHQVIMNKPVVFRWIHGLLKEMNTDIMCLRLGATHVIVVACPQIASEVLRKNDEVFASRPTTFASGLFSFGYKGSIFSPHGEQWKKMRRVLTVEILASSMERKLHHLRKEEYNHLVRYINKTFCSEMACPSNIVNIRHVTQHFVGNMIRRLVFGKRYFSDLPASSNSGPGHDEVAHVAALFTALNHLYSFCVSDYFPALVGLDLDGHEKVSKDAMQTINRLHEPIIEERVLERSSTPDKDGGQNEARDFLDVLVHLKDGEGQPLLSLQEIRAQTTEMMFAAVDNPSHAVEWALAEMMNAPQIMQKATEELDTIVGKDRLVQESDIPRLNYLKSCIREAFRMHPYHALNVPHVAMADTTIAGYTIPKDSHILLSRLGLGRNPKIWTEPLVFQPERHLNTANVFLTDPGLHLFSFSSGRRGCPGISLGTSITMMLFARMLQGFTWTKLPGIKSISLQEGNVGLALAEPLVLQAKPRLAAHLYI >SECCE6Rv1G0449600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863297871:863300158:-1 gene:SECCE6Rv1G0449600 transcript:SECCE6Rv1G0449600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLSSSTSLAKSMFNIDNPDAMMDGGSSSSSSVARTPPPRSPLDVDEEYGRAFKSRSFLDLWSHAHRSLRQTFKLSSRPSASLEMLDDGAAAAPLDKEPSCSYTILDDFELEPRPEALARAAGRRHRRRRRWGCRRRHRVEALLLEYFDVTRDACEACSALLTAVAAARRHHLVLQRLLHRLDVEGHGEDNTTTAARDALALHVGLDNPLSPAGGGLDGFREAHARCAPLSKRLAAARRRLRRLAKATRFARCAAATAVVGASATVVVAAVVLAAHAIVGVGAAAAVAFCATSTRPVTCRTNTVKKLAGRLHDRRRRWHAHAGEAAVDAAARGAYIVGRDLDTVSRMVRRAHDELEHGRDMARIAVAGHGERPLLEEVAREEEECGADLRSQLDELEEHACLCLVTINRSRRMVAQEMERAGSPSPSTETTTSLH >SECCE3Rv1G0146740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12246750:12249706:-1 gene:SECCE3Rv1G0146740 transcript:SECCE3Rv1G0146740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDRMLTESSLEAAVGSRKQPDTETEDAPAAIVYCCDIAVAGGDPSKMVECRICQEEDWDAGMEAPCACRGSLKYAHRKCIQRWCNEKGDTVCEICLQQFRPGYTAPQQLFHYGSIPMNFRGNWEVARHDLHDSQVITMVPSERDFMDEYDDYFPVRTGSSALCCRTVAIIFLALLVLRHTLPLMVGGDGEYSFALFLLLVLRTAGILFPILVMVRAMATFHRRRRQQGNHGTYMFFSDTEDEDDDDEEEEEDADADPALPRYQSRLIPVY >SECCE1Rv1G0050840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:649523000:649526519:1 gene:SECCE1Rv1G0050840 transcript:SECCE1Rv1G0050840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIEGQTLAETAASAVAAAAGLPKEAQGLLHELAAEWADVADCRALEVVPLKGAMTNEVYQVRWLTGGGGGVGGDEAGEGEYRKVLMRVYGEGVEVFFDREDEVRTFECMSRHGHGPRLLGRFPTGRVEEFIHARTLSAVDLRDPEISAIIASKLREFHNLDMPGPKRVLMWDRLRNWLKTAKSVCPSDEAKEFRLDSLEKEITALESEFSGEDQCIGFCHNDLQYGNIMIDEETKALTIIDYEYASFNPIAFDMANHFCEMAADYHSEEPHILDYTKYPDLNERKRFVQTYLSSSGEEPDAEKVKDLVNKIEKYALASHLVWGLWGIISEHVNDIDFDYMEYARQRFAQYWLKKTEILSCRVDDE >SECCE7Rv1G0492740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:555889011:555891176:-1 gene:SECCE7Rv1G0492740 transcript:SECCE7Rv1G0492740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGCAGRWVPLALALLLAAVVALALIPQAALAASGGVMGGRSYSSSEPDDSSSSNSYSFYDSSSHISIGAPSRRRAAKDDDDDNSEVIFWAVLVGLVLLIAAVWYYLERPRTTVVKLQVALLGWAKPFQMELNEIAERVHASNKHSYKFMLTETICSLSRHRDCCVFSSLSVNVKNGADTWEVHFDKLSIKERSKFDEETLYNLEGIKRMKEYSKKLDGSRNEYVVVTILVAAKGALKFPKITRPADLEAVVEKLNSIPAREIQGVHVLWTPQDENGVLSEEKLLADYPNLKPHNDY >SECCE6Rv1G0379660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:15831996:15834798:1 gene:SECCE6Rv1G0379660 transcript:SECCE6Rv1G0379660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQPHREAEEEEGELWRRGHSDGITSPLLPSTSRRSPQPDEEEEENSPIEQVALTVPVGDDPDTPVLTFRMWVLGMASCAVLSFLNQFFWYRKEPLIITAISAQIAVVPLGRLMAAALPGRAFFRGRPYEFTLNPGPFNVKEHVLITIFANAGAGTVYAIHVVTAVRVFYGKNPTFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEVRSKGGSTRSQFFLVAFVCSFVYYIFPGYLFQMLTSLSWICWVFPSSVFAQQLGSGLYGLGIGSIGLDWASVSSYLGSPLASPWFATANVAAGFFIIMYVITPIAYWFDLYKARNFPIFSSGLFTESGHKYNITSIVDSQFHFDTKAYEKNGPLYLSTFFALKYGVGFASLTATIVHVFLFHGSEVWQLSKSAFQDKRVDVHTKLMRRYKQVPERWFICILIVNIAVTIFACEYYIEQLQLRWWGVLLACAIAFFFTLPIGIITATTNQTPGLNIITEYIMGYLCPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGALIAAFVYLGTAWWLMDSIPNICDTKLLPAGSPWTCPNDHVFYGASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPLLVWFAHKAFPGQNWILLINMPVLIGSTGHMPPATAVNYITWIFVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKGIFVEGCPVYT >SECCE3Rv1G0202630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:876000657:876004207:-1 gene:SECCE3Rv1G0202630 transcript:SECCE3Rv1G0202630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPEGIDPRSGYCAATRTFHSLRSASAGALPPEALPVTAAAYAFSLLASPLPDRPALVDAATGIAVSYPSFLAAVRSLAGGLWSSLGLRPGDVALVVAPSRLDVPVLHFALMSIGAVVSPANPASTPEEYAHQVALSRPVVAFAAPEVAAKLPAHVRCVVLGSDAYRSLASAGAGSAPPPVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALICAHAENRETVAEEATEAGEEPPPPAVTLLPLPLFHVFGFMMVLRSVSMGETAVLMERFDFGAALRAIERYRATLLPAAPPVLVAMIKSEEARRRDLSSLLVIGVGGAPLGREVAERFVAVFPNVQIVQGYGLTESSGSVASTVGPEESKAYGSVGKLASHLQAKIVDPSTGEALGPGQRGELWIRGPLVMKGYVGDDKATAETVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQSHPEIADAAVIGYPDEDVGQLPMAFIVRQPGSNLTGKQVMDYVAKHVAPYKKVRRVAFVNAITKSPAGKILRRELVQQALSMGASKL >SECCE6Rv1G0389960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:168212075:168213578:-1 gene:SECCE6Rv1G0389960 transcript:SECCE6Rv1G0389960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNDAPVRIFSVDSSACLCRVERAAAVASTGRHVPRSRPCVHSSVRASIHPLSEKRSSRADRRSDGQRPLLPGLPDDLAIACLIRVPRTDHYKLRLVCRRWLRLLAGNYFYGLRGRLGLAEQWLYAFKSDGDGRVSWDVLDPAAAAWRAMPPVPGEYAAAAGFSCAVLGGCHLYLLGGRDPRRGAMRRVVFYSARSNRWHRAPDMLRRRHCFGACVMGNRLYVAGGESGGGGLRSAEVFDPAKNRWSFVSDMARALVPFVSVVHGGRWYVKGLGAERQVLSQVYTPETDKWSTVATLDSMVTGWRSPSACIDGRLYAADCKDGCRLRAYDEAADAWSGCASSGHHLGSSHALEAIAMVTLRGKLCVVRNDMSVSVVDVAAGAGNQPWETLVGKGQIKSFVTNLLASIAGRGRAKNRILHCQVLEA >SECCE3Rv1G0185580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:675848725:675855970:-1 gene:SECCE3Rv1G0185580 transcript:SECCE3Rv1G0185580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAMRDRGEEEETEGRERKDAGATKKKVAFFRMFRYARRADFALMGVGTVAAIVNGMSEPLMTVVFSAVIESFGGGDDSAVLRRVSKVVMYYIYLGVGTALASFLQVSCWTVAGERQSARIRSLYLEAILKQDVSFFDVEMTTGEAISRMSADTVLVQDALGEKVGKYAQLLTTFVGGFVIGFIRGWMLALVMLACIPPSILSFASVSRLRAQISARRQASYDDAGNVVEQSIRAIRTVVSFNGEKKAIALYNALIKKAYKATVLEGLVTGLGIGCIFCVVFCSYSLAFWYGAKLIISKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGQSAAHRLFEIINRKPKIDISDTSGIELDDIKGDVELNNVFFRYPARPEQLILNGLSLQVPSGTTMAIVGESGSGKSTLISLVERFYDPQAGEVLIDGINIKSLKLQWIRGKISLVSQEPLLFMTSIKDNITYGKEDATLEEIKRAAELANAANFIEKLPNAYETMVGQSGAQLSGGQKQRIAIARAILKNPKVLLLDEATSALDVESERVVQEALNRIMVGITTLIVAHRLSTVRNADCIAVIHQGKVVEQGAHDQLIKDPDGAYCQLIQLQQVHTEGMHEVPYVSGSKLRSRSLSLEQSMRDSPRNRRQHSIKPLELSGSDDSHGSANTSRQEHKEFGDSEAPKKAPIGRLFNLNKPEAPILLLAVIAAFVHGLLFPLFSIMMSGGIRTFYYPAHQLRKDSRFWALLCLLLAIISLIAIQLEFFLFGMAGGKLVERVRALSFQSIMHQEVAWFDDPSNSSGALGARLFIDALNIRRLVGDNLAILVQCTITLICGFAIAFASDWKLTLIVICVIPFLGLQNYIQVKFLKGFSEDAKVMYEDAGQVVAEAVGSIRTVASFCAEKRVITMYSKKCQATMKQGIRSGMVGGLGFSFSNLMLYLTYALCFYVGAHFVHDGKSTFKDVFRVYFALVFTAFGISQTSAMASDSTKAKESTTSILAVIDRRSKINSTSDEGIKLEKVDGNIDFNHVSFKYPSRPDVQVFSDFTLGIPSGKTTALVGESGSGKSTVIALLERFYDPDSGTISLDGTELKNLTLSWLRDQMGLVSQEPVLFNDTIRANIAYGKRGEATEEEIITVAKAANAHEFISSLPQGYNTNVGERGTQLSGGQKQRVAIARVILKDPRVLLLDEATSALDAESERIVQDALDKVMVSRTTIVVAHRLSTIKGADTIAVIKDGSVAEKGKHESLMGIKGGVYASLVELHSKASAS >SECCE7Rv1G0471230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:128791571:128792182:1 gene:SECCE7Rv1G0471230 transcript:SECCE7Rv1G0471230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTRSASLLLCLTMVITIVLHLQLFPLAAAAPPRSNKTRDDGGGRGGRTLSFTLYQQETINKTGYMVVDGVAGAGVSQTTTPFGTVYVFRDNLTVHADGASPVAGVAEGTSITTSLDGLMSLSLAKITVHHRGHRGSVSVLGGTHNTRPSDYPVVGGTGDFAYALGYVRSSPVDLRGSTVTYKMELQLYWPPYAPYAPTPQ >SECCE2Rv1G0120940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:803255234:803257744:-1 gene:SECCE2Rv1G0120940 transcript:SECCE2Rv1G0120940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPAKKTLSVYLYIPNIIGYFRIIINFIAFAVCYTNRTLFAILYFFSFFCDGLDGWFARRFNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFILLLGLDITSHWFQMYSSFLSGKTSHKDVKHTGNGLLKLYYGYRPFMAFCCVASEVLYIILFLYADEKSTSLLKTCRGILKESPLVVFMFISTLIGWALKQVINVIQMKTAADACVAFDSKRGK >SECCE3Rv1G0202060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:869165026:869173150:1 gene:SECCE3Rv1G0202060 transcript:SECCE3Rv1G0202060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMYKIREIKTKAEQSETMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKISELREKLKNIKKILKSHVYSDFTSLGTGKETEDENLLQQLSDACLVVDALEPSVREELVKNFCSKELISYKQIFDGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRTQLVDILNNLKEKPDVATLLLALQRTLEFEEELAEKFSGGTTTARNKELESDDENEGTEHNKIVSDIRKKYEKKLGVPNDEAEQDKEKQKDLSVPGAGFNFHGIISSCFEPYMAVYIELEEKSLVEQLDKLIQEEKWETEEGSQTNILSSSMQVFLVIRRSLKRCSALTKNQTLFNLFQVFQRILKAYAAKLYARLPKGGTGIVAAATGTDGQIRTSDRDEKMICYIVNTAEYCHQTSGELAENVAKIISSQFSDKVDMSDVQDEFSAVITKALMTLVHGVETKFDAEMVAMTRVPWSTLESVGDQSEYVNGISSILSSTVPALGSLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPALGKQTTVAASYSKFVTREMSKAEALLKVILSPVDSVASTYRALLPEGTPLEFQRILELKGLKKADQQAILEDFNKHSPSIKHPTITPTVATPVARATASVPMAPVPLPTQAVVAASPSMSTALTGALANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >SECCE2Rv1G0126310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:845493678:845496239:1 gene:SECCE2Rv1G0126310 transcript:SECCE2Rv1G0126310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEALLAASGSGSGGGGERLSIDDALALHAGEFGRWQLWHFLLVTAAWTLEAMHTMVMIFADREPAMWCPAGDGRCGDRCAGAAAGWEWEQGSGSSTVAEWGLVCGERYKVGLVQALFFAGCMIGAGVFGHLSDSFMGRKGTLHVVCFLNAIFGLLTALSPNYWVYMALRLVTGFSAGSVCLCAFVLATEPIGPSYRGVTGMSTCYFFSGGIAALAGIAAMCQSSWRLLYVVTSMPSLVFALVVMPFVSESPRWYLVRRRTDDAMRILRDIASTNGRSIPDGVTLKLDDEDDLNKKVEESSSSILDVFRSRATRGRLVLSVIISFLCSAVYFGLSLNVVNLKLNLYISVVANCVAEMPGFLLTTMLLQRFGRKPLAIGSMILSGIFCTSAGLIAGVGVLRVVRMACGMVGIFGMAATYNLLVVYTAELFPTTVRTAAMGCTAQASQMGAILAPLVVVLGEQVPFAVFGAFGIIGGLLVICLPETMNKPLYDTMFGLEKGETAPRSEEEVISGNSEI >SECCE5Rv1G0297520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:3420961:3424536:-1 gene:SECCE5Rv1G0297520 transcript:SECCE5Rv1G0297520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEDTTSHYYFVWALALSTLVLAITRSKKANNSLPLHLPPGPWQLPVVGSLHHLVGKLPHQAMRDLARRHGPVMLLRLGSVPTLVLSSPDAAREVMKTHDVAFATRPLTATMSVLTCGGRDIIFSPYGEYWRQLRKIAVTELLTAVRVRSFRAIREEEVATMLRGIESAGPMVEMRARLSMLVADGTFRAVMGDRCDSKQRNLFLQELNRIIGLATGFNTADLWPSWWLAGRLSNALRCAKESHATVFGIIKGIIHEHMERRTEGQRGEEAKDDLLDVLLKIHKDGGVDMVAVEAVIFDIFAAGSETSATALEWAMAELVKNPRAMMKATSEVRRAFEAGGTVDEGRLGELPYMRLVIRETLRLHPPLPLLLPRECREPCKVLGFDVPKGTHVIINAWALGRDEGFWGADAAEEFQPERFEASAGMEVDFTGTDFDLLPFGAGRRMCPGMAFGLANVELPLASMLLHFDWEAPDISDPSEFDMTEAFGVTVKRKAKLLLRPSLRVPLPPSTPGGV >SECCE4Rv1G0294990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:894681111:894695796:-1 gene:SECCE4Rv1G0294990 transcript:SECCE4Rv1G0294990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISFSCLAITRLTWILSLLFIPDMMPQVYGQSTSLAVSIDCGNSSTGYYGYSDATTGIVYSSDSGFVEGGFSNNILEEFMAGAANEQQKTLRSFPDGSRNCYTLRSTTGMKFLLRAMFTYGNYDGLNKSMDGTLFLFGLHIGVNFWEAVNLTGLGPSRMVWKEMITVAQGNSVSVCLINFGSGTPFVSSLELRPLGFEMYPFVNSSVSISYFRRIRFGNITDYITRYPTDDYDRFWESWSQKSYPFVSLTTSSPVKRLLGDNSFQVPQDILQNATTLDTNYTFFGVELEVGPIRDPKNLQLLYIFHFAEINNDNRSRGFDIYTNGELLFPDFSPSRLQVNSIHENGRFFHNAASSFSFLLNKTRRSMLPPLINAFEIYSLIRMDNLFTDSDDVDYMKEVKDHYNLAQIHWNGDPCSPREYSWKELTCKYPESNQSKIIAVNMSTMGLKGGLAISFMNMLSLENLDLSHNNLTGTIPDYQLNSLKVLNLSNNQLNGPIPDTILERFQRGLLDLRLEGNPLCSKVNDTYCSNKKKNDTPTVRIAVIVPVVLVSLLVVMGIFWKLCWKGESGDHEDYAMYEEETHLHIEIRRFTYAELKLITNDFQSIIGKGGFGIVYHGTLENGKEIAVKVLMETSIMESTDFLPEVQTLSQVHHKNLVTLQGYCQNKKCLALVYDFMPRGNLQQLLRGEDCSLNWKQRVHIALDAAQGLEYLHESCTPSIVHRDVKTPNILVDNNLVGVISDFGLSRTFSDAHTHISTVAAGTIGYLDPEYHATFQLTVKADVYSFGIVLLEIITGQPPVCMDPQAVHLPNWVRQKIAKGSIHDVVDKKLLGHYDANLLQSVVDLAMNCVESIAFNRPTMTDVVSKLRVWLPAISSEEKPTSGTNTELPSQFQLMISGASNEGRYTGGTSQTSIFSGR >SECCEUnv1G0532960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:25396398:25397087:-1 gene:SECCEUnv1G0532960 transcript:SECCEUnv1G0532960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKGYAQILIGSRLFLTAMAIHLSLRVAPPDLQQGGNSRISYVHVPAARMSIVIYITTAINSSLFPLTKHPLFLRSSGTGTEIGAFSTLFTLVTGGFRGRPMWGTFRVWDARLTSVFILFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSPVNWWNTSHQPGSISRSGTSIHVPMPIPILSNFANFPFSTRILFVLETRLPIPSFPESPLTEEIEAREGIPLKT >SECCE7Rv1G0490690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:502016390:502016716:-1 gene:SECCE7Rv1G0490690 transcript:SECCE7Rv1G0490690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFSFKRKQFPVRLSFAMTIHKSQGQTIPNVGVYLPKPVFSHGQLYVALSRATATSNIKVLTGTNDVNKEKNKKTNTGDTYTKGQKKRKVRPVQAGHTQRILSTRKS >SECCE5Rv1G0308900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:141067857:141068357:-1 gene:SECCE5Rv1G0308900 transcript:SECCE5Rv1G0308900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGCVVAEECTLAVSTERMWKAAFSGEDTTILPKACPGFIDDVDVEGDGGPGSVSTMTLSPAAAELAGSGVTRSRMVARDNVARVIKMEVLEGSKVSGQLKSQVVELKLEAAGEGACVVKLRVEYEKLDGGGALSAEDEATLAAGYLDLFKMVEAYLVAHPAEYA >SECCE4Rv1G0246330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:543456357:543458075:1 gene:SECCE4Rv1G0246330 transcript:SECCE4Rv1G0246330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISDLFMVGNIWDSGCPRTIDVNWDMLPMPTCDLQGLDEAFSEEEVKEAVFDLPGDKAPGPDGFTGIFFKVCWNTIKHDIMLVVNRFSNLQTAHLQWLNSADVALVPKKDGAEDITDFRPISLIHAIAKLIAKLMSRRLAPHMNNLVSQAQSAFIKRRCIHDNFLYVRNLARRLHRKKTPALLFKLDIKKAFDSVRWDYLLDLLNHLGFPPRFGGWVSALLSTASSRVLLNGVPGTPFRLGRGLRQGDPLSPLLFVLAIDPLHHILEKATSQGRLHTIGGQNLPIRASLYADDAAVFIAPIKEDVRFFADTLASFGEVSGLMSNCSKSLVAPINCENVDLPDILQSFPAQCTTFPMLYLGLPLSVRRLKRIHYQPLEDKIAAQLTPWIGKHVAAPGRLVLVKSVITAIAIYYMTALKLPAEVMKKIDALRRAYLWAGCDKVTGGKCKVNWDQVCKSKLHGGLGILNLQKFATALRLRWLWFDWQDPIKPWSGLGSPCDKDDQSIFASASKVQVGDGHRAKFWEAAWLDGIRPKDIAPKIYEISKKKEFFRSQGFAQWLLDFPGECCLGHLP >SECCE6Rv1G0380700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:24548615:24549298:-1 gene:SECCE6Rv1G0380700 transcript:SECCE6Rv1G0380700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEALLPPLLPTPPRSEMLPLLPTPPKSKMLPLLPTPHGVVLTMLVSAMSGRADFVQRWDWNKTGKRPCSSICSSPSSSSSLESTESTGRADSVSRWDSNKKYRKPRTTMSSSSSSSYSTGSPGRADSVERWDSKKLATSCSASLPPPTGRGRHDGNNKRLPSPGRASSAERWDLHKKPRPEGKLPETNATAKAPQMAVFAGPSFYASPDPSMLPMPSFFLRAH >SECCE6Rv1G0430620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:743718112:743722193:-1 gene:SECCE6Rv1G0430620 transcript:SECCE6Rv1G0430620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLARLLNHRLLLPSTSTSSAAAAFSTSKRASPSRAKPRPPPPPSESPAEDAAGDDGDAPPPADPAAWQREKVPSELPRPPTIPFQPRVANAVRLVGTVGAPVQLQRLPDGRFSAVSVLVQDRRTDFPKFWIPVIFQDDLAQIAASHLQENDLVYVSGQLTGDVPPFKHTDGQANIQVLAHLLSFVDSKAVETDLMVDEEEGFMEIAEAEKKVEQTKPISKYPARTFSDYKAKQDKYRTLWNDVLANPLNWTDNRAEKANGSKNPKYPDFKNKTADEALWLDSAPHYVLEKLDGLTFNSGYNAAKTYKPFNSSTGKGTNTGWSKFKTSQAASTEKQKKEAELWQNLVDSPQSWWDNRADKRSPKAPDFKHKDTGEALWLSPKTPSWVTDALPPVKGGSKGGAGRPETLLS >SECCE7Rv1G0470700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:123515716:123516345:-1 gene:SECCE7Rv1G0470700 transcript:SECCE7Rv1G0470700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSMTRPPCANHDHMPNKWESASLDKVKEKDVNIPPCWCGDVCKVKSPPPLCKYFTWIDHEVPEDVKKDQYEDCLRRQRRFEESLRRGLEEERRQKERMDRKKREEERAHQAKLAREEERERKLAKAREAQEEDKARDKKGKWPRSTQ >SECCE4Rv1G0227370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:122359921:122360328:-1 gene:SECCE4Rv1G0227370 transcript:SECCE4Rv1G0227370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSTSKQQGGDREESKQHKMVKEVKKSEAPIFTYQLPFHSLSLNKVKNIEVDRLRLSFTTPTLVPVDSDSDEESDNGSDIDDTVNSTRGQVTADKPGLDHICSGLHAIPRKNNARSAKKRSHKLSSRKFYKIFS >SECCE1Rv1G0001340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4549739:4550078:1 gene:SECCE1Rv1G0001340 transcript:SECCE1Rv1G0001340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRMATAAPAVLLLLLLLVATEMGTLKTAEARTCLSQSHKFKGTCISDSNCAGVCRTENFPDGECNSHRLERKCFCKRTC >SECCE7Rv1G0470460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:120584999:120585889:-1 gene:SECCE7Rv1G0470460 transcript:SECCE7Rv1G0470460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEDAAASSECSSGCQSGWTTYLDDDTSSYSRLHGSKCRSSCRSEEAEEDDLSMISDASSGPRHQYSAGSDEGAAALANAQRAERRCRAKEPAAARRQSKMGAAVASTLLEDTASSPAFFKHSKVMGSPEANGFAAAAAGSTMEFSNAADFSSSFFSTTGFESPLSGSPLSSYLQVQYSPAPAKSMPTRQACREGGDKIGRW >SECCE5Rv1G0327930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:498678683:498692596:1 gene:SECCE5Rv1G0327930 transcript:SECCE5Rv1G0327930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIVDRACTKLKAIGSDAAPDLQEMLKMLEAMQPVLEEAKKESVDEAAGRAWLRRLRTAAHDISHMVDELQGQKVTEKLGCLGFVRKKNPMANGVETMEEKLKTIKEKLDGHGSDDSDQEDIIWAISSILERDMDVTETTPEVEDPRILGRGEDKESILSASNIREGLVILPIVGLGGIGKTALAQIVFNDTQFKEYNFRAWVHVKPECDLLEIGQSLVTLASGEEPNDSNDIEDVTRRLQHLYNGMKVLIVLDDLWEEDYDKLELLKSMFNADGKNSKLIVIVTTCSEGVARKICTVRPYKLNPLSQENCWAIIKQTSSFDGRDDKEEVRQLGWQIAGNCGGLPLAAQNLGGWLSDEDCEEWSKMSVIGTWISFQCLVSTYRRLPLNLRLCFAYCAIFPKGHSIVKDDLINQWVALDLIHPSGNAKELAEKYIGTLLDISFLQTAKSSLTSGKDGSTGAILLTMHDLVHGLAMAAVGEEFVSEEFIALARAMDSFHPNEYCRYARLTDSDDRPPALLSTAQLSALYCSKMDFGDSSGQWPCPNWNLRVLYFQESPMQKLPNSICQQGQLGYLNLSGCSSLTALPDSFETLLNLHHIDLSCCSRLVNLPQSFGKLTKLQHVDLSGCFGLQELPESIGKLTYLLHMYLSACTGLVRLPGSFGDLSRLEHLSLSECTRLETLPESFGGLTSLLHINLSRCHQLVKLPQSFGELKQLEHLDLSFWSCFGGIKEILGGLTNLMHLNLSHPCCFSSEPQSLLEGLIKVVGKLTKLKYLNLSMFLSPILGYQPEEKSLKCIDDICALSELEHLDLSHNMFLYDLPESLANCTRLHTLDLSNCIRLKSVDKKIGEMDSMKLIVVRNCRGLESYPFKVRSDVGGYSCSNLGQLEDVSCKELEISCLENVKSVLEARRTRMAKKQKLEKLVLDWTEGCRGSVESNALLGELLPLPPRNMRCLELRGYNGTCLPAWTSSAESPAIDELLIRKCPKLSFGLLPPVSPKEGKHPQPLYGLVHPGARKLVISDSDQLMGYSGHGLATATELVVESCKVPLGGWSLLNHLPGLSRLTIKECHKLSISSPGISRIPSTLKSICFSRCDNLNSLPEYLGELTSLHELKIEYCEELTSLPQGMQLLTSLQTLHLIDCKNIGKLPEWFGSLTSLERLTIDTCPGIKTLPGMDKLKKLKEPVIKNSAELKKWWDDGKAKLLAPSQPKTEYVLFNSHMFYVLLVITPVLLVLWFPFLA >SECCE1Rv1G0034270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:479266500:479272738:-1 gene:SECCE1Rv1G0034270 transcript:SECCE1Rv1G0034270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCVYTQRRWAHRRGGFVTGGTGWSQKARPAAVMGAKKSEWWAVDGELHEIGEGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDSEHETWCKQYMELYQELRENWERLYWDEGYSKKIAEDRANYDSAEEDDVDFSPYSRRRSSSVEPNKDLGSGESKQGDTWERVTQIRDKFEYDRERRMRERAFAPMNMENNFGQQNWKRPEARTGHVFTRQT >SECCE3Rv1G0182900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:639323715:639327882:1 gene:SECCE3Rv1G0182900 transcript:SECCE3Rv1G0182900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETSKRDHARELLAVDRKLVTVARSARRRRLELRRLGRTASAAAEDEGAKRVRPALDSSSDSSESAKVAPEPPLVASRCSACVSHGAVSVIGRRREMEDAVAVAAPFLAETAAVEGSGEAEYGAGDEGFFAVYDGHGGSRVAEACRLRMHLVLAEEVRLRRLQPGGGGQGRAEDEEDVAQWKEAMTACFARVDGEVGVDDGTDAGEQTVGSTAVVAVVGPRRIVVANCGDSRAVLSRGGVPVPLSTDHKPDRPDEMERVEAAGGKVINWNGYRILGVLATSRSIGDYYLKPYVIAEPEVTVMDRTDKDEFLILASDGLWDVVPNEVACKIARNCLSGRAASRYPESVAGSTAADAAALLVELAMARGSKDNISVVVVELRRLKSRAAAVIKENRR >SECCE2Rv1G0105790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:625486103:625487565:1 gene:SECCE2Rv1G0105790 transcript:SECCE2Rv1G0105790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMLSTAMAPLQGGMLEFSGLRSSSSLPLRRNATSDDFMSAVSFRTYAVSTSGGSRKAPTEAKLKVAINGFGRIGRNFLRCWHGRGDSSPLEVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDNAISVDGKVIKVVSDRNPSNLPWGEMGIDLVIEGTGVFVDRAGAGKHLEAGAKKVLITAPGKGDIPTYVCGVNADLYTHADTIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNQAFRDAAANELKGILDVCDEPLVSVDFRCSDVSSTIDASLSMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVADQWK >SECCE2Rv1G0120060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:796451166:796464081:1 gene:SECCE2Rv1G0120060 transcript:SECCE2Rv1G0120060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGDVVLSWSVPEINDDDLYRTKVQTIPCKFSSLDHYLQSYRAPLIEETRSDLCSCLELISEAPSSKILSMKVAGKSGLYFMDVDFWDNGAGFSTEAYAARNGDIFILSSSKPESAEDLNRYGVTYCLAMIAEVSLDDEYHKAFRVKLAKDVGLEEDLSKFRHAIFLSNIMTSIRIWKALSYDTNMDNNFTVIQSLLAPTNLGEDVCGICIEQDGGCLPSLTEQLLSIKLNQSQVDAIESVISAVRCRHMNLLKLIWGPPGTGKTKTVSALLWALACMKCRTLTCAPTNVAVVGVCTRFLQNLKDFNQHIDENGLPFSLGDVVLFGNKQRMDITEDLQEVFLDFRVDELLECFSSLSGWNYRIASMVSFFDDCASRYDMLLEDDGNSDPVSFLDFIKKQFDVAAIALKRCIVNLLVHLPRRCFSRDSVINISTMLNMLEKFGGLLCDADLTEEGLKRGLGCLLTENSVCARPISSIEKKLDGARSTCLKLLKDLQHSLSLPTGVDKNWIQSYCIRNATLLFCTTSSSYRLHHMEIAPLDVLIVDEAAQVRECELVIPLRLHWLKHVVLVGDDCQLSAMVKSKVCKEAGFGTSLFGRLFTLKFDKHLLNIQYRMNPCISLFPNAQFYERKILDGSNVLSPSYNKDYRGLPFGSYTLINVTDGREDKEGTGNSRRNMVEVAVVLHLIQTIFKCWKNTGKALSVGVVSPYSSQVDAINVRLGKKYDTYDGFHVRVKSIDGFQGEEDDIIILSTVRSNGNGVVGFLADNQRTNVALTRAKHCLWIVGNAHTLYKSGTVWTDLVSDAQRRKCVFNATNDAALCKLVLQVKKELDELEDLLNADSAVFSNTRWKVIVSDEFRKSFTKLKSSQLRREVLQKLIKLGDGWRTTIRNLNIPGVSHLAKVYKIRDLNLIWSTDVEKSEGKYIQMIRIWDLLSQQHVARTIQRLENLFSMYTDDYMDHCRRVQTLGKLEVPIIWDVEHDIIRYKKDCRVDSQEELDLVDTSYAMENSKVRESFLLMKFYSLSTGVAKHLLTAADGSEIDIPFELTDEEKMIIQFPLTSFILGRSGTGKTTVLTMKLIQKEQHSLIASQGLNLDGADLSGMDDKNIMPLKDIGESFVKQVFITVSPKLCSAIKNHISGLKKFGSGDVSDQPSILHMHDIFDDQEEFTEIPDNFNNLPHKHYPLTITYRKFLMMLDGTFRVSFFDVFYGELKSSIDRRHSNSRALQTFIESKEVTYEKFSASYWPRFNADLTKNLDASTVFTEIISHIKGRYQASNPYIGKLGRQDYVMLSDKRFSSLNSENRDRIYNIFNTYESMKSTAREFDLSDFVNSLHISLVSEGYSGDLVDFVYIDEVQDLTMTQIALLKYVCRNFKEGFLFAGDTAQTIARGIDFRFEDIRSLFYTAFLSETEVFNQGIQHGKQVQLSDMFQLTQNFRTHCGILHMAQSIMSLLYFFFPSSVDKLNPETGLVYGEAPVLLESGNDESAIMTIFGEIKSKHGNMQGFGAEQVILVRDDATKKQIVDLVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLRNKWRVLYSYMKDKDIIPQSEDISHQDFDRSKHHLLCSELKQLYVAITRTRQRLWICENTDDYCQPMFDYWKKLCLVEVRLLDPGLIKAMQTGSSAYDWRLRGTKLFNEGQFEMATMCFEKAGDAYREKWARAAGLVAIAERATSSNLENGKAPMQKASELYESIGMHEKAATCYIKLGDYKKAGMVYMEKCGASRLEDAGDCFAMTECWSVAAEVFFKAKCYTKCLSMCSKGKLFNLGLQFLQQLKEEHLLENSKSLEVSAIRKTYLESCAQHYSEHGDIKHMMPFVRAFSSMDHIRSFLMSKNLLEELFSLEVDRGNFLEAAGIAKQKGDVIREVDMLEKANLIEDATRLLLLHIIADSFWSSNSRGWPPKRYPEKEQLLARTKEMAKKVSERFYCFVCLQADALSDMNKSLPSLNCTFLEGRKCGNLFAEFIASHLILHVHLQSQVSGYNLELGPGSEDESSCNDMVARNQISPETLAYAWNHWKSIIIKVLSHLRHPDGPELNEYEDLCAKYFGLRKDGEGGRYVVLNMNSSWLSNAGRNSLQQDGNRCWLDVLQYRSCAQSFLMNELSSVGFSVLKKLESIAQISPKPASSYALVRTILIVKEIANFLEEPEFSMPKSTMKLRSFSVLWERRFLELIFLIWRDGARRSLLHILDSPASYGLIADSLGANLQPKSRKLTHGHLGRTTILLLHAARLDDALISRLLQYLDNDSEWADFYRCLKSFLDTGVDTSLISNFRLALDFTFNSVIWRNELDFISPICYVGLMECLGFLSSAHLLQKGRGVYCTKSQLVNMLECRTSKVYLETCLASTSRPDYDLDQTIFESGRFIFQTIMNILTEKKMLLEWVRRTSTPSSSYTEVLLRLVVTFYPLILTHNLGISYYKITNTLLECRVFKDLPREFSQKIVHALEMRPRTANNFIRVVADALAAIGDRMVVMGSAKGPAICENINAYMISKEELYDVPKIMALLRPEEATSVRQAAAMSEKSDGNKLRNVAGKVPKAVHDTKVESTVFWEMVETFQVNKEGQKDARSIIQFLRSAIPWLTDEQLQEEVRHICSEFEERSARTEKTACLTVEDLYSMWQDGESKLQTIISFLCSARASTKEDDRRNEAAVQQQTDEADGQTGCSGKEVESVKEEAATQKGAQKKNKKKPRKSKGRGKK >SECCE2Rv1G0116600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:765868231:765872974:1 gene:SECCE2Rv1G0116600 transcript:SECCE2Rv1G0116600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGNSVVMALPVVRPSQEEPTLRPPAGKQFSNQLAAAVRSINWSYAIFWSISASRPGVLTWKDGFYNGEIKTRKVTSSADLTADQLVLQRSEQLRELYESLLSGQCDHRARRPAAALSPEDLGDAEWYYTVCMSYAFRPGQGLPGRSFASNEHVWLYNAPCADTKTFQRALLAKTASIQTVACIPLMGGVLELGTTDTVLEDMDMVNRIGTSFWDLKFPTCSKSEEPNSSPSADDTGDADIVFEDLSHNTMAMMIPGELELGEVECLSDGNLERITKEINGFYGLCDDLDVGALEENWIVGGSFEVMSLPEAPPAPVATDGITDGDVTFSSVEPSHPSCFAAWKRSWDSAEDVVAPVAGESQKLLKKALAGGAWANNGGDGTTRAQESTNTKNHVISERRRREKLNEMFLILKSLVPSINKVDKASILAETIAYLKELEQKVEELESSRAAGAAVRKRHEVGRKKVLAGSKRKASELGGDDTERVLPMDDGPSSVVNVTVTDKEVLMEVQCRWKELLMTQVFDAVKSLRLDVLSVRASTPDGLLALKIRAQFAGPGVVEPGMISEALQKAIRRR >SECCE6Rv1G0429520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736180298:736181430:-1 gene:SECCE6Rv1G0429520 transcript:SECCE6Rv1G0429520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRTLAVALVVGVLASISIGQVQSIGVCNGKIGDNLPSRADVVQLYKSLGIGAMRIYEPEPETLRALDGTGIDLIMDVGGGFAAIASDPAAAAGWVRDNVLAFPGVRIKYIAAGNEVEGSDTQNIFSAMKNLNAALAAASRPDVKVSTAVKMSVLGSSSPSSEGAFKDAYMTDVAQLLKDTGAPLLANVYPYFAKRDTPSIDLSYALFQQGTTTVSDNALTYTNLFDAMVDAIYSALEKAGAPGVPIVVSESGWPSAGDDLASVANAQAYNQGLIDHVGKGTPKRPVPLETYIFAMFNENQKGGAVTEKNFGLFNGPDKTPVYPIKFGN >SECCE1Rv1G0002880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:11206090:11206659:-1 gene:SECCE1Rv1G0002880 transcript:SECCE1Rv1G0002880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRAAAARISRPAWSAVGLTVTRRMNGMGRTRAPRYFSDSIRSGRVLSEEERAAENVYIQKMEREKLEKLRRKKADQEKADAAKTAKGNDEKGEGPQPS >SECCE7Rv1G0472060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:136465026:136473034:1 gene:SECCE7Rv1G0472060 transcript:SECCE7Rv1G0472060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAERPNPCAAFRSVPPPLPARRADAAPRTAPPKGAPCPTSGGDRGLSGQCKKPPEHAMHKPSGGTYTKPDARVKLIPAEDITYVRHGKPFGRTVGSAKLQKRHRRRSVTPPPSSRKISLVRSPPLTHKSTTPFTPKAKQPLNHKASAPLTHKATAPLTHKAAAPLTHKPTTPPLPVASCLSPKRVETAHKASGPLSPKPTTPPLPVASCLSPKRVETAHKALGPLSPKPTTPSLPVASCLSPKRVETAHKASGPLSPKPTTPPLPVASCLSPKRVETVENRQFSSLNRPSPIYKSVQRGAFPPSVSRKESEMNPINPSSVHVPPAYSRKVPLNTKAEPPSKSVITLDNKKLCSTSNQAALKCQPTKRDADPIVEKENTVKLPLLSPTSVLSSSSTQVCPDTGRSSSRPNLFDGKSKLDSPQPETIIPQAKSPESTTPTPCAQLSNDLRVVSSTKRHVRNERQTNQEPSISCNMSSGAPLILHTPLCKVTYQPEACWKGKFEITGELTHTCDGLEAYFPREISSKVYEASKQMPEILKLEALPLSGLLPKRFKMEPPRAQDIGLCFISSCQRPNRDSYHLLENVSSHIGLRTDFGTVELLIFSSKLLTEDDQTKDGELYLCGVFQKHSRKRQHQANSHTNISNVGLSKGDSHISEDIGMDLDMTGGKYTKGKNCEIGMKLDATEVKKMETSKHEAGKIFDMTAAKQAERVKCKMSGIKLELTGEQETNRINKCFPMLKTLDANAAVSNAAPAVSFFTGSCSPDSAGTTDSPCKSARRAPACGDLVLDPPPGFPLDVPPGFTRARCGLRRDKTVESHIDSSPSLALDTPGLGLDAPPGFTKAHRGLHTNDSMPSPGSENGASTLFPERKSQIKFSLNITRPPGFAKLVEVKKDPGLPAFFKATEKTPPIGKANEKDIKHDKVNVEVESDDSSEEEREFPKTKRLSDILGSCASSSWRNNASTSAAPRNCSEVFRPASKFEEQKQQHRHCRKRSQPQAFESDAALEATKRLKVNGRIALNANGCPALNSNQAQPKTPPS >SECCE6Rv1G0427810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720933600:720933980:-1 gene:SECCE6Rv1G0427810 transcript:SECCE6Rv1G0427810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKALICTMVILLGVVGFTCPRASAGRVPSVAPVPFRCASTGESNIVSAGTGGCGGSAPAAAEEKALFPVRGFTLPPRLPPSRENMLKAPPGSIH >SECCE6Rv1G0428190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:724823572:724824019:-1 gene:SECCE6Rv1G0428190 transcript:SECCE6Rv1G0428190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFSRDQLMGSAFVAFGIILFVDFFYAAVVSEMLPPYENWLLSVLQNDRYYCLLVPLTLPVIIVAVYLHWLSMKMFNHA >SECCE3Rv1G0182850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:637729675:637736979:-1 gene:SECCE3Rv1G0182850 transcript:SECCE3Rv1G0182850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKRTQRSEILSLKRCNAEGEGGATERRPKRQRGDHFFPVELLGHVPASGIPYAAAGFRWCEEPEVASPAGAEPPPAAVATRPPVVRTSRGRAQVLPSRFNDSVLIDPWKKEKPAKPPAPPKVEQLPPKTKTLCRKGAILDRTSALSEVDEEEEAQRYRVCQNFVASRKYSMSLSTLTSLHDEPYINGNELMDDEDEEEEEDRQAHKEDLHWSTNFLYGDIVWARLGKRQPTWPGVVVDPARQAAADAMPPQPRGGAVLCVMLFGWRAEFSDEKKYVWVRQGGIFPFVDYIDQFQGQTDLSSLKPGDFQRALEEAFLADQGFSEVPLDDSTAGQPVVCQSCPDELEEVTGSNELECHAQIKHYKSELQCESCGNCFPNKESNKLVYVMEQLACKHCAWILRSKEYCGICMKSWQHKCDRRWVCCHGCESWIHAECDKKCSNLKDLRDRSYFCLYCRVKRNSNLSSKKTNSPDDSKDNSAQKGRKPDRVGVVCFDMEGTYLPNLELISCHCAPCKGQKFLFNEWERHAGCRSKNWKSSIKMKETLMPFGKWIDQHQPSVCSTYPSKRSSQKLKKQQLLDLLNEPYDHVQVRWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVSGKQDFTSWICRACETPVQKRECCLCPVKGGALKPTNVDNLWVHVACAWFQPQVAFASDELMEPAIGILSMAPLLFMKMCVICRQIHGSCTQCYRCSTYYHATCASRAGYRMELHCSEKNGKQTTKMISYCAHHRRPNPDNVLIIQTPAGTFSSKRLVQSSGTIAASRLIRKDLPKDSASEVETTENLCSARCRVYVRKELKRSREAAMAHRVRGYCQHRWDEIDSLNPQREERDPESFSTFKERLRYLQKTEHSRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREAQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNFYARIMSVGHDQSRIVLIAKRNVCAGEELTYDYLFDPDEADDCKVPCLCQTADCRKFMN >SECCE5Rv1G0375730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869364241:869364843:-1 gene:SECCE5Rv1G0375730 transcript:SECCE5Rv1G0375730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIGSRRSDYLLLAAMVLAPLAAIALIMCLAILGARGPLYSAAIDAVTGLDGRHDLNPLFNLTLRVASRSIFTGDCTAPGTVVEVSYAGVPLAAGPVPRFCTKRREARELHAVVAWGEALKVPGFVLDGLAADALRGPQVFDVAVTMPSTHSSGHHGTMVSCTGLRVGDTASLGATCAASDVDTVVTLPRPSGKVDGAN >SECCE5Rv1G0349710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:686171234:686171686:1 gene:SECCE5Rv1G0349710 transcript:SECCE5Rv1G0349710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEAPHQLLLNGVRLDLCLDTMTHHHGTWRPAYPAPADGQQQHHGKEAFSCNYCQRKFLSSQALGGHQNAHKLERTLVKRSRDAETGIHAAAAATPVAHSWLHAGGDLWAYSASPVASMSMGGWAGSRAITTPGGEATAEMDLSLKLCL >SECCE4Rv1G0294880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:893348992:893350476:1 gene:SECCE4Rv1G0294880 transcript:SECCE4Rv1G0294880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAADELLPLDASTFAAESRAVVDFLEVYYRDIESYPVRPDAEPGRLRTLLPNVPPEDGEPVDVILEDVRRHIVPGLTHWQNPNFFAYYPMNASTAGFAGQMLSTGLNVVPFMWAASPAATELEGVVVDWMARLVGLPERFIFSGGGGGVLHGSTCEAVVCTLAAARDRALSSLGHEAILRLVVYASDQSHCTFQKGARIVGIPPANFRVIPTSAASAYGLTADSVRDAVKADVASGLVPLYLCATVGTTGLGAVDPVRDLGEVARRYGMWLHIDAAYAGSALMCPEFKDYIDGAELADSVSMNPHKWFLTNMNCCCLWVVSPALLTSALSSNPEYLNNVGREGAAEVVDYKDWQIALSRPFRAMKLWVVLRRYGAAGIRAYIRRHVDMAKWFERMLDADERFEVVVPRRFSLVTFRLRPRQEGHDDMKMEALNRKLLVAINASGRAFMTHFVVDNKFVIRMAVGAAMTEMRHVQDAWELVQDKAKEVLATP >SECCE6Rv1G0418630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:663912121:663915755:1 gene:SECCE6Rv1G0418630 transcript:SECCE6Rv1G0418630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEVKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPEKIKGGEQGWAAQPVWADADTWFERQQQEEEMKRLQTEQNAAMKEAERLHREKVAAEKNGETDEADPMAVVEAQAVN >SECCE3Rv1G0146040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:9847714:9847995:-1 gene:SECCE3Rv1G0146040 transcript:SECCE3Rv1G0146040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEIFKHVRDVDCYPNISIAYRILFTVPVTVASAERSFSKLKLLKNYLRSTMSQERLNGLVTLCIEKKLLDDVDIDPIISDFASRNVKRNF >SECCE3Rv1G0161330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:115374378:115375994:1 gene:SECCE3Rv1G0161330 transcript:SECCE3Rv1G0161330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWLRGLLGGGGKKEQGKEQRRPATAPHGDRKRWSFCKSTRDSAEAEAAAAAAALSGNAAIARAAEAAWLRSLYNETEREQSKHAIAVAAATAAAADAAVVAAQAAVEVVRLTSKGPTSTVLAGAVAEPRGRASAAVKIQTAFRGFLAKKALRALKGLVKLQALVRGYLVRKQAAATLQSMQALVRAQACIRAARSRAAALPTNLRVHPTPVRPRYSLQERYSTTEDSRSDHGVAPYYSRRLSASVESSSCYGYDRSPKIVEMDTGRPKSRSSSLRTTSPGASEECYAHSMSSPLMPCRAPPRIAAPTPRHFPEYEWCEKVRPATAQSTPRYTSYAPVTPTKSVCGGYGYTYSNSPSTLNCPSYMSSTQSSVAKVRSQSAPKQRPEEGAVRKRVPLSEVIILQEARASLSGGGSTQRSCNRPAQEEAFSFKKAVVSRFDRSSEAAERDRDLFLQKGW >SECCE5Rv1G0374790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:864739833:864740390:1 gene:SECCE5Rv1G0374790 transcript:SECCE5Rv1G0374790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLITFAALAPLLMAMAVIVSPAACAFRGVGARESYRLRFYMHDFSNGPNPSAIVVAHGTGPLLGGSKDRRFGDVVVMDDTLTEGPNTTSRALGRAQGFYIASSSISGDPTLHISMDLVVTSGPYNGSMLAVTGRDNVLAPVRELPVVGGMGRFRMATGYVLMKTVNWHGNDVLLELDVYVHA >SECCE2Rv1G0098700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:491250703:491251881:-1 gene:SECCE2Rv1G0098700 transcript:SECCE2Rv1G0098700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKEPLYPSRDRATRMAPNPKPSSSESSSGYGARRARSVPSSPDRKFGPSAAAAAAAAAAAPSASPDMCRPSLSYAGRSISSRTMSGSGPSIHGSKPASKPALARAKSDKVTTNSQRPHVLAGPLSSSFKDMAKTVPASNSPSTLLKNKLSPRPTPGKGVASPKPSIQRASSPSPLPGALRGGKPLPTSSARAPGTAAKKREASANGGANASSRPRGAPQRAVEPSTTSRKEKDDEPSMQFEESESLTTPSIEDQLQEQLPDPVDLKPIDMGASASTPRDQQGPHTQQQCKNEEEIKEQPEKEDADAGGKNNKPDTAKVADELDRTAETEETKAAALASSRIEAAQSWRKDDPKSNDVIEETKSKLLEERKSRVKALVGAFETVMSFKE >SECCE7Rv1G0477950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:230219267:230219533:1 gene:SECCE7Rv1G0477950 transcript:SECCE7Rv1G0477950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPKGAFTATTYRSAAAPDGAEERQQQQSPRTELRSSKDEHGLPVKMLEEKVEDATGKGGPVFGAGAEDGKPDLGVTGTGGG >SECCE5Rv1G0362130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782856111:782857056:-1 gene:SECCE5Rv1G0362130 transcript:SECCE5Rv1G0362130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVKESKPSGEPNLFLQWGSRKRLRCVKSREDGSPSPARSDALRRTIPRVNRPLLGGDVAQFRSPRRPSTLHRRKSEAQASEARQSISLSPEKDRYYSTRGSPFPFEGNGFDFSGGVEEKGTAALPRFFISLSNKEKEEDFLAMKGCKLPQRPKKRPKLMQKCLLTVCPGAWLSDLSHERYEVREKKSSKKRARGLKALCMESDSE >SECCE6Rv1G0390540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:186348802:186353607:1 gene:SECCE6Rv1G0390540 transcript:SECCE6Rv1G0390540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAPSFLLVAVFLACSVATHALPGAPEPAAASVADYNALLSFRLLVRGDPSRALASWTSSAHDGSAPPPCQWRGVSCGTRGRGRGRVVALDLPGLGLLGTLAPALANLTHLRRLHLPGNRLQGALPPELGRLRDLSHLNLSDNAIGGRLPPSLSRCRRLRNILLHTNKLQGHIPQELVVSLRSLEVLNLGQNRLTGSIPSGVGSLVNLKLLVLEFNNLTGEIPWQIGSLASLVGLGLASNQLSGSMPASLGNLSALTALTASSNRLSGSIPSSLDTLHLEDNSLGGTIPSWLGNLSSLASLNLQSNGFVGRIPESIGNLGLLEAVSFAENKLVGKIPDAIGNLHALAELYLDNNELEGPLPLSLFNLSSLEMLNIQHNNLTGGFPLDMGDTMTSLQSFLVSDNQFHGVIPPSLCNASMLQMVQTVNNFLSGTIPRCLGARQEMLSVVNFAGNQLEAINDAEWGFLTSLTNCSNMILVDVSDNNLQGVLPKSIGSLSTQMEFLGIASNSISGTITEAIGNFINLDELDMESNLLEGTIPASLGKLKKLNRLSLSNNNLSGSIPVALGNLTKLTILLLSTNALTGAIHSALSNCPLEQLDLSYNNLSGSMPKELFLISSLSSTMYLAHNSLTGTLPSEVGNLRNLGELDLSDNMISGKIPTNIGECRSLQYLNLSGNHLDGTIPLSLGQLQGLLVLDISQNNLSGSIPEFLGSMKGLASLNLSSNDFEGEVPKDGIFLNATATSVMGNSALCGGIPQLKLKMCSSPTKRKLSPKLLMIIAAGAGILLVILSAMFVLCKRSKLRRAKLQITLPNDKYIRVSYAELAKATDGFTSENLIGVGSFGAVYKGRMEISGQQVVVAVKVLNLQHAGASRSFDAECEALRCIRHRNLVKVITVCSSIDSRGGDFKALVFEFLPNGNLDQWLHKHLDEDNEPKMLDLIERLQIAMHVASALDYLHHQKPFPIVHCDLKPSNILLDNNMVAHVGDFGLARFLHDGRNDMSETSTSRNSIRGTIGYVAPEYGLGNEASVHGDVYSYGMLLLEMLTGKRPTSSEFGELLSLHKHVQMALPGQAATVIDQDLLKSESNGKGTEGGYHSSEDMRISCIVSILQVGLSCSKETPTERIQIGDALRELQIIREKFYAQ >SECCE4Rv1G0243450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:502723909:502726115:-1 gene:SECCE4Rv1G0243450 transcript:SECCE4Rv1G0243450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAASQAYGEAWYWDERYRKESGPFDWYQKYPALAPLLRLYVRPHQRLLLVGCGNSVFGENMVDDGYQDVVNIDISSVVIEQMKKKYHDKPQLKYTKMDVRNMSDFESGSFDAVIDKGTLDSIMCGQNSQEHAMKMLGEVNRILNEKGVYIMITYGDPSYRLNLLKDLQFWTVKLHVIDRWERSSKKTWDLTEPLPLHDDSTSIMNLLGPKPDVHYIYVCIKDNNSARVDLKAEVNEADK >SECCE7Rv1G0521620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874338147:874342928:-1 gene:SECCE7Rv1G0521620 transcript:SECCE7Rv1G0521620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRGAGRALLLALAVVLAVHATPASAAPKSYLVMASQRPASWSDLLTPITSQFRIFYTFDPINGIALQIDSVFVQALKLLPGVAVIEDKLYEVQTTHSWGFLGLEGLDGAPIDAWRNVANFSQGVIIANVDTGVSPISASFKDDGTLPKPSDRWSGGCEQGFSGCNNKLIGVRLFNEGMRALSEAEGQQVNETDLNSPLDYVGHGTHTLSTAGGGFVPNVGAFGRGTGTAKGGSPRAHVASYKACFQPGCSSLDILMAILTAVKDGVHVLSLSVGSPASDYVNDPIAIGTAYAVTQLVVVVAAAGNDGPAAGSVSNVAPWMLTVGASTMDRIFPADLVIGTKTITGQSLSNSTLPANQPIAMVSGEKANAAGQSVANSVLCLPGSLDRAKVAGKIVVCTGARGRAAKGQVVKDAGGVGMVLCNDAASGGSIITDPHIIPTAHCSYSQCQEIFNYLQSTGSPAGYIKTRDAEVGVKPSPVMAAFSSRGPNTVTPQILKPDITAPGVSVIAAVSEEVMPTGLLSDGRHVPYGVMTGTSMACPHVAGIAGLLRAKYPKWNPNMIYSAIMTTAGRGANDYNTIRDETGGAATPFSYGSGHVNPVRALDPGLVYDTTTHDYLNFICSMRPTDTEGLLPVSLPLPVDVLWTLLIPVFLGTNSNPFQCSKGTNRPEDLNYPSISAPCLPSSGSFTVKRRVRNVGGGAASYTVSVTQPAGVTVTVNPSMLSFDGKNPEEEKHFKVTFQVYNTAAAADYVFGGIEWSDGKHHVWSPIVATTKCS >SECCE6Rv1G0377140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:157769:158881:1 gene:SECCE6Rv1G0377140 transcript:SECCE6Rv1G0377140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAKVPRHALVPKPSTASAPPPPPPPPRGPRPADGKPPHGKPPRRTPRAPSEHAGALALPGPPAEKRPITTPAELSAAIRAAVDADVDAAASLALKAAPTIPLPAQSLALILRRLAAHRSVAAARDLLAALPYSADNPAPRPALLALADACCRRGDPREIGQLLPVLADHGVRADAHVYNALMKAHCADSDTGGLLGVLRRMKDDGVDPDLVTYNTLVYGLARAGLVAKARTYLDAMAAEGLFPDVITYTSLMNGMCVKGDALGALKLLEEMQANGCEPNDRTYNTLLMGLCRNRKLDKAVEVYKSMVGAGMKLEPPAYATFIRALCRAGRVPDAYEVFDYGIESKSIAQATAYGELESSLKWLRKMKV >SECCEUnv1G0569360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:440814112:440815073:-1 gene:SECCEUnv1G0569360 transcript:SECCEUnv1G0569360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPDTRATSAALVSEMMAQGRKSAAALEALLQVQDHAGMRELTAEILSCCDRALAALHGGKPAGRHKRKPAPHGGAATQSKPKTRTRASSGETTRVERKRDWDDGFMWTKYGQKHTRGSDHPRHYFRCAYAPHDGGCPATRHVQRSQEHDPPLYVLTYFADHTCCRHGDPAGVVKHVKMQPPLVLDFGSPAAQSSRSTCWLNYGDNLLGETSHSEELRGEVDKVESTPSSDLLPAGPAVVAQLSGSCSSSPAACDPSAVDWDYFGNNSSDYVREFFDFEDVVLYQ >SECCE3Rv1G0171680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:276521553:276526669:-1 gene:SECCE3Rv1G0171680 transcript:SECCE3Rv1G0171680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGMAPKPAAPMPAQPKKPRHMLVLGTGFVGRYVSERLLSQGWRVSGTCTSAAKKMELELLGMTASVFDATTSNLANLHALQDATHLLISIPPIPGVGDPLLSSHADLQTTLTSGNLQWLCYLSSTSVYGDCGGAWVDEDHVVNPKSESSKLRYAAEQGWLDLVDELDLSAFILRLGGIYGPGRSALDTIAKRKSSSRRQKLRESKQYTARIHVADIYQAILASMSIKSTRKIYNVVDDDPAPRSEVFAFAQSLIEKRYPDLAADSAGSNSQNRIIAAEKRVSNGRLKQELGVRLAHPSYRSGLQSILDSWLEGNQ >SECCE1Rv1G0024430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:325989179:325994166:1 gene:SECCE1Rv1G0024430 transcript:SECCE1Rv1G0024430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFNKLQTREKSIGKKKDMPPSGKEGTDEAPSSATKQRVAAAKQYIEKHYKEQMKHLQDRKERRYSFERKLADANVSEEEQNNILKQFEKKETEYMRLQRHKMSADDFDLLTMIGKGAFGEVRICREKTTGNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDHHCIVKLYCSFQDNEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDYAAFPDLNEKDVTPNRTSSAHGDGRQQTTPKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTLDAKDLISRLLCSVDQRLGTKGAEEIKEHIWFNEVDWEKLYETEAAYLPQVTDELDTQNFEKFEESSDHCQASSKTGPWRKMLSSKDLNFVGYTYKNFELVNDDEVLEMAGLKKKEKAKRPSVKSLFDEPEGEEQQQAAGQDDDDDEGSVRNRKTEPELTRSLSLPSPSADKPSLTLPTD >SECCEUnv1G0568880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:432717176:432718252:1 gene:SECCEUnv1G0568880 transcript:SECCEUnv1G0568880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQHSHLDGDRTGKRPRRAPKKHLYLVLDDWDTGFSIYKVDAGTLQDTCTSTTDVQFGFPDPPVLRFPVPVRHLGMSFTAFGNSIFIATNPGCPQTPTLVYNTKIAGITIGPSLPRSLLGGIDISVAAGDTLYGLTSRHAGEQHSFEAMSRAATGSDELSDPRPAMDWSWKSVPSPPPFATDDIISSYALHPDGHTIFMSAHDILYQHVPKGTFSFDTKRCEWRWHGEWALPFQGQGHYDSELDAWIGLRKDRYICACEVASRSRESAVQPYCKVAKEKLFLKVPERRVAATRATLDCVQRQGVEPTCIFDCCVLHMSTFGLKYDRRGELQTTRHCSNSCVVSKHILAFSPLVFWM >SECCE3Rv1G0185110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:671143842:671144515:1 gene:SECCE3Rv1G0185110 transcript:SECCE3Rv1G0185110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLLALIFVAVLAASSSSIDARAIHPIFLPFGDNGRRLIGIQRCEECFGICQEVHYRTLCSTLATLPGVTTPQQLLDTALRVTAAKSAMAEMRLDEAMRAGLGNTIAMMSSLQSCRDSYASLTDSLGKSRTTLKKAGGHDDLMSELSAAATYSTDCRDIFGERPELKSPIRGAQRHITRLVSNCLDLAATIRQP >SECCE6Rv1G0417850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659791805:659794686:-1 gene:SECCE6Rv1G0417850 transcript:SECCE6Rv1G0417850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGHVQQASPQPAMDPGHVQPGPQPPAMDLDHVQPGAPQRWEYSLRKYLLLLATLVVTVTYGAGFNPPGGVWQAAHDGQPAGDPIIRYTYYHRYLAFFYCNATAFAASLVVIVLILILAIQHDKDEKDALSVVVPLRVFMMLDLLSLMGAYGAGTSQDKLSTIYYAVMVAAVLLYVAVLKLVDRCWKNSSPSPGSGGTMTVPNSSNSSGGVNLTINLDSSPGSGGKMTLPIHSNGSNSGGAMPTANLDSGASGIVASNSDPEVEAQEKKAEERLCKVLMLLAIFAVSITYVAGLNTPGGFWDSAGGSHHLGDAILKDHHNLRLTVFLFCNTMAFVASLFITMLLIIDGKNIHKKKPRSRMLYGCIVAALVGLVGAYAAGSCRETDTTVYVLSLVGAVVAYILLHRFCSSASKLFCCCFSPAEQPDENEDTDENNVSAREALDKARSLVLLLATLAAAITYAAGLDPPGGLWQANSNEHMAGDPILLTTNIARYRAFYYCNSVAFVASLVAIILVQKEKLVKHHALEAAMILVLFGLIGAYAAGSCRDVNKSIYAMALAGAVLIYVVIHIVFFTLDHKDKKDDPKEDLLLEKRRKRLLLFAILAATITYQAGLTPPGGFLLQDDNLGRHHAGDPVLLDNYPRRYNAFFYCNSISFMLSIALIILLVNPNLYRPAIRSNALSVCTAVGLLCLMGAYAAGSTQHLKTSIYIFVLAVVVLLVAAGLLLLFLRKRNGNSKTVPPSVPVKQKDKKGEWKKHARRKYLMLLGILVASVAYQAGLKPPGGAWQSSDSMYEAGNPVMHDNRRPRYLIFFYSNSISFVASIVVIIMLLPQWLRKEQQGEWEKWSLRVMNWTIRLDLFALLGAYAAGSNRGWKTSVYVVALIIAVLGYFVIHMKLSTCFERRRERRDARAAMENTV >SECCE7Rv1G0482260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:303262249:303263258:1 gene:SECCE7Rv1G0482260 transcript:SECCE7Rv1G0482260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPSPNQSHLLGHGSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNCNFPRYYYRCTYKDNMNCPATKQIQQKDHSDPPLYQVTYYNEHSCNSAFLALTPTEFQLQTASGKAVSICFDSSGAQEPGANASSPSSSAAPRGTPSESKNKPLALRSEALSSWAPGVVEQKTACADLQSCSTECQDAYISEDIDAGRFGSIRFFHFL >SECCE5Rv1G0354770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:726777754:726778110:1 gene:SECCE5Rv1G0354770 transcript:SECCE5Rv1G0354770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVATLPQLAAAPAAAAKKRSGVTYVEGMNAYSGLKGLNKVTMLGVRKSADYKFARIVASLSPAGKRRGGSFGAQCNAAGEIFQIAVVMNALTLVGVAVGFVLLRAEAAYEESEE >SECCE6Rv1G0403980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510940555:510941229:1 gene:SECCE6Rv1G0403980 transcript:SECCE6Rv1G0403980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S3, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00800) UniProtKB/Swiss-Prot;Acc:P56798] MGQKINPLGFRLGTTQKHHSFWFAQPKNYSEGLQEDKKIRDCIKNYIQKNRKKGSNRKIESDSSSEVITHKEIQKEIDTIHVIIHIGFPNLLKKKGAIEELEKDLQKEINSVNQRFNISIEKVKEPYRQPNILAEYIAFQLKNRVSFRKAMKKAIELTKKADIRGVKVKIAGRLGGKEIARAESIKKGRLPLQTIRVKIDYCCYPIRTIYGVLGVKIWIFVDEE >SECCE2Rv1G0091830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:331015516:331028129:-1 gene:SECCE2Rv1G0091830 transcript:SECCE2Rv1G0091830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKSPRAFSMEELPGHLIGEVLSSGRLAAGDLARLEATCRALRPLAEQAASRLCAARMACSVIGPAARGELLARCGGSWKKVLRFLQSVQQSFDTVHTSSGNMQVATGRYHTLLVHDCSVYSCGSSLCGVLGHGPDTTQCVAFSRVSFPSLARVVNISAFHNHAAFVTESGEVFTCGDNSSACCGHGDVGRTIFRPTQILALKGISCKQVATGLSFTVILTRNGLVYTCGSNTHGQLGHGDTTDRAAPKIVELFKGPSPVVQVAAGASYTFAVTDDGTVYSFGSCTNFCLGHGDQHNELLPRAIQSFKRRNILVVRVSAGDEHAVALDALGYVYTWGRGYCGALGHGDENDKTSPELIVGLKGHVAVQVCARKRKTFVLTDEGSVFAFGWMGFGSLGFPDRGSSDKVMQPRVLDSLSGHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGQEFIRGCLKPTEIMFDKSSIEDIAIAAPSG >SECCE6Rv1G0446010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842494849:842496192:1 gene:SECCE6Rv1G0446010 transcript:SECCE6Rv1G0446010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPLVSIPIPDDLLEEIFIRLPTPDSLACASAACTSFRRVIKGRAFRRRFRALHRPPLLGFIDAAGFHPAQAPHPSAPLAATLAPCAADFSFVPAVLSSASGVQDGRQGPRWRPRDVRDGRVLLDWISLRPRVKRLCGYFEDGYEVCILTDIGAPKPRGRPTWTERGRCNAADFHLAVCDPLSSRYVLLPTIPDDLAAQPQDSLFEFEPVLAPNTSHDGEEEPFKVICIAKYQTKLVLFVFPSTTMQWSMVESPISPPLYDMSCFDCVRSYFYWTEPCDWSDRLMVLDTHTLRFSTVDLLTGYHVELRDLDGQSFHDRRRPNAVVLGREGTLEMFSLVGQHGYFALYHTSLQNNSQEWKLEKVVQLPRQYHDFSISTVGAAEGFLFFHGTLESIPFDNVNVDCYSMEVSTYEITKVCCKMENSYDRKRALPYFSFPPLLSEPTI >SECCE2Rv1G0085250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:188423176:188424368:1 gene:SECCE2Rv1G0085250 transcript:SECCE2Rv1G0085250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDASVSPRRRSCYSDSGDSSCSEPFSECGSDDLSFTPAAAAGIHRLLLSCAAEASDGSISSLVAELESPSASVDSLRRAAMELRLLAKHNPDNRIRIAASGAVRPLVALLSHADPLLQEHGVTALLNLSICDENKAVMVEAGAIRPLVRALKSAASPAARENAACALLRLSQLDGAAAAAVGRAGAIPLLVSLLETGGARGKKDAATALYALCSGARENRLRAVEAGAVRPLLDLMSDPESGMVDKAAYVLHSLVGFAEGRSATVEEGGIPVLVEMVEVGTSRQKEIATLSLLQICDDNAAYRTMVAREGAIPPLVALSQSPSARPKLKTKAEALIEMLRQPRSASLRNTRPAAIVAAE >SECCE6Rv1G0427500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:719767020:719769077:1 gene:SECCE6Rv1G0427500 transcript:SECCE6Rv1G0427500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLELDDCLYANIQPDAPYVLSGLDTLTPNLIVGDGMKMIGEYEETVGAYYLFSESDAPPTPVHDQTAPAKVKKDKLGSSSKEGPSKEVKHLASIQNIIKFRSINEDHQEHRAYQHKDKEFSFDPSNRM >SECCE6Rv1G0428260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:725218056:725218475:-1 gene:SECCE6Rv1G0428260 transcript:SECCE6Rv1G0428260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAFVAFGIILFVGFFYAAVVSKMLPRYENWLLAAIQNDRYYCLLMPLTLPVIIVPVYLHWLSMKMFKHA >SECCE4Rv1G0294790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:892587946:892588587:-1 gene:SECCE4Rv1G0294790 transcript:SECCE4Rv1G0294790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLFVAGMDTTSITVEWAMAELIRHPDMLKQAQEELDAIVGYDRLVSESDLPHLTFLSAIIKETFRLHPSTPLSLPRMATEECEVAGYCIPKGTELLVNVWGIARDPALWPDPLEFRPAWFLPGGSHAGVDVKGGDFGLIPFGAGRRICAGLSWGIRMVAVTTATLVHSFDWELPAGQTPDMEETFSLLLQLAVPLMVHPVPRLLPSAYQIA >SECCE5Rv1G0375340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867932198:867933196:-1 gene:SECCE5Rv1G0375340 transcript:SECCE5Rv1G0375340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNKEVAALVEKITGLHAAIAKLPSLSPSPDVDALFTELVTACVPPSPVDVTKLGPEAQEMREGLIRLCSDAEGKLEAHYSDMLAAFDNPLDHLGMFPYYSNYINLSKLEYELLARYVPGGIAPACVAFIGSGPLPFSSFVLAARHLPNTMFDNYDLCGAANDRASKLFRADKDVGARMSFHTADVADLAGELAKYDVVFLAALVGMAAEDKAKVITHLGAHMADGAALVVRSAHGARGFLYPIVDPQDIARGGFEVLAVCHPDDDVVNSVIIAQKSKDVHANGLRSGRGAQYARGTVPVVSPPCRFGVMVAEVTQNQKREEFSNAEVAF >SECCE7Rv1G0524960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887817308:887821410:-1 gene:SECCE7Rv1G0524960 transcript:SECCE7Rv1G0524960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGASPAREGGGGREEWLRVYDRMVAVLRKSHRDVEALLADRTRLEAVLKIQHDFWLHRDAVLRDRLDETRRVEDCLRRGDAAKLDLLLGDKDLEVRRHRIYIEHQDGDLEDFKKDAAALAQENNELKIKLKEAESCAEHSELTTDPEHSGRDLRAEIRKLKKAYKALSSQKESEVSALLAEKDFVWNQYRTMEKDYETLLKKKKMEAAQATEAAEMLQRKVEELQLQVSAQKQDDDVGRLQAEANDARTKVLALEDKLQKMHSLVSEKDDEIQKLKSGHLQASQKRKKDISGTHRRSRSEGPSVRGKSNGNPRRQMTEEDQPETSQKRQCASSLSSGLALRRCSSRMTHLKPASSSSSPAPQQVLFHSSFKVPKLKAPVPPPPPPSW >SECCE2Rv1G0066110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:11923576:11923917:-1 gene:SECCE2Rv1G0066110 transcript:SECCE2Rv1G0066110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLSYISKMLCIKTPSSSEEAKHPAAGEECCVCLSRIRAGEATRRLPCEHAFHRDCVDRWLALCKRTCPLCRVYVADGNGNGRQAAAKHAGEGALADDLVIWFSTMLVPGF >SECCE6Rv1G0404750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:523653656:523657741:1 gene:SECCE6Rv1G0404750 transcript:SECCE6Rv1G0404750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTADPADAGDGNRSRGGWFFWNMQRNRGLSAASTSTNGGEWRPRPTDAPAPENYHDLAEYYLEAARRLPIADIPYLADCIFISGLAVGLADPVTNIVLTTINAFAKRPSFVLPVTPAHALEKTSKKSTFAVGARDSRTGLVDFLLCYFRDLTEDQAEKCLDMAGHHLPLAVLLVEAGRWGSEVAPLLQPDAARTRTALQQAAKCSNIVGLVRLMTWRYPRHLLDPVLDDLRGGKRLSADCVYKICDLLRCSWPPEPTPAPTPGVYRDNGGNVTTITKIRKDVFVTTTVSKDLVATTTITSTCPSNGDCTQVDGVHLSTELATNISSIVNSRVCSWRQNPDFLPLLKMSLLETVHGFYIDALGILPSDALRDRHLLRAVLTAGHCYGPLDPVSNIILNSIWYDAVFPLSDHVSNQIGAADILDARSMTRVESCSLDGLVAFVRYTYSISEQEAVVLLCQHRLNLSYLLQGRKKIFFNLASAILVAKHPQPAAFGDFLNSLTPAKLVRLRSLISDRGPGYVLSGDTLVRLKKMVTNGTTGVRAAAVQSMAHDLGQSALETLSARRETFKSQQDYVRTKLEKLLLDYGRDKGHLYRLGIVCGVTTARYCLYSTCYHVNFLASTDVLNDSTRSWALFFAEFWSIVDRRVEESTNVPFCCPVRDSFNAYSIRCIVCDRTSCKIAHPSCGESMYFTGDNAPYGFPVHYKWGSDLGGMLESDFIYFDRESDDEFAKILSEASSSSSSPKKPREEFPWSQRNTRARTDAGCGVAPLPFWNV >SECCE1Rv1G0019700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:240946106:240951929:-1 gene:SECCE1Rv1G0019700 transcript:SECCE1Rv1G0019700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGGFFVDEKAARVENIFLEFLKRFKEADAAEPFYDVEMEAMRSRESTTMYVDFAHVMRFNDVLQKAISEEYLRFEPYLRNACKRFVMEHRAGENRAPIISDDSPNKDINIAFYNIPMLKRLRELGTAEIGKLTAVTGVVTRTSEVRPELLQGTFKCLDCGNVVKNVDQQFKYTEPIICVNATCQNRSRWALLRQDSKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREGPQRKNGSGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRRDLDIRDRDIDGDGSERQKFTEEEEDEVVRMRNTPDFFNKIVDSICPTVFGHQEIKRALLLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALSPAFSTAELKRYFAFAKSLKPQLSSEAKKVLVESYVVLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERIVLPAHVRMAVKLLKTSIISVESSEVDLSDFQDAPLDNDDEQPVQGDATPQDGPDEPAEVIKKKFVITEEHFQRVTQALVMRLRQHEESVKKDGDGLAGMKQGDLIIWYVEQQNAQGAYSSTEEVKEEVKCIKAIIERLIQREGHLVVIDEGAAAAEGAAARASENRILAVNPNYVID >SECCE7Rv1G0523210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:881339299:881340155:1 gene:SECCE7Rv1G0523210 transcript:SECCE7Rv1G0523210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPSHVLVFFVISQLALATTFTTALLCDSCVGLCKSSCEKEINLSLYLHQIAAGPNHNQEQIVSPTFANGFGTTGVNDWPLLDAPQPNANIVARAKGLHIQASQEGGGWFSPFSMVFQEQDSRFNRSTLQVMGMIGPEASGEWAIVGGTGKLAMARGIIKYKFPQIVTSVENYRQLDIHAFYTPQAVS >SECCE4Rv1G0226090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:104204408:104207371:-1 gene:SECCE4Rv1G0226090 transcript:SECCE4Rv1G0226090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLCCSGRLALGSLSSSDQETSACHEEEGEEKKQQQQQPPKLQSVGMGNKRSRKTVPEIAGEGGKGGRSPPLAAKETTLSDKGKSKASQTDMFSSKIFKEESGKSPGTSSTPKTAVSRIRSCIAAKETRTIEILAFEVANTIGKGYNLMKFLSEQSMRNLKSAVLQSQGVRCLVSDDCNKLLALVGAEKREEFKEFATDVARYGNLCRDPKWHNLDQHFSRLESEPTHQKYSKEAAASSMQYLMALAEQTVQLHHGMRRFDISEEMYKKNYQEYMEGQEDQFCSIQSLLNAVEIERKFVKDLKKQTLWIKKMEHVVEKLVCVVHFLRLEIKNVFKKYEDESVEVKGTIQLTLGSADLALHYANIIFKIKSLASFVPSIPRSAVDSLYQALPPCVRSAIQTKLKCREYKEKRTVEQLTYDMNKTMKWLLPMAESTIRVGRRMLGEWQDQGEPNGTNGRKALKIQTLYHADKEKTEHYILDMVLALHHLVCAIHTRLDKFLNSTSDRSEMQETSNSVSTSHSGPASPFHRGRDSPRIPISMITVQDSIQR >SECCE6Rv1G0390190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:178457622:178460728:-1 gene:SECCE6Rv1G0390190 transcript:SECCE6Rv1G0390190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVDVADAMGAVTIENGEKLELPDGVEERCEEHDAQANRDHSGESEVINPHEEAGGEATSHPEGRKIGRSKGTASHGPKVAKTRSPKSGDEGLARRGTPSSSLRKAPIARVSHADSGTGSCTNGDPSVDKKKSGKIESRPSAKETSLLEDAKSREGSKAQKQSDQHSSIRRDEEDLSSESSKARRAGSTPAYGFSFKCDERAEKRREFYSKLEEKIHAREMEISNMQAKSKETEEAELKMLRKSLKFKATPMPSFSPPPKVELKKIPPTRAKSPKLGRSKNKSGLEAEESTTPSHPIRMSLDEKATQNGAKKVTPSNSVKKPQRKSLPKLPSEASGPLEATQPKSMEDLNTDNHQESGSPTKQLQETESIASNAQEPIRAEVTPDDQVLDEQASV >SECCE3Rv1G0187810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:705457310:705460188:-1 gene:SECCE3Rv1G0187810 transcript:SECCE3Rv1G0187810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRHAAAFSAFLFLLLVGHCHGGKTGICYGRNADDLPGPDKVAQLIQQQSIKYVRIYDTNVDVIKAFANTSVELMVGVPNADLLAFSQYQSNVDTWLKNSILPYYPATTITYITVGAEITESPINVSALVVPAMRNVQAALKKVGLHKKITISSTHSLGVLSRSFPPSAGAFNSSYAHFLRPMLEFLVENKAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQGVIDPNTGLVYTNMFDAQVDSIFFALMALNFKTLKIMITETGWPHKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFSPDQSSIYSIDWTGRGNVDIMTGGNRSNGTWCVASTNVSETALQNGLNWACGPGNVDCSAIQPSQPCYQPDTLVSHASYAFNSYYQQNGATDVACGFGGAGMRTTKDPSYDTCVYMAAGSKISTKNSTASPAASGSSPSLLAQCRTLLLPVLPIVIAVGFL >SECCE4Rv1G0246870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:550511513:550512338:-1 gene:SECCE4Rv1G0246870 transcript:SECCE4Rv1G0246870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPRCVSNSSGPHLQAWIAEMAAYVKSLDAKHLVAVGIEGFYGTGIAERLGYNPGDWAASLCSDFIQNSAVENIDFASVHAYPDSWLPKASMEEKIRYLSSWVDSHANDSEHILKKPVLFSEVGYLQHVDGNSTVDRDILLRVVYDKIYDSARKLQAGGGALVWQLMVEGTHMYHDDFSLVARDHPSTYKLITEQSCRLQTLYKNDRDPDWQCPIQP >SECCE4Rv1G0242990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:493818648:493821721:1 gene:SECCE4Rv1G0242990 transcript:SECCE4Rv1G0242990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGDRSGWCFCSGGGAKLERIKSTLLSTKGPALAAVSFPRGGEGAGGGGGGGGGGGGGGKRGGGFLIHRALLLTTHGTVPSAAVAGAAEVQLSHGRLLARLVPQRFFITSPILDLTIVGLDVVDDSSDSHGQQPHFLKTCLNPSLDLGSTVLLLGLTNKRDLAVGEGKVVIATDNLIKFSTDEVSWHPGSAGFDMNGNLAFMVCDPMKLAPSTPTGYASASSTALLASKMDVPTQFGIPIPAVCEWLKQHWNGSLEDVSKPMMPPARLISAGQRSEPSSLSHLHCIKTTEREGGAVSSSQVPARPTRQHGSCSSASAKISYGENDSGDSHSFQGQRDPASQMPRPKEQPGSVMDISFPPGHPRSIFLPLPLKQMMPVENKIKPNCSVSDESRVANARINCDAMHNVAYQENCWNEAQSSSSPPAISEQGDKRDGFSSGEETMYSAETMESRNIPSPKDKRPQIVGRSQSFANHSKWDSPKSVESSKGPPSRSHTFIPLRKPHLQAASISQKSQVYFSPTVSSNMKKRNLSQIPMKPRQSAQVTSKWIT >SECCE2Rv1G0107070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:645273216:645280605:1 gene:SECCE2Rv1G0107070 transcript:SECCE2Rv1G0107070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGERTFKANFSAEGVALLRQRVRAKLHELMGEYTDDTLVEYVVVLLRNGRRKDEAAKELQVFLGDDNNTFVSWLWDHLSSNLHLYVQPKAVSANDEARNTRSTARGLLLHNSSSNAQTGRVPEAETQKTTRVQPKREWGGIVREQSDVAPLRSVVAPVSHAEEKTNHTQAVRRTRSPDMHNNHRKRDREEDTRPAKKTSHEVIGAPRRLLQFAVRDAVRPVQPPTPRSESSSKRLRSVVSTIESDSAANGRLQKMKSDVRVPGATAAFRAAAEAAEDVLKDRYSSESVFDRLGRRPLLTTTEEPFDFREKDPDDGEYKHIDNVRAENQVEFHERNQYVARDTCMYDSGTEKAADSAFDIDRYDDTGAVRYNAVNPYQNKLSSSGGKESSVKRYNKAEGAAGIRSRRSIAQDTHASSGPRPSEKMLNISANNNTRNPPYHETARNAGTFEHQVPIGKKDVGSIKSNLTVAHAKVTHMADKSKDLVHSSSLLEATKVSSVAGGSTGQPESPPESRTIFVSNVHFGATKDGLSCHFNKFGAVLKTLIVSDGATGQPTGSAYIEFLEKESAEKALTLNGTSFMSRILKVVRKSSVEVPQQPSWSRGVRASPFASRLIRTAYPRPAFPGAMRGRLAIRGNARSFQWKRGAADSVDAGKPSQATPVTPGSQMVTPVTRSFTYTRTEPKQDAGATANV >SECCE2Rv1G0106110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:630109671:630111778:-1 gene:SECCE2Rv1G0106110 transcript:SECCE2Rv1G0106110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLAAALALLLAVALGAARAADDLASDTAALQAFIAPFGSASVSWNTSRQTCSWNGVVCSGGRVTGLHLPGDGLRGSVPVGALGGLTRLTVLSLRFNALSGPLPPDLASCVNLRVINLQSNHFSGELPVAILSLPALTQLNLAENRLSGRIPPAIAKSGKLQLLYLEGNLFTKELPDVDMPSLLSFNVSFNDLTGEVPKGFGGMPATSFLGMTLCGKPLPPCRTPSTQPPSQPPTVAPEAAVAGNGRGRGRRHLAGGAITGIVIGCALGLLLIAAVLVLACGALRRKPRRTYRSQDAVAAELALHSKEAMSPNSYTPRVSDARPPPPASMPPPVAPVSVGRKKLFFFGRVPRPYDLEDLLRASAEVLGKGTYGTTYKAALETAPAVAVKRLKETSLPEREFRDKIAAIGGLDHPNVVPLQAYYFSKDERLMVYEFVATGSLSSMLHGTRGAGRSPLSWESRRRIALASARGLEYIHATGSKVAHGNIKSSNILLGRSVDARVADHGLASLVGPAGAPSMRVAGYRAPEVVADPRRLSQKADVYSFGVLLLEMLTGKAPTNAVLHEEGVDLPRWARSVVREEWTSEVFDTELLRHPGAEEEMVEMLRLAMDCTVPVPDQRPAMPEIVVRIEELAAPGSASSMTRPGRSISVDEADDRPLKPAGSIRES >SECCE6Rv1G0417430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:657883504:657885504:-1 gene:SECCE6Rv1G0417430 transcript:SECCE6Rv1G0417430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:Projected from Arabidopsis thaliana (AT5G35160) UniProtKB/TrEMBL;Acc:A0A178UDT0] MAKAAARPRLLLLLAAAAAALLLLAPAPARAFYLPGSYPHKYAPGEPLSVKVNSLTSIDTEIPYSYYSLPFCAPPEGVKDSAENLGELLMGDRIESSPYRFRMHANESDVLLCRSPPLSPPDFALLKRRIDEMYQVNLILDNLPAIRYTRKDDYFLRWTGYPVGIRVGVDYYVFNHLQFTVLVHKYEDPNVARVMGAAGDASDGPANTGKDASAAPGWMVVGFEVVPCSIKHNPEDARAVKMYGRFPSKIKCDPSTVSMSIKENEPIVYTYEVSFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTRYEELDSEAQAQMNEELSGWKLVVSDVFRAPSNPMLLCMMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGILAGYASVRVWKTIRCGDHSGWVGVSWRTACFFPGIAFLILTTLNFLLWGSQSTGAIPFSLFVVLILLWFCISVPLTLVGGFLGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVMLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYLGYSLFMVIAIMLATGTVGFISSFCFVHYLFSSVKAD >SECCE3Rv1G0159600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:97503423:97504733:-1 gene:SECCE3Rv1G0159600 transcript:SECCE3Rv1G0159600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGARRFVNLGVYDQLKRVYSLRRLDHSKMEFFHQSAEETAAHAKVVPTLTPAKAWAPNWRRSFKAALAAAEAAAPKIKSPKSQVMIRPPVVSSSLPTNHVIHFFPTASENKVILGDRANNLLRFDTGEHRRCIDSMPNLHQHKDSPLAISVPPSALHLHDGEDAGDLYIIDQVLHPDMAESRPQFEALLWRGRRPSAACRRSWHCDILPLPPWIIHHKHALVYGHALVGGDTICFSISGAEGSGTYCFHTATREWSKAGDWIMPFNGKAEYVPELGLWFGLSRRLPIAVDLSGVVRGEEPLPEKLRIWEDDDLPEEWQPSELCDAKIISLGSGRFIFADFFNDMIFDKDSSEMVTGQQFALFTGMEVMYGNGNGNGANKDNFNYNSGNNDTGKDDGNNANNGSKGKGMIRGLRMIKHKSKRYVFKRQLSIEAVL >SECCE6Rv1G0421100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:681769736:681771068:-1 gene:SECCE6Rv1G0421100 transcript:SECCE6Rv1G0421100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGDEISRLPEELLASILSRTSPPDAGRCAAVSRAFLAAADSDVVWSCFLPRDLPRFAEGVLPHTPPSKKGLFRCLSDQPALLPGNLVSMRLDRATGAKCYMLSARSLHISWGETIDYWEWIKLRSDEIQTNKSFHEAAELQGVWWLLIRGEIHSTMLSPNSKYAAYMVFKLADEFIKLDFPFQEASITVGGNDDSTRQVCLQAYMEDGDDGVPRKHILRSSTWEDYIPHMSCDAIPLTDDVMLPRKRADGWMEVELGEFYNGESCDNEVSVCLKETEGGVWKAGLIVWAIEIRTKQ >SECCE5Rv1G0371110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845546901:845547380:-1 gene:SECCE5Rv1G0371110 transcript:SECCE5Rv1G0371110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLALGRPGADGSNGGLADDEARPLFPCLFCDRKFLKPQALGGHQNAHREERAAGWNPYVYGRQPADSAASSRTAATDNFNPIATRGGGGGAAPPPLHVVREAGTLAPSVHGVRAAASPFSGVHDDVGDMLSSGRSPAAEPAPESNTGVGIDLKLRL >SECCE7Rv1G0493470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:568115606:568120612:-1 gene:SECCE7Rv1G0493470 transcript:SECCE7Rv1G0493470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDASAPPPEVATETKIPVVDLTDAVQEDAASDPAAAAPTQEEAPSEVAEAEGDHKRKLEAVDAGAEANGAGEDAKRPRVEGNGADAVQNSESSEKPEEPVAVVAEGAADAQNAEVAPAGDLQTSSEVKPQDTATEAPPQQQEGDATGAPQETTRLIDVPNTKVGVLIGKAGETIRNLQMSSGAKIQITKDADVPSDAMTRPVELVGTNESIDKAEQLIKSVIAEAEAGGSPALIAKGFGSGQSGSEQFEMLVPDNKVGLIIGKGGETIKNLQTRSGARIQLIPQHPPAGTTLTERTVRVTGNKKQIEAAKELIKQAMSQTFPRNTTQSGGYGPQHHHTQGHGPASQWGPRSQPQQYGYPPRGPPQSAPYSQPPYGGYPQQPPPRGGMGWDQRQGPPPHQGGGYDYYKQGSQPYESQPPNYPPGPGNYNSYGPSQAPSYGQPQYPQSAPPQNYGPGYGDPRYSAPAPAQQYYGQPPAGPQQGYPQQPDPYARPPYGGPGQWPPRGSAPADGAYQAPPPASYAPPAQQPPAYGQTYPTGPDGYAQQGYSQQGGQGPAAYGQSAPAGPGYSQQGGYAQYPASQPAYGDQSAQNNANYGYQGAPADPNYGNAYPQSGYAAAPTSGQPGYGQAGYTQPPATNPPSYDQSAPPAAQSGYAAPAANPQPAVAKGVSPQPATAAGYGGQWTA >SECCE1Rv1G0043900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:597314295:597315935:-1 gene:SECCE1Rv1G0043900 transcript:SECCE1Rv1G0043900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAGALLPLSVIVIVTVASTLLLAPVAAKDIDALLALKSGLHDPNGAFNSWDPQLVDPCTWFHITCNNNKRVTRIDLGRLNLSGPLAPELGQLDQLQYLEVYDNHFTGPIPKELVRLSNLINADFSNNNFCGPIPTSGPFKHIPRRSFAKNPRLGRKC >SECCE4Rv1G0244240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:512831659:512836428:-1 gene:SECCE4Rv1G0244240 transcript:SECCE4Rv1G0244240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGAPGAGAGGGGRSRDIRLTVQEAAKKLSLWHTATFRPITTHDDLEPILAAAGFVALPLPPPPEPPQDQQQVRWREYAFLGCGGGGGGGNAVVGWLGPRPRLPYPRVDALHIRTYQAFLGAVEVYLGAARVPNLFHVRCMPVTTKQDRVFDKVFRAMRNDQDGIIVYRDGTLDEATFAAVCNEHTPIEDVGYHVIPGSVCSEFGYLRHSKIHGGNFDEETCKGCPGHKDVVRLKDLSPRSCRNMWI >SECCE2Rv1G0129280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:867543682:867545208:-1 gene:SECCE2Rv1G0129280 transcript:SECCE2Rv1G0129280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSHRNGGDRLSALPDKALERVLSHLMSDEAVRTSGLSRRWRHVHEGVPVVHLVDTKAGERYGRSGSDLKVCFDHQVTSAIMGKGVGTPIRAFRVSVVHPPYDLLDQWIATVVNSGVEDLDVKLRYCADSMGTLCPFLRCPILGTKRSADFDRHDTSRYTGTHPHIFGCPTLRRLRLTNWTLDLPGSVDMASLETLCLARIMDPHGELQQLITACPGLAKLTLEECPTIKEIAVMPARLRSFAMICCHHATGVDLHTTCLESLHFKGGLPPRGSSFITVANYDAVKAMRIEICEKLTGNHGCEQPKDVTPVTRLIGRCTSLAYLHLSLRPSMACNVFTSVLRAVDSLTHLSLQGCLPTDEAVQSVADLLVKAKNLEVLSVFPLGPEPPKKKRDRMRRDNEDDKSDSDSEPEDGPIDDGVKYSSRMPKRLRLTYVKCLANKVTRIDIGNFKGRPLEKMLARFLLSRALVLEEFSVILAAGVHPRKAEVTKELISWKQNRRARVTCHLD >SECCE4Rv1G0249650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:585451783:585452651:1 gene:SECCE4Rv1G0249650 transcript:SECCE4Rv1G0249650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIPSVLMRKCLLKFIIKSYDLEGKKFIMPSKNGVISLRTEDVFDIFELQNKGKDAMKALGKGGIKLKVKVPSPFVDSNTGQMMIDQLIEDIVASGTYDDDFLRRIVLVLLGTVLAPHSTKGVPNAFYKLVEDVEAIKAYNWNAFTLRVCIEGITKTQSDPTKFTWPVGNLALLQYLFWEKVQPLDEETFDPLAHEYMLMLNWTEDEAKKRDAYDTSYGRGNGMIDDMISEKY >SECCE5Rv1G0329190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:513390294:513395394:1 gene:SECCE5Rv1G0329190 transcript:SECCE5Rv1G0329190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEEHGAMEADGGPARGGGGWAEAALEPVRWVRMLCRELGATFVAGVVLVYGLNQGFAGSFFRVASDYYWKDVQRVQPATVQFLSIFFHVPWVLKPLWGVMTDVLPVRGYRRRPYFVFSGMLGMFSTAILASVGLSVTSAVVCFVGISTAVAIADVTIDACIAKNSIDKPALAPDMQSLCAFSSSLGALIGYATSGMFVHHLGAQGALGVMALPPTMLVFLGFYIYELKMYQHNMKEKVLNKVTVAVKGMVQTIKYPVVWKPSLYMFLSLALSISTHEGQFYWYTNKEPPNPGFSQEFVGMVHAVGAVASMVGVLIYHKNLKDYPFRSILFFAQLLHGASGLLDLTFVLRWNLALGVPDAVFVTLEECVSRVVGRVRLMPMMVLSTKLCPPGAEGTFFALLMCIDSLGMLVAKTGGAFVLRALHVTRTDFSNLWLAVLLRNLLRLSALGAIFLVPTADQTDVLLPQDLLSSGSPVAAAGDEEERLLQLGKITSSTDDDV >SECCE4Rv1G0217300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:15219894:15224533:1 gene:SECCE4Rv1G0217300 transcript:SECCE4Rv1G0217300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTASASGSVAAAAAAASEANDGPTLSVVTKRLRALRKKQNRIAQMEEAVAAGKTLNQEQKEVMRSKPTLAAVIDELERLRAPLAVAVAEEVAVAPAPAPAPAPSPSGSDSSVQDLLALVYFGTLFDVKPQSDFIATMVAREHERSSCITYDCVGDDTVDLLVEGDLDVVSAVAALAAARPASAVGVSHRDALQACAHHARLWLARADEPIHPGSSVTYAAVRAKLDRIMASDYYTAAAVGGGSYGAEGVQAQESMTASPEVSAVEENLAAEGHKEEKEDSHATEIYNDHQSDSADVQNVDREAPVNPPEEYPSAQAEQEKFDVEEQDERDAEPKEQQFQHPRRSHQNQRGGGRGRRGAYPNGRGGRGGGRGMSGGYQNGRGYQGGGGGYQGGGGGYQNGRGGGGGYYNNNDEGYYQPRNFNTRGRGGRSGGGNSYYSNQGGGGAQGGGHAYAERVEANA >SECCE7Rv1G0491410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:524907142:524912237:-1 gene:SECCE7Rv1G0491410 transcript:SECCE7Rv1G0491410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDAR6 [Source:Projected from Arabidopsis thaliana (AT1G63940) UniProtKB/TrEMBL;Acc:A0A178WFH3] MTSALRRKAVQMASTAAAGTVCYSQTSWALRRLGAGGGALASAATRRRNCSVSAAAVFDNQNREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAVPPYERPALTKGYLFPPEKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVEAFDGKTQTLKTSSGKILKYGSLIISTGCAAARLPEKIGGNLPGVHYIRDVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYEQNGVKFIKGALIDKLDAGSDGRVSSAILKDGSVVEADTVIVGIGAKPSVSPFEAVGVNNEVGGIEVDSMFRTSIPSIFAIGDVAAFPLKMYDRIARVEHVDHARKSAQHCIETLLTSQAKAYDYLPYFYSRVFEYEGSSRKIWWQFYGDNVGEAIEVGNFDPKIATFWIDSDSRLKGVFLESGTSEEFSLLPKLARSQPIVDKAKLKSATSVEDALEIARSSL >SECCE1Rv1G0041750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:573797799:573799516:-1 gene:SECCE1Rv1G0041750 transcript:SECCE1Rv1G0041750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRRLAGAVAAAAPLRRPLCTAAPRPSCPWAMVNSQAALDASGAPSRGARALVDLNTTPCVSRLSVPARLVDPHGDMGPLVVGIVRSASCDGLLLLDFVDGRPRPIWPHELAAAGGGVEPDAKLFVCNPLSGELVRLPAPGMDVPKMSTSFGLLTQSQGPHGPPDRYVVAQLSKSSRGGGECRRVVRRFLSETGEWDERPLVGVVEMESTRGMLINHEVLALGDRLWWVDVAWGACSVDPFSDRPERRFAELPHCSVLPASDYPTLSRYRRMGVSEGKLRYVQVSNRDDRSCLILSFSLDDETYSWALDHSAEITDRRDRCPVQIAAIDPFKADLVYLQHGGTVIAMDLAKRKEIWRSNLPQEIFFENLLRGSSLVPCALPTWLATSHIPNSAGTLSTSNKADCKKKTLADMLVRVDS >SECCE7Rv1G0462240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:44542431:44543234:1 gene:SECCE7Rv1G0462240 transcript:SECCE7Rv1G0462240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRLDGKVAIVTGGASGIGEAAARLFAASGAMVVIADIQDELGEAVAASIAESGACCTYARCDVTVEAQVEATVAAAVAAHGRLDVMLSNAGVLLATGPVTDMDLEGLDRVMAVNFRGAAACVKHAARAMVAKGVRGAIVCTGSVACVQGGYGPASYTASKHALLGLVRAAAGELGRHGVRVNLVSPGGVATPLSCAVVGVGVEEMEAMTEAHNVLRGKVLRAADVAEAALFLASDQAGFISGHNLVVDGATTAVNPAVLRSIGL >SECCE3Rv1G0188110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:709799223:709807101:-1 gene:SECCE3Rv1G0188110 transcript:SECCE3Rv1G0188110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMTQKTVVLYPSLGVGHLNPMVELAKVFLRRGQAVVIAVVNPPDKDALSEDAMARLSTANPAITFRVLPVPSCGKDQYPHPVLRTIDVLRAANPSLREFLRTLPAVDALVVDMFCVDALDVAAELDIPAYFFFASAVGDLAIMLHLPYYYPAAPCSFKDMGKTVLCFPGVPPIRALDMSTTMLDRESDIAKERLRHYTRMPEARGFLANSFDWLEARALEALRYGLCTPGRPTPPVYCIGLKDPMDLTGGSAGGRHACLEWLDAQPERGVVFLCFGSLGTFSAAQLAEVARGLQDSGQSFLWVVRNPPEQKDRSIEPDLQASLPEGFLEKTAGRGFMVKNWAPQAEVLRHRAVGAFVTHCGWNSVLEGVVSGVPMICWPLYAEQRMNKVHVVEEMKLGVAVEGYEEELVKAEEVAAKVRLVMASEDGIKLRERLAAAKEMAAAAVKDGGSSDVAFHDFLIDLEKRRSEKSGEKSM >SECCE3Rv1G0157070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:78698575:78699492:-1 gene:SECCE3Rv1G0157070 transcript:SECCE3Rv1G0157070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKLVGLALVLLLCMAETIMVAKAGVESYSFPVFNATTTASLVAATNMAVVGPAALLFQPEIDSTVASMNVSEGFLLLPDMVDVWRAGAGAGLPPAREASFNTSFTVESSASPVSFVILLDRFPLFNSRTGLRGDNGSAAAVPDANATNVLAAVEVGAVRSYEPESPDVGLNVTVTPKGNRAVAVWVEYDTAVHLLRVYVAAGGEPRPSGALIDARLSLAGRRTTQTAMLGFFAATVRDVFLGVRDWDLTVDMLDAGGKKRTSWWVILIAVLGSVAATAAIVTLVVRYFVSRRRTRSMEPKQ >SECCE3Rv1G0160860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:109492309:109493692:1 gene:SECCE3Rv1G0160860 transcript:SECCE3Rv1G0160860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSDATSEDSVMNISSSCDGIIKLPATIHDPNFNGTDADVNVLCEHGEPAERFVAFEGMHTGRRFLGCAKKEGINCGVVQWIDFEWPDSMEKALAKLWDMYEEIKSARTNDNLESSFAIHNLTEEKKKLQENYDSLYADVNALLDAQQQRGVELTNQKEQKKYLVLKIAELESVVCNLKAELSKKEEEKNKLQENYDSLNAQQQRGVELNNQKEQKQYVDLKIFELETIVGNLKAELSKTEEEKKKMLQKYDTLKNLTGAQANVIRNLKFNHLKEKERLTEERHKLQHHISELQQSEEKIKLKLQGVKAILDE >SECCEUnv1G0536400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63741231:63750422:-1 gene:SECCEUnv1G0536400 transcript:SECCEUnv1G0536400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQWLELLGVEIERSEISFSMSTKMDNGSQFICSTRNGISSLFAQKSNALRPSFWCMICEILKFKTDVLRYLEYHENNHDVDQNETLVQFVRSHEYSQLFQDAYLIPICASLWSSPSQGVLGFSAFSVLSAFRNHRLFQLFGQPEAFIVKGCLQSFVDKVRVELEGMGCRMKTSCVVKSVSCYDGGVYRVQAEDGSEEIYDKVILGIHAPAALKVLGAEATHEELRILGAFQYVYIDAYLHCDKSLMPQNLSAWGAWNFLGETSRAGFVTYWLNLIQNIESAKTFLVTINPPRVPDHVLLKWCVSHPVPSVAAVKASIQLDQMQGKRGIWFCGAYQGHGFHEYGLKTGKAAAQGVLGKKGDLLVNPKQMVPSWTEAGACLLVARFLNRSISIGNLILLEDGGSMLSFGNASGRHHVKSVLRVHDPMFYWMVATESDLGLADAYINGWCSFVDKKEGLLNLFLIFIANRDAPKSSSSVVSKRGWWTPMLLTAGLASAKYFLRHTSRKNSVTQTRRNISQHYDLSNDFFSLFLDKTMTYSCGIFKREDESLEASQIRKLNLLIDKAKVERDHHVLDIGSGWGSLAIQVVKLTGCKYTGITLSEEQLKYAQGKVKEAGLEDHITFLLCDYRQIPARKYDRIISCIEHLENINGDQYYLTLRSWRDNLMANKDEILALGFDDKFIRVWEYYFIYCAAGFRTRTLGDYQVVFSRPGNNKLALA >SECCE2Rv1G0079410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:118694389:118696905:-1 gene:SECCE2Rv1G0079410 transcript:SECCE2Rv1G0079410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRRLMPSFNRVLVEKVVQPKKSAGGILLPETSKQLNSGKVIAVGPGSRDKEGNLIPVDLKEGDHVLLPEYGGLEVKLAPEKEYLLYRDEDILGILHE >SECCE7Rv1G0469890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:114185309:114187250:1 gene:SECCE7Rv1G0469890 transcript:SECCE7Rv1G0469890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNFLTMFRFRCEYPSYDVPEQLETPLLEPKNTTTSSSSTQPEALTHDILPVSRQSSPPIFARNPSGWHIQFFIRIDVEGSFHTYPSLGGPFQSLQEAENAITSHLDELRSPMMCTDGLSDAEIGILHALHWPDGTRKKSSKGNAEHRNISLLVQALLDKYNEDHHLLGDFAYELDDVVIFREFVEGEKVTRFYHINLATKTKGEDGLHSGVNNLFFAEVRQIKGENVYILSCLCMVKPTDSGQCYGCLSYGNVDLKHPVDTDKYKGGHTAPRSPCCGFDLRCDVIPGLDVPACIEDEEAMLAKEEARLRYIYKCPAPAKLDDARVRAGLAKREDAGLTKGECGQGSAKYIVPARRRLLV >SECCE2Rv1G0134010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:899097539:899098281:1 gene:SECCE2Rv1G0134010 transcript:SECCE2Rv1G0134010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVVKLDVHDDRHKAKALKAVSGLHGIDQLGVDMKDQKMTIVGTVDPVAVVAKLRKLFPGAQIVSVGPAKEEKKEGGDKKDGAGGDKKPAGDKKEGDKKDGGGDKKDGDKKDGGGDKKQQEAKPPMPVYPPYGYAHYGYPPPPPPPRYVVHSAEEDPNSCVIC >SECCE6Rv1G0413890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:626893550:626894340:-1 gene:SECCE6Rv1G0413890 transcript:SECCE6Rv1G0413890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLALAVLLALAAPAPASGQAAAPSCTASLVSSFTPCLGFITNGTGSSSPTAGCCRSLSALVNASAGCACLLLTGSVPLGGVPVNRTLAVTLPRACNSTAVPLQCKDASAELPAPAPAPATVAAAASPAMAPLPPVAPESPPAGTTVAMPPTSQSQGQTRPQTVPSSASAWRDGARVPELFAVILAAGAMLV >SECCE1Rv1G0036780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:512641899:512643200:1 gene:SECCE1Rv1G0036780 transcript:SECCE1Rv1G0036780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02990) UniProtKB/Swiss-Prot;Acc:Q9ZT96] MERDRAARRADVDAFLVSLGVDPGELAGLELPVTVDVMRERVEFLRSLGLSPDDLAAYPLALGCSVRKNMVPVLDYLGKIGVRRDELPHLLRRYPQVLHASIVVDLAPVVKYLQGMDVKPGDVPRVLERYPELLGFKLEGTMSTSVAYLVGIGVARRQIGGVITRFPEVLGMRVGKIIKPLVEHLQGIGLQRLAVARMIEKKPYVLGFGLEERVKPNIEALLEFGVRKEALASIVIQYPDVLGVELREKLVEQQSLFESSILVSGDDFGRVVERMPQAISLGRAAVLKHVNFLTGCGFLLSQVSKMVVGCPQLLALNMDIMKMNFEYFKNEMERDLEELVEFPAFFTYGLESTIRYRHEIVAKKGFTCSLAWLLNCSDAKFDERMKYDTIGVEEMEDDNSFDKDGFVEQVQDEDDEDMEEDSDYDETDDEFIE >SECCE6Rv1G0424960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:703359057:703361335:1 gene:SECCE6Rv1G0424960 transcript:SECCE6Rv1G0424960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKRDSRLSALPDDILVNILDRLNVRDAARTSILSRRWTQLCAKLSRLIISAPHFLPNGVRWTDISDDELVRINVAAAQATEGILTRRNPREYTIRLLSTTFYLRDDVPISIGRAVGHAMVTHLVENAKFSVMTGKYDIMDIDDDDLVTGARKFMSFFDACPNAFGGLTALDLENLRFGESDISNVFITCKQLKRMRLFNCDSGVGSTLQVEHANLSELSIVGCMLERVKLHFLPRLKQMVFEDWLRFEDPLFIGHVPLLEAVSFTNLALSYSKMVKLSNFISGTSIRDLKLGFNSEKIWVQPECRTRSLASVFRQLRFVNLVHLPEGYDLTWTMYILEDAPLLKELYMTVWDHPCSMEMDEDKRKELLYSENKGVEWDSAATNFQHHNLVTLVIIGFESKDYFVSYVRRIMAAAVNLEDVFLYCKLECGNCQDRKPGGYPWTKRQRISLKRRLMAGIESFAIFHYGETRPHHVEKMEYPQCSLFDAKRGFT >SECCEUnv1G0532570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:21621226:21622305:1 gene:SECCEUnv1G0532570 transcript:SECCEUnv1G0532570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDLCTPLLSDMDMAEESNVSSAMDLPEESIVSPAFPLLVLVPPPGPDRSSYKLFSLPDQKLHDVTPVVSSKKVSLPTPQGWVLVLGSSDASSDDPGTYLLNAKDESRIELPTLRDDEASGTCRCVLSNTVAAPGCGVLVFDLASPVMWFCRVGQDLRWSRHGYDIGCFDLPEDYCPPTKRNFFDVAAVNGRFFFCESSDSVGTLDFHTSGASEEPEVRLGAIAVPSIEVPMGITATYVVESCNDLFLVHMAFHGVCIDRPGELHVYRMDFSDPPAWRKTDCIGDRVFLLGDSNFAGSCSASGCGLKPNCVYWVNCFSEKNSDLHVLGVQDGSSEIVKRFENVLGVQKPFWVVPVDA >SECCE7Rv1G0496850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:617863297:617866762:1 gene:SECCE7Rv1G0496850 transcript:SECCE7Rv1G0496850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLGAGDELLFRGTISRKWTSLLCLSSFCVGLIFTNRMWTVPESKEIIRRSALELDKMNLVSSSDCALKSINEPKDDFGQVQRTEDAIQTLDKTISNLEMELASAKATQDSILNGGVPSSEPTAKRKYFMVIGINTAFSSRKRRDSVRATWMPQGEKRRKMEEEKGIIVRFVIGHSATSGGILDRAIDAEDREHGDFLRLDHVEGYLELAAKTKSYFAKAVSTWDAEYFVKVDDDVHVNIATLGGILARHRSKPRAYIGCMKSGPVLAQEGVKYHEPEYWKFGEWGNKYFRHASGQLYAISKDLASYISINQHVLHKYANEDVSIGAWFIGVDAEHVDDRRLCCGTHPDCERKAQAGNVCAASFDWSCSGICKSADRIKEVHRRCGENENAIWNATF >SECCE3Rv1G0208700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:934380857:934381327:-1 gene:SECCE3Rv1G0208700 transcript:SECCE3Rv1G0208700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKGVRKSLVSRTLERCRSGLNSGGRSSAAVAPGCFSVYVGPERERFVVRADRANHPLFRRLLDDAEQEYGYAAQGPLALPCAVDAFLDVLWHMDHDVHDDDDDGEAAVAPSTPICGLQRAGSGSIKVRPAGYRVLSPAKSTAPSFFFSQTAAS >SECCE4Rv1G0219610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33029877:33030881:-1 gene:SECCE4Rv1G0219610 transcript:SECCE4Rv1G0219610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIREKRLPQLHLALPVPPRAAAQELGRRPNPTAAKASTPSALSSQFRLADFDTLTVLGRGNGGTVYKVRHRETSALYALKVQHYGDPAAAAEADVLSRTASPFVVRCHSVLPAAASGDVALLLELVDGGSLDSVRSRRGAFTEAALAEVAAQALSGLAYLHARRIVHLDVKPANLLASAAGEVKIADFGIAKVLARAGDHCTSYAGTSAYMSPERFDPEAHGGHYDPYAADVWSLGVTLLELFMGRYPLLTAGQRPSWAALMCAVCFGEPPVLPDGAASPELRGFVASCLQKDYRNRASVAELLAHPFVAGREVAVSKRALRKLVADASSLL >SECCE7Rv1G0500710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:672745131:672753076:1 gene:SECCE7Rv1G0500710 transcript:SECCE7Rv1G0500710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAISGGAAATGEYPVVLNVYDLTPLNNYVHWCGLGIFHSAVEVHGSEYSFGAHDLPTSGVFEVEPKSCPGFLYRSSIFIGRTSLHPLEFRDFIQRMASEYHGDTYHLISKNCNHFTDDLSTRLTGKPIPGWVNRLAKLGAFCNCLLPESMRLESTETKNLTDYHFSDDSNLTINDHFDEDDIEDKHLLSESSVSENAIVKEVHR >SECCE3Rv1G0189610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:727844032:727846211:-1 gene:SECCE3Rv1G0189610 transcript:SECCE3Rv1G0189610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLMKLGLLAFRTLSKPVANKLKRNAGIHPRFRGFIIDVAQANHRLATNMQRRLIGRATDIHIRPLNEEKAIQAATDLLGELFIFSVACGAIIFEVHRSSKSEARKEEARKKALEEIKEKMEELEREKQMMKLRVAEVERVTGVGGGWPWVLPRAFTSGAAQAEAEAEPEPEPAAQQPTAS >SECCE3Rv1G0186610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:687350913:687353048:-1 gene:SECCE3Rv1G0186610 transcript:SECCE3Rv1G0186610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQESPPDSPAPPEEDPATAPPDTTAEDYDGSAGAGGSRAPPPRPRPSALAAPSTSVAPAAAKAKVRPHKEQDDDDDEEDPMEVDLDKLPSGTSDPDKLAKMNALLSQFTEDQMNRYESFRRSGFQKSNMKKLLASITGSQKISMPTTIVVSGIAKMFVGEVIETARIVMSERKDSGPIRPCHIREAYRRLKLEGKIPKRSVPRLFR >SECCE4Rv1G0263050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:714442966:714443861:1 gene:SECCE4Rv1G0263050 transcript:SECCE4Rv1G0263050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSSSLLQLLAVLVVAAQFLGSEAGGISIYWGQNGGEGTLAETCAIGNYKLVNIAFLAAFGNGQPPVLNLASHCDPTNDGCMNLSTDIQSCQSRGVKVILSIGGGQAATTFPRPRTPRIDAVLDGIDFDIEGGTPLHWDDLARFLKGYSNSRKRVYLTAAPQCPFSDAWVGGALNTGLFDYVWVQFYNNAPCQYTSGSTSNLADAWNQWLTVPAKQIFLGLPASPQAEGSGFIPSDDLKSDVLPLIKSTGKYVGIMLWSKYYDDQDGYSSSVKSDV >SECCE2Rv1G0108140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:658731136:658732295:1 gene:SECCE2Rv1G0108140 transcript:SECCE2Rv1G0108140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRCPNPKRLFRRSSSKISSSGSSSRSSSSDDGIDAGGIRGGGGSGEIEWEVRPGGMLVQRRDGRGDVEIITVRVATGYSWHEVSIGATCTFGDLKVVVSMVTGLEPREQRLLFRGKEREDSDHLHMVGVRDKDKVLLLEDPALKDMKLRAALGGQTVHNPYQNFIKV >SECCE6Rv1G0400680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:456685114:456686369:1 gene:SECCE6Rv1G0400680 transcript:SECCE6Rv1G0400680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSHVPPGFRFHPTDEELVDYYLRKKVASKKIDLDVIKDVDLYKIEPWDLQDKCKIGMEEQNDWYFFSHKDKKYPTGTRTNRATSAGFWKATGRDKPIYTNHCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGATPEEGWVVCRVFKKRVATVRRMADISSPCWFDDHGAGGGFMPDLDSPRQLGYQNHHPQNLPPYHSQQQQQQHLYHCKPELEYHHLLPQEAFMQQLPHLESPKPTAYIGHGGSSLLSSTSNHPMAHDGASRFAVQQPLMDHALYTGDSSATDWRLLDRLVASQLFSHDGANPKEQATHSNAAVQVFQAENKHEETQDYASTSAGGSEAHLWK >SECCE3Rv1G0159360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:95678619:95679290:1 gene:SECCE3Rv1G0159360 transcript:SECCE3Rv1G0159360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPVTPAGSVSTGQASLQRPPVVISSPPSTATTARRRLLVSAGGLLLAAAAGNSAPSRGAAAAAADLGYDPVTEAERAASEAVSQRVGEAVRLLEAGRELQARGEFAGALASFTAVVSGYKELALSEYARVGRALVLYEIGDRDESITEMEDVSVALKGYPEIHAALAAALYADKHAPLLAEFQFNIATLLDPHYSDLAYVRDTKHWPPSLVASLKNFITLT >SECCEUnv1G0547420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:170219730:170234547:1 gene:SECCEUnv1G0547420 transcript:SECCEUnv1G0547420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLRCIVFVERVVTSIVLEFLLSTINQMSGWIVKHIAGNRPMLHNQSRNKQTEIVDAFKGGKVHIIVTTQVLEEGLNVPGCHLVIRFDPPTTGRSFIQSRGRARMPNSDYVLLVRRGDAEALSKTEKFLASGQIMREESLKVASTMCQPLENTLLQEEYYRVESTGATVTMNSSVQLIYFFCSKLPSDEYFKPLPRFIIDKELRTCTLYLPNSSPVQAVNTEGEVSALKKAVCLKACGELHAVGALTDYLLPEFGFPYEEEPDIVVEKYQHEQPEYFPEEFVYNWLSFSRLGIYYCYKISVEGCLKTTYCPNDILLAVKCDLGPDFVSNSLQLFGAQDNASVAMKYVGIIHLNQEQVVIARRFQTTILSLLINKDHSEVSNAVKYPHEMQVSIGIVYLLLPLVSGKVEWCSIKFSTSQVYDASNKDIRHCHSCKEVDLLQTKDGPLCRCMLENSIVCTPHNSKFYAVNGFLDLNSNSLLHLRDGSALTYINYFKTRHGLSLTHENQPLLAARNPVEVRNFLQKRHYKSKKG >SECCE5Rv1G0337350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:590898283:590899648:-1 gene:SECCE5Rv1G0337350 transcript:SECCE5Rv1G0337350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSVAKAFVEHYYRTFDTNRAALVGLYQEGSMLSFEGEKFLGAAAIATKLTSLPFEKCAHTVVTVDCQPAGPTGGMLVFVSGSLQAGDGEHHIKFSQMFHLMPLGPGNFYVHNDMFRLNYG >SECCE5Rv1G0375280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867770920:867772268:1 gene:SECCE5Rv1G0375280 transcript:SECCE5Rv1G0375280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPEELIADVLRRLQPRSLAVCRTVCKGWRSVADAGGMLLAVAHLAPRRLRGIYINYVGQNRPYFFSREPTSPGIDAALKSMPPRHGGWKTVLDHRNGLILYASGTARTPSSAITTMRVCNPATRRWTTLPPRPAGRNVLPYLVFDPTASLHYDVLCFPEEPDHASWPSSSGATDDKVNDLGSVEWPPSSYAVQAFSSRSGRWEERRFVREGDTTVTLLDVWSDPLHPRSGRLPYSYSSPRCHGVYWREKFYLHCRGGFVMRLSLQEQKYLVIKTPKSDTFVRGPWSPDTEGIIPSVYLGKSKQEVQKGRLYQRPRRLA >SECCE2Rv1G0102040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:572598180:572601728:1 gene:SECCE2Rv1G0102040 transcript:SECCE2Rv1G0102040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFGLLGDAPHPAPQPSAQPAPPQQAQGFCFVEASAAGSGAGPFAPAREEGNHSSDRGKASHHTKRRRDRAEEFSDGGEYCSYISGGGSGGGRRGRGGGVSSDYRKDREEWTDGAISSLLDAYSDRFEQLNRGNLRGRDWEDVAAAVTDGQGKSSGGKSVEQCKNKIDNLKKRYKVECQRIAGSASVSLWPWYKQMEQIMGNSPSPGTPKPPPATNDEKPRQQQQHSNKRYPSSGTGHATTMVPSSRSTPLSNPKWKRVLLKIGGTALAGEAPHNVDPKVIMLIAREVQVACRHGVQVAILMGGRNVFCTDSWVAATGTDRASTHPIGMMAAVMNAVLLQASLEKIGVETRVQTALMMQEVAEPYIRRRAIRHLEKGRVVIFGGSSAGTGNPLFTTDTAAALRASEINADIVLKGVIGDDEYGCPPRSNGSAPFEHISFRELAARGTSKMDMTAITCCEENNIPVVIFNMLEPGNISRAICGDQVGTLVDQSGRIT >SECCE1Rv1G0050120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644746425:644746658:1 gene:SECCE1Rv1G0050120 transcript:SECCE1Rv1G0050120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIQAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE2Rv1G0083140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:162030586:162032078:1 gene:SECCE2Rv1G0083140 transcript:SECCE2Rv1G0083140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAGHQCHGVHPPSPTPATKISIPVSAGGGAEAALLGKGRYKAWALAAIALLALWSMFAASVTLRWSSSDLAAASWDLSSPLLDDLDPLEMEEREKLVRRMWDLYTRTGDHVWLPRFWQEAFEAAYEELAGDDTPASDAAVSEIARMAIHRPELDQDQN >SECCE2Rv1G0126480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:846575272:846577179:-1 gene:SECCE2Rv1G0126480 transcript:SECCE2Rv1G0126480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGYFSTSNGLQGENTEIPLVGYEDILSATIFFADSNLLGRGGFGKVYKGTLVGGNEVAVKRLSKSSGQGTMEFKTEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDSARKHVLDWVTRFKIIKGVARGLLYLHRDLRLTIIHRDLKASNILLDIEMTPKISDFGMARIFCANQNHATTTRVVGTYGYMSPEYAMGGAFSMKSDSYDYGVLILEIVSGMKISLPQLRTNFSSLITYAWRLWEEGKATELVDSSIVMSCPPHEALRCIHVGLLCVQDRPSDRPLMSSVLSMIEDESGVLQTPKQPVYFTASNCEGEESRENMESSLNAISITTLEGL >SECCE2Rv1G0077000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:95692312:95694187:-1 gene:SECCE2Rv1G0077000 transcript:SECCE2Rv1G0077000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSAADSADPAVATSTCAHCNREVPSPNIALHSAHCARNLHLKCEHCGDMVPRKHMDRHYDDNHAPVNCPRCEQTVEHELWDLHKRIQCPQRMLACQYCKFELPAADIFEHQDVCGNRTKYCQPCNKDIRLREWIGHELLLHSKTNVAAESSSDRSMLEKEEGGRHKQVRPAFGLKHKQLLLTFAITGLAVLIGSILFQRRIGSSEAL >SECCE7Rv1G0455250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5961623:5963464:-1 gene:SECCE7Rv1G0455250 transcript:SECCE7Rv1G0455250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSAVICCCCTPPTPAPGGKASPSPRLSNKSSTRIGRRDLILRSSELATLAAIFHFSGTKPSYLGVQKRPPSLALCPATNNCVSTSERISDSNHYAPPWNYNPKDGPRGKPISKDEAMKELIEVVTKTKPDNFSPRVVEQGDDYVRVEYESPIFGFVDDVEFWFPPGNKSIVQYRSASRSGFIDFNANKKRVKELRLALEKKGWASESTF >SECCE4Rv1G0241570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:455568063:455571620:-1 gene:SECCE4Rv1G0241570 transcript:SECCE4Rv1G0241570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNMIRFILVLIHVSSCLGRSGKMFSPSFISVAKSLSSWPIFSAGISVTVALVLSLFLTFEHLCAYHQPEEQKFLIGLIMMVPVYAVQSFFSLLNSKVAFICEMMRDCYEAFAMYCFERYLIACLGGEESTIRYMEDQFQPSDSSPLLDVDYDYGIVKHPFPLNWFMRNWYLGPDFYYAVKIGIVQYMILKPICAILAILLELLGIYGEGKFAWRYGYPYLAVVLNFSQTWALYCLVQFYTATKEKLEPIKPLSKFLTFKSIIFLTWWQGIAVAFLFSTGLFNGHLAQSLQTRIQDYIICLEMGVAAVVHMKVFPAKPYRRGERSVYNAAVMSDYASLGAPDPEEEREIDNLTIMETSRPDSRDRRLSFPQSVRDVVLGSGEIMVDDVRYTVSHVVEPMERSFTKINKTLHQISENVKQIEKQKRKAKDDSHLIPLESWSQEFSEAQDQVQGGSFSDSGLARKRYNKFRRLRRWF >SECCE7Rv1G0523100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:880321779:880322585:-1 gene:SECCE7Rv1G0523100 transcript:SECCE7Rv1G0523100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGLIRLYSEAEGKLEAHYSDVLAAFDNPLDHLGMFPYYNNYINLSKLEYELLARYVPGGIAPARVAFIGSGPLPFSSFVLAARHLPDTMFDNYDLCGAANDRASKLFRADKDLGARMSFHTADVADLAGELATYDVVFLAALEGMAAEEKAKVIAHLGAHMADGAALVVRSAHGARRFLYPIVDPQDIAGGRFGVLAVCHTDDDVVNSVIIAQKSKEVHANGLRSGRRGQYAHGTVPVVSPPCRFGEMVADVTQKREEFANAEVAF >SECCE5Rv1G0372550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853576709:853577335:-1 gene:SECCE5Rv1G0372550 transcript:SECCE5Rv1G0372550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEDSKSARVTDNLKSALTIHQLTEEKNKLDADYDKLVKDVHQLVDMQQDRVVDFSYLHSNLTYQHQYRVELVAGMKTEMAKKDADREKLNQKYELLCNLTSAQATVIQNLKLKNMKEKELLSEARHNLELKNAEFTKFEEKLTQQKLELKFQVADLLKGKEKLDEEKYMLHLKIGELMKGEDKLKEKIKGIQAILQN >SECCE4Rv1G0215600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:5283327:5285905:-1 gene:SECCE4Rv1G0215600 transcript:SECCE4Rv1G0215600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSARLRPPPAASTAPPRRGGGPLRRRLLPWARPASRAVSAKIRAGAINDLQRSKSSLEALFCYDKAVPEENIGKPVGLDLEKKEVGKNPPCVRCETKGAVLCATCAGSGLYVDSIMESQGIIVKVRCLGCGGTGSIMCSTCGGRGHT >SECCE7Rv1G0480270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:267307276:267310234:1 gene:SECCE7Rv1G0480270 transcript:SECCE7Rv1G0480270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRPLTVEDVLRVNGSRRFAAALAAASPFDSLANTLLAARRIWLNEVDVTGWLEAFAAHPPIGTTSSSVSKWSKEEQSAAISTATDSTAQELSEWNAKYREKFGFVFMICASGRTALEVLAELKRRYANRPIVELEAAAEEELKITELRLAKLFSAETAAPPTSGENHISQPDKAADRMRIIGAHLGALSQLSANKAPEITGSSNRSRPPITTHVLDTALGSPASGIEVHLEMWKDASSRPSFDNKDFKGWTTLGSSITNNDGRSGQLMDIVDNVAPGFYRISFNTSKYAPSGFFPYVSIVFEIKRSQTTEHFHVPLLHSPFSFTTYRGS >SECCEUnv1G0568170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:423596859:423597336:1 gene:SECCEUnv1G0568170 transcript:SECCEUnv1G0568170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSSAARRLRTPPPPLPLILCPRCAGTRTRWYVSGTDRNPGVRFYKCPNQRDGGPCDFCLWEDHYAFYITGVGINLLIEAAGGGSNVMFGIGRAMEDVRMAARNTMTICLVILLLLLAKAVGGQ >SECCE6Rv1G0414220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:630716745:630718229:-1 gene:SECCE6Rv1G0414220 transcript:SECCE6Rv1G0414220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGESGKQEPSPASVRPHVLLLCSPCMGHLIPFAELARRLVADHGLAATLLFAAATDAPSEQYAALSSSVPDGVDLVALPAPPADALPPSTPVRERVVHAALSAVQHVRAIARSLASTAPLAALVVDMASVPARDVAAELGVPCYMFFTSPWMLLSLFLHLPGIDAGLVGEFRDATEPIRLPGCVPIHARELPRSLLADRSSDTYAGFLSLAKDAARVDGILVNTFRELEHAVGQGGTDCVKDMPVHAVGPLVWTRPVAVVNREHAGLITWLDQQPRRSVVFLSFGSGGTLTRRQTTELALALEATGRPFIWAAKRPHEDTADGAFFGTGQGDDDDDDPLGFLPRGFVERTAGVGVVLLSWAPQTAILAHAAVGCFVTHCGWNSSLESILNGVPMVAWPLYAEQKMNAAMLEAHAGVAARVNAAGPAGDGFVCEEEIVSVIRRAMDGDEATMMRRRASELRDRATRALTMDGSSTITLAKVTDVWKSSASSYEK >SECCE5Rv1G0354620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:725323193:725324462:1 gene:SECCE5Rv1G0354620 transcript:SECCE5Rv1G0354620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQAAVVRSQEAARWSPYHAPDEEESEIVAQFLTAPYPCFDGGCCSYDFLGEPDVSLGINTLSYCGSGSSSSTIDLNLSGEEERISKRAIPSPAGYLLSDRNGDGAAATQKRKVFQAGHDQGGDLGRHKKKARSADHKGEKSVTSKTKTAQQKQRSGSSYCSDKDSDCSQGNRGEEANVAGGGPAKARAGRGSAADPQSLYAKRRREKINDRLRALQKLVPNGTKVDLSTMLEEAVLYVKFLQLQIKVLSSDEMWMYAPLAYSGMSFGIDLRITPQ >SECCE3Rv1G0205290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899697065:899698330:-1 gene:SECCE3Rv1G0205290 transcript:SECCE3Rv1G0205290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLPLLVLAVSLSLAWPASSKPLPVLVPVTKDPATLLYTIPFHYGNDLVVDTAGPLVWTTCQRGHLPADFPCNSDTCRLANAFHVPGCHAPGCGRDSRKDRTCTAYPYNPVTGACAAGDLVHTRFVANTTDGIHPVSQVNVRALAACAPSRLLKSLPRGASGVAGLAGSGLALPAQVASVQNVPNKFLLCLPRGGSSGNTGVAIFGGGPFQVSAQPGRDFTRELVYTPLVAAKAGMPPAHYVSLQSIAVESTRVPGTGAGDAVVCTKVPFTLLRPDVYRPFVDAFSKALTAQGKQGGPVARPVKPVPPFELCYDTQSLANTRIGYLVPGVTLTLGGGTNWTMNGLSSMVDLRPGTACLAFAKMEGVKAGDHRAPAVLVGGFQLENTVLEFDMARKRLGFVSLPFFTQCGHFNFTKTGY >SECCE7Rv1G0462120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:42764600:42770714:1 gene:SECCE7Rv1G0462120 transcript:SECCE7Rv1G0462120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEAKVVPAGDVDEEMIPALAALEPVYGAGPALEEARRRFARLADRFRALYSADPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRRADGGLVRVANVDDKYATCLYPADPDKEIDIKNHKWGHYFMCGYKGVYEYARSKGIDMGEPVALDVVVDGTVPQGSGLSSSAAFVCSATIAIMGILGKNFPKKEVAQFTCQSERHIGTQSGGMDQAISIMAKPGFAELIDFNPIKATDVQLPSGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMDTKKAVTSVTTLSDVEGLCVSFAGKEGSADPGVAVKKLLHEEPYTLEEIEKITGQSLATVFQSSQTSLDVLRAAKHFKLFQRASHVYSEARRVYAFRDTVLSKLSEEGMLKKLGDLMNDSHHSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCVVALVKEGMVPQFILSLKEKYYKSRIDRGVIKQSDLGLYVFASKPSSGAAILRL >SECCE1Rv1G0035930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:503256804:503262363:1 gene:SECCE1Rv1G0035930 transcript:SECCE1Rv1G0035930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAEQDVDVFGEEYDGAEAEAEGGGGASSGSSSPSSSSSSSAAASSSSSSAASSGRSSSPAAGGGDEDGADDGDGGEYDSFDVVPVRPAAGYGDDDEEEARDLFGSDNEEYVKTPARSNYLVPVLPPIRNTNNFSRGGRGGRGPPLLPRPGGHPGGRNNFGHGGRFSYGNGRNVEGFVSEMKLNKSEETLSRKAVAFQEPCEIACYSRVEGGDVYFDDRSLRLFKRNICDYAGEDLNKGFETFIEKRDLGSQGFGDLLACIRNSNLPLQNIHFVTYRNNLNKILATAYLKDPWKMGVHKRNGVVYLDVHKLPERPQSEIERRRCFWGYSFENLATENSIDEDGSGIDANVEYCSVIKTKLGAHRIVMGAEMDCCDSTDDGRRFYVELKTSRELEYHTVEKFEKEKLLRFWIQSFLAGVSYVVVGFRNDAGVLIRTERLRTKDITQKVKAKNYWQGGVCLAFADEVLCWLYGTVKENEDYVLQFAHPFHRLELLKAQSPCPEAITLHVEQLTGATN >SECCE3Rv1G0184530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:663975911:663977383:-1 gene:SECCE3Rv1G0184530 transcript:SECCE3Rv1G0184530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF778) [Source:Projected from Arabidopsis thaliana (AT2G26070) TAIR;Acc:AT2G26070] MLTEVFSSMEVEADFDVEDSRSNNELQELWPVGEIDPKRGRFPCCIVWTPLPIVSWLAPYIGHVGICQEDGSVLDFAGSNLVSMDNFAYGSVARYLQLDRKKCCFPANLAAHVCEWAYKHTEVGTATSWDGALQLGTRHFQHKYYNLFTCNCYSFVANCLNRLAHGGSAEWNVLNVAALVWLHGEWVDKMSIVRSFAPFVTVTCIGIFMAGWSFLIGILAFCLLLIGWFVFAVYCTKGLVC >SECCE4Rv1G0273190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:774643327:774644475:-1 gene:SECCE4Rv1G0273190 transcript:SECCE4Rv1G0273190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLPDELLEEIFLRLPTAADLARASAACVSFRRVVAGHAFLRLFRALHPPPLLGIICCGLTPAQPPHPSAAAAATLDGDNLYCPFLPPSRNRWRRRDDRDGRALFSPAPERTGGDCGRRKLVREFAVCDPLHRHYLLLPSLPDHLAGQVHPPDILKCEPFLAPPGDHEKSSFRDFRVMCLAWCTTKLVLFVFSSCAGQWLPDPVTFDMLRRQEASHRHYAHGCFCWHVYVADSLLLLDARRMEFSVVDLPPPHCPRLGYFVPYQRDFAIVEAREGRLGMLTVCKSSEYHLFYEERCTDGNGANKWQSKSVISLPGNYCYFIDGVAGGYLLLYGSRKDAQVVDYFSLNLQTFQIERFCQTDCHISGTLYAGLPPSLSPPTI >SECCE5Rv1G0307860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122098503:122100838:-1 gene:SECCE5Rv1G0307860 transcript:SECCE5Rv1G0307860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAGRRPRARRPFAAVASLSAARRRVLPRTEGSENVEPLEGMSFKECLRRFNKFSGEAAMKPAFAVGAKAVTKNRRTCSTPGSRRPSSSRTPRRKRLESSSSRTSSTPAAAAVPYEAPRAPLWDFSDELCQKKVRARLSSPCDVPAEEEQGQGRRRGEAALRKSAAWSATLEEAMAGIPEHGEGRVRYLVDTFERLLSLSRGDREAGGAGRRRKKESASVPSSPRKAEEIDMASYPSVASSSDLSYCIVGLPRRNRSSSGARDERQVRRCNSAGSSERSSCRKATRPHPFNLRTEQRGRVKEGNFVQRMKEMLLEEERLRNPLAQGLPWTTEEPENLAKPPTKEPTEPFDVVLHSAVRAVGRARFDHEIAERNIFLERLELEKERQQKLDEEIEIKLLRKEQVPRAHPMPDFSRPFMPRRSVKPQTVPREPRFHIRPARHNPKTLS >SECCE5Rv1G0353740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:719446706:719457915:1 gene:SECCE5Rv1G0353740 transcript:SECCE5Rv1G0353740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSATRLTLLLCAAWVAALLYGEMGTYWAAHLSCSWPSASSSSPSPSNHVKVAVIADPQLMDSTSLGLPPSSVALQAAEFFTDLNMRRSFQSVILPFKPDVVLFLGDYFDGGAYISDEEWQDSLFRFKHIFSMSKQRTSPHVPIYYLSGNHDIGYSAFHSVHPEVISRYEKEFGPRNFHFLSGKVDFVVVDAQTLDGGAKQSKERSSSWEFIKTLSPGNESNPKILLTHIPLYRPDNTPCGPHRSSPAINQRIYSAAMDQGIKYQNYLSKQTSDLLLGLLKPILVLSGHDHDQCTVVHSTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPQNSTDSSHEVLTNLCFLPKQTHIYIWYIFQFVLTVLLLMFWPTNGLSSLPYVDTFVSFMSSVGADLFPRSKEKDDTEDGEYEMVWDAEGSMHLVKKAVARSPVTNSDSKTTGRGNVVARPTARKHQPEPDSSSVHVEMGSETGPEDGGKAPRPSKWKIRTVLQRLFRVVQSVAIIAVLNVPLYMMLLFKDWVDR >SECCE2Rv1G0112820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:715811639:715812517:1 gene:SECCE2Rv1G0112820 transcript:SECCE2Rv1G0112820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPGRLATAAAAALLVATSLLVATLLTSPLPLLPLLPCLPGVIAPSGVGYEPSGLAALADAAVYYATTRTVPQQSRAEISLSLDVLRRRAPVRLLVFGLGHDSRLWHALNPGGVTVFLEEDPEWYRIVRAKSPFLRAHLVTYRTRMDHADLLFDSYRNFSSCVPGAGAEAAVQVRGNAACPLALHNLPAEVYDNEWDMLMLDAPKGYFASAPGRMAAIWTAAAMARARRGEGDTDVFLHDVDRKVEKKYAEEFLCDMFRVERTGRLWHFSIPPVSRRGNTTASGSAKRPFC >SECCE7Rv1G0504450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722876336:722884719:-1 gene:SECCE7Rv1G0504450 transcript:SECCE7Rv1G0504450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGDAEADPLGGASPAGRVLGRALDKVIKHSSWRKHSALVSACKSAIDLLSAASSAPAPAPEPSASPIPGLPAPVAEAALQALLLALDPGSPKVAEPALECVASLLSLRLLLGDVAPADPSPVCRLFAAVLSCGGLGDDALELAALRVLVAFARCPSVSVRGECLGQMVKACYNLYLGSASGGNQLCAKLALAQVLVVVFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQTFINEAMEGSDAPEDAAHVPAEGDRGGEDESMSRIREDGLALFKNLCKLSMKFATPDNPDDPVLLRGKVLSLELLRMVIDNAGLFWKTNEKYLEAIKQYLCLSLLKNSAMSAMSVFQLLCSIFMGLLLRFRSGLKEEIGIFFPMLVLRVLENVLQPSFLQKMTVLNFLEKICKEPQVIIDIFVNYDCDVDAPNIFERIVNGLLKTALGVPDGSTTTLTVAQDHTFRIESVKCLATVIKSMGSWMDQQLRIGEVLPINSEILSSVDNHNMHNGEEGTGMDYDLQSETSSSDVSDSSSVEQRRAYKIELQKGIALFNRKPSKGIDFLIRSKKLGQSPEDVASFLINTAGLNATMVGDYLGERDEFPLKVMHAYVDALNFKGLDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERFCKCNPNVFTSADTAYILAYSVILLNTDAHSVMVKDKMSKADFMRNNRGIDDGKDLPEAYLSTLYDQIVSNEIKMSADSSAAQTKQTNSVSKLLGLDNIMNLVNWGQTEDKAHGANDLLIKHIHEKFRAKHGKSESVFYIVADATILRFMMEACWAPMMAAFSVTLDQSDDKAATSQCLIGLRSAVHVTSVMCLQTQRDAFLTSIAKFTSLHSAADMKQKNVDAVKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDASFLTVPMVESEGKTQMSTSVLPSKRANALQNPAVMAAVRGGSYDSTVAKTSASALVTPEQINNFISNINLLDQIGIVELNHIFAHSQRLNSDAIVAFVKALCKVSMTELQSPSDPRIFCLTKIVEIAHYNINRIRLVWSRIWKVLSEFFVSVGLLENLSVAMFVMDSLRQLAMKFLEREELANYNFQNEFLQPFVVVMQKSNVPEVRELIVRCVSQMVLSRVNNIKSGWKGVFTVFTSAAVDDTKSTVLVAFGTMERIVRDYFRYITETDATTFTDCVQCLIAFTSSQFNSEASLNAIAFLRFCAVKLADEGFVCQDKGADGPRNSDMSEGNDIVNKNDYVSFWVPLLEGLARLTTDPRLTIGKSAVGVLFDILKDHGHLFSQSFWTSILESVVYPLFSNQRSRVNDQTLTSNGTEGDFSTLETQTLAVKSLVGLFVDFFDVMRPELARVVSIVAYFIRSPYKHSATIGVSALLRLAEGVGSKLSKEEWKDVLLCFKESSTQTFIVFSKIVRMMQDIDIPDRMESYSEADHYSDHEIYSNDEDEANMETTSYAIVKLKNHMALILLIVQGIIKLYEEQGKYLHAEHISILLEMMSSIATHASEVSSDSSLQMKFHKACSLLEASEPAVVHFENETYQSYLKLLQAVLHGYPFLLEDMDIESRLLDACEKILQTYLKCAGNGPSDEASHGNQTLHCIVPLGTAKQEELSARTPLVLLAMQLLHNLEKNSFSRVLPRFFPLLIDLIRCEHSSGDVQHALYKIFKSSIGPMIEV >SECCE4Rv1G0251410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:601842841:601844869:-1 gene:SECCE4Rv1G0251410 transcript:SECCE4Rv1G0251410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAISSGLPAARSLLMATLLLLIVQAQSITRHYNFNVQMANVTRLCGTKSIVTVNGEYPGPALQAREGDRVVVRVTNNVAHNVTLHWHGIRQLRSGWADGPAYVTQCPIQTGQSYVYDFTVTGQRGTLWWHAHISWLRATVYGAIVILPKHGVPYPFPAPHKEVPVIFGEWWRADTEAVVRQALRTGGAPNISDAFTINGLPGPLYNCSAKDTFKLKVEPGKAYMLRLVNAALNDELFFSVANHTLTVPFTVKTLIISPGQTTNVLLTAKPFYPKANFYMSAAPYSTIRPGTFDNTTVAGILEYQKPGSPSVPTFDKDLPLFKPVLPRFNDTGFVTNFTSKLRSLATPRYPAAVPRSVDKRFFFTVGLGTLPCPANTTCQGPTNKTQFAAAMNNVSLVLPSTALLQSHFTGVSRGVYGSNFPVTPLLKFNYTGAPPNNTNVAKGTKLLVLPFNAATPLHLHGFNFFVVGQGFGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTTWGLRMAWLVLDGSLPNQKLLPPPADLPKC >SECCEUnv1G0534800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:46537890:46538596:-1 gene:SECCEUnv1G0534800 transcript:SECCEUnv1G0534800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGTGAGAKGKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVIPKINPVLLPKRTAEKEAKEPKSPKKTTKSPKKTTKIFRRL >SECCE7Rv1G0476950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:213011885:213012358:1 gene:SECCE7Rv1G0476950 transcript:SECCE7Rv1G0476950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDFFDPFEGFPFGSGTSGSGSLVPRTSSDTAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNILQISGERNKEQEEKTDTWHRVERSSGKFLRRFRLPENAKAEQVKASMENGVLTVTVPKEEAKKPEVKSVQISG >SECCE5Rv1G0353130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:713791335:713793674:-1 gene:SECCE5Rv1G0353130 transcript:SECCE5Rv1G0353130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGVVAAAAVVAALAAFCATDPLRLGSMVDFPGFEAHPVELPDAAEMPPHADAAERLRGAEIRFRGEVQGPESVAFDPLGRGPYTGVADGRVLVWDGARWAYFAHASPGWTAERCGGPKASPMEYLRDEHVCGRALGIRFDRRTGDLYIADAYFGLSKVGPDGGLATPLATEAEGVRFNFTNDLDLDAEGNVYFTDSSVLYQRRHFMQLVFSGDASGRLLKYNPQTKETTVLHRNLQFPNGVSLSKDGSFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNDKGEFWVAIHCRRSAYARLLSHRVQLRKFLLSLPIPAKYHYLMQIGGNLHALIIKYSPEGEVLDILEDTKGQVVRAVSEVEEKDGKLWIGSVLMPFIAVFDYAKES >SECCE4Rv1G0280930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:824083491:824085123:1 gene:SECCE4Rv1G0280930 transcript:SECCE4Rv1G0280930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLSSAPSHELLVPPPAVSLPVVDLSLSRDEVRRAVLDAGKELGFFLVVNHGVPEHVMQDMEAVCQEFFSLPALDVAPFYSDDRRKPNRLFSGTTFNTGGDKYWLDCLRLASNFPVGDSKNHWPDKPQSLREVVETSFLLTRGVGMELMRLLCEGMGLRSDYFEGPLSCGDTTISLNRYPRCSDSSPALGLPPHCDRNLITLLLPGVVPGLQISYNGDWIDVVTNGMLKSIEHRVMTNSVMPRTSVAVFIEPDADCLIGPAEEFVGEGNPPRYHTLAYREFLRIHSVVKLGSSLNLTTNLSNSQQTQDKNT >SECCE4Rv1G0217820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18812747:18813436:-1 gene:SECCE4Rv1G0217820 transcript:SECCE4Rv1G0217820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKTIACMFTVLLLTPAIMAADPDPLQDFCVADLDDNAIRVNGYPCVPLSEAGDDFLFSTKLARGGNTSTPNGSAVTRLDVTEFPGENTQGISMNRVDFAPGGTNPPHVHPRATEIGLVVKGELLVGIIGSNESGNRLYSRVVRAGENFLIPRGLMHFQFNVGDTEATMFVSFNSQNPGIVFVPLTLLGSDPPIPTPVLTRALRVDAQIVELLKSRFAGGSLSLGEY >SECCE1Rv1G0038990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:544766270:544767428:1 gene:SECCE1Rv1G0038990 transcript:SECCE1Rv1G0038990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDQRMIIVSSIIGSLGVLSAILGFSAEGTKLTDWTVYEYRGVCLYPQNPALGLGVCAAIFLIVGQIIFAVVGGCCGCCKSRAIPSETNRVVGIVCAVFSWIAAVIAFGLLVEGAKWNDTGTRYSSGYGGCYVLKDGIFAGGAVLTLVATALGLTSYIMLSTQPPATAPATATAAAKPPTGGAGIAMGQPQFPQQASPQGQGYGQAPNAKLHPVASPLPAQGQQLPPLPVPAQGYSPHARDLQVPAASPPPAQGHGPHTPNEQALPELPPKGHEMLARPV >SECCE6Rv1G0390730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:191675463:191679595:1 gene:SECCE6Rv1G0390730 transcript:SECCE6Rv1G0390730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLVAGGGLLLAAFLAFSLAASSANAAVSYDHRSLVINGRRRILISGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPVKGQYYFSDRYDLVRFVKLAKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAEMQRFFERIVSMMKSERLFEWQGGPIIMSQVENEFGPMESVGGSGAKPYANWAAKMAVATNTGVPWVMCKQEDAPDPVINTCNGFYCDYFTPNKKNKPTMWTEAWTGWFTSFGGAVPHRPVEDMAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLRDLHKAIKQAEPVLVSGDPTIQSLGNYEKAYVFKSKNGACAAAFLSNYHMNAAVKVRFNGRHYDLPAWSISILPDCKTAVFNTATVKEPTLLPKMHLVVRFAWQSYSEDTNSLDGSAFTKNGLVEQLSMTWDKSDYLWYTTYINIGANELSKNGQWPQLTIYSAGHSMQVFVNGKSYGSVYGGYNHPKLTYDGHVKMWQGSNKISILSSAVGLPNDGNHFERWNVGVLGPVTVSGLDSGKRDLSHQKWTYQVGLKGESLGLHTVAGSSSVEWGGPGNKQPLTWHKALFTAPAGSDPVALDMGSMGKGQMWVNGHHVGRYWSYKAPAGGCGRCSYAGTYRQDKCRSNCGQLSQRWYHVPRSWLKPGGNLLVVLEEYGGDLAGVAMATRTT >SECCE4Rv1G0253100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:622151729:622152431:1 gene:SECCE4Rv1G0253100 transcript:SECCE4Rv1G0253100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVCKAEGAAPASLVKSGAPVAFRALHSPAVTAARRPYNTQISRYDGDGDDYSGRDLVIPSFFSQDVLDPLGRLLSLTETGLSSTAGAGASRLAHWVAKEDDDAVYLKVPMPGLTKEHVEVRADNNILVIKGEGEKQPWDGDDDSKVPRYNRRIETPADAYKMDKIKAEMKNGVLWVTLLKLKEEERKDVFHVKVE >SECCE4Rv1G0257120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:663631271:663632458:1 gene:SECCE4Rv1G0257120 transcript:SECCE4Rv1G0257120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAVRRLPRALRLLKPQHLSTTSSSTFFGDSEGSAPTWEPRSPVRSPPDDQFAAWVTRLRPGFTASDLADAINSEQDPDLALALFRWAALRPGFRHAPPSYLAALNAASSGKRPVAAENLIHDVLAGACAPDLQLFNACLRFCCDRRSLFPIAFDMFNKMRALPANAGCRPNVETFTLLLSTVVRRVRRPPASLVYLHAVRSLSRQMKATGVIPDTYLLNLIIKAYGRCLEVDDALKVFREMPLYGCEPNEFTYGYIVKAMFQKGRSDNGLVYLKDMREKGFVPSGGVYMSAVAALALEWRFEESREVLMDMLDSKRKPDMITYRTLLEELCRATRTEDAFQLLEELKERKRGALDQRMYSELLDGLHWISQPQQNSLPRRDRGSDDRGSDD >SECCE2Rv1G0103020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:586310317:586311905:1 gene:SECCE2Rv1G0103020 transcript:SECCE2Rv1G0103020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGVGGGVPEAARPAAAMVVVEFIFSALQIFIKLALDDGMDVRVLVAYRLMFGAAFLCPLAFLIERKKRPPLTVKVVTGLFLCGLFGITINQNLLVLAIKLTNSTTIVTALSNLTPQSTFIVAILTRMETLKLRKPSGQAKLGGTLVGLGGAMLLTFYKGPEMLFLRRMAHTGLSHATGDRQLRPQPAAGSRILGSFLAITSCFSYAIWLTIQAKVGQVYPCHYSIAALVCLFGAVQSTLLALCIHRDPEQWRLGLNIRLYSSAYAGIVASGSAFPLMSWCLRKKGPLYVAMFGPLIVVFVAVMSSIVLDEALHIGIVLGAVLIVAGLYMVLWGKAREEDEQEADAPKLVGQDDELGKESFPQANGEA >SECCE5Rv1G0366830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:816042113:816043737:-1 gene:SECCE5Rv1G0366830 transcript:SECCE5Rv1G0366830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENILHATPTHVSLPDSFIFASDKLPPATKAVISLPIIDLSLSRDEVRRSILEAGKELGFFQVVNHNVSKQVMRDMEDMCGQFFHLPAADKASLYSEERHKPNRLFSGATYDTGGEKYWRDCLRLACPFPINDSINEWPDNPKGLRDVIEKFTIQTRGVGKELLRLLCEGMGLPSDYFEGDLSGGNVILNINHYPSCPNPDKALGQPPHCDRNLITLLLPGAVNGLEVSYKGDWIKVDPAPNAFVVNFGQQLEVVTNGLLKSIEHRAMTNSSLARTSVATFIMPTSECLIGPAEEFLSEENPPCYRTTMFRDFMRIYNVVKLGSSLNLTTNLKNVQKEI >SECCE5Rv1G0340920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:619468651:619470508:-1 gene:SECCE5Rv1G0340920 transcript:SECCE5Rv1G0340920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGVGAGGGAGSGEAQGKSVLVTGGAGFIGTHTVLQLLEKGYVVTAVDNFHNSVPEALDRVRHIVGPALSARLHFIFGDLTIKDDLEKVFAAKRYDAVIHFAGLKAVAESVAHPEMYNRNNIVGTVNLYDAMKKHGCNKLVFSSSATVYGQPEKVPCFEDSPLKALNPYGRTKLYLEEMLRDYQHANPEWRTILLRYFNPIGAHQSGDIGEDPKGVPNNLLPYIQQVAVGRRPELNVYGHDYRTRDGTAVRDYIHVVDLADGHIAALEKLFATPDIGCVAYNLGTGRGTTVLEMVSAFEKAYGKKIPVKMCPRRPGDSEQVYASTAKAEEELGWRAKYGIEEMCRDQWNWAKKNPYGYCGNAAENKD >SECCE6Rv1G0382970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:48763748:48774333:1 gene:SECCE6Rv1G0382970 transcript:SECCE6Rv1G0382970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIRVTMEVGADGVALITICNPPVNALHPTIIQGLKEKYAEAADRDDVKAIVLTGAAGKFCGGFDINVFTKVHETGDVSLMPDVSVELVSNMMEDGKKPSVAAIQGLALGGGLELIMGCHARISTPEAQLGLPELTLGVIPGFGGTQRLPRLVGLPKAIEMMLQSKFITAKEGKERGLIDALCSPDELIKMSRFWALEIANYRKPWIRSLGRTDRLGSLSEARAVLSMARQQAKKVAANMPQHQACLDVIEEGVLYGGQAGVVKEAKVFKELVLSTTSRALVHVFFAQRSTTKVPGVTDIQLKPRKIRKVAVIGGGLMGSGIATALLVSNISVVLKEVNPQFLQRGQKTIAGNLEGLVKRGSLTKDKMSKAISLLKGALDYSDFKDVDMVIEAVIEKVPLKQSIFADIEKICPPHCILATNTSTIDLNIVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTERTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYGQAAHLLVSLGIDLFRIDRVISNFGMPMGPFQLQDVAGYGVALAVKDIYAAAFGTRNFHSGLVELMAKNGRQGKINGKGYYLYEKGGKPKPDPSVQHVIDEYRRQTKTISGGKLVTLSDQDILEMVFFPVVNEACRVMDENVVIRAADLDIASVLGMGFPKYRGGLIFWADTVGASYIHSKLSKWAEIYGDFFKPSSYLEERAKSGRPLGAPQIAQQAPARARM >SECCE7Rv1G0493140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:564585718:564587007:1 gene:SECCE7Rv1G0493140 transcript:SECCE7Rv1G0493140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPTVTKSPPALISPAGPTPCGTLPLSSIDKTVAGSGFVNLMQVFPPPSVSAARKDQDAVAAVAAMRDGFARALVPYYPVAGRIALSGVAVDCTGEGIWFVEAAASCTLADVDGLDCCPLLIPGELLLPRPPPGEKLNDLILMAQATRFTCGGLAVGIRFSHAVFDGHGAAQFLTAVGELARGLKAPSVAPVWDRDAIPDPPGPLPGQLTEFRLVTQVADISAESIARVKDEFKQAAATPTGQVCSTFDAVTAVVFKCRALALASALPDEADVRMAFAASTRHLLRGVLPTVEGYYGNCVFLACATRTGKAVREAALAEVVGAIREAKEAVSARFAGWMRGVQQYDVPPLDYSTVTLSDWSRLGFDEVDYGFGAPGYVFPLNDHVNFVAALNYVRPPAPRRGGIRVVLRCVEEPHAAAFAVELAKFA >SECCE2Rv1G0108400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:663409907:663414008:1 gene:SECCE2Rv1G0108400 transcript:SECCE2Rv1G0108400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGPQPPTPPLLLPESSGEDGGAHDHDSSSRASAPKKRAETWVQDETLSLIALRREMDNHFNTSKSNKHLWEAISTKMREQGFDRSPTMCTDKWRNLLKEFKKARSHARSSAGAGGNGSAKMAYYKEIDDLLKRRGKAAASPAGSGGGGGAAKSPTPTSKIESYLQFADKGFEDANIPFGPVEASHPLALTTADAVATNGVNPWNWRDTSTNGGGNQGTYGGRVILVKWGDYTKRIGIDGTAEAIKEAIKSAFGLRTRRAFWLEDEDEVVRSLDRDMPVGIYALHLDNGITIKLCTFEDADRMTVRTEDKTFYTEDDFRDFLSRRGWTLLREYSGYRVADTLDDLRPGAIYQGMRSLVD >SECCE2Rv1G0138690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:922702514:922705423:-1 gene:SECCE2Rv1G0138690 transcript:SECCE2Rv1G0138690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSDLAGQLQEDGMGAVSAEYEEGSEEEEEPFEREFYDDDDDDSNEGGPETQAVDPCEDLLAEEEEATGEEPCDGPIPLEDEDASYDEPFVDELCYEEEDSGEQDSYFAEPFTDQLCEVEPCDVEVAHEEEFVRKKSSPIQAIKKEQNEEEVRRRALGKGSSHENKAVPVTGEIELKPFKKRLSVRFATDVSCYTYSTESFGGAKLEKRKAQFDDQDSHLCKRQEHTVSLPQDGVKLKEVDDTNLYVGNLPTSVTSHKLIQLFLPFGRIVRSKVADDRFTGVSQGYGFVKYAEPRSATAAIERMNGRLVDGKTLEVRVAALPPSVSYLSMQAVSETCSLPSKEVDPCRLYVCNLPLSMDTLKLLEHFLPFGKVTDIKVPRDQATGLSKGYGFVKYSDSHHAAQAITHLNGFLVEGKQIEIRVADVSSSRTIKEIDMANLYVCNIPASIDTDKLVALFSPFGKISHARVAADQGYGFVKFADSQCAAEAIALMNGSLVEGETLIVRVAGLSPLASSSVVQGSPITSQEMKKSRLYVTNLPRSMNADKLVELFVPFGKISKVVLNLDYGLVYYADAASVTTAVEHMDGYLIGGKSLVVRRSDSCPTVAAGHAPLSSQSAGGKPTREIDMANLYVGGIPPTVTDIQLVELFRPFGQIVQYRMFLGYGMVRYSDPSSAAAAIDQMDGYQIGGSTLAVRVAGLPSLIPGMNGLTAPQAPPGNEQKEVDMTNLYVAHLPLYITTERLTEIFLPCGQITYAKVVVDRHTGVSKGFGFVKFADTYAAAVALTYMNGYPLEGHILAVRIANVHPNDMGSYMARLYSQFKVPDPSTTVVGVPASYSAESAYAGNSQWPGTDAAASHTSQRPESVSASSSFAAEMDWSPASSHVADSSRQQPSAGWAGPPGFEPPCAHASASPPQPPPSVVEPWAGPPGFEPHSIPKKDAATAMKPSQPCSKFHLEHSGGSQKRRSIV >SECCE1Rv1G0035300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:497762121:497764688:1 gene:SECCE1Rv1G0035300 transcript:SECCE1Rv1G0035300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLLLLALALPAATDGLTADGQALLSFRAAVLQDPTGALADWNASDADPCSWNGVACDGTGAGARRVVALSLPRKGLVAALPASALPASLRHLNLRSNRLYGTLPPALLAGAAGLQSLVLYGNELYGPVPAELGDLPYLQILDLSSNSLNGSLPGSILKCRRLRRLSLGRNNLTGPIPPGFGRELSALEQLNLSYNRFSGAIPDDVGNLSRLEGTVDLSHNDFSGPIPASLGKLPEKVYIDLSYNNLSGPIPQNGALDNRGPTAFMGNPGLCGPPLDSPCSPPSSNPSVPKDGEAGAGGNGGGRSKGLGKAAIVAIVLGDVVGILIIALVFFYCYWKKVSPKEKGHGAGTGSKESRSGCFSRDEPETPSEQHDLVLLDQKLRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQSEVEAIGKVRHPNVVALRAYYWSFDEKLLIYDYISNGSLTSAIHGKPGTMTFTPLTWNARLKIMKGVANGMSFLHEYSPKKYVHGDLRPNNVLLGTDMEPYISDFGLGRLANIAGGAAPSSQSDRIGVEKAQSLQPDSSVSPLVSKEGSCYQAPEALKTLKPSQKWDVYSYGVILLEMITGRSPVALLETMQMDLVQWVQFCIEEKKPSADVLDPFLARDSEQEGEMIAVLKVALACVHANPERRPMMRNVTETLERLSASVSS >SECCE5Rv1G0343500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638567109:638569724:-1 gene:SECCE5Rv1G0343500 transcript:SECCE5Rv1G0343500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKKLMLKSSDGEEFEVEAAVAMASQTIKHMVEDGCADNIIPLPNVTAKILSKVIEYCTQHAQKADDAAAAGDSTAAPVKPDEEKLTAFDTDFVKVEQATLFDLILAANYLDIKGLLDLTCQTVADMIKGKTPEEIRKTFNITNDFTKEEEEEVRRENQWAFE >SECCE3Rv1G0162480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:131636434:131637717:-1 gene:SECCE3Rv1G0162480 transcript:SECCE3Rv1G0162480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDSFPTQSPPAKLPTASNPTYIDRIDEDILREIFLLLPSLAALVRAACTCRAWRSAVASAPAFRRRFREVHRAPLLGLFFQVPSPAQTPNLPAFPAFFPARRRDRDLVAAIRCGDFFLTSIQERPDESLCWDIIDSRHGYILLMNWDEGLLCLFNPLLRWSKTFDLGPMDLFDDSKGNPMSFRVVMLCTDRSRVRAAVLSSGTWEWSVLPWVEVPARPAKEKLWLQDEFSMQANGFLYWVYTNRKFMITLDTKTMEFSVAELPLRLQNPSCRFVIGETNHSRPCIVYASDFSIGVLLCEPEGDDAGSWYLDKVFDMHTPLTQILEAIPQEVNVVAIMDGFAYLATVMDDEPQNSPWLLSLCLETMDLVKLFRVAFDAGVHPYVMAWPSALVGNYGAFALGDAPRDALLDI >SECCE4Rv1G0253230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:624219417:624220135:-1 gene:SECCE4Rv1G0253230 transcript:SECCE4Rv1G0253230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGKCLALAALLLVSCASTASAVKYTVGDTSGWTTGADYTTWASGKKIKVGDTLVFNYAGGAHNVAEVSAADYASCSAANALSSDGSGATTIALKTAGKHYFICGVTGHCSNGMKLAVDVAAATAASPPKASPTPDTPDTPSTTPTSPSTPGATPKTPTTVLAPPTKQSESGATGLRATALAGLGVAGLVAAGLF >SECCE6Rv1G0441520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811149545:811153861:1 gene:SECCE6Rv1G0441520 transcript:SECCE6Rv1G0441520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAAAQKRKRAEQEEEQAATDGLDVLDLRAAKRLLLGFERRLRDNLEARMKHPDDPARFADSELALHAETDRLRLLAGAPELFPDLVPLGLASSLSSLLTHENADLAAAAASLLADLTDSDDPSDLAGVQALADALVDANALDLLVHNLSRLSEADPDEAEAVHHSLAVLENLIDLRPHLADLVCDRTKVLRWLLARVKARDFEANKQYASEILAILLQNSPANQKRLGQMNGVDGLLQAVAMYKSRDPRTTDEEEMLENLFDCLCCVLMPLGNKERFVKAEGVELMIIIMKQKKSAYSSAIRTLDFAMTRFPPACERFVDVLGLKTAFAAFMGKIPVNKKNKNESYQEELEERIISLVASLFGGITKGSRRIRLLGKFVENECEKIDRLMELYIRYSDRVKAETERFESLDLDDLEMDDDERYNRKLEAGLYTLQLVALILGHIWHSGNSQMRTRIELLLRQNKLTKDDVKEILQEYHDNIGDLDGPEEKERAQGRTKEIIAALS >SECCEUnv1G0548630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:190546311:190546838:1 gene:SECCEUnv1G0548630 transcript:SECCEUnv1G0548630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVSHSHGGQQQPAAAAGSMMARVDRLDLVVGYLEELRHHSGGSGRSSTTATSTLSSSSPTTPRSSSRPHGCRPAEELLREAKDKGSLVERIAFLEDRVLRMEEERMELFSSGVETAEQTTPTSSGRTSTMRMMMSGSGGAGSPGSGKKGKKGLKSLVKSCVRAGAKLKTKE >SECCE5Rv1G0358730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756923236:756924490:-1 gene:SECCE5Rv1G0358730 transcript:SECCE5Rv1G0358730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMTGWSTGAAAVLLALVVLASPLLAASQPAMAPPAAPTNNSRLEKAYVALQALKRAITDDPKNLTKNWCGPDVCSYHGVYCATAPDDPCARTVASVDLNHGDLAGTLPEELGLLSDLAVFHLNSNRFCGALPDALRSLHLLHEIDVSNNQLTGNFPSQLLCLPNVQYVDIRFNNFCGEVPAAIFEKKIDALFINNNNFEFTLPANFSRSTASVIVLANLPRVGGCLPSSIGDMAGTLNELILLNSGISSCIPPEIGKLDKLTVLDLSFNGLVGKLPDTIGNMRALEQLNVAHNMLAGEIPESICALPHLKNFTYSHNFFCGEPHRCLEVPRIDDRQNCIAGRPDQRPGEQCIEFLHRPPAQCAAHGQSSPPPMYAPPPPVY >SECCEUnv1G0548390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:184748596:184751757:-1 gene:SECCEUnv1G0548390 transcript:SECCEUnv1G0548390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGAAPATARKALASTTSALLSSSLALHRRSLSCSAASAATAPRIAQQPPDLLRWVQREGGFVHPALRVADHPEYGLGVSATAADGVIPAGVVLIDLPGRIPLRLRRPADAADAVLMQLADQVPEELWAMRLGLRLLQERTKSDSFWWPYIANLPETFTVPIFFPGEDIKNLQYAPLLHQINKRCRFLLEFEKEVKQKLGTVPSGDHPFCGQDVHSSSLGWAMSAASSRAFRLHGEIPMLLPLVDMCNHSFSPNARIVQDGDVESPDMSVKVVAETQIDQNAAVTLNYGCYPNDFYLLDYGFVVTSNPYDQVELSYDGNLLDAASMAAGISNPNFSTPAKWQQDILSQLNLHGEGAILKVSLGGPDIVDGRLLAALRVLLAADPETVGKHDMKTLMSLSAKAPLGPTVEASALRTVLALCAIALQHFHTKIMDDQAVLKGEPPLTTELAVQFRLQKKLMLVDIMQNLSRRIKTLSPEKSTA >SECCE5Rv1G0337180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:589635132:589637275:-1 gene:SECCE5Rv1G0337180 transcript:SECCE5Rv1G0337180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGELVVSFGEMLVDFVPTVAGVSLAEAPAFLKAPGGAPANVSIAVARLGGEAAFVGKLGDDEFGRMLAAILRDNGVDDGAVVFDAGARTALAFVTLLADGEREFMFYRNPSADMLLTADELNVDVIKRATVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNPREALWSSREEARIKILSIWDQADIVKVSESEVEFLTGIDSVEDDVVMKLWRPTFKLLLVTLGGQGCKYYARDFRGVVPSYKIQQVDTTGAGDAFVGALLRKIVQDPSSLQNQEKLVEAIKFANACGAITTTKKGAIPSLPTEIEVLQLMENA >SECCE4Rv1G0227980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:130368040:130369491:1 gene:SECCE4Rv1G0227980 transcript:SECCE4Rv1G0227980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMASAHLVPEDVMADILRRLGPHDLAVSRCVCKPWCAVIDAGRMLPAHSHLLPLSLAGFFAVSWTAKLTAYFSRPCASAVVGKFDYLDTHEACALSVEDQCNGLLLLHRPTLWPDDQRVINPVTRQWAKLPPYPYMFSPCMKGDYQREDMYLVFDPSVSPHYEVFLFHSVPYNCYGDDLVEGSFDDALDPAAKNMEWPPSSYTLRVYSSNTRQWEEKPFIRQGEAAGTIGDMESALSPGHCHAAYWQRALYVHQHDFVMRITLSNHKYQVIKLPLGLHVETDEPEHYLGKSEKGVYCALLYGNNLLGLRIWFLNETCGQMEWLLKRDIKLGNLLANFPWKYGDRSWTTQYVNDEDGKSRATAVAQFESDYSNKDDNVTTTKYTVSLLGFHPYREIIFFRTSFGRAMAYHFNSSNIEDIGYLQGKGPHDYASGSFPYTPCRMGELSNNM >SECCE5Rv1G0315740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:295332647:295334707:-1 gene:SECCE5Rv1G0315740 transcript:SECCE5Rv1G0315740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQENSEVYVRHHSEQLACGNLRGTDDGRSNFLPEKYHIATEWNTTACLVNTASAVKEVTVDHYKRPASSGFPDMLDCKRMKQEEQTVSEKDNATDADINFPEYGSFRTWERISDPPAYGSEESEDEGVDPPVNFSLAQTYVEDYLRSASYYQREDIYSSISLCPTRKSVPIGPNYQAELPECASGNCRSSVDGNADVSSSSHICDIKEAESDKWIRNSVIPMPGSVELSSVLKPVCCKTDCSCVDEGSIACVRKHVKEARERLMSALGLNTFKELGFFDMGEEVALKWTEDEEHLFQDVVSLNPASLGRNFWDELLLAFPLKTSKELVSYYFNVFMLRKRTEQNRFDPMNVDSDNDEWEGSDDDEFAVTERAGEDLPLESLTDQDDGACNQVPLQGNIHEESGEEDEFDCSSGDRQENCCVEGNTMVSLMDNNQEATIFDTDAQDDSCTSFEAPHVCATNGAPTDIAGDHYRDVGFGSVADHGYFGGHCDPKTWDIGFSSVWEKDEFLSTNNVIEEVFGKGSCENGNDSSTAQGIM >SECCE6Rv1G0421940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:687266374:687267173:-1 gene:SECCE6Rv1G0421940 transcript:SECCE6Rv1G0421940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSTAIQIRPLTNEDDGKITATGTAPTTPATVMSSVSNLAQLLPTGTVLAYQALSPSFTNHGKCETSNQWLTGALVVVLTASCLFFAFTDSVVSRRDRKLYYGFATPRGFNVFNFSSEEERQEWDDLDQFRRLRLRPLDFVHAFFAAVVFLTVAFSDVGLQNCFFTDAGRNTEELLKNLPMGMAFLSSFVFIIFPTKRKGIGFTDNAPRQKEIHTRSDLKTPLLAGVEVA >SECCE5Rv1G0355170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:729971363:729975373:1 gene:SECCE5Rv1G0355170 transcript:SECCE5Rv1G0355170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGNSRELDQTPTWAVASVCGVIVLISILLEMGLHKVGEFFAHRKKKAMVEALEKVKAELMVLGFISLLLVFGQSYIIKICISEAAADTMLPCRLKKATVEVEIAKDGGGHGAPAKEEHGAKQPEEHFSLGTHPFTATSFSLPHRMLSEATSFSVPHRMLSEANMNTKCPPGKVSLISINALHQLHIFIFFLAVFHVCYSATTMALGRAKIRGWKEWEKEATGLDEEVNNDPSQFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRSADYCALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPILWASALTFLLININGLHSMLWISIMPLVIILLVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFSRPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKKLVFARLCLGAVVQVLCSYVTLPLYALVSQMGSTMKQSIFDDQTSKALKSWRAGVKKKPAANSKHGGSPSGSPRAGSPKAGDEGSTAGIALTQKHGEGGGDDGAP >SECCE2Rv1G0101620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:567756578:567761386:1 gene:SECCE2Rv1G0101620 transcript:SECCE2Rv1G0101620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREVENPPSIETTKRLERQDSLIGDAEKVSNIKGHGSEGNWTQVLHLAFQSIGIIYGDVGTSPLYCYSSTFPNGVKDKDDILGVLSLILYTLILLPMIKYVFIVLYADDNGDGGTFALYSLISRYAKIRLIPNQQAEDSMVSNYSIESPSLPLKRAQWLKEKLESSKAAKIGLFTITILGTSMVMGDGTLTPAISVLSAVSGIKEKVPSLTETQIVWISVPILFMLFSVQRFGTDKVGYSFAPIISVWFVLIAGIGIYNILVYEITILRAFNPMHILYYFGRNGKDAWISLGGAILCVTGTEGMYADLGHFNITAIQISFNGVLFPSVALCYMGQAAYLRKFPENVADTFYRSLPAPLFWPTFSVAILSAIIASQAMLSGAFAILSKALSLGCFPRVRVIHTSKHHMGQVYIPEVNFLMGLASVIITITFRTTTEIGNAYGICVVTVFSITTHLMTIVMLLVWKKNIIFILLFYVVFSSIEWIYLSSILSKFIQGGYLPFCFALVLMALMVTWHYVHVMKYWYELDHVVPIDEVTALLEKHNVQRIPGVGILYSELVQGIPPVFLRLVQKIPSVHSIFLFMSIKHLPIPHVAPAERFVFRQVGPREHRMFRCVARYGYSDGVEDSAQFARFLAERLKMFIEDENAFAVENEDTDSRSGASEGQTRHRKSARSVVHSEEVIEPPMSNPAGRISSYSLQTIEEEKRLIDAEMKRGVVYLMGSANVIAGPESPALKVVVVDYVYSFLRRNLAEGHKVLSIPKDQLLKVGITYEI >SECCE7Rv1G0493120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:564386499:564390899:1 gene:SECCE7Rv1G0493120 transcript:SECCE7Rv1G0493120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVIETEEWVLTPLAYPLLSAASLAAVLLLPHFSRPHAAVVTPSSPSPFDVGTTPFLRFRRAFLLLFCLASVAEGVQSVFGEDEFVRCGFGREQMAARLAAATAAALFLGGASGIVSDKLGPQSACIFYWMLQLAVGALKSFSGLRCAWVNNFILALASSMFYFCFETWIVLEHEQQGQKQDSLFDTFWLMTFFESISLVGSQGITNLLLDDDNKGILLPYTFAALVSVIGILYIRKAPSISTAHHASVIGSYQKSFFAHVLRDKRVLILVLAQASVQFSVSAFWFLWAPTIVADGRDAPLSLIYPCFLVSRMLGSAAVPWFYGAMAPFQNEDSLTTAYIAAGLALSIVAYDYQEIGTLVILFCIFHASVGFILPSLARFRTMYLPNELRGGMMSFSLALGNAPIFIFLIQGAYHGNIANSTILGLAACGLLSAGGGIHMLRRWRKHTRQNMRSL >SECCE2Rv1G0099550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:523361867:523373509:1 gene:SECCE2Rv1G0099550 transcript:SECCE2Rv1G0099550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRSDARHLAAPVVRGGGDVWLGVSGGAGPAPEGPAPAAAFLLPPVSLDARAKQLVGVNEEDHLALAHQSYKSGKYSQALEHGIAVYEKNPRRTDNLLLLGAIYFQLRDYDLCIAKNEEALAIDPQFAECYGNMANAWKEKGDIDLAIRYYLTAIQLRPNFCDAWSNLASAYTRKGRLNEAAQCCKQALVLNPRLVDAHSNLGNLMKAQGLVQEAYACYLEAIRIDPHFAIAWSNLAGLFMEVGDLNKAMQYYKEAVKLKPSFADAHLNQGNVYKAMGMLQEAVACYQRALQARPDYAMAYGNLATIYYEQHQLDMAIHCYNQAILCDSRFVEAYNNMGNALKDAGRVEEAINCFQSCLVLQANHPQALTNLGNIYMEWNMISTAASFYKAAIAVTSGLSSPLNNLAVIYKQQGSYADAIACYTEVLRIDPTAADALVNRGNTFKEFGRVPEAIQDYIQAVTIRPNMAEAHANLASAYKDSGHQEAAIASYKQALCMRPDFPEVTCNLLHTLQSVCDWENRDTMFREVEEIIRRQIKMSLLPSVQPFHAIAYPIDPLLALEISRKYAVQCSLIASRFGLPPFVHPPPLPVKAEGKHGRLRVGYVSSDFGNHPLSHLMGSVFGMHDRDNVEVFCYALSQNDGTEWRQRIQAEAEHFIDVSAMTSDVIAKMINEDKIQVLINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGASYIDYLVTDEFVSPTRYAHIYSEKLVHLPHCYFVNDYKQKNCDVLSPVCPHKRSDYGLPKDKFIFACFNQLYKMDPEIFDTWCNIVKRVPNSVLWLLRFPATGEMRVKAHAAARGVSPDQIIFTDVAMKHEHIRRSELADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGLGDEMIVSSTKEYEDRAVELATNPAKLQALTNKLKEVRLTCPLFDTARWVRNLDRAYFKMWNIYCSGRHPEPFKVKEDDSEFPYDR >SECCE4Rv1G0260020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:691967198:691967515:-1 gene:SECCE4Rv1G0260020 transcript:SECCE4Rv1G0260020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKILLATIEECVEAQRRLAEIRSQLAEAWAIADGVEQDMMVEDRPFHDVPPRLQEIERLMEGIADTVVEDLLPSFDRVVAVSKACTEEENSLGLAPPNARAAT >SECCE7Rv1G0468030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:94842659:94847998:-1 gene:SECCE7Rv1G0468030 transcript:SECCE7Rv1G0468030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTNCKMQKREIGCDSSPGTQSLSTIIGHNRSVRLRFLKEFNELKYGSVTEDYRAINRKRHELISTLEKLQLVPIKLPYASAALKSSNTTLHDEAQSGNNISSDNIIELDPYNVGDDTHVTMDSTGAQKTVCLLDSDDEDMVKSFVNGNLSDSKQNTEFIQECMLAEQPCQYQDISSEAQLEVEPGKHSMDIDNEPMEIALFDGHSTSEPQLIKQEQNVIDIYTEPKEIALFDGHSTSEPQLIKQGQNDINIYAESRDKERETREGEGEDVQSKQHMENKNISVVDSCEISCEVIQSDSTANGHYNHYDNNDNPVDDLDDLWNEMSVALACSKTIGSDPCIVPSEKNNSEVVDDCHHDFLMKDDLGIVCRVCGLIQQRIENIFEINWKKRKQSSRTYAPEPRNYNDLEATTNPSGNILQVVSGALSIDPWHSEQMKPHQVEGFQFLIKNLADENNPGGCILAHAPGSGKTFMVISFVQSFLARYPTGRPLIILPKGILPTWRAEFLYWQTDEIPLYDFYSKGDNWPEQQQSKKGKRSEHQWSKKNIRSEQLKVLNMWEEKRSVLLLGYQQFSGIISDDTSDAVASKCRKKLLTVPSLVILDEGHTPRNEQTNLLTALGSIRTPRKVVLSGTLFQNHVREVFNILNLVRPKFLKMEKSRAIVKRMLSKVDMLGKSARSKYTSDKYFYDVVEGNLKRDADDKMRVMIIQNLRELTANVLHYYKGELLDELPGLVDFTVFLNMSTEQEKILRSLMGLDNFSRKTACSAIYLHPCLKNIPNSEEKNRDIAVQKIDSIIKSGIDIRVGAKAKFIYNLLCLSEAAGEKVLVFSRYLNPLVFLEMLLIRMKGWKPDVHMLKLNGKLTQEQRDMAVERFNHSADAKVLFGSIKACGEGISLVGASRVIILEVHENPSVTRQAIGRAFRPGQSKMVYCYRLVAADSPEEEDHKTALGKEWVSKMWFESNELCANDDFELASVDVSDSGDRFFESPQLRQDVKSLHKR >SECCE2Rv1G0094940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:408493782:408515889:1 gene:SECCE2Rv1G0094940 transcript:SECCE2Rv1G0094940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPPKKILESYTIKGSDKVIKPGDCVLMRSADTSKPPYVAKVESIEAAGSRGTNVRVRVRWYYRPEESIGGRRPFHGSKEVFLSDHYDVQSADTIEGKCNVHSFRSYTKLDSVNAEDFFCRFDYKSASGSFVPDRIAVFCKCEMPYNPDDLMIQCEECSDWFHPSCIGMTIKEAKKREHFFCQSCTAENGKTTENSHEATAQSEEKVTMTRLLYYRWCVVWFVI >SECCE3Rv1G0185720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:677356952:677371977:1 gene:SECCE3Rv1G0185720 transcript:SECCE3Rv1G0185720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEADGARWPEQRGGAAEAPPPLQERGEVPSPRFDSSRALRLLTELDSNVTEDLVVLMPNLLSFLKHDDPSVVKQSIASGTNLFAAVLEEMALQVNKYGRVDAWLEEMWAWMNQFKDAVCVMMREPVPMASKLLAVKFIETWILCLTPQSNSDRMQPIEGKNRRFDASRLAKFHPSLDPVVLEADANRTFIILVDIVQSAYAHRGSFLVGTINSLAAIGKSRPVYYDRALSVLFGFDPNLEASKGAHSASLKYSLKTAFLGFLRSPCQAMLESKDVLVRRLRALSPGEATEQIIRQAEKMSRNIERACRTNKDEHPTWEMSYGDVNRKNSAGRSSDTLAMAEGMAKRARFDSSVASNPPVQEIPDYSDMQIENDANMGHSSDPSLLSTDVSPVEKMIEMIGALLAEGERGAESLGILISSVESDVMADIVIETMKHLPEAQFPLARNKSVPQPNLQSPSNPSTENLPGNLHSLPFTAQSVPSADGVGISPPEVLVMPGVSDSKRDPRRDPRRLDPRRTVAPAAASPMQMTVEMTSVHQTNSLSNTLSPLHGNIEKCVDYSGGPPKNEDEEHPSLKPHQPVDEERSEFLDDATEPEAKFEVYPPAEAGFPSDVNEEMNNPLTPEAISNNGSDSADLEVGPFSPVSKTSTPEDTNHELPLLPSHLELSDSEIISLHKLTVGRIIDDYKKNSINTRFSLLAHMVAQSIADDNIMDLIQTHIILHNHDQKGHELAMHVLYQLQCVSVADAPESSISTSEHYEKFFISLAKSLIELLPASDKSFSKLLCDAPCLPESLFRFLEGLCMSQGNNQQTKDSDGDRVTQGLGTVWSLILGRPPVRQACLDIVLKCAIHSQDEVRGKAVRLVAKKLYDLTYASEKVEQFATDSLLAIADKHGVSTNVNFATSKESTSEVEVSSQEASVSGSQISDAGPSESGSTKTSLISPKQSAISVSEAKRHTSLFFALCTKRPTLLRQLFNVYGGSPKVVKQCIHWHIPTLVRNLGSSCPEMLDIIHSPPEGSEELVTMILQTLTEESNPSANLVVAVKHLYETKLKDASILIPLLSSFPKEEVLPIFPRLVDLPPDRFQDALARILQGSAHTGPALTPAEVLIAIHDINPEKDKVPLKKVIDACTACFEQRTVFTQQVLEKALNKLVDNVPIPLLFMRTVIQALDAFPALVDFVMEILSRLVNKQIWKMPKLWVGFLKLAYQTQPRSFDVLLQLPPPQLEVALNKYPNLRPHLSSFVNRQNLHTKLPRHTLNILGFVNEPQQAPMPFAPAALQTADTTSSLPGANIM >SECCE5Rv1G0318240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:358883236:358885444:-1 gene:SECCE5Rv1G0318240 transcript:SECCE5Rv1G0318240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAALTLEEVSKHNTKDDCWLIIAGKVYDVTKFLEDHPGGDDVLLSSTGKDATDDFEDVGHSTTARAMLDEYYVGDMDTTTIPARTKYTPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAIRMYTKSESA >SECCE3Rv1G0151730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:41137895:41140240:1 gene:SECCE3Rv1G0151730 transcript:SECCE3Rv1G0151730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGNTTTEALGTPVSGQQDRMQQVQHTVQTHGYGLARKHTYDWVILILLAAVVVVLHYAPPFNRFVGKDMMTDIRYPVKPSTVPAWGVPVISMLCPVLVFIALYVARRDVYDLHHAILGLIFAVMITAAFTDVIKNAVGRPRPDFFWRCFPDGRQVYDQVTGGVICHGEKGFLTDGRKSFPSGHTSWSFAGLGFLSLYLSGKIKAFDRKGHVAKLCIVILPLLLASLVGISRIDNYRHHWEDVFVGGLIGYIMAVLCYLHFFPPPYHHQGWGPYAYFHMLEELEVGNSNNAQNQQSAGQHHIGLTDQHHKGRSRNDLESGTGSV >SECCE2Rv1G0088050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:238029190:238031066:1 gene:SECCE2Rv1G0088050 transcript:SECCE2Rv1G0088050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFAAAGESGSQEAEQDEQGRLATALDAMNSLISASFSASLFPLKWQLIRDRFNRLHAGLADITVIAASDGEERHEAFDGLLRDVVAAVREARELVPRSQGRHYGGGKLRLRSDLDVVASTLDAHLARLDEICASGSLTRARALVVPRPCAGASREDVRFYVRDLFARLRVGGAEMRREAAAALNEVLRDDDKCVRVVVSDVADGIGVLIGLLESPDACVQEEALDAISVIAGHDASCKGDLVVGGVIAPVIRVLNTGAGTAAKERAARVLSKLTENADNAWAVAAHGGVTALVNICSDHRASGGELVCAACRVLRSLVGVEEIRKYMVADAGAVPVLVSLLQGPADEGAQIQAMELLAAIASGDSSSREVVLQEGTAESLVRALDPGIPRSSKAREVALRAIDALCFSSPDSIDRLIGAVFLNRVLFFLRNGDTTLQQCALKAAHRLCHVSEETKKAMGDAGFMPELVGIVQAAKSLETREMAAEALCGMMSAHRNRKRFVQDDRNVAQILQLLGPDEEKPSPAKRFLLSTLVHLADSNSGRRKIMSSEHVRNLEKLAETNVTDAKKIVKKLGGSKLRNMFHGIWSL >SECCE2Rv1G0092660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:364980836:364988260:1 gene:SECCE2Rv1G0092660 transcript:SECCE2Rv1G0092660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGEPAPGDGQTEAADTVDSVKDSANPESAEADVEVEEQGQVDVVVDAGAGADADAVGSTKDWTVPEEGGAEEVGVEHKGDALVDAAATTIASLDDLGKVEAQGAEEEVEERLGDALVGISVAHQVKSEAQGNEVAEGEAQGNEVAETKGEAQGEERAEMKDDTQGNEAAEMDVHKAGNGDEHTEVRVDGDKGSLLKEERDNGGDNKKDAIGEDELVPALAGKDENHNLSDKVLNNSFMFDYTRGCDDSGTEEEQTEFIKELDRFYTMKLMEFKPPKFYGEGLNCLKLWRQVTGLGGYDQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGEFHVAASTLTERIVSDSQVGGSLASGSGRARRESATRAMQGWHSQRLLGNGEIADPVIKDKAAMPVLKKDKNPKSSGLAKRKRTPTLEDEKATPYKSEKLQNDSTVIDMGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSKS >SECCE1Rv1G0033460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:467995009:467998696:-1 gene:SECCE1Rv1G0033460 transcript:SECCE1Rv1G0033460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDEEVREEKELDLSSNEVVTKYKTAAEIINKALKLVLAECKPKAKIVDICEKGDNFITEQTGNVYKNVKRKIERGIAFPTCVSVNNTVCHFSPLATDDSVLQENDMVKIDMACHIDGFIAVVAHTHVIKAGPVTGRAADVLAAANTAAEVAMRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVANADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDKITSHPLQQLEPSKSIEDDVEIKAWLALGTKSKKKGGGKKKKGKKGDAAEAAAEPMEVSKDAPSQE >SECCE6Rv1G0447780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:851606079:851608576:-1 gene:SECCE6Rv1G0447780 transcript:SECCE6Rv1G0447780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMASPVLTTLFAAAIGFLVGVSFPVDITPKLQCGILPWSSSSGGANCSFSHGSTLGRLWGAPFRNRGTGAPNAGSSAPIVEVAAAQPGGSERRLPPGIVVSESDLHLRRLWGSPREDSPTGKYLLALAVGYGERENVNATIHKFSGNFDIVLFHYDGRTTEWDEEFQWSKEVAHVSARKQTKWWFAKRFLHPSVVAPYDYVFLWDEDLGVDNFAAEPYLDIVRRHGLEISQPALDTTKGPAPMYDITVRKNGSEMHKTDAGGEHCWDVHKRPCSGFVEVMAPVFSRDAWRCVWHMIHNDLVHGWPIDSYFWRCVDDPEEQIGVVDAQYVVHRAVPTLQGEGDKEKPGGRFEVRGRQFEELEAFNSRFANADKELANRSSSTLN >SECCE2Rv1G0064400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:3738615:3739211:1 gene:SECCE2Rv1G0064400 transcript:SECCE2Rv1G0064400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVAEISELAALRPIHTAVSAARRANEVPAADDDAADTGCVTPKASGARSAAEGGADDDADAAADTGCVTPRASGCMAMLPIAPLLQDDGAEVGFATPLPTGGVIGPLDGCAAAGDENSFTTPTTADSALMPATVCPPAPRKSAPAPTRKRAPLQQRLFYPVPHDLATVFLAVPQCPPPAKKMRAHAVDSSAPLGT >SECCE3Rv1G0170320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:251408521:251412113:-1 gene:SECCE3Rv1G0170320 transcript:SECCE3Rv1G0170320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 33 [Source:Projected from Arabidopsis thaliana (AT3G17180) UniProtKB/Swiss-Prot;Acc:Q9LSM9] MAAAASQDKQRRQLILALFLLVLTSQSWRYCSAGYGSEQEADRVVFLPGQPRSPQVSQFAGHVTVNKRNGRALFYWFFEAQSQPSHKPLLLWLNGGPGCSSVGYGAASELGPLRVSRFAAGLEFNKLAWNKEANLLFVESPVGVGFSYTNTSSDLTNLNDDFVAEDTYNFLINWFKRFPQYKDREFYISGESYAGHYVPQLADLVYERNKDKKASTYINFKGFIVGNPLTDDYYDSKGLAEYAWSHAVVSDEVYDRVKRDCDFRASNWTDDCNKAMNTIYGQYQLIDIYNIYAAKCNLGQTSAASVVDKALKYSDHEPFKRRIRLFSGYDECYSSYAQEYFNKADVQRALHANVNGMLPGKWQVCSDSILKSYNFSVLSILPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVEALGLPIKTQWQPWYLNKQVAGRFVEYHGITMVTIRGAGHLVPLNKPAEGIALIDKFLLGKQLPTHQ >SECCE5Rv1G0328080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:499605190:499606551:1 gene:SECCE5Rv1G0328080 transcript:SECCE5Rv1G0328080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVQELDLQDLRLQELIRGSMPDRPPSSSSSRVPPLSDDEIGRFYCAVCMEPKLVFDRFRATPACAHDFCVACVVSHIEARVADGAVPVPCPAAGAGCPGAMHPEACKKLLDMDVFDAWCVALCERAVGPGRARCPYRDCGELVVLDASCGAAVGAVPEEATCPGCSRALCVLCEEPWDDRHDGGQGCALDRLAAGRNWMRCPSCRAMVDRIDGCKSMLCRCGSVFCYGCGSSQAEGMCRCYASRREGLIPLDAGFELVRAGPSACLSSTLGKEAVM >SECCEUnv1G0535120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:52281442:52283444:1 gene:SECCEUnv1G0535120 transcript:SECCEUnv1G0535120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSILRDVRESFGSLSRRGFDVRISGLPGLSGHHHRGKSFGPPGELHGGAVVADQNGWVGLPPELLRDVMKRLEEGESTWPSRKDVVACAAVCSAWREICKDIVQSPEFCGKLTFPVSLKQPGPRDGLIQCFIRRDKSTLTYYLYLCLSPAVLSENGKFLLAAKRNRRTTYTEYVISVDSKNISRSSNGYVGKMRSNFLGTKFIVYDTQPPYNAGSLVPCQRGSRRISSRRVSPKVPTGSYPIAQVNYELNVLGTRGPRRMQCTMHSIPASAVDPDGVVPGQPQQLLPGPFDESFRSTNASSRFSMADFSSSRFSSSRFSDVSGGLRREEEDREAKERPLVLRNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLTAAAPQATAAAMPPSEPSQPPQQQQLQPSSSSSSSTSDHEKVILQFGKVTKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >SECCE2Rv1G0103520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:590947129:590948592:-1 gene:SECCE2Rv1G0103520 transcript:SECCE2Rv1G0103520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTLSLGVNGGGAAAKKRKVMDGVGDVGVLGAADGGDRATVMRLLQARDRMVKVELVHDDGDVSDRGASPLMRLLLSSAVAGEVGDTHAAAVALQEVYRHASFRGGDPAQRVASYFADALASRLLPPPPAKAKTRTATTTTREEQFLAFTMFYQASPFYQFAHFTANQAIVEAFEAGGRRRLHVVDFDVSFGFQWPSLIQSLSDAATTSSSQSSQDDSGDCCDEPVSLRITGFGASADELRDTEARLARFADGCPNLRFEFEGVVNNAPEDGRHEGITTDPAATVVVNLVFPAAENVRPSSWDPRGALAHIRSLNPSLVFLIDKVDEGNGGVKGAGRCGSASLLPRFAANLRYYAAVFESLHECLPADSAERIAIERDHLGVEISDAMASLDRRRHGGDRAADQMNRGWKERLESAGFEDARLSSRTVSQAKLLLKMKSGGCGGGGFRVMEAGDGKAMALGWRDRALITASAWRPCRRSVGKNNEP >SECCE4Rv1G0262150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:706230190:706230600:1 gene:SECCE4Rv1G0262150 transcript:SECCE4Rv1G0262150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE2Rv1G0139820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929143426:929144404:1 gene:SECCE2Rv1G0139820 transcript:SECCE2Rv1G0139820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLVVFAAQASCWLLLLLICPSVSGWSDAGATWYGPRIGGGSDGGACGYQRDVETPPFSAMITAGGPSLYKNGKGCGACYQVRCTGNAACSGRPVTVVVTDRCPGGPCLAEATHFDLSGKAFGAMAKRGQADNLRNAGNVKVQYNRVPCNWHGVVFRVDAGSNPSYLAVLIEYESGDGDLQAVELQQRGGRWAPMQQSWGAVWKYNSGSTLQAPMSIRLTSGSGRKLVATNVIPSGWQPGKTYRSIHK >SECCE4Rv1G0254450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:638112243:638112545:-1 gene:SECCE4Rv1G0254450 transcript:SECCE4Rv1G0254450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVMEAAAAISISPELGDALAKVAAFALVQGLVYLILRKSSDVFSTASAARSLSFRPMRSMSVRRVLAAFSDVPVGVPDDGGSSVAPQAGDADRDRRAD >SECCE4Rv1G0238630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:382219351:382220994:1 gene:SECCE4Rv1G0238630 transcript:SECCE4Rv1G0238630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPRRLASVRHSCSSSASELAPVPTAVSDAASRAAAAVLRAPRFEPRLLSLVPRQLLFEPSCVRQTLSRLLPSPEPSLRFLLFLASHLPAAAPAPSPHLPGIDAFLLSLQPHLAADAAALLASHLGLHPSLPALNAACRAALRAARPDLVFRLFSTFSSSPAFPGNADTVACLVRACAADCRPLDGLRLLRDAARRGSPPSSAAAADLVTSFVAIGNFAKVSETLHLMISAGCSPATFVYQRVIHGLFAHGMGGEALHVFSEIKLRGYAIDVVIYNTALTGLCKMGHIADARQMWDEMVDKGIQPSEYAYCSFLDYYCEAGDFGMALKVYQEMLEKGFKESTVSCNIIAKGFCVHRRMDEAVGVFEGMVTKGIEHDVITYNTLIQGLCKVGRLADAIGMYQRLLSSGLEPSVQTFTPLIDTLCEEGQVDHAVELLTLMQAKGLEPLERSNDSVINGFCKVGRADDGMAWLASMLKNNLKPQERTFNSLLESLSKSERMDDALLILNTMFKAGYELSSLASAIIVDKLCTGNLSCPQKLENILVSS >SECCE6Rv1G0379790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:16982103:16983842:1 gene:SECCE6Rv1G0379790 transcript:SECCE6Rv1G0379790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAALRSRQEPRRVSNGVIIAAMLLSLCVLSIVKARYCSTPFGKPDDQLKEQMNSSIRMETDDEPAPAARTVGGSEEDAEEDVEEDSAAPEPKVAAQRADKVVAVAGKKDKDKGNKKKKPTCFMTSKRSERCEAAGDIRVVGNASTIYIDSLDREWRTKPYARYHDPVAMTHVREFVLRPFPADAPPPACTKNHSVPGFVFSNRGFSGNLYHDYTDVLVPLFLSTHKFKGEVQFLLSDLKPWWVAKFRPLFRQLSKYEVVDVNNDLEVHCVPRIVVGSDFHKDMGIVPSKAAGHVSIVDFKRTLRDAFGLERAAASRGGATGAGKPRLLIISRKNSRRFLNEREMAAAATAMGFDVRIAEPDQHTDMSTFARLVNSADVMVGVHGAGLTNMVFLPAGAVLIQVVPFGGLEWLTGVTFKNPAADMEVTYMDYNVQLEESSLLEQYPRNHQVLTDPYAVHKQGWDALKAAYLDKQNVRLDLDKFRATLRDALSRLPPASTPAA >SECCE2Rv1G0117060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:769783341:769784039:-1 gene:SECCE2Rv1G0117060 transcript:SECCE2Rv1G0117060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELGSDACGFPCGGDDGAMAALSPTVVVSVLASLLERHIARNERALAGTTAGHGHEATGEDARRAAAFDGGTVLDMGMREFLERFSRYAHVSPQVYVVAYAYLDRLRRGGDGAGVVRVAATNAQRLLTAAILVASKFVEDRNYKNSYFAAVGGVSAAELSSLELDFLFLMRFRLNVSVSVFRSYCRHLEREAGHGGGYQVERCLEKALLVSSGEERRQHRQAPAAAAAQ >SECCE2Rv1G0119750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:794006451:794007083:-1 gene:SECCE2Rv1G0119750 transcript:SECCE2Rv1G0119750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNNTKLAAVADAENPAVVCGDVDDSESSIDFSGRANWLRAAVLGANDGLVSTASLMLGVSAVKPDSRAMVVSGFAGLLAGACSMAIGEYVSVCSQRDVEIAQLDQAGKRGGDEEKGLPSPAQAAAASALAFSVGALLPLLAAGFIVGYNLRVAVVVLVATLALAAFGCVGAVLGRAPVARSCARVVVGGLAAMAVTFGFMRLFRASGV >SECCE3Rv1G0152360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:47107867:47112679:1 gene:SECCE3Rv1G0152360 transcript:SECCE3Rv1G0152360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVAMAEGGGGRTLGAVIREKDEELALFLEMRRRDKERAAADTLLTADADGADGDGLLLLDPSPPPPPPQPPAEPRAAPAYRMAGGFRRAPGGADDFLHSDAGDKSDYDWLLTPPGTPLFPSHETAPKRSPVSQTGSPKTRQNSLKSRLANHPDPSSRTTHPLRTTSSNSLNSAATTRRPTSSGGLTPNSSRPSTPTGRAASTTASKGSRPSSPNARATLPAKTGPTAPRSSTPTSRSTLPSTRSALPSSRSTTPSRTSGPAAATRTSGPAAATRASGPAAATRTSGPATATRTSVPPGRASAPASRSSTPTSRSSMPATRSTTPLSRPSLPAQSKPASRSSTPTRRSSAPPTHTQHSNLPGPVRSSSISRPGPTMPKSSASQATTTAPAPSRGSSPTVKSRPWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVEPGPSARPKRQSCSPSRGRTSNGSVPSGSSMPAVRRSHLNGGDSVNPVQIGNKMVERVVNMRRLAPPKHDDQRSSLNSLSGKSLNSPDSSGFGRSLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSGRSGSTRGRPISVSDSPLATSSNASSEPSVNNNLMCFDSIDIDDELCSDRAGHYAR >SECCE7Rv1G0504230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:721146550:721162952:1 gene:SECCE7Rv1G0504230 transcript:SECCE7Rv1G0504230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLSGHRTILLLILIELLSPLRCAGESATCLAVYREGGAPAVYQSAHCPRWTILSAGEVDGDKGSSSPQPRRCHVAAHRGRRRSQEDRAVCALGIRIPFIEQMRIKEVDVGVMAIFDGHNGDEASEMASKLLLEYLLLHVYFLLDGIYSIMFRNSTGKLTHKEVTILNSVLNLYKEDQSNHGQRSCWTSPTILDRSFHMEILKESLLRAVQDIDLTFSKEALRKKFNSGSTATVVLIADGQIITANVGDSKAFLCSQSHAPYRQKRKRRRKRNSSNDEDFALANYGGPLYDVKELTRDHHPDREDERRRVEAAGGYVLEWAGVYRVNGELALSRAIGDVPFKRYGVISTPELTGWQLLSANDSFLIASSDGVFEKMSMQDVCDMMLYAKYGVNEDSEPLAVVQQNLADFIVHLALQKGTTDNVAAVVVPLGSPSSSGARIEDWHHLEENSVTSVLPLQTIPYQHKSDDGVGSAVIEMEYFKRSSTKFQRFLVDAKLKRLGCFYLSESLDEDMDFIFRVPKDYQHEGVHDFNHMPTENVLSSDGNLEKYKDRNFCWHLVHQDDEMGRCTSPEGFTNYFGLLDSVSHNGSRSSSSHAFGYKIADIRYKLKRRFDRGSYGEVWLAFRWNCSDDVDVHKDPSHFSTILTPDSYNCTSSNTTSSSDENHGSDMIDGDLFILKRIMVERGNAAYLSGLREKHFGELFSNASKTLEVLSRMESSSATFPMDMQFIEYSFPEQNISAVEESLKHVARFIESFESESREIWLVYRNEGRSLSKLLYAAEETKLVTGDDNERVRYIQVLQPSKWWYWLRTTKAGQRQMQNLLWQLLMGLKACHDRNITHRDIKPENMIICFEDLKTGKCLREIPSEATENKLNLRLIDFGSAIDDFTLKHLYGSGPTRSEQTFEYTPPEALLNSSWFQGSKSARLKYDIWSVGVVMLELIVGSPHVFQISDRARILMDQRLEGWSEETKELAYKLRSYMELCILVPGISTQQQGSINSERGHGGLASWKCSEESFARQVKILDPLKMGFPNLWALRLARQLLVWHHEDRLSVDEALNHPYFQEPP >SECCE4Rv1G0241040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448243682:448244668:-1 gene:SECCE4Rv1G0241040 transcript:SECCE4Rv1G0241040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGRIPKEENDLFDTMDDWLRRDRFVFVGWSSLLLFPCAYFALGGWFTGTTFVTSWFTHGLASSYLEGCNFLTATVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFALIGFMLRQFELARSVQLRPYNAISSCGPIAVFVSVFLIYPLGQSGWFFAPSFGIAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWTQIFGVGFSNKRWLHFFMLFVPVPGLWMSAIGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRA >SECCE1Rv1G0045280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609133962:609137968:1 gene:SECCE1Rv1G0045280 transcript:SECCE1Rv1G0045280.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRPWLLRLLAFLATTTVLLLSPSNSTSSSISTAHDLPALISFKSLITKDPLGALSSWTINSSSNGSTHGFCSWTGVKCSSAHPGHVKALRLQGLGLSGTISPFLGNLSHLRALDLSGNKLEGQIPPSLGICFALRRLNLSFNSLSGAIPPAMGNLSKLVVMGISNNNISGTIPPSFADLATVTVFSIASNNLHGQILPWLGNLTALKLLSMGENMMSGHVPPAFCKLINLQFLNLALNNLQGLIFPVLSNMSSLEIINFGSNQLSGSIPQDIGSILTNLKKFSLFYNKFEGQIPASLSNISSLERIVLHGNRFRGQIPSNIGQNGCLTRFGVGDNELQATESRDWDFLTSLANCSRLFSVELQLNNLSGILPNSISNLSQKLETLKAGGNQIAGHIPTGIGRYYQLTMLGFDENLFTGTIPSDIGKLSNLKALSLFQNRYHGEIPSSIGNLSHLNDLNLSTNNLEGSIPATFGNLTELNSLDLSRNLLIGQIPQDILSIFSLAVCLNLSNNLLDGPISPHVGQLVNLAIMDFSSNKLSGAIPNTLGSCVELQFLYLQGNLLHGKIPKELMALRGLEELDLSNNNLSGPIPEFLESFQLLKNLNLSFNHLLGPVPYKGIFSNPSAVSLTSNGMLCGGPVFFHFPACPYPAPDKLARHKLLHIFVLTVVGAFILLCVSIATCCYIKKSRGGACQGQQNIPELFQRMSYTELHSATDSFSVENLVGRGSFGSVYKGTFGSGANLITAAVKVLDVQRQGATKSFISECNALKRIRHRKLVKVITVCDSLDHSGIQFKALVLEFIPNGSLDKWLHPSTEDEFHIPSLMQRLNIALDVAEALEYLHHHIDPPIVHCDVKPSNILLDDNMVAHLGDFGLAKIIKAEESGQSLADQSCSVGIKGTIGYLAPEYGTGTEISVEGDVYSYGVLLLEMLTGRRPTDSFFGDTTNLPKYVEMACPGNLLAIMDVNIRCNQEPQVTLELFAAPVSRLGLACCRGSARQRINMGDVVKELGAIKRIIMASQNFSSWSTGQ >SECCEUnv1G0546500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:156638625:156642569:1 gene:SECCEUnv1G0546500 transcript:SECCEUnv1G0546500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFATGALGTLLPKLGKLLMEEYNLQKRLKKGVKDLRDELLVIQAALLKVSDVPLDQLDLQVKIWANDVRELSYAIEDSLDSFMVRVEGVEPIEPHTFFGFIKKTCKKATKLKIRRKIANDIKDVKIQAREVKERYDRYKDVISDASVATKVDPRLLTLYNKVSNLVGIDGAVDELTKRLSKSDDVAQQKLKTVSVVGFGGLGKTTLVKATYKNLKKEFDCGGFVIVGRNPDNKKVLRDILHELDKQNNITESKMDEKQLIDQLQEFLGNKRYLIVIDDIWDVSTWEIIKCALVDSNSGSRVVTTTRIHEVAKKVGGVYNIKPLSDVNSKKLFHRRIFGDEGTSLDDHSDEISDNFFRKCGGVPLAIITLASLLVSKPRDVWCKVFDSIGFGQEDNEVIQNTRQILSFSYYDLPYHLKTCLLHLSGYPEDFFIQKNRVIWKWVAEGFIPICQEICAFELGQSYYNDLINRSMIQSIEPDSIEMRDGCRVHDIVLDLIRTMSSEVNFVTTQDKVHHNNTCLPSTSMNTRRLAIHGGTVDDMDMGHVRSFNAVWCAENVLPPLLSFKVLRVLVLESCAFPVGGCHFDHLGKLVQLRYLGMMGTPVTELPSDLGQHLKFLQTLNIENTGIKELPSSVGELTKLMCLHASEGTRMMGSIGKLTSLEELELHHVDKSPDFTTELGKLTQLRVLEIYFDEMDESAHRALVKSLCNLRRMQTLEICVEQDESVQVDGWEEWAPPSELRQLSLSGIILPRRASWMESSCLPHISYLWFEVEELEARDLQILGRLKSLRFLYLSDENEDTLSYTVGSHEFQNLTYLDTEIEIVCGEGALPMLEELRCYASVGKGVGLAGNMPFLKTVTYTLDWQDDCSGEEMEATEAALRHAAEMHPNRPKLKISGGSKEESDDSDNGSEEEESDDEDEGSERVSNGDEEEEYDEEEVSGTDQESKDDVAENISHLSIDEEAAASRP >SECCE6Rv1G0446060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842572691:842578171:1 gene:SECCE6Rv1G0446060 transcript:SECCE6Rv1G0446060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCALQVTDKRPKNTSRMRCNGPVGDNDNCFPEDHLIHRPVYGFPDNRDSPFTVLSLGHSSDWKLAPFSSSRKEVNRTRYLKLGTAATEGFELLFPQPTTVYWLDLPNEKNMHDQDISSKPLPNGGSTLGQHRHRKKIKKSLTACPPCHVVASTSSGPDTAPLGSDSDILHDDDKPPKRSSKKKVNKWKQCRRATGEKLNLLPELPCEEHIDTPSPVEVFLPDLLADKLSDTSSSACLLVKDAHLGKDNGERNNEYVEHGSDGKDGSGCAGSSNVTVGERVSYKGAPYLNDGPNTADSSEFGGPSATEHACSHASEESNSCQKLLCACVCNSSDAITASFFTGWNSENCGDCSIDFEGNTQDGLQHGTSTCLDSVNKASHLTDVHLSGAHAEDVTDSSSHSERVQCSSEACSSKTFLPVSPGRSGRKSRNKSSCINMTATNRVVGSNRHKHSGKDSPVSVWQKVEKPDKENSSGAGHVVVSAVEDKSALEDDSKGVQHDPNRPMDKHRCRKNCKQHKKQTPFLYEQTSLPCKKGSCPSPRNYYAPKNGSPKTPKNHSQQTQGLLMLQPVCARDISDTSITTGTEKATLASYNLGSHLVPQAKSKEPCILVIQDDVHSLCLENKATSTDLDSRNLCVDPCAAEMEEAQCVKSYSAAGHMSHKWVPVGKKDMTHLHVPEASVVEASVPANDFSVSANIEVQRNVSDAPASTKCEGSKVATELTAKPKSSGQLDSKCQGHIDNGTVFSMIREAVSDAHSAQQRAEDIQLHIGRPLADFEQFIYSASPVLHCSSCPAGCNSSSQEWIRDGLCRHQTADISLSSIWQWYEEPGCYGLEVKAQDLRRSKGLWNSRYQFNAYFVPYLSAVQLFRQPKRTVDKDEADIGARSKTAPSLSSLPIFAKLLPQESSKRNSSPAFHVKDDQQLDTTELIFEFFESEQPFWRRQLFDRVKELIGGAKQSNCQISGDPKNLELNLHDLHPASWYCVAWYPIYRIPDGKFQAAFLTYHSLGHWVHRGSSSDQAGHSHAVLPVMGLQSYNDKGEWWFQTSRSGSEDAEPSSTSSEPSQEVLQERLSTLKQAAAAMARADVWKKDQARRNRHPDYEFFLSRQQR >SECCE2Rv1G0115070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:746212372:746214466:1 gene:SECCE2Rv1G0115070 transcript:SECCE2Rv1G0115070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARERRSAEESDRRQRAERAKEEEYWREAEGSKSRAARRREEEAEKRAEAAARKAENRRLAEEEAAAVASAVSKTDARKANRVGAPAPKVTEAELVRRREEERLRLEREAEAAKKRAARTAEEEEYERVVLVANTNRDDSIIEASGVDEAIVRLSLVDTEAASADRHPERRLKASFKAFEEAELPRLKEEKPGLTLKQYKDMIWKLWKKSPDNPLNKAAE >SECCE1Rv1G0028410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:399147452:399148368:-1 gene:SECCE1Rv1G0028410 transcript:SECCE1Rv1G0028410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIRKTKKTYCKNKECKKHTLHKVTQYKKGSLSAQGKRRYDRKQSGYGGQTKPVFHKKTTKKIVLKLQCQSCKHYSHRAIKRCKHFEIGGDKKGKGTSLF >SECCE5Rv1G0316820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:330860275:330861453:-1 gene:SECCE5Rv1G0316820 transcript:SECCE5Rv1G0316820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRHLPPVLVLILLSLLSLSLRRHLTPLQPPLRPAAGDPLLRRLAAVEGVGSEQVLADAAALLVNGSISTFPSLGNRQRLLYLRLPYVRSARGPPRPRTVSRLRIPAEALPSDESLLASFRTSLHSFLLAHHRRRRGGTGNVASVMSELAGVLGGRFPTCAVVGNSGVLLGSGRGPQIDAHELVIRLNNARVAGFVADVGAKTSLSFVNSNILHLCASRSAATTAGCGCHPYGPAVPMAMYICQPAHLLDALICNATATHTSPFPLLVTDARLDALSARIAKYYSIRRFVSDTGDPASNWTRKHDERYFHYSSGMQAVVMALGVCEELSLFGFGKPAGAKHHYHTNQKKELDLHDYQAEYDFYGDLQARPEMVPFLDEAQGFTVPPVRLYW >SECCE2Rv1G0104180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:602062587:602068044:1 gene:SECCE2Rv1G0104180 transcript:SECCE2Rv1G0104180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVAMRRPSPTVLAPAPRRRPRRHEYSPSNMGSPSSASRLKVTALFGWIKGDRYSRTRELIPSAESYTLTGSASEVDMKPREVSISVVSSIMDIPPAEWDACAVDSVEPEKFNPFLTHAFLSSLEESGSAVKETGWLPLHLVARDVNENILGVVPLYLKSHSRGEFVFDQSWAEAYHSYGLEYYPKLQSCVPFTPVTGQRILLRDTSYQDQVFDALVKALKNLATKLNVSSLHITFPSEGEFSKLKDSGLLQRIGLQYHWTNRNYKCFDDFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSSHWDTFYKFYRNTTDNHWGRAYLTREFFHLLGEKMGDKVMLIVAEHDDKLVAGALNLIGGDTLYGRLWGCLPDAHFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLEPGFATAIGNFLVHETAQVKHVINVLHESGPYKEDILKEFAPQPDGEM >SECCE4Rv1G0241110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448303647:448303928:-1 gene:SECCE4Rv1G0241110 transcript:SECCE4Rv1G0241110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRIGPILGHTMHYRRMIITLQPGYSIPLLDREKN >SECCE6Rv1G0431030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745400472:745404369:-1 gene:SECCE6Rv1G0431030 transcript:SECCE6Rv1G0431030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGAAAPVAAAMRAVLARAGRAAERSGRAAEAVRVVAIGKTKPVSLLRQLYDAGHRSFGENYVQEFVTKAPQLPEDIRWHFVGHLQSNKVKSLVAAVPNLDMVEGVGNEKIANHLDRAVVSLGREPLKVMVQVNTSGEESKSGVDPSKCVELAKHVKLACPNLILSGLMTIGMKDYSSTPENFKALANCKLEVCKALGIPTEQFELSMGMSGDFEQAIEMGSTNVRVGSTIFGPREYPNQKQN >SECCE1Rv1G0007800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42541970:42543875:-1 gene:SECCE1Rv1G0007800 transcript:SECCE1Rv1G0007800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSAQHPWAFTFGLLGNVISFMTYLAPLPTFYRIYKNKSTQGFQSVPYVVALFSAMLWIYYALLKSDEYLLITINSAGCVIETIYIVMYLAYAPNQARLFTAKILLLLNVGVFSLILLLSLLLTAGERRVVMLGWVCVGFSVSVFVAPLSVIRLVVRTRSVEFMPFSLSLSLTASAVVWFLYGLLIKDKYVALPNILGFAFGVIQMGLYALYRNATPRPAPKEVDVPVSDDGAAKAPEHVVNIAKLGPAATAIELNTHYPVEPLPPMKEGAAKENGVACASGEKLYKATHVEQV >SECCE1Rv1G0030360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:427363180:427366943:1 gene:SECCE1Rv1G0030360 transcript:SECCE1Rv1G0030360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEASSNKHGALERMLLDESAEPTDLPLSLLEDITDRFSPDQQIGSGGFAVVYKGMIGKWTVAVKKLSNTVDITESKFHGEVKCLMKTKHKNIVRFLGYCADTQGKMSEYEGKLVMADVRNWLLCFEFVPKGSLDKHMSDASCGLEWRERYRIIRGICEGLHYLHEKRILHLDLKPANILLDIGMVPKIADFGLSRCFDEGQTRAITQHLCGSQGYLAPEFYSGQIAFASDIYSLGVIIMEVLTGAKGYLEEENVVESWMDRLDQAPERHTQLEQVRVCTKIGIKCMDLDPKKRPVTRHIIDRLDKTATAEYSGQTGMSSLLVQLQPSLLREQSGEIIGKFADESPETEDHCQQGQENADQWSLREAQDTSIFGSKSHTNFVRNSGPHLQNVRGLMIFKKVEMKKITENNSVFLGPGRFCEVYKGTLPDSTVVAVKTFTALTDDTKREFMMMINIHKELIHKNILKLYGCCLEADVPTLVFEFAANGNLWGILHRSKRKLPLDTRLGIAIGSAEGLRYMHSYANYPIQHGDVRPMNIFLDDNLIPKIGNFAFSRLLSLQEEIRLETSESSDTSMLDDMGYLDLEFMGKGRATQKSDVYSFGAILLELITRKRNVFDENCILTIEYCKLYEREKSGKAMFDKEIATEDDDIFALEEIGKVAMECLKEHSKERPDMTEVMEQLMMIRRNRRLVKARNKAMTTSVPSA >SECCE1Rv1G0027150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:378617416:378618930:1 gene:SECCE1Rv1G0027150 transcript:SECCE1Rv1G0027150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLVVALFNSPFVLTTLAFLLFTLLVSKTRSNVAARGTLPPSPPSLPVFGHLRLLGSLPHRSLRSLAASYGPVMYLRLGRVPTVVACSAAAAEEAMKTRDLAFASRPKLFMVDRFYYGTGGIGFAPYGEHWRQARRVCVTHMLNPLRLLSFRRVRGQEVSALVNRVRRSGTVVNLSDNLIVYSFTAISRATFGDTDYGIDGEEGGARLRKVFAEIEELLGTVPMGEKVPWLRWVDVLTGLERKTRRAFEEMDGLLERVITDHLQRLGAGDDDQRDFVDVLLGANELDTKGIKAIILDMLAAATDSTFTLLEWAMAELINHPQEMRKLQDEVRTTIGDAGHVTEDHLPDLRYLKAVVKETLRLHPPTPLLLPRETVEDTQLLGYHVPAGTRVLIHAWAIGRDPATWGDRAEEFVPERFLEYTHEMGQDFAFLPFGAGRRGCPGVRFAMPSNELALASLVYHFDWELAGGRKPPVDMTELHGLSVRLKTALLLAARPWPGRGAE >SECCE3Rv1G0165170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:170456517:170458884:1 gene:SECCE3Rv1G0165170 transcript:SECCE3Rv1G0165170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVLLAAILALCPLPLSLASPSAAAAADRITGLPGQPPVNFSMYSGYVTVDAAAGRALFYWLIEAAVAKPKSAPLVLWLNGGPGCSSVGYGASEELGAFRINADGRTLSVNPYSWNKMANVLFLDAPAGVGYSYSNTSSDLLTPGDNKTAHDSYTFLVNWLERFPQYKYRDFYIAGESYGGHYVPQLSQLVYRNNKGVRKPILNFKGFMVGNAVIDDYHDFVGTFEYWWTHGLISDDTYQKLQLACEFDSSEHASEACNKIYDVAEAEEGLIDAYSIYTPTCKKSSLHRRRLIKGRRPWLPRGYDPCTEQYSTKYYNLPEVQKAFRANVTGIPYAWTGCSDVLFEYWKDSPRSMLPIYHELIAAGIRIWVFSGDADSVVPLTATRYSIDALYLPTVTNWYPWYDEEEVAGWCQVYKGLTLVTIRGAGHEVPLHRPRQALKLFEHFLQDKPMPRPVPSIQSL >SECCE6Rv1G0409900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:592745370:592747000:1 gene:SECCE6Rv1G0409900 transcript:SECCE6Rv1G0409900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRQRSMLMRRTTSLASMPSVPKQVRQGSGGGGGDQDEQARARPSSSASAGAVGTGAYPSPRRDAFAGLMITAAFLSVCGFCAKPLGPGKDTYIYRGEVAFCSQECREHQIEKDELREQNCTITSIREAPSDQSGNGGGSGGGDAVAAA >SECCE6Rv1G0451470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872674670:872676778:1 gene:SECCE6Rv1G0451470 transcript:SECCE6Rv1G0451470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARAAMQYLLELWNEWEIQVVVVASFSLQVILFFFAGIRRSNISFVVKALLWLVYLLADVIATYALGHMSSSLSKKSSGEHQLVALWAPFLVLHLGGQDTITAYALEDNRLWLRHLLNMFVQVAGTAYVLYKYINTGEGAFVRAAMLVLAAGVVKYGERIWALKLASNGGPPSPCTPFPLQMYDYFHNYPPRTSRQRQEKLRREEWHRQNGGDRFTKNKKFRAYAFIVMTAHLLRHVLVKPVIIDRNKASIFWHSKTIGLVKDLVQGCPWQDDWSEDASAKEKKTIGELYKGVEVQLGLMYDMLYTKAEVIHKSRGHSIRAISLVCCFTALVAFTKSKRDGYSTPNIVITFVLLGGACALEVTSLFKAIGSTWTYDILRSYRCKWLANAILFARYYLVVVKDGRWSNSAGQFNFISFRVQSKKNSLKLKWLIAHWIGVKDWWDRARCTKHVKLSPALKEFVWGVLKGEWSHMVQIEDVIKSGYWARKFSGIDQIKQLDWSMSLEFHESVLLWHIATDIFLNNPADELKLVGKDKDMAESVNTLSDYMMYLLVKHPDLLPVKADTRSFFHQASCSLQLFDAQSYHEVILSYKERASDEAGNPFDGSGEAVLVKACSIAHTMLDMELGLAMAIIGTVWMEMLCYAATNATEGFHARQLSNGGEFLTHILLLSKYATLDDIEAEEAIKASVPYHCKEEITETP >SECCE6Rv1G0379070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11791844:11795274:1 gene:SECCE6Rv1G0379070 transcript:SECCE6Rv1G0379070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDFRRRESEDNETSPRRFAAGEGAPPPAIFSTPDHGVGTSSAGSGSNIPIAADNALVRHPAMHSSILMPPCTVKPSEMMTPDPSTRYIHGEQVREDFVPKTNMLFVTEEEGYDFYLQYARAAGFGITKLRRKPMSRLYACSREGTSTFYKPGEERKRAKMSKRINCKAAVKMKKKGKEWIYEKVLLEHNHTLNPEPWELKHMHSHKNKDPAIMEFVDDLQTCDVSPNATMNVLTKFHGNRENMPWSERDLQNRKAENVRKERADDVKKLKAFFNECRAQNSKFYYDIDHDSEGAAKNIFWSHASCQANYAEFGDVVTFDTTYKCNFYNKPLAMFVGSNHHLQNVIFGFALLGDETEETFDWVFRTFKTCMENKEPHCILTDQDQAMGKALPGVFPNTIHRLCRWHVLHSHKDGLKVLYNLYDGLKEKLLTIINHPLTPMEFEKAWMEMVNEYGLQYDPTIGSLYDLRTRWIAASFKDVYCGRMTSTQRSESTNRIVKRNHIDPMTPLHVFARKMFQVLQGRKEAEARETIESQARPKTVTNYPLEHQLSRIYTRAVFRKYKEAYVYGTSFRTKKVGAGRFLVVYGRDGPTFSWSQHEFKVVCDEKKEEYKCECKQWEHTGLLCPHLIIVMTNEQIQKLPSKYVYRRYTRNARIDPPYDRNDTLQVGADGTSNSGRHFNMLRVAYACVRGGDRSTVGYERVITVMTELRDQVEALPPDVMPVFEESCNDPADRELGNFKAPPITKTKGSRSEGGGRTIGARGPKLCTRRCSNCGLKAGHNRASCKIQKESFAVGGSGAARGRGRGRGRGRGRGTATRRRLIHDEMDEDEDEDDQEEDDLLGDESSCGKDDSN >SECCE2Rv1G0139350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:926589739:926591220:-1 gene:SECCE2Rv1G0139350 transcript:SECCE2Rv1G0139350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMTMPPLLPCLLLLLFVAPLLATPTTKIPLYRHLPPLPPAAAQHHPLSRLAQASLARASRLRAGHGHHQGQSHPQQSSPVRAALYPHSYGGYAFSLSLGTPPQPLPVLLDTGSHLTWVPCTSNYQCQNCSAAGSVPVFHPKSSSSSLVVSCSSPSCLWIHSKAHLSGCARGSAPCRPSTANCSATAKTVCPPYLVVYGSGSTAGLLVSDTLRLSPRGAARRNFAVGCSLASVHQPPSGLAGFGRGAPSVPAQLGVTKFSYCLLSRRFDDDAAVSGELVLGASTAGKAKAMQYAPLLKNAGARPPYSVYYYLSLAGIAVGGKSVALPARAFAPVSGGGGGGAIIDSGTTFSYLDPTVFKPVAAAMVAAVGGRYNRSKAVEDALGLRPCFALPAGAKTMDLPELSLRFTGGAEMRLPIENYFLAAGPASGGAPEAICLAVVSDVSSASGGAGVSGGGGPAIILGSFQQQNYQVEYDLEKNRLGFRPQPCSPSS >SECCE2Rv1G0082210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:148414714:148416424:-1 gene:SECCE2Rv1G0082210 transcript:SECCE2Rv1G0082210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSKETLRKMYSVAKGYYCGGLAAPNTFPAWDLRHSLSSARKSPGFVNPCSARSYAHVAGSSADQEIVIALGSNVGDRISSFDRALRLMKDSGIKITRHACLYETAPEYVTDQPRFLNSAVRGTTKLGPHDLLKKLKEIERDIGRTAGIRYGPRPIDLDIILYGDSRISTETLTVPHERIHERQFVLAPLVDLLGSSADDHMEKSWHSLSKCSGGFFDVWNKLGGESLIGTQGIKRVMAVGNRLLDSSERTLIMGVLNLTPDSFSDGGKFQELEAAIAQAKLLISQGADIIDIGAQSTRPSATRLSANEELERLVPVLDAIVKIPEMEGKLISVDTFYGQVAAEAVKRGATMINDVSGGQLDPSILEVVAELGVPYVTMHMRGDPSTMQSEQNLQYDDVCKEVASELYARLREAELSGIPLWRIVLDPGIGFSKKSKHNLEIINGLRSIREEMGKVSLGASHVPVLLGLSRKRFLGEICNRADPVERDAATVAANAAGIMNGANILRVHNVRCGVDTAKVSDALRMVKQKSTLPEKN >SECCE2Rv1G0124240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:831008181:831011834:1 gene:SECCE2Rv1G0124240 transcript:SECCE2Rv1G0124240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLQRMEGDQAGGGDLTDIVRAGGAMPGNNDGDGNLPSTAAEWQLQPADQPMLFPPPPPSASPGTGGGVFGDPFAGLGDPFSSDYSSAAPEFLEGMPDAMAKIGFEAGGGGGGVNGCGGGSGQMFDMSRKPLLPRGMQMMPAGIGGGMGPRLMQSSLSPIAIRPYPAMTAGDMVKLGITPGQAAGCAIDAAVAGMQMSSSPRNNNGIKRRKNQARKVVCIPAPTTAGSRPTGEVVPSDLWAWRKYGQKPIKGSPHPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHGKNGGGGGSGSGSKSSHNEKQPPNQSVKEERKDHRAAGTTTATMTTSTVTATTSTSPVVVKEETLAGSSSEAQARDQRAMDTVAGVPQLQVDHRELMDHVFSESYRPMMPGSGQHHEDFFADFADLAELESDPMSLIFSKEYMEARPSGGAGDHGGQEKAVAKELDPFDMLDWSTTSTTAGGTFEQGKRG >SECCE7Rv1G0499500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:654330663:654338096:-1 gene:SECCE7Rv1G0499500 transcript:SECCE7Rv1G0499500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGEAGGAMEDGAREVERWEGYVDWRSRPAVQGRHGGMVAASFVLVAEVLENLAFLANASNLVTYLMKSMHYSPAQSATTVTNFMGTAFLLGLFGGFLSDAVCTTYAVYLISAFVEFMGLVVLTIQARSPSLMPPECAKAAGAASCEPVAGGKKAMLFVGLYLTALGIGGIKGSLPSHGAEQFDEHTPRGRKGRSTFFNYYVFCLSCGALIAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFAAGSKFYRSKVPTGSPLVTIGKVLLAAASARRGGTQSASNGAVIDRAPSPTGSTDMKEYCKPGNTCAADEVTEPSQELSGLNRAVQCQPRHRTLACTVQEVEDVKIVLMVLPIFLSTIMLNCCLAQLSTFSVEQAATMNTHVGGLKVPPASLPVFPVTFIILLAPIYDHIIVPFARRVTGTEMGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVAADAGMMDSTAPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPARMRSLATSLSWASLALGYYLSSVLVTVVNSATGRGGHRAWLEGASLNHYHLERFYWLMCVLSALNYIFFLVLAIRYKYRNAGVIKG >SECCE4Rv1G0273610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:778423288:778428022:-1 gene:SECCE4Rv1G0273610 transcript:SECCE4Rv1G0273610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFGKKLMADQVPEWRGYYINYKLMKKKVKQYGQQVQQGEKDRRRVLKDFSKMLDDQIETIVLFLLEQQGRLASRIEELGKQRAILAEQPDISAIAELRESYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFSYRFTDYYVSSRSNHPYSQLQQVFKHVGVGAVVGALSRNLADLQERQGSYLSIYDQPASALKDPIIDMINSTVDRLTRSTNFLRFLGQHALIAQEESPSTAGEEEIEDQKYHFVSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQVFSSVYFSAWSNKSYFKPLVFSSIVLFLGNICYAMAYDTKSLTVLIVGRLLCGLGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWRFKIYMVTFSQSTLPGWLMAVAWLLYLVWLCISFKEPNRTEPDDTPHNRTSGQSVDIGQVENGLAQPLLGDSQSKQIEDDDDEEDDSEESAQDSRRPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFNWNTSSVAIFLAILGLTVLPINAVVGTYISNMFEDRQLLMASQITLLVGIIFSFKVTSTYSVIQYVASALITFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITAAGYLGIGSLLNVTLLPSLVICAASIACTFLTYNSLF >SECCE3Rv1G0151810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:41606851:41607225:-1 gene:SECCE3Rv1G0151810 transcript:SECCE3Rv1G0151810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFEFSSCFGGGRIDDYGGERSNRHRNENTTAYHNQPPPAVDKAGHKAYHDGVRKADIVGDGDRHGGYADYTQHKAAIETPKVPAWPTKVGDDACKYRARLQEPPVMDYHHYPTTTATTALERW >SECCE5Rv1G0352460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:709792081:709793697:1 gene:SECCE5Rv1G0352460 transcript:SECCE5Rv1G0352460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNGALFLLVCAAARAASSGGDGPLLNGNFEYTPNRSQMNGSRVMAANAIPYWKVTGFVEYIESGARQGDMVLTVPEGRHAVRLGTGSSIEQQLSVTRGKYYSITFSAARTCAQSERLKVSVVPGDDGVADELPIQTVYASSGWDSYSWAFKAKQGVVSFVIHHGDDHAEDPACGPIIDCVAIRALNPPRATHGNMLVNGDFEEGPYMTPGSPWGVLVPPMDEDDTSPLPGWMVMSYSKVVKYIDSAHFRVPHGARAVELVAGVEVALAQEVATVPGSSCRLQFSVGDAANGCAASPMRVQVAAARGSKSVPYSSKGTGGYARDALDFTADGNTTRVVFYSGGYHTTSDGTGTLCGPVVDDVSLVCVSRPHARRLLR >SECCE5Rv1G0301040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28846508:28851263:-1 gene:SECCE5Rv1G0301040 transcript:SECCE5Rv1G0301040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVPNGHPSAAGDGNPPPLSPPPSSSSSSLVFLGTGCSSAVPNARCLIQPSDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEGAHKYIIIDVGKTFREQVLRWFVCHKIPCVDSIILTHEHADAILGLDDIRVVQPFSPTNDIDPTPIYLSQYAMDSISQKFPYLVKKKLKEGEEVRRVAQLDWRIIESDLQKPFTASGLQFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSRFPPSTEDAISKSGGGQLDLLILDCLYRTGSHNVHLCWDQTLDAIKRICPKKALLIGLTHEMDHHKDNQTLEEWSRREGIDVQLARDGLRVYIDL >SECCE1Rv1G0016220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:135757576:135763158:-1 gene:SECCE1Rv1G0016220 transcript:SECCE1Rv1G0016220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVQTRNLRETQLGGVIFGCKHETIEECFNKQLFGLPALHYSYVRNVKAGLPLFLFNYTDRKMHGVFEAASPGQNSIDPYAWSNDGTLRTPFPAQVRICTKTRYQPLFEVQYKKVIQDNYYTHHYFYFELDHAQTRALIALFKSVGPLNFKQVPAVSSKRSLAVPLPSTNRMSSGIPIQKKGTANSKDINPSSVLSTSLPSTKRVASVTPHQKKGTANSKNINPFSVLSNSSGIVPDDWVDSDVDSGSVTGTSDSKTDGKTSGELVSDWEDLDDNDFQNQFGPDEVSQHSSYKSVADGMELMQCNQPVANPVNGGSHSSNGDMLVNSHNGIGSGVQNESDGVGVQLERSSILKKLKELVDLRQQAALSSHDFVYSSPDVYVPEETQVNANLSGQDEYVPEKTQISADLSSQDEYVPEETRINTSLPSQDEYVSEEIQVNANLPSQDEYVTEQTQVNGSFPCQDQKIAEETHVNVDLPIQDQYVTEEAQANASLSTPSHHVPEEAQANSSLSNKPLCSTVEDNSSFEQHHAKAELLRIITDLAKKADALEKNQIKSDQEIISLKEVVKDSGRKVQQLEYRIDELQFKFDSSLSLQGSMCDNLDMPAIFLIGGYNGVTWLSSLDSFSPKKDILVSLTSMGSARSYASVAAMEGCIFVFGGGDGSSWYNTAECYNTRSNEWMICPCLNHEKGSLAGVSLNGKIYAMGGGDGTQTFSEVEMFDPFLGKWICSPSMLQPRFALAAAESSGVIYASGGFDGSKYLQSAERYDPREGFWVKLPSMKARRGCHAVAVLGEVLYAIGGYDGDSMVSSVEIFDPRLNAWRMGDPMSNPRGYASAVTFDDSLFVLGGLRSNEQILDTVEVYNVNSGWSVPGFNSIGKRSFASAIVM >SECCE2Rv1G0087010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:218300247:218304733:1 gene:SECCE2Rv1G0087010 transcript:SECCE2Rv1G0087010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHTAVGEDQAMSDLRDKHRMDLESLTLTSRPFKTLALFVLAIGQSIRSTCSCVLKEGSRLKFLVPLLGATCVLLLITDSPHEKHMQELLWYARFGLWWVILGVASSIGLGSGLHTFVLYLGPHIALFTMKAVQCGRVDLKSAPYDTILLKRRPSWLEKDCLEFGPPIYQETIPFSKILHEVHLEAVLWGIGTALGELPPYFISRAASMSGQKVEELAELDASISKEGFLSSTLHRTKRWLMSHSQYLNFPTILLLASVPNPLFDLAGILCGQFNVPFWKFFLATLIGKAIIKVYMQTTLVITLCNNQLLELVEERLVWVFSNFPGVSSILPSLVTKLKTSKDKFLMASVAASASSAAKRKKWNLSFSLIWNTVVWLMIMNFIVQIITSTAQSYLKKQQELEMSMKSSATMSSPSEPAAGISN >SECCE4Rv1G0260750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:698303328:698305757:1 gene:SECCE4Rv1G0260750 transcript:SECCE4Rv1G0260750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRATNIFISTSFLFMLISNAVAEDHGSSYLVRGSSPVSIRNGTTTTILVSPSGSFACGLYRLATNAFAFSIWFTGSSEKTIAWTANRDGPVNGSGWLAFRNDGGLALLDYNGTAVWSTNTTATRASRAELLDSGNLVVMDPDGQSLWRSFNSPTDTLLPSQPLTWQTKLVSASARGLLYSGFYMLHFDTNNELNLFYNGPDITSIYWPNPSNQPWENLRTTFNSSRYAVLQQTGQFVSSDSFKFEACDLGEKVMRRLTLDYDGNLRLYSLNATTGNWLVSWMAFSRVCDIHGLCGKNSICTYMPKLECSCLKDFEVVDASDWSKGCRRKANISVNSHKRRRNETNITTTQDFSFRKLADTVFYGYDLDFTEQVPIQYCRRMCLEEVDCQAFAYHPGRGECYIKVYLFNGRNLAYPSTDIYLKVPKGLLYSPKLASTVNHECKVHEKEANTSLPMSKDGSSTFGYFLSSALTLLFIEVILIIAGCWVVQKWERRPEIIDEGYKIISSQFRIFSYRELQKATNCFQEKLGSGGSGAVYKGVLDDERKVAVKKLNDVIQGEQEFRSEVSVIGRIYHMNLVRIWGFCVEKTHKLLVSEFIENGSLATVLFDHQSISPVLQWNQRHNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDKDFEPKIADFGLVKLQKRGSSALMLSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGIRVSNWMVEEGEEEVEMAVRCSTEILKEKLAGEDQSWLLEFVDHRLDGEFNHSEARAMLKIAILCLQEERSRRPSMSHVVETLLSLVE >SECCE1Rv1G0011930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:84196333:84197695:1 gene:SECCE1Rv1G0011930 transcript:SECCE1Rv1G0011930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAANKVVVLMAFMAAALSSVSMAAGLQYSYYNSSCPKAEDTVRNVVQGMIRSDPTMGAAFVRLFFHDCFVRGCDASILLDPTASKPETEKTTIPLRGYEAVNKIKSAVEAVCPGVVSCADILAFAARDSTAASGGFTFHMPSGRLDGFESIADEVFQGIPSPAFQLQELLDNFADKGLNTEDLVVLSGAHSFGLTHCNFVTPRLYPTVDPTMNATFAAALKKVCPPPRNGGGFISVSNNRVTDPNKLSNQFYRNVASGQVLFTSDQALMDETPTTGNKTAVMVADNAANPITWMVKFAVAMVKMGGIQVLTGTDPGEVRKVCFATNNAS >SECCE7Rv1G0485730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:382320376:382320696:-1 gene:SECCE7Rv1G0485730 transcript:SECCE7Rv1G0485730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVAKDSDDRMQFKRIADLWEKRETSRNSATSEMKDDPVRDEIKEMKDMVVNDGGKPGSEVYFHALELFTKKEHRDVFSALKEEDSTVRLEWINRAWETFMKKN >SECCE4Rv1G0286030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:847562871:847564700:1 gene:SECCE4Rv1G0286030 transcript:SECCE4Rv1G0286030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWITIHIAACFIIKTVFFTTIISKIFARIRSVAHPTTRKDKTSPARPPHVVSGAPLMAALLTLVTPKKGLQAAIHDLHMNIGSVFTVNLLGLKKVTFLVGPEVTDHFFRGSPSEIGFGDETKILVPILGPGVLFHVDLTTRNEQIRFCTKAIKPARLRRNMHFMVREVEEYFEKWEQHGTVDLKHELERLIMRIANICLLGNEIKENNLEKVSTLLHELCENSFQMINLFFPHLPIPSHRRRDEARNRLGEILQDIIRSRRRISSAQVGDNNDEEEEKDVLQSFIDSKYSDGRSVTEGEIIGLLIGILFAGQHTSTSTSTWTGACLLTHEKHLAAAMEEQKQIIEQNGEIIDYKALSKMGTLHCCIMEALRLYSPTPILLRQSLKSFTVHTREGMDYEIPKGHALACSTVVSNKLPYIYNNPNVYDPFRFGPGREQDRASGKFTGISFGAGRNSCLGEDYALMQIKVIWSYLLRNFELKLISPFPELEHERILPGPRGKVMVTYRRRSLVN >SECCE6Rv1G0425520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:707808701:707809180:1 gene:SECCE6Rv1G0425520 transcript:SECCE6Rv1G0425520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIIFCLVQTFGISSSYSVTINSVSGLDPATDLGHKAALHPEFNLTLGVASWGYWAHACADLGLYVEVSYRDVLLATSSTLMQEICAGPRDVVKHPFIARGAGVVIPGPLLDSLAMDMLSGAPEFDVELHGPSPRKLVWPCGPRMVGDADAIRAECAM >SECCE2Rv1G0079210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:116349057:116353793:-1 gene:SECCE2Rv1G0079210 transcript:SECCE2Rv1G0079210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSRGGGGDDDEPYLVGFIVAQIVGLKHYSGTLSSGERPSLVREPLNRFDSNAIAVHNSRGRQVGHIEGRTAKVLAPLLDCLLVANTHVLVPGSRSSKAGNSFYKLPCQIHLFARPAAAAIVREAIGGSGLVLIDPNHIEFGLSQSAIVQEQTKKSDRDVDKLFARVGKEAESRIQPMEPPEDVVVSDLFEHQKVALGWLVHREESCDLPPFWKEDKNGGYENVLTSQNAKQRPPPLRGGIFADDMGLGKTLTLLSLIARSKARNVGGGKAKGTKRRKIDDAEEGSRTTLVVCPPSVFSSWVTQLEEHTNAGSLKVYMYHGQRTKDKNVLLKYDIVITTYSVLGTEFGQEGSPVNDIEWFRVILDEAHIIKNSAALQTRAVTALNAQRRWVVTGTPIQNSSLDLYPLMAFLKFEPFSVKSYWQSLIQRPLEKGDKAGLSRLQNLLGAISLRRTKETECGSKTVVAIPPKTVVACYIELSAEERECYDQMESEGRNKMMEFGDRDSILRNYSTVLFLILRLRQLCNDVALCPFDIKSWLPANTLEDVSKNPELLKKLASLVADGEDFDCPICLSPPSTTVITSCTHIYCQTCILKILKSASSRCPICRRSLSKEDLFIAPAAQHPDDDDSGSLDSDKPLSSKVQALLELLKRSQQEDPLSKSVVFSQFQKMLILLEGPLKRAGFKILRLDGTMSVKKRSDVIKQFAVVGPDAPTVLLAGLKAAGAGVNLTAASTVYLFDPWWNPGTEEQAMDRVHRIGQKKPVKVVRLIVKNSIEERVLELQERKKRLISGAFRKKGSAKEEKELRLEELRIMLGFQP >SECCE7Rv1G0500380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:666387268:666387557:1 gene:SECCE7Rv1G0500380 transcript:SECCE7Rv1G0500380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLAREERLLVGISSGANVAACVKIATREGNEGKMIVTIFPGAGERYMSSDLFALVREECENMNF >SECCE6Rv1G0444150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826905444:826918250:-1 gene:SECCE6Rv1G0444150 transcript:SECCE6Rv1G0444150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKDFSFPAEEQRVLELWAKLDAFHEQLRRTEGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVMRRFGWDCHGLPVEFEIDKLLGITNRQQVLDLGIGKYNETCRGIVTKYVAEWEAVVTRTGRWIDFKADYKTMDINFMESVWWVFGQLWDKNLVYKGFKVMPYSTGCKTALSNFEAGLDYRTVPDPAVMVSFPVVGDADNAALVAWTTTPWTLPSNLALCVNANLVYAKVKDKSNGTVYIVAESRLGQLPVKAKASGKKQAPSKGSNAEAVQGGLDTESYELLAKVPGSSLVGLKYTPLFDFFLELQDTAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLSAGIIEASGLVVAVDDDGHFIEKISQFKGRHVKEADKDIINAVKDKGRLVSKGSIEHSYPYCWRSGTPLIYRAVPSWFIKVEKIRDQLLECNKETYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPVWISQDGEEIVVMDSIEKLERLSGVKVNDLHRHHVDDITIPSSRGPEFGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKQNYPSPMEVIDEYGADALRLYLVNSPVVRAESLRFKRIGVFGVVKDVFLPWYNAYRFLVQNAKRLEVECLTAFSPIDQASLRKSSNVLDHWIHSATESLVSFVHQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCKISLSTLYHALVTTCVAMAPFTPFFTEVLYQNLRKASSKSEQSIHFCSFPSTTGERDERVERSVTRMMTIIDLARNIRERHSKALKTPLKEMVVVHPDSEFLEDITGKLKEYVMEEMNVKTVTPCNDPMKYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEQSGEISFLGHCLTLDDIKVVRQFKRPVDVSEKEIDAAGDGDVLVILDLRADQSLIEAGVAREVVNRIQKLRKTAQLEPTDLIDVYYESVDNSSNTLEEILQSQDQYIRDVLGNSLVPKAAATSDMVVICEESHIVHDMSFVIYIARCMPVLAADLLSYASGNSDHVEALRVYLLSRSISRLKNEFQTGNGKITVKCIEGYPPIDLQLGKHVFLSAGDFYQANRS >SECCE4Rv1G0284900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841242922:841243623:1 gene:SECCE4Rv1G0284900 transcript:SECCE4Rv1G0284900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPHISETQVEQELQSLEASISSSNSISTMCDGLRSLANIYDGLEEIISLPSHQACSSQQRKMLDVEMGCSVELLDLCSAMQEAFAEMVVIIQELQGALRKGDDVAAQAKIQSFTRLAKKARKHFKKTTKKAASDKMVMLLANAREICTSLLESTLHLLSKQIEMPKQSLVCKAFHKKKAVVCEEGQLQELECSIGDLQNGAGNLFRKLVQNRVSLLNILSS >SECCE5Rv1G0366250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:812258079:812259575:-1 gene:SECCE5Rv1G0366250 transcript:SECCE5Rv1G0366250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMDTAFKALTAGLGVATLYLAGSFSFNVYRGLAWHSEQSKLEKEKEKSED >SECCE4Rv1G0270680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:756135047:756137105:1 gene:SECCE4Rv1G0270680 transcript:SECCE4Rv1G0270680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEVFIVGAGQSGLATAACLSKLSIPYIIAEREDCIVSLWHKHTYDRVKLHIAKEFCELPHMEYPTDSPTYLPKDQFLRYMEDYVKHFNISQKFNTSVESCMYDEARKCWVVMTHRKVDGPTMYACKFLVVATGENSAGHVPEIAGLQSFPGEDIHSSSYKSGRDYVGKSVLVVGCGNSGFEISHDLAVHGANTSIIIRSPLHVMTKELIHLGMILSTWHLPLKLVDFILIILAYILFGDISKYGIVRPTIGPLTLKAKTGRSAVIDTGTIELIKKGDIKVFGPISCIRGNLIEFVDGSERYYDAIVFATGYKSTANMWLKNNMSLLNSDGIPKNDFPNHWKGANGLYCVGFARRGLAGIAHDANIVASDIHANIAMAYFN >SECCEUnv1G0562080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:362096665:362099995:-1 gene:SECCEUnv1G0562080 transcript:SECCEUnv1G0562080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDASFMVPASFGPIGAVQVMNYHYTEMLLGDIKVFPAGENSGSSAVALFHCNSWINPSQYSPEKRSFFPLEHSYLPSQTPKGVESLRESELVALRGTGYGERKAYDRIYDYDVYNDLGDPCKDKSTNRPVLGGKEHPYPRRCRTGRPHCLKYPLSETIPPKGVSIYVPEDENFTERKELAFNTKLFMSMLHGICRIMNRSKYSSQSFPTLEAINAMYDDEFRNQPVQPDGGKFKFIVEFLEKEALLLFEKEGAEFLEGIRRVFKFESPEIHDRDKFAWFRDEEFARETLAGVNPLSIQLVRELPLVSKLDEKIYGPADSLITKEVIEEQINGVMTAEEAVAKKKLFMLDYHDLYLPFVNKVRQLEGTTLYGSRALFFLADDGTLRPIAIELTRPKSASKPQWREVFTPASGHEASITGSWQWQLAKAHVVCLDTGYHQLVSHWLRTHCCVEPYIIAANRQLSHMHPIYRLLAPSFRFTMEINAQARGLLINADGIIESTFSPGKYSMEISSAAYAEKWRFDKEALPEDLIRRGMAVRGEDGKLELAIEDYPYANDGLLVWDAIKQWASDYVAHYYACAADIVDDVELQAWWKEVRTRGHADKQDEPWWPRLDCHESLVQTLSTIMWVASAHHAAVNFSQYPYGGYVPNRPSMARINMPSEMGPDGMHAFMEAPDKVLLDTFPSQYQSAMVMAILDVLSSHSSGEEYLGTYQEPAWQQNGKINKAFEDFRERMLRIGVQVNKWNRDPQRKNRYGPGMVPYVQLRPSDGDPMAAKTVMGMGVPTSISI >SECCE3Rv1G0155170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:64464737:64467137:1 gene:SECCE3Rv1G0155170 transcript:SECCE3Rv1G0155170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGETAVVPIDADGGRGSHGPGHHGHRQHRSSRPPPQEFRPFRRWFPFLVPLFVAANVALFVRTMYVNDCPAHAAKAAAAIGDSVGGAAGVSAGAAAAPGCLFEPDLGRYAFQPYKENPLVGPTSATLLEMGALETGKVAKDHEWWRLITCIWLHAGVIHILANMLSLLMIGIRLEKEFGFLRIGTLYVISGVGGSLLSALFMVSNISVGASGALFGLLGSMLSELITNWTIYENKCAALLTLVMIILINLAVGILPHVDNFAHIGGFVSGFFLGFVLLMRPQFGYINQKNSRLGVHSGTTKSKYKPYQIVLLVIALVILICGFITGFVLLMQGFDASQQCSWCHYLSCVPTSKWDCKAPSNYCVSSQLGDQLNLTCQSTGKTETYVINSPSNPEAVKHLCLGLCS >SECCE1Rv1G0024860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:334062583:334062949:1 gene:SECCE1Rv1G0024860 transcript:SECCE1Rv1G0024860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATPAVPDPALGLRHPGALARRIAMARGAAVAPALRPWLLFDAVPLVVVVLIAAHVLALGYWIYRLATDGSKHPARSKKH >SECCE5Rv1G0354800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727029339:727029575:1 gene:SECCE5Rv1G0354800 transcript:SECCE5Rv1G0354800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIDIWTVEVDRIRAMRRTGEPTASPGRAGAHEGGHVAQPSGDGAKDQAAGAGPPSSPVLVREDAFLSILVDCFGQ >SECCEUnv1G0527590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1422169:1426825:1 gene:SECCEUnv1G0527590 transcript:SECCEUnv1G0527590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSLAAPSPASLQLHLRLLRRPALVFLRPHLRLRPIRLRLLRAQPEPEPEPEPSPEPQPQPQPKADDSFAGWSDQDKDAAADADSGGGLVGPALAGLLFLAGVTFAAISLRGRATPTPTNAPTGTHMPAYSTQDHTPHQQDNHDDAAAAPPQVLSPADDTPDATTDTPPFPNNLETTEMPPQHEMDHRLERSSDLDPTTDKYIASDDATQGVGPAPPPVSDSAESAYDSSGGLGGAEAEAEPHSEEGTPDLQEASLLDSEASLPENQDTLTSSDIMVLESGDVVQISDTAVTEATHLQDTEQSPDKLSTEDGISASTFPDYVPYGSTDQMLTSGSNDLPTEDANPGKGKEDPASDQDEGQNELFKSAKSFSSGIPAPSLLSAALQVPAGQIVVPAAVDPTQGNALAALQVLKVIEPDARAGDLCTRREYARWLVVASNSLSRNTYSKVYPAMYIENVSELAFDDVTTEDPDFPFIQGLAEAGLISSKLSISDMNIAENVQNNHYFFSPDSPLSRQDLVSWKMALDKRQLPEVDKNSLYKTTGYIDIDKIDTAAWPALVADLGAGDQSITALAFGFTRLFQPDKPVTKGQAALALSTGDYAEVVMEELARIEADKIAEAAVNAHGALVAEVEKQINASFERELTREKEKIETLEKLAEEARAELDKLRAEREEEKNYLLRGRATVESEIEVLSKLRSEVEEQLQNVLSKKVEINFEKNRIEKLQKEIENENQAAVQLQYELEVERKALSMARAWAEDEAKKAREHARALEEARNQWERQGIKVVVEAGLEEDASAGVTWANAGKEHPVDEAINRAESLLEKLKSFAGELKVRSSRALERVMQYVRSFIASLKQRATESRQGCADLGAAAASKAKNLSSEAKALGSSVGEKSKKVVEDCKDGLEKFVHRFKTD >SECCE4Rv1G0280090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:818425575:818430224:-1 gene:SECCE4Rv1G0280090 transcript:SECCE4Rv1G0280090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVAVESPQPAAWDHFKEEEEIMEAEGGGAAAAAAGELLTREIKKRLLVLAHENGNGHSHANGGRAEAAPEVEQKEAPEEPMVAVEEEQRHKTIFFDAAKGLWKCRHCDWTYRLSSPCGNGTVDHRGYRHQIERNIESLVEKKGSFYGSPNKVPDIITEVSARYLVTGTMRSSAEKEDAEETPSTKDKQPEAHENGNVQEINHGPSNGKLENGSHSNASHQVPNGAEPKTEYLFEINKTEIYPTEVDKDEQAAEAQPKVEEYDLEKILDQQETHDLFCPNCKSCITRRVILKKRKRTVRPATPYAPSKRPYTEEVLVPLPSATSPGSDEQESPHVFRCLSCFSFFIPAGCSFNIFRIFGRRDVDQVGVLPPLASEDTPPRSENCTSWLLSCFQPGDSSNQPVHAAEQFNISDSVTVPLLSGTQSSNNTTITTESSTSYVHHSHGTVAKAEQSTVESSSENQVAPDPAMTPLLSDAQSPNDTTTTTESSTSYVHHSHGTVVKPEHSMTESSSEDQTTTTTTTTTTSATATTSSTTTSAAATTHSSSAAGIFHLDVMEVITGEMPPPKPAGGITMDATHHLLIGHEDVHGTAPTTFENGSFTTHASHTSTLKVDGPNVTTIGRGDLPAWPNPQPADHVVVPVFGGVNQVATRPQPRDDWDIPKAIVYGGLVESVTSLSVVSAAASSGAKTLDIFILGIANLIGGLPLIYHNIAVLRDIRDVNDDNERVGQYWLQLGRRSKARLHMVLALLSYIVFGLLPPVVYGLSFRESNDRENKMMAVAGASLACIALLALGKAHVQTAAPRTYFKTLMYYLTIAVSSSGLSYVAGVLITRLLEHFGVIEQGGSAAPAPPVLSFPHSEGARTSAWASF >SECCE3Rv1G0168380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:220092272:220094864:1 gene:SECCE3Rv1G0168380 transcript:SECCE3Rv1G0168380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENGVQLTPASTSNSTGKTRSNEKELNGSASTEEKRQGNGGDVEPPPSTCTTCAKGHTCQAVVSRTREMRGLIDAKKPHQAQALFGHLADEGHRPSLVTYTTLLTAVTNQRAFEAIPSLLAGIDAAGLRPDAIFFNALINSFVEAGRMGEATSTFWKMSRHYPGCRPTISTFNTLIKGFGIAGRPDESQRIFDMMAGGDGHGDGAGVASTVRPNLTTYNILVKAWCDHRRLEEAWGVVGRMRARGVEPDVVTYNTVASAYAKNDETWRAEELVVEMVRARLRTSERTWGIIVGGYCREGRLEEALRCVRQMKDAGVLPNVIVFNTLLKGFLDANDTAAADDVLGLMEQFGIKPDIVTYSHQLNAFSSLGHMAKCSKVFDRMVEAGIEPDPQVYSILAKGHVRAQQPGKAEELLERMCRLGVRPNVVTFTTVISGWCSVADMDNAARVYRKMRDAGVRPNLRTFETLIWGYSEQKQPWKAEEVLQMMQAAGIRPKQSTYSLVADAWKAVGLVKNANRNLASPDDRRRPTPNVNDHDEPDRRPNDDDDKLQRSGRGNEQATSDPSSHASFMQVTSALGTCRAGDFASSAPSSSSPWRPCQLRLRSSGFCRNQRQKQGGLYSHSISSIKMVFLS >SECCE2Rv1G0083730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:170107707:170107973:-1 gene:SECCE2Rv1G0083730 transcript:SECCE2Rv1G0083730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKNTALLFLAVLLLSCAGMGRAARGLEETAPEDDYPAEAPAPEQELLPPFPGLPSFPKVELPPMPEMPTIPGLSFPEPAPEADQP >SECCE4Rv1G0245100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:524899822:524902836:-1 gene:SECCE4Rv1G0245100 transcript:SECCE4Rv1G0245100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEQKEAPGFLEVPKDIPVATKPLTIRTNAGFSSSSDRSNPISPAISFTPHLYSPSPPSSAFVSALQSPYISPRVLEPPEQPPAQQPHQQLHQESKASSVTTTTAQSPASCSNGSQSEDTDAPSASRTPPSERYDSSGIDPAKISDGGGGGCVGAPPRVSFSFPVPRGSFTRGPVASPSSNAKLRSCDVYIGYHNNGNLGRFCKWLKAELELQGIASFVADRAKYSDTQIHEIADRIICSVAFGVVVVTMSSFLNPFSLEEIRFFAQKKNLIPILFDTEPSEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANETNWRSCVSKTVSLLQSKLGRKNIAEKESEGPGGIPFPRNRHFVGREKELSEIEGIFFGSSVDIQEVLDCSRGSTTNERSSGVSDGFADEESDTVRTSNAKYISLEMRKCKEPTLEAWIDPVIELSGKGRSLQKQRSKHRRSRFRCNSKGCGGASVVCINGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYMRQNILNLSMSLGLDISAEAEKERGRIRSFEEQEIDAFQRVKRELFRDVPYLLIIDNLENERDWWEGKDLHDFIPRNTGATNVIVTTRLPRVMNLEPMQLPQLSYIDAMILMKGKLKNDYPADETEVLRKLDERLGRLSFGLSVVGSLLSELMISPSTLFEAVERISLNENLFPHDANDDGFCRNNSFLIKVLVFCFALMDRAKGGHLTSKMIIAGSWLAPAPVSSMLLAATASKLPMKGSIHLWGESLKTAFLCGTHCFLAPNGRKAEVESALLLVKLGLARKANRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSAYSDHLWASAFLVFGFKSEPPAVQLKAVDMVLFIRKTALPLAIESFMTFSRCGSALELLKVCTNILEEVEKSYTSRMQDWNRGSLSWRKKLQPNHRVDEFIWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGDAQTVAAQETLAKLVRYRSKV >SECCE4Rv1G0216890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13306566:13310584:1 gene:SECCE4Rv1G0216890 transcript:SECCE4Rv1G0216890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSASSSPSRKVLSKIACLRLQKELGEWQASPPGGFTYKVSDNLQRWVIEVSGAEGTLYAGETYRLQVDFPEQYPMEAPQVIFMHPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKERPSDNDRYVRNCRNGRSPKETRWWFHDDKV >SECCE5Rv1G0362230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:784438676:784439200:-1 gene:SECCE5Rv1G0362230 transcript:SECCE5Rv1G0362230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEAQGSSSVKALVVEDDRIQRMVLSMMLRKFQCEITLAMNGKEAVDLFVEGKKFDIILCDRDMPVMIGPEAIVNIRAMGETDVKIVGMSADDDAMEVFINAGADVFVPKPIKVEDLGSIIKEVINKKKNTMV >SECCE1Rv1G0031070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:438519136:438519573:-1 gene:SECCE1Rv1G0031070 transcript:SECCE1Rv1G0031070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHIFSFGLATGKHTMGSGEPLGSAMPDLPGTPDIEVLDSPDKPFDHVHDRKRKRGGLIEEEANVFCSMSEAVKEVATTIRECKPLNIHPDMYGAVMTQGGFSDEALMAALSHLLDNKVEGVGFVAMADAHRVLWLRTWLGKHYY >SECCE2Rv1G0108860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:669404123:669406102:1 gene:SECCE2Rv1G0108860 transcript:SECCE2Rv1G0108860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLSYIQSLWPLSALLKEDDLGASARLVRTLSVPEETKQFVFAIREPETQGLLYILAAQNLSEQSALDAGHLIRAVRPRAVITQVAHTEVEDVLIEEQCLAEGGAGGVPASPLQVIKRCITEKKSKDHYVKSAACQVLREIFGVGFYGHLLAAKRAAEETESHFLLLGSPYEKNCNAGGSTNGNSTGDNSAQQSQTSCLLPQSAVDDKPGLQLQSNCLLPKSATSAVSSHVRKICLVDDYGGQLMKSLAPTANFLLSQAISSYAVAECRLSECKPADRYEPPLFAQTVYPLLSDLYEIFVEIPSIGKAMASAQMLLTQVHTGKPVCSEMLSDVYVFGIAIEALRICLNNAGRCRINTRDNHSLEKLDFAELPSEEKCHILLVQALRSQLREFGSVVAVVDASCLAGIRRHWNTPVPSEITQLAGSCFNHYGNKNESENESDNNEVPSDSTDKKSWITEKPVVAVGAGGTAILGFSSLSKTIQASAILKLAPYKTPVILKYGLMQLQRHAGVVLSKLLSHGVVSAGSKSSVLQFTASAEKIRAVTHTVISSVERTSLLAMRTSFYEIMQKRQKHNRPFRIAPWATFGFSLVACAGLLKHGDGIECAAEAAPSVPMIASLGRGLESLRVTSEETRQTRSQNVKEALLTLLRSLKKSEK >SECCE5Rv1G0331270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:537479893:537480693:-1 gene:SECCE5Rv1G0331270 transcript:SECCE5Rv1G0331270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRPRSPPPLFMPTTPPSPPPPPPPLSSSPSPDFSFSFSPFPPSPPPCHVHLRVLPLPAAADMSRTPLGRVGSDISHNNYAKANHRQATSHSSCSSDDRDRAKTRASPFFPGPGGPWRSGEGRDDTAGKAEEDKKKVKGKRGPLEVGQRVKKYMASLVEQLLASFSRHGERDRRGQRRRPHTFSVSGPGAAATMERERWRQRRGQLSSAPASLRASPVNSGHLSVGGSLVKVSTSSEESTMEELQSAIQAAIAHCKNSIAVAKQ >SECCE5Rv1G0311290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:190447055:190448304:-1 gene:SECCE5Rv1G0311290 transcript:SECCE5Rv1G0311290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSPLIRLPVAEQVQPEVATDGSNRKEQLGKSTKATNKYYKSSSKDDLVLRATLDSITRIG >SECCE1Rv1G0009640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:55951484:55951971:1 gene:SECCE1Rv1G0009640 transcript:SECCE1Rv1G0009640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMCLCFIILTIAVVVSADECEGDRQEMIKQCAKYQQWPANPKVNPSDACCAVWQKANIPCLCAGVTKEKEKIWCMEKVAYVANFCKKPFPHGYKCGSYTFPPLA >SECCE7Rv1G0508150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:769757266:769758583:-1 gene:SECCE7Rv1G0508150 transcript:SECCE7Rv1G0508150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVGGAPWSRLEGQIVLVTGASSGLGRDFCLDLARAGCRVVAVARRADRLRSLCDEINASAAAVASQPLAVAVELDVAAGGSAVEAAVQRAWDAFDRIDVLINNAGLRGGVHSSLDWPEDDWDKLHKTNLTGSWLVAKHVCRHMRDAKIKGSVINISSVAGLHRGDVHGSTGYASSKAAVHAVTKVMALELGAYGIRVNSIAPGIFKSEITAALLQKRWWDTVTSKIVPLKTYGTTDPALTSLVRFLIHETSSYVTGNVFIVDAGLTLPGVPIFSSL >SECCE5Rv1G0338830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:603432582:603443729:-1 gene:SECCE5Rv1G0338830 transcript:SECCE5Rv1G0338830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAVKGSLRGPCFSAAGHSSGQNPRSSSFFDHPAPRSRAAPLPSMVWSTGSDPRHGKIKAKATEWMRPNDDYRYDVQPSQRHTDFICQPGPAMHIDLLNTERLRYPMRKERVVADQVKKRPKALQKRARDFADGLLEYICSENENYKYVLIATKENANMLMKEGFISSYARDEILHGLERIKKDIEEGRFQWRNNKDLRTNIMDTLIDIVGGPAKRLDTVISHHVQLLTVLQLWCHDSIDEVISQIKELQTELFLLAIRNGGLVLPSRWRRANWILLGDVVLSQLEQLDMDVSQLVSCKNKMYSTLQTAFPSDGTYCSMNSLCKDPRRIHNFVVNFGNMIVGDISRDLSNIGLELSSWMELHLLIPNDKVIESFSLMRKQMRNLNNLTDIQSAYSIYSPVEDSSRRFLATFRSASEILKAAKDFAKQSSFDHEKARTFLAPRDNFGASQLAEFHATKSLDGQNKDEGGSATEKQNFRLHSDDTMRKLFDWPRRLQSEKNH >SECCE6Rv1G0441200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:809715518:809716840:1 gene:SECCE6Rv1G0441200 transcript:SECCE6Rv1G0441200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVRSSKTIKPDYGSGRLMAPATANVVPLTVFDKANFDMHVSVIYAFHPPAPSHDVLEAGLAKALVDYREWAGRLTLDANGNRCAILLHDAGARFVEATAGVALDSVMPLQPTPEVLSLHPAGGGDELLLIQATWFACGSLVVGLTGHHIVTDGGGWCNLIIAWGQATRGASIDPVPVHDRQSFFVPRNPLRIEYEHRGIEFKPYDDRNSAAGGGDDEVVVERVHFSVDHIAKLKSQASAGAGTRRPYSTVTCVVAHLWRCMTRARGLDGREVTGLCIAVDGRARMSQRVPDGYTGNVVLWARPAATAREVVNRPLHHVAELISSAVARIDDAYFKSFIDFACSGAVEEEGLVPTADPAVTVLSPNVEVDSWLRILFYDLDLGSGRPFFFMPSYLPVEGGVILVPSFHGDGSVDAYVPLFSRHMNAFKNCCTSGHPKL >SECCE5Rv1G0320590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:390134237:390137962:1 gene:SECCE5Rv1G0320590 transcript:SECCE5Rv1G0320590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFKKEFTLEERANESAAMIANYPGRIPVIVERFSRSNLPEMEKRKYLVPCDMPVGQFIFILRSRLHLSPGTALFVFVKNTLPQTGNLMGSVYDSYKDKADGFLYMCYSSEKTFG >SECCE6Rv1G0398620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:423662564:423667553:-1 gene:SECCE6Rv1G0398620 transcript:SECCE6Rv1G0398620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMWRKAKRAMGIGLCARLPAVAGDREDCASERRPSDAFSQDSAALAALAHASAPNTPAQAEAAGALLRRSMSGAKSSKKTCAICFDSMKPGHGQALFTAECSHMFHFHCISSSVKHGNYVCPVCRAKWKEIPFNRSLSSIVPRGRSGLNVNQARLPQQDAYMALLRQVPTRQREAPALHTSEPVEFNDDEPLQLMESADNCVVRSSKTVEMKTYSEFSAISQSSSQDDFAVLIHLKAPCANPEQITSRPVNATSVRYPATRAPVDLVTLLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQALQAVNSLGAGGGTNIADALKKAAKVIEDRSYKNPVCSIILLSDGQDTYNISSNVRGTRPDYRSLVPSSILNHAVGIVPVHGFGFGTDHDSDALHSIAEVSGGTFSFIEDEGVIQDAFAQCIGGLLSVVVQDMRLTVQCVHTGVQLRSIKSGSYLSKVAGDGRNGSIDVGHLYADEERDFLLSVSFPQSREQTILLKVAFSYRDPVTNECIKIQGDEVMILRPKSRTPEPVCMEVDRERNRVRAADSIEAARAAAERGVLSEAVAILEECRRVLLESFSSRNGDRLCMALDAELREMQERMASRQRYEASGRAYLLSGLSSHSWQRATTRGDSTDSSSLVYSYQTPSMVQMLQRSQNHCPSPQIPRPQIIIPTRSFIQKPQPR >SECCE2Rv1G0064710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4929252:4929503:1 gene:SECCE2Rv1G0064710 transcript:SECCE2Rv1G0064710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDEVEIEDMEWSEELGAYTYPCPCGDLFQITLADLRLGEEIARCPSCSLFLTVVYNEEDFADAKEPPQKPPPAPQPVAVA >SECCE7Rv1G0475860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:193942370:193946000:-1 gene:SECCE7Rv1G0475860 transcript:SECCE7Rv1G0475860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G48850) UniProtKB/Swiss-Prot;Acc:P57720] MAAAPTSHQLAAGAPWSSLPRGGFRALTDSAPASVRFSVGRRRAARLEVKAAGNIFGDYFQVATYGESHGGGVGCVISGCPPRIPLTEEDMQGDLDRRRPGQSRITTPRKETDTCKILSGTYEGMTTGTPIHVFVPNTDQRGGDYTEMAKAYRPSHADLTYDLKYGVRSVQGGGRSSARETIGRVAAGAVAKKILKLKCGVEILAFVSKVHQVVLPEDAVDYETLTLDQIESNICRCPDPEYAQKMIDAIDKVRINGNSIGGVVTCIARNVPRGIGSPVFDKLEALLAKAMLSLPASKGFEIGSGFAGTDLTGSEHNDEFYMDEAGNVRTRTNRSGGVQGGISNGETIYFKVAFKPTATIGKKQNTVTRDHEDIELLTRGRHDPCVVPRAVPMVETMAALVLMDQLMAHVAQCEMFPLNLALQEPIGSTNSTPALAPDLA >SECCE5Rv1G0308620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:134848521:134857275:1 gene:SECCE5Rv1G0308620 transcript:SECCE5Rv1G0308620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPRPAAAPNPNSASPSPPPPPPPAAAVARVAARVREEGEVSSGPSDDEALQSQLLALSNAGKYVQAAAQVTLATFPGKGGSSLSLPNVLPQKIVAASYKKTLRVNQGQFKLGTSRNLAWLKPVPSDNLVISFSDDDIDETDSGMSKQVGGKGRKASTQVTHKPGMSMQTRLMGEEAPQQKIRAANIGSTKWSANPHTLRNSAAGRGSGATFSRREPPIRQVTPLKSSQKDGTGMGVKSADDKLESLRHKIAARENELKVQKRPISPGFVKEADCSTDQTRPPLEKIGFEASNSGRHTHLDGPFGHDGRPVKRLKPNQQCFDNQVGGDLVTLVTPGSSLGNDNVQSSERRDHIENGITMNCKGNETEHAITTESSDQMHLGGTAKNLLSSKSHHMVLQDGANHATVECHSKLAGPPFTCEQPMAEDSSALVPVTSVPAGANVERSSIHVKDHIISAQDWRQVKRVDTSTVSNERLHLQPGMENADLLKRSDQVGTRGQNTTLLSLLEMEELQDRELEVAQEHRRKCEVEEREALRAYRKAQQALIEANERCAILRGKREVCSAQVHGLIAENSSLVQCSNIQNAGRSFVMPSLLNSQFHADLQIPEIRGGRSSSPYQDEPPQQPVDKHEARSRHCDELAAGIADPKFVSTVHDNSEPSNYREEDLLFSSKRARSECTSNLENEETVHVYLEENREPSGDNGQDYELLEASLRSRLVKRFARNPHLNNSGEVTEEHVEVTEQGKQSAHFELQLQDADEIMTNPEGTAELANDGADCVEKMSGLSNSSNALSMGNCDPEDNISSLGELCAPSSVNSLIFPSSAPLNAAKHIKWVVHGFCKNDCITSNVASDATVSGQYMIEDRVEENLKMVSTATKAKDMVHSGIDPFWPFCMFELRGKCNDEECQWQHIENHAWRKSNHTKHAMSSVSGRSPYDLFQHLLPVPTYRVGSNLIRADLNLMQSVLASSIWQYWQRGFCASFPLPLSVQRVLPSDAPFLQAGDGSIADFDRNRQLSNLRMLDSRKNKIVQGSVDVELFLEAALGLYCGKVNKPDRLKALLLLARSIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVYSYELWLMYINSRLRFDDRLDAYNDALSMLCQMPADTDNELKDRSAFILDIFLQMIYFLCMSGNVDKAISRIYGILPAATADCSGEKLLLDAISCLTVSDRCIFWISCLYISIYRKLPEEICDQLEFPKDLPRMLVWHPIELRVDNRRQVTELLKHVADKMSLDINETVKNGDPSYLKLSQFLAVNHISCLAALEGLQSSVDMLMKYMKEYPMCPNILLFAARICQKYGTCPGLKGFDELLMDWPKEVQGVQCLWNQYAEHALADNIELAEKVLSRWFEEYGKNGDLHCSAAVCMAEVSNEVSEKPSLAYTQEVCSGPSASEDQVYWLLNLSLYRMLGNNLQEAHVAVNKALKLARGESYEHCLREHAAINMLVRPSCTDTQARATLNLISGYLADLRNLPVKELLSRRFIQNVKKHKLRQLIDDTIGPASADCSVINSTLEVCYGQSLLPEKIGGVKYLVDFVESVMEVLPANYRLALGVGTFVVKHYTGADPTSMGTRFWASSVLINAIFRAVPVAPESVWLEGANLLEKLQAAETVKRFHQQATSVYPFSFKLWHAYLTACKASGSNTDSITEAARQRGIELNVMPP >SECCE5Rv1G0338490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:598425171:598430952:-1 gene:SECCE5Rv1G0338490 transcript:SECCE5Rv1G0338490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFVKSAAMRINYGEKEITNGTGVRSSAVLNAPQVEIEGHDRTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPEATDARFGNEIVPYEAPRPPAGIHRLVFVLFKQEARQTVYAPGWRQNFNIRDFSAFYNLGPPVAALFFNCQKESGVGGRRFQGPG >SECCE3Rv1G0169900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:244221836:244223451:1 gene:SECCE3Rv1G0169900 transcript:SECCE3Rv1G0169900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSWVILGTVPRVTAADADDDLPPGADLSLALPAPPRIALLTIPPRIFPGRTASDNYPSVVAVDPTGLILLHADQGRATGPTIIDTPRHQAFSWRSFVAGYFVLDATTASALPLPKPELILHAGHLGLISSPGGGGHYMVVELQPILGGDHGTLLRFSSDVGEWVRKDIPIPLPFRQLAPNGAVSYYGRLWWVDLSWCLLTCDPFEDAPVLRVVPLPPGKVLECREGWGFLDKYRCVRVSAGKLRFVDMYRNRNSRGAAQISVWTLADPDSTEWTLEYEATFAEICNDASYKATGLPRKIPVLGLIHPINPDVVYFFLDKHLLGIDVRARKVVECEIYELVAPPSEHVCTRFLRAWQLPRALSCSALYKPIDGMQVNCSKIHFTM >SECCE6Rv1G0400450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:452001362:452002134:-1 gene:SECCE6Rv1G0400450 transcript:SECCE6Rv1G0400450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP I protein, Transcription activator, Stress response, Panicle developmen [Source: Projected from Oryza sativa (Os02g0649300)] MESDCQFLLAPPPPHMYAASGDDGLFLQQQQQQLSGGGAGERKRRFTEEQVRSLESTFHTRRAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEQDFAELRHHYDALHARVESLKQEKLTLAAQLEELKKKLDERQDQSASCGGSCAVAEVDDNRNNVSSCAVKKDESAVPAADVSDGSTPGWYEYDDQLAYGVDLHEPFCATPELWETSWPVLEWNAVA >SECCE4Rv1G0252300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:613414532:613417313:1 gene:SECCE4Rv1G0252300 transcript:SECCE4Rv1G0252300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAYLHVVLLPPWARLPEEKEEGMQAQQQEKEAEKEGGGGGGKRRKVAVDVTMDLNILDCPICYQPLRPPIFQCTVGHTICSSCHDKLPEKCHFCSLPTVYNRCHMVEHLVESIKVACSNCNHRCTTRISYYQKEDHEKGCPHAPLSLRIRIHVGSTILVGEDGNLFLVNMTMESRSGVISVCSVQPHITGPSFRCKLTLSCAEPSFSQSMEFLMRSTNMYDGFPKDCFQFLVPKVLLRGAGTSATAMVGVTVMPQ >SECCE6Rv1G0405120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:527381567:527384630:1 gene:SECCE6Rv1G0405120 transcript:SECCE6Rv1G0405120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIIVDGIVTEEVEHEVSSSQNKENLAAPAMVPGMQSETLEMHVENSGAGEPSIEQLYNNVCEMESSSEGGGSPSRESFGSDGEESRIDSELRHLVAGEMEAMKVIEEEEEKEKGSGSVTNAVPTTGNGTPVRPQSSNSSKKKAAKSQLESDASVGPNGKASPEEGESEVSKPGSRVGRRRKATAKSKNGTEDAGLDNPDLGPFLLKHARDLIASDNPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIHCNMGKYEEAVPVLQRSLEIPVTEEGQEHALAKFSGCMQLGDTYGMLGQIALSLQWYAKGLDIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQKLCQMALDIHRDNGQPASLEETADRRLMGLICDTKGDHEAALEHLVLASMAMVANGQETEVASVDCSIGDIYLSLGRYDEAVCAYQKALTVFKTSKGENHATVASVFLRLADLYNKTGKLRESKSYCENALRIYQKPIPGTSLEEIATGLTDVSAIYETMNEHDQALKLLQKALKMYNNSAGQQSTIAGIEAQIGVLHYISGNYGDAYDSFKSAITKLRTCGEKKSAFFGIALNQMGLACVQRYSINEAAELFEEARTVLEQEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEYVVEMREEKLGTANPDVADEKRRLAELLKEAGRGRSRKAKSLENLLETNPYTVGKRATVAA >SECCE6Rv1G0450600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:868724333:868726058:-1 gene:SECCE6Rv1G0450600 transcript:SECCE6Rv1G0450600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPAVVCLLLVVLLFDAARVEARGTPSAKESSSKNQWTSMFVFGDDFADNGNLPKLPSGQPQSDLSRQWSYPYGSYIHSRGSAAAVPTGRFSNNRIQPDFIARILGMNAAPPAYMHTLDQSCDPTGMTFASGGAGVFQKKVPTLAAQIKSFTRLIKSGVISKEQLRDSIALVAISGNDYMSGADVKNAFLSSFDDIDTYIGNVTTEIVKNIVQLQKLGVKKVLVNNMHPIGCTPMRTSSNNYTACDLLGNYAASVHNKNLKQLMGEKNNAYMLDLYTAFTDIINHAPSQGSDQFNKFNNNLAPCCEGFYDTGFCGQQDDTGEPLYELCENPDQRFYWDEVHPTHAGWKAVMKALEQPLKEFLDRDYVP >SECCE7Rv1G0483240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:319192013:319192516:-1 gene:SECCE7Rv1G0483240 transcript:SECCE7Rv1G0483240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFIKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDLRGNAIGEFNLPHDSAAPAAAARLEYPTVVDVELKAELKKMNTNFKQLIELKNQSNLIALGILALGIFYLMAISR >SECCE2Rv1G0140390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931385768:931387306:1 gene:SECCE2Rv1G0140390 transcript:SECCE2Rv1G0140390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLQLLLVPLFSLAAARFLLLHARASWRLHKPYPLLGHLPQFLANRHRILDWLTEVLARQPTCTLVFHRPGGERGVITANPANLEHVMRAAFGNYPKGPRFTSALHDFLGHGILNVDGHAWRAQRKAASYEFNTRSLRLFVARTVHGELHGRLLPLLRLAAGSGRRLDLQDTLERYAFDNICRVAFDHDPRQLPDGDAAESAATATESSRFADAFRDAANLSAGRFRYAVPGLWKIKKALNLGSERRLRESIAVVHGFADGIIRSRREEMSMGCEKHDLLSRFMASQGESYTETTALRDVVISFLLAGRETTSSALTWFFWLLSSRPDVERRIRDEVAAVRARRPGLGRGAGFDLDELKEMHYVHAAITESMRLYPPVPVNSVQARAADVLPDGTAVGAGWFVSYNAYAMGRMESVWGEDAREYRPERWLDAAAGTFRPESPFRYIVFHAGPRICLGKEMAYIQMKSIVACVVEEFELAVDGGYTPRPVASLTLRMADGLPVRVKAPSRT >SECCE3Rv1G0191320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747771151:747772334:1 gene:SECCE3Rv1G0191320 transcript:SECCE3Rv1G0191320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKLAALVVLAAFLAGPAASEAASICFNGWLRLPTYNPVLCRPRPDVQTRQRRPAPSGSGLSSGYYNTRCPSAEKIVTDAVKKAVDANSGIGAGLIRLFFHDCFVRGCDGSVLLNTTNSKNSDTEREGPPNKDSLRGFEVIDEAKAAIEAACPSTVSCADIVAFAARDAAAATGRESFSNETDQLPGPFSNLTALQGSFAAKGLTSDEMVTLSGAHTIGRARCLFFSARFSEMEPAFATKLRTQCNGNDGTNVNQDDVTPNVLDKQYYQNVVDKKVLFTSDAVLNSTETITQVTENANMAGAWERKFEKAMETMGKIGVKTIGNQQGAEIRKVCWRVNN >SECCE6Rv1G0438170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:788252857:788253876:-1 gene:SECCE6Rv1G0438170 transcript:SECCE6Rv1G0438170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLKGVHKRLGLPSISISCSSADATNIIASTGTGSSGRAIDRHSPRLRDPHRSSTSKPPRPSTSTSTTKDSASSEDPKLQLHGHGSKKKKKSTTAAAAAGITSGSRSSEKRLVSPATSSRFLLNSSRLQSDDLDVLALPPPPPPSFIDEFPGGEGKPKQPSFIDALPPPPPPPFIDAFPGGERNPKPASFIDSLPGDASRPLPFAKEAPPIVQQAEPSSSGTSSSASSSSEITAVQEEEQHKAAALARSSSTAAARTTQVVVVLRVSLHCKGCAGKVKKHIAKMEGVTSFDIDIPSKKVTVVGDVTPLGVLTSISKVKPAQFWPSPACPPRASASF >SECCE6Rv1G0390130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:175579188:175579700:-1 gene:SECCE6Rv1G0390130 transcript:SECCE6Rv1G0390130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIELFQGVAVDGSSTYVPIDEEEEEYDAADDGHEQSPMSTTNRKRGSSDAEQSALSLGKKHKSPMVKLMTWLINSMNSKNTSDMITEYANKRQEAKDKAREKKSNSTKESITHRQVLAVQCGAEETSVEYFMATQLFADEASRVIFENITSDEARLTWLKRWCMMKKLC >SECCE5Rv1G0331370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538320469:538321632:1 gene:SECCE5Rv1G0331370 transcript:SECCE5Rv1G0331370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIRTGVTVCTAWAATRPCRRLPLDRTRRLPQLQPPYLSTAFSPQIRCPGLWRSTVRSTSGTSGAPPVTAPGTSDAAANDEVLLEAPGSFRIYKSGKIDRLNDPTILPAGVDEATGVTSKDVVLDADTGLSVRLYLPKKLPDPSAKLPVLVYFHGGAFLIGSARDAAYHNYVNALAAAAGVLAVSVEYRLAPEHPLPAAYDDSWAALRWAASAQDEWIAEHGDASRLFVAGDSAGANIAHEMLVRAASNDGSPRVEGAILLHPWFSGNAAIEGEPPAAAKVTGLLWSYACRGSAAGGADDPRMNPLASPALERLGCARMLVTTGLEDGLAARNRAYYDAVAASGWRGSAAWLELEGEGHVFFLGKLECDNAKRLMDRVVAFIADA >SECCE5Rv1G0302000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34786477:34787987:1 gene:SECCE5Rv1G0302000 transcript:SECCE5Rv1G0302000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRDAARAACVSRAFRHSWRCYYPNLIFNSQTIGLSRDDMHFTRKVDQILEKHSGIGVKTFEIDCSCCDKLKVYEYLHRWLQKVVTPGIEKLTLVMPEKEAVNFPCPVLSNGNGSSIWHLHLVNCVFHPTVSLGCLGNLTVLHLDCQLKLRRCYQITYLKIPSRLQRLSYLQVLECHRLQTIENKAPNINSLHFIGDRVEFLLGESLRLKKLDVLCYLFLRYALDELPSIAPNLETLSIYSWCEVVNTTLTLSPYKFLYLKYLSIYINGSCDCLSLVYFLGAAPSLETFKLRVPIQLQQSIDELLSEDPSHLRQIPGYRHDKLRRVSICRFPSSKSVVELTSHILENSASLECLTLSTTNDSFRCSDDPSAKCYCSIGPMEAHKAALVIERYIKGKVPSTVQLDVVEPCTQPSP >SECCE5Rv1G0363780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:796361449:796361889:-1 gene:SECCE5Rv1G0363780 transcript:SECCE5Rv1G0363780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKQQLALRPISTQRRGGGGGLDGMAASSARERSSLTNASFRVYYSLRAGAVPFLWESAPGTPKRGAAAVSPKSPLPATTSTAGGATFLLPPLSPPPSYQSSSQLKRRSPSWTAMAAGIARTLLGVLGRRKSRSRSRRPASCLVL >SECCE1Rv1G0046150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614629376:614630890:1 gene:SECCE1Rv1G0046150 transcript:SECCE1Rv1G0046150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAISAVTGELVSRFVSYLADKYRSSRRAQSGETQLGRLQQLLLRARTAVEEADGRYITNSGMLAQLTMLADAMYQGYWALGTFGYMPLEETETSPMEEEEGEVSSSSPRKRLRMVRGSARKNKAMYPADLQGVVESLEDVVAGLTEFVVLLGGCDRMLRRPYDAYLYNDSIMFGRHTEKQKLLNFMLQHSSNSPGGTPAVLPIIGAPAVGKRTLVAHVCKDERVSSHFSSILHLNGNSFRRIADHDRSLLPGKILVVVELVADVDEKDWAKFCSTLSSMDNGSKVIIISRLRSTERFGTVQPIFLNTLSYEEYNYLFKTLAFGSADPAHHPQLARIADELAEEFRTEWSLVSTNICADVMRRNLNVHFWLGMLSRLRRFAERNVSMFGEHPRLLVERCHQIDATDFLLHPAAAPLRIVPSCTSGSSRTEVMAERASLPRVRLGDLVMDPGVRPQGDFNVVSWESRLPPYTSFVHFVPNGNGAPGLEKQSTPLSGRKRPADAL >SECCE2Rv1G0108080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:658347729:658349734:-1 gene:SECCE2Rv1G0108080 transcript:SECCE2Rv1G0108080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPMDTTASNAALVIEFHNIVNDASPRSPSPDPEAELMKRHFSGEEAVGQFPLTAIPSILLHVLSSSDLDPKDLAALEATCKVFRNPAKFPPDLELSLPELAAFDMCQQRPMFNLMKPEEKEWLKQRCGGSWKLVLGYILVGEKNCRRGKSQVTAGPGHSIVVTASGAVYSFGMNSSGQLGLGDTEDKFKPCLIRSLQGIRITHAAVGSSRTMLVSDTGSVYVFGIDTFGGYGLNGTPATDYVNSPKVVESLKGIFVVQATIGGFFSAVLSREGRVYTFSWGHEERLGHTTDYTDVEPRLLSGPLENVLVAQIAAGNCYLLMLAYQATGMSVYSVGCGQGGKLGHGNKNSEGVPQLIAHFEGIDARPVSISAGAWHAAVLSSNGRVFTWGWGWNGCLGHGYAEECATLPMAVETSNAVHVSAGQYTTFVMTDNGDVYSFGWKGSYNLGLQDEDEEENQGVLAPKLVASLTRLNERFVQISPTNAYDWHNTRMGVSHTVALTESGKAYAFGEGSSGQLGLKLAEGKEVMPPVQVDVDLA >SECCE5Rv1G0341390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:623642123:623642461:-1 gene:SECCE5Rv1G0341390 transcript:SECCE5Rv1G0341390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVLRGPASPIPPLFPAPGRPLVHLSRRLPTAPTMADAKKTDAPATPAPEPPEKPLPGDCCGSGCVRCVWDIYYDELQDYQKALAAHSSASPAADPSGDSKASADEKVKS >SECCE6Rv1G0435720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772668474:772669043:1 gene:SECCE6Rv1G0435720 transcript:SECCE6Rv1G0435720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGTSSSMLGCALLLLVLSSATDVHGGPSSSAAPRSPLDNLCISLGSRYVTPELCVSTLCVDPSCRSARGLPDLAVLATRLAVVNATVAKASIESALAHAKNAKARKVMRSCLQLYVSGVLPPLQWAARSVAAGRYSGVSEKLRAAMDASNGCANLAGHEVALPKNDDFYMMAYVVQAVVEWVQHVIG >SECCE4Rv1G0238620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:382200678:382219073:-1 gene:SECCE4Rv1G0238620 transcript:SECCE4Rv1G0238620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRSRSRSRSYSPRRLYSRSPPRYRHYDDPRDRYRGGGGPRRWYDRPSAPTGLLVRNISLTARLEDIRGPFEQFGPVKDVYLPRNFHTKELRGFGFVKFRHPEDAAYAKQEMNHQVICGREITIVFAEENRKTPQEMRFRTRSSGRHMDGNYRRRQSLSRSPRSCYPSYSPEPSPVRRHSRDRDNYSPRGSYSPHTRDKRQHISDGRSPSLDGHERRISPSSNGHGPPVDRRSPT >SECCE2Rv1G0117730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:775516600:775519132:1 gene:SECCE2Rv1G0117730 transcript:SECCE2Rv1G0117730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEASGAATGVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADVARLRRDLADRDRGEADLRARLADSDARLLAALEDNAKLVKERDTLALTAKKLSRNLAKLEAFKKQLMKSLSEDNLLQLSETGEDRDVDAENNGTARIPHWKDEVSSSHTSSNTSSRSTITEGAQGYQFSITPYAAPQITPGSTPIISSSSGSPLAYSTGPSTPKFYSGPTSPTRSRSEDQSAFSSWNGSSHQYSAPVSPQRRSYTGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREDTLSKAEEIFGTEHKDLYISFQNMLNRNQS >SECCE1Rv1G0015460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:125110317:125115417:1 gene:SECCE1Rv1G0015460 transcript:SECCE1Rv1G0015460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ protein ERDJ3B [Source:Projected from Arabidopsis thaliana (AT3G62600) UniProtKB/Swiss-Prot;Acc:Q9LZK5] MAAPRRSGARLAAVLALLLHLAAVIEGKSFYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPDNEEATKRFAEINNAYEVLTDQEKRKIYDRYGEEGLKQFQGGRGGGGGGMNMQDIFSSFFGGGGGGMEEEEEQIIKGDDVIVELDASLEDLYMGGSLKVWREKNIIKPAPGKRRCNCRNEVYHRQIGPGMYQQMTEQVCDQCPNVKYVREGDFLTVDIEKGMQDGQEVSFFEEGEPKIDGEPGDLKFRIRTAPHDRFRREGNDLHATVTISLLQALVGFEKNLKHLDNHLVQIGSQGVTKPKEVRKFKGEGMPLHQSNKKGDLYVTFEVLFPKTLTDDQKAKLKEVLA >SECCE3Rv1G0168120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:214945689:214945976:-1 gene:SECCE3Rv1G0168120 transcript:SECCE3Rv1G0168120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFLAVPMEFKLRNNTDCSWRVTVKMTNDRVTLDQGWATYAAVHQIKIGYMVTFKLLTPDILKVIIFDDDGIEVVNKCEKHDDTFTTRD >SECCE4Rv1G0263110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:714561219:714561512:-1 gene:SECCE4Rv1G0263110 transcript:SECCE4Rv1G0263110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRVLRMPAAVCAVLILLLLSAVSHCKADLLQVTVAGGRRMLAGGSSAAVIFLRPAETTAVSNTRRVAAGRVLAAVPYSESKRTSPGGPDPQHH >SECCE3Rv1G0168470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:221632039:221638304:1 gene:SECCE3Rv1G0168470 transcript:SECCE3Rv1G0168470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVQSKDVVKALRKRIAHKNPKVQLLALTLLETAIKNCGDIFHMHVAERDLLHEMVKLVKKKSDQRVKDKILVLIDTWQEALGGPRSRYPQFYAAYHELVRAGAQFPKRSERPAPLFNGQSEAAKSMRSPDQRDEAESSAGNDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVQLVNTASDEELLSQGLALNDDLQRVLAKHDAIAAGIAVRVEKRPKSLQALVDTEDSVNQDSKKEQALVDIDDPTSQDSKTEPNQSTSEPSPFEQLALPAPPVSNGSANAPPKPDSGIDLLSWDDTPSTAENSLALVPVTDPLADSTSNHNALAIVDMYSQNNTANSNAKSPDPFGFDSSPTPLGSQSYNTATQHPVQSQQPPQQAALYSNGSAVNPGTSYDQASQYNHTNSGWNGQVANHAAPPPQQVNYDDQNGALPPPPWEAPAAPSNEMPNGQLGGMQPLPTPASQFGGVQPLQPQNNHMAGLQPQPMYNNQPGAMLPQAMQSNQTVGGQVPPGYGNQYAHLAPQPMPMPGMQFAGMQPSPMPAAQPGMMYAQQMPGAQFGGMPQQQMYGGGGRMATQYGYVQQQAAQYYNQGRPAMYGYPGTNGLSQSMYGLSMQDSSYMGGMNSTYQAAPSSSSMAQPMRPSKPEDKLFGDLLSIAKTKQNRAS >SECCE4Rv1G0228660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:137754213:137758928:1 gene:SECCE4Rv1G0228660 transcript:SECCE4Rv1G0228660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAHEVAIYIDRFHNLDLYHQGWYRMKISAAWEQDDGRAPVSPARVAQYEATDIGAKRACGFWKIDDVDNSFYTQPFRIKYARQDIYLSLMVSFYIPNSQDEGPATSSVMLKFELLFVPTLGNRIETEDSNDQYLVPVHEFRIPHRALLGLHTYCPVHFDAFHPVLVDLSIHIVYLKAGVTKSSLKAFKQGSASKSYDILKALLSSRELLLEEVKRISNGIGKTPEDLDGADLTLGKYESVHPSKSSFPNYTNGLPVIPKCIGQQFGILQDLLERSDDVVQSTNDVMLYTLSKEELLELFETVSDQLSLVWSGFLKFHRINKLKILDYLHDIWDVDRKSEWSIWIVHSKIEIPHRYMHGMGDSLSPRHSLRRVSSSKKLHHDPVQNASSRAELHRKSIAQMKINARSVQDMHIYANPSRVPVVLIEQHVMVVPQHGCNKDLLANAPDLYNTKVPPNLQGDSFEGNPSGGKNTGHVLRAVIFVHGFQGHHLDLCLIRNQWLLRDPGAECLLSETNEDRTYGDFKEMGRRLANEVVSFLKNKLDKYSRHGGCRELKLSFVGHSIGNVIIRSALTEPKLQPFLKHLHTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGLQCMHQLTFTDEQDPQNTFFYRLCKHKTLENFKNIILVSSPQDGYVPYHSARIDLCPASSSDSSKKGQVFTEMLNNCLDQIRAPTSETRVFMRCDVSFDQSTQRRDLNSFVGRAAHVEFLENDTYARFIMWSFPELFL >SECCE5Rv1G0298490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11291163:11293482:-1 gene:SECCE5Rv1G0298490 transcript:SECCE5Rv1G0298490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAAHHYLQLAVGHGTSTPAALLLVLVPLLLLLLASVRTSASTRKLRLPPSPPGSLPIIGHLHHIGAQTHISLQHLVDKYGHNGLLFLRAGAVPTVIVSSPSAAEAVMRTHDHILASRPWSMASHILRYNTTDVAFSPLGEYWQHTRKLVNTHLLNAKKVHSFRHGRQEEVCLVVNKIREAATNAPSTAVDMSEFLAAYTNDVVSRSVLGATHRKKGRNTLFREMTETNVDLLVGFNLENFIPRWPLTEVLFRLVCWKVQRHLNKWDALLEEVIKEHMNLKQDNSADFIHVFLSLQQEYGLTDDNVKSLLMNIFEAAIETSYLVLEYAMAELINNRHVMKKLQTEVRTFASSKGKKLDMITEEDLSSLPYLKATMKEALRLHPPGPLLLPHYSTADCNIDGYDIPAKTRILVNGWAIGRDPTAWEKPEDFMPERFLQDGQEKSSNLGQDFKYLPFGSGRRICPGANFALATMEIMLVNLMYHFDWEVPNEKDGTGGKVSMAETFGLMLRRNEKLYLVPRIV >SECCE3Rv1G0208550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:933316722:933317225:1 gene:SECCE3Rv1G0208550 transcript:SECCE3Rv1G0208550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDYPRTTPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPAAAARLEYPTVVDVQLKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE3Rv1G0207650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:923336513:923337871:-1 gene:SECCE3Rv1G0207650 transcript:SECCE3Rv1G0207650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDMVGSLGKQRNGAGVSHSVSFLLGALLPTVLIFFLASDRVGEQLASISSFQFGNGSTYQLTTGNGTNLPGDGSDGEKEETFPGLAELLAKVAMDDGTVIITSVNEAWARPGSLLDLFLGSFRDGEGIAHLLNHTLIVAADPGALALCQAVHPHCYLHEVTSANVSSANRFMTKSYLELIWARLEVPQRILQLGYNYLSTDVDVMWLRNPFRHISLYADVAMSTDRFNGNAEDLENVGNGGFYYIRSTNRTVEMLSRWLAARSRFPSAHDQGVLNEIKDELAAGDLQIKFVFLNTVIFGGFCQFHEEMDNVCTMHANCCIGLENKVDDLTNIVADWKNYTSKTPSDKFKWTFPAKCKASMGNR >SECCE7Rv1G0511730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804904110:804904547:1 gene:SECCE7Rv1G0511730 transcript:SECCE7Rv1G0511730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGSLRPTLLVLLLLAVAATASTTTTTFVRAGAPPADLAQRLQGVGQQQCWEMLMDIRSCTGEIILFFLNGEAYLGPGCCRAIRAIEQRCWAADAMLSVLGFTAEEGDMLKGYCEAGDHSALDAVASDGTVVAAAGREGLGAP >SECCE5Rv1G0372240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:852071045:852073014:1 gene:SECCE5Rv1G0372240 transcript:SECCE5Rv1G0372240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anion transporter, Silicon efflux transporter, Arsenic species (As) uptak [Source: Projected from Oryza sativa (Os03g0107300)] MALASLPKVVLGSIAFAVFWMMAVFPSVPFLPIGRTAGSLLSAVLMIVFHVISPDDAYASIDLPILGLLFSTMVVGGYLKNAGMFKHLGTLLAWKSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSSATPIGNPQNLVIAFNSKISFPRFLIGILPAMLAGMAVNMVMLLCMYWKDLEGVAPDADGKQMSVVEEGGRSPSVASLKSPHPFNGTTADDGNESMMEENISTKHPWFMQCTEHRRKLFLKSFAYIVTLGMVVAYMAGLNMSWTAITTAIALVVVDFRDAEPCLVKVSYSLLVFFSGMFITVSGFNKTGLPSAIWNFMAPYSKVDSAGGISVLSVIILLLSNLASNVPTVLLMGNEVATAAALISPAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYELTFWNHLIFGVPSTLIVTAVGIPLIGKM >SECCE4Rv1G0263560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:717186847:717189969:-1 gene:SECCE4Rv1G0263560 transcript:SECCE4Rv1G0263560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGAMGPVICKLGQLLIGEYNLEKRVKKGVQSLITELEMMHAVLRKVGEVPSEQLEEPVRIWAGKVRDLSCDMEDVVDDFMVRVDEGSSSKPTDMRSRVKKFLKKTTTLFGKGKALHQISDAIKEAQDLAKELADLRRRYEVDTRSTSNGATIDPRVLALHKDVGELVGVDRTRDELIKTLICEDESSKEQLKTVSIVGVGGLGKTTLTKAVYEKIKDQFDCVAFVPVGQNPDIKKVFKDLLYELNKGKFTDIHNTSKDENLLIKEIREFLVDKRYLIVIDDIWEEEIWRYINCALYENKLHSRVITTTRNVSVSEACLSSTNGTIHRMKPLSVEDAQILFHRRIFQSEKKCPEDLQIVSRDILKKCGGIPLAIITIASLLVSNQQVKPNHWWMDVYNLMGRGVTQSGIAKDMKRILSLSYYDLPPHLKSCLLYLSIFPEDFEAERDWLIWRWLAEGFIHCDKKETSLFEIGESYFNELMNRSLIQPAKINEEGTVVTFRIHDMVLDLICSLSSEENFISILDNDEWHAPNLQRKFRRLSLHNVKAKCRNHQFDSTRLSKVMTFAVFSPVTCDWLPSLSSFQFLHVLDLGNCGSRKSSSGISLKYVGNLIHLRYLGLMDADVRELPMDIGKLQLLQTLDIIGTSIEELPSSVVQLRNLICLCVNSWVRLPKGMGSLMSLEVLRLVCLSSSPHIVEELSHLTEVRTLSVDCENMDEDLIDILIKSLGNLHKLQNLRIDNGGRLIDRMRENWVPPPNLRSFDSWGGPFSPSWFSRLPKWINSSSLPHLSRLTIDVEELQGDDIQIIGMLPARRVMGTLVVRADAFPSARCCIFGRFPMPPCLFPPGAMPRVQRLEFRVSASSIASGEVDCGMGHLPSLEHVQVCLEHENSSDEEIETTRACLKRAAEAHPKRPTIQIY >SECCE3Rv1G0154450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:60891757:60894059:1 gene:SECCE3Rv1G0154450 transcript:SECCE3Rv1G0154450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFERAKTVRLRSHQNKYMYADEDESHVLQDRNAASPNARWTVELVPHAPGVIRLRSRYGRYLTASNEPFLMGVTGRKVIQTLPHRLDSSVEWVPVGEGRHARLQTRYGNFLRANGGLPPLRNSVTHDVAHHHHTGWIVWCIEIVQVLMHPPQCSDSITPASSPPIPYKPPSRSPSPSPAPLPTGALRPPAPPHHRTATPLIAQPPPPPPGSLAPPVGFSRLESADSFSVPVHKVEGRHIYYHIADDRGDVREEDEGHSFLFNGTSLGELLERLQEETGLKDVIICSRSPITSKLMPLRLQLPPNNKAMHVVLVHESSQVARSFS >SECCE2Rv1G0066650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14872843:14873561:-1 gene:SECCE2Rv1G0066650 transcript:SECCE2Rv1G0066650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRALVLGVLLVIAVANAEAASVVVGMAKCADCTRKNMKAEEAFKGLQVAIKCKNNAGDYESKAVGDLDGTGAFSVPLAADLLGADCVAQLHSTASNAPCPGQEPSKIVPVSEGTTFGVVAGANTATPSMASPECASMTLCGPIKKHIMEHFHHKKPVPPKKPEPKPQPHPNYGPVPKPEPKPQPHPDYHPVPPTPTYGGGGGGGGYHGHH >SECCE5Rv1G0315550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:292455468:292456453:-1 gene:SECCE5Rv1G0315550 transcript:SECCE5Rv1G0315550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNVVWQPQVLDEMLRYYKEKIQSEGKQFVFKETHHEECAKQINAKFITAFTQRQVYHKFHKLKGQWKIILEAKNLSSANFDDVNKIILYDETEVVRMKNNKDKRAKYINVPIANFDEMEFIFQDKHATGEFTVLQTPYDRVHARDKDFIGDTKKNEIDIEVDPATQYDSDCLPHDTNNESSSSKRPRGGKHDKNKRVKCDESVVQDMTRSLLDMSDTTRFTHVTCPNENLFKIIDDMEEYPLFVRLALQTSLATNEQLASMLKGRPMAAIQEFVRRWVGDNFPEHVHVPPDV >SECCEUnv1G0534920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:48950401:48951513:-1 gene:SECCEUnv1G0534920 transcript:SECCEUnv1G0534920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRHRVFAEDLLLAQLQGEDHFDSVPDSLVLLIFNKLADARSLGRCSAVSRRFNALVPLVDDACLHIDRVITAGDADDALAVSGGPRQRGVISSLLKTMLLAVLKPFGHCDAGARPGGHAGAKHAPHHSPAQVLKNFSSIRNLRMELPVSDVGTDDGVLLKWKAVFGSTLQSCVILGGTRLDHASHAPSASGGPDDHDASASQGGDDNGSIPESFYTNGGLKLRVVWTISSLIAAATRHYLLREIVKEHPTLERVELTDAHGQGTLCMERPQLKEFTDKPLAAAAAANRTQVPACNMKLRYAPMLELSDGTRIQGATLVVIKPVGEAGGIGGGRKELDEFVAGAFDGPFREAVSMLSNRRTYLLEMNGF >SECCE2Rv1G0125600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:841949655:841951165:1 gene:SECCE2Rv1G0125600 transcript:SECCE2Rv1G0125600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U1-1 [Source:Projected from Arabidopsis thaliana (AT3G21870) UniProtKB/Swiss-Prot;Acc:Q9LJ45] MGDVAVAAGGLSSPPTPPPPELSMVAHAVERLVARNDALLLLDAEQAGGEGIAGMAAFEGTGPPRIGVAQYLERVHRYAALEPECYVVAYAYVDRAAHRRPAATVASKNVHRLLLACLLVASKVLDDFHHDNAFFARVGGVSNAEMNKLELELLGVLDFEVMLSRRLYDLYRAHLHKQQTDDHHDTSNKPDLEPSRVDGGEEDHDDDQVTRLPEGVLRYDWSHQAPVANGGRRHSSSQAPSRYS >SECCE6Rv1G0383750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:56878801:56880702:1 gene:SECCE6Rv1G0383750 transcript:SECCE6Rv1G0383750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRDRPRKRANPMEARIAKATSATSFSKPPPLALPKTAQFFLRHLPNRSRGGASVVPHVEWVRKTALRDSIHDAYLRALARLPFNAPHGVPTVPSLLPALLAGGHCFGPLPDLASNVIVNTVWLYAASPPARFAAVDTVVDVVDIDDIKQRSFLGLNRVVHDVDRNYDYYYAAAAAAKHPNCEAFAAFAQSGVATSPAVTELLAGGSSAHLSAEDVERLAVLLVRPSAPPLDSCLVLEPEFPAEREEYMRAGQRWRRKLANMAIQHWKRTIGGPELQLHVVCDASPHSRGYQHINFMASAKDNPAAIQLFFAEYYETKMGVVLCCPVQDSVSLSGHCHLCESLHARLIHPSFGAYNFRSPLDATSGIIDFDVVNLLNIKYARHLWGSRHMGRAFHPCDDFHSIAEWKCDFMVIW >SECCE5Rv1G0367400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:821054718:821055059:1 gene:SECCE5Rv1G0367400 transcript:SECCE5Rv1G0367400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRRLLYAALLLVLLLAATGELGGPVMVAEARTCESRSHRFRGPCVRRSNCANVCRTEGFHDGKCRGFRRRCFCTTHCHH >SECCE6Rv1G0387710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:120977004:120978263:1 gene:SECCE6Rv1G0387710 transcript:SECCE6Rv1G0387710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPSLASTATPQPDQLATSASIPQNANPQIPLPPIVSSARVNPASAATGGRSTDLPPELQAPSRTQAAEGAGGFGAIHRSGPASLAPAVGQPPRYATTGTTYGTQMAFPGAGGQLGQQPALRAAMFGQGQPRMLQGQGNAASSAQYGLQFQPTMMAQPGQRGVVQGMQFNTPTAQSQSMGASGMNQMRPNGITTPYGAQTHQRSAYPAQMRPQQLPGPSQNGPVVQMLPTHQMQASMASPVSPHQRQQEQLLQLIQQLQQRGLNRQQIAQALQRLPHLNAQHLNQQQRQQQQASPRMPASDAGKPTNMAGSQPVTPSSGGTAAGANAPRGGGGNSSQLLGKRKIQDLVAQVDPHCEVDPEVEDLILEIADDFISTAADFACRLAKHRKSSVVEAKDVLLHLQRNCHLSVPGFSQEKM >SECCE6Rv1G0425640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:708550423:708556167:1 gene:SECCE6Rv1G0425640 transcript:SECCE6Rv1G0425640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERILKSARESGSLNLSNRSLSEVPGEVYNNLDTGSLDDKWWEGVDLQKLILAHNNLEVLREDLRNLSSLVVLNISHNQISSLPAAIGDLPLLKSLDVSFNQIKLVPEEVGLATALVKVDFSNNCLTELPASLGRCLNLSELKASNNNISRLPDELSGCCKLSKFDLEGNKLVLLPENMFTSWTLLTELNAAKNQLTTIPASIGALSKLVRMDLHQNKITSIPSSIKGCSSLAEFYMGNNLLSTIPDDIGMLSKLGTLDLHSNQLKEYPVGACKLKLSFLDLSNNALSGLPPELGTMTTLRKLLLSGNPMRALRSSLVSGPTSTLMKYLRSRLSSDEEASGSRTIPRKDDQICAARRLSVSSKELNLSGLGVPNVPPAAWETSDVVKLDLSKNLIEDLPNELSLCSSLQALILSNNKIKKWPGMVVSSLPSLISLKLDNNPLAEISSTDLESLPKLEVLDLSGNASSLSEPSVVSSLPQLQEIYLRRMKLQEFPIGLVQLKHLRILSLSQNNISTIPEGIKDFNALIELDLSDNNITRLPAELGLLEPSLQVLKLDGNPLRSIRRTVLERGTKAVLSYLKEKLPSN >SECCE3Rv1G0207350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:919967505:919970129:1 gene:SECCE3Rv1G0207350 transcript:SECCE3Rv1G0207350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSARVPKRRRTTKTSEAADRQLRERQFLDLNLFPAVDVASTGGSLSINEPVSRTQPPPTVAALLYETTQVVVSSAAAGSNIGLNSFPINVEVIDDDVAIYTSGPPPQARQQSSRTGPITVIIDDDSETTAGPAGEALDEHVNTLLSLGMNPRHNPSRAPPNTDLVINIVDTPETNNLPPKVVEAVPEPVKEIPKETKFSCPVCMNELVNASSTICGHIFCQKCIKASIQAQKKCPTCRRKLTMSNFHRVYLPMADN >SECCE4Rv1G0280970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:824296868:824298784:-1 gene:SECCE4Rv1G0280970 transcript:SECCE4Rv1G0280970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNYGGLYNIQGWGEPYFSVNTEGHLCVKADGQEMDVHRVIQAAKRKNKGIQFPMILRFPDVLRHRLDALHAAFHKAIDDTGYTNAYQGVFPVKVNQNKAIVHDLVRFGQRYSYGLEAGSKPELLIAMSCLTKEADSGSGALLVCNGYKDAEYVRLALSARAMGLNAVIVLDMESELDIIVDQSRVLGVEPVIGVRAKLLTKIPGHYGSTAGKHGKFGLPAANIYQVAKKLKGLNKLHWLKLLHFHVGSMIPTTEFVSKAATEAAGIYCTLVSTYGAEMTTLDCGGGLGVDYDGTRSADSGTSVAYGLEEYASSIVQAVRATCDSAMVSHPVLCTESGQAMVSHHSMIILEALSAIREPKDEDDEETPEQLRAKIQHHYTSRQPLPTAPPMEIQKHGIETYKLAKKLSRRMAGGDIYSYHMNLSVFSLLPDICGIKWVFPMMPVSRLDEEPTQMGTLLDLTCDSDGKIDKFIGDAETLPLHPLDPERGGYYVAVLLSGAYQEALSSKHNLFGGPSLVRVERATGGTGFRIATADLGPTTEELISTMRYDVRQDIVGVIKRRAVEMGVWGVVGEVVHTGLTTMPYLIVENDAGGSSWKHGGDAKESLDEIVLRQFSRLLCLPFSWLLPSKPNRWPGA >SECCEUnv1G0544340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:128373429:128374577:1 gene:SECCEUnv1G0544340 transcript:SECCEUnv1G0544340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASMETALMTDPTAKLPDDILVEIISRVPYKSTRSCKCVSTRWRDLISHPDHRKKLPRSTLAGFFYRTYDLASRPFDSHGYRSVSGNWCPIDASLSFLPKYEDLELLDCCNGLLLCRCSKPYPEEPDYLVCNPVTEKWVTFPANDWSSSSYACLGFDPAVSSHFYVFEFVPAVAFNMNLKYEYDIEEVGIYSSKAGGWTHQIKWDDPVEIHNFSGGTFLRGVLYLCSVTNLVAAVDVEGNCRFIPIPTLHDALGGHDVYKSRGQLYLANYTAAEASIWVLEDSSGEDYWALKLNVSYLQLCRAEYSSSKEYYSVISAHPEDNVLFIAVQSTLSGYRLQMKIFSYGIDSKEPRFICDLGWTSRRPYLSYVPLFSESLADGH >SECCE4Rv1G0218940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:25824818:25828410:-1 gene:SECCE4Rv1G0218940 transcript:SECCE4Rv1G0218940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMILASAGGGGGGGEGEGGQAFVEKALPNGDVYSGGFAGGAPHGKGKYVWADGCMYEGEWRRGKASGKGRFSWPSGATFEGEFRGGRIEGQGVFVGPDGATYRGAWAADRRHGAGAKSYANGDYYEGQWRRNLQDGHGRYVWAAGNQYVGEWRGGVISGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPRSCVDLPAISGTFFPPVGVGGAARKRSSVEGIGEKAAAVPRICIWESEGEAGDITCDIVDALEASMLYREAAPAGGGPTYMRTQRSARRGPNGGPCWSSSAATTPEGKRPGQTISKGHKNYELMLQLQLGIRHSVGKSAAVPVRELALADFDPREKFWTRFPPEGSKVTPPHSSPDFRWKDYCPMVFRHLRKLFDVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVRKSEVKLLIRMLPSYYQHVSRYDYSLITRFYGVHCVKPINGPKVRFIVMGNLFCSDYQIHRRFDLKGSSYGRTADKFEEEIDETTTLKDLDLNFVFRLRRSWYRDLHEQLQRDCEFLESEGIMDYSFLVGVHFCDDVSASKMGLSTFTASPKLSMKTETFQVGGGGMPELCFSDNDYDRIPDCRKPLIRLGAHMPARAEQASRRSEFDPFLLSGGGFLAPNQTGEVHDVILYFGIIDILQDYDITKRLEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG >SECCE1Rv1G0002460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9145402:9148942:1 gene:SECCE1Rv1G0002460 transcript:SECCE1Rv1G0002460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCSIRLFYLCLLLAPCLLLLPEAHAARHGGISLRSQHIALLHWKATLASPPLQMSSWQENTSPCNWMGIMCAAVRHGRRMPWVVTSISLPDAGIHGQLGELNFSALPFLEYIDIHNNSLHGALPASITDLSALSELDLHYNQLIGKIPYEIGGLQSLTWLDLSFNRLTGHVPASRGNLAMLTYLLIHQNMVSGPIPEEIGRLVNLQILQLSNNTLSGMIPKILGNLTQLDTLYLFGNQLSGPIPQELGRLVHLQSLQLYSNDLSGPIPISITNLTKMNQLFLFENQITGSIPPELGNLSMLNELLLNKNQIIGPIPLELGILLNLQFLDLSDNKISGSIPDSLGNITKLVVLSLTKNQITGSIPEKIGNLMNLQDLDLSDNQISGSIPGSLGKITKLVELILHENQITGFIPQEIGNLMNLESLGLNLNQISGSIPNTFGKLQSMTNMQIYDNKLSGPLPLEFGDLINLVALGLSNNSLAGPLPANICSGGKLQYLSVFSNKFNGPIPGSLKTCTSLVRLRLESNQLTGDMSQHFGVYPQLVQMRLASNRLSGQISPKLGACTHLMVLHLGQNMITGSIPPTLSKLSKLEELTLAYNHLSGEIPPEIWTLTNLYSLNLSSNQLSGSIPTQIEKLSNLGYLDLSGNRLSGLIPQELGACMKLQSLKINNNNFSGNLSGAIGNLASLQIMLDVSKNNLSGVLPQQLGKLEMLEFMNLSHNQFNGSIPSSFASMVSLSTLDVSYNDLEGPVPTTRLLQNASSSWFLPNKGLCGNLAGLPPCSSTPVPAGHHKRKVLSLLLPIAIVVGFGTVVAIVVIVMLTCKKIKPQESVAAEARDLFSVWNFDGRLAFDDIVRATEDFDDNYIIGTGGYGKVYKAELQNGKVVAVKKLHETEEELDEERRFHSEMEILTQIRQRSIVKMYGFCSHPAYKFLVYEYIQQGSLHRTLENEELGKELVWPKRIALTTDVAQAVSYLHHECSPPIIHRDITSNNILLDASFKAFVSDFGTARILKPDSSNWSALAGTYGYMALELSYTSVVTEKCDVYSFGVVVLELVMGKHPRDLLDGSLSSGEEAMLVKDILDQRPTTPTTTEEKSLALLVNLALSCLESSPQARPTMREAYQTLIQQPCSSPSPVPFSALTLQQAMHVDV >SECCE3Rv1G0208020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:926370225:926370590:-1 gene:SECCE3Rv1G0208020 transcript:SECCE3Rv1G0208020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDARVPEGEELKFAIEIVEEVLKTEVKQSTFLRNVGLQSSRNNSGKATAEVAAHVRDLEQKLERSELQAEVMEEELAAIKMKVEESEAARDKELELLHKKSQEQEEQLAHLMALFGAKAV >SECCE1Rv1G0041010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568052114:568052665:-1 gene:SECCE1Rv1G0041010 transcript:SECCE1Rv1G0041010.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAKAPKKAGRRASGGPKKKAVSRSVKAGLQFPVGRIGRYLKHGRYAKRVGSGAPVYLAAVLEYLAAELLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAYGGVLPNINPVLLPKKAAAAAAKEPKAGTTKSPRKSPAKKAADA >SECCE5Rv1G0373600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:859730753:859732622:-1 gene:SECCE5Rv1G0373600 transcript:SECCE5Rv1G0373600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPQLTLLGVAAPPLVLAVLLLSSSLHGARAAEGESASLDTGVVGGEAGLLNASDVSIGQSGVARATWYGAPNGAGPYDNGGACGFKNVNRYPFMAMTSCGNQPLFKDGKGCGACYKIKCTKHKACSGRQETVVITDMNYYPVAPYHFDLSGTAFGKLAKPGRNDELRHAGIIDIQFTRVPCEFPGLKVGFHVEEGSNAVYMAILVEYENGDGDVVQVDLMESGRRGGGRWTRMRESWGSIWRLDSNHRLQAPFSLRIRNESGKTLVARNVIPKNWRPNTFYRSIVQYS >SECCE5Rv1G0304960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:64103915:64104655:-1 gene:SECCE5Rv1G0304960 transcript:SECCE5Rv1G0304960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMSYVHADVENLMATELRLGLPGVEEADKMPSPPSTPRAGSKRALAGEHREEEPKTAPPAAKAQVVGWPPVRSYRKSCFQQASSKTKAAAPAPVVVKQEEAAVAPAPPAAAAAGGSLYVKVSMDGAPYLRKIDLKMYKGYRELREALEAMFLGFSGDAGSVNPSDFAVTYEDKDGDLMLVGDVPFGMFMSTCKRMRIMKGSEARGLGSSKE >SECCE5Rv1G0327740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:496166947:496172978:1 gene:SECCE5Rv1G0327740 transcript:SECCE5Rv1G0327740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEPKPSAKPWPPATSTSTPNTKTTARPFIAAVSCEDDDDDFQIPPPASRPRPLKPSSNGAVSRRLRKKLQLPSPYSGKENRPVACGTASAESVVTVAAAAAETLAARSRVGTGTRRVPEGNEVTGGGICGTSRSHSDVPKVGATEKTGLGGYDGCNGSSNRFPNSRESIVLESGETCDLGSWHCEEAEEVSRDCNAVPEERVVGGRSGSWLHGSVSDERNVDIEAEIASRSETQKKERSGFEVHDSNYHSCSTESELLVPDSKYDFGGADCKYFQEPGLGISSLVSEERKVAGEDAATRSPQTTEKKSSSTADRVEYQSSNSVESVLLEPCTTHHFEHGDCDNFEIGTQLNELINLCMEDQLHSHRNSRASTVEGNKMDSGRFESVYKVQCPLCGSDISDLSEELQLAHTNNCLDEDEPAKESNPNHERGPCDGENTENKCIVEWLRNLGLSKYEEIFTKEEVDWETLQWLTEEDLLGMGITSLGPRKKIIHALGELRKKNDNANDTEADVLISENTKRSKGPMNGNKLITEFFRCSSSDQKQRDHKVKKPSNLNNQKSSSAKVATSRSRTRKAKVKDTPLWCCIPGTPFRVDAFRYLRGDCCHWFLTHFHLDHYQGLTKSFCHGKIYCTSITANLVHHKIGVAWDRMHVLPLNKRITVAGVNLTCFDANHCPGSVIILFEPPNGKAVLHTGDFRFSSEMANNPVLQSSHIHTLILDTTYCNPRYDFPSQEIVIQFVIEAIQAEAFNPRTLFLIGSYTIGKERLFTEVARLLQKKVYVGAAKLQILKHLELPKEIMPWLTANEAESHIHVVPMWTLASFKRLKYLSSQYADRYDLIVAFCPTGWSFGKGRKKAPGRRWQQGTIIRYEVPYSEHSSFTELQEFVRFISPEHIVPSVNNDGPESADAMLAQLLND >SECCE5Rv1G0304140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:54361763:54367768:-1 gene:SECCE5Rv1G0304140 transcript:SECCE5Rv1G0304140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSKTSPTTLSGHKQRVRAVDPKEHDSEKRRQIQCDFFSNYANRRNVQHGDSSVLYSRKANDVVLVDDEDAQSDEPDCRVPEEWNESKIYYPSRDDPEAVELTSSDIKCLDPGVYLSSPVINYYIQYIKRDKFQREAARNNFHMFNTYFYSKLQEALCGNGEFVKLRRWWKGVNIFQRGYIILPIHGTAHWSLVIICIPAKESNSGPIVLHLDSLGMHPTDNIYRTVRRFLEEEWKHLRKNPPSDISISDTIWEDLPRNIHKENVEVPGQNNAYDCGVFMLYYIQRFITEAPENFTRDRLDMFSRSWFRSEEASNLRKKIRKLLLKEFESARVDDVMSEAATADGSDDDCFMKEGEPEATADGSHEDCVMMEGESTAITPYASSDMGVDDVNPGASTSDGSDGILWKGKSEAVASRDRLEMAAGGGDAFEGTPWSTLKSDGRNRVCVLSEEATLSGCAAKDDEDTMKSDPDSSESEEVVEFVPSDNDDEEVMHRVTRPDLLYCDDSCDSEAEEVTGAWKRRSRAMKRPDRAGDVQIIEVGKRGGKWDLCRMI >SECCE4Rv1G0225390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:91687437:91687994:1 gene:SECCE4Rv1G0225390 transcript:SECCE4Rv1G0225390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVPDNAAGVAAAEQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESPEMAAVAHDVAALRLRGHEARLNFPGLAHLFRRPDTAEPDDVRAAALEAAAQVRFRPDLVLQPGGCGNGGGGGFPDRLDEVAWDVLLRSDDLEPESPNMWAELAEAMLLAPPVWEGSAVDNDEWSQGSLWDPSCWSY >SECCE5Rv1G0352180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:707450423:707451682:-1 gene:SECCE5Rv1G0352180 transcript:SECCE5Rv1G0352180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHGIVKEEEFDFAGAGADGYSPPSWGSSASSWGSSPSSWAGGGALAELPRPMDGLGEAGPTPFLNKTYEVVDDHSTDTIVSWGVAGNSFVVWDAHAFSMVLLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFAAEGFLRDQKELLKTIRRRRPQSSGAPALLQQGQQGQQQGACLEVGHFGHDGEVQQLKRDKGTLIAEVVKLRQEQQATRAQMQEMEARLAATEQKQQQMTVFLARAMKSPGFLQMLVERQDQSRRKALADALLSKKRGRPIEYLLPRNGEASYSAAARGYGPGLADGRRADGEDTESFWKELLSLGLEERHREAGGEASGAEVDDDVDDEVDELVQSLYHLSPNRPHSHQ >SECCE7Rv1G0456390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:10598694:10599829:1 gene:SECCE7Rv1G0456390 transcript:SECCE7Rv1G0456390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFNAYIAGRRAPLAAPLHKLSGSCRRRRIAVVHDRINAFASEEAARLPNAEAFGLHCLALSMLVGRLDAGHRLLHDTGLAFRAVEHCATKEFVEFAGRARARPSKQISPGAGILANTCRALEGDFSDVVAGHLAADGKRLFAVGPLNPLLHHASASEQSTQRRHECLSWLDEQPPASVLYVSFGTTSRQRFVWVLRDADRGDRFAEAGGESRSRHEKMLSEFTTHTQGTGLVSTGRAPQLEILAHGATAAFMSHCGWNSTVESLSHGRPILAWPMHCDQPWDAELVRKYLRVRAGILVRPWEKHGEVITAKAIQEVIEEAMLSDTGMAVRRGARVLGDAVRAPVVDGGSSRKDLDEFTAYITR >SECCE5Rv1G0323220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:431358368:431367858:-1 gene:SECCE5Rv1G0323220 transcript:SECCE5Rv1G0323220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRGRGRGRGGGGGGRGRFSGGRGDGPPRLGGRDDPPPTPRRSSGWGVAPPSRHLWVGSLAPGVTASDLSQLFHRCGDIEGITRDPGRTFAFVSFMREQDAIAAVRELQGARLQGTPVRIEFSKGDKSSGSHVDDRYAQSADERHFVEHGRKHQSSPEKSIDKSKRNKSTEPSEVLWIGFPAGLKVDEAALWEAFSPFGEIVKITTFTGRTYGFVKYTTITAACRAKEALQGRLFNNPRVSICFSRNEGVAAEVGRCSSVAPYSPHLNRSARSRSIFEDQDFEAFTRPRRFDSPLRDLRMSSLRSGPERLLGDADDVGFSRDNYLRRGPQIESGHVSNFEPFRMRGLGPERRMSEDIYEQHRSSPTVRAQAPWHSIPFERPRRPFPLEDSWDADGHSYPLSKKLRTGELHDAELPEYPFSEFDRGHVDSGYPRRPFRDLREDDAHPITYEPPPVHGRNYIEPLRNPNPPVDNHEPWHSKNSFAMHAREVDRSTPEHHGPLPKEEWSWNGTIAKGGTPICRARCFPVGKVLNFMLPDFLDCTARTNLEMLSKHYYEAASSWVVFFVPENDADMAAYNDFMNYLGDKQRAAVCKLGEKSTLFLVPPSDFSEHVLRVPGKVSISGVILKFQQANPDPTSANRQPEALEKMPTSFASYLNTDVSSHEDRDALRRLNPPDTRTVPQGPDYLQPSTGVYTPASTDFISPYKFANASPYLASQLPQQMPASDSRRETAQVQHQQSPNVWPSGWSNNNDPSTGSGNFNSLAANSVSHTQNDRASEPYSFTTQGVPKGTPSGYASGEASNMSLPSMKPPSQQVVRPQPPSQQLDRSQPPSQQVVRPQQTPSAPVSLPPAQLAQLAALLAQQNQRGVEAGLPGGSSNRQPGFIQNYNPREHASVMPDSSGRFIQNANHASVMPDSSGSIPVHNSQLPVQPFVPSQLQVHRPPIQAHSSVPLGSFVPPLPEGPPPSQQLTSGGASMQTLLPSAQQMGQQLSAQEDLDGDPQKRLQATLQLAATLLQQIHKQSKPGGQQ >SECCE1Rv1G0032910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:461022289:461023777:1 gene:SECCE1Rv1G0032910 transcript:SECCE1Rv1G0032910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGAKKAVLFRLFGVEVCGAEEDDDAEPMELKKSTSMPNLANINPPLLLPGEASHDKGYASDDGELASSPQLKRRRRKAQERKKGIPWTEEEHRKFLEGLKQLGKGDWRGISKNFVTTRTATQVASHAQKYFLRQTNPGKKKRRASLFDVGIPAAHTYDDQLPSPHSVGTKLAPAEKILHTDRGDVPLPSYPGGIRGNNNNMQMQADELTDHVKKRSKFPTGMSLAAMAASGLELAMASPASSILELSIAPPRCYGAADAIKVL >SECCE3Rv1G0197850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:824145943:824146977:-1 gene:SECCE3Rv1G0197850 transcript:SECCE3Rv1G0197850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAAAPKRRAGNGRQKIAIRRIEKKDARQVCFAKRRQGLFNKANELAVMCGAQVAAVTFSDGGKAFSFGHPSAEAVIDRFLAGGGALVQGAAADDELKKMHLLHGEMRTQLKEVKARKERVEEAMAKERAAGDRIAAWLDPELGDMGEEEMMAFAVELMVARAAISERAYQVLLEAQNVSRILQAPPPPQQQQLFGGSAFEFGSSSSGNAGMEMQQMQMGVPSTQGFAAGMHMDQMLMAMPPPAPAGLAYAVDMQQMLMSIPPSPAMQPQPEFAAETEMQQTVMAMQPQPEFAAETEMQQVTMTMPPLPELPVGMAMPPPPGVATGMKMVQQGPGPNMGFPY >SECCE2Rv1G0105880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:626301243:626302697:1 gene:SECCE2Rv1G0105880 transcript:SECCE2Rv1G0105880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLSRNRRTVNRPYGGVLSGQAVRERIIRAFLVEEQKIVKKVLKIQKTKEKQPSK >SECCE5Rv1G0347920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:671447654:671450248:1 gene:SECCE5Rv1G0347920 transcript:SECCE5Rv1G0347920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIKNQKTRAYSKRFQVKFKRRRQGKTDYRARLRLTNQDKNKYNTPKYRFVVRFTNKDVTAQIVYATIAGDIVMAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKNRELDEEYEGNVEASGEDYSVEPSDERRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKSLDAEIHRKYIFGGHVADYMRSLADEEPEKFQTHFSEYIKRGISADDMEAVYKKVHAAIRADPTMAKSTKAPPKTHKRYNPKKLTYEQRKASLVERLNALNNSAGAADDDDEDDE >SECCE4Rv1G0280500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:821954380:821955549:-1 gene:SECCE4Rv1G0280500 transcript:SECCE4Rv1G0280500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIPDVMLGEIFLRLPAAEDLARASTTCPSFRRVISDHAFLRRYRALHPPPLIGMLQGTFIPAEPPHPSAVAARAFLGFDFSCSSFLPSTPGHTWRPVEYFDGRVLLAGAPDKEDSRFWARDLAVCDPVHHRYVLLPAVPDDLKALAPQRDLLNLEAFLAPGQNEEGPLSFRVMCVAQCTTKLLIIVFSSSLGGGQGQWHAHTFDQWSRQAIFASDGPYINCHGGLSNRQFIHGCFYWHLDYRNELLVLDVGSMVLFAVNLPPERGTNCFALVEAAQGMLGLLTEGYDNDGHDSKPYWLTYSILRNNQWHLDKLIPLPVDDAALIGVAGGYLLLAALYTTSAQETLDFGCFSVDVKTFQVEFFADLSKHFIYGRLYAGFPPSLCAPTI >SECCE5Rv1G0366190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:811568865:811569149:-1 gene:SECCE5Rv1G0366190 transcript:SECCE5Rv1G0366190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEESAGAATRQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPAAAPTAPASNTTAAPAAPSLFPSGFRQP >SECCE5Rv1G0302820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:42260010:42260431:-1 gene:SECCE5Rv1G0302820 transcript:SECCE5Rv1G0302820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKLKVPGMCLLLLIMPLLLLPGSQGATCKELSRTYTSPNCAADRCIEHCQMEGFPNGVCEGNYFDPYNIVCFCNKNC >SECCE7Rv1G0508210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:770680749:770682371:1 gene:SECCE7Rv1G0508210 transcript:SECCE7Rv1G0508210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLIVFTILLYLCTPASSAATDTILTGQVLTVNDKLVSKNGRYALGFFKTSSKSYGSTANWYLGIWFNTVPKFTSAWVANRDKPIKNTTLLELTISHNGNLVVQNPSTKSIIWSTQAKITKDGTTAMLLSSGNLILTSSSNSSEVLWQSFDHLTDTFFPEANIGWDRVTGLKRRIVSWKNLINPATGAYWYELDPSGADQLLLAPFNSSTPYWSTGAWNGKYFSAIPESAGHVIFFRSKFVKNDQEKYYTYSLVHENMVSRFVIDVSGQLRMFIWFEGSHDWVIVYAQPKTQCDVYAICGPFTICNDNVVPHCNCMEGFTITSPQDWELEDRTGGCSRTTPLDCIRNKSTTRTTDKFYSMSCVRLPQNASKVESATSVIACVKVCLDDCSCTAYSYIDSGCFIWYNELLNLKQLQCRDTTNSNEETLYLRLSAKDIQSLKNKRRGFVIGVATGTCVSTLGLFGLILILMIWRNKRKMPGRVLNGAQGSNGIIAFRYTVLQCATKKFTEKLGGGSFGSVFKGFIDNSIAIAVKRLDGAYQ >SECCE2Rv1G0089680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:260280858:260281445:1 gene:SECCE2Rv1G0089680 transcript:SECCE2Rv1G0089680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKPVVICCSVLLALIIVLAIVFVALYFTVFRPRSPHVVATVVSSRVTQFNVTSVPPKVNMSLGVDVTVKNPNYAAFRYGDVVTELTYYGSPVGQSVVLAGEVGARTTQTVGGTVVVQADRVVFTPEFVQEVLSKLLDLPDIMLPFQTRTTVAGKAVVLGTFKISASSAVTCSITTYPLKQETTSDCTSTVNVG >SECCE2Rv1G0114990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:744626680:744628144:-1 gene:SECCE2Rv1G0114990 transcript:SECCE2Rv1G0114990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSGSTMTSFAVKNPLLAAAARFRTLPSRAGRPLPFSPFTRTARRRGQETVTCFVPQEGQAPGPAPEPVPSLEEEAASAAARRVAERKARKQSERRTYLVAAVMSSLGVTSMAIASVYYRFAWQMEGGEVPMTEMLGTFALSVGAAVGMEFWAQWAHKALWHASLWHMHESHHRPRDGPFELNDVFAIINALPAIALLAYGFFHRGIVPCLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIADVPYFRRVAAAHKIHHMDKFEGVPYGLFLGPKELEDVGGLDELEQELARINRTRSI >SECCE7Rv1G0513750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:825519981:825523169:-1 gene:SECCE7Rv1G0513750 transcript:SECCE7Rv1G0513750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVMSFLWSLALPAVLMIVTVIASDEAALLAFKAQVSDGSSLASWNSSADFCSWEGVTCSHRRPARVVELSLDSRALAGVLSPALGNLTFLRMLNLSFNWFHGEIPASLGRLRRLQRLDLNDNSFSGKFPLNLSSCIMLNKMGLHTNKIGGHIPAELGEKFISLTVISLSNNSFTGPIPGSLANLSYLRYLDLSDNQLVGSIPPVLGSIQTMRFFRLSQNNLSGMLPPSLYNLSSVEYFDIGHNMLYGSILDDIDNKFPKINGLYLSNNYFAGTIPSSISNLSNLIQLSLGFNRFSGYVPPTLGKLRALQDLHLSDTKLEANDNRGWEFITSLANCSQLRFLSLGGYSFEGLLPASVTNLSTTLEELYLLHSRVSGVIPADIGNLVGLTRLAIANNYISGVIPESIGKLENLIELALYNNSLSGLIPPSIGNLSQLNRLYAYYGNLEGAIPASLGELKNLFLLDLSTNHRLNGSIPREILKLSGLSWYLDLSYNSLSGPLPNEVGSLANLNQLILSGNQLSGKIPDSIQNCIVLDWLLLDNNLFEGSIPRSLTNIKGLSKLNLTMNKLSGNIPEALASIGNLQELYLAHNDFSGSIPAVLQNLTSLSKLDLSFNNLQGEVPDGGVFRNITYVAVVAGNINLCGGRPQLHLVPCSTIRIGKNRKRMIKSLVISLATAGTILLSLLVIVLVWILRRKLRQSQKTLVQYSVAENHYERIPYHALLRGTNEFSDINLLGRGSYGAVYKCVLENEDRTLAIKVFNLGQSRYSKSFEVECEAMRRIRHRCLIKIITSCSSVDHQGQEFKALVFEFMPNGNLDGWLHPKSQEPTTNNTLSLAQRLDIAINIVDAVEYLHIYCQPLVIHCDLKPSNILLADDMSARVGDFGISRILQENTSERMQTSYSTIGIKGSIGYVAPEYGEGSVVSTLGDIYSLGILLLEMFTGRCPTDDRFIDSLDLHKFVEDALLDRTLEVADPTIWLHNEQHENNTTRTHKCLVSVLRLGISCSKQQPRERTLIGDAAAEMHAIRDAYIAFVG >SECCE4Rv1G0251950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:609819195:609825566:1 gene:SECCE4Rv1G0251950 transcript:SECCE4Rv1G0251950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLHLPLNQTQRVRLEAALHELQTLAPAAASAAAVTVADNIPVNHEDNILKGHGTTDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVVCAEVRGFQHDGSLHLQARSQKYGKLQRGQLLTVPAYLVKRRKLHFHHLEQYDVDLILGCNGFIWVGEHVVVREIADLKEDEQKLSAEAETFTPIETRRHICRLANAVRVLSALGFTLTVELIIQTAEASVSSNVEINDMLGAEFYVQTAEREAKRRGDLLGKKSIF >SECCE6Rv1G0417230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:656325764:656326057:-1 gene:SECCE6Rv1G0417230 transcript:SECCE6Rv1G0417230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQCNYALWGGYVQGPTASSDSPQMGSGHFASKERGKAAVVRNILIVDNENKYDNPDARKARLVVTSSSKYTAKAYGYEYNDHGVHTYYGGPGDFV >SECCE6Rv1G0452670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:878948759:878952072:-1 gene:SECCE6Rv1G0452670 transcript:SECCE6Rv1G0452670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDGSSSLAASSGAASSSPYYIHHRPSPSPSTATPAAFPSPPPPPPDAYPRTHHPLDVFSFPMGPDPHAQMRPSPPADDLGRKKRGRPRKYKPDGSGPVAPSPSPAPCTAIVPVTPGGPSSEKRRGRPPGSGKMQQLASLGKSFLGTVGTGFTPHVIIIPSGEDVAARIMSFSQQGPRAVCIMSASGAVSTATLHQDAGSGSVVKYEGRFEILCLSGSYLVIDDGVTRTRNGGLCIALCGADHRVIGGSVGGVLTAAGTVQVIVGSFMYSGSKKSRKGKAGQEAAAGEEEQTGDANGGKSGGGVGGEAEEERPSPLMPMPRGEEELPSDAMMGGWPGMMQQMEPRSCGIDINSIRE >SECCEUnv1G0559610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:339843956:339845558:1 gene:SECCEUnv1G0559610 transcript:SECCEUnv1G0559610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSTHTSVQRRRRQWTLALVTVASLLERADEALLPAVYREVGAELGASPAALGSLTLCRALVQAVCYPLAACAAARHDRARVVAAGAFLWAVATMLVGVSGTFVQMAIARGFNGVGLALVVPAMNSLAADYSDDTTRGSAFGWLGMASRLGAMMGGTLGVLLAPTTFLGVPGWRLAFHILALLSVALAVSTWFLASDPRPPSVSEKSTASVARELLGEAKDVVRLPTFQILVAQGVAGSVPWTALTFAAMWLELVGFTHWETSVIINLNQLTGALGSLFAGLTGDPMARRFPNAGRIALAQVSTASTIPVAAVLLLALPIDPSAGAAYAAAFAVLGFVMPWCPPATNNPILAEIVPQKARTTVYALDRFFETIFSSFAPAVVGILAERVFGYKPASGATGKTERENAAALAKAVFAEIAVPMGVCCSIYSLLYCTYPADRQRAQRAALIAPEEEDCENATTSSTATGVDGLNQALLARSDQQHI >SECCEUnv1G0545100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:135502342:135503973:1 gene:SECCEUnv1G0545100 transcript:SECCEUnv1G0545100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLRGSTAVGAGSYLLLLVFPACCALWMLLFFPSPPVEVAVFRQSFQPSIALTGLRAVDTTPPWPSERREIVDTSSPPPPLPTERRFIINAPPPPLAPARQARTEISRQAGRETRSPSPPAVATDRCAGRYIYIHDLPSRFNSDLIRDCRSLSEWTDMCKHLLNAGMGPRLTRTGGVLPSTGWYDTNQFALEVIFHSRMRRYDCLTTNASRAAAVYVPYYAGLDVGRYLWGFSNGVRDALAEDLFEWLRSSPAWAAQGGRDHFFVGGRITWDFRREDGGEWGSRLLLIPEAKNMTMLAIESSPWHGNDIGVPYPTYFHPTLAGEVTSWQRAVRRARRPWLFAFAGGARAHDGSNKNVNAVVRDMIINQCARSRRCGLLLCGGRGRRNDCYAPGNVMRLFKSAAFCLQPQGDSYTRRSAFDAVLAGCVPVFFHPGSAYVQYRWHLPADHRKYSVFVPEDGVRNGTVRVEDVLRRISAREVAAMREQVVRMIPRIVYRDPRVKTGIRFRDAVDVAVDGVIERVRRIKQGLEDDVGHQWDGYFDM >SECCE3Rv1G0162510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:132171633:132173048:1 gene:SECCE3Rv1G0162510 transcript:SECCE3Rv1G0162510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPYREESLRPPATEDAAAAATKWDWGEVLLDHVAYMVDKKNHTSARCRFTRNLDGKEVDVQVTFCFAQPPRLSHFCCHAYFPDDHGEEDTCLFFREPFVFTTDCNLALITLCIGRGRPSPFDTKKSKYEYLVYKAASAPAGKPELTRLTKFPPEMLPTTGCDRIPSNAIGVVRYRSNISTHAHPSVAANSDALTKDDACDAYRIAALAYDYFHNSTNSPYGPYYICTYDSKDKVWIRKPAAIPQPQLPSDYICDIDMVIAMGGGIMGWVDLWNGMLLSDVLAGHTPCRPLRYVYLPKPTQPLNWLPLAIDIGCHFRDIVLVKETGIIRFVDLQVHADPSIPCSRTPCGWTVLTWTLEGLLESLDLETMGDLHFKPEYELHSRDISGYNLPQSLFVSNPILSSSTDGVLYLRTNASSATNRDSRVIAVDIKQKLLLDVQQFDMQRPNNYIRTSISGYLKPPSTVPSPPR >SECCE7Rv1G0481180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:281459038:281459622:-1 gene:SECCE7Rv1G0481180 transcript:SECCE7Rv1G0481180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLISAGAAAGASGGKVVMADGSVRALSEPVSVAELMMDHPRHFVVDARVLQQRKGGAGGAGKVAPLPADHVLGAGGVYVLLPATRGKVSADEARRVLTASRSLARSRSMPGGLRRKLSSRKSREADDADRSAKNEAAAAETERREETAATADGFEEHRPEFLSRELSCRGWKPSLNTIEERVIPKKVSHWLF >SECCE7Rv1G0460840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:33873626:33874535:1 gene:SECCE7Rv1G0460840 transcript:SECCE7Rv1G0460840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPRLLDPNKDGSSGPWSMISLELPTYTRQAHPYTSISSSPIHHIHTAATFSDRAAAASSSPSSTAMARSELVMALMVAVLAAGCCASAGAVAYLSKLPVTLDVTASPSPGQVLHAGEDVITVTWALNATRPAGDDAAYKNVKVSLCYAPASQKEREWRKTHDDLKKDKTCQFKVAQQPYAGAAGGTVEYRVALDIPTAAYYVRAYALDASGTQVAYGQTAPAAAFNVVSITGVTTSIKVAAGVFSTFSVVSLAFFFFIEKRKKNN >SECCEUnv1G0565380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:393495184:393496400:1 gene:SECCEUnv1G0565380 transcript:SECCEUnv1G0565380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQINAAWTKPGSLLDLFFESFRTGEGGVARLLDHLVIVTMDPAAYAGCQLVHPHCYFLRTTGVDYRGEKFFMSKDYLEMMWGRNKFQQTILELGYNFLFTDVDVMWFRDPFKHISMGADIAISSDVFIGDPYSLGNFPNGGFLFVRSCNKTIEFYRHWQAGRYRFFGKHEQDVFNLIKHEMTDRLGVAIQFLDTTYISGFCQLSRDLNKICTLHANCCVGLGAKLHDLRNVLDVWRNYTAAPVPDKRAGKFQWKVPGICIH >SECCE1Rv1G0017000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:146151995:146152342:-1 gene:SECCE1Rv1G0017000 transcript:SECCE1Rv1G0017000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPTASAVSFSARPSAVRPRAASASASAGAGRVHAGAPQGGKWWAPLVGWSGKADYIEAAVPVVAKEEKTGRSFVGGLTEEKARQLRARMSETESFHDAMYHSAIASRLARST >SECCE6Rv1G0401190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:463872824:463874449:1 gene:SECCE6Rv1G0401190 transcript:SECCE6Rv1G0401190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTWAVVVSAVVAYMAWFWRMSRGLRGPRVWPVLGSLPGLVQHAEDMHEWIAGNLRRAGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKARFDNYPKGPFWHGVFQDLLGDGIFNSDGDTWLAQRKTAALEFTTRTLRTAMSRWVSRSIHGRLLPILADAAKGKAQVDLQDLLLRLTFDNICGLAFGKDPETLAQGLPENEFASAFDRATEATLNRFIFPECLWRCKKWLGLGMETTLTSSMEHVDQYLAAVIKTRKLELAAGNGKCDTAATHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKIVRELCSVLAASRGAHDPALWLAEPFTFEELDRLVYLKAALSETLRLYPSVPEDSKHVVADDYLPDGTFVPAGSSVTYSIYSAGRMKAVWGEDCLEFRPERWLSADGTKFEQHDSYKFVAFNAGPRVCLGKDLAYLQMKNIAGSVLLRHRLTVAPGHRVEQKMSLTLFMKDGLRMEVRPRDLAPVLDEPCGLDAGAATATAASATAPCA >SECCE6Rv1G0431410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746310639:746311343:-1 gene:SECCE6Rv1G0431410 transcript:SECCE6Rv1G0431410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSTSLPSRPHVSETEVEQELQSLEASISSSNSISTMCDGLRTLANIYDGLEEIICLPSNQVCSSQQRNILDGEMEGSLELLDLCSAMQEIFVEMKAIIQELQVALRKGDDAASQAKIQSYIRLVKKAKNHVKKTVKKAPADCSLVMLLAKAREISMSLLESTLRLLSKQIEMPKQSLVSKAFHKKKAIACKEEQLSELECSIASLESGAGHLFRKLVQSRVSLLNILSS >SECCE6Rv1G0403880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510445124:510445465:-1 gene:SECCE6Rv1G0403880 transcript:SECCE6Rv1G0403880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALPSFLRQSSKDNPHRNSQSTSSSPPFFSGSGALVRAFVRDHHLDQEEMEMKKIACAVLVAASATVALAADAPAPAPGTAVAGSAAATVPAFGAVLGATVLSFFAYYLQ >SECCE5Rv1G0334780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:568110687:568112636:1 gene:SECCE5Rv1G0334780 transcript:SECCE5Rv1G0334780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPAVLPGDVLVEILRRLAPHSLATSRLVCSAWRDTIDARLRAHLLPCSVRGLFINFTRLRFSEFLSRPSTGPAICGGLDFLPCMGVRVMDHCNGLLLCCGLRHEHDNAPPHDYVVNPATRRWARLPQHPRPHMPGSEESAYLAFEPGVSPHYEVVLIPLVLSAGVSNDGALLGSEWPPASYAIDVFSSVTRWWDKTTFVREGETAGIIDYMDSDWSYNRYHCHAVYWQSNLYIHCQHGYLMRMCLSDHTYRVIKLPGVSKYLPRGYPDHHLGKSQRGVYCAISHNRSPLLQLWHLNESCSRLEWVLNHDTALNTFEHEDYAQLGRQWILQDVNYRKWFFEQNVNTHEIYKAPVEEKYDWNSDEDSILHIEYDVEEGYEDNGLDFEDDAVEDNTLEEGYYGNYYFLGFHPYKEIVFLISSGRKPRGLAYDWNSSKFQDLGNVCSAYYDPVCGLPCQETYAAFPYTPCWISEFPGNEIESLYEDRELSRTKMELEEESNLTCMDLYDMRKFHGCVKRIKNSTAKIRRRRRK >SECCE5Rv1G0366100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:811180066:811183579:-1 gene:SECCE5Rv1G0366100 transcript:SECCE5Rv1G0366100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPKQEELQPHAVRDQLPAVSYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVVQTLLFVAGINTLLQSFLGTRLPAVIGGSYTFVAPTISIVLAARYSGIADPHEKFLRTMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCIEIGLPEIILLVALSQYIPHLVPLLGTAFERFAVIMSVAVVWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVGGAPWITVPYPFQWGAPTFDAGECFAMMAAAFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLAGLFGTANGCSVSVENAGLLGLTRVGSRRVVQISAGFMLFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGVGLLQFCNLNSFRTKFILGFSLFMGISVPQYFNEYTSVAGFGPVHTHARWFNDMINVVFSSKAFVGGATALLLDSTLHRHDSTARKDRGHHFWDRFRSFKTDPRSEEFYSLPFNLNKFFPSF >SECCE4Rv1G0222840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:63285953:63287218:1 gene:SECCE4Rv1G0222840 transcript:SECCE4Rv1G0222840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAHCDDGLSSVPRGARAVHCAHCRGVTRVGRRHGAVDFVVNMISNIAGSGRTRPATPPRLREAGYPRVHGDQRALLVGISYAGTHLRELSGPITDVKSMSFLLTQKYGFPSQCILVLTDEERDPYRTPTKSNILLAMRWLVHGCSSGDSLVFHFSGHGDQVRDEDGDEQDGKDEVLCPVDSDPDDYDSDIRDDEINAALVRPLMHGVRLHAIVDACHSGTVLDLPNLCKIKKNGEAEWTDQSAPNGAWKRTSGGQAVLISGCADTQTSVDGIGDELVGMGALTYSFFTAALLAQRTPTYAQLLATIKAIIRERNADGRINCRLPAPICSLVRKVVNFSGVQEPQLTSSDKFDINRTQFLL >SECCE3Rv1G0193770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:774457281:774457696:1 gene:SECCE3Rv1G0193770 transcript:SECCE3Rv1G0193770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHLGLLLVLAFVLVVTAEDCTVDLKWLIRECKQYVMFPASPKITPSSACCSVIQKVNAPCMCSKVTKEIEKVVCMDKVVYVADYCKNPLKPGSDCGSYHVPSQGR >SECCE5Rv1G0340430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:614786867:614787559:-1 gene:SECCE5Rv1G0340430 transcript:SECCE5Rv1G0340430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVGARPHFEGQEYMTVWPEEEYRTVWSEPPKRRAGRNKLQETRHPVYRGVRRRGREGQWVWVCELRVPAAGSRVYSRIWLGTFADPDMAARAHDSAALALSGRDACLNFADSAWRMMPVHAAGSFKLAAAQEIKDAVAVALKAFQEQQCPGDASKAPSSTDSTTEESAPSITSGDLSGLDDEHWIGGMDAGSYYANLAQGMLMEPPAAGAWREELEHDDGVDTSLWSY >SECCE7Rv1G0526320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894628934:894630478:-1 gene:SECCE7Rv1G0526320 transcript:SECCE7Rv1G0526320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILFLQELPIYTLLVLLLLPLYYLYSRKAGCRSNNPAELPTNWPILHMFPSLVANRHNLHDYCTWVLAGSGLNFRAHSPPGTGMRFFVTCDPANVRHIFTTNYTNFPKGSEFAAIFDIMSGGIFNIDGEPARRQRAKIKGVFNSPRFVNNIASYCLHKTEKNLLPLFTHMASVGTPFNMQEMMSRFMFDLAATSLFGVDPGLLSSEMPPMDAAVALDTVMEVGFFRHIIPTSCWKLMRWLNIGPERKLGKAHTVLRRFVVEMTERWKSNACHIGNDHSPVDIMSPFLIDPYYADNDELSRALIISYMVAARDTVATTLTWLFYKLSQNPNIVSNIRNELSPIALRKVASGAGAIVIFEPTETKSLVYLGAVLYETLRLYPPAAIERKTVATNDIMPSGHKVHAGDTIFICIQSMGRMEGLWGKDCLDYKPDRWLSEDGNNLRYVPSHKFLAFNSGPRMCLGKDIAIMQMKTVLATTLWNFDVQVVEGQSIQPKSSCILEMKNGLIVKLKKRVI >SECCE6Rv1G0446180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842853064:842854410:-1 gene:SECCE6Rv1G0446180 transcript:SECCE6Rv1G0446180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPLLVSIPIPDDLLEEIFLRLPTPDAVARASAACTSFRRVIKGRAFRRRFRALHRPPLLGFMDAAGFHPAQAPHPSAPLAGALAPCSADFSFVPAVVSSASGVQEDDGEGPRWRPRDVRDGRVLLDWISLHPRTMRICCYPQDGYEVSILMDVGELAPSDRPTWTERERCNAAEFHLAVCDPLSSRYMLLPTIPEELAVQPQDYLREFEPVLAPNTSDDGQEEPFKVICIAKYQTKLVLFVFQSTTIQWSMVESPISPSLEHMSCFDCVRGCFYWTEPYDWSDHLMVLDTRTMRFSTIDLLTGYHVELRGLPGQSFDDRRPNAVAMGREGAVEMFSLVCQHGAFALYHTSLQNNSQEWKLEKIIQLPGQYRDYSISTVGTAEGFLFFRGAPEGIPIENVDYYSMEVKTCGITKVCTKTEKFFNPRSALPYFSFPPLLSEPTI >SECCE1Rv1G0038750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:542477297:542478591:-1 gene:SECCE1Rv1G0038750 transcript:SECCE1Rv1G0038750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVRPSLPIASPWRERSAACCSLAPATSAPSTRSPSSRWRCTVVTHARSRRLQGPRCSQAEVASSTVDDDEACELVRGTDVVIGQGDDESVRAYLLEAVKNNNGTCVLFLSDVFGFEDSATRDFAYRIACHGYNVLVPDLFRGNPWKKSLPMDGLEAWLAEHAPERVAGDIDTCRKWLVDDFLAAAPSKKLGVVGFCYGGGRLVETLAQDADAACFSAGVCFYGSRMDASLGAKIAAPVLFVCGDGDPLCPVETVRELERRARGAKAAVYAGRGHGFAHRPESLEDDGDAEDAFAMMKSWLHDHLLA >SECCE6Rv1G0406320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:543233638:543234171:1 gene:SECCE6Rv1G0406320 transcript:SECCE6Rv1G0406320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAALQTAAVCTLLLFAGQLLPTATPAPPGSCAAGDAKCLACYNKCVKPCRRDPTQCRATLLCGPKCVQQTSSPPPPPPPRESGTCAPANAKCVACVKKCGDRCRRDPTQCRLNVYCEPGCAIQTSSHPPAKDICGATKAGCLSCVNKCRETCQRDPISCGGLRDCETGCAHQKQ >SECCE5Rv1G0370700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:843643929:843646577:-1 gene:SECCE5Rv1G0370700 transcript:SECCE5Rv1G0370700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRSAAVLWLWAALLLHLAAAGKPAAVVSGPVSRVEEARLFHIYYGQGFKVIKNAWDGKSYLLMQSTSKMASRTRYCTGRIKSFVVPLANYSLDTTASPVSFFELLGVLEGLKGITSPQVASQCLLQAYATGNVQLVNRTDAGQLSQFRAHFVSTDQDRGCNFAAYVPSQEDTPLQRAEWIKYLGTFTNSEDRANAVYNAIKTNYLCLSKAAAALSTRFKPVVAWVEFTEGVWTFVRESYKLQYVKDAGAEIVDATITDKRFNGSDPEDMDSLHAILCTVDVVIDQTYASDPAEYKLSTFLDNIDVGRDSCFSFITNQSIWRFDKRIGNSKTLDWSDGAISQPQLVLADLIEVFFPTGNYTTIYFRNLAKEEGVTEIGPEMCTRSISTPMEPTILPCQ >SECCEUnv1G0549120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:197356043:197356829:1 gene:SECCEUnv1G0549120 transcript:SECCEUnv1G0549120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGSCLALVALLLVARAFPAAATSFTVGGKSGWTIGVDYTTWASGNTFKVGDSLVFNYAKGLHTVAEVSAADYLACAAANVLGSDGSGATTVPLKTGGKHYFICTITGHCAGGMKLEVTVSGSGSGSSSPSTPMPTPTTPYTSPTPTTPYTTPTTPYTTPTTPYTTPTSPACTGTTPGATPLTPLTPVTPGTMPFYSYNGAAGLAPAAWASFALVCAAVAQLGLL >SECCE6Rv1G0418020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:660769930:660770344:1 gene:SECCE6Rv1G0418020 transcript:SECCE6Rv1G0418020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSCLSLGAAVILLLVLASAMESGAIRLDAETRASVSSSGNQMTVDKPIGNNNVVDAVKGAAVSTSETKRSVNVAAGEVRAVAHKMPEFHEDYYGPSDHSPRHH >SECCE7Rv1G0495810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:602436935:602437992:1 gene:SECCE7Rv1G0495810 transcript:SECCE7Rv1G0495810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSPSWVTLALLLFITLVATANGDELSAGYYEKTCPNVQHVVRSVMASSFAAQPRMAPAVLRLFFHDCFINGCDASVLLDATPFSPSEKDVEPNASLTGYTVIDDIKSALEHDCPATVSCADVIALVSRDAVALLGGPTWSVPLGRKDSRFAADPESTQNGLPSPHDNLGELVTMFSRLNLDARDMTALSGAHTVGMANCMHYSDRVYGADRNEEIDQSFAHTMQQTCQSPSGKAPFDVQTPMRFDNAYYKNLITRRGLLTSDQTLYGGGGLQDNLVEMYSTDGEAFARDFAKAMVKMGNVPPPKGMPVEVRLKCSMVNY >SECCE3Rv1G0164130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:157323816:157333835:-1 gene:SECCE3Rv1G0164130 transcript:SECCE3Rv1G0164130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLSSETLLRRHRTPTRLWVAVAILVTGTIWLWSSSSVVLFGTHRVQDFVVNELWRTAHSNGWRASSAPRTYWHPPPTESESNGYLRVRCNGGLSQQRSAICNAVVAARIMNATLVLPELDTNSFWHDESGFVDMYDVYHFIKTLKYDVRIVMSVPKITAHGKTKKLRAYKIEPPRNAPVTWYRTTALEKLRKYGAIYLTPFSHRLAEEIDDPELQRLRCRVNYHALQFKPNIMKTSSDIVNKLRSEGHFMSIHLRFELDMLAYAGCLDIFTPKEQEILLKYREVNFANKTLVYKKRRLIGKCPLTPEEVGLIVRAMGFDNTTRIYLASGKLFGGERFMKPFKAMFPRLENNSMVGSGKLEEDIRGLGRSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDMEEGRASGYEERIRQVMFNTHFGAPHKRIHPESFYTNSWPECFCQMKAGNQADRCPPDNVNDVLESQFQNKEDVEAETTNQTDSTN >SECCE2Rv1G0110440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:689803780:689805677:-1 gene:SECCE2Rv1G0110440 transcript:SECCE2Rv1G0110440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPSVKRLARLVATRPLEKAGMALVLAAMVVSAAAALLLLACPASPRCSTAVGSALSAPRKLWGCGASVAPGAAARECDFFDGEWVRDDSYPLYESKDCPFLDGGFRCSENGRPDAAYTRWRWQPSCCDLPRFDAKSMLERLRNKRVVFVGDSIGRNQWESLLCMLSTAVPDKSSIYEINGRPITKHDGFFIFKFRDYNCTVEYYRSPFLVPQGHGPAGAPKFVKSTVRVDSMDWMSGRGKWRDADMLIFNSGHWWTKDKTIGWGAYFQEGNEVKMDMSVSDAYRRSIQTLFGWLHKNVNANKTQAIFRTYSPTHFRSVPLQDS >SECCE3Rv1G0166730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:192028249:192028911:-1 gene:SECCE3Rv1G0166730 transcript:SECCE3Rv1G0166730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDISASGGEGSAEPHPPQPERRFKGVRLRKWGRWVSEIRMPNSRERIWLGSYQSAEKAARAFDAAAVCLRGSRAGSLNFPEFPPEVQHVPGMVPTPERIQAAATRHANRPYPIPAGRTMTVTAAMPSLEVTSTSNDIAVESSPCVGNAAAYDDVFDWSFMDALPSVPASSAPGTNVPAMDDVMFAFSPMPPPTPQEVDDDMFDDDGDDHAFISDLWRF >SECCE6Rv1G0435610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:771917982:771918353:1 gene:SECCE6Rv1G0435610 transcript:SECCE6Rv1G0435610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYRSKSYAGGRMQIEPYTGGGARPDFRSLSYGGGGASYQYQYEYGAGAGAGQVTTVVEEEEVKRSKSKRRWLALGDPDMERKRRVAAYKAYSMEGKVKGSFRKSFRWIKDRYLHLIYGVS >SECCE7Rv1G0519910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866238401:866240216:-1 gene:SECCE7Rv1G0519910 transcript:SECCE7Rv1G0519910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAYDRTADLRALDATCSGVHGLATSGITHLPRIFRVPDHHHESPPPQALFQESPSAAATIPVIDLGSSDRAAVVAAIGRAAAEWGFFQVTGHGVPLESMAAATDAARRFHEADGCEGSDKARLYSREPDKAVKYHCNFDLHQSPVANWRDTLYLRMAPDPPEAADLPDSCRDVLFEYAKQVKNLGKTLFDLLSEALGLKPSYLTDIECNQGQVIVCHYYPPCPQPELAIGTSRHSDYGFLTILLQDEIGGLQVLHDDRWVDVTPTPGAFIVNIGDLLQLVSNDGFRSVEHRVLAKNAAPRVSIACFFSTQFHPASTRMYGPIKELLSNENPPLYRETLVRDYVKHYFSIGLDGKTAISDFRL >SECCE4Rv1G0245070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:524483114:524484460:-1 gene:SECCE4Rv1G0245070 transcript:SECCE4Rv1G0245070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGALRKLCAAFDAVALTIIAAGLSHPRCRPFSARAGGHSPPPDFPTIASCRAAVAASKRRRQPSSPPAEVQPERPAGAEASVLVRIKSERDPVRLYELFKANADNRVLVENRFAFEDAVARLAGARRNDLVEEILEQHKALPQGRREGFVIRIIGLYGRARMPEHALRTFREMEMYGCERTVKSLNATMKVLMQARLFDEALQLLDEASPTYGVELDDISYNTVVKMACDMGELHAAYRVMQEMEKEGVQPDVITYTTLMAAFYKNGHREVGDGLWNLMRLRGCMPTLTSYNVRIQFLINRRRGWQANDLVRKMYAAGITPDEITYNLVIKGFFVIGEHEMAKTVFGAMHGRGCKPNERIYQTMVHYLCKRREFNLAFRLCKDSMEKSWFPSVDTIHHLLKGLMVIKKDRNAREIMTLVTERKPSYSVDDVKAFQDILSHGKTGR >SECCE6Rv1G0407300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555666749:555667777:1 gene:SECCE6Rv1G0407300 transcript:SECCE6Rv1G0407300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPLSNGAGHQSVPGRYILPVHKRPSSSVNGNVVPPVLPVVDLGGDDDGKIADEIVRAGREFGFFQVVNHGVPEEVMDAMMRSAEEFFALPADEKMAYYSIDGKKLPRFHTSVRNGAGEEFLYWRDCLKLGCHPPEWPDKPRGIRAALEPYTAAVRAASRRVLRLTAVGLGLGEDHFEGSLSGGGMMNVNHYPPCPDPSLTLGVAPHCDPGLVTVLMENVGGGLQMLLHCDGDAGGGRMWVDVEAAPGALVLNFGHQMEVVSNGLLRSGEHPVVTGARAARTSLASFVWPELGCTVAPAQELVLAPGQAPVYRSYSYGEFLGVYVAEAGVNDAVMAHFKH >SECCE4Rv1G0286610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850110325:850111587:1 gene:SECCE4Rv1G0286610 transcript:SECCE4Rv1G0286610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAISKSPSVLVTPSEVSVTGGDVILSSYDKMFGGRSVTVFFIFEYPIQDPVETIKRGLSQALAHYYPIAGRLAAGAAAGEFVIRCTGEGVSFVAASANCAIKDVPEFCDSSLQEELAVLYADEGCSYSEPLMLMQVTVFSCGGFVIGVTLNHAVCDGIGMAQFMQAIGELARGLPSPSVIPVRSKDSFVLDLPPFSTNFVRFLGTLQPSPMEFLDITVPSSLISRIKRTYTMNYGQPCSVFEAVAAVLWRCRTRAIMADPAALAVLTFPANARKHAGAREGFYGNCVTAQLVTATSGAVADGDLMELVKMIQHAKDRVPGQTEIDELRQLDGYNLFLMSCWRNLGMEEIDFGFGPPARLMEYTQVRTKLPSCATCVPCKDEYNVQSLCVKEAHADAFLRELAKIRLTYNPLSVSSKL >SECCEUnv1G0532500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:21111832:21112095:1 gene:SECCEUnv1G0532500 transcript:SECCEUnv1G0532500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTTTASDVAGVWFFGELSAALRGKKRQAGPTVSHAEQQHQMGGAAHGNKAAAAAKPSVVDRKEASGGGMSDATVYLLLDRFAPS >SECCE1Rv1G0053590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:670365502:670365795:1 gene:SECCE1Rv1G0053590 transcript:SECCE1Rv1G0053590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKATLVCFMVVALAAALLAAPGAVEAATCSPTQLTPCAPAIIGNAASSAACCGKLKAHPASCLCKYKKDPNLQRYVNSPNGKKVFAVCKVRLPRC >SECCE4Rv1G0264330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:720177661:720189689:-1 gene:SECCE4Rv1G0264330 transcript:SECCE4Rv1G0264330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMPGPEVFDVVIFGASGFTGKYVIREALKFLSPNASPLRTLALAGRSRERVAAALSWAASPGPVPDVPILVADASDPASFTKVASRVKMVAAACAEAGVHCLDIFGEPEFMERVEADLHEVAVKNGSLIVSACGFASTVADLGFLFHSRQWTPPSAPVSVVAYVNLESSDRKIVGNFATFESAVLGVANTSQLQALRRFRPRPAKPSIPGPPPPKGSLMIEHDKALGLWAMKLPSADTVVVKRTLAKVTEHPEGLPCADETSDFEKHRKEFWSSIKPAHFGVKTGSCSILGLVWCLSTAIFVGILAGFSFGRSLLLKFPEFFSLRFFRKTGPTEAEVSSASFKTWFVGRGYIDSAHASECKPDKEIVTRVSGPEIGYITTSIILIQCALVLLSQRANLPKGGVYTPGVVFGPTDLEKRLEENGLSFDLISTRTIPSEEQAHAS >SECCE1Rv1G0045180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:608716964:608717771:1 gene:SECCE1Rv1G0045180 transcript:SECCE1Rv1G0045180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVTLPSAFDPFAEANAEDAGAGPGAKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKELIKIHGF >SECCE2Rv1G0080000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:125713306:125713914:1 gene:SECCE2Rv1G0080000 transcript:SECCE2Rv1G0080000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMQVPAASSKIVLVMLLLGLGLAVAAADSRRRLVSSSSDEPCKQMTLYLHDILYNGVNNTANATAAPATKPTDLSTSHWSNGTFFGMLVVFDDLVTEGMALPVGDEVPAARAQGLYFYDKKESYNAWFTFSLVFDSKAYKGTLNLMGADLMDDETRDLSVVGGTGDFFMARGIATIRTDAVEGYFYFRLKMDIKLYECYD >SECCE5Rv1G0339930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611511305:611512223:1 gene:SECCE5Rv1G0339930 transcript:SECCE5Rv1G0339930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIQRRKIGEKKKKRRKTRAATIKKKIVKKSSASAPQGLIHDNDHFCPYNYIHGPFGLRTCKERCPPGRHPLLLASASASGSQHQLHRLVRVTNVPLSVIPGEHELRGLFNRFGPLAMGDLTTSESHDPVGFGWVAFKSREQAEEAISKLNGHLVGDRRLRVDWVYPR >SECCE6Rv1G0401330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:465118053:465118889:-1 gene:SECCE6Rv1G0401330 transcript:SECCE6Rv1G0401330.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTHGVLELLLVSAVGLKHAHHHLGPQRYYVTIQCGDQLRASKITHGKKHRRIWWNEKFRFPLSPRECRELAKVTLKVMERDKFAEDTLVGEIRVHVGEIIREGSEREFLQMKPAPYNIVLRDGTYKGELKLGLKFLSGVSSERPGERPQCAAAPSRRPYVVYTPFLNITLPCVPWRRFFFFCTRSNRWTTRE >SECCE3Rv1G0164390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:162235502:162235876:-1 gene:SECCE3Rv1G0164390 transcript:SECCE3Rv1G0164390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDVPVPEGEEPKSAVEIFEQVLKTEVKQSTFLGIVGLQSSRNNSGKATTGVAAHVRDLEQKLERPELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFGAKVV >SECCE7Rv1G0462350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45227332:45229787:1 gene:SECCE7Rv1G0462350 transcript:SECCE7Rv1G0462350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPMAKKRTEPEAEDRLSALTDDVLLSILRKVDISTAARTSSLSTRWRQLPWLLPELNIDVRCFLPAPRSDPIEANDMLEAMVALTKATRSLLSKPRRGSTTTRLDLVIYLINTFLSDLGPLVGDAVDCGSLKDLDLTIHDETDPLERNEEYMLQRAQDINGFFTAYPSVLHCLTKLSLYNVCFSELDINCVLFDSCRVLKHLNLIYCDSGRHNLCKIDAPNSKLSVLEIHLCRFERLELVCLPNLEKLKLYNWVSEYTPLSFGYVPSLGELILSSTLTLKDGVFKLSEVLHGTTSIHTLTLDFDGENLWMQPEMKQLCTAFNKLRKLSVCGIFVEFDIVWAIAFLEAAPSVEVLHIEVWGHDTCGGDDNYDRWLIFADRKNPEWEMDSHSSKNWLLKELQIVGFRPLEQQFTFIRALLERAPNLQTIVLKGDMECEWDCVALTRESLFPKTEDEQEMVVRRITDGKPSPRVIFHE >SECCE1Rv1G0023490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:305448029:305449606:-1 gene:SECCE1Rv1G0023490 transcript:SECCE1Rv1G0023490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTTFAVEIQQDAADAADKPDGGVPRPSRVRHLAILSATFCALVFYAHYGIQGSMASALFKPPVTVSSLPSLASITIDQNSGRAEQPVTLAEEHAPLTPRATVLEANRSTTVPAPSDHCVGRYIYMYDMPPRFNDDLIRDCGKARLHPWLNMCPYVANEGMGQPLADDGGVFPGRGWYSTDQFMLDLIFHARMKRYECLTKDSSLAAAVFVPFYAGLESGRYLYNYSISTRDALQLDAIDWLVRRPEWRAMGGRDHFLVAGRTTWDFRRQADVDELWGTKLLTYPAVENMTAFVLETSPSTRSNFAVPYPTYFHPEAAADVLAWQERLRKVGRRWLFSFAGAPRPGSKKTVRAEIIRQCRASSLCNLFHCGGVIGGGAADCNSPGGVMRVFETSDFCLEPRGDTATRRSTFDAILAGCIPVFFHPGSAYTQYTLHFPREHGRYSVLIPHAGVAAGNVSIEETLRKIPPEEVRRMREEVIGLIPRVVYADPRSRRVGFVDAFDVALEAVIGRVAKRRRGEAAGR >SECCE5Rv1G0305070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:65861569:65862795:-1 gene:SECCE5Rv1G0305070 transcript:SECCE5Rv1G0305070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLLADLGKNGDGAFQFPVFHEMHPCIQASVLQIANVQKTWYSFEEDRIHKDRFLMAIGLGTPAIMNLVTIDTGSTLSWVQCRPCLIRCHKQDEEAGRIFDPLQSSTYQSVGCSTEDCGYVHETLGIPFGCNEEQDSCLYSLRYASEEYTAGSLAKDKLTLGNNFSIDGFMFGCSGDDRYNAADAGIIGFGGASYSFFRQVVRHTNHTAFSHCFPGNHRNGGFLSIGPYDGYNLQFIPLIEYDGSHGDNPVYAIQQLDMMVDGIRLQVDPAIYTTRMTILDSGTIDTFILSPVFRAFDKAITAAMLAKGYAREAAGRNKICFTSTGGDSVNWRDMPTVEMEFVRSTLKLPSENVFYRVSADQICSTFRPDAAGLTGVPILGNRATRSFRVVYDIQDTKFGFQARAC >SECCE1Rv1G0054920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:677298118:677302472:-1 gene:SECCE1Rv1G0054920 transcript:SECCE1Rv1G0054920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGVVGARSTSTWAPAVRNRDRHRAPRRVRCRAAAMPPSVRTVSIPFADLKERGRDLSGKIEEGLGPNGLGIISISDVPDFPALRRTLLRLAPRVANLPEDVKKELEDPDSRYNFGWSHGKEKLESGKLDTFKGSYYANPILDVPTTDDVLVNRYPSYCRPNIWPADHLPELEIAFKALGKLMLEVGLMLARHCDLYVMQHGVEPYDGESLEQTISRSRCHKGRLLYYFPRQFSTQKEGGDSVSSWCGWHTDHGSLTGLTCGLFMKNSVEVPCPDSAAGLYIRTRDNRVVKVTFGEEELAYQIGETTEILSRGRLCATPHCVQAPSSENASNVERSTFAMFMQPDWNEALKFPSEIPYHQELIPPNGALTFGEYSERLVNKYYQGKT >SECCE4Rv1G0288540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861085977:861086894:-1 gene:SECCE4Rv1G0288540 transcript:SECCE4Rv1G0288540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLARSKEPMQYQVMEGRKDMQESYTAPVVQVPREPAIVINGVPDFPPDFASGSQLAVRDASRSRVDYRFGEWLVERKVRKWFEDKYYAGIVVRYDNVNNWYTVVYEDGDQEDLEWHELEEILLPLDVAIPLNTLVMDKFKHQNVAPDYRTIVAPEYRTNVAPDYRTNVATNQMVVRAVSGQQSNNLPLPGWLQASASAGENALVCLKPSDQPKKRGRPRKDISTSGDIQPKKRGRPRKDIISTSGELSKQGSTSGDIQPKKRGRPPKEPGEKSIDRSKLDTVRAEKLKRESMLLRGAPPGSQSF >SECCE2Rv1G0084360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:174179549:174185909:-1 gene:SECCE2Rv1G0084360 transcript:SECCE2Rv1G0084360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDDDCPPLAVELPPQTTSPPVPAPSSASASVPVGVTVITGYLGAGKSTLVNYILSGQHGKRIAVILNEFGEEIGVERAMINEGQGGAVVEEWVELANGCVCCTVKHSLVQALEQLVQTKERMDHILLETTGLADPAPLVSILWLDDQLESSIRLDSIITVIDAKNFRRQIDEHTNSSSFPEAFHQIAFADVVILNKIDLVKDDLEDLERQIHDVNALVTVMRSVRCQVDLNTIFDRQAYGVKNSSQLQELLEYSKSAPPNSRHDNSISTLCIYEQDPVNLAKVESWLEDLLWEKKSNMDIYRCKGILNIHDSNQLHTLQAVREVYEVVPAREWSETQSRMNKIVFIGRDLDISTLQDSFSRCKH >SECCE3Rv1G0148040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:17347411:17350688:1 gene:SECCE3Rv1G0148040 transcript:SECCE3Rv1G0148040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERTFKMTGKAYVSSLLCFAAASMFPVFLLLLLPYALLRSASATTFSNWTDVDALLAFKASINNQRGGVLDAWNTSNTTTTFCHWPGVVCSEHSRVTALNLTSEGLGGIITPSIGNLTFLKTLDLSLNNLDGEIPPSIARLSRLRYLDLSNNSLHGNIHPGLNNCTSLENINLGANHFTGEISPWLGGLSSLKVIRLWNNNFTGTIPPSLTNLSALQNIDFTTNQLEGDIPEGLGRITSLNMIQLGQNRLSGIIPATFFNLSSLIGFNVAVNELHGKLPSNLGDRLPNLMYLLLGLNHFTGNLPASLVNATEIYMLELSSNNFTGRLPREIGMLCPDTLSLEVNQLTAATAKDWEFMTLLTNCTRLGTLDLYFNNLGGVLPSSVANLSAQLRELDVGYNQISGKIPLGISHLVGLNQLDLSNNRFTGALPDNIGRLNLLQALYLENNQFTGYLPSSLGNLTRLVILPATGNKFEGPLPTSLGSLQEMTTADFSHNRFTGPLPKEIFNLSSLSKLLDLSDNYFFGPLPPQVGSLTNLAYLYVSRNNLSGPLPNELSNCQSLTELRLDHNSFNSSIPSSISKMRGLMLLNLTKNTLSGVIPQELGLMGGTEELYLGHNNLSGHIPESLENMTSLYRLDLSFNHLGGRVPSRGVFSNASGFLFGGNLGLCGGILELHLPPCPAESVGHGLRKHHFITRVVIPIIAGITLCVSFALVFFTMRKISKVRSTAVQGFQLMDDRYPRVTYAELVHGTSGFASDNLLGGGRYGSVYKCCLLLRNMMTTVAVKVFDLQQVGSSKIFIAECEALSKIRHRNLMSFITCCSSSDTNQNDFKSIVFEFMPNGSLDGWLHMDVHASHQLQGMTLMQRLNIAVDVADALDYLHNSCEPPVIHCDLKPSNILLDEDLVAHVGDFGLAKILAEPAAEQLINSQSSVGIRGTIGYVAPEYGQGGQVSSCGDVYSFGIVILELFTGMAPTHDMFRDGLTLQKHVEDAIPGMLMQIADPVLLSIEEANANTVEHVSNAIFSIMKVALSCSKHNPTERMRMRDAAAAIHRIRDAHAH >SECCE3Rv1G0213630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961754615:961755217:-1 gene:SECCE3Rv1G0213630 transcript:SECCE3Rv1G0213630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPASLVHSSTTTAAATPAASSSSSAPAAMISIDPDMVVILASLLCALVCLAGLALVARCACRRLSPSATSITIVSSLPSRGLMKKAIDALPVTAVKEGHQEEQCAICLADLAAGEEFRVLPPCGHSFHVGCIDAWLRTHATCPSCRATILDSSTSSPPAPPLPAPGLCQRCGAACIVDDNASASAPTSAVGDESGFLP >SECCE2Rv1G0129870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:871255407:871259054:1 gene:SECCE2Rv1G0129870 transcript:SECCE2Rv1G0129870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATAFAGRIAPKLLEFLAANHKLRQNLEHDITYIQREFALISAAIQQDDECRWRSGAVGDHVQRAWIQLIRDLAHAIEDCIDRFGHRVTMTGTSTWFRQAVHRLQTMTVRKEFAKAIRELKKISQESSKLRETYYYANISAGTSSSSVASSSLACETMTQMAIDDTLSTGRPVGIDEPRDELLELIQQQQQQLKVISIVGFDGIGKTLLAKRVYDTIENNYEARAWVSAAEQGVPTNVIKEILQQLGIPTNGGGNLNKLCTMLRLYLGTKRFFIVIDDMRTEFWHDIKDTFMGLSGRVLVTTSIQSVANACSSSAPHDHVYAMKTLADEHSRLLFFKEAFQDDNPPVDMEDQLGSEALKKCDGLPLALVTTARYLQSTGDPTRENWATVCHNLGAHLQTKEMLARMKRVLVHSYTSLVKHDVKTCLLYLGIYPNGRTVRRGSLIRKWCAEGFIQGDYMCNALDAAIDNFKELVNRCIIRRTDANSENIADQLKTYHTHGMMLEFILHMSKCDNFITLLYDQLAPPPPPSKIRWLSLHDASARVANDLSLVRSLTIFGRAHESVLDFSKYELLRVLDLEECGNHLEDKHLREICSTMLLLRYLSLGSAVMVTMLPREIKRLRLLETLDVRRTKIEILPTQVMELPCLIHLFGKFNLQQGVGGQRMHKLQTWISKNSKLETVAGFVVDKNKSQGFEQLIEHMKHLTKVKIWCEQPTNHCTEPTASGSGSKNYTHLSKAIKGFVKRSTDVKKAHSLVLNFNDELFQDLLLNLSLEKEEASSCYLRSLKLQGANICSLPPFVTMLGGITKLCLSSPHQLSGDILAALSRVRCLAYLKLVASRLDKLIIIKGALGSLRSLCIVAKVMTELEVKVGALPLLESLQLLCKDLNGFRGTAIQTLRRIKEVTLHDGVSNETKQKWKEAAKNHPGRPKLLFVKMAKDVDMGSEPADNFTSPVEPTTRTTLSVTVPRDAISNGQSVKVDGDDLQHDDDEKEDTENIDMLDDFASKTCLDPLINKQSFEQQMEGVVALEDQQMEDVTWSTDQADQNPMILVVGENRRKRARTVAEDDSVDKVVNRVKRKNSEDVPGNLHIPTVLNLSNISPSDMLN >SECCE6Rv1G0390240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:180404080:180415557:1 gene:SECCE6Rv1G0390240 transcript:SECCE6Rv1G0390240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCGGDGRRPRAVFMAFGTHGDVFPIAALAAAFAKDQQQYTVVFITHSAHQSLCTHLVASKVRYMPVASPPALAGEQLDNISYDSVQSNAGPESFSRRKEIIQAEHRKACLASVEQVFGNDPSIHSDFIVINFFALEGWHLAELFQVKCIIAAPYFLPYSAPSSFEHQFKQSFPLLYKYFQEAPPNTVCWTDITHWMWALFMESWGLWRNDCLNLSPIPYTDPVTNLPLWHVRAESPLLLYGFSKEIVERPGYWPSSAHICGFWFLPMAWQFSCDKCRELFSGDFNSPFEGILCANHAGLEDFLMGSSYSSLPIFIGLSSIGSMGFLRNPKAFLMVIKAVIESTDYRFILFSSGYQPLDSAIKSVASLAVESSVEAPALSNDSTLLFSNRLFCLSGSIPYSWLFPKCAAAIHHAGSGSTAAALFAGTPQVACPFLLDQFYWAERLHWLEVAPEPLKRQHLIPDIDDAVSVNKAADVLLGAIRSALSPEIKAQATVIAQRLASEDGIGEALTILKEKVLP >SECCE6Rv1G0408990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:580090702:580093501:-1 gene:SECCE6Rv1G0408990 transcript:SECCE6Rv1G0408990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVADGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLLDHPGMVPVTTAQGEELRKQVGALYYIECSSKTQQNVKAVFDAAIKVVIQPPTKQREKKKKKQRRGCSMMNFGARKMLCFKS >SECCE5Rv1G0345530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:656975398:656975797:-1 gene:SECCE5Rv1G0345530 transcript:SECCE5Rv1G0345530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFVGWLTVTAQCRLEAGRRSYLDGGDNNATVANVISSLDDARFTLILCGKWNCDFGDCYCCDIRPTPCFKTLDECRSKCPKCNPKCTPPESSIELHA >SECCE7Rv1G0485540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:376159984:376163628:-1 gene:SECCE7Rv1G0485540 transcript:SECCE7Rv1G0485540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTHLPPLRAFQFPLGTYLRHTCSPSPPPPRLSSAPVSRWPTPLRARASGESIRGGAEPTGSTLDALLSGAELLCLAPPAICSAVCVVRLIVARGGPVKPFAALASGRMFVMQYVLLVGAVVVGVLVRRKQWERLCRVGAGGGASATGGVDLVGRVEKVEESVRGVLAAVVVLSRTVEKLGVRFRVLRRTLRDGISESATLAEKNSEATRILAAQENLLEKEIGSIQKVLYAMQEQQEKQLELILAIGEASRILDDKQDFLGQETAISSSSAPEYANIRDPNVHGTSDF >SECCE5Rv1G0376250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871864682:871864969:-1 gene:SECCE5Rv1G0376250 transcript:SECCE5Rv1G0376250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMRKEAAVAVMLVLVVLAEVPGWARAECQVTQLAVCASAILGGTKPSGECCGNLRAQQGCFCQYVKDPNYGHYVSSPHARDTLQSCGIPVPHC >SECCE4Rv1G0292320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882014271:882015730:-1 gene:SECCE4Rv1G0292320 transcript:SECCE4Rv1G0292320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRGHRVSPAAVAGPLEDDNVLGEVLVRLSPEPSSLPRALVSKQWGRVAASADFRRRWRDRHGRPPVLGVFEKRMTTLLFTPGLQAPDRIPMERFSLQVCTEAAWNTWCVLGCRQGRVLIMNWTLREFLIYNPFSHDRRRVSFPPDLSLPPDVFDDGHTANGALLSDEQSPLKLVLVTCSGRAGAQARVYSSETGTWGDSVSIPDPCRLTSVPATVVGNRLYCWLKRPGDRILELNLDSQTLALITRPPRANIKSRNCRIIPGEDGAVGLALLSYPAIELWNRNVNSHGVATWVLRKTVVLDSIFDYAPSSTGAWRSLVIGYTEDANAILISVYKEMCIRVFTVQLESMQCKRLRGHFLNDLYHPFASFNEAGPSTLQILAGANNDVGAGGAQA >SECCE5Rv1G0352530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:710523323:710524042:1 gene:SECCE5Rv1G0352530 transcript:SECCE5Rv1G0352530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDEKVTLLGHWGSAYVTRVRLALHLKGVRYEYVEEDLRSKSDLLLRCNPVHRSVPVLIHDGRPICESQVILQYIDEAFGPPALLPADPHERAVARFWAAYADDVLGAPWEKAYRAGTEEERAGWMRQVVAATDALERGLSECTDGGKKGCFFGGERVGFVDVVLGGVVPLVHATVEISGDMLFGDAGRTPLLAAWLQRFGELDAAKALLPDVDRVVDYVRMIHAKNAAVKAPAN >SECCE4Rv1G0273730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:779407967:779408907:-1 gene:SECCE4Rv1G0273730 transcript:SECCE4Rv1G0273730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSAASLSVAVLLALLAAADSWLYEEFTTDGNVRADYNAQGQQVASLILTQQSGGGAFGSRQKYLYGEFSIQMKLVPGNSAGTVTSFYLSSGDGPGHDEIDMEFMGNATGQPVVLNTNVWANGDGKKEQQFDLWFDPAADFHTYTIIWNAKNVIFKVDDLFIRSFRRYADLPYPGAKPMSVHATLWDGSYWATLKGKVPVDWSGAPFVVSYRAYSADACEPAGDGRPLSCPAGTDRWMNRQLDDAERGTVAWARRDYMRYNYCDDGWRFPRGFPAECARG >SECCE1Rv1G0010830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:66318190:66319641:-1 gene:SECCE1Rv1G0010830 transcript:SECCE1Rv1G0010830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLITPRCDGGDHDAPRPMPHLDDAMLSEILHRLPTKDAYRAAAVSPWWRTVLSLPAFLSRHLSPRPLPLLDDRPYALVVQPRRNVGYFTHLTLVPVEPADRVPVNVPLQRKYMAASSDTPPCLLDTAGDDSVFQLLYSNGTVDDPASPAADDYDDAHRHGHDLPAVDGDVSFGQVTKETDASAVDAAPAPPLGERVEDYVVFFERTVPMLDISIVASHGRLLLGCSRSRYYVCDPAANRWLALPASTTAPASVANTGFHYDASAGLLAFTVVLLVRRRRQRVRVETFRSATGRWDTRELAASGAARCLGTASHGIHAGDCFYWLSRRRGRILRYDAARECASVLREPAEADRSKLRVGRSLGSVGGRLRVCAVDVRNRGKPSRGMERVIGVWLMDEAATWRRVHEAVMGEMWGYYLSTPSYQEKPMDFAGASGGFVVVEENRSMRRYDLETAGNVHLASLHTGSCRLRALYRRFNVFPFFK >SECCE1Rv1G0017640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:159005882:159042551:1 gene:SECCE1Rv1G0017640 transcript:SECCE1Rv1G0017640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHIPLPLPPPLRRRLHHLFLLALLAVASGSPSPGVYDRGAEEAEAYSILTFHDYTPPPPPALPPPPAAPAATCAGDLGGVGDLDTRCVVPASVRLEGGGVFISGNGSLQLLDGVSVTCQRPGCVVSANLSGDISFGHGARVVAGWVSLAATNITLGNDAVIDTTALAGNPPDKTSGVPTGTYGDGGGHGGRGASCYVNKGQTQEDSWGGDTYAWSELKTPNNYGSKGGSTSVEKDYGGGGGGVVWLFADEIVMSGTVIADGGNGGTKGGGGSGGSIYLKAATMQGGGKISACGGNGLSGGGGGRVSIDVFSRHDDTHFFVHGGRSSGCPDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLDPPYEPLWTNVFIKNHAKVSLPLRWSRLQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIQVFGALRMSVKMLLMWNSRMVIDGGRDSGVATSLLEGSNLIVLRESSVIHSNANLGIHGQGVLNLSGNGDTIEAQRLILSLFYNILVGRGAVLRGPLINASGGDVAPKLNCENESCPMEIFHPPEDCNLNSSLSFTLQICRVEDIDVSGLVQGTVINFNRARNVTIQRHGSISATGLGCRGGIGRGGMLSSSLGGGGGHGGKGGDGFYSGNHSGGGAAYGSADLPCELGSGSGNVSTTSSTAGGGIIVMGSLEQSLPILSLSGSVEANGGSFTGVATHAANGGPGGGSGGTILLFVRTLSLENNSVLSSVGGVGSNGSGGGGGGRIHFHWSDIPTGDDYVPFATVKGSILARGGVVKGRGFPGENGTVTAKDCPRGLYGTFCKECPVGTYKNITGSSKSLCSPCPAYELPHRAIYMHIRGGVAETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLLLSGLLVLLALVLSIARMKFVGTDEFPGPAPTQHGSQIDHSFPFLESLNEVLETNRAEESHCHVHRMFFMGPNTFSEPWHLPHTPPEQITEIVYEDAFNKFVDDINALAAYQWWEGSICSILCILSYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFYLGGDEKRLDLPTRLHQRFPMSLIFGGDGSYMAPFSLHSDSVVTSLISQVVPSSIWHRLVAGLNAQLRLVRHGNLKVTFLPMLKWLETHANPALDTYHVRVDLAWFQVTALGYCQYGLVIHAVGGEAVAAELQGGSRITFDQHSLNQNVDDDSQLGYSRNNDAYMCNRITGAILNVDNLMTLKDRSGLFHPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTSLQLYSYSMVDILLVLFVLPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVIVAFVCGLVHYKSSAKRHPSMQPWNLGGDETSWWLFPTGLVFCKLIQARLVDWHVSILEIQDRAVYSNDPTIFWQ >SECCE7Rv1G0474060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:166132329:166135623:1 gene:SECCE7Rv1G0474060 transcript:SECCE7Rv1G0474060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGPPPAASADVGGGRSFIGLSTAAEAALAGAVVLLLVVAAASIAAYLARRRGGGAKPQSSRVDHALSSGSLLPTSTPKQQKYAEVGAEVGTSSSDVASSSAAASSLESPVRKKLERISASGAAPGVEMGWGRWYELEELEAATGGFREANVVGEGGYGTVYRGVLDDGEVVAVKNLFDHKGQAEQEFKVEVEAIGRVRHKHLAGLLGYCAEGPKRMLVYEFVENGNLEQWLHGDVGPVSPLTWEIRLKIAIGTAKGIAYLHEGLEPKVVHRDIKSSNILLDKKWNPKVSDFGMAKVLGAGSSYVTTRVMGTFGYVAPEYASTGMLNESSDIYSFGVLLMELISGRSPVDYNRPAGEVNLVEWFRGMVGSRRVEDLADPLIVPAPAPRVLNRVLLVCLRCIDSDAHKRPKMGQIVHMLEGDEFPFRTEHRSPRAAHRPSTNARTSLLAEKAGADDVDKSTWR >SECCE4Rv1G0268950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:746045619:746047025:1 gene:SECCE4Rv1G0268950 transcript:SECCE4Rv1G0268950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLPNDILLNILERVDTLDAIRACVLSKQMLKLPTMFSRFFLSVSSIPAYHDKARVSSLTISDMLRTNSALAHVTDNILSTRSTEIAINKLKIRFILMQRDSLVIGNSVARAMATHKIDATEFEIVTEKAYKICSPHGLLRYGKHFNDFIGACPDAFAGLRCLWLRNMRFGEVDIPNILSTCKLLESLRLTHCDSGIHSVLQVEHARLIELEVDNGKFERVELTCLPKLQQVTYNNWFSYEDPMYFGFVPQLSKLSLIKTGSRLQKTLELSQFLVNVPSISDLHLDFRSENIWVIPESPELLTPVLSKLQLVNLDHLPEGCDLAWTMFILEAAPSLKGLCITVWDHRCIMFTGTEFRKENGLCDKADVKWKPYAPGFKHKNLVKLTIYGFQPDDNFVRYIRCLAEAAVNMAEISLHDRKVCGRCGDLDLEVKDKVCPSRYPRTAEEKNQVTEVLGLASRAVVHFRS >SECCE1Rv1G0046480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:617587526:617590035:-1 gene:SECCE1Rv1G0046480 transcript:SECCE1Rv1G0046480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPETSLPVSDVNLNRPDLSEVPWETRLALAERPCPLVVNPEQRALIEPAMKNSDKYMEEIREYWRKIDELNATLPEKDQFFTVSIQPRCPEPASFFTYYRLYALDDTNPTPLTSKRFTEPRKDYPVLTMLQIFSFRYVGDFLSDQSMLVYGFIAIRDELDCLRNYIFNCPREQAHEITPDSRTLPLISPVRGNSILDGVLLEYSLKVKSNGSDNAEMDYVLADGCIEYTDHMILRGTTLKSRLFGKLGPVDFHYAFLRQGIEATVDIEIPMASPAWDLKAVTAFTSGLSDAIVLYDGSIISSPAQSVLPISSVVTVQLGQELKLKFDITSMDTVRKECARRSVPRKAASAQYERPDVVMDGEPEPKTYSGFLTFISQKCSFDSGKVSIGDEFKAEVTVTWSTMGPY >SECCE3Rv1G0201500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:864681016:864687091:1 gene:SECCE3Rv1G0201500 transcript:SECCE3Rv1G0201500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAAAAAAITAVLLATLMARALPAAAGRVWVDEEKGAHNGTARRHVTYDGRSLMLDGARRMLFSGDIHYPRSTPEMWPRLIESARKGGLDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIHAQGLYVSLRVGPFVEAEWKYGGLPFWLRGVPNITFRCDNEPYKVHMQKFVAKIVNMMKDEKLYYPQGGPIIISQIENEYKLVEAAFHSRGPPYVRWAAAMAVNLQTGVPWMMCKQDDAPDPIINTCNGLICGETFLGPNSPNKPALWTENWTSRYPLYGHDPRFRSPADIAFAVALFIARKRGSFVSYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGLIWQSTWSHLRELHAAVKQSEEPLLSGAYSSYSFGEQQEGHVFETEFNCVAFLVNFDKHKTSNIQFGEASFQLAPKSISILSNCRRVVFETAKVNAQHGLRTAQAVQSLNNVDSWKIFKEPIPLAINNTTHIGHRFFEHLSTTKDETDYLWYLTRYDYRSNGDTQLVLNVESQAHVLHAYINNDYVGSVHGSHDGPRNIILKTPITLRKGQNSISLLSVMVGSPDSGAYMERRIFGVRKVSIQQGDHKSHSLNNEMWKHQIGLSGEMNNIYTLEGSSHAQWAAINKSTHLPLIWYKTTFDTPWGSDPVTLNLSSMGKGEAWINGESIGRYWASFKTPRGQPSQSLYHIPQYFLKPKENTLVLMEEMGGDPLQITVNTMSVTRVYSSVNELSTPSLLSRRKHPAVRLRCQKGKRITDIEFASYGNPVEDCRSSSRSCLGNCHAETTEFVVKDACLGRRKCAIPVRPAKFGGDPCPGIEKTLSVVASCG >SECCE6Rv1G0427610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720163648:720164772:1 gene:SECCE6Rv1G0427610 transcript:SECCE6Rv1G0427610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYCSISILPDDLIWEISQCLLATNDLDAYVDFRAACRDWRRAIPNPRADAKDPKFIPTKWIMARHSITEDGNVTFVNLSSGRSVCKNIYLLLRRYFFIGVAAGGLLVLGEQKHPYQTRVLNPFTGAMVHFKAGIPWDKVEAVAVTLSPMRVFASTPQRNSVRWIDETTEETVSGWGFHDKWFGASQGCLVGMSPFAGDLYTTDVDGFIISAIDAAAAEKDQRQQLPAILGPEFYAQECHGNYFYLVESEGELLFVMECRQPFYNGGPLVYKVDAVNRVLVPVWRLGSRALFVSKYRCLSVDATKLNTVEAGSIYYADYSMIIAYDYEIFPDDGWVEKQPENVLVFDPNHEAYDRPFSLARILVDYCRTAEQE >SECCE5Rv1G0362520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:787046275:787048452:-1 gene:SECCE5Rv1G0362520 transcript:SECCE5Rv1G0362520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLGPLTQPPSARPIHLTIPPPAGAMSPPSTPFPSTASLLLAGSRLPRLQIKSPRAPTKPPYRSASPSPYRPWLRGVARPPRLVPASASVLPLRVTAAPPLGVDAADESGRPAAAPPLGAEAEDKSGGRLAAARRVAVALICSALAAVWCHRALAAGAAAAGAGAGASTSAVEAADVVGWVALRLRGSWPTVLQVLQLLREQGLILALLLGLSAFFSMAETSITTLWPWKVRELADKEPENGVFKMLRNDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAVLLLTEITPKNVAVHNATEVARFVVRPIAWLSIILYPVGRIVTIISMGILKLLGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDAAATLIDFKNLWETHQYSRVPVFEERIDNIVGIVYAMDMLEYVEEAEKLKDITVKEIAHMPIYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGNIVMLEDGTFVVDANTSIDDLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILERDKSEENGEYQDEGSDHQDDREATQAYELEILEANARKVSKVRFKPISSECVDVDNKGVNRLMSKKIIKRKKKDSGNSSDSDDDDECPDITENGCPAELVSYSDDSAELEDAGSSMGQGNKVNN >SECCE1Rv1G0019000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:216419527:216425311:-1 gene:SECCE1Rv1G0019000 transcript:SECCE1Rv1G0019000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRMLFDLNELPTEADEEEAAVVVSQPQLPIPNMYPSNLFPPQEVPWSQGILNNHAFNHAPSGSGFQPFVRSTDSQTVKNSTNMEENLDATAASTSVVTNHLSDSVAWRTGPSNQVPQSVEREEGEWSDADGASDTAGSSVSNKEESAGTASTQVKRESQESGPAIVKSSDVIKDDIAAEPGDTEMSDVSKGPVHGPTGLESMRTSESKGSQPVDDLDQCNKLKDVRGVEASYALKCTNNPAKRPKLDDHKVAMLGKKRARQTVFINVEDAKQAGTMKTITPRRQSSFPAPIVTRTVKEASRGVGERAADRQSQPVIRDQRQSEMIGSERSNSADPWDQNGESNGDFELGSHGRSKKMNAEEAPSDSHQQSVLRQAFSKQPTDSKQFKGRPVSSQRAVLTGQHTADQKPANKRSLVPKKQASVNNTQYNDTSVERLIREVTNGKFWHNPEEEELKCVPGSFDSAEEYIRVFEPLLFEECRAQLYSSYEESLEAVSRDAHVMVRVKSVDRRERGWYDVIVLPTHEYKWTFKEGEVAILSSPRPGSAAQSSRSNRKTAASNEDAEADCGRLVGTVRRHTPIDTRDPIGAIIHFYAGDSFDCSSETNVLRKLQPRSTWYLTGLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYQEQPPAMPDCFTPSFSDHINRTFNGPQLSAIHWAAMHTAAGTSNGVVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQAGSTTNSSSEAFAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKVYRPDVARVGVDTQSRAAQAVSVERRTEQLLMKGRDDVIGWLQQLKGREQQLSQEIGLLQRELNIVAAAGRSHGSVGVDPDVLAHRDRTRDILLQKLAASVENRDKVLVEMSRLLILESRFRVGSNFNLEDARSSLEASFANEAEIVFTTVSSSGRRLFSRLSHGFDMVVIDEAAQASEVGVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVVKLPDELYYKDALMSPYIFYDISHGRESHRGGSSSYQNVHEAQFALRLYEHLQKLVKVNGGKKASVGIITPYKLQLKCLQREFEEVMNTEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNASALMQSDDWAALVADAKARKCFMDLDSIPKDFLAMKISSNTPGRNSSNNIRNLRTGGPRPRHLDMLPDPRIGIRADEDERPNSVPRNASYRNLDDLGRSGDRSRESLQFGVAKRPSSSNGSRREV >SECCE1Rv1G0016770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:142247679:142255034:-1 gene:SECCE1Rv1G0016770 transcript:SECCE1Rv1G0016770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILLHGTLHVTVFEGEGITNNSRPSSQAPQFLRKLVEGIEDTVGVGKGASKLYATVGLGNARIGRTRTLNDESSSPRWYESFHIYCAHLASDVLFTIKGNSTIGASVVGTGYLPVRDIYGGDEVERWLPLCDDSRNPVEGGGKIHVKLQYFDISKDRSWGRGIRSGKNPGVPYTFFSQRQGCKVTLYQDAHIPDGFIPRIPLDDGRCYEPHRCWEDIFAAISNAKHLIYITGWSVYTEIALLRDANRPKPAGGGVTLGELLKKKAGEGVRVLMLVWDDRTSVGVLKKDGLMATHDEETMNYFQDTDVHCVLCPRDPDDSGSFVQDLQISTMFTHHQKTVIVDHDMPQSGGGRRRRILSFVGGLDLCDGRYDTPFHSLFGTLDGPHHDDFHQPNFATAAIAKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDLLVQLRDLADDIIPPSPVMHPEDRETWSVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDQIIDRSIQDAYICAIRRAKSFIYIENQYFLGSSYCWKPDGINPDDVGALHLLPKELSMKVVSKIEAGERFTVYVVVPMWPEGIPASGSVQAILDWQRRTMEMMYTDIAQAIQAKGIDAKPKDYLTFFCLGNREAKKSGEYQPPEPAEPDSDYLKAQQNRRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPGHLATSRPARGQVHGFRMALWYEHLGMVDEAFQRPESLECVHKVNAMADRYWDLYAGDSPERDLPGHLLTYPVTVTGDGSVTQLPGVEFFPDTEARILGAKSDYLPPILTT >SECCE3Rv1G0200370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852918950:852920288:1 gene:SECCE3Rv1G0200370 transcript:SECCE3Rv1G0200370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDVQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGVVGNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPMNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGFTLPHAIIRLDLAGRDLTDGLMKIMTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETARTSSAVEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMNKEITALAPSSMRVKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDEAGPSIVHMKCF >SECCEUnv1G0560380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:346650310:346651008:-1 gene:SECCEUnv1G0560380 transcript:SECCEUnv1G0560380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSYTALRGGLWLVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHLPMIRKQGISGPL >SECCE5Rv1G0314770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:276281730:276283162:-1 gene:SECCE5Rv1G0314770 transcript:SECCE5Rv1G0314770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COG0212 [Source:Projected from Arabidopsis thaliana (AT1G76730) UniProtKB/TrEMBL;Acc:A0A178WEK7] MITTGCIPEACTSVGAAKYGRPIDLDEVIKVDLIVIGSVAVDPSTGARLGKGEGFAELEYGMLHYIGAIDDSTMVVTTVHDKQLEDDIPVEKLLIHDVPVDIICTPTHVILTNTAIPKPQGIYWEKLSPEKLGQIRILRELKRRIEQETGTILPCGPSKNLPPTAQRRRRGR >SECCE6Rv1G0441600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811506371:811507708:1 gene:SECCE6Rv1G0441600 transcript:SECCE6Rv1G0441600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPEHRLLAPPVASPAPARASVSAAALPAVSRLPRGALRLPRAASTHRGKASSAVRASAGPAEPARMPSLAHREVARAVAEEAEARLGNRLLPSAVPADVAEFRNGDGTALGSLDVRRGAPGSSIDFMLQSSLHCKVPNGAIDITSIFINLNASTDAPHFVMEFIQGSPTSMVVLLDLLPRKDLALHPEYIEKFYENTEADKQRKIIEELPQARPYLSPSLFVRSAFSPTAVFFTIDCGQGGESALEEIVRGQLASVVKGVLQIWLDTCAGDTSEMEEGEREIMVRRDRTVRSKSIEVDLTANLPRMFGPDVSGRVIAEIRKAFGVEEA >SECCE7Rv1G0493550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:570331237:570333813:1 gene:SECCE7Rv1G0493550 transcript:SECCE7Rv1G0493550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFACMPRKDHRAAAAVSRSKRMGSARPPRAGAAKLTPAEEELLHRQALAMAIHQHLDAGGSMSRRIDAAGGSMSRRIGPGSTSSRRHGNLPDSVTNAKAVQIVLENLETKKIVLVHGEGFGAWCWYKTISLLEEAGLDPVALDLTGSGIDHTDTNSIATLEEYSKPLIDYLNKLPENEKVVLVGHSCGGASVSYALEHCPKKISKAVFLTATMVKDSQRPFDVFSEELASADVFLQESQYLLYGNGKDKPPTGLSFDKQQIKGLYFNQSPSKDIALATVSMRPIPLAPIMEKLSLTAENYGSVRRYFIQTLDDRMLSPDVQEKLVRESPPDGIFKIKGGDHCPFFSKPQSLHKILLEIVQIQAPGALFPGKAETLEEEEEESAEKSS >SECCE1Rv1G0054580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675438353:675439647:-1 gene:SECCE1Rv1G0054580 transcript:SECCE1Rv1G0054580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWNDEETSSEEECEVVSMDMGLMEEPETIVEPLFCGQLELSEPKCILHQMRHVKHIAFEGTLTGRRFYGCPVQANGVNCGVVEWVDGPWPPVLQRCLSKLWEMFHDQNCGRVLDKEKFEKELAKVNSEHERELAKLKMENDKLCIDYTKLVDDVCKMFDWQDGRVDKRVYQKQVEEEELEKKKKELEGKAMLEVQMEKLKLAKEQRCILQSQADIIKNTRKAMKDVEVDTDVLKKEKAKLELVVAELLKEGYGSKEKLEQIKTILKS >SECCE2Rv1G0072860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:61872219:61873943:1 gene:SECCE2Rv1G0072860 transcript:SECCE2Rv1G0072860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGGRSPAAVVLDDLVDVRDGVEMLQTVLQESSPGATVEAGELVDGMMAKLSSAMSVLRTGDGGVAASSGPGRGPGGGRKRTGAASSGPHRRSSSRRRMKSPLIKTVTATTLTDGKSWRKYGQKQINDSTNLRSYYRCTHLPDQGCKAKRHVQESETNPSEYTIDYYGHHTCRDPSMFPSLTVQGAADATPPLDCANLISFAAINGANHPFTASTSTSTSAFAHHLMKEASDHHPMLFSRFSNYSFSPPAQEGVSSGSPSSACHGKFMQYAGGQLIDVTGPRMSLLTVGSAPAEYWPVVEVTGVDMDAAAGIDSFPSSPSSLGFMSGSLGGSFGNNVGDDDLFSFDS >SECCE7Rv1G0505090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:729753360:729753869:-1 gene:SECCE7Rv1G0505090 transcript:SECCE7Rv1G0505090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKETGCQSREGPILCVNNCGFFGSAATMNMCSKCHKEMAMKQEQAKLAASSFDSIVNGGDAAKEHLAAGSMAVAVAHVEAKTLITAQPADIAGPSEAAMQNPKGPSRCSTCRKRVGLTGFNCRCGNLYCAMHRYSDKHECKFDYRAAAMDAIAKANPVVKAEKLDKI >SECCE4Rv1G0295610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:899183446:899184417:1 gene:SECCE4Rv1G0295610 transcript:SECCE4Rv1G0295610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIYRLLAPSFRFTMEINAQARRLLINADGIIESTFSPGKYSMEISSAAYAEQWRFDKEALPEDLIRRGMAVRGDDGKLELAIEDYPYANDGLLVWDAIKQWASDYVAHYYACAADIVDDVELQAWWKEVRTRGHADKQDEPWWPRLDCHESLVQTLSTIMWVASAHHAAVNFSQYPYGGYVPNRPSMARINMPSEMGPDGMHAFMEAPDKVLLDTFPSQYQSAMVMAILDVLSSHSSGEEYLGTYQEPAWQQNGKINKAFEDFRERMLRIGVQVNKWNRDPERKNRYGPGMVPYVQLRPSDGDPMAAKTVMGMGVPTSISI >SECCE3Rv1G0170170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:249538331:249540837:-1 gene:SECCE3Rv1G0170170 transcript:SECCE3Rv1G0170170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDEVEKAKAAAGGGVGEYGTFQGPPSYPPPRPPVGYPQPAPPPGLHGQREPNSRHRGGYQAGNARDYEAGTRGHRHDRLPCCGIGMGWFLFIVGFFLGAIPWYVGAFLLWCSRVDYREKPGYVACTIAAVLATIAVIIGATAGAHVY >SECCE7Rv1G0521750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874613498:874614788:-1 gene:SECCE7Rv1G0521750 transcript:SECCE7Rv1G0521750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNLKNMAIAFLVPLPSILFYLAFVRPQDGHIAGPVSSWCAAHPLLLANALFLFNVDVLFWLIGHLLSNNWLIDLYWTVIPVMLLHYFRAHPAAVANAARSAAAVALTWVWSARLTHNYFRREGWQWGKQEDWRFSEMRGQYGKTWWWMSFFAVYLSQQVFLIGICLPMYAIHSSDQPLGIWDLVATVVCITGVVVAYFADTQLHEFVARNEKLKQLGEPTVPTLEDGLWGYSRHPNYFGEQLWWWGVYLFAWNLGQRWMFIGALVNSMCLGYVTVLVERRMLKQEHRAEAYRLYQKRTSVLIPWFRKSLPEPKEKET >SECCE1Rv1G0028960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:408756830:408760959:-1 gene:SECCE1Rv1G0028960 transcript:SECCE1Rv1G0028960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGIAECSPSFLVAAGLAAVLAICSYLAVVLGRGRGAGAKRYPPAVGTVFHQVYHLRRLHDYYTDLFREHMTFRLLSPGRGQIYTSDPAVVEHILKTNFSNYGKGESNYENMSDLFGDGIFAVDGDKWKQQRKIASYDFSTRALRDFSGGVFNKNAAKLAHIVSDNAAAKRPMDFQVLLMKATMDSIFTIAFGLDLNTLSGAAADEGGRFAAAFDDASEFTLLRYVNVFWKVARFLNVGAEAALRQRIKVVDEFVYKHIRARADEMSAGKAHDAESKCDLLSRFIEATTSDSGKVDYKYLRDIIMNIVIAGKDTTAGALAWFLYMMCKHPEVQEKISEEAREAADAASIDDFSQSLTDEALNKMHYLHAALTETLRLYPSVPLDNKECFSDDVLPNGFRVGKGDMVFYAPYAMGRMERVWGEDAQAFRPERWLDEHGVFQPQSPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLRFFVLALRDKDASVNYRTMITLYIDQGLHLTAVAR >SECCE3Rv1G0156030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70088955:70092208:1 gene:SECCE3Rv1G0156030 transcript:SECCE3Rv1G0156030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLSSSLLPSLPRAVAGAGAARLPPLPSLRRGACRRAAGCRVRAGLHGLDSVGGLHAALERAEAALYTLADAAVVAADSAAGAAGGGADADTAVQKSGGWFGFISDAMEVVLKILKDGLSAVHVPYAYGFAIILLTVIVKAATLPLTKQQVESTMAMQNLQPQLKAIQARYAGNQERIQLETARLYKQAGVNPLAGCLPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLFPFVDGHPPIGWHDTICYLVLPVLLVASQFVSMEIMKPPQSTDPSQKNTQLILKFLPFMIGYFSLSVPSGLSIYWFTNNVLSTAQQIWLRKLGGAKPAVNETASGIITAGRAKRSGGQSGQGGERFKQLKEEENRRKAVKALGAGDSNGSATSEDEDSDDDTTEEGGPVEETFATGNDKKLPTYSGKKGKRSKRKRIVQ >SECCE4Rv1G0218330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:21991019:21993472:1 gene:SECCE4Rv1G0218330 transcript:SECCE4Rv1G0218330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHQIPKVAHTLVEIAEVARYAYEHRAGHAPDHDGGTTMAPDDGAEAARLRAENAVLRARLADDLALLRELQGAPFVSQECPPDLHNRLVASVNNAGFLAHLEKVRDEPLHQHTKLSIGGVTEVDIGDIPYTKDEGKTGSWLLVSCDTAGGNLEEISGIDDENYVMVNEDDIVDAIATFVARCILEDPKSKSLSSKELQKAVATALSSMTDRKKWISFWEAGKVIYILATWGITIAGLYRSRAVLKMAAKGAVVSTKFIMKAL >SECCE1Rv1G0005700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:27378514:27391871:1 gene:SECCE1Rv1G0005700 transcript:SECCE1Rv1G0005700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Poly(ADP)-ribose polymerase (EC 2.4.2.30) [Source: Projected from Oryza sativa (Os07g0413700)] MAAAPPKAWKAEYAKSGRSSCKSCKSPIAKDALRLGKMVQATQFDGFMPMWNHAKCILNKKNQIKSVDDVEGIDALRWDDQEKIRNYAANSSTTSTATATASSTAAISDKCAIEVAQSARSSCRRCSEKIAKGTVRVSSKLDGQGWYHVSCFLEMSPTASVEKFPGWDTLSHDDRGAIIDVVKKSTASKQETTSKGSKRKIGDIDMHDSKAPNLAGSISEGAARSKGKLVVPCDPNSGSADLHQKLKEQSDTLWKLKDELKKHVSTAELRDMLEVNEQDPSGPERDLLERCADGMLFGALGTCPVCNSCLYYYGGHYQCNGHVSEWSKCTYMTTEPVRMKKKWKIPDEIKNDYLTKWFKSQKVKKPERVLPPMSPQKSVGQSPQQSLVGEALDKLRVCIVGQSKDVADEWKQKLKLAGAHFSPRVTKDINCVVSCGGLDNESAEVRKARRQKIPIVKEDYLGECIRKNRVLPFDLYKIATTLEESSKGSTVTVKVKGRSAVHEASGLQDTGHILEDGKSIYNTTLNISDMTQGVNSYYILQIIEEDDGSECYVFRKWGRVGSEKIGGKKLEEMSKTDAIREFKRLFLEKTGNPWEAWEQKTNFQKQPGRFYPLDIDYGVREAPKRKDMSKVKSSLAPQVLELMMMLFNVETYRAAMMEFEINMAEMPLGKLSKQNIQKGFEALTEIQNLLDDTGNQELALRESLIVAASNRFFTLIPSVHPHIIRDKDDLTMKAKMLEALQDIEIASKLVGFDGDNDESLDDKYKKLHCDITPLSHDSEDYKLVEKYLLNTHAPTHKDWSLELEEVYVLDRDGEGNKYSRYKNNLHNKMLLWHGSRLTNFIGILSQGLRIAPPEAPVTGYMFGKGLYFADLVSKSAQYCYVDRKNPTGLMLLSEVALGDMHELKKATPMDKPPRGKHSTKGLGKTVPLESEFVKWRDDVVVPCGKPVPASIRASELLYNEYIVYNTAQVKMQFLLKVKFRHKR >SECCE6Rv1G0403240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:499805271:499806536:-1 gene:SECCE6Rv1G0403240 transcript:SECCE6Rv1G0403240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSDASSSSSRLDSAPLLPHHSAEGGHLSSQPKTFANVFIAVVGAGVLGLPYTFSRTGWAAGSILLLSVALLTFYCMMLLVACRRRLADDHPKKLSSFGDLGDAVFGAPGRLAVDTMLVLSQASFCVGYLIFISNTMAHLYPVFAPSSNVFLSPKALFIYAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVVGQDVSTWLATHPPVAAFGAPAALLYGAGVSVYAFEGVCMVLPLEAEAADKKKFGATLGLSMAFIAAMYGLFGVMGYVAFGDATRDIITTNLGGGWLSAAVQLGLCINLFFTMPVMMNPVYEVAERLFHGKRYCWWLRWVLVVTVGLAAMLVPNFTDFLALVGSSVCVLLGFVLPATFHIKVFGAEMGWAGVLSDVLLVVLGLVLAIFGTYSSLVQIFHSSSA >SECCE1Rv1G0063850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:727189117:727189577:1 gene:SECCE1Rv1G0063850 transcript:SECCE1Rv1G0063850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKALLVEDIRVDSMVLSFMLRKFHCEVTLAKNGKEAVDMFLEGNKFDIVLCDKDMPIMTGPEAVMKIRAMGATDVKIVGVSADDNAMEAFMSAGADDFVPKPMRLEVLGPMIQELINKKNN >SECCE5Rv1G0300900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28487907:28488588:-1 gene:SECCE5Rv1G0300900 transcript:SECCE5Rv1G0300900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAPQKKLGSAMDGSKTPHLLPVSVTVSVTVAKKTPDAAVRRVMAWALINAVVFVVSYAMGYAIDYALHHHHVSCSQFSFLLRCNQLTDAEAAQERTHWIVILCCAAFQAATAALVLWFPGRRRWVLRAMAYLALVLTVVGHCIFFADVCLNHAADPGHLVCWILCIMAIVFYAVGDIISFLALLRGGED >SECCE7Rv1G0485440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:373935109:373948203:-1 gene:SECCE7Rv1G0485440 transcript:SECCE7Rv1G0485440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSEEETIMSDSDPLLDRKDQSGLLPQLSPPQPATVTVLEIEDEETDGSYAACCRICLEALSEIGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETLEDNSWRKIKFRLFVARDVILGFLAVQITIAIISVIAYFLDRDGSFRNSFSDGWDRILSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSLNDNQDPCLAGCRNCCYGWGILDCLPASLEACFALVVLFIVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKEYVVEDLHGSYSAPKLEPEHEERLKMLKLL >SECCE2Rv1G0066710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:15100352:15101314:-1 gene:SECCE2Rv1G0066710 transcript:SECCE2Rv1G0066710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKKVEPVGFGFPAQARPGRTRVGWIGIGVMGGAMATRLLAAGFAVTAYARTPAKAEALVAAGASVADSPASVAAASDVVFTMVSDPADVRAVVLDRATGALAGLRPGGVLVDCTSSSPSLAREIALAAHAAGCHAVDAPVSGGDVGARDGTLAILAGGDEAVVAWMAPLLAHLGTPTHMGPPGSGQSGKIANQMALAGAVVGLSESLAFADAAGLDARLFLDAASMGAAGSRVMDIFGARAVNRDFASGPGSARYIIKDLGMALEVGDGQEEVEAATALPGAALFRQMFSAMAANGDGDLCVRGLITVVERLNGIRK >SECCE3Rv1G0203670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:884488449:884491676:-1 gene:SECCE3Rv1G0203670 transcript:SECCE3Rv1G0203670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing protein ZIP4 [Source:Projected from Arabidopsis thaliana (AT5G48390) UniProtKB/Swiss-Prot;Acc:B0M1H3] MKISELSPEYHRPPPHAGHLTDLARVVADVERYDASDPCPPEKLAADFRRVLTNLGSAASSLTDPCRLQIWKLATRLWNAVVDRANSAALARGPSARAAEAEVRQAAPELLLLAGCPDEVPLAAAKTASFFLRAGQEWLDLGRVDLATACFEKATPLVSAPATEDERAVLLGLNLARARAASDAGDQSLAVALLGRSKPLASASPKGTRSLAEGYLSVGEATLSAKPSDPAVGASSLLTEALDLFEKLASPPPSSDSPKTPNLQEQKGRCLRYLALERHEAKDYEGVLRCVQVSRASVGQADEHASVGFMALHAWLGTGNLAEAERELERLMANANASESLCVGAAEVYLASAGPEAARKVLVALAARCRAGGAAAAVRVVTKVVDGGIGSPGRARAIGELVSDERVVALFDGPANTSHRGAMHTLLWNCGIEHFNAKNYDTCADLFETSMLYLSREEGSRARRAQCLRVLAVCYLALQRLDRAHEFVNEADKVEHNVHCAFMKIKIHLQKNDEDEAIKQIKTMMGCIDFNPTFLMLTTHEAIACKAVRVAVASLTFLLGLYSAGKPMPEREVTVLRTLIELLRREQGTEDEILKYSRRAKLRMSDLGVEGFFGNGPVGARELNWFAGNSWNMGRRVVKEQKYDLSAEFFELAAEFFGGASNDDGDGNHPTLCKALIMSVTSMLKAEELNNSPLSDSDVKKGAEMLSRAGKLLPSIWLSGSVASDQAEANTFLFLHTFYSYQLLDRMDTSAHPQQLQLVKNFASSKACTPSHLLKLGKAASEGTPPNLLVAEFSLKASIKTALASHSPNYRVISAALRNLACLAGLQDLSGSKSDAVYDVYRQAYQIVVGLREGEYPCEEGQWLAVSAWNKSYLARRLNQASVGIKWMKMGLDLSRHVESMKKYIADMEQCLEYFQKMFHSEAGEHALLGKNPDECSQQEGAPSTSMSGSMSQPVLV >SECCE5Rv1G0318550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:362956534:362957615:1 gene:SECCE5Rv1G0318550 transcript:SECCE5Rv1G0318550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDIILVSYIQENGPGNWRAVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTTHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKQQAMGAIFAPPPPPSSSAETAANLPNAVHLDNHHHRYHHDAIAASSSTVGHSCYAACSNPEVTQQTTITMRRSPFADVATDCSSSSYASSMDNISRLLDGFMKSSPPTATDVKPNMAVVGDPFMSFDRISGTGTELAFVPGVQQQQPALMGGMSYDDEATGQQQLHHQLPLCSIEKWLLDEAAEQVADLMELSEGGCSSLPLLY >SECCE4Rv1G0230530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:166249851:166259636:-1 gene:SECCE4Rv1G0230530 transcript:SECCE4Rv1G0230530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSQQKDRAIDSSRLQNLGATDRHGIHEVGGPFLVGGASLLNTRTGLFYDLELRHILTDAHRFQAYLEFREKALEIAVTELMFGTKSPADLFLWVAADRHNFNCRLVEYILTRYMRHDGASSILQSSSAGTEVDNIRIMHYLPKDKIRQIEDDQNLLRERLSSVQRYFAYRKHLLKLDFIQSNSDPEDVLLRLKSSNRRPTLQDDELSHCLKSHIDFLKEYKKRKRDPALSIPEYENAASEKINEELSSGLQKHLDRYDWMLMEQYYDRMKLRGLARTCATQAQKTGAILVGEALPIREHLKEYAQSASPELKKLPWIEQAVKQSLQDYRSRIQEKFRNRAPLGKSLQVLLLGALGLCAWRRPTN >SECCE6Rv1G0407030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:553563752:553566863:1 gene:SECCE6Rv1G0407030 transcript:SECCE6Rv1G0407030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAASSFLSRRLLARCPAASLLGADNARRLLPGALYRFSTAPAAAAADEEPIKPPVEVKYTQLLINGNFFDAASGRMFPTVDPRTGEVIARVAEGDAEDIDRAVAAARRAFDEGPWPRMTAYERCRVLLRFADLMERHNDEIAALESWDGGKPLEQSAGGEVPMAVRCMRYYAGWADKNHGLVVPADGPHHVQVLREPIGVAGQIIPWNFPLLMFAWKVGPALACGNAVVLKTAEQTPLSALYVASLLHEAGLPDGVLNVVPGFGPTAGAALCSHMGVDKLAFTGSTGTGKTILELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHRALFFNQGQCCCAGSRTFVHESVYDEFVEKSKARAQRRVVGDPFRKGVEQGPQIDGQQFKKILGYVKSGVDSGATLVTGGDRVGSRGFYMQPTVFADVEDEMKIAQEEIFGPIQSILKFREVGEVVRRANATRYGLAAGVFTKSLDTANAVARALRAGTVWVNCYDVLDAAIPFGGYKMSGVGREKGAYSLGNYLQTKAVVAPLTDPAWL >SECCE3Rv1G0190170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:735688110:735689692:-1 gene:SECCE3Rv1G0190170 transcript:SECCE3Rv1G0190170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKNQDSKVIMGRYRLGRLLGRGSFAKVYKAHNISTGEVVAIKVFDKQAVRRSGTVEQVKREVDVMRRVHHPNVVRLHEVMATRSRIYFVMEYASGGELFARLAESTRFPEPVARRYFQQLVTAVEFCHSRGVYHRDLKPENLLLDADGNLKVSDFGLSALADGASRHRGDALLHTTCGTPAYVAPEVILKRGYDGAKADIWSCGVILFVLLAGRLPFQDTNLVLLYKRIARSDYKCPAWFSVDARKLLARLLDPNPNTRITITKLMARTWFRKDSRPLNDKPLESSETAVFLGKEAGGHHDDDQPEGGARKRKRSKVTASSPTINVRPSSMNAFDIISRSSMLDLAKMFDAEEKTSEARFSSKETTTAIVSKLGKIAEAGRFSFKLNKEKGRVELEGSQDGRNGALALEAEIFEVAPSVHVVEMRKTGGDSLEFRDFYRQELKPSLGDIVWAWQGGDSPPPTLTPAVPRRDPLV >SECCE7Rv1G0471430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:130276983:130282008:-1 gene:SECCE7Rv1G0471430 transcript:SECCE7Rv1G0471430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHHISACRRIPNLAQIYLIGFYEEREFALYVSSISNELRIPVRYLREDKPRGSAGGLYSFRDYIMEDSPAHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADAETNELLHYTEKPETFVSDLINCGVYIFTPKILIAIEDVLKQKKDRANLRRVSSFEALQSATKAVPADYVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTSPHLLASGDGKKGATIVGDVYIHPSAKVHLTAKIGPNVSISANARIGAGARLISCIILDDVEIMENAVVIHSIVGWKSTVGKWSRVQGEGDYNAKLGITILGEAVDVEDEVVVTNSIVLPNKTLNASVQDEIIL >SECCE6Rv1G0382050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:37947336:37948508:-1 gene:SECCE6Rv1G0382050 transcript:SECCE6Rv1G0382050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPSAARLRRRSFLLLAASVAAVAALAGGARAQLSAGFYSASCPTVHGAVRQVMSQAVINDTRTGAAILRLFFHDCFVNGCDASLLLDDTAATPGEKSSGPNAGGSAFGFDVIDNIKTQVEAACPGTVSCADILALAARDSVNLLGGPSWAVPLGRRDATAPDPDGARTLPGPDLDLAALVSAFAAKGLTSRDLTALSGAHTVGMARCVQFRTHVYCDANVSPAFATQQRQLCPASGGDAGLAPLDPLTPNEFDNGYYRNLMSGAGLLRSDQELFNNGQVDSLVRLYSANPAAFSADFAASMINLGNVSPLTGASGEIRLDCRKVNS >SECCE2Rv1G0121070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:804520724:804522675:1 gene:SECCE2Rv1G0121070 transcript:SECCE2Rv1G0121070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRMPLSATPRMPCSLQTIARRNLLRALHRRKDARQPRRLPISCEATGGGCVDRREVLVGLGGAAAAGLVTDQGRGAIAAPIQAPDLRNCQTPDLPDTAPDTNCCPTPGTGITDFQLPPATSPLRVRPAAHLVDAEYLAKYERAVALMKQLPADDPRSFEQQWHVHCAYCDAAFDQVGFPDLELQIHNCWLFFPWHRFYLYFHERILGKLIGDDTFALPFWNWDAPAGMTLPAIYANRSSPLYDERRDPAHQPPVLIDLDSSGTDASIPRNQQIDQNLKIMYRQMISDAKKTLLFLGQPYRAGDQPDPGAGSVENVPHGTVHVWTGDPRQPNGEDMGNFYSAARDPIFFAHHGNIDRLWHVWRGLRPSNTDFTDPDWLDAAFLFYDEEARPVRVRVRDCLDTAGLRYTYQDVGLPWLNARPAKASTGTPAPATTSTLPATLDRTIRVTVTRPRVSRSRREKDEEEEVLVVEGIEIADRFNKFVKFDVLVNEPEGGVGGMPAVATGYCAGSFAHTPHMIRPDEMRKGSVKTVARFGVCDLMDDIGADGDKTVVVSLVPRSGGELVTVGGVSISYLK >SECCE6Rv1G0431750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:747289148:747289940:1 gene:SECCE6Rv1G0431750 transcript:SECCE6Rv1G0431750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCAVLLVACTALLALGAAPSTLAGEPDMLQDLCVPDYNSLQGPLRVNGYPCKRAENVTADDFFYCGLTSAADVYGRGNPMGSVVTAADVERLPGLNTLGVPMSRVDYAPWGSRNPPHSHPRATEILFVAEGTLEVGFVTTAGRHITRGVPKGGVFVFPRGMMHYERSIGEAPAVAISAFDSQLPGTQRLGEAMFGAAPAVPTDVLARALQTDGGLVESIRSKFQPK >SECCEUnv1G0539910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77909534:77912295:1 gene:SECCEUnv1G0539910 transcript:SECCEUnv1G0539910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEFQQFILDGGFAMAAAPQQQQAQAAAAAAAAAAAAGGQELGAPFRYQPLHHHAMQHHHQQQQQHPPQMPPHFAHFAGAPAAAAAGGIPFTQQFLNHQAAAAGHHHLQLFHHEQQQQQHHHHKPQPPPARWAPQQHHHPHHHQHQQQQQQQHHHHQLGLDVESAAVPESSRATSGGPAPPGVPPFLAAAMNFKLAVDPGGGSGATDDALNDGTGGGGGAGSGMMLHGGAGGGGDDEAATESRLRRWTGEEETSIKEPTWRPLDIDYIHSTSSSSKRKEKVATPESPAPVAPAANYFKKGAGGGDDDNAGAAAASAGVGGGNYKLFSELEAIYKPGSGGAGQTGSGSGLTGDDNAILPPPSMADLPGGAPAAAVDAPQANTSETSAGEDAPAVLQPQPPPLPQQASVGEARRKRKRRRQEQQQQLTASASFFEQLVQRLIEHQEGLHRQFLDAMERRERERAARDEAWRRQEADKFAREAAARAQDRASAAARETAIIAYLEKLSGETITLPTPPPAPTSADDATSHDAGGGGRELVPYECGELSLPLMSSSSRWPKHEVEALIRVRSGLDNRFQEPGLKGPLWEEVSVRMAAAGYGGRSAKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELDRLYSRSSAAAAGNTSAKTNANAISTAVDDAANKASSELLDAVVKYPTDTHYGPPPGFQNDGKAGGKEDGAAHHDGDGDVEMGRASGRAGEDQEDEAGQSHGHDDDDDDH >SECCE2Rv1G0102720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:581085210:581088312:1 gene:SECCE2Rv1G0102720 transcript:SECCE2Rv1G0102720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTAEAAGVVKQAVSLARRRGNAQVTPLHVASAMLAAPAGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAAVASSPLLGGHGHHHYYPPSLSNALVAAFKRAQAHQRRGSVDSQQQPVLAVKIELEQLVVSILDDPSVSRVMREAGFSSTQIKANVEQTVCSSTTTAATNTNPHQNPNPSSTATTSKHQETSKAKLPLGQARDEDAAAVLHCLAGRSKTRVVVVAENTAAAEATVHAAMDKVKRGDAKHDALRSAQVVSLRVSSFREMPREEAERRLGELRCLIKSRGHVLLVVEDLKWAAEFWSGHVQGGRRGYYCPVEHVVTEVRALACAAGGEHGLWLVGFGSYQTYTKCRAGQPSLENLWGLQTLTVPAGSLALSLTCALDDSALGAVNQSMKASSDMDGNGPVPRWPLLGGAQLTSRCCGDCSGGVRIDTKAALPPSFVSSSTIPSWLQHCRDQEPTHVMDLSSRNWSSICSKPSQRMTLHFSAPVSPASSISSYEHAHQPRQSWLLADLDGKHPWKPKCEADEKVSSHDSGASNGSVEVECRSRFKELNAENLKLLCAALEKEVPWQKEIVPEIASTVLQCRSGIAKRRDKSRSTDAKEETWMFFLGGDADGKEKVASELANLVFGSRKNFVSIKLGASTSASCSTEEHRSKRPRTTVAREGDAYLERLYDAVSENPHRVILMHDFEQADQYCQVGIKEAIDSGVIRRQTGDEVGVSDTIVILCCESFDPKSRACSSPTKQMRPETKEEHTVDDDHKEAETSSSCFDLNINIESEHADERDVCLLTAVDRTLFFRRQGDL >SECCE7Rv1G0520170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:867903016:867907122:-1 gene:SECCE7Rv1G0520170 transcript:SECCE7Rv1G0520170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPMVNPARDGVSSILLPSAPHQAVRLPAAPLSVSKCVLQVQHLGRSKPPCVSFPCSASRLSSAKPAYVIEAMLAGETIGAHSAERIARIRKHLKTPELSPSAYDTAWVAMVSLPDSDPQAPCFPRCVEWILQNQHSSGSWGINESGLLANNGIMLSTLACIIALKKWNVGSEHIRRGLEFIGRNFSVVMDEQVFSPIGFNLIFPGMLNHAIRMGLEFPVRETDISGILHLREMELTRLAEDKSCGKEAYLAHVSEEGLVNLLDYNQVMKFQQKNGSLFNSPAATAAALVHYYDNKALQYLDSIVRIFGGAVPTVYPQNIYYQLSMVDMLEKIGISRHFSSDINSILDKAYISWLQREEEIIQDLETCAMAFRLLRMNGYDVSSDELSHVAEASTFRNSLEGYLNQTNSLLELYKASKVCLSENELILENISNWAGRLLTENLCCNGTKRIPIFGEVEYILKFPFYATVEALDHKRNIEHFDSRVSQPLKTKNLPCHVNQDLLDFAVQDFSFSQSIYQDELSHLERWEKENRLHQLRFLRKGSLINCYLSAAATISAHELSDARIACAKTTALVLVTDDFFDVGASKEEQENLIALVEKWDQHHQVEFYSEQVEVVFSAIYTTVKQIGEMASAVQNLDVTKHLEETWLHYLRSAATEAEWQRSKYVPAVEQYMTEAVTSFGMGPIILTSLYLVQQKLEKHIIKDPEYNELLRLMGTCGRLLNDTQGFERETSDGKLNIISLCVLHSGGSMSTEAAQEAIQESIMSCRRDLLRLVVREERVVPRPCKEVFWRFCRTAHLFYCHTDGFSSPKEMLCTMNAIFREPLKLQNTCPLDAQSEK >SECCE4Rv1G0235670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:338574683:338595228:1 gene:SECCE4Rv1G0235670 transcript:SECCE4Rv1G0235670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSEDKCKPKKCRQECKKSCPVVKTGKLCIEVSPAAKLAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLEQKDERDMKNELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQSAEIYMFDEPSSYLDVKQRLKAARVIRSLLRSNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIAETQENAEEVATYQRYKYPTMSKTQGNFKLSVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTMEGTEVEIPEFNVSYKPQKISPKFQHPVRHLLHSKIRDSYTHPQFVSDVMKPLQIEQLMDQEVINLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGLASIDCTANAPQSLVSGMNKFLSHLDITFRRDPTNYRPRINKLESTKDREQKNAGSYYYLDD >SECCE1Rv1G0053880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:672118317:672120708:-1 gene:SECCE1Rv1G0053880 transcript:SECCE1Rv1G0053880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCARNGFNEKEDFQVVLGKGNPGESSCQQHTKWMAHWTRASSSPYGKSCSPLDCTKDSETSPFQLRKSSMADRLVLGRNHEGISMKNVSRLDPKMWGVACDAWQESEQTKTEWRDGHFQSCKIQMQKDENFYAKTVVSETLSVCRLSDLPLDFQKLVSSDENPDSHWNQIPMLSFNQKVDSILSPKRKYATGTVFDDLFVPQQILKLNVGPSNLTACSHQECELQFPRMVMDHCKLSGGIKYLLEDPTSLISDHAGKKLKADSNSSSDCGMDEKGTGHYFPNPNQEPLGRCDEKQFNVSENSDKFTDSVKQNHMACLFEMLTIPSKPQSAYPIDSLSCCSPLFGAQSQLPRKTETMHGYAQYVSKSSAGMASLLMQKDNGCAERANEQLATLSIEGSPRYSKENIFRNVNANPSMPETEIMDLDPPLFQSSTRNQVPNDTIRSPADADPSDKWLKRLLHDTTDPHVHRSSERPRTGDCRPVRGAGGISGTDIADHVEARCVRCWIGRLCRGGVAPVSHGEPGQGTGAAAEPDAAARELGGHFPSIKAMAMIGRMMSKVRPFDYQRKGPCVMWKAEDGA >SECCEUnv1G0561770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:358685297:358686196:1 gene:SECCEUnv1G0561770 transcript:SECCEUnv1G0561770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMASVATGALKPVLQKLATLLGDEYIRFNCVHSEIRFLIDELDAMHAFLLKMSEEEDPDLQNKVWAAAVRELSYNMEDTIDDFMQSINDEDTKPDGFIEKIKHSLVKLCKMKARHRIGSEIQGLKKQIIEVGGRNARYKNRDTFSNTRDVTADPRALSMFEHTSKLVGIDEPKAEIIKLLTEGVSRDEQPELVSIVGPGGTGKTTLANQVYQDLKEKFECRAFLSVSRNPDMMKIMRIILSEVSGQAYANTEAGNIQQLIIKITNFLADKRYNSSSSYVISHLFVVYVLFLCLLIP >SECCE3Rv1G0191070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:745839991:745842327:1 gene:SECCE3Rv1G0191070 transcript:SECCE3Rv1G0191070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tunicamycin induced protein [Source:Projected from Arabidopsis thaliana (AT5G64510) UniProtKB/TrEMBL;Acc:Q84JN2] MAAARARWRFLALPLALFLAIGSSRGLGAAPKPPVPKAISDLREAIVKGLGFQSEELKVSGFDVRDALVGHAVAYEFDMEVGRKVVPVRLLEDVNRWDFVDLPIFRSQADADDTALAEIGRGSFDPTLPPFQLAGPMELWIQDGDDVRLALPHDVEAGTLKKVVLADGAVVTVKGARAVSLRLPLELPLPLNRTTYKGRLSSLLSIARALRGAARSNQKPLMSLRIEGPTSLSSTPSMSPNDKLKLKRLAPGQVELSSRAIPAVTDDEDESPSPGLWPFLSLNASDGSLQGLEELLAKFLGKKAGEKGTFKLVNARASAQTYVKMGFTVEKRIADGEVNWSNLPEWKTKPKKLRAHYEVLARVERGQAIPERIAQVQPFQVDEAMSESMLTGNVSRSKMEVVNPPPVFFTL >SECCE7Rv1G0458430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:21777176:21778441:1 gene:SECCE7Rv1G0458430 transcript:SECCE7Rv1G0458430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLHFVLVPLLAPGHIIPVLDLARLIAGRGGGGARVTVVLTPVTAARNRAVLEHASREGLAVDVAELPFPGPALGLPEGCESHDMLTELSHLTLFYEAVWLLAGPLETYLRALPRRPDCLVADTCNPWTAAVARRLDVPRFVFHGPSAFFLLAHHSLARHGVHDTDSVVVAGEFERFEVPDFPVRAVTTSKATSLGFFQFPGLEKERRDTLDAEATADGFVFNTCAAFESACVKGYGEALGRKVWAVGPLCLLDTDAETTAGRGNRAAVDAGSVATWLDGRPHESVLYVGFGSMARLLPTQLAELAAALESSNRPFIWVTRETDDLGAGFDERVDGRGLVIRGWAPQVSILSHPAVGGFLTHCGWNSTLESLSHGVPLLTWPHIADQFLNETLVVDVLGAGVRVGVKVPAMRVLMKADG >SECCE2Rv1G0089640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:259175412:259180182:-1 gene:SECCE2Rv1G0089640 transcript:SECCE2Rv1G0089640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWGISSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFNPDGCLDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEECKEMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSNQNTSDGASTSSNNGIEVDDSADQITDKQIIEWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLNDEADAFWCFEKLMRRLRGNFRCTDQSVGVANQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEASGAHKKVSKSKLRGVRHFAKWDKDKDVSSEETDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKVQAKKT >SECCE2Rv1G0064440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:3983628:3984443:1 gene:SECCE2Rv1G0064440 transcript:SECCE2Rv1G0064440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRTIKVIKTTLPILMLVCSSPGNLMCVVGYSSEKATVLNLATGEATVIHLKFSFMGFGRAAPSGAYKMVSINPSGCEILTLGDGVGWRQAQPLRRSISYNSRPVVVKGVLYLILVPQLNGDSVICFDLESEEWTGGIRGPPDIQLHRYDTSLQELNGALCMLQPEVGNIYHGTMSVWLLIDSGKGVWIKVYSIPVDPSAYSPIMPLRILPDDGKLLLYVTLKYDEFGVLQIYDPRRRTFTDAPKMLAGDNGGSVSLCSLHLDSFLPAAV >SECCE2Rv1G0139430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:927013937:927020939:-1 gene:SECCE2Rv1G0139430 transcript:SECCE2Rv1G0139430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04810, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04810) UniProtKB/Swiss-Prot;Acc:Q0WMY5] MMAITPTITLSTPSPPHLVKTPSTLARAPGFNEVLARPRGSRSSPLALLTPPPSPASRKKGKVFVGNLPLRATKAEVADFFRQFGPLDKVELVRAHDDPERNAGFCFLYYADADAEGQGAEAAAERAAEVDGVDFRGRSLTVRLDDGRKGRARAEDRARWVDHGQGKEAPSPWHHGRDEACREFRRVVESRPEDWQAVVSAFERIPKPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKAEGIELTIVTYSILISGFGKINDAQSADNLFKEAKTNLGDLNGIIYSNIIHAHCQSGNMDRAEELVREMEEDGIDAPIDAYHSMMHGYTIIQDEKKSLIVFERLKECCFTPSIISYGCLINLYVKIGKVAKAIAISKEMESYGIKHNNKTYSMLISGFIHLHDFTNAFRIFEEMLKSGLKPDRAIYNLLIEAFCKMGNMDRAIRILEKMQKERMQPSNRAFRPIIEGFAVAGDMKRALDILDLMRRSGCAPTVMTYNALIHGLIRKNQVERAVSVLNKMYIAGITPNEHTYTIIMRGYAATGDIAKAFEYFMKIKEGGLKLDVYIYETLLRACCKSGRMQSALAVTREMSSQKIARNTFVYNILLDGWARRGDVWEAADLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAQTVIDEMADVGLKPNLKTYTTLIKGWARASLPDRALKCFEEMKLAGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILSVCREMFENDLTVDMRTAVHWSRWLHKIEMTGGALTEALQRIFPPDWNSFEVLGEVSDSVSTGDSDYSSDSDFSDGDTNQEADDS >SECCE6Rv1G0432470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:752113949:752114645:-1 gene:SECCE6Rv1G0432470 transcript:SECCE6Rv1G0432470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAVSAKPILRVAALSGSLRSNSWHHGLIRAAEELCEECIPGLRIDHVDISGLPMANPDLETDGGDGFPPAVEAFRDRISAADCFLFASPEYNYSVTGSLKNALDWASRGTHKCWADKAAAIVCAGGDFGGGRASLHLREIGIYLDLHFINKPELHIRAYEDPPKFDGEGNLIDAKARERLKKVLLSLQAFALRLQRRENWLKIE >SECCE1Rv1G0024520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:328311092:328314936:1 gene:SECCE1Rv1G0024520 transcript:SECCE1Rv1G0024520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNDGGQSKRPIVLFCVMVVCLCLLFLYFSGSNGQAGSAALEYGTKFSRSLGWGSDGDGDDGSVESIFGTGDANDVKLKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLNLMEHYERHCPPPERRFNCLIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAKEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYISSIANMLNFKDNIINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILMLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKEMSSLAERMCWKIAEKKNQTVIWVKPLNNDCYRSRPRGTNPPLCKSGDDPDSVWGVTMEACITPYPEQMHRDGGSGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVDNYWNLLRPKVKPESIRNIMDMKANFGSFAAALKDKDVWVMNAVSHDGPSTLKIIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWTVFTDLEKRGCSAEDLLLEMDRILRPTGFIIVRDKAPIIVFIKKYLNALHWEAVTVVDGESSPESEENEMILIIRKKLWLPEGGSQDST >SECCE4Rv1G0295670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:899318590:899319677:-1 gene:SECCE4Rv1G0295670 transcript:SECCE4Rv1G0295670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDRIPAARFSLSKSSTPYHQNEEYMGCRHGLSLVINTHKRATIVWDPLTGEERIVAFPPGCTLGHYRTWHGAVLCVDAEDGHVHGDCFLSPFKLVLIYADYNTPAFCSVYDSASGVWGNIFSTMTITAGMSWLKRPSTLVGNELCFLIRGGDVLVFDFEMQSLGLIKKPVENHGTDDRCFQILRMENDGLGLAVLLDLTIKLWERKSNCDGVFEWVLLQKTIPLEGMVPTRMDSVLFVGYDEDANVIVLTTITGNFTLQLDSMQIKHIVKRNNICHDTFYPYRNFYTPELH >SECCE2Rv1G0110700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:693328216:693331416:1 gene:SECCE2Rv1G0110700 transcript:SECCE2Rv1G0110700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGIFSRALSYVVNEFLVEGLANNRAFQRFAVRTNRTLEDLSTKAKQAREEISEQFKDARGPDDVS >SECCEUnv1G0560160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:344965735:344967220:1 gene:SECCEUnv1G0560160 transcript:SECCEUnv1G0560160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDALLPSILLILFVLSIVVTQAPFFRARRLIGDDGGGRATVCTKDAATCGCDFSDGKWVRSGATDAMTYGEDCPFLDPGFRCMRNGRNDSSFRHWRWQPRRGSCHLPKFNATDMLERSRNGRIVFVGDSIGRNQWESMLCMLAAAVPAGSRIYEQFGKPLSRHKGYLSMVFADYNLSVEYYRAPMLVMVDRLPSASDGAIRRAIRLDSLPRHAARWAGADVLVLNTGHWWNMHKTIKSGNYFTLGDRFNMTTDIKEAFRRSLQTVKDWVLTNPRLSKSSYIFFRSYSPSHYGNGTWDTGGSCADQWDPLAMITSESDQQEHLWINTMISSAAQSMRRRHGMNKDAIFLNITYMTGMRGDGHPSRHREPETPSDAPEDCSHWCLPGVPDTWNQMMYGHLVSMGYDMRSIKR >SECCE7Rv1G0484550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344093204:344093914:-1 gene:SECCE7Rv1G0484550 transcript:SECCE7Rv1G0484550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPHISETEVEQELLSLEASISSSITIGTMCEGFMRLGNIYNGIEEIIGLPSNQVCSAQEMKMLDEEMEGSLELLDLCSTMQEIFVEMKAVIQELQVALRKGDEAASQAKIQSYTLLTKKAKKHFKKTAKKATSEGCRMVMLLTKAREVSISLLESTVLLLSKQIEMRKQSLISKAFHKTKKSVVCEKEQLRELECSIGDLENGAGHLFRKLVQSRVSLLNILSS >SECCE6Rv1G0388520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:138241681:138247432:1 gene:SECCE6Rv1G0388520 transcript:SECCE6Rv1G0388520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G22740) UniProtKB/TrEMBL;Acc:W8PUD8] MDAAVGLPDAWSQVRAPVIVPLLKLAVAVCLLMSVLLFLERLYMAVVIVGVKLLGRRPERRYKCDPISEDDDPELGSAAFPVVLVQIPMFNEREVYQLSIGAVCGLSWPSDRLVVQVLDDSTDPLVKEMVRMECERWAHKGINITYQIREDRKGYKAGALKAGMKHGYVRECEYMVIFDADFQPDPDFLHRTIPYLHHNPEIALVQARWRFVNADECLMTRMQEMSLDYHFKVEQEVSSSVCAFFGFNGTAGVWRISAVNEAGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLVEIVTNKKVTIWKKFHVIYNFFLVRKIVAHIVTFTFYCIIIPTTIFVPEVHIPKWGCVYIPTIITLLNSVGTPRSFHLLFFWILFENVMSLHRTKATLIGLLEAGRANEWVVTEKLGSAMKMKSANKASARKSFMRMWERLNVPELGVGAFLFSCGWYDVAFGKDNFFIYLFFQSMAFFVVGVGYVGTIVPQS >SECCE2Rv1G0107440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:648973024:648977466:1 gene:SECCE2Rv1G0107440 transcript:SECCE2Rv1G0107440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYVCVLEARDLHGGGGGGGGGLYARVKVGRQRARTRAVELAGPGGAAAWNEEFAFAVGEEDEAVEVQVARRREEGAGREVLGRVKLPLPPVQAASAASGRHSVPPTWFTLHPKHRRKGRAADAADCGKILLTFSLYGENSDNTVIHSSPCPSSRSGTDVEFERSDYREHSGANGVVLDSSRSSAVENTSVDNSDRSIQADSETVSEDDGLTEPGAAAAAAKSARDSDAEPSVSDASFEEAMETMKAASSTPDMPDDLSSGIIFDHTYLVEAKDLNSLLFGPDSQFSKDLRELQGTTDYDEQPWTWKSQDPPSLTRTCRYTKGASKFMKDVKTIEEQTYLKADGKNFAIMTRVRTPEVPFGNCFEVVLLYKITHSPELSPGEESSHLTVSYNVEFLQSTLMKSMIEGSVRDGLKENFESFAEILSRHVKLADAAGMDKEQLLAPLQTDRQSHIRLAYKYFCNFTVISTVIMAVYVLVHILLSRPGPLMGLEFSGLDLPDTFGELFTSGILVLQMERLLNMVYHFVQARIQRGGDHGVKANGDGWLLTVALLEATSLPPVSCGSVDPYVVFSCNGITRTSSVQLQTQEPQWNEIMEFDAMEEPPAMLDVEVFNFDGPFDLAISLGHAEINFLKHTPTELADIWVPLEGKLAQTCQSRLHLRIFLENTKGPETSMRDYLNKMEKEVGKKLHVRSPHRNSTFQKLFSLPHEEFLIADYACSLKRKLPLQGRLFLSTRIVGFYANLFGHKTKFFFLWDDVEEVEVLPSSFTTVGTPSLVFMLKSGRGLDAKNGAKSQDKEGRLKFQFHSFASFNKASRTIIGLWKTKSSAIEQRAKLEEDKEDESYDDLDDVQSLLSIGDVTLSKEYTVEHPIDADLLMGVFDGGPLESRTMSKVGCLDYTATPWEETKPGVLERHASYKFNRYMSIFGGEVVSTQLKTPSEDGDGWTVYDVMTLRNVPFGDYFRVHLRYDVRRAASSEPAPSCRCEVLVGIEWLKSSKFQKRIARNICDKLAHRAKEVLEVAGKEIASAMSGSAS >SECCE3Rv1G0149910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:29726127:29729769:-1 gene:SECCE3Rv1G0149910 transcript:SECCE3Rv1G0149910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPRPQPLLLLLLVALLAASAPRRADAWGKEGHIMVCKIAERYLSEEAAAAVQDLLPESAGGELSTMCPWADTMRFRYHWASPLHYANTPNVCNFNFSRDCHNSRGEQGMCVVGAINNYTDQLYTYGDSSSKSSYNLTESLMFLAHFVGDVHQPLHVGYEQDEGGNTIMVHWYRRKANLHHVWDVSIIDTVMKDFYNKSLDTMVDALQTNLTEGWSDDVGHWENCANKKATCANDYAIESINLACNYAYKDVVQNITLGDDYYFTRYPVVEKRLAQAGIRLALILNRIFDKKEADAIPLYAQ >SECCE2Rv1G0071210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:41684501:41685810:-1 gene:SECCE2Rv1G0071210 transcript:SECCE2Rv1G0071210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMDSSSVARDRGKNKRKWTTMEDDELVNALYDMSLDPWWKGDNGFKNGYSSVLESRLAEKVPGCGLSAAPHIESRVRYFRTKYGAIEVTLKRSGFSWDENRKMIQCEKQSYDEHCKLHNEAKGLYGVPFPYFDKLAAIYSKDIATGEGAEGFGEAITNLQNEVVIEDDMNDEEEDDRTSRETPRRSVDSHVTADSTNSKKRKKGNGSRRSESTDPFLTMFGDVNSQLKSVTQNVGQMAATMEREAIAQEKAMEEDPRQKFKEKAINELSRLGFTGTEIVNAASIFAKAPEEMHMMLALLQNLRREYVKKTLGKLNSCIIIVF >SECCE7Rv1G0464160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59261619:59267583:1 gene:SECCE7Rv1G0464160 transcript:SECCE7Rv1G0464160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEEKKISLINRTTSLNPNAVEFVPSCLRSVSDASNRSDTTKIPVSESSKEISADQPESVPSNPDEEAHRYWQQQLPDDITPDFNVLGQDETPGPDSLSLTGLSMNDGFGASLFSPNQTSRMQHHASPFVRDMPSTRAKFEFPGQEQPQATIMSPTASTMSPTAAPWVKTVRNGGQYGTNRRDASHYNGDSSIGSPLQSDAYYRNRRSFRSSMDIMTQLENKVDGRLNQNLRSLSFGHSSPPSPVSYAQNGLANYNKEAFGLPNSPYRSHSAILTDDIISPSAGRERLSLDSPRGRYKTTNLPVTSLGSSRGSHLLAGSYNGNHDMISNNTLQNIAGVQTGPTWLETDATANMFLEKDEVHDFASLRHALLEQDRQAFLTGGNPLAKELNIKELYSIQSRLAQEKAREAIYQQRFQMPELQGLIQEQNPAIDLCGLHASEAMHVLNNELNNRRKIARSTGRRLQAIIISNPRTPARLTAAIEQYLLEHGLQYTQAQPGLFRVLLQ >SECCE1Rv1G0012300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:91119222:91120844:-1 gene:SECCE1Rv1G0012300 transcript:SECCE1Rv1G0012300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTATGAAALRGSLASAYPVVQRERRRGMPARVSCVGRGGRGVGGGFADEAHLRYYEAPRKAVEAAARDLTKLRAMGLVAGDPAKEKILSEATELLLQELNQMKDAEDELKKKQKEEKAAMKALKKQQKEAKKAAMNCGDGSSESSESSESECEEEQSMKMSCVATTSTPAIEQGMSMSMSVPQIAASNIATAPAMDFDKDAMKAMKKREKEQKKAAKKAMKMKREEEKRMATLNSCKDEDSSCSSESSNSECEGEVVRMSRCATISAPRTPPATASTVFPIIVPQIPDSVALDAQIFSGSANAMQRTATSIAVVEKPVLNRIEVCMGGKCKKAGSLAVLQEFETEVGTDGMVVGCKCLGKCGLGPNVRLRSEGSVQKNSPICIGVGLDDVGTIVAGFIGDGDMGMN >SECCE1Rv1G0039520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:549607801:549608920:-1 gene:SECCE1Rv1G0039520 transcript:SECCE1Rv1G0039520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELPPGYRFYPTEEELVRFYLRHMLDGRRRVDIESVIPVADVCSLDPWQLPEVHRGACAGHGEPWFYFCARQDREARGGRPSRTTPSGYWKAAGTPGLVYSADGRPVGIKKTMVFYRGRAPAGAKTKWKMNEYRAFDDDGADAVPAVRLQVRSEFSLCRLYTRSGSLRQFDRRPCTAATGGRSSEDPASLSAALASASEDAEVGKGQKRKRHAANDVPSSSDAYRSVQQQQKQGGADEELADDMTDWAELFDWI >SECCE4Rv1G0270030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:752276505:752280878:-1 gene:SECCE4Rv1G0270030 transcript:SECCE4Rv1G0270030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDLEPARTAPVEDEASDEEEHDDWRELYGSQLQLEVEPPVRDARDEGTADAWTERNPSLIRLTGKHPFNCEPPLPRLMHHGFITPAPLHYVRNHGPVPRGDWSDWTVEVSGLVSRPALFTMDELVRDFPAAELPVTLVCAGNRRKEQNMVRQTAGFNWGAAGVSTSVWRGARLRDVLRRCGIMGLNKGRRAALHVCFEGAEDLPGGGGGAKYGTSVTREWALDPSRDIMLAYAQNGEPLLPDHGFPVRVIIPGCIGGRMVKWLTRIVVTAAESDNYYHFKDNRVLPSHVDAELADAQAWWYKPEYIINEVNTNSVITTPGHDEILPINAFTTQRAYTMKGYAYAGGGKKIIRVEVTLDGGETWTVCTLDIPEKPNKYGRYWCWCFWSVEVEVLDLLGAKEVAVRAWDQAQNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPANQTGGWMARQKHMETAAPGLKRSTSTPFIHTADDKQFSMSEVRKHGSKDSAWIVVHGHVYDCTGFLEDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDAHRIGQLIATGVGYNSDNSVHGGALLAPIREATKAAATPIALSSPREKIRCRLVDKKELSRDVRLFRFALPSPDQVLGLPVGKHIFVCANIDGKLCMRPYTPTSMVDEVAQFELLVKVYFKNEHPKFPNGGVMTQYLDSLQVGSSHIDIKGPLGHVEYIGRGSFMIGGKQRQARRLAMICGGSGITPMYQVIQAVLHDQPGDETEMYLVYANRTEDDILLRGELDRWAAEHPDRLKVWYVVDQVKRPEEGWKFSVGHVREDILRAHVPEGGDDTLALACGPPPMVKFAIMPNLEKMKYDMANSFISF >SECCE4Rv1G0281180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825353305:825353772:-1 gene:SECCE4Rv1G0281180 transcript:SECCE4Rv1G0281180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPAPVFSECEVPRFLLSLLFLLARLRRLSSRLLHDLIFDHPTASGITDHHRHRQEQCDDYEDRCLEELEKHSPAMRFDALCIAGDEALLLPEGCAVCLGDFHGAACVRRPRGCRHVFHRACLDRWVAHGHSTCPLCRAPLLPPFLLPLPLPAS >SECCE7Rv1G0505010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:729381403:729381636:1 gene:SECCE7Rv1G0505010 transcript:SECCE7Rv1G0505010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHDFLQAQREIEDATTHGRLRDDLVEHHWQLDGRRISP >SECCE1Rv1G0038110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:535424352:535426796:1 gene:SECCE1Rv1G0038110 transcript:SECCE1Rv1G0038110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSNFLEANAAMPIPAMEVARSPHLPYVPGDANAAGSKNANDVLDLWRQQKQIPAPFVWPHADAQPSSMLELDVPVVDIGAALRSAAGMGRAAAQVAEACASHGFFQVTGHGVDPALARAALDGAADFFRLPLATKQRVRRSPGTVEGYASAHADRFAAKLPWKETLSFSHNHDDVGARGNSHVVVDYFTSALGDDFKHLGEVYQEYCEAMEEASLAIMEVLGVSLGLGREYYRDYFADGSSIMRCNYYPRCPEPDRTLGTGPHCDPSALTILLQDGDVDGLQVLVDGAWRFVRPKTGELVVNIGDTFMALSNGRYKSCLHRAVVHREMERRSLAYFLSPREDRVVRPPPSPAPAPRLYPDFTWAELMRFTQRHYRADARTLDAFARWLDPPTCSATPLSHGPAQAQGTV >SECCE4Rv1G0292480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882647398:882650477:-1 gene:SECCE4Rv1G0292480 transcript:SECCE4Rv1G0292480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRINVVESNEEYMMEEPTEHHNSTEKEVESSDVHERTTNDEEGFTDCDDANADENEDAENAETKERKKRKLKYIWNLPKGKRIMVRCNDIDQPIGKEAKHLGDFLGSVARNGSLCCLSYKDWRLLKTKTNVKAILDQVKMRFLYPPRMEKYILKIIGDRWRQHKSDLKAMYFDEKKNTEANYNNKPKSVTPDQWRSLVNHWTTQKAKEVSATNRNNCSMRKSTHTSGTKSFPRQREEMKDADPEKKYPHRAHLFIHTHKPKTSKDKIINAHVEGLKDILDKNPELADNSDGKTAWKGDALNKVLGDDKPGHVHGLGLVPNQKKLFDVSTSRVFQNTHFTSVEDTPNEDMLAFRVEMEKIYQVNKNQNAKIMELEEKMRRMERQPNQEISDPMATIGLEPSVDGHNSNRKRVLAPPVDGLQLVKKRSNNLQNKPSGSNDADLQASNKNSVSDKNKETMVRNGGSARQLEKCSATHKNQETPDHNFGAQQGEINVAAHKNVVPNKETFLENVSARQGEKTSSANKLTKKTTKGGNASSKSAQSGSLSWLGTSELPAGTKVFLKSLKNHNRDVALATIVSCDPNFKLDGAEIRNEFWAVHVDMALVKTENLVRSRKNCTTLGNAEKTKIAWPSTFIQKING >SECCE6Rv1G0396220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:360489749:360490348:1 gene:SECCE6Rv1G0396220 transcript:SECCE6Rv1G0396220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLDFRYLDEGLGGERGKRKRREEEADAADSMDLDADAPRPSKLRAVASQSDPSKPAAFGQPTYDGVIAGRVSGRTWKEPRTRRSSALMVSRKPVPLEQRVRDKSLKKAYQARVAELKDEIRQNKVAKRKQKEEREKRKKENVLRTGSKLQKVTNPKTIQKIAKSKNRGQLRVVSDDIFAGKKSEATRRMQVPGLEN >SECCE3Rv1G0202790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:877465787:877468928:1 gene:SECCE3Rv1G0202790 transcript:SECCE3Rv1G0202790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAPAVDAVLKPLPRALSLAGAAAAAAATSLLLISAVVSRARDDYASPPPSTSASTTAAPPPAPEPSPPHHEHPHPPPPPVPPCPPNASHHTPCHEPPSGERHCPPHPPPPHPPHPPEDPPPHPPPPPPHCRVPAPPGYHPPPPWPARRERARYANADLPPLTVARREWLVFPKGVGNYVEQLERVVPLRCGAVRTALDIGCGVASFGDYLLSYGVLTMSIAPRERHDAHVQFALERGLPAMIGVLGARRLPYPSRSFDMVHCADCHVSWTAHDGLYMLEIDRLLRPGGYWVMSSPPISWKSPYKGPNKTIENLDGEMEDTANKLCWEKVSDKGTISVWRKPINHLHCAQEAEFLRSPPLCTENNADSAWYVNILMCRIRLPRVELVGDIAGGPVEKWPHRLAAVPPRIANGEIKGMSIQAYKHDFSIWKRRVELYGTYLKDLSHRSYRNVMDMNAGFGGFAAAMLKYPVWVMNVVPANMTVKNTLGIIYERGLIGTYMDWCEAFSTYPRTYDLIHANGVFSLYINKCGTLDILVEMDRILRPGGAAIIRDAADVVLKVKEAADRLQWRSWVIDMEDEALDPQKLLIVDNSLPLPGS >SECCE7Rv1G0476190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:199353782:199354235:1 gene:SECCE7Rv1G0476190 transcript:SECCE7Rv1G0476190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAQGLALGLLVLCLLLSTDTAGADTYNVDWSFAANSWPSGKSFRAGDVLVFSYNPAVHNVVVVDAGGYNSCRGSGAAYTYTSGSDHVTLVPGTNYFICGLSGHCGLGMKMAVTAN >SECCE6Rv1G0381040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:29179699:29180535:-1 gene:SECCE6Rv1G0381040 transcript:SECCE6Rv1G0381040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALTPRCTLPPHRRAPPPCRAASPTPAALARADPDELRSTWPQRAWTLAGSAAVLSSLSASASLAADSGSYAEPLAAALAAYTVADLATGVYHWLVDNYGDASTPLVGAQIAAFQGHHRHPSTITRREPCNNLHALARAVALALPAVEAAAAAAHAPAAAHAFAGTFAACVVLSQQFHSWAHEKRRRLPPGVEALQAAGVLVSRAQHAAHHRQPYSTNYCILSGVWNGVLDRHKVFEALEMVVFFRTGVRPRSWDETQAAWMEDTSGAAAIGVTDSS >SECCE7Rv1G0483840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:330658209:330658621:1 gene:SECCE7Rv1G0483840 transcript:SECCE7Rv1G0483840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTVPRALLAVSLVLLVAGGLGPAAEAQRQGGCVPQLNRLLACRAYLVPGAADPSADCCSALSSISRDCACSTMGIINSLPSRCNIGQVNCSA >SECCE5Rv1G0343130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:635376025:635377654:-1 gene:SECCE5Rv1G0343130 transcript:SECCE5Rv1G0343130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPARHGCMLRAEVVICIFLVIAPLSTAIGVNYGTKGDNLPSPAKVAAFLVTSTRIDRVKLFDTNPDIVRAFAGTGISVMVTAGNGDIPGLATQNGADAWVATNIAPYYPATDISLVAVGNEIMDTADKNLISNLVPAMQTLKSALVTAGYSKIRVSTPSSLGILVDSQPPSAARFRDVWDVAIFTPMLQFLRKTKAPLIVNTYPYFAYNGDTLPYALARPNPGVLDTGTGITYTSMFEAQLDSVYSAMKKLGFEDVEILIGETGWPTKAMDGQIGVSPAEAAEYNKYLIGEVSSGTGTPLMPKRKFETYIFALFNEDLKPGPVAERNFGMFQPDFTPMYDIGIMKEPVKTAPAAASPAAPQKVAATPMAATAHALEAAAPTEANGSNSAKASAPASSTGNKPSTTEEVEGGDAQPSTKSEPSEAAAKGGDGEEEISEKTKPKEESATPPAAGAASEATNFLFPIPCILAVALCLTVHV >SECCE4Rv1G0281810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826915982:826917483:-1 gene:SECCE4Rv1G0281810 transcript:SECCE4Rv1G0281810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNVDITRKAVLRPSPDSAWCGAQMVPITVFDRASTDGYIPTDGLLATVARFPHLAGRFAVDDHGRKCLHLNNAGVLVIEATAGADLATALAHDASAHIAELYPKAKKEHADEAVFQVQLTRYTCGGLVIGMASHHQVADGQSMSGFSTSWSTAVRTNSAVLPSPFLDRGATDNPRSPPLPVFDHGSIEFKGEHSSSRSYRVLPLGRIKKLAVHFPGEFVAELKARVGAPCSTFQCLLAHAWKKVTAARDLAPDDFTQVRVAVNCRGRAKPPVPMDFFGNMVLWAFPRMRVRDLLSSSYPAVVGVIRDAVACVDDEYIQSFVDFGEAQRDVKLASTAATLGMAFCPDLEVDSWLGFGFHNLDFGGGPPCAFLPPDLRIDGVMILVPSCAAKGGAHLFVALDNEHVEAFKQICYSIE >SECCE3Rv1G0186580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:687149404:687150669:-1 gene:SECCE3Rv1G0186580 transcript:SECCE3Rv1G0186580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWERNGSESAKKTMTSPAPPVAALGDDLLGEIFLRLPDMASLASAALACKSWGRVACVPAIYRRFQSLRRPALVGFILTDRGDMPVPHHCPNLRFIRAESRNPDVVSAAVDGDFFFEDLPAKYSDDDGEGGYYDEWRLRGCDGSLLLLSRGRRALDLAVYDPLARTAVFFRPPHAWCYSSHIVRYAILVDDADASFQVIGMERWAGSCSAVFSSRTRAWDMFESSDVNRAVYNFTPCCSDGMPAGRYVYWRSDTKKSRFCKDDEKILVLDMEAMAWSVIKPPFPPGESYCVADMGEHGGLCIVSSKEQCVQLWIRDSNAEWMLKKEVSLLSEFGYLKKLRLEERMKRVRILAMKAGYVYMEFWSIRKPHSYLLVLNLNTTKLEFFRNKSTESHRGPAFPFFMRLPPLPAPDDDKKFQGA >SECCE2Rv1G0130790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:878700382:878702921:1 gene:SECCE2Rv1G0130790 transcript:SECCE2Rv1G0130790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLQALLHAPPLRNYFLGDRHNRFLCPRRTPMRHRTTTTDAEAKAACLACDLDEIYSATFSGERTPYSPAKFLYSWWQHATNLASYEQQDAHEFFISILDHIHENIKDDQHKSHEQGDGDCCIAHRVFSGILRSDVTCTNCGFSSTTFEPCMDISLDLDSGHNNYLGIANPKPHARNGERSVAGMNSKVSTLMRCLEQFTRAERLDADQKYFCERCKERQESLKQMSIRRLPLVSCFHIKRFEHSAVKKMSRKVDHSLQFPFSLDMTPYLSSSILRSRYGNRIFPSEACDPDAVSELPSEFEIFAVITHSGKLDAGHYVTYLRLNNEWYRCDDAWVSRVDEHTVRSSQAYMLFYVQKTLYYKACERAAAV >SECCE1Rv1G0052980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:666199228:666200016:1 gene:SECCE1Rv1G0052980 transcript:SECCE1Rv1G0052980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSREYMIRFDGHFEGPSPAAAEPPLPFAGRGFSPEQEQSVVVAALLHVVSGYATPAPAFFFPASKEVCAACGVDGCLGCEFFGAEASGRAIKASDAPRAPVTAGGPQRRRRNKKSQFRGVRQRPWGKWAAEIRDPRRAVRVWLGTFDTAEDAARAYDRAAVEFRGPRAKLNFSFPEQQQQQQQQLGGIGNAAAKSDACSPSPSPRSADEDETGDLLWDGLVDLMKLDESELCLLLPVDNALDKFHTQGKIRSGSGVPLCY >SECCE7Rv1G0485330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:369376018:369379438:1 gene:SECCE7Rv1G0485330 transcript:SECCE7Rv1G0485330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSLARPQALRGGSTGALGAHAARRRSAQLLRPRRPTFRCSVEAAKQQVQGTLAAEAEAEAAHKECFGVFCTTYDLEADEKTKSWKKLVNVSVSGAAGMISNHLLFKLASGEVFGQDQPIALKLLGSERSLQALEGVAMELEDSLYPLLREVSIGIDPYVIFEDADWALLIGAKPRGPGVERAALLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNLPAKNFHALTRLDENRAKCQLALKAGVFYDKISNMTIWGNHSTTQVPDFLNAKINGRPVKEVIKDTKWLEEDFTITVQKRGGVLIQKWGRSSAASTAVSIVDAMRSLVTPTPAGDWFSTGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVKDVEMDDFLWGRIKKSEAELIAEKRCVAHLTGEGNAFCDLPGDTMLPGEM >SECCE7Rv1G0486220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:402885306:402893741:-1 gene:SECCE7Rv1G0486220 transcript:SECCE7Rv1G0486220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLTRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELKDHTDANIVIMLVGNKADLRHLRAVSVEDAKAFAEREYTFFMETSALEAMNVEDAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGSKDDVSAVKKAGCCSS >SECCE4Rv1G0265030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724232163:724235078:-1 gene:SECCE4Rv1G0265030 transcript:SECCE4Rv1G0265030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYDDARDYVTSLFIMLKKDTVILFRIEFLVVLVTLLFFAMFLMDFFRRIIHNSFMRAVFSAFDAVSDSIVLYLLGAMQSAPFKNQLFPVWALVLVNFRYSADYVSGYGVPDRRGRRFTEWRNVFKLLGSAFLNWSRGSSFTGPLWSVWCLQIVRSAYRLISHNLAFRSVWHGRSSQLVAEHMRATYEKEEDKTSSSQVGSEPKSSHSQENDDDCDTSSSQVGSEPKSSHSREKEPESSPMKDYNYLVYGETKQGFKLKKPQYALSAETTARRPKREGSTSSQRSEEESSPLVTLDKIWEYCPIDQPQNRETDLKKEDHKDLPLAFALSRLLRCRLDDVTLQGYRSIFTINRELVKSIIGGKVGASDALRIMELQLAFVHDYFNTRYPMVFWSGLRSLSITLCLSILTIGAVCWLAVDIRRVYKPPNGELANLVKGFNIDMIITWAFIVLMVAKELWEMATYLLSDWTSLIMVCEYVERKCKGGKESLDARVNRSYSGGESLPSGGISKETRMDRILLWFSRAKITDKRWHGFLDQYVFLQSYDDRPRLWNFVHNLTTGIIPKKDDGAKLSSAIKVPDVVKQAVLDKLNTMVEEKPGVITTSSNSNLEQLQKYKAYATRPMSTHTAVPTSTNIVPCSHIAAATSILPTSSHIILVWHIATSLCEMRLAINHGVNLSNPGFPCSLLSWLTSCCSSKPYLMDLDEKKGGILSWFTNCCSSKSSEKLSDELRKSYTIANSLSRYCAYLLVSKPDLIPDSFLVPNIVFQITVENARDDILKNCDSLESRYTKLMSEAEKPIQDSEKEDALKQGAALGKVLLDLQPDKVEVHWKILEEVWTELLIHIAPTRNAQAHRKCLSGGEFISHIWALLWHYDIQKSSLWPNEVELGNNAPAAPGARNENNMDNTEQACALTSNIQIGTNVHDSDEIKGTQEHETGNSRTGLGRMEGTESSEIEDGSQDTIVEDKISERNG >SECCEUnv1G0558840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334730534:334734515:1 gene:SECCEUnv1G0558840 transcript:SECCEUnv1G0558840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAPEVEKKEEEEQVVNPWEVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPHRFLRRGLFFAHRDLNEILDIYEKGDKFYLYTGRGPSSEALHLGHLVPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTVEESKRLARENAKDIIACGFDVERTFIFSDFNFVGGAFYENMVKVARCVTYNKVVGIFGFTPEDHIGKISFPPVQAVPSFPSSFPKLFSGSDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPALIESRFFPALQGENTKMSASDPNSAIYVTDSTKDIKTKVNKYAFSGGQDSVELHRKLGANLEVDVSIKYLNFFLEDDDELERIKKEYKEGSMLTGEVKQLLVTVLSEMVERHKRARARVTEEMVDAFMAVRPLPNMFG >SECCE7Rv1G0498060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:634983639:634984070:-1 gene:SECCE7Rv1G0498060 transcript:SECCE7Rv1G0498060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRGRDDKPALLFFFLLLLVVSLSLALDVVGESPPAAAGGGGESTAACGSSSYNYLGALLRLMIPCKAAVAPFSPAPPTDECCWAVRELGQPCLCLLLAGPPISGADRRMLARLPSTCAAATATDDDDDSGLRQDLGSCTAT >SECCE5Rv1G0354880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727387655:727389563:1 gene:SECCE5Rv1G0354880 transcript:SECCE5Rv1G0354880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFLAMKTGAASGASEAAQALLESDLRELGLAVRKLANHAIVLGGGLGFGRHFLKWLAFIAAVYLLVLDRTNWKTNMLTGLLVPYIFFTLPSVLFSLIRGEVGAWIAFIVVILRLFFPRHFPDWLELPGSLILLTVVAPSLFADHFRNDLVGVFICLAIGCYLLQEHIRVSGGFREAFRKANGVSNTIGIVLLFVYPVWVLVLWLL >SECCE4Rv1G0228600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:137359130:137359594:1 gene:SECCE4Rv1G0228600 transcript:SECCE4Rv1G0228600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFVKFVVAALLVLVAIAAPGGVGVASAATGDAGPAAAPSPAPLVARLHLALSGMAAEQQGGGWMMECWGAVTELRSCTNEIVLFFLNGESYLGRDCCIAIRTVTLHCWPSVLASIGFTAEEADVLRGFCDAVIPHGPPPPAPAAVPAPAAQP >SECCE1Rv1G0012820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:97347311:97354502:1 gene:SECCE1Rv1G0012820 transcript:SECCE1Rv1G0012820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPGSPVSPGASNMGGVPWKRLELAALCAYAVVFYSSMVQRSLRLARDYSGKLYGLRAGSIAGRLNDSSDAQWRNFRGNLPVLTVVMAAFLIVANGLRYGCGLKGRGASLVWLILSLIYLCYLHGACVGFILVIAGINYAVVKLFARYKYCTGIIWSFNLAMLTLNRVYEGYSFSLFGQQLAFLDNYRGTFRWHICFNFVVLRMISFGCDYCWTLSSSHFDHKKHMQKCEVCYSGKTCYFALQEKGLSVDKYTFLTYLCYLTYAPLYIAGPVVSYNAFAAQLDVPQKNYSVGQICCYGLRWILNFLLIEVITHFFHYNAFVVSRLWRQLTPFEIFIISYGVLIFMWLKFFLIWRYFRFWSLVGGVETPENMPRCLNNCPDLESFWKNWHASFNKWLVRYVYIPLGGSRRKLLSIWIVFTFVAVWHDLEWKLISWAWLTCLFFVPEIVIKSFSNKFQAKSTLGRFIHWELRAIAGSLTICSLMVANLVGYVVGPSGIKALMSQMFQKEALPALGIIFATFYVGVKLIFHIRDARKA >SECCE3Rv1G0145860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8586461:8588245:-1 gene:SECCE3Rv1G0145860 transcript:SECCE3Rv1G0145860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKAKPSRGGKRTRVSGGAAGATATAAALMEKKDSEKERRQRMKGLCDKLASLIPKEHNPHADTMTQLGSLDVAASYIKMLKERVDELKHKKTAAQAMATLQGVSGISIPSTTSIGAGSQERDKYLEASALVVEVRQPDDSSMEVRMICSTKKPIKLHEVITILEEEGADIINANHSVVGHKIFYTIHSRVRTYVGVAFFICD >SECCE1Rv1G0063540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:725601238:725603175:-1 gene:SECCE1Rv1G0063540 transcript:SECCE1Rv1G0063540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRGNAATPPGPRHGRSRPPPRKFKSVPEAISTGDRDGDAGTVFRVGPIIGTGSFGEIYHGTDAETKEEVAIKLESLRARFPQLIYEAKVYRKLQGQAGIPNVRWFGVEGDYSALVMDLLGANLQELFESCDAKLSLKTVLMLADQMIDRVECLHTNSFVHKDIKPQNFLMGRGKSANLVHLIDFGIARKYMEATKHGKQHIPYRDNMMGLQGTPRYASINNHLGIEQSRRDDLESIGYMLLYFLRGSLPWQDADARNHRETHDMIKDMKIATSPEELCRGHPAEFAPYLTYCRSLGFEDEPDYAYLRKLFKDLFVQQGFEYDYVYDWMIPRHYYKDDYRY >SECCE5Rv1G0327940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:498704762:498705184:-1 gene:SECCE5Rv1G0327940 transcript:SECCE5Rv1G0327940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLSSKLKCMIRRWHSSSRISRTPSAASHGGGEEEDPWGRGVGGGGGGAASFHGADGVPPGLHPVYVGKSRRRYLIAADLVCHPLFQNLVDRSGGADGAGGTIIGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >SECCE5Rv1G0364370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:800952671:800954034:1 gene:SECCE5Rv1G0364370 transcript:SECCE5Rv1G0364370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDYATPHLPTDIMYKIPTHISDPASLARLASSCKSSPDLWKHHIDKTRCLAPSFLPISELSQFVGSKSARNAIESLSLDTFIPGQFHGSFCRQSKVDNDQDTEDVLCVCNPLTGETFEIPHHRYVPPNYYVLFVTNDVDCYGRVSQSFQLAASFWLKKAKCFVSVCYSSKTGTWTKPQEEPELMPGLYLVSSSAAASGGVIHWLCGTLEQMLLTHVATLHIENMELSYSYLELPPEAKHIKIPVLANSADGGILLLFMQGLEMSLWKHISSLGSDSSSWVLSERIDMRSSLPRRVAMLGNRAKVRLEMFGGKSGVVVLRVVGEGLFLFSLSDGSMREIDSARVTKDYFLCPYEIDWLSCLAITNLVVDGSLSLDDERKKAQDRWRTLMGMNLPTNGAS >SECCE7Rv1G0515640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:840357159:840363074:1 gene:SECCE7Rv1G0515640 transcript:SECCE7Rv1G0515640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACTVLTGAVRAVKLFKMDSFRLVSRTMREGECLKSSWNVHGHDFEIRWYPATTWVQLELVYVTETASTCNVRASFGCLLVHPRLPDNGNCKEIMRDCTAPIGPALPKDSSSRVWLVKKSDLQDRAPAQGDYVTVQCTVTVLKELSESEAATTVPAPASNMHRHFGELLGNETGADVTFLVSGKTFAAHKLVFAARSPVFMAEFFGDMKEKSSRRVEIKDMEAAVFGALLEFIYTDNVAELDRELEAVATMAQHLLAAADRYGLDRLKIICEGKLVGGITVDTAATTLALAEQHNCPHLKEKCVQFIVSTPAILDAVVATEGYKHLEANCPSALTSIVLSTRGRGN >SECCE5Rv1G0336790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:586623425:586624576:1 gene:SECCE5Rv1G0336790 transcript:SECCE5Rv1G0336790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRYLNLIVHDFRTRVYSLCRIDACKHLFYGDSEIAQRAALAARESKRKRGEMAALGGWRKLPPPAINFQPSPSWRNSKLYYMFSLLGGESTVLYADNRGNAALYDVDLEFVDAFPSPNSEKRGNPICLPAIQADPIDPRKAQSLYVLDLSSTARNNSCFEVLSYRREEWCWDLLPPPPFFRDPEAAPGVYSYAVIDGGSSICISSLEEPICTYAFDTVSATWRRAADWALPFFGRAEYVPDLGLWVGLSGSSPSSSLCALDLSAIDAARPPVPRHVWDYLDLPEAEPWTPSQLHLLNLGSGRFCVASFFGTMLRTYGRSKSPHYHDPDEEEEDDTFGRECVVFTGMEVKRGRGNGGEAPLQLIRHKSKRFTTGSRNIECVL >SECCE6Rv1G0380660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:24463281:24465182:1 gene:SECCE6Rv1G0380660 transcript:SECCE6Rv1G0380660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVPAVPVNPVMAAVFRQLGVDQAEVEGFFARFLSHTHYALPEPPVTVDARLSALLPDDSVDRVSRLPDALLGNIVSRLPVKDAARTDALSRRWRGVWRSAPLVLVDSHVHPAGTAYAQRATCAVSRVLAAHPGPFRCVHLTESPLLAGWLQIVAGKGIQELTLVNQVWPVEIFLPSTFLGMTTLASLYLGLWIFPLRPCLPRATCFPNLHELGLCNVVMKTGDLDFILDRSPVMETLCLEGEILEHCLRLVSQSLRRVQIIGCWVEEIFVVDAPCLERLIQSGGRCPQHTDGNCAKLKIGHAPKLHMLGYLELDPGHVLEVGNTIIKSGTRVSQSTMVPSVGILALEVRFGVRNDAKMVPNVLRCFPNVETLHIKSAKPDQSTGKLSLKSWHESGAIECIRTRIKLLVFHGFRGGRAELAFLKYFFETALVLKKVVILLAVGSASTKEAQSKLSGLGSVKRASETSTVLVTVCSDPQEGPIRSFKIGSGFSAEDPFANY >SECCE2Rv1G0070540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:36263710:36264891:1 gene:SECCE2Rv1G0070540 transcript:SECCE2Rv1G0070540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKISTKHNALERMLIDGNAEPTNLPLSLLEDITKCFSDDHQIGIGGFAVVYKGMVGNETVAVKRLFTTFDMQENKFHKEVECLMKAKHKNIVRFLGYCCDTQGKIEDYEGKMVMADIRNWLLCFEYVPSGSLDKYITDASHGLGWKERYQIIKGICEGLCHLHEKRILHLDLKPANILIDDHMVPKIADFGLSKCLDKEQTRAITSNLCGSLGYLAPEFYSGHVSFASDIYSLGVIIVEILTGQKGYSEDDNVRII >SECCE4Rv1G0267120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734579208:734581316:1 gene:SECCE4Rv1G0267120 transcript:SECCE4Rv1G0267120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWGLLWGLPGPSGFGSASTAEEVTSGIDASHLTVIVTGATNGIGMETARVLALRGAKVIIPARTLENGLKVKERLAREVPGARLHVMEMDLSSLDSVRGFARSFNSSHEHLNVLINNAGIMACPFQLSEDGIELQFATNHVGHFLLTNLLLDKMESTAKETGVQGRIVTVASSAHIRSDGSGFHLSKLNDKSRYNGCNAYAVTKLANILHANELSRRFQERGCDLTSNSLHPGLIETSIIRYVESSRVWASLFSLIRPFAKDVPQGAATTCYLALHPGVKGVSGKYFADCNEAKPTSVARDAELAKKLWAFSEELVENRSK >SECCE3Rv1G0189210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:722293256:722293627:1 gene:SECCE3Rv1G0189210 transcript:SECCE3Rv1G0189210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIKKTFLIRLFLSSHSSPLYPSSSNSFHSTKEFSIIIRKSKSANCSLIAPAPVAISRFRNVAKPGVEKKGEMLWLQDAISSSNKPRNRKKVGFLVLGLAKEKSPYTRPSNFLRGLSKRFAI >SECCE6Rv1G0438210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:788396521:788397378:1 gene:SECCE6Rv1G0438210 transcript:SECCE6Rv1G0438210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAGGYGFDFGGGGGYGGYDGRVTEWETGLPGCDELTPLSQPLVPPGLAAAFRIPPEPGRTLLDVHRASSATVSRLRSASSSPSSGNGHPTGAANGGSFPSFPGKGAGDDSGNRDNNSAESAGDKSAAATKRARLVWTPQLHKRFVEVVAHLGIKSAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQVLSNEGPSASDHIFASTPVPPSLREPQVPVPHAAAMAPAMYHHHPAPMGGVAAGHGGYYQQQHSGHAVYNGYGGHGHGGGVSSYPHYHHGDQ >SECCE7Rv1G0521630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874345247:874345810:-1 gene:SECCE7Rv1G0521630 transcript:SECCE7Rv1G0521630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGFLQRHPWLVYVIMLLAGSAGLGNFCMLFLTHRSAPLFSVAVSGMEGLNARSGAARDPPTIHVVLRVENNDFLPRHCFTEGSAVVELDGVPLARTGRLGGFCVPGQTAVDVPFVASGGGLGLPDGLYERVRDGGVPPLAVRVRLDGDAAKTPMLVRCTVTLDGRPAGGCQRFLMLERGIDLAD >SECCE5Rv1G0331690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:540760440:540761300:1 gene:SECCE5Rv1G0331690 transcript:SECCE5Rv1G0331690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDHLSRLCNLTHTREAIRIKKRRPLTTVNIKVKMDCEGCERRVKNAVKSIRGVTSVTVNRKISKVTVTGYVEPRKVLARVKRTGKTTADMWPYVPYSVATYPYVGGSYDKKAPAGMVRNVPQAMADPAAPEVKYMNMFNDEDVTACTVM >SECCE3Rv1G0165990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:181258447:181263699:-1 gene:SECCE3Rv1G0165990 transcript:SECCE3Rv1G0165990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(6)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G16900) UniProtKB/Swiss-Prot;Acc:Q9FZ49] MSLSSARQRRPTAASPLTDDGYAKEAKDRRRRTDGEEVEEGIRWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSSDHALRSYLYLFIHGLVAGPASSIFGGEHKVRVFYAVRIFLGLLSTITETVLVVALSRRYGKRLACYVLAMLCLTSGCFFASTSFLPSSFSMYAVTLSSALFLLEKYACAVSVAAAGVILGWPFSILVFLPVTVYSLFRGHFKRVFLSGLLTSLCLLVLSVVADYYSYGRWTSSVFNLLKYNVLGGGESHLYGTEGATFYFRNAFNNFNFAFVLALLFVGVALSARKNYAPDLLIVVSPIYIWLAFMSLQAHKEERFLYPIYPLICVAAASVVDSFPYFFYDKYANEQSIFEKIAKGLRPLILGFILCTSHSRTFSMLNGYGAPLQIYQHLEYHEDTGPGSILCVGSEWHRYPSSFFVPSYISEVRWIDDGFRGLLPIPFNETLGGTTAAPSYFNNKNKASDKQYLKDIGACNLLMELDLRRPYPSRGNDLSTWETLASLPFLDRELSPALYRSFFIPYQWEHKNVFGLYKLLRRLPTDQGQLKANSSGGHAAFASVS >SECCE5Rv1G0351500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:700536713:700539868:-1 gene:SECCE5Rv1G0351500 transcript:SECCE5Rv1G0351500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGFDIIGGITGSKTRLKGSVVLTRKNVLDFNSLGATVMDNVTEFLGRGVTCQLISSTIVDSNNGGRGKVGAEASLEQWITSLPFITVGENKFSVTFDWAVDKLGVPGAIIVKNNHASEFFLKTITLDNVPGHGKVVFVANSWVYPQSKYRYSRVFFANDTYLPSKMPAALKPYRDDELRNLRGDDQQGPYEAHDRVYRYDVYHDLGESRQILGGSKEFPYPRRCRTGRKLSQTNPDRESRLLPLVQSIYVPRDELFGHLKTSDFLGYSLKALVDGIIPAIRTYVDLSPTEFDSFADILKLYEGGIKLPDIPALQEMRKRFPLQLVKDLIPMGGDYLLKPPKPQVIKQDEKAWMTDAEFAREILAGVNPMMITRVTEFPPKSSLDPSQYGDQTSTITEAQIGSSLEGLTVQQAVSSNRLYILDHHDHMMPYLVRLNNLDDTFLYATRTLLFLKGDGTLAPVAIELSTPLLQGGLTTAKSTVYTPASTGVEAWIWQLAKAYVCVNDYGYHQLVSHWLNTHAVMEPFIIATNRQLSVTHPVHKLLHPHYRDTMNINSRARELLVSAGGIIELTVFQRKYAMEMSSVTYKDWNFNEQALPDDLIKRGMAVRDPSSPHKVRLLLEDYPYAVDGLAIWTAIEQWVAEYLAIYYTNDSVLQGDLELQEWWKEVREVGHGDLKDAAWWPEMKTVAELVKACATIIWTGSALHAAVNFGQYPYAGYHPNKPSASRRPMPEPDTEEYALLARDPEKVFIRTITNQLQAIIGISLLEILSKHSSDEIYLGQRDTPEWTSDAKALEAFKRFGTRLEGIESQVVALNGNPQLKNRNGPAQFPYMLLYPNTSDHTGKAEGLTARGIPNSISI >SECCE4Rv1G0230160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:158980949:158985066:-1 gene:SECCE4Rv1G0230160 transcript:SECCE4Rv1G0230160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHSAKNALADRKHNRTFSDISDPSTPGKIEDTKDISIYNNVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTSSPLPWATRMSIALGAAKGLACLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRSSREHSLVDWACPKLNDKRRLLQIIDPRLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQLQGSDASFQSIHVPDYRIHRRLTGNNVHYRAIPNPKCAPAAVPACRVR >SECCE3Rv1G0209410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:938679549:938681810:1 gene:SECCE3Rv1G0209410 transcript:SECCE3Rv1G0209410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDGEAARQAIAARVRGGDYTGARTLLLETLQTNPGLDGAVEMLAVLEVLCAAPAGRPPHWYRALQVLPGDGAAAIEARHRALLAQLEPVRDALPGADLALRLVDEAYNVLSDPAKRASFDSSITAGASVKQMAVGAFSSAHADVRRDSISLTERMHVDGSATPLGTNPDMDRVKSVGTKHVRQIDRPFSDAANCSNVASSSKTKRTDHCFLGDDGEFEWHEKNHADKKLKSVWEKDVYSVSSSEEDPDGCFADLSDAIQDDPCSSEQYDYHNFEEDRLIHQFATGQIWAGYDWEKFPRRYARINKVLTDKMQLYVSWFKPCPQSHEEKNWLIASLPFVCGTFIAEERQISLSSISMFSHEISRDTLNQHLEVFPRQGEVWAIYSDWDIGWCSNPDIRKKSAFSVVEILTSYSEDSGCTVVPLVKVGGFRSVFQRYMRSGREQVLQVCSDNLLMFSHSIPLFRFTHETGTVLELEHSIVPENLRHQNTLASVTPLSPLSGLHSDTNGFHEAAMAQFSSASTSNLASGTSQQGVMNYNNKLSSEDFMEGQIWAVYDARDQMPRSYVRIIHVVSDATVFVLKLEPHPMLNEEIRWVEDGLPVACGVFRAGTETTYKDISAFSHLVKCDWSSKKSFYRIFPKKGEIWAMYKNWKITLNRTDIDKCEPRMVEILSDYTDENGVNVCSLTRVKGCLSFFQRVLLEDFHLTRWISKSEMLSFSHRVPAFVVIEIRERDIPQGSWHLEPSALPLRSIH >SECCE5Rv1G0364630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802402863:802403686:-1 gene:SECCE5Rv1G0364630 transcript:SECCE5Rv1G0364630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSELEVDGVVFPPLARPPGTEHSHFLAGAGVRGMDIGGNFIKFTAIGVYLQADAAVSALAAKWAGKPAADLAADAAFFRDVVTGEFEKFTRVTMILPLTGEQYSDKVTENCVAYWKATGVYTDAEAAAVDKFKEAFKPHSFAPGASILFTHSPAGVLTVAFSKDSSVPESGGVAIENARLCEAVLESIIGEHGVSPAAKLSLATRVAELLKEAAHAGGEPAAEPVSVSV >SECCE7Rv1G0508280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:770824727:770826178:-1 gene:SECCE7Rv1G0508280 transcript:SECCE7Rv1G0508280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPLPPPRAAQRLLAPTVPHLARLLLTHAPAIPPLITAILPSSPSLLTPLLSHLLLSHSPPLPALSLFRRLLELPHFPVPESSLPVLLRLLARSRRYAHHSFQLLESLPSTHPHLLSVPALSVILSTALCATAPGASFAAAVSCFESAAAVWARAGRSFGAAELNALLRVFCARGRVAEARALFHRYCHAYPPDTRTFNTLLLGFKEAGHAQALDLFYHDAVLRGFVPDAVSYCVRMDAYCKKGKFLDALELLDEMRKRENCKPTLQVFTTLIYGAGIVRDAARARRLFDEMEQCGVTPDRGAHNALMGAYVRARDLQSGMALMSEMEQKGFGLDDVTYNTMLCGFQRAGDLEGIWKIYSKMVGSGFMPRTRTTMLLMKVFCENGRPDLGLELWDYLIGKGCVPHRHALDVLVTGLCCRDVVGEAYRCFRELVEMGMAPTERAFRVLEGFLKKKREFGKIDEIRQMMKATQLEEHQAQEEAA >SECCE4Rv1G0215060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:3118174:3121503:-1 gene:SECCE4Rv1G0215060 transcript:SECCE4Rv1G0215060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVSLRAKLNTLLAIMYAAGTLDEQFKQLRAMEEDGGAPPGFVAEAVSLFIRDAERILAELAALMKQPVVDVDKADALVRQLKGSCISIGAKKLSVSCTYFRRLYQAKSKGCLFVLTLLRNEFYDVRNKFQTMMHLEQQIKACSPK >SECCE6Rv1G0383040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:49332712:49334799:-1 gene:SECCE6Rv1G0383040 transcript:SECCE6Rv1G0383040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGLALMLLASLISLTSSCTEQEKISLLQLLAGLSRDGGLAGSWRSNTDCCTWEGITCNQHGKAIDVLLASRGLEGSISPFLGNLTGLLRLNLSRNSLSGALPLELVSSSSIIVLDVSFNRLTGALHELPSSTPARPLQVLNISSNLFTGQFPSTTWKAMENLVALNASNNSFTGQIPTKPCASAPSLAVLELSFNKFSGDIPPGLSNCSMLKMLGAGYNNLCGNLPNELFNVASLEHLSLPNNWFDGAVNGIGKLTNLVTLDLRENGFSGNIPESIGDLKRLEELHLEHNNMSGELPTALSNCTNLKTIYLNSNHFSGELNKVNFASLSNLRKFDLLFNDFTGTIPESIYSCTNLTALRLSYNHFHGQLSEKIGNLKSLSFLSLANNSLTNITRTLQILSSSKSLTTLYIGCNFLHETMPQDDSIDGFENLQVLSMSECSLSGTIPDWLSKLTNLGMLFLQSNQLTGRVPDWISSLNLLFYLDISNNSFTGEIPTALLEMPMLRSDKTAPKVFFELLVWNENTCMQYLMLSAFPKVLNLAINNFTGVIPEEIGQLKGLISLNLSSNGFSGGIPEQICNLTNLQVLDLSDNQLTGTIPAALKNLHFLSRLNVSNNDLEGPIPNVGQFSTFPDSSFGGNPKLCSPMLTNHCGSAEVGPVSTEHIGNEVIFAIAFGIFFGIGVLYDQIVLARYFG >SECCE4Rv1G0239930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:430528094:430530887:-1 gene:SECCE4Rv1G0239930 transcript:SECCE4Rv1G0239930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQLEDIGDHHLSVEVSHASDDDSPVSPCIGSAHQAKIPNLATDDERPHLMASSLYSCKLHVYDYPGVSIPVVWGSHAPSQVNNKEDITSEGNSTYLDPHAVLPVDQIDSANNQVCGETLVQCFTQESRDLFTDKTMVHQRETEKIASLHGLSASVWTGLEEGCFLLGLHIFGKNLSLVSKFIGSKTVGEVLSYYYGRFYNGPAYKRWSHLRRTRTTRCILGRSIFTGRRQQELISRLKLKISKEAHASLVEVLRSLGSDLTSLVECVFTLKSTIGTETFVEVVGIGKGKHDLTGFVQDTSKTNKGLSVSANTPKGINCSMFAREAIVNALTSDFRRSKAKLNDLFWEAVWPRLLARGWHSEQPKDVRTTKNCLVYLVPAIKKFSRRKLTKGTHYFDSTTDVLKKVAADPTLLQLETDVIDNGAPVDTNGRATDKDGPLDGDVELPMFTIIDTSLVQGEAPFKVRALRSLPADANISFGSTDHSDNMSSDSSSQEQHSDGNLLDDQECHGQVTAPVNDIKIGSIYSTTKESLVDLLEAVGTASQSVFPVNSHSSDDQHSGISSGNGGKADLTCCSVLGRKTGRLVYLSPKRRRFARYSNDQTSEHSFSFPDDADLEKNKLKPLSTTSKPTVIGLGGSFQTRTLAECSIKGKPCEQITEVVKPTTNGRSLEKRNMVNTNEDNSSEGKFDAVARTSIGGTLLDSHDCLQKNEDLTVVNTNEDKSPSISNNEIVPDVLEAAGKHDLTVETSSQRQGTRNRPPTIRALEAVALGLLGGTKRKGDPRSLTTRRPPQRARKNED >SECCE2Rv1G0105890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:626515046:626516518:1 gene:SECCE2Rv1G0105890 transcript:SECCE2Rv1G0105890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAVPLLGKKEAARPEQAAQKGARNDGSASFVRTCLNGVNALSGVGVLSVPYALSEGGWLSLLLLAAVAAACWYTGLLVGRCMNADPAIRTYPDIGQRAFGPPGRLLVSSFLYAEVYLVAVGFLILDGDNLGKLFPDTSVALGPVSLAGKQLFVVLVALVVAPTTWLRSLGVLAYVSAAGVFASVVVVLSVLWVAAVDGVGFSGRGATTPLRLAGLPTALGLYTFCYCGHAVFPTLYTCMKQKSQFPKMLAICFVLCTLNYGSMAVLGYLMYGDGVQSQVTLNLQAARLSSKIAIYTTLVNPLAKYALMVTPIATAIEERIYAAVGQGSAVPVAVRTLLVLSTVVVAIALPFFGYLMALVGSFLSVGVCMLLPCVCYLRMFGVPSMKCCRTAMEAAAIFGILALGALVAVTGTYSSVMQIIHHL >SECCE7Rv1G0520700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:871832857:871833899:1 gene:SECCE7Rv1G0520700 transcript:SECCE7Rv1G0520700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPTDERPLVMLAQPLFPEFAAALAGRYRFALLADADATAAAEARALLVVGLPPVTAQHLRALPALELVVCTSVGVDHVDLDACRSRELIVTNAGAAFAADSADYAVGLLVAVLRRVAAADAYVRSGRWTADGDYPLTTKVSGKRVGIVGLGNIGCRVARRLAAFGCAVSYHSRSPKPSAPYPFVPALRDLAAGSEVLVLSCALTEETKHMVNRGVMEALGKDGVLVNIGRGGLVDEPELVRCLREGVIGGAGLDVYENEPAVPPELLGMDNVVLSDHRAVLTVESIGGVLEVVIANLDAFFSGRPLVSPVQL >SECCE4Rv1G0246430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:544067868:544069484:-1 gene:SECCE4Rv1G0246430 transcript:SECCE4Rv1G0246430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRAWRWKLLPFHSKPPPPPSLPATLSPVDKEEDVPPEFLCPILGAPMSDPVILPSGRTYERACIQACAELSVSPSGVEGDSPASRGVVPIPNDALGAAIRTWSARSGRAPPAAPSATAAREAVLRLVPAPRPPGRSSSNLSCSSRASAASTSSSSSRSSSEITAVEQLEMVCAKKTESLRVGEGEEEVGQLTVKAVGGGDEWEVESAMAALRRATRESAPRRRALCVPQLLAALRRVLLSARHTAAARADATAALANLSLEPENRVPIVRAGAVPALVEVASLGAAAPEACEHAAGALFGLALHEDNRAAIGVLGALPPLLALLTAGDQAPRARRDAGMALLHLSLAAVNQSKLARAPGAAKNLLSISSDSNEPLPIRRLALMVICNVAACAEGRTALMDAGAVATFSGILSNDAHRSELQECCVAALYDMSKGSPRFRGLARAAGADRPLILIAEQADPGVHKDMARKALRAMLGLGDINGGGLHDFSNSERNDDDSCTMASSLPVRRRRAASWGAPPASKLSSSHHWRSVCID >SECCE2Rv1G0128230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:859076858:859080226:-1 gene:SECCE2Rv1G0128230 transcript:SECCE2Rv1G0128230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALQAGDAADWLYKGEGAANLILSYTGSSPSMLGKVLRAKKVLNDKAQPSPNCLNFSSHEQLVWGDIPELIDSVKQGSVAQAYAVHVMSQHLGHDHVDGGVRVSVSKDFLEIVGKNVLSARPAWRVNASAIDTNADSALLISDHSLFSGKPRGSSCIAVEIKAKCGFLPSSEYISKENAIKKQVTRYKMHQNLKFHQGQISKTSEYDPLDLFSGSKERIRTAIKSFFSTPQNNFRIFVNGSLGFGGMGGGADKILANETEKCLEDLRKVSGLQLSDFIELLSEAISKSGALDKLLATQKLDDHDIEGAIHLYYNIISQPCLVCKNITDAELLHKYSVLHSLPLDKSCKIVRDFLISATAKDCSLMMCFRPKESERTDSEYDSVFLQSVNRAYDYKAYFVDLDVKPLDKMTHYFKLDQKIVNFYTKSGEVGRVPCDSQKGVGTSDDTAVQPEHRGAPST >SECCE6Rv1G0421560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:684238491:684242102:1 gene:SECCE6Rv1G0421560 transcript:SECCE6Rv1G0421560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTQGQVITCKAAVAYEANKPLVVEDVQVAPPQAGEVRIKILSTALCHTDYYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTDVQPGDHVIPCYQAECRDCKMCKSGKTNLCGKVRGATGVGVMMSDRKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLDKVCLLGCGVSTGLGAVWNTAKVEAGSVVAVFGLGTVGLAVAEGAKSAGASRIIGIDIDTKKFDVAKNFGVTEFVNPKDHDKPIQQVLVDLTDGGVDYSFECIGNVSIMRAALECCHKGWGTSVIVGVAASGQEIATRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYMNKEIKVDEYITHNMNLTDINKAFDLLHEGGCLRCVLAMEH >SECCE7Rv1G0493740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:574428494:574431556:1 gene:SECCE7Rv1G0493740 transcript:SECCE7Rv1G0493740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGAGNGAGEDAGGAEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQDSEIMKEDDSNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQL >SECCE5Rv1G0349560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:684668647:684670624:1 gene:SECCE5Rv1G0349560 transcript:SECCE5Rv1G0349560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGAVEWWQEWQLRVLVLASLFMQYLLYFSVWLRRSPNMSGLRVLVWIVYIGSDAVAIYALATLFNRQKQTLDGGSSALEVLWAPVLLIHLGGQPFISAYSLEDNELWKRHTITLVCQVTVALYVFCEWWSGEKRLLAAGVLLFLFGILKFAQKPWALRTASFNSIQASLKVEQEEGHIYSLEEYVQEAKKCLLEPQGSGLRGRGLLSNYMFVDWPASYSFRIEVLSFFVKSKEDGYKMLRARLGNTFSMLYTRVRSFGTVHGFVVGFMFLLPLLALSSIVLLATSRKDGHEEKDIMVTYILFCCTAVLEFLLPCMVMSCMILSQCIPCFDGLLTKHFEGWHDMVPQRFINQHWYMMKRAIPDQITGMVRQHVEDGWKNYICDAKSYRRFSQFRGQWALRRHKQIWWSLKMPFDKSVLIWHIATDLCFYHPNTSRQCRQQVEGTVHSREISNYMFYLLLVRPEMLMLGSRSDLFTIVSDQIILNMPLSPDNMISDACRLAQELMVLDNEEARWIVVKGVWVEMLCYSASRCRGYLHAKSLGDGGECLSTIWLLWAVMGMQTLADRNHKSEFLDEEEKEIELEEALAVHKKDEKNAQILASGSQVRAGHPAHDGSPV >SECCEUnv1G0537510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69005212:69007820:1 gene:SECCEUnv1G0537510 transcript:SECCEUnv1G0537510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLVLISVVVGVVGLLVRWNEVRYGRRRNGRLPPGTMGWPLFGETTEFLQQGPSFMKQKGLRYGRLFRTHILGCPTVACMDPELNRRMLLQGESSGLVPGYPQSMLDILGHNNIAAVHGALHRAMRGAMLGLVHPAAIRASLLPKIDAFMRSHLDGWSGCVVDVQAKTKEMTLLSALNQIAGITAGPLSDALKTELYTLVLGTISLPINLVGTRYYRGLQARKKLVSMLEKMIAERRSSIQAHDDMLDALLRSGNDGAREKLSDEQIIDLIITLIYSGYETMSTTSMMAVKYLSDHPRALQDLRREHLDIRKGKSSEEAIDYEDFKSMAFTRAVIFETLRLATVVNGLMRKTTQDVEMNGYVIPKGWRIYVHTREINYDPSMYPDPTTFNPWRWLEKNMESHPHFMMFGGGGRMCPGKEVGTVEIATFLHYFVTRYRWEEEGRNTILRFPRVEAPNGLHIRVQDY >SECCE4Rv1G0256930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:661715155:661718341:1 gene:SECCE4Rv1G0256930 transcript:SECCE4Rv1G0256930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPTTKVLVSTLLIFFIFLSHGASPVPVGAESEEVDRSALLAFKSAVSGDPKGVLAGWGASPDACNWTGVACDPVTRRVVQLVLREQKLSGELSSALGNLSHLRVLNLSGNLFAGSVPPELGSLSRLKFLDVSSNTLAGTVPPELGNLSRLSSLDLSGNAFAGLVPAALGKLARLKQLSLADNQFQGPIPLELTRVRSLEYLNLGGNNLSGPIPAAVFCNLSALQYIDMSSNNLDGEIPIRPDCLLPNLTFLVLWSNNLVGGIPPSLSNSTKLRWLLLESNFLDGELPSDGMFGGMRSLELLYLSFNYFRSPRNNTDLEPFFASLTNCTGLRELGVAGNDLPGTIPPVVGRLSPGLRQLHLEFNSIFGPIPANLSDLANLTTLNLSHNLLNGSIPPDLSRLQRIERLHLSNNLLSGDIPPSFGSFPRLGLLDLSHNQLAGAIPPSIVQCVNLLKLDLSHNMLRGVIPAGLSELSALFYLNLSSNLLSGAIPATIGEMDTLQVLNLSSNWLSGTIPPELGGCVALEYLDVSANALGGVLPETLGSLQLLQVLDVSRNGLTGDLPRSLENMASLRLANFSYNGFSGEVPSSGAFAGFPADAFLGDAGLCSRTASMASGLARCGGAKRRVLNNRRVVVPVAVTVASFTVAIIGLAACRAVARTPSASVGRDGRRSTLLSYGRDEPIERGDHPRISHRELSEATGGFEQSSLIGAGRFGRVHEGTLRDGTRVAVKVLDPKTGGGEVSRSFKRECDVLRRTRHRNLVRVVTTCSQPDFHALVLPLMTNGSLESRLYPRDGRPGRDMDLARLVAIAGNVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMTAVVADFGIARLVKDADGDDDGITGSADPCNSITGLLQGSVGYIAPEYGLGGHPSTEGDVYSFGVLLLELITGKRPTDVLFQEGLTLHEWVRRQRHHTQDITAVVAQPWLADAMFSTVQGDDVVVQLIDLGVACTEHSPLLRPTMVEVCHEIALLKEDLAKHGGAAASVAMTASEGSCSTTDSSF >SECCE7Rv1G0480250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:267180052:267181296:1 gene:SECCE7Rv1G0480250 transcript:SECCE7Rv1G0480250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPSTTCIHRHRPFFRLLVLVLAGIRPASSQFIFTPPTAGATFAWASTPPPPPPPAAGGLGSGTFNVATSILFVGVIVALFLVGFFSAYLRRCADAATGARRGGAAADANAAVAAAAAAAFSSAVGRSRRRPGLDVAAMEALPVLTYARARAVKAGRGALECAVCLAEFADDGEKLRLLPGCCHVFHAACIDVWLAAHVTCPVCRADLADPAVAAAGHVLAADLAAQVETSNDTVINVEASDPAPVEDTASDQQQAETAEERVDRFTLRLPERLRREIEEAKRLRRAMSAVTVAAASSTASGRWVPSALRTMSAARPSGRWSALFRALSGSHRSELDGSSRRVAPLQTHGASDDAVEVVVVQDDAGQAEKYYAHSLTFAGFVIDGDVAAGDWNPEVFQISSAVPVAATSQR >SECCE2Rv1G0113350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:721886206:721886616:1 gene:SECCE2Rv1G0113350 transcript:SECCE2Rv1G0113350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEKLEAARIALGKRKERELQQLGPAPAPAPAPALAPAPAAAKAELSKPARAGNNKLLAGNLAHEFLTHGTLLGRRIEPSHHHHQPAAAAVSPRPEPEPKRRYAELSWLLMTNGAHIPGVVNPTQLGRWLQIKE >SECCE5Rv1G0349300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682715459:682716291:-1 gene:SECCE5Rv1G0349300 transcript:SECCE5Rv1G0349300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDDDTSNGSNGLGMKLLVDTKARRVLYAEAGKDVVDFLFSLLPLPLGTVGKLAADSPMVGSVGNLYGSARSMDARNPLLPPAGCHGDAHWSLPDVTEQSPTKQGSRFRFFRCKEQYYQDCCDYIAEASGTPCPVCGHEMASGLEIVRKEEPANCSCRGCRDTVTYTIKDDLSVAPMSTISGICLLGAMGVTSIAALEEKTVPLGYKEGLEILKASLHSKTVLTDAFLGNVGDKRQNQK >SECCE2Rv1G0064920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5805740:5806912:-1 gene:SECCE2Rv1G0064920 transcript:SECCE2Rv1G0064920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPMSRLTQDLIAEILCRVPYKSLCICKCVCPAWRGIIADPANRKKMAQTLAGFFYRITADSAEPRGHVVNYADLSAFPRPRLPLPPDTTDCFFSLEDSCDGLFLTTIRTGTAAAGASRYMVSNPATCEYIVLPHSGYAGDYCRAYLGFDSGVSTQEFHVFEFVLEQSQSLVVRGVNIYSSKSDVWASMKSQWDSQVSLCWGQPGVFHKGCLHLLIHQRGLAIVDAQGLRWRIVPLPISVDPSFAGFIGKSAGQLFYIDSDGTEGHDSLTFSTISVYVLGAEIYEWDVTQLDDKCIHWKLLRKLSNVAPNVLFQLGFDLEVIGVHPHANIIFFMAHWNNELIAYDLDHQESTVVYHVEPNYQKFRPFFSYVPLFSRLPLDGGMRLATPN >SECCE1Rv1G0050380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:646265551:646267029:-1 gene:SECCE1Rv1G0050380 transcript:SECCE1Rv1G0050380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLISAVLGDLAGRAISFVVDKCREQRTAEEDLQRLQQLLLRARAIIEEAEGRRVTNRGMICQVSMMIKQMFRGYYLLDTFKCREKKTGDEEVSHSLFVQSKFNPAKRFRCLSSGTQIESIEIGRDISKELKQVVLALETMVADMKEFAIFLMSYPRMCRQPYSAYLFFDKCMFGRQMEREQAISFLLQAEPLGGENLGVLPIVGPGLIGKSTLVAHVCDDERVRNHFSLILLYSGNDLKDETAMTFRDHCTIKHQNIASGKERSLVVIELLGNVDEEAWKRLLHSAESCMTCGSKIIVTSRSEKIVRFGTTEAIKLSCLSKEAYWYFFKMLVFGSTDLEEHPRLTSIAMELALRMCGSFISAYVIAALLSENLSAWFWCRVLRSFRKYMQKNLLLFGEYHDLDKSRYIMTIAETRRVSEDLKLFLLYPSYQKGPAAQGEDPRITVVDVLFSRWSTVPQGKFEVLRWRSLIPPYYNYIYACELVRHKNTTP >SECCE2Rv1G0131040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:882360493:882364514:1 gene:SECCE2Rv1G0131040 transcript:SECCE2Rv1G0131040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCPCSTSTSASSSSPLSLLPPSSSVPAASRRSTVAFPSSRGPRSGWTRRRGARAIVAASSSGKDEKAEAVEEEEGEPAFNPFGFVTDNPSSRSAIQLPASPAQDGNVGQMLYRTEDKGREYGKSVRSGEFRWFVRQTGSPDSRRGTIMFLHGAPTQSFSYRTVMAQMADAGYHCFAPDWIGFGFSEMPQPGYGFDFKEEEFHKAFDELLVTLNITEPFFLVVQGFLVGSYGLTWALKNSNKLLKVAILNSPLTVSSPVPGVFKQLRFPLLGEFTCQNAILAERFIEAGSPYVLKSEKADVYRLPYLSSGAPGFALLEAARKANFQDVLSRISAGFSANSWDKPILLAWGESDKYLPLSIAEEFKKNNPSVVKLKPIEGAGHMPQEDWPEKVVTALNSFLY >SECCE3Rv1G0190730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:743102711:743105746:1 gene:SECCE3Rv1G0190730 transcript:SECCE3Rv1G0190730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRACRHHLRRLLETRPPPASPTPARLPHLPARVSPFSSAVAVAATTPHDARDSGLGSSAYWAWIRAAAEAAPAPAPPLEEEEGLTRYIPVKAYFLSTSIDLKSLQAEHGSDVVPPSTRSLNYIALRYSEFPPEIMDIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEHYLDMIRNHASGWLPEMRKDDYAVVEKRSLTTWMKGGLDYIVLKYLDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRIMEKTGDFTMKRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNANYAQVLEYLREEYELNQRFGSLDFKLKFVEHNVHFLQEVLQNRRSNFLEWGVIILLAIEIVISLYEIIKDSSFMS >SECCE7Rv1G0519150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863590729:863593864:1 gene:SECCE7Rv1G0519150 transcript:SECCE7Rv1G0519150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETPPPAWFLFFFPLFLLSVLYYWFTWKTGRRLQRESRLPPSPPALPIVGHLHLLGSLPHVSLRSLARKHGPDVMLLRLGAVPTLVVSSPRAAEAVLRTYDYVLASRPHSVVTDILLYGSSDVAFAPYGQGWRQARKLLTNHMLSARKVQSFRSAVIEEVGMVMAKINKAATIGGVVDMSELLKTFTYDTACRIVSGEFFLKEGRSKLFQYLTNDTSLLLGGFKVEEYFPTLSRVGLLKRTFRAKAERLRHRWADLLEKVIDYHENKDKSVLDNQGGNFVDTLLSVQLEYGLTRGQMKALLTDVFFGSTDTSSNTLEFTMAELMRRPGLIGKLQDEVRSIVPQGQEIVSEADMNNMTYLRAVIKESLRLHPVAPLLAPHLALADCSIDGYMIPAGTHVFVNVWAIGRDSSSWEDSEEFIPERFIEEGSDVHVNFIGSNFKLLPFGAGRRICPGINLGIATVELMLANLMYHFDWELPIGVERKDIDMTEVFGLTVRLKEKLLLVPKSRM >SECCE2Rv1G0137270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:917507378:917508706:1 gene:SECCE2Rv1G0137270 transcript:SECCE2Rv1G0137270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALLLLLATAAPLPGHAAAGSDKPTPPCWPADRAALLDFKAGITADTTGILATWAGDDCCGGGWEGVACDAGTGRVVSLRLESQPGRHMAGAISPSLGGLEFLEALVIRDMGRLGGAVPDALSRLTRLQQLYLEGNALAGGVPGKVLSKMSSLRFLSLAGNRLEGPLPPELGGVRGLEQINLAGNRLTGGIPSSYRNLSRLAYLDMSGNLLSGIVPEFVGQRFKSLALLDLSNNSFSGEMPASLGDLRHLADLSLSHNKIAGRIPAQIGSLRSLSSLALDNNLLVDSIPKSLFGLQKLWRLDLSKNKLTGALPDDFAGGGSLKWLDVSRNAIGGQIPSSISKLQGLETLDISRNRVGGVIPATMADMASLEWLDLSSNAIVGRIPDNFTRLAGVRHASFRGNKLCGQIPQAAPFNRFPAAAYAHNLCLCGKPLPPCRKIS >SECCE3Rv1G0145310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:6279264:6281256:1 gene:SECCE3Rv1G0145310 transcript:SECCE3Rv1G0145310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQSKKPYVVAVAIQAIYAGLFVVSKAAFDSGVNTYVFIFYRLAAATVLLLPVALIDCTCRQSRSATPLAPAMSCRLLFKLFLYVLLGNTFTLNVYNMSLKRTSATVGSAATNSMPVATFLLALLLRMESVKLRSRSGLAKLAGVTLCLAGVLVIALYAGPSIRPLAHNPVFAHKPHTVSTGSKWIKGTFLLMVACASLSLWIVPLLKEYPNKLMATALQCLFGALQSFIAAVVFERDFTKWKLGLDIGLLAIIYSVWCAEMRGPVFVVMWTPLALVFTIFFSSFFLGEVVRLGSILGGILLIGGLYSVLWGKIKEMEVKNKITSAVLEECQGQADGSPRQQEKKRRN >SECCE6Rv1G0451750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873494871:873496352:-1 gene:SECCE6Rv1G0451750 transcript:SECCE6Rv1G0451750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYRSLLLALLLLALSSSSASAATPYGIEFPQFNAAVADAGCDGKLVAEEEELARRVPSLKLHMTHRSAAAGKTGKGSFFLDSAQKDAVRIDTMHRRVALSGSGAAHRGSAPRRALSERVVATVESGVPVGSGEYLVDMYVGTPPRRFRMIMDTGSDLNWLQCAPCLDCFEQSGPIFDPAVSTSYRNVTCGDERCGLVSPPAASAPRECRRPRSDPCPYYYWYGDQSNTTGDLALEAFTVNLTATGTRRVDGVAFGCGHQNRGLFHGAAGLLGLGRGPLSFASQLRGVYGGQAFSYCLVEHGSAAGSKIIFGHDDALLAHPQLNYTAFAPATATDTFYYLQLKSILVGGEAVNISSDTLAGDGTIIDSGTTLSYFPEPAYRAIRQAFIDRMSPSYPLIPGFPVLSPCYNVSGAEKIEVPELSLVFADGAAWDFPAENYFIRLEPEGVMCMAILGTPRSGMSIIGNYQQQNFHVLYDLDHNRLGFAPRRCAEV >SECCE2Rv1G0065040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:6613340:6613726:1 gene:SECCE2Rv1G0065040 transcript:SECCE2Rv1G0065040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLRVAPPYLQQGGNSRISYVHVLAARMSIVIYIVIAINSSLFPLTKHHLFLRSSGTGKEIGAFSTLFMLVTGGFWGRPMWGYLSGVECSINLCIHLVPYLPGCTTFSEASYRTGYYFNPCWTD >SECCE3Rv1G0159800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:99754018:99754416:1 gene:SECCE3Rv1G0159800 transcript:SECCE3Rv1G0159800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMEQGVPEGGELKSVAAVVAYILTKECPSSTFLQNVGLESSSKKKFNRSASALDAHVQELEYKLEKERQASELMREELVEVKKKSEETESTRAAEYQLLLQRVEATDARAAASDARFARLMDLFEGKIV >SECCE1Rv1G0019990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:250124886:250155520:1 gene:SECCE1Rv1G0019990 transcript:SECCE1Rv1G0019990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLDAAATKSSPPASALRAWPPRALLRLCAFPGRFRVRRGDGIRVRCLASHLPSGIKRGGARVFKSAKRTCGKETEPNVNTPTKSGAENHLYFPVKGNNMVNIVNITFCLLHRVVIGQMQLMMKSFPRISQNVTSLPFACISDPIRTPVVPLKLDVTLPPPPDVRWSISRLYYLFNTQLDRNIALSIITLLVTCFSIVFIGGLLFHKFRKKEQPLEDCLWEAWACLCSSSTHLKQRTRIERLIGFFLAIWGILFYSRLLSAMTEQFRTQMHKVREGAQLQVLEEDHIVICGVNSHLSSILNQLNKFQESAIRLGTATARKQRILLLSELPRKHIEKLGDTISKDLNHVDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPRIALVPTIVEASNSTTIELLKSITGLNVQPVEMVASKLFVQCSRQKGLLKIYRHLLNSRKNVFNIFSIPEVGGMKFKDVRRKVQDAVVCGIFRSGGIHFHPGDDEVLKETDKLLLIAPVCGRRKSQYSVLNISERTDNSVNCSEPKEGQRSFSVVTELKETRLKNIVERPSKSLSKSSECTLGPRECVLIVGWRPKITDMIREYDNYLGPGSVLEILSETPITERTSVVNPLMQSQLKNIKVTHKVGCPMNYDSLKEAIINIRKSAKCDKNVPLSVVVISDRDWLIGDTVQTDKQLAYTLLLAENICQKNDIKVQHLVSEIVDTGLGKQITRIRPSLSFIGAEEVMSLVTAQVAECSELNEVWKDILDAEGDEIYIKEVGLYMKEGEKISFTELSERAVLRREVAIGYVKDQKQHINPANKLQPLSLEMTDSLIVISEFEGEQPIVRGSKTSA >SECCE5Rv1G0319360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:373814107:373815292:1 gene:SECCE5Rv1G0319360 transcript:SECCE5Rv1G0319360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biogenesis of lysosome-related organelles complex 1 subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G30330) UniProtKB/Swiss-Prot;Acc:O22929] MEGAKAAAGPAAGGQLESALLHIMQQHHHQSLRQRQQTERAKKDALRSAARVAVHLVEAVDGGVQELFVNEKRIELEARALLGTIARYRKQSDQWLAATNAVNSVVKEIGDFENWMKIMDFDCKSINAAIRNIHRS >SECCE1Rv1G0015330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:123301602:123305180:-1 gene:SECCE1Rv1G0015330 transcript:SECCE1Rv1G0015330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNE5 [Source:Projected from Arabidopsis thaliana (AT2G47470) UniProtKB/TrEMBL;Acc:A0A178W1F8] MATPQIYRKTLLPVLLLLAAAALYPAAADGDEVLALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLAASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALTEYVNSEAATNVKIAAVPSSVVVLTEETFDSVVLDETKDVLVEFYAPWCGHCKSLAPIYEKVASVFKQDEGVVIANLDADKYTSLAEKYGVSGFPTLKFFPKGSKAGEEYEGGRDLDDFVKFINEKSGTSRDSKGQLTSEAGLVASLDALVKDFHSAADDKRKEILSKIEEEAAKLSGPAVKHGKIYVNVAKKIVQKGSDYTKKETERLQRLLEKSISPSKADEFALKKNILSAFSS >SECCE3Rv1G0171400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:270367132:270370828:-1 gene:SECCE3Rv1G0171400 transcript:SECCE3Rv1G0171400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PUR ALPHA-1 [Source:Projected from Arabidopsis thaliana (AT2G32080) UniProtKB/TrEMBL;Acc:A0A178VXA3] MDGGGGVGGGMGPVGVGGGVGGMVGPVGVGGGGGGSDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSTTRSTIIVPIAGVAWFLDLFDYYIRTDERDVFSKELRLDTKVFYFDIGENKRGRYLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINDEASRLYVLPNHPSQQHLEPPERLPGLSDDVGAGFIAGHGSQSASVPEVDVDGPPIEEFSGMGLSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVEPSQR >SECCE4Rv1G0270140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:752924325:752925697:-1 gene:SECCE4Rv1G0270140 transcript:SECCE4Rv1G0270140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPRSNGGAAAAADVEENLSVPRSLAPPRKVALVTGITGQDGSYLTELLLSKGYEVHGLIRRSSNFNTQRLDHIYHDPHATPSAARPPMRLHYADLSDSSSLRRALDHVLPDEVYNLAAQSHVAVSFEVPDYTADVTATGALRLLEAVRLSAKAKPMRYYQAGSSEMFGSTPPPQSEATPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAIGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWLMLQQDKPDDYVVATEECHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPSEVDCLQGDASKSRKLLGWKPKVGFQQLVEMMVDNDIELAKKEKVLVDAGYRDPKQQS >SECCE1Rv1G0014650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:115723683:115725762:1 gene:SECCE1Rv1G0014650 transcript:SECCE1Rv1G0014650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGMRGGIGGGGAGSGERWRWILFLSLVSVSFLLSFLFLFLSAYSSPTRLRLPGLTTARAAAGVRRGPDALPCLAYLLIGARGDGHRLLRLLLAVYHPRNRYILHLSADASHEERRDLAAGVAAAAPAAVSFDNVALVGTPTAGTPVGSSGLAGTLRAAAVLLRLHPDWDWFLTLNAADYPLVTQDDLIHALSYVPREFNFIDHTSDIGQKESEKVQSMIVDAGIYLSGRTNFFRATQKRPSPDAFKFFTGSPWVILNRRFIEYCVLGWENLPRLLLMYFNNVMLPQEGYFHSVICNSLDFRNSTVNNDLRYKVWDDPPQTEPLFLNMAHYDKMVDSGQPFARRFQANEPLLDKIDEKLLKRPGHGPVPGAWCAGRKSWFIDPCSQWSDVNVVKPGPQALKLQQYINRTLEEADSGAKSCRL >SECCE2Rv1G0088230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:240804960:240807004:-1 gene:SECCE2Rv1G0088230 transcript:SECCE2Rv1G0088230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHVAAAAVLIALLAPARASDVSSFPLTQTQSPANGSAAPSSPPCRLDLSAELFGGVAAACGAGGAPGSLDRGRCCPVLAAWLFAAHARTALSVPAPAPALAGEGLDGDEEPMVPYDNQRCVDALGTALEKRGVALPRPNATCDTVICFCGIRLHQIGSLRCPAAFAVGGAARNATPTAAVKDLEKSCRNASYAGCSRCVQSLQKVKGNVSREVAGGDRARRMLGLDCQLMGLTWLLAKNKTVYIPTVSAVLRAMLYTAHPTESGSHSKVSGGGGSAPPRCSPDQENMPLAVDSLQFEHAGSTSSAASLLRGSLLWLALYCFVWDAFL >SECCE4Rv1G0218230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:21063493:21073456:-1 gene:SECCE4Rv1G0218230 transcript:SECCE4Rv1G0218230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAAEEVLGADPAPLTALLGDLASPANEARSRAERTFHALRASHPDPLALRLAHLLLSPTHPSAPMAAVLLRRLVSPGSQAFVYPALAPATQSSLRALLLSAASAPGLSRSISRKLSDAVAELATYLLPSGSWPDLLTFLYKSVASASSPPALQESALNTLARLASHLAAGFPNLHALLLAALSHPTSTDVRVAGLNAAISVIQSLSSAADRDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGLEPRFLRRQLPDVVASMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRRLPRYVGRLFAVVMTMLLDVQDEPAWYAAVSEEEDAGETGSFVFAQECLDRLAIAVGGNTILPVAAELLPSFIGSEEWKRRHAALMTISQIAEGCAKVMTKNLDQVVGMVLNSFNDPHPRVRWAAINAVGQLSTDLGPELQNKLHHVVLPALASAMDDSENPRVQAHAASAILNFSENCRPDILTPYLDVIVGKLLLLLQSGSQMVQEGALTALASAADSSQEHFQKYYDAVMPYLKAILMNATDKSSRMLRAKSMECISLVGMAVGKQKFRDDAKQVMEVLMSLQGSHMEADDPITSYMLQAWARLCKCLGQEFLPYMSVVMPPLLQSAQLKPDVSITSADEAGESDDDGVETITLGDKRIGIRTSLLEEKATACSMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGQAQGRDNSYLKQLSDYIVPALVEAMHKEPETQICASILGSLNESIQMSGTLLDEGQVRFIVEGIKEVITASSNRRTERTERANAEDFDSEEDELLREENEQEDEIFDQVGDCLGTLVKTFKTYFLPFFDELSVYLTPMLGKDKTSEERRVTICIFDDVAEHCREAAVRYYDTYLPSLLEACASENPDVRQAAVYGIGICAEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNSVSALGKICQFHRDSIDASQVIPAWLSCLPLKNDLVEAKIVHEQMCAMLEKSDSELLGHNNQHLPKIVSTFAEILCAGKDLATEQTASKIVNLLRQLQTTLPPSVLASTWSTLQPQQQLALQSVLTS >SECCE3Rv1G0197430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:818346237:818346776:1 gene:SECCE3Rv1G0197430 transcript:SECCE3Rv1G0197430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTREEAHESKEMESLRVHADALLSLASEGGGASKPSPTTAAGRRALAAEGVFECKTCSKRFASFQALGGHRTSHTRLQARMLLHEQTADHGAAERDRARAHECAVCGLEFSMGQALGGHMRRHRGEAPPVPSTSSAAVHGEASSGATQQQEVMPDLNYPPMDDDCGGDGHPLLDLLV >SECCE4Rv1G0220620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41250482:41254139:-1 gene:SECCE4Rv1G0220620 transcript:SECCE4Rv1G0220620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEQQQQPQLPPPSPQAGKADPPAVVGLQVSALIDHVARVDWSLLDRVPGDRGGSQQVSFEELDHILNEVNALILPSHDDPSPVRTMAGGSVANTVRGLSAGFGISTGIIGARGDDDQGILFVNNMSFSGVDLTRLRAKKGHTAQCACLVDASGNRTMRPCLSSAVKLQVNEFTKEDFQGSKWLVVRYAQQNLAQIIEAIRVAKQEGLSVSLDLASFEMVRDYRSQLIALLETGNIDLCFANEDEAREIIGGGLTFDPEDALAFLSKHCKWAVVTLASKGCIAKHGKQVVQVPAIGESNAVDATGAGDLFASGFLYGLVKGLSLEECCKVGACSGGSVIRALGGEVRPENWQWMYKQMHAGGLLLPELKN >SECCE2Rv1G0117720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:775465473:775468748:1 gene:SECCE2Rv1G0117720 transcript:SECCE2Rv1G0117720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEAVERAHELYRGGRHREALELYSAALAAARGPAQRIALHSNRAACYLKLHDFHKAAEECTSVLELDTEHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPSSEVYRNLHARLKTQLSLAPIPESEEESLYTEDDKEDLPPKDNTKNETVIVKSDQPSAKLILENKPVTKAPKVEVPPNLPSKPEGEGTIQKPKGHSELDYKKPLTEAPKVQLSPSLPSKPEGWGTIQKPKGHSGLDYSKWDKVEDDSSEDDEDDDEDDLPRYKFKVRTIGVRPVK >SECCE1Rv1G0061370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:715095457:715098490:-1 gene:SECCE1Rv1G0061370 transcript:SECCE1Rv1G0061370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRATYTFGRELGRGQFGVTYLATHKSTGARYACKSIAARKLARADDVEDARREVQIMHHLTGHRSIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAATLCREVVSVVHSCHSMGVMHRDLKPENFLFLSKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRRYGAEADIWSAGVILYILLSGVPPFWAENEEGIFDAVLKGHIDFSSDPWPSISNGAKDLVKRMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKIVAESLSEEEIVGLREMFKSLDTDNSGTITLDELRAGLPKLGTKITESEIRQLMEAADVDGNGTIDYVEFISATMHMNRLEKEDHIFKAFEYFDKDHSGYITVDELEEALKKYDMGDEATIKDIIAEVDTDHDGKINYQEFVAMMKNNSPEIVPNRRRLF >SECCE6Rv1G0391310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:216152934:216154661:1 gene:SECCE6Rv1G0391310 transcript:SECCE6Rv1G0391310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNCSIALETAGHAAAHGTSAPALLLLSCVAVMGAFLVYFYAPFWALRRVPGPPARFPLGHLHLLANHGPDVFRAIAKEHGPIFRFHMGRQPLVIVANAELCKEVGIKKFKDIRNRSTPPPTVGSLHQDALFLTRDSTWSSMRNTVVPLYQPARLAGLVPTMQPYVDALVDSVAACPDQDCVPFCQLSLRMAIDIIGKTAFGIDFGLCKKVDGGEDVRGFLEEYKRSMEFIKMDLSSSLSTILGLFLPCVQTPCKRLLRRVPGTADYKMEENERLLCRRIDAIIAGRRRDRDREKSASPPGAALDFIAALLDARESGARDLALEDRHVRALAYEHLIAGTKTTAFTVSSVLYLVSCHPRVEEKLLAEVDAFDDGAAPDADDLQGRFPYLDLVIKEAMRFHLVSPLIARETSEEVEIGGYRLRKGTYVWLAPGVLARDGRQFPEPEEFRPERFAPEGEEERARHPYAHIPFGIGPRACVGHRFALQQVKLAVVHLYRRYVFRHSPAMESPIQFDFDLVLGFRHGVKLRAITRTTSHVQSQA >SECCE2Rv1G0126710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:848435755:848436810:-1 gene:SECCE2Rv1G0126710 transcript:SECCE2Rv1G0126710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQGSISRVPSRCTAETARATVAFEIAGYSLHKGLGRGKYLPSPAFSIGGYEWCIRYYPDGKDEESEGYASVFLKLLTKNTEVRALYNWKLVEPFMWSSIVMHSGKEPRVFNRQKSTWGVPTFMQTIPGIESYFLQNDCLLIECEVSVIKETLEVHMPPSDILDNLATLLEGKKGADVTFKVQGEVFPAHKNLLAMRSAVFDARFYGPLGDRGTQDITIEDMQPAVFKAFLHFLYTDSMPSTMDDLEDDDKREMVKHLLVAADKYAMERMKMICEGMLCKCLDVETVATILALADQHHCSNLKDACIEFMLSSNRMDDVIASQGYVHLKRSFPGLFVEMFERTVKSRKI >SECCE2Rv1G0073470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:68334061:68335209:-1 gene:SECCE2Rv1G0073470 transcript:SECCE2Rv1G0073470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYSGPLYSVRVGVGSGETQHFYKLALDLARPLTWMQCQPCVPEKRQDGSVFNTAVSPHYHYVASTDPRCTAPYGRAGHGRCIFDVEFQYGGSSAHGILGRDDFVFDGSGPGSHTSSVGGLVFGCAHSTHGFNNRDVWAGVMSLNRHPTSFIRQLSDRGLAGSRFSYCLSHARSTALLHWDLAKGRGMYYVNLVGVSLGGRRLRAITPAMFKFDTHSLRGGCTLDVGTAYTLMASAAYHVLAAEVVAHMNRHGVHHAILPPAQKLCFHGTWESIHGYFPSMTLHFYPESASLFIKPELLFVEVMHQHAHYACFTVAAYRERTVIGAGQMLDTRFTFDLQHNRLFFAPEDCHLDTSAVN >SECCE7Rv1G0462070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:42436415:42436702:1 gene:SECCE7Rv1G0462070 transcript:SECCE7Rv1G0462070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVAVPTEFRLRNNTGYSWKVTVKLMNGRVTLDQGWATYTSVHQIKIEYMVMFKLLTPDTVKVIIFDDDGTEVFNKCGKHDEAFATKD >SECCE4Rv1G0224820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:85113314:85115041:1 gene:SECCE4Rv1G0224820 transcript:SECCE4Rv1G0224820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDVVYSCGSCGYALNLSSSNRSTSDVGSSYQKSLKKGLISFTSIDLSRFTQVDEISCFPFLTWRSYRPKTKLLCRKCGSSIGYGYGEPPVLCSFDPASSSSGTSQNYLIKIQALRLSDGTQ >SECCE2Rv1G0111220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:698635757:698636979:1 gene:SECCE2Rv1G0111220 transcript:SECCE2Rv1G0111220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCESRQGLKKGPWTPEEDKLLVDYVQANAPGNWRMLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFTPEEHKSILQLHAIVGNKWSMIAAQLPGRTDNEIKNYWNTNLKKQLRQAALVGEQHPALASPGAPAGTSCLAARHTAQWETARLEAEARLSILSTSAATTSVTASSSSSTAAAGGEHGADAPSDVFLRLWNSEVGDTFRRKSAGRAACEGSAPREGAQPAAAPLPPPGDDSSAASNVTTTVTAEEYQVFLEMAAEEMGLFHGGFSLYPPADGLFAEFQ >SECCE2Rv1G0079670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:121784459:121786004:-1 gene:SECCE2Rv1G0079670 transcript:SECCE2Rv1G0079670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAVVAILLASLGAVATAQKAAAPAAEMPAYQGSYPSYSSPPVAEMPAYQGSYPSYSSPPVAQMPAYQGSYPSHISSPAASPSYTFPAAAPAPSSPSMAAPTATASPPSPTPSPSPGRRRRLRVGFYRRSCPRAEKIVREAVRNATSKNPGLGAGIIRMHFHDCFVQGCDASVLLDPTAANPQPEKLSPPNFPSLRGFEVIDAAKEVLEKVCPGKVSCADIIAFAARDASFFLSRARINFTMPAGRLDGRVSLSSEALDFLPPPFFNLSQLVDNFRAKNLDEDDLVVLSGAHTIGVSHCSSFTDRLPPNPSNMNPAFATLLHSKCPVSPNFTNDPTVVQDIVTPNRLDTRYYTNVLKRNVLFTSDAALLSSRRTARKVVENALIPRRWERKFARAMVKMAAIELKTAANGEIRKMCRVVNN >SECCE4Rv1G0276580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798328048:798329349:-1 gene:SECCE4Rv1G0276580 transcript:SECCE4Rv1G0276580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIRRLVNLGVYDGRNRVYSLRRLNLSKMDLFHQTADEAAAHGKVLPTLTPAKVWVPNRRRICKANLAAAEAAAPSIRSPASELVIKPPKVSCSVTNHHHVHFLPTASEDKVVLGDRSNRMFRFDAGEGRRWMESLPSLHEHKHSPLSIAVPPSDMHLHDGEDGGDMYIIDRILHPDKSEAKPQFEALVWRAEHPRSFSSSTWHCDILPLPPWIIHQKLAYVCGHALVGGDTICFSIAGAEGPVTYCFHIATREWSKAGDWVMPFQGKADYVPELGLWFGESRGLPCAADISSVVRGEEPPQEKLRIWVDEDLPEEWQPSELWKSKVISLGLGRFIVVDFLDAMIFDKDCNEMVTGKQFALFTGMEVVYNNGRSKGAGKDNDDYNTGTKCSGNENGNTGGKVKGMMRGLRMVKHKSKRYMFNVQQCIDAVL >SECCE4Rv1G0283360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:835202908:835207523:1 gene:SECCE4Rv1G0283360 transcript:SECCE4Rv1G0283360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRHSRKLRRVHGVLDCERGSIARHFSVAAAAKEDAVSSSSVHGEYGKKVGRSSIFLDRQSEKDLHTFKVSSRGASGTYSSKRMPIAATGVNSLFSCGQVVSARHFSSGADLPPHEAIGMPSLSPTMTEGNIAKWVKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIVCGDGAKEIKVGEIIAITVEEEGDIEKFKDYKASSSPSAAAPAESKPQSEPVEPKEEKKEVSKAPEPTATKTEESSQSGDRLFSSPVARKLAEDNNVPLSSLKGTGPDGRILKADIEDYLSSAAKGSKKEAAPAPGLGYVDLPNTQIRKVTANRLLQSKQTIPHYYLTVDSRVDKLIKLRSELNPMQDASGGKKISINDLVIKAAALALRKVPACNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVVRDADKKGLATIADEVKQLALRARENSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQSAILAIGSAEKRVIPGAAEGQHEVGSFMSATLSCDHRVIDGAMGAEWLKAFKGYLENPTTMLL >SECCE4Rv1G0282010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:827665378:827665908:1 gene:SECCE4Rv1G0282010 transcript:SECCE4Rv1G0282010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPDTSWVFADLAVADSARYSTRTRLMLTGLSFAIGILTLLLYLAVSYACRRHRRRHRRRRQRGAGAEDQEAGMSDAAIVYEQADALAAPKDCAVCLGQVEAGEKLRRLPKCAHLFHADCVHAWLRAHSTCPMCRAATTGTTPAATAAAEAPPRGVVAGTPPALERMNCTTLAGE >SECCE5Rv1G0354930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727650081:727650611:1 gene:SECCE5Rv1G0354930 transcript:SECCE5Rv1G0354930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSYDAAADGPATARVVLPSGELREYSPPATAAMALEEVGQQGWFLCDADRMGFEGSVAAMAAGEQLQPGQIYFVLPAEMLRRRLAPEEVASLAVKASAALVRAATASSTGGRRRRGSVAPLVFAPSEEDYSDETLATFAVKPSMPQKRRVAYRGGRSPPRFSPDLTAILESE >SECCE3Rv1G0190430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:738763048:738767681:-1 gene:SECCE3Rv1G0190430 transcript:SECCE3Rv1G0190430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFLTQLHHHSHRLRPSPPLSRIPTTKNNLPFLVSRRLLSDDASPPAAEPSPPPPPAAAPPPPPPPFAIDVPNEELKRRLETYYEVEDESELSPVVAAVLERNLADAHSETDDELIEELRNRPLPEVHDRDFEADFDEMYETDEEITDLYNARQYVEKKMKDDESFNMNDTKWDEEIKKATAMGQLSNMKECEDILEDMLHWDKLLPDEIKQKVEAKFNELGDMCERGELEPEQAYELFKEFEDKMVSECTELMEAEPLTVDELSEADNKSIELNDPPGEGPVLRWESRIVFAPGGDAWHPKNRKVKLSVTVKELGLSRHAFRRLREVVGKRYNSGKDELTIISERFAHREENRKDCLRTLYALVEDAMKADVLANAARDAYVKGRLQANSQFMDRLKIKTQKLRQAA >SECCE1Rv1G0032580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:457311704:457312315:1 gene:SECCE1Rv1G0032580 transcript:SECCE1Rv1G0032580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGAASAGAVRRAVDRACAAARGARRALARFAPRPSAFGTATDAEAAAVRGVRNARTFRYHYAALQWALLLASLAAAGHRGSVLFLMAASKVLLVCLGLLAPFPRLALLRRLVAAAFVVLVLADLAAAGAVANLMAALAVGVPVIVLHASFRVRDDLEGPSTENGEEEEADEEAAVVEKREDGDVEAGPTRRSTAIAPRSPK >SECCE1Rv1G0049290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:639949137:639953065:1 gene:SECCE1Rv1G0049290 transcript:SECCE1Rv1G0049290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVRSWLLLLLGLAGVLQVHGQHAPDSTGFVSIDCGLPEQAGGYVDAATKLPYVPDGAYTDAGSNHNISPEYIKPTLSKRYPNVRGFPGAGRSCYTLPSTVARGSKYLLRATFMYGNYDGLSRLPVFDLHLGVNFWRTINITTPDKPQLAEIIAVVPDETLQVCLVDTGSGTPFISALDLRPVRDTLYPQANATQALVLVDRTNLGVSGAALVRYPEDPYDRVWIPWSEIDTAEWAEISTPEKVKELADPRFNAPSAVMQTAITPRNGSRSASSRTIELSWDAAPNHAYPDPGVIGIVYFAELEAVAGSAVRQFEMAINGKVWSKAPFTPQHLVCDAFFNSEAHRGFAGHYNITLTATANSTLLPTINAAEFFSVVSTANVATDAKDVAAMAAIKAKHEVKKNWAGDPCAPKTLVWEGLNCSYAVSMPPRITRLNMSFGGLSGGIPSHFANLKAIKYLDLSYNSFTGSIPNALSDLPFLLVLDLTGNQLDGAIPSGLMKRMEDGSLTLRYGKNPNLCSNGVSCKPTKKNSKSMLAVYIAVPILAVVVIGALAVLLLLMVRKKQGSRKGSVKPQNEASGAHSQSWNGDTHNLLQLDNRRFTYKDLQAMTSNFKTVLGRGGFGSVYDGFLPDGTQVAVKLRSESSSQGVREFLTEAQTLTKIHHKNLVSMVGYCKDGEHMALVYEHMSEGNLEDKLRGKDSNTRSLTWRQRLCIAMESAQGLEYLHVACSPAFVHRDVKTSNILLNANLEAKVADFGLLKAFNQDGDTHVSTARLVGTHGYLAPEYAAALQLTEKSDVYSFGVVLLEVITGRPPILQCPEPTNIIQWVRQQLARGNIEDVADVRIRGEYDINSVWKVADVALKCTAQAPTQRPTMTEVVAQLQECLKLEEQHMI >SECCE5Rv1G0374740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:864428497:864428820:1 gene:SECCE5Rv1G0374740 transcript:SECCE5Rv1G0374740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVLKTGTVVVAIFVAMLLVQTTVGLGYPTPTAHAPSGGGLACPDAQSTCRTKCRTGCDGISPAMCQAVCAASPLTEAGKTCVDKMLSVCQTFCKTACESISSA >SECCE1Rv1G0061920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717865138:717867801:-1 gene:SECCE1Rv1G0061920 transcript:SECCE1Rv1G0061920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGRGGRRVEYGRSYVVRPKGRHLATIVWLHGLGDNGASWSQLLDALPLPNIKWICPTAATRPVAAFGGFPCTAWFDVDDTSVDGRDDIEGLDASAAHIANLLSSEPSDVKLGIGGFSMGAAAALHSAACYAHGKFSSGTPYPITLSAVVSLSGWLPCSRTLRGKMEGSHMAARRAASLPILLSHGRADEVVPYRNGERSTEFLRSSGFSYLTFKTYNGLGHYTIPEEMDDVCRWLSSRLSVDRSR >SECCE1Rv1G0043100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:588632864:588650042:-1 gene:SECCE1Rv1G0043100 transcript:SECCE1Rv1G0043100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEASSASGAGAAYEEERRKRILDNLKHLEELGISKMAKGLIPATRQQDKTPRASPKSRKKFQATTEVRRSSRARTTVSYKDDFPELDDFVRRRRVSKSVDNGRGYTGRISSYQQQQRAFKRAKKFQDILGPDNPSFVKTMVRSHVSSCFWLGLPSGFCKDHLPPREHKMVLEDEEGVEFDAVYIGNRTGLSGGWRGFSMHHDLEDGDSLVFELAEPDRFKIYIFKAIEDGKEAEPNDKSADVDSDSAQEVPDQTDSPVSEPPSSPEPLKGAKRRKLRGRR >SECCE5Rv1G0343750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:640935431:640935853:1 gene:SECCE5Rv1G0343750 transcript:SECCE5Rv1G0343750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPHQSYKKFLQREAQPAKGKMEPKPKRMKVRAAAPAAAEAFTPLEVDVAKQLIRLSKSNASSGGSFSGLRSVDGPPAPPAKGAIIPGGCVDWEEDEDHEVAGRQRRVKCYRLISEIYAATEPIGECSDSSRRNKKKE >SECCE4Rv1G0256970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:661801348:661802709:-1 gene:SECCE4Rv1G0256970 transcript:SECCE4Rv1G0256970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDQGKSSGGARVCVTGATGFVGSWLVRKLLDAGHTVHATMRGIGDDEKVGRLRRLVVPGGVAPERLVLFDADLYDPATFAPAIAGCRSVFLIATPFADGAAEAAVGAVRAILRQCEESGTVRRVIHTASMSTASPLTAAGYKDFIDESCWTPLDVDYPLRSADFDKYMLSKLLSEKELLSYNDGEDPAFEVVTLPLGLVSGDTLLGRVTEALEVAVSPVSRNEPRFGFMRLLQTVAGSVPLVHVDDICAALVFCMERPSPVSGRFLCAAAYPNIHDVVDHYASKYPHLEILRETEEVASVQPERNKLGELGFRYKYGMEEILDGNVRWAARLGHLDESKLSARHKDMKPIID >SECCE4Rv1G0235350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:328883995:328890626:-1 gene:SECCE4Rv1G0235350 transcript:SECCE4Rv1G0235350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAADKAELVFEEEDDMSAGTPPPARKMQSLDFEHIGSLAAMAESLSPRSKWRMALRSIRIVIFQAKINVLLPFGPLAITLHYLSGNHQGWVFLFSLIGITPLAERLGYATEQLACYTGPTIGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLAHPNRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEAHYGKSEVSLSRFSSCIMLVAYASYLFFQLKSQRSLYSPIGEEEENTEDEEDEKEITQGEAISWLFVLTIWISILSGYLVDAIQGASESLNMPLAFISVILLPIVGNAAEHASAIMFAMKNKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGEEMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPKPRNSSW >SECCE1Rv1G0014790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:118545093:118545770:1 gene:SECCE1Rv1G0014790 transcript:SECCE1Rv1G0014790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTSDGDSELEAYGSDTYALLLSGDIKVMQDGSSYKCPFCSVGNGDYNIHELLQHALSVGAAHDQEAKQKVDHRALAKHLKDEPAKSHSPLLRPIIMDPQPPQHKRDELFVWPWMGIIVNMPSEYVGKSANRLKEHFSCFHPVKAHHVYSKGFPTGNAIVEFGKDFGGFRNALIFENQFEKNGHGKMGWQEKERGGSEPFGWIARADDYNAPGAIGDFLKKMAI >SECCE6Rv1G0444580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:829456775:829459262:1 gene:SECCE6Rv1G0444580 transcript:SECCE6Rv1G0444580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRSGRRPVRSSAQIGGAAPMEVRPGRRLRFAQPQLCGPSGDGEPDRISFLPEELLFLILALMGCASTAARTSVLSRRWRDLWTHLRQIVFHNLTPSIAAALGRVRGPPAAVSLLEVRVPSASRHPWPVAVGATASRLLRAAVRLEPEEIVLSFPWSAGSEGGPNLVRLPCFRRTKSLMLERLLFYCPDGEFTALRTLSISYGLGGLHSLLPRCPHLRLLSLKFVNDGYGLVQNGLMSLHLPSLQELFLETSILHTDAVDIVAPLLKRLTVSVGSYKVVGSISVSAPMLEKVSWEWWYFDAGSVVQFGPWRLHRLWIHTGETQGQPPSLHIHASHSWFISDEDNFAQEVEKHMVADFSFLELRLLKAGHVFGALVFHLLGIIRISRGIQRLKIVLERSRLKGKCPPHCLCEPTNWRSQTISLTALQEVEINGFEGQEHEFDLLELILKSAPVLQKMILQMSQQASSNNSGSTKIYDIFRAYPSVECYVYESYGLMHGRHISLLT >SECCE2Rv1G0125880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:843935946:843937438:1 gene:SECCE2Rv1G0125880 transcript:SECCE2Rv1G0125880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSAAGDGTTRRKTACVTGGSGYIASALVKMLLEKGYAVNTTVRNPDDAEKNAHLKALAALGPLEVFRADLNQEGSFDDAVAGCDYAFLVAAPVALMPENPEKDVIEPAVHGTLNVMRSCVRAGTVKRVVLTSSVAAVSGRPLQGDGHVLDEESWSDVEFLRSTNTGPWAYSVSKVLVEKAARAFAEEKGISLVTVCPAVTVGEAPASNDLTSVSVILSLLSGDEAYAGALEHIERATGSIPILHVDDLCRAEIFVAEEEAASGRYIVCGLNPTLVELARFLAAKYPQYKVNTDRFRDLPEKPRVCISSAKLVKEGFEYRYKNLEEIYGSLVEYGRALGILPY >SECCE1Rv1G0004070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16140786:16143155:-1 gene:SECCE1Rv1G0004070 transcript:SECCE1Rv1G0004070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAAAPVAPGNGRLGRPRAPRVGLRVCAAAAGAGEGPSCLYVGPIETASQEMLEALYRQARDSYYSGQPLIVDDMFDKVELKLRVYGSPSVVKYPRCSLKRQSAYADAEEDHSMFMALSSIWTLLLLFGTSAFLVPSFYTLSLAFGDAFGARSLFSGAKSLDGITRVNHMVLIGLGYLIGYPVASASVGALQGLLTNNVVALKGSCPNCGEQVFAFVKTDKSIKAPHKAECHVCECPLEYRTKVERSLSGPRRSWVYGRVYMVKQGHPRKRRWIKD >SECCE7Rv1G0502990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:703042988:703047954:-1 gene:SECCE7Rv1G0502990 transcript:SECCE7Rv1G0502990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCVRCCCCALVLLLVALGITAAVVFVRNRNGGGGGGDRPVPGSVDHKYAEALAVALQFFQVQKSGKLVKNEIPWRGDSAVDDGQEAGLDLSRGMYDAGDHIKFGFPLAFTATMLSWSVLEYGGAMEAAKQRDSALDALRWIMDYLVNAHPSKDVLYIQVGDPEVDHKCWERPETMSENRPLTKITAKSPGSDVAAETAAAMAAASLVYKPIDASYSSTLLGHAEQLFAFADRHRGAYTRTFPELAKYYNSTTYQDELLWASGWLFHATGNRSYLAYATGKNGEDFADLGNPRYFSWDDKRPGTQVLLSRVSFFASQGAGVADDDNGDGLESYKQTADAVMCILLPDSETAAFRTEGGLLYVAEWNSLQHPVASAFLAAVYGDYMLTSGKTELSCGGQSFSPADLRKFAQSQADYVLGDNPMKLSYLVGYGDSYPQRVHHRGASIPADVDTGCGGQEWLESPDPNPNVATGALVGGPFKNDSFVDERQNVMQNEATTYNSALIVGLLSSLLSTSSVAQSLSS >SECCEUnv1G0539930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78014580:78015397:1 gene:SECCEUnv1G0539930 transcript:SECCEUnv1G0539930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFLLLAALLALVSWQGTASDPSPLQDFCVADMNSAVRVNGFVCKNPMEVNADDFFKAANLDKPRVTNKVGSNVTLINVMQIAGLNTLGISIARIDYSPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNQPAPNRNKFLSKVLNKGDVFVFPVGLIHFQFNPNPHKPAVAIAALSSQNPGAITIANAVFGSDPQISDDVLAKAFQVEKNTIYWLQAQFWENNHN >SECCE5Rv1G0355650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:733258855:733261904:-1 gene:SECCE5Rv1G0355650 transcript:SECCE5Rv1G0355650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPSCLLAVVLLAVLLPGATPLQASQTWTLLKVRELLGRPPVLRHWRRTTDFCGGGGTVGPSASVVCYGDTVTQLHIAGDAQGAPPLPLNFSLGALVTTLSRLPDLKVLTLSGLGLWGPLPGKLERLARLEIVNMSRNYLYGPIPRGLSRLQGLQTLILDDNMIGGEVPGWIGTELPALAVLSLRNNSLAGAVPDSLGRAPSLRSLVLASNNLSGNLPDLRGLANLQLLDVGGNSLGPAFPRLGRKVASVVLSRNRFGGGLPAAELSSFYLLEHLDVSRNRFVGPFPPALLSLPSLQYLSIAGNRFTGALSDKVPCGDNLRLVDLSSNLLMGSVPACLRPGGKPETVVLSSENCLDRGDGSQHPSPFCQNQALAVGILPHHNEKKKVSRHAGFVAGIVMAVLVAVSLVGAMAFFAVRKMTMKGAKTRPSATLMEDHTSTSSAYPSKLFADARYISQTVKLGALGIPPYRSFSLVELEAATNNFANSSLLGQDSYGEMYLGKLSNGASVTIRSLKVKRNQSSQSFNRHIETISRLRHRHLVSALGHCFEYDLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLTWGQRISAAIGVAKGIQFLHGGIIPGLFGNNLRISNILLDQNHVAKIGSYNIPILGEAAKPESNQGGVGSKHQTDSTMLGDKIDIFDFGVILLELVSGKPITSIYEVEIMKELLLWAMAEEDRARRRSFVDPSISKSCSEESLRTVMEICLRCLAKEAVHRPSVEDVLWNLQFATQVQDDWEGEIRSGDGSPVSSSRTIRSSRFSR >SECCE5Rv1G0301800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34008169:34010489:-1 gene:SECCE5Rv1G0301800 transcript:SECCE5Rv1G0301800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPREDGPVCISDSEETVQMETNGLSLKIQEIESKRKWETATDLSRRFCSNQHESPWEGGTAKHIACHSSEYQGHPSQEWPPWNDGSLTTTLGEVLTPDKSSPIFRACKRKNSGKRKICFTCREEGHYNRQCPQNLRAMSANLPKVVEQYPPCNDGSLTTSLGRQSSNQHKSPWEGGTMEHIACHSSGYQGQYSQEWLLWNYGLLTTLLGWQKDFRFFSNQYESPWEGGKTEHIAYHSSGYQGHYSQEWPPWNYGSLTTSLGRQKDFHFCSSQRESSWEGGKTEHQDKMNGIITCLACGKEGHYSCDCPFKDQEHKVICTLCSKNGHCSMWCCQQNKSESRACTRCGEIGHSANTHGLSCSSCDEYHLDGECRLSEVKCFICECQDHYLAQCPLNFKGALQLALSKRGNTSSTPAKCSAKSEGKVLRADGSSPICFTCREEGHFAFQCPQNSPSLSEEFEERSTIATAATLSKELEDQDPGTAKQSSEMKLILYDRCCPSKAKVLPPNKSSPIVRTCKTKTEGKKRMCFTCREEGHYACMCPQKFRATSHNVSKEVEESSTIATSSNMSKVLEEQDPGTAKHSSEMEWVLRCVSCGQEGHKAKKCPQKRQKR >SECCE6Rv1G0400070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:446893018:446895761:1 gene:SECCE6Rv1G0400070 transcript:SECCE6Rv1G0400070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPASPQVHIESVQTGLPTRVVEPDRTRVIAVAAPPLPATALQRRLRAVFYYRDDAPLEEGIMVKESLGEVLCFFPEMAGRLRRHADGSWEVKLNDAGVRFQQATVEVTMEDFMADKDLARKEAALAPWLDVSAEDPDMCSLLFMQLNRFQGGGYAIGVSCTVLLSDPLSLARFLRAWARTHAEMKEQSKVAPTPMMQYMAYFQRPEICCKRIRSFPVDSVAADGVHAQTLLFRAAAGDPHALAAACIDRASEELGADRPSRFTLVVAPADPARGATTVDTSITADGLKKGGAGHALEAAEWSELELEELTIRDVKPVHVSYRIVAGGDDGLVVVMPDGDGFLVTATLPK >SECCE7Rv1G0504910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:727675284:727678748:1 gene:SECCE7Rv1G0504910 transcript:SECCE7Rv1G0504910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVDGEPVPCSSPEGSSGRELVAALTGNSGLRAASERLRAEPERRVPSGPEGPRHVYVFQREYATVDPACVELVGTDEMTTCVGVAIRNNKTGMTSVSHMDFPNIVEGGFKQMLELLGDDDEPFDVHLIGGFDDASTKVVYSSGGKHSIQEGYSHPLCCKIVEVLHKSQQRFNLRSFCVLGINTMTDSYGNARPIVGGFVMQTSSGVVTPASFDITSRCPDEIVRRIRVSVSSYDPNWRGKLLETYDTHGDIFQIAPACWMPDWAEMASSLNQLSDSEVLLQCSTSPAAEPPHFVDTERRIWKYLIENPDWEDAFPKYKPRVFHWTNDGRWSRHS >SECCE7Rv1G0501130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:679767938:679768495:1 gene:SECCE7Rv1G0501130 transcript:SECCE7Rv1G0501130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAPAPDSDHCDAGTIMADVLSKGRESCYKARDAFYACVEKHADKKPTEIATMGLLFPADCKKSRAQYVSSCRPSWVRHFDRQHCAKKRVQRLLDGDDDGRGPMSLPQPYTFKQ >SECCE4Rv1G0293960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887860932:887861747:1 gene:SECCE4Rv1G0293960 transcript:SECCE4Rv1G0293960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIHFSFELLIFWNFAPETFLGEVRIRSVRILIGLGLTWFTRYWFPEESISPLAKPFISLPLDSYFVCTQSTEAPPTYVATSSIACSYFVFPLISHQIWCFSIPSCYGEQRQKYNRILHLSGSRFSLFLLLTPPRVVPNVWHFPYFVGATSTNSLMIKLQPKIYDYIMLTVRILFIPSVCSQVPVIVICLPEPRGLSVETFTSNRRFLMVFPLFTAALSTPPDIWCQTVASFLIYSIIEFAIFVALIVQVREEGWTSRMRESGSIEKKEE >SECCE3Rv1G0195930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:803688351:803688728:1 gene:SECCE3Rv1G0195930 transcript:SECCE3Rv1G0195930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSGVAIALGVVGFLVVVLAIAWAVRCAVARRRAEEAKAAVGVGAGLLDKEAVVVDVETKREEPLCAICKGPLAVGGGRCRRLRACGHVYHAECIDLWLQRKPICPLCRAGVVLSRTDIVDAIV >SECCE7Rv1G0518460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859193062:859195680:1 gene:SECCE7Rv1G0518460 transcript:SECCE7Rv1G0518460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTQGAIDGLLGVLAKAITDEAQLIGGVPGDMQFIKDEMDSMNGFLLHLTKTEGQHDDQVRAWMKQVREIAYVAEDCVQRYIHDMVPHEAASCGRLGALAAMAHLILLHPKKFYRLHNLGKQISELKVRVHDVGERRLRYGVTVPAGPDVKLAPMTPAGQQEEKREAFVHALDLELQQDIVGSKAWWRAKHEARLRSALRRATAVGGLLPAALPSAVVRYISFSRAIIYRLPPLIQSEAATVHGILKKCSQDNGGDAAAFRCTKKMFLCALYAYPYVTNQELEKLKEKLEGRTEDPKKEVMIFCYSMLTISQKSSLQYLTAFLHESEISRTSMVRRWVAEGLVGKERGGEGGGGGRTPEEEGECYFNELLFRGFISPARFSDAGTVKSCVMDKPVSDFILSITGSENFEVSLPAHLERQLNIRKIVQRIQPPQQEQRRAADRWRNITPRCCNNLCGHSAFPEEDHPMDALVDFLEKLPELYRLNVLDLGGCKGLKKRHIKSIGDVVWLKYLSLRNTDVSHLPTYHINKLTLLETLDIRGTSIRPHDTEKINLPKLKHLLAGRYLKPGEKVSLITVRMPGKIGSMRCMETLSHVQVSKYGTELRGVAKLRQLRKLGVVVHGNADSAAHLGRVLHALSGCLRSLSVFITTQGWALDELSSSSAQEMMMGATPRPSFILENLDIKGKISGLPSWITKAQKLANVTLRHTELSGEDALRRLAGVLSLRCLKLNGAAFIEQQLVFRVVQFKALKILVLEGGPITTITFLSADAAPALEKIVWAIGSSSRVRDGEDLIVGINYLPNLKAIELRGDFNTTSLLDWLQVTAESTSDPRYHIRYMLSSTTSSGNELITEVPKTARHTTVSIPVAVINQH >SECCE2Rv1G0102270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:575377304:575379768:-1 gene:SECCE2Rv1G0102270 transcript:SECCE2Rv1G0102270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCPEIVELVDETKDARPGGVTHLRVRVKPVGQEHGGRSCSVEDDLDRLLRSFNVRTSARASGQTSTDKRLIALGKAPMSSSEIVESVSLKQALRKMCISQASEMAAMKRMSKPAAVSNTPESGAIKKLYASVVVPTDEDQDGKSKVEKAVVLPDKVVVSSSIKFVESGKKVHSKGSANRHVRSASPTKVKVQKTRIQDVISNKSLEASEDPSAGRAVAKQRKGKSPKASSPRAVPVGSRLVFRSKSSTKKKVKPEPAAAVASQKHCEPKGSGSHTKKQQEALQDEPKTPAPTSKKGADGSLSAEGVDFGKGCFVSGIPGSQPGELSRSKEKGESSQSSKSSIGDYSTSTSISEDSYGSFSANGSRPHMSKDVRWEAMRRIAIQQGTLGLKNFKLLKQLGCGDIGTVYLAELVGSDCMFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPTLYSYFTTDNLSCLVMEYCPGGDLHVLRQKQPTRCFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPMLVRCSSVGRDEPPRPSGPCAESCIDPLCIQPSWANSSCFTPRLVSSTPSRTRRAEPPKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGKTPFRGPGNDETLTNVVSQGLKFPDSPAVSYQARDLIRGLLVKEPEHRLGSRKGAAEIKRHPFFQGLNWALIRWTAPPETPKSIDASTLTAAVARKKKEGKCLEFRMNGDDIEFELF >SECCE2Rv1G0109380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:674946331:674950476:-1 gene:SECCE2Rv1G0109380 transcript:SECCE2Rv1G0109380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPASTSTSASAAGSASASQDAAAEATPRRPAGHRRAQSEILLGATALPDDLTFDADLGVVGEGSGGGGGDEDDDDEYEDDEEGGGGGGGSRMFEMFLQAGGRLSEPLEPSPYPQPTPPPARPRHQHSLSMDGSTSFGSASSGVPGRHGADAKKAISDAKLAELALVDPKRAKRIMANRQSAARSKERKMRYIAELERKVQCLQTEATTLSAQLSLLQRDTSGLTNENGDLKLQVQTMEQQVRLQDALNDRLRDEVQQLKIATGQLSTNNGNLGNFGGLSSYGVNPQSYQRSQMQQSLLAAQHLQQLQIHSQHQQPQMHLQQQRLGSVRQQQQQQSQLRPEALPFPGDLKMKGIAMAAHVQHAGPFDGHARSEP >SECCE5Rv1G0339830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611346525:611347955:1 gene:SECCE5Rv1G0339830 transcript:SECCE5Rv1G0339830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMCMGMAKLLLLLLVVTASHGQAAAAGKERNTITHVKGFDGPLPFAMETGYVEVDEAHGAELFYYFIQSERSPREDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPRLVYFEDSWTRVSNVIFLDAPVGTGFSYARDEQGLDVSLTGTGTHLRVFLQRWIADHPEFASNPLYIGGDSYSGYTVPVAALEIADQPDNGGLNLKGYLVGNAATDDKYDSGGKVPFMHGMGLISDELFEAAQGSCGGDFVTTPRNAQCANALMAISVTTSDVNPVHILEPMCGLALAPRPLPPTHISSIFSTTTRRRSARLLVQETDRLALPVECRDNGYRLSYIWADDAEVRAALGIREGSVGAWSRCVNLTHFRKDVSSTVRYHANLTRRGYRALVYNGDHDMDSTFVGTQAWIRTLGYPVVAPWRPWYANRQVAGFTTEYAHNLTFATVKGGGHTAPEYRPKECLAMLDRWTSADGRL >SECCE4Rv1G0251420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:602008681:602011963:1 gene:SECCE4Rv1G0251420 transcript:SECCE4Rv1G0251420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSSPGRAALPAAVFVLLLLLAAAPPAVGGGGGSAAVNGDRLRAEQIRKQASDAAASAAALAAASRRLHLDRARHLRLLSSLHRNLTATLRDLGAASASEPASQSDQARRLELQAKDLIRATRAAIADAKPLFDPQLKIQRLKDAIFAQNELLGRAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIALPDKFADPVPPPAALEDPALFHYAIFSDNVLAASVVVRSCVANSQDPSKHVFHVVTDRMNLGAMQVIIRLMDLQGAHYEVKAYEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLQKILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYWQYMNFSHPLIKAKFNPNACGWAYGMNFFDLNSWRREKSTEQYHYWQNQNENRLLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRQLWTKYVDYDDSFIRQCNFAPP >SECCE6Rv1G0399770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:443528257:443528565:1 gene:SECCE6Rv1G0399770 transcript:SECCE6Rv1G0399770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRGTSVVLSRAARMRQKLQSALEASALDIEDVSYQHAGHAAVKDNANETHFNIKVISPKFEGQSLVKRHRMVYDLLTDELNSGLHAISIVAKTPTESGS >SECCE2Rv1G0081050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:135481244:135481787:1 gene:SECCE2Rv1G0081050 transcript:SECCE2Rv1G0081050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKGQNNGLASPAGTSGTATAIVVFASLLLVASVVVFLVSPPAPATDGKPPEPVELAIGVVGHEGWLDALRAWAKLACLKLRPLEPRCDLRSPGSVKKAAKQSLAMGKEAVEHTAVSAARAAEETIGRTTEKVRRKVSSPSPSDGDL >SECCE2Rv1G0122760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:818536135:818536607:-1 gene:SECCE2Rv1G0122760 transcript:SECCE2Rv1G0122760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYGDLEAGCLSHSVSPIKPASSPRKPGPGRLFCDPCDEADELLGRHHYLDICFSCRKLLAGNKDIFMYRGDMPFCSEECRQEQIEIDEAREKRSSQTGRAEEQRQRQQQKQSTPRIPVWAW >SECCE5Rv1G0317810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:354273437:354279898:1 gene:SECCE5Rv1G0317810 transcript:SECCE5Rv1G0317810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLLENFDLPAKNPSEEAQRRWRSAVGSLVVKNRRRRFRHVPDLDQRHQDTAKRRSVQEKIRVALYVQQAAITFIGGAKKNEYQLTDDIIKAGFSINPEELASITSKHDLKALKMHGGVDGISKKVRTTFDXXXXXXXXXXXCIYGVNRYAEKPSRSFWMFVWDALQDTTLIILMVCALLSVIVGLASEGWPKGMYDGLGIILSILLVVMVTAASDYKQSLQFKELDNEKKNIFIHVTRDGGRQKISIFDLVVGDIVHLSIGDQVPADGLFIHGYSLLIDESSLSGESEPVYTSQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFATLTFVVLMTRFLIDKGLTVGLSNWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSAGTICTDKTGTLTTNHMVVDKIWIAEISKSVTSNNSLEELNSTISSSAWSLLLQGIFENTSAELVKGSDDKQTVLGTPTEIAIFEYGLSLQGYRDAEDRSCTKVKVEPFNSVKKKMAVLVSLPGGGHRWFCKGASEIIVEMCDKVIDQDGDVIPLSDDRRKNITDTINSFASDALRTLCLAFKDVDEFDENADSPPNGFTLIIIFGIKDPVRPGVKEAVQSCITAGIIVRMVTGDNINTAKAIAKECGILTDDGIAIEGPDFRNKSPEEMRDLIPKIQVMARSLPLDKHLLVTNLRGMFQEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPTGRGESFITKVMWRNIIGQSIYQLVVLGVLMFAGENLLNIKGPDSKTVLNTLIFNSFVFCQVFNEVNSREMEKINVFRGLISNWVFLGVISATVVFQVVIIEFLGTFASTVPLSWQFWLVSVGLGSISLIIGAILKCIPVKSGEISGSPNGYKPLANGPDDI >SECCE6Rv1G0388190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:130618611:130621171:-1 gene:SECCE6Rv1G0388190 transcript:SECCE6Rv1G0388190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGRKRGTADGANGAPVGGKRTRESESFQTGVGSKSKPCTKFFSTSGCPFGEGCHFLHYFPGGHQAVAKMSNLGGQAFAHPQGRMAAGSAVPDGPPTLTVKTKLCNKYNTAEGCKWGDKCHFAHGERELGKHTFINNSMPPHMGPRPTGHFGPPAMPSPGMATPAGFGASSTAKVSVDASLAGAIIGRGGINTKQISRVTGAKLAIRDHESNEALKNIELEGTFDQINNASAMLRELIFRISGAAANAPPPGVIPAGGSHRGGGGGPGSNFKTKLCDNFTKGSCTFGDRCHFAHGVNELRKSAAA >SECCE5Rv1G0353670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718626627:718628069:1 gene:SECCE5Rv1G0353670 transcript:SECCE5Rv1G0353670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIAIKCPSIEVVVVDISKPRIDAWNSDTLPIYEPGLDDVVKACRGKNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPGGQKAVQALKEVYAHWVPEENIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSEVSYAIGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQVSIYDPQVTEEQIQRDLAMNKFDWDHPMHLQPTSPSAVKQVSVVWDAYEATKGAHGVCILTEWNEFKTLDYQKIFDNMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDGWLKDMPAIA >SECCE1Rv1G0024510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:328292931:328307653:1 gene:SECCE1Rv1G0024510 transcript:SECCE1Rv1G0024510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGGVPDPQQLQATMLAIEQACSLIQVHMNPAEAEKVLSSLHSSLMPYQACRFILETSVMPNARFQAAGAIGDAAIREWGILTDDNKRSLILYCLNYVMEHAGSPDGYVQSKVSAVAARLLKRGWLEFPDQEKGAIFFEVEQSIRGMHGPNRQFAGINFLETLVSEFSPSTASSMGLPKEFHDQCQLSLEVKFLKDFYCWAQAAVFNTADKILNSNVTIPEEKACSAALRLMLQILSWSFKPTLEHENLDAKIKSGLRSDAINLRKFERSLVKPGSLWTDILISSAHTTWVLNFYTTLRQKYSYDTLWGDSPIAVSCRQLIVQLCSLAGAVFPNDNGDAQIEHFIHILSAVILWIEPPNVIAESIRNGGSESEFIDGCHVLLSVASLTSSSLFDNLLKSIRQYGTINLLSALTSEAVKSVLDNQNEEETWGSDALDILLETWNVILGEACADKSPMSADGALAASNLFKIIVESHVKAAADSAFEDSDDAEYFHVSVSKRDEQLALYALIARAAADTTIPFLEQLFSERFARLSQRDVENDPTRTLEELYWLLLITSHVLTDSGEGETLLIPEALQAGFTNVVEVAQHPVVTLSWSIINFSRQCLDPGIRGRYFSPRLMEAVIWFLARWVATYLVPLDVSREIDSVGKHGSQHSRKLLNSFAWDNNQGELVLDFVVLMSMVALTTYQGEIELQTLTCQKLLASVVRRKHTCAYVVQLDSWRDLTRAFASGRSLFSLSGRLQRSIAETLACAASCIKDPEASVQYLRDLMGPVAGCLVENASRSDLKSVAHQPDVIYMVCCLLERLRGAARATQPRTQKVLFEMGHTVMNSLLTLLEVYKNQSEVIYMILKFVVDFIDGQAVFLDGKETSVLMSFCLRLLQIYSSHNIGKVMLSLSSTLRSESQSEKYKDLRALLRLLTNICSKDLVGFLSDSNIEGSPDIAEVIYVGLDIVTPLISLDLLKYPKLSRDYFVLMSHLLEVYPEKVAHLNRDAFGRITGSLEFGLRNQDGDVVERCLTAVNALASYHFKERLGGRGGLGSQVMESEGSNGKLQESISSHFLRLLLQLLLFEDFRMELAGSAADALLPLLFCEQELYQRLVHELLEKEQNPTVKSRLALAFHNLTSSNSLSSTLDRPNRQKFRKNLRAFLGEVSGFMQIK >SECCE6Rv1G0414210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:630542077:630543438:1 gene:SECCE6Rv1G0414210 transcript:SECCE6Rv1G0414210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILLKAIVVFGPNKNFALRLVHLFMATSLSCVTILHYLIHRPLVVYLVDYACFRPSNYRVPMANFLEHIRLFPNSNKNTVHFMKRMLERSGLGNETYYPPSAWYIPPDQCLSKTRDEAEMVIFTTIDELLVKTCLDPDVIDILVVNCSLFNPTPSLADMIMRKFKLRGDIRIAQLSGMGCSAGIIGVDLARNILQTMPYGAHALVVSTEILTGRYYMGRKHEMLVTNALFCTGGAAVLLSTSRTKARFQLLHITRKSIGAEDNAYRCVFQQEDDEGYLGIHLSKDLLEIAGKALKDNLTIIGPLVLPCSELLSFLFSSITRKLFNSRTAVYIPDFGKAFEHFCIHAGGRAVIDGVQRSLGLSCEHVEPSRMTLYRYGNTSSSSLWYELAYIEAKGRMRKGDRVLMIGFGSGYKCNSAIWKCIQSIQNVDKAWVDCIHRYPVDMSSNRNGPG >SECCE3Rv1G0161780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:121874805:121884376:1 gene:SECCE3Rv1G0161780 transcript:SECCE3Rv1G0161780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMSDAPAAAAAPVAEPLAAVAEEGEGEGEASTLTMERVAAAKKFIENHYRSHMKNIQERKERRFRLERQLATSEVPREQQINLIKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSGNIYAMKKLKKSDMVIRGQVEHVRAERNLMAEVASHCTVKLYYSFQDTEYLYLIMEYLPGGDIMTLLMREDTLTEPVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLSEDEPMGDDNLKESMDVDSSLSETANGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHVKFPDDSRLSPEARDLICRLLCDVDHRIGGAGADQIKAHPWFRGVAWDKLYEMEAAFKPQVNDELDTQNFMKFDEMDNSPPARTGSGPSRKAKLNSKDLSFVGYTYKNFDAVKGLKHADMQRSSSLTRPSIGAIFGSNGMESSREPNGKDTHMHTFSSGDPMSP >SECCE1Rv1G0005470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:25958028:25958876:-1 gene:SECCE1Rv1G0005470 transcript:SECCE1Rv1G0005470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIKKKTRTYLTWTNDMDEALLAVLVEHHNIGDRAQNGWKPHVYTACIKHVKDTCDVDITKDNIVGRIKTFDKHYEIISKMLAQSGFGWDRETNKVTVDSDEVWTRYVEANKDARAHRTKVVHNWSAIETIYSKDHANGGGARTGADCAQEQNTPVVEASPEVPQKRQRTGDAILCMMGQMRTSFDEALKATEPLPMPKAAPATEILQALQKVEGLEDSDMLRAYGKLTANERMFESFMALPQNLRKPWLLTLP >SECCE3Rv1G0164120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:157319757:157321166:1 gene:SECCE3Rv1G0164120 transcript:SECCE3Rv1G0164120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPVLVVSSRTVKPPARPRERIPLASWDVSMINANYIQKGLLFPAPPPPFSTGAAVTSHLADALAEALGGDYYPVAGRFVTDRHRDDSGAVVGCSVSIDCDGHGVEVVHAVADGVAAADVVPPDADVPSVVEGLFPLNDAINYDGHELPLFAAQVTELADGGVFVGFACNHALADGTAFWNFLKALAEISRARLAPPGAPQSPTSSPRPMFERWSPDGGTATPVLLPCKDLSELIERQARVPLRGRVLHFSAESMAALKEQAREELLAAGDAAAAAALTRYQALTSLIWRCITRARRLAPDDETVFRGAANNRRRLRPALPAEYFGNSLYGVSTEPVRVSELLARGGHGRAAAAVGCAVAANTDASIRARVAAWTADPVVTVRLTMMGGSPWFDAYGCDFGWGKPTAVRSGRANKNDGRTVLYPGREGGGSVDAEVSLSPEHMAALELDQELRAAVSSSRANSVSL >SECCE3Rv1G0193890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:775575001:775575465:-1 gene:SECCE3Rv1G0193890 transcript:SECCE3Rv1G0193890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARAEGSGPPAPPPLPAPEKRPAPVDGREEERPGTKRRRASVAALDGVPCAAAKGGDGEADGNRDGSSFSFPHARGGFVALETTPKFGSFNPPVAAEQEALHPEDSPAVKEEEDTASATGVEDGTDGSSQSVAVVDDHGRRHPGRQTESER >SECCE5Rv1G0333630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:559601638:559602516:-1 gene:SECCE5Rv1G0333630 transcript:SECCE5Rv1G0333630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAKSINVARVLLCLEEVGAEYELVHMDLFQAKEQNSPEHLARNPFGKVPALQDGDLVLFEARAIAKYVLRKYKTEQADLLREGDPVEAAMVDVWTEVEAHQYHQALRLIMLECFLNPTLRGLPTNQAVVDEAVEKTKKVLEIYEARLSEHRYLAGDFFSFADLNHFASTFYIVDATPYGSLLDSYPRVKAWWEDLMSRPSFKKISANMTTKV >SECCE2Rv1G0140140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:930323893:930326627:1 gene:SECCE2Rv1G0140140 transcript:SECCE2Rv1G0140140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVIGAMGTLLPKLADLITKEYNLQRGVRGEIMFLKAEMESMETALLRISEAPIDQPPNIQVKLWAKAVRDLSYDLEDSIDKFMVRIETHGRPDKTHSFRNFIDNSLSLLTKGKIRHKIGSDIKDIKSRIKEVSERRDRYQVDSVASAKPDGPAVDTLRLSTLYKKATELVGTDEKSIEVIKMLTEGDKVSKKQLKVVSIVGFGGLGKTTLANAVYEKLKVQEDNQELQFDCSAFISVSLNPNMEQIFKSLLYQLDKHRIQNNNEALWGEEQLIREIRTFLENKRYLIVIDDLWDKAVWENIKYAFIENEYESRVITTTRILDVAQQAGGVYRLNPLSVVDSRKLFYQRIYDMENKSPPSQLVEVSENILKRCGGVPLAILTIGSLLSNKKGRAHTLEYWSKVQKSISSGLDNSHDDVKNMRRILSVSYSNLPPHLKTCLLHLSLYPEDYKIATEQLIWKWVGEGFVKKEQGRSMYEVGGDYLDELINKSLVQPVKFDSANKVRSCRVHDMVRDLIISLSNEENFLTTIGGHQLEYLPSKIRRLSVRPCIQEVANQLSTMGLAHVRSLIVSSPAFSLLPTLSGFPVLRVLDLTDCYQVDNNSWKDICNLFHLRYLSLKGTSITRIAKEIGNLQFLQVLDIRCTEIQEELPSTFIQLTQLLLFCMPDRISCAVPRWMCSLSFLFSLSITLETLREEDLHVLGNVASLSELYIQVKKPRPFSKRKGRDKRLVIDNALSISVSKEV >SECCE2Rv1G0142430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:940721544:940722575:1 gene:SECCE2Rv1G0142430 transcript:SECCE2Rv1G0142430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAMTMAGLLHPPPFIYAPPSPSSRRLPPFRRAASTRIRSEHSTTTITNTTKTATTVKLTYLEFNGWLWELDGGRFRVLVDPLLVGNLDFGMPWLFDGAKKTLPKDALQQLQEDLAVVDLLLITSSLDDHCHLRTLTRLSAVSPDLPVVATPNARPILAALPFNNVTYLEPGQSTTVVGAASGTEVTVLATPGPVNGPPWQRPENSYMVTTTTGQGHHKQSVYYEPHCMYDAGFLRDHGLRADVLITPVVKQQLLASFTLVSGQEDAVELARLLRAAYVVPMSNGECDAKGLLTAIISTEGTTQAFRHLLADALPQTQVVPLHLRFDGDNNNTSSPSSSSS >SECCE4Rv1G0220740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41472709:41474076:1 gene:SECCE4Rv1G0220740 transcript:SECCE4Rv1G0220740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQQASPPPSLLTVLETTLIAPSTSTGAAPPESSLPLTFFDVFWLNLPPVERVFFYRLAADAEVPAILSNLKASLSQALHAYHPLAGRLRLTPGTADRYEVYYQPGDGVTFTVAEYRDDVDVHELAVDLPREITRIAPLAPQLPKGGAVLALQATVMRRGLAIGMAVHHTACDGATSTRFLHTWAAASAGAVGPPTPVIDRTLVKDPTRIYDVFVKAMPTVDEMDRVKAWEHRLLATFTLSKEDIQRVKDVIASEARRRGAAPPRCSSLVATFGFMWSCHQRAKDNAASTGGDPTYLLFPVDHRSRMKPPVPDEYLGNCVGIATHAAPMDQLAAQGAGGLFVACTAVAVAIDEAVRGIGSPETIALWMHRVREAGVAGMWTVAGSPRFRVYEVDFGFGQPAKVEIVSVARTGAMAVAEGRSSRGGIEVGISLPAAGMQSFQKCFQDAIDWLYQ >SECCE4Rv1G0282260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:828784799:828786324:1 gene:SECCE4Rv1G0282260 transcript:SECCE4Rv1G0282260.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGLTGEKPHAVCLPFPTQGHITPMMKLAKLLHCKGFYITFVATEYNHGRLIRSRGPSAAAGLAGFDFATIPDGLPSSHADATQDPASLAYSTMTTCLPHLQNLLAGLNSTSGAPPVTCVVADNLMSFAVDAARELGVPAALFWTASASGYMGYRNFRLLIDQGIIPLKDEEQLTNGFMDMPVDWTPGMSKHMRLKDFPTILRTTDRGDTALNFKLHHVERAEIADAVIINTMDELEQTALDAMRAIIPAIYTIGPLNSLTDQIVPCMDPLHKVSSSLWKEDHTCLQWLDGRKSRSVVYMNFGSVTVMSNHELVEFAWGLANSGQDFLWIIRPDIVKSEAAMLPPEFLEGTKGRGLVASWCDQEAVLRHDAVCVFLTHCGWNSAVEGLCGGVPMLCWPFFAEQQTNCRYKCLEWGVGMEIGDNVRREVIKERIEEAVGGEKGREMKKRAAEWREVAILSKATSLNNLDSLINDVLLSGKK >SECCE4Rv1G0222120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:54433886:54436701:-1 gene:SECCE4Rv1G0222120 transcript:SECCE4Rv1G0222120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVERPRPESAIAENEIRITAQGLIRNYVSYATSLLQDRKIKEIVLKAMGQAISKSVAVTEIIKKRVPGLYQDTNISSVSITDVWEPIEEGLVPLEMTRHVSMISITLSPVELDQNSPGYQSPAYVDQPRQQQRLQQAPASLRQPRQQPAEYEDSYVRGRGRGRGRGRGRGWGRGGYGGYGNNQGGYNQGGYNQGGYNQGGYNQDGGYYDNQGGYNQDGGYYDNQGGYGGGYGYNQGRQGNYQENGGYNRGRGGMRGRGNWSYRGGYERGRGGGAPGGRGYEGGRGYDQAPAGRGYGGGRGYDAPAGRGYEGGRGYDQAPAGRGGYEGGRGYGRARGRMARGRGY >SECCE7Rv1G0468950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104453695:104460722:-1 gene:SECCE7Rv1G0468950 transcript:SECCE7Rv1G0468950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDVISGRDAMAPAAGDGGARGKAAGGRKHLSSIANYVLHQCSLTLHRSIDDLVADFESGLKNAALDNYSRRLVEYCSLQALQSLTSPDLGDTLHEGSLGRFTFDMMLAWETPTPSDQQITMESISKEREDRKEPFGANEAVMGDDTSLFYSDMMPLLVNEDPTVGEDAYVWFGSVFPLACDVVNARFTFEALTATTANRLHYPAYDKFLKEMDKSFKFLQSLPTPTGVEFAEDEFVLHTEGTAGTQRVVRHIGTGSWPGRLILTNKALYFETSGIISYGPAFKVELSDTGMDQQVKPASTGPFGAPLFDKAIEFESLPEPLLLEFPEMTSSTRRDLWLTMIKEVIFLHRFISAYKIESPIHKWEVHSRIILGVIRLHAAREMLRMSPPPPSSFLVFSLYDDLPKGDFVLEQLANHLKETSTITPFSASYVFKSLSKSDPIALSAEMAKEHDRDSSTHEQPLTSLGNTIDKVRHEAREVTVANAPIEGMKEEGLTDSLLVLVGLVSPISKLGPVIQEIISWDRPQVTGGVLAVTLLTIYNEWVGYALAASLVLAVGAMVWAKQRKLGEICSEVIIDKSSDKTTMESIVEAQHSMKKVHEYVKIANVVILRLWSIVLARSPKHTETVIRMLTGLAVALAVVPFKYVLMGLTVCCFAANTRVAKAVSDPRGGRRWREWWESIPAVPVHTVDKGELRTG >SECCE4Rv1G0278620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:809936757:809939930:-1 gene:SECCE4Rv1G0278620 transcript:SECCE4Rv1G0278620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALRFQPFLSSRATPTTTAATGTRRTASATLRVAAQPESAPASASTSTSTSDGRPEFSPPPGFAPPVPRRLAIKDGQLGSVAGAALALPFRLGTGLFVQGYSVSLVSADKIPADQYSLGFLGLKVRETSKIDQCRRPEKPIEIYEFEGCPFCRKVREMVAVLDLDVLFYPCPQKGPTFRPKVLEMGGKKQFPYMVDPNTGVAMYESDDVIKYLADTYGDGTVPVMLSLGLFTTITAGLAMIWRIWKGSSYTVSKLPPQPIEIWAYEGSPFCKIAREALVELELPHLLHSCARGSPKRQEFFKKHGLFQVPYIEDPNTGVKMFESAEIIEYLRATYSLYPQ >SECCE7Rv1G0507480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:762529535:762532853:1 gene:SECCE7Rv1G0507480 transcript:SECCE7Rv1G0507480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWIIGALINIVGSVAINFGTNLLKLGHDQREKLYSSNNQGDGKFVPKSVMYFQTWRIGILFFAVGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYVVLNKTISVKVMVATTFIVFGNVFLVSFGNHQSPVYTPEQLIAKYSNLVFVLYCMSLVFVVALSQYLYRSGETILSDNAKDTSTHWRTLLPFSYAIVSGAIGSCSVLFAKSLSNMLRLTMSSRYQFHSWFTYSILLLFLCTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIIMFVLGMTFVFIGISLLAPDENKADTKDGSNATKDPTIDMSSEAHVSGNCRPRKLPMEEMEVEDMDSFSTSVKVKAKRILSRAKSACSMSLGLGEETISASSVLAMPMVSSRTTGFRGIRTDRSKYIPLRSTNWDNL >SECCE1Rv1G0044950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:606459896:606461877:1 gene:SECCE1Rv1G0044950 transcript:SECCE1Rv1G0044950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARAPLRRLLLSSPGALSLCSPYTTVGRRGVVVGGISPLLLMLSARPSACRVGGGSPAAGAPSGASSRGRSLCARAVSVDDEAPSSSAAGSVYDLAAPYLSARIRCRKEDAELLSESLLCFGACSVTVDDIADAANLDEISMTTIYAHGENVGSSVSSAASSAGLDYSPVYETSVGKQCDWVTLVQETYESTKVIDGLWIIPKWKTPPDPQATNIIINPGLAFGTGEHPTTKLCLLFLKEVIKGGEHVLDYGTGTGVLGIAALKMGAALSTGIDIDPQALSSARENMVLNGMDSGRMLVHLVPTGAEPSCFSNSIDKSAEEKPGSNLELESSKGTYDIVAANILLNPLLELVEDIVGFAKTSGTVVVSGILCEQVPRIEKAYSRYLDNVSVSEMDGWACLQGTRRA >SECCE4Rv1G0221440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:49016039:49022663:-1 gene:SECCE4Rv1G0221440 transcript:SECCE4Rv1G0221440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCDLKEGEIIQKSSTKKMSLELVRERTAGQRHLLPLPLLLLQHLVPSLLLLLPLSSSASPSTVVTHLPGFHGPLPFYLETGYVGVEEETGTELFYYFVESERSPGTDPVILWLTGGPGCSGFSGMVFEVGPINFVLAPYNGSLPQLVYNPYSWSKIASIIFLDSPVGSGFSYARDPKVYDVGDISSSLQVVTFLKKWFMDHPRYVSNHFYLGGSSYAGKMIPVIAQYISEGNEQRRQPEINLKGYLLGNPITGSKFDDFNSKIPYAHGVGIISDQLYEAAMANCEGDYVTPVTKMCVGVVNAIQNLISEVEEGYILDDRCVRAAPKPVKDDSSSSRFLLEEQIQPTESSAHPTINCFSYRYYLSNIWANYNRTRDALRIKKGTVGKWVRCISEFPYTHDVPSSIGYQFNLTTRGYRALVFSGDHDLLVPFLGTQAWIRSLNFSIVDDWRAWHVDGQSAGFTIAYANHMTFATVKGGGHTAVSYRPKQGLAMAQRWLDNKSL >SECCE7Rv1G0505200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:731934185:731934955:1 gene:SECCE7Rv1G0505200 transcript:SECCE7Rv1G0505200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQVMAAPVHDNNNSSKEEKNRGITQDMPFTKAEEQAPGAKVRDNGDSKDEEVTIAADTDEGRGLAQEVQAKLAVEPAEGGAKETKEGRPRPAKKTEKAAAKGAVVPVNDETDVQAAEPKGAKKAEKAAAKWAVVPVDDDTEDEAAARADQAPGAAPVASEEEAEAAGEEATKRGAKCEEKAHEE >SECCE3Rv1G0152190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:45275016:45276068:1 gene:SECCE3Rv1G0152190 transcript:SECCE3Rv1G0152190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEILSSMVEVSSQYSSGASTATTESDATGRAPTALSLPFAIADESVTSAQSASSRFKGVVPQPNGRWGAQIYERHARVWLGTFPEQDSAARAYDVAALRYRGRDAATNFPCAAAEAELAFLAAHSKAEIVDMLRKHTYADELRQGLRRGRGMGARAQPTPAWARVPLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLTRTPETTTTTGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLGAGDSIVFSCSAYGQEKQFFIDCKKNTTVDGGKSASPLPVVETAKGEQVRVVIRLFGVDIAGVKRGRAATADQGPPELFKRQCVAHGQHSPALGAFVL >SECCE6Rv1G0405710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:534283962:534285464:-1 gene:SECCE6Rv1G0405710 transcript:SECCE6Rv1G0405710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGKRLVGSADRLSDLPDGPLHTIMSFLTARQAVQTCVLSRRWEDLWCSMPCLNIDEREFRTPGILSDSEDEDGGGHDSARFEDFVINLLMLHSAPTLDMFRFHAVSERKIKFAHQWLRRGIKRFPKVVDIDSNYRYKLPRFGSSSSRLNRLHLSGITLDKTFTQQLPSGCPVLEDLELNLCVLKDAEIASCTLKNLTIEHCSTIYYPSVLTIKVPSLTCLQLVDFSENWDDVVANEMPFLVKATICILSSIQILPWKLLLSLINVKDLELTGFRAPENLHVGSDTFPVFHNIRTLLFDKCDLSEKFDMLGCFLNNAPGLEKLTLESCTLPEASKGSKTGGNLKRASIEYDDTPTFHCPSLKWTEIKYIYDDDVQKLFDLLLGAWRNLQKTNIVINKKTE >SECCE2Rv1G0065850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10466507:10471521:1 gene:SECCE2Rv1G0065850 transcript:SECCE2Rv1G0065850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCMRCPAISGGPAARRAAGPQPPASSSLAFSLARCGGRSAAAASGWRIDAVAGKGVKAPMDAVEDAVAPAAPSTVENGSSSAITVEEFEDLAALAKDDEASVSITVVGASGDLAKKKIFPALFALYYEGCLPKHFSIFGYARSKMTDAELRDMVSKTLTCRIDKRENCSEKMEEFLKRCFYHSGQYDSEEDFRELGKKIKQHEGPRVSNHLFYLSIPPNIFLDVVKCASKSASSVSGWTRVIVEKPFGRDSESSAALTKGLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDSYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLRLEDVVIGQYKSHTKGDITYPGYTEDKTVPKGSLTPTFAAAALFINNARWDGVPFLMKAGKALHTKQAEIRVQFRHVPGNLYKGSFGTDLDRATNELVIRVQPDEGIYLKINNKIPGLGMRLDRSNLNLHYAARYPKEIPDAYERLLLDAIEGERRLFIRSDELDAAWELFTPLLKELEQKRMAPELYPYGSRGPVGAHYLAAKYNVRWGDLGGSEH >SECCE2Rv1G0083220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:163447575:163447802:-1 gene:SECCE2Rv1G0083220 transcript:SECCE2Rv1G0083220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAGFISGAAAAAVIAMGAYMFFWPASAAVVMMKAPGSGGLLISCLAFEANPQRYYHLLCTVGAAVAAAAFAP >SECCE7Rv1G0514050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:829610750:829623692:-1 gene:SECCE7Rv1G0514050 transcript:SECCE7Rv1G0514050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVGLSKTVVAEALTKVQSAIDEDNKLRHKAQRDLVTITLEFEMMQSFLNVANGGRAAMNNLVRTWVRHVRELAYDLEDCVEFVVHLDGKPVFWRRLLPACVVGPLPLDQAVAEIEVIKGRAEELSACYSRYSCIGEPVSNLVMLQQQQASGRGAGAVAADMLIDARDSAKRQQFLGDLAQLITNKDKDLQVISVWGTCGDLGTTSIIRKAYNDPEICRSFACRVWVKLMHPFNPHEFMRRFMAQVYAANARVDKEGADVGVHVLTKMEGTQEDVGVLRREFVQEVNTKTYLVVLENLTDMVDWDAVRTFLPDMKNGSWIIVSTQQFEIASLCIGHAYQPLELKQFSPEHSVCAFFKEGSQDYGPKEEKPMVCELSPNSSLEKIPSSNIKGIEDWMENYPLVGRELEMTELRSYTARARFSNSPVISVWGIAGIGKSAIVRNLYYDRMLHSNQFNKYSWVDVSHPFNLRDFSRSLLLDHHSEKDPIKECRELLSQNQCLVVIDDLQSKEEWDLIQAALVSRPSSSVIIVITTEASVATHCTNNEGQVFNVKGLEAAAAMDLFRTEVHRKNPLSPLKDHKDVELEELILKCGGLPKVIVSIAALLATQTVTLMDTVRSLNQKFMQHLETNPDYECLKGLFDWMHSYFRTCPDSLKPCIFYLSIFPRHHGIRQRRLVRRWIAEGYSRDSDEESAVDKGENFFSKLLELSIIQQIPQLVSTAYNDTSMVSCQVNGFIREYIVSRRMEENLVFELGPNCVLTTHRTGRHLIILRDWDRDKIVFESIDFSRLRSLTVFGKWESFFISKSMRLLRVLDLEDALGVKDEDLEKMVKRLRRLKFLSLRGCGEILHLPSSLGDLRQLQTLDVRHTSILKLPASITRLQKLQYIRAGTTTVRASALPASSSRLPKFHRRRGLFGIKVPKGIGKLTALHTIGIVDVGASGGKAVVEELRKLTQLRKLGVSGISRHNSKDFFSATSGLVHLESLLVRLGKDSQGCLDEITLPWENLRSLTLHGLQDKLPPSKNLGKLMKLDLEMDALKEDDIKFLANLPELCILRLRVGQLQDGKLHFHAKMYGEELVTFKKVKILEIASGASELHVTFGSRAMKSLELLKIDCSSASYHLTGLNFLSELKEVLLQGTNDEAIKSNLETQLANHPKAPTVKLEEPPRSS >SECCE6Rv1G0446840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846349703:846355917:-1 gene:SECCE6Rv1G0446840 transcript:SECCE6Rv1G0446840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRIGLAVGSTLPRLPLPSIPPLRPQPSCRLLSRRRALLLLPLSSLRPSASAVSSSAAMAAPTPAPPVASKVPRKLAEHGDVRVDDYYWLRDDARADPDVLAHLRAENDYTAALMSDTKQLEDEIFTEIRGRIKEDDIDAPLRKGQYYYYKRTLTGKEYAQRCRRLVPTDGPITVHDEMPTGPDAPAEHIILDENLKAEGHDYYSIGAFKVSPSGKLVAYAEDTKGDEIYTVFVIDAESGQYVGQPLKAITSDIEWAGDDNLVYITMDNILRPDKVWLHKLGSDQSDDTCLYHEKDDTFSLGLHASESKQYLFVGSGSKNTSFIFYLDIPNQSKDLAVLTPRVDGIDTTASHRGNHFYITRRSEEFYNSELVACPLNNVAETTVLLPHRESVKIQDVQLFENHIAVYERENGLPKATVYRLPSTGEAVGQLQGGRAIDFVDPAYAVEPEPSQFHSNVIRFYYSSMRTPPSIFDYDMDTGVSVLKKIDTVLGGFEVSNYVTERKWAAASDGTQIPMSVLYRKDMVKLDGSDPMLLYGYGSYEICIDPTFRGSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLKKKNTFTDFIACAEHLIENKYCTKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPTIPLTTAEWEEWGDPRKEEYYYYMKSYSPVDNVKAQDYPHILVTAGLNDPRVMYSEPAKFVAKLRELKTDDNLLLFKCELGAGHFSKSGRFEKLREDAFTYAFILKALGMTPPTTASSL >SECCE6Rv1G0420030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:674749436:674751980:1 gene:SECCE6Rv1G0420030 transcript:SECCE6Rv1G0420030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAVLFLLMLLHVLVVAPAAARLRPPVARPGCRDSCGNITVSYPFGIGAGCYRDDGRAGFQLECHGSPPRLTVSGFDHHLADLSLASGEARAYLNATRECFSSTGALVDRTNSYMSLQYSVYRFSDAKNRLVALGCPNLGYFVDGDGYYVSGCMSVCRPSRYAMPGPCTGVGCCQSEIPADISFFGPYLRNFPPSKDSAAFTTNATSCHYVFLVEDEWFTYTDRVFLNRTDDFAVPLVLDWAVRNIDNCSAAGQNATDFACRSVGSQCVDATNGPGYRCSCPKGYDGNPYLDRGCTDIDECHLKDEYPCYGVCTNTPGSHTCQCPPGTTGGATAKNGCRPKDNFTLALKSVIGVSVGVFLSVFMCFWLYLGLQNRKLIKAKRNFFEHNGGIILQQQMRSNRGTAVGGGGGFKIFSEEELEKATNNFATDQVLGRGGHGIVYKGVLEDETVVAIKKSKMMEESDIKEFAREMLILSQINHRNVVKLLGCCLDVEVPMLVYEYVSNGTLYHFLHGKELNTDTTLDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDNNLTAKVSDFGASKLAPSDEVQIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTGKKAICFSGPEDRSLVSRFVTATKAGTHEKLLDGQVRKEMGAEALEEVTHIVTRCVSVSGEERPAMKEVAERLEALRRYQRRPWGQAGGSDSEEGQSLFGRDMERGVEYMFGPQDVLDLEGGSTYTLSM >SECCE7Rv1G0523820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883801426:883802676:1 gene:SECCE7Rv1G0523820 transcript:SECCE7Rv1G0523820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQLSVMRQEMYRGYYNLDIFSCRAHEDDRSKDYSFAPSKFNPAKRVCFCSGNSEGAAHAELLEQVGGSLRHTIEDVSEFIMFLNICPRLTCPPYNMYLLLNKCVFGRQMEMEHIMNFLLQAETAPGASGNPAVLPIVGPGKVGKSTLIEHVCDDERVRNHFFQILCFSGDDLKDASVETLRDGGRIKHRNRGMGGGRTLIIIELFLDIDKSEWKRLYSSARSCIGNGSKIIITSRSDKISNFGTAEPLRLQFFTQEAYWYFFKMRTFGSTSVEDYPKLAAMAMEMARLANGCFMAATIFSGLLKANFDHRFWSMALATVRNFIQTNMSVYGESFTDPWQMAEPVYVRRAKKTWSEWLMISGDYQTCSAETEAEDHEMITPYTRTFLWKREASRKIQGPCMDISPGASLQLHVSL >SECCE4Rv1G0256380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:656494909:656501127:-1 gene:SECCE4Rv1G0256380 transcript:SECCE4Rv1G0256380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDLHKVWEIRALKRKPEEPAARALLDRIAKQVQPIMRRRKWRVKVLSEFSPKNPRLLGLNVNRGVEVKLRLRRDGRDLDFIPYEEVLDTMLHELAHNARGPHDTQFYKLWDELRKECEELVAKGITGPGQGFDGTGRRLGGFSIHPPPPSLRQATLTAAQKRARNGALLPSGPRKLGGNNAIMSALSPVQAAAMAAERRMQDDLWCGSQNDSGIDDSDDVVILEQPPNLTARDGKNTKRAKNTWCDSSSGSAEPSTSSGFQVPARADPSSGRTTDADFSSMWECSACTLLNQPVAPICKVCGTAKPKIAKAKYASWSCKFCTLENCTKLDKCSACDQWRYSYGAPVATYGPSYD >SECCE2Rv1G0064940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5854977:5856191:-1 gene:SECCE2Rv1G0064940 transcript:SECCE2Rv1G0064940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPISRLTHDLIAEILSRVPYKSLCICKCVCPAWRDLIADPANRKKIVQSLAGFFYHISTDDSAESRSLAVNYADLSAFPWSSVPRTCPSLPLPPDSTDHFFTLKDSCDGLFLTRIGTGTPELQFHYMVSSPATGEYTLLPHSGYAGNCCGSYLGFDSGVSTEEFRVFQFVKWADEHWDPPIPVVTAVNIYSSETGAWVAMEPKWDIQVCLCSGALGVFLKGCLHLLIHNDGLAIVDAQGLNWRTIPVPNFIDPSSSGFIGKSAGKLFYINSDDCEEGYGSVPFSAISVYVLSSGIYNWDVSHLDDGCTHWSLLYKLSNVIPKKNFCLGRDLKVVGVHPHANIIFLADLNNNVLLAYDLDHHESTPVHHIERYCMGAYGQFFPYVPLLSCLPLDGGIRLATPN >SECCE2Rv1G0113280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:720387724:720398717:1 gene:SECCE2Rv1G0113280 transcript:SECCE2Rv1G0113280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGMIMLAVLAILAPSARGLDRAEFPPGFLFGVATSAYQIEGAYLEDGKGLSNWDVFTHTRPSKIKDGRNGDIADDHYHRYMEDVENMHNLGVNSYRFSISWARILPRGQLGGINSAGIAFYDRLIAALLQKGIQPFVTLHHFDLPHELETRYSGWLGAGIREEFGYYADVCFKAFGDRVKFWTTFNEPNLFTRYAYVLGQYPPAHCSPPFGTCDRGNSRREPYVAAHNMLLSHAAAVDNYKRNYQATQGGSIGIVIAMKWYEPLTNTTEDLLAARRALSFEVDWFLDPIFFGDYPREMRKMLSSNLPKFTLEEKKLLQNKADFIGVNHYTTIYAKDCISSMCDLNTYEGNALVQVVGERDGVAIGRPTAFQGYYDVPEGMEMIIKYVDQRYQNTPMYVTENGYSQLSDNNMVALINDVGRVNYLQGYLTCISSEVRRGTNVRGYFVWSLMDNFEWVFGFTVRFGLYHMDFNTQERTPKLSGKWYRDFLTGSRRIDKAHTLRADS >SECCE2Rv1G0081470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:140496238:140497458:-1 gene:SECCE2Rv1G0081470 transcript:SECCE2Rv1G0081470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKLNHDRKPCQSIALYIYPVQPSSTQFTHTQRHANMPTTASPPPLLLAAALLLSAALLCSACPVPLPKQTADNSPRLQRAYVALQALKRAITDDPKNLTRNWCGPDVCAYFGVFCAPSLDDPCARAVAGVDLNHGDLAGTLPFELGHLTDLAVLHLNSNRFAGGLPDSLPKLSLLHELDVSNNRLSGGFPQHILCLPNVKYVDIRFNNLCGPVPPAIFDKKIDALFINDNHFDFELPENFGNSPASVIVLANLRLRGCIPASVGRMGGTLNELVMLNSGIRSCIPPEIGWLRELTVLDVSFNQLQGTLPESMAGMHALEQLDVAHNELAGHIPEGICALPRLANFTYSYNYFCGEPERCMALRRNDDRQNCIAGRPDQRPGDQCLAFLHRPPVHCDGHGCLAQH >SECCE5Rv1G0315360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:290481805:290485602:-1 gene:SECCE5Rv1G0315360 transcript:SECCE5Rv1G0315360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSPRTRCAPPPVGVPARGWGRRTLLVAVRRDAAGRELLTWALAKAAAAGDRVVALHVTTAGAADGFGPDERSRAADSLASVLGAYHGFCNLNHINLEIRVCHGSSVKRTLVNEAISYGAAQLILGITKNSRHLGLSATVVAKYCAKRVPQGCTVLAVSNGAVVYHGNAMQEEINHCCTTMSPRRNYSMVAETPRRIYRKILDAAATIGEKTKDDSSIGHRRSLQRNMSMSMSAPVSPKVAAAPPTPVTCHRRELPEMAAGWPLRRKDIMPASPECSEMSVVEWAMRLPSRCSLLSPASSVKTSDHQATSQLRSDSTEPPSPVTKEAAELVSIRENYSSMYTMFSHSDLARITSDFSPERVVGKGGASHVYSGRCQDGKDLAVKVLKSSAEVMKEFVAEIGIISSVDHENAMALVGFCAERGKLMLVYDYMRRGSLEEILHGEKECKGSRLDWPERFKVAVGVARALHYLHGGGGGAKRPVIHRDVKSSNILISEDCQPKLCDFGLALWAADAAAQITGDDLAGTFGYLAPEYFMHGKVSDKMDVYAFGVVLLELVSGRKPVSSGGPKGQESIVMWANSVVQGGKLTELIDPSLPTDDDKAGEIERMALAAALCIRRAPQGRPSMANVVKLLGDDSDAVQWARSQLGVPNDKHGGEDYYSAAASPDKNDIQSYIKLALLDDGDEEDDDDSASVDCAADFIAGNMSLEEYMKGRWSRSSSLTEDRGSYSGGSARIFV >SECCE6Rv1G0382170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:39111172:39118067:-1 gene:SECCE6Rv1G0382170 transcript:SECCE6Rv1G0382170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGRGGEEEEVVHMEDAVKMLVEHLVKPVLPRGAIFGAAQGERHMEPDKQRAVAQQIHTAIILYNYYHRKMSPQLAFADAKRFFVCASLSAGEDLLAYLSMVHERENNPGKHVRLSVTDRAAIQACEIAEELDASKDSPDMAMWPISKVAVLLLDPTRKKCLVEYSANTKGIWSIIEKELDATAGNSHSTNQPAGQESTGKGDLGTLNTPYMLRQQAYSEVERRTGMKGSNLRLLDETLAYSLSKERTTTKLFIVEYEQTMKGNLVEMCLEELMSSMTGPLFANDPFPKTTSVVEYYHILPYKEILFELLHRKWPSDSPLNEQSHRHGKGSLHSVIDENVEEQDVNSTSKMQKRITKVSTPKQSKQAIAANSNQDYRTSKHKRNSKRKSEASRADVCAEAPDGEIHTIENGPPPVIIDLETSKPLTKSRNTKSAAAASRETKILQAVEKNKTQKQSRRDNVPQDVFPAEAPHVDLMKNRALEHQNMDVSEKSGGTTEYTNDQIYDSLRSIQKIRDDILRKECILQERSAQCDMDIQTILSEGKMTPKTVSIIHKYKETCSNMMDVANSSCSGDGGQSITQRKGLREALFRHNSCEELDEICRGGNWIFPRYTVVPSVSDGMFHASVRLTCPEFEMSITGGPRPTAHEARCSAAANMILELHKKAEEQEQ >SECCE3Rv1G0187310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:697587965:697588681:1 gene:SECCE3Rv1G0187310 transcript:SECCE3Rv1G0187310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCTKQFLPMPPQDPNSPSSSTSSSSSSSTSPSHPYHRAQPPHNLPPSPRPVPRTIETTPFPTTFVQADTTSFKQVVQMLTGSEQSSKNAAAATTAAGNGSAGNLAASGSGPCRPKKPSFKLYERRSSLKNLKMIAPLAMGAPPSPRNANSSAAPEILSPSVLDFPSLKLSPVTPLTGDPFFPSPASSSGDAERAAIADKGFFFHPSPRGAEPPRLLPLFPVSSPRMAASATAAPAE >SECCE3Rv1G0199030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:841120428:841127504:-1 gene:SECCE3Rv1G0199030 transcript:SECCE3Rv1G0199030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKGGGGGKKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLKCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTVFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFDITFENEKGERSMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPKVAPIQVIVIPVPFKDADTTAIKGACESAVYTLNQAGIRADLDARENYSPGWKYSQWEMKGVPLRIEIGPKDLANKQVRIVRRDNGTKVDIPSTDLVEQVRVLLDGIQANLLETAKAKRDACIVIISTWDEFIAALNDKKLILAPWCDEEEVEKDVKARTKGELGAAKTLCTPFDQPDLPSGTVCFASGKPAQKWSFWGRSY >SECCE5Rv1G0372390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:852539408:852540286:1 gene:SECCE5Rv1G0372390 transcript:SECCE5Rv1G0372390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGKLSTEANVNPDTTMSSKDYLDPPPTPLLDAGELGKWSLYRATIAEFTATLLFVYVAVATVIGHKRQTDAEACSGAGVLGIAWAFGGMIAVLVYCTAGISGGHINPAVTFGQLLARKVSLPRAFLYMAAQCLGAICGAAMVRAVHGARHYELYGGGANEVAPGYSKAGALVAEAAGTFVLVYTVFSATDPKRMARDSHVPVLAPLLIGFAVLMAHLATIPVTGTGINPARSLGAAVVYNGKKAWADQWIFWVGPLAGATVAMAYHQYVLRNGAAKHSFGSNHHDVQA >SECCE1Rv1G0062290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719152860:719156716:-1 gene:SECCE1Rv1G0062290 transcript:SECCE1Rv1G0062290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MALSLMPPSSIALLAPRGRVRVGPAAPPPPPASSVRAASSSRRRNGGWRRGMAVVAASEGGQKEEEEEGPAAAAGRMNLNEYMVAVDRPLGLRFALAVDGRIFVHSLKRGGNAEKSRIIMVGDTLKKAGTDHNEGLVSIKDLGDTEMVLKQESGPCNLVLERPFAPYPIHQLHQNEDYHILFNRGRVSLPTWNSVMLSSKLNKSSPGNGKSGFAIFSPRLLSSQGWALLSSEKDGLNRRSTNLANWMSEIVGFYSDEDDMDAEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIYVGSCIQTQKDVRMLSETVGITAVLNFQSESERINWGINSEAINDSCRQNNILMINYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVCFVWNSGREGEDVELVGDFTSNWKDKLKCNHKGGSRYEAEVRLRHGKYYYKFIVGGNWRHSSSLPSETDEHGNVNNVIRVGDIARIRPAPSQLQIKDPSVVKVIERALTEDERFSLAFAARLMAFAICPIRLSPKQ >SECCE1Rv1G0033590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:469407435:469409366:1 gene:SECCE1Rv1G0033590 transcript:SECCE1Rv1G0033590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPKPATSACLAAAVHGDLKIAGAALLAAAGALRGDGAAVPLPGAPHRRPHRHIHRPHGPLPPLLSLPCRPPHLPPPLPAPHLTYGASNLQGEVAGAGLLASRALPLLSARSRFLPASSPAWGTAAPSRLAGSRCFSTSQSSASEDDDEGSSPPQVPSSHPEHAGRLCAAIADVITAGADANLEAALSALSLPLSEALVVAVLDRFKHAHKPSRRFFQWAAASGGFAHTPITYCKMLHILGKARQFETMVALVHEMGKAGALCMDAFKISIKSYAAAGEIKNAVGVFEMMRVHGFDDGVESFNCLLFALAHEGLGKEAAQVFSRMHDRYTPDLRSYTAILLAWCNGKNLVEAGRVWNEMLEKGMKPDIVVHNTMIEGLLRGQRRPEAVKMFELMKAKGPPPNVWTYTMLIRDHCKRGKMDMAMRCFEKMQEDGCQPDVATYTCLLVGYGNAKRMDRVTAMLEEMAQKDCPPDGRTYNALIKLFTNRNMPDDAVRIYKKMIKKGHEPTIHTYNMMMKSYFLGGRNYAMGCAVWDEMHRKGICPDVNSYTVFINGHIRQGRHEEACKFIEEMIQKGMKAPQIDYNKFAADFSKAGKPDILFELAQKVKFTGKCDESNVFHQWEERMRSRVKQTVPNQTRSRTL >SECCE5Rv1G0350420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:690427186:690432874:1 gene:SECCE5Rv1G0350420 transcript:SECCE5Rv1G0350420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWLSLCFIALCTPLALWCLKLAGGKRKPQKHKLPPGPWTLPVIGSLHHLAGGVPHRKITELCRRYGPLMLLRLGEVPAVVVSSAEAAALVMKTNDPMFADRPSSATVDIAGCGGRGIIFAPYGDHWRQMRKVCIMELLGSTQVNRMEGIRAEETASLLRSITASATINVTQKVMALSNDVVTRAVFGGRFEQQDEYLGELHKAFELLGAFCLVDLFPSSRLVRWLSNGERRMKRSYGRTQRIIAKVIEGRKATRAASVGASDTYDEDLLDVLLRLQQEDSLEFPLTTETIGAVLFDVFAGATETIGSVLSWAMSELMHNPDTMAKAQQEVHEVLGEDRSVITNSDLSELHYMRMVINEVLRLHPPGPLVHRMARDDCTLMGYDIPKGTNVFINVFAISQDPRHWDNPEEFRPERFENNTINYKGTHFEFIPFGTGRRQCPGIHFSSSVTEMVLANFLYHFEWMLPDGDKLSSFDMSEKFGLTISRRYDLQLKPIANMWSNAMP >SECCE1Rv1G0031150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:439201623:439202793:1 gene:SECCE1Rv1G0031150 transcript:SECCE1Rv1G0031150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFAALAVCAAALLLAVSVGGAAAQGVGSVITRSVYASMLPNRDNSLCPARGFYTYDAFIAAANTFPGFGTTGSADDIKRELAAFFGQTSHETTGGTRGAADQFQWGYCFKEEISKATSPPYYGRGPIQLTGRSNYDLAGRAIGKDLVSNPDLVSTDAVVSFRTAMWFWMTAQGNKPSCHNVALRRWTPTAADNAAGRVPGYGVITNIINGGLECGMGRNDANVDRIGYYTRYCGMLGTATGGNLDCYTQRNFAS >SECCE1Rv1G0050230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:645458732:645464067:-1 gene:SECCE1Rv1G0050230 transcript:SECCE1Rv1G0050230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAVAAVAAAAAVGVALLARRQMREAKRWGRADAVLRGLDERCAAPPARLRQVADAMAVEMHAGLASEGGSKLGMIISYVDSLPTGQEKGLFYALDLGGTNFRVLRVQLGGKEGRVVKQECDEISIPAHLMTGTSQELFDFIAAALAKFVASEGEDFHLLEGRQRELGFTFSFPVKQSSIASGTLIKWTKGFSIDETVGADVVAELSNALDRQGLDMKVTALVNDTIGTLAGGRYDDNDVVAAVILGTGTNAAYVERANAIPKWHGLLPKSGDMVINMEWGNFRSSHLPLTEFDQALDAESLNPGEQIYEKLISGMYLGEIVRRVLLKMTEEASLFGDDIPPKLKIPFILRTPHMSMMHHDTSPDLRTVGAKLKDVLGIQGTSLKTRRLVVAVCDIVAKRGARLAAAGIHGVLKKLGRDIPGSDKHRTVIAMDGGLYEHYTIFSETLESTLREMLGEEVSSSVVIKLANDGSGIGAALLAAAHSQYLEAEV >SECCE5Rv1G0301700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:33591044:33592165:-1 gene:SECCE5Rv1G0301700 transcript:SECCE5Rv1G0301700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRRLVHLVARATIGGRQAYTLHPIDPSTFFHDSTKQSGADDDGTTTMEEFQPPPSAMTFLGPDTEAQDMDFMPVGRGGENGVLAVDCEGSAALYSASSQTLSTIPTLRAPMVEPIFFPVGDSRLYVMDRKPRRDPRRCFVALVRGRESGLRCPTAWHWRSLPPPPYLPRTRWDDDDDDYSDLDDDSRQATVDDDGSKSSMVVEGEPGDIAGHAVVGDSKIWISTAGAGTYTFDTGSGAWAPRPLYWPLPFRGRAEFVPDHGLWFGFSSHNNLLCASDLAAASATRPPVLSGEWEDVAQGEGWNPMASYLVPLGCCRFLVAKFFETRERQHCDNFAVLAGVELLQRDGGGMSMKKHVSKRYSHVCAAPDWVI >SECCE5Rv1G0308160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:126033516:126036642:1 gene:SECCE5Rv1G0308160 transcript:SECCE5Rv1G0308160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGRGDEFAASPRPACGKKRPLSPSTPPNDVADSSKSTTSDEDDNPWALSDDEEDEYQGKYRPFTVDDFPRVSSDDDEQRAAVYMNQEISVRGPRPLMRFPAFNPESRHSCDIEYRLADESEISFSNVGTVDCSHKGHCMPMDMVQFIDLKIAGYHHAQPGCAKIFGFFAARDRVEPLRNYVYRREIENYEAVTVKPKTGMARLSLTSPARGIGIMSRVLFEFKLYICTEDPPEDGPKGDLLIEGCTEISTMYTTKSSIRNRRLYGDKCSLDVKFAALINAVQAFVDVEILRAPVCGLNLNLYAKTSGYNDVIRLYQGVAEAGCKIASVVAVVIRSCVYLRIEGIPKDDGLSQKRLPCGAWEDSFDTCYHGIVDKVVNLDEFTIISVKITWRTLD >SECCE5Rv1G0345160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649496519:649496983:1 gene:SECCE5Rv1G0345160 transcript:SECCE5Rv1G0345160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLARLAKKCQRMLATRAGARHRQHALDTADDDCCSTTSSVVADEGHCVVYAADGERFEVPLAYLGTRVFVELLRMSEEEFGFASGSDGGRIMLPCDAAMMEYVLCLVRREASEEVERAFLSSIAGHCHSYSASCVAPSMGLSHQFALCA >SECCEUnv1G0527680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1556141:1560139:1 gene:SECCEUnv1G0527680 transcript:SECCEUnv1G0527680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLAKMAKEEVAMLIGVSDGITDLSIKLGDLKNFLADADRRNITDESVRGWVGELKRAMYLATNIVDLCQLKAMEQGQTKDRGCLNPLLFCMRNPLHAHDIGTRIKMLNQNLDDICKRGKSLKFIKLEAYEDQNTTRSLATNRKTDSLIERSGAVGEKIEEDMRALVEVLTREAASNKSDCFMVVAIVGVGGIGKTTLSKKVFNDDSIKGKFTKKIWLSITKDFNDVELLNKAIIAVGGDLPAAGGARDRDLLVGALSNAIRDKKFFLVLDDMWGVDAWDKLLMAPFSYGGPSSKVLVTTRHDTVALSMKAVHYHHVEKLSAKDAWLLLKKQVVTTEKDEPEIEILKDIGLQIIEKCDGLPLAVKVMGGLLCQREKNQRAWQKVLNGDLWSVSQMSEELNYAICLSYEDLPSCLRQCFLHFSHTPIVLPADVIVGMWISEGFVHGNPDVLEELGHQYYRQLILRNLIEPIPDDIGQHRCNMHDVVRSFAQFVARDDALIVHKGENINTKLSLQGFLRLAIETKGMESDQCEWRSLQECKSLRSLMLIGNFKIQSGDSLETFSSLRVLHIESTNFDVLVESLYQLKHLRYLALIKCNELDRLPENIHKMKFLQHISLEDCVSVVKLPDSIVRLYELRHIDLDGTRVNSIPRGFRALTNLRTLYGFTAHVDGDWCSLEELGPLFNLRTIGLVNLQNVSDASFATKVRLGEKVDLISLTLDCRSRHGSNELVRDGVSEKDQGKIEEVFDGLYPPPCIENIRIYNYYGCQLPRWMRDTSTTLLKSLKILVLHDLACCTQLPDGLCQLPCLEYLNVYRAVAIKRVGPEFVQPSSHHHHPSSRVVVTFSRLHQLVLNMMEEWEEWEWEEEVHAMPVLEELHIHSCKLRCIPPGLATHARSLKKLTVWSVQGLQALEGFASVVQLDLFNLPNLTNISNFPKLQKLEIDCCQKLESLQGMDQLRRLVLTVYYNRPIPSYLQTVKPSHLLLDCGPEALACMALGKSGPEWDKFGHIQHVEAYADDIAEHIEKKWHLFYTSEPYSMATNIDPQYSWSKTECEDVEDVEDIQDLGEEGVTS >SECCE6Rv1G0450140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:866409031:866412379:1 gene:SECCE6Rv1G0450140 transcript:SECCE6Rv1G0450140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAGDKFPEGLRVLAVDDDSVCLKVLEAVLRRCNYNPTTVTDAKMALKMLRAGKAKFDLVITDVRMPGMDGFKLLELIRFEMDLPVIMLSVDCDKKDVMKGITHGACDYLVKPVCISELKNIWQHVGRRRNFEAKTHIVINNNNDDDDRVQPRTAATSKDSEKKARVVWKTELQKKFLEAIDQIGLDKAVPKKILELMNVDYLTRDSIASHLQKYRLHLRRVKSNPVGDASERHNSSHNSMNNQGSFMRNHEHERWCMSPGLLSPKKFCAMGHLAEPANTHRNSCMGSFIHDGRIHKYVAPKLSDVGRFAGSIDPPANLYNNIPNDTTLDEFPSYSFGDSYAGRMRGKLVETNKEVQNEMATVIRNATPMAGFNEQIVPKNAPSNQSFVGMLNACDNRPVLSSEMVNGGSSSMSVDGLSEQMAPFNIAKNTSSVEMMMNENTAPGDGRISMTQTYMVNSGRTISTVSNHQTEDVVAMTDKLDGGDAVSNHPMHVGTIGQHALNDQFNDINAFSWDDYFLNPLDADFTIEDDFMGGEE >SECCE1Rv1G0003610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14794787:14795002:-1 gene:SECCE1Rv1G0003610 transcript:SECCE1Rv1G0003610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNVAGGEKTRWPEVVGLCAEEAKKIILADKPDADIIVLPVGTPVTKDFRPERVPIFVDIVADTPSVG >SECCE2Rv1G0089620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:258711302:258715796:-1 gene:SECCE2Rv1G0089620 transcript:SECCE2Rv1G0089620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEAETSPPSTVSCATPRPRQQATAPSLRPALLRAARSGDERRLVKELLADPSAPDLETATTAGGNTLLHVAASGGHAALAELLLRRAPGLLAARNAALDTPLHLAARAGAHKVAALLIASSPSTSSLRALTRATNKRGETALHDAVRGGHEAAARALAAADPGLAGLCGGAGETPIYMATAAGSLGMVRVLMKSYKNDDADEDEVPKLCSCTGPGSRTALHAAVLTSNEMAQELLQWNPALVKEVDDSGSTPLHYVASSGNISALKLLLRYDTSPAYVPDSNGLFPVHVAAKMGYGQLIYELCKHCPDSDEKLDGKGRNFLHIAVEYKKWKVVWHFCGTPELERMVNVMDYEGNTALHLAVKNADQMIVSLLMANKSVLPNIVNNKGLTALDLAVLATDKGMSYTLNPQVIILRCLAWTGAVLTPRRLDHFIDEFHIGRASASELKKFSNIAQNLVVGSVLVSTITFAAVFTLPGGNISDGHPHAGAPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSDHVHPLLRALYMFFSVMCMEQATRSMVAAFALGAYVVLSPVSERIAIVVCMSTIATLLLRNPSNWQLGFLFMPIKRRLGWRGAFKAHLPQQTRSRLTVGIGSNFACLILRRMLGMLFTYSFIFLLALL >SECCE3Rv1G0191960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:753316892:753319886:1 gene:SECCE3Rv1G0191960 transcript:SECCE3Rv1G0191960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMMPVLRRLPVALSSALLEWILMLLLFIDAVYSFLITRFARLCKLPVPCPFCSRLDHVLGNEEPCFYRELICKTHKSEISSLAFCRLHQKLVGTESMCNGCSTSSLAPKVTPTNNDNTDEPAVDVNVLNGTQGGDGVLHSPLARICSCCAQHFEQRSVLLFSQKSGEIQYVKSPKICTDYPVSWQLDESFETKDIYHQSDHTYHERYSALQMTSDSEVEVPCADGGRDSHPHEAYDMEKGDFQEDAVFEIPGVPRLEVAKPSEMNVQEEQKVTDSGDACSADHVPNYDPDSVISGSQMEAEDISSRRRASQHGPLIAIEELSSEDARVPQIPVASVDELPKIIGESESCQRTNDSIIDPYTSQFTILEQHYAVAADKNIKEEAQGAEITAISSDVFHQKSTLANDPVTSEPVPKDYHYVASEDTYPKDNSGDIPVSQVSADSETLAEVEDNPKKAEWTGDTGIDGVTSHDPFSSTSKDLLPKDAKEPHIPLVAARSNAEVSQGLDAIEEHPQTIETVGERRPSLSTQISMNEAYNLAIGMRSGFPSPTLTDVILGKGSSASVNGELRLLLSQLSASRGLEATWLDPGPSPRTYGRGDDLVVQNITRRISLERNVSGLESLDGSIVSEMEGESTIDRLRRQIDLDRKSIHLLCRELEEERNAAAIAANQALAMITRLQDEKAAMQMEASHYQRMMEEQAEYDGEALAEANELLAQREDQIQELEAELEKCRTQSGGGGLTEKEDNQLPFEEQHSTAALLEDERAYISESLRKLEKKLHLYSNNNTSTDLSNSDAMEETQESILLAKEGQSSRMDGEADLSTFQEEISSLNKRLKTLEGDRDFLEHSINSLKNGKEGAQFIREIACNLRELRAIAIENK >SECCE6Rv1G0410410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:597957894:597963215:-1 gene:SECCE6Rv1G0410410 transcript:SECCE6Rv1G0410410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDSNALILPCKRKNKSQGKGKDGKKNKTKEDAKMSKTQLQKLQKLEEDRQKNISQEDSNALILPCKKKKNAQGKGKDGKKNKGKEDAKMSKTQLKKLQKLEEDKQKKALQAQSIETLQKHRIADEAYSLLHTSGSIGQAATMKEKRRRSMQLSKAGLDVPEELSLFKKNSDQKGVPVPENSEAAPEACPVKLVEAVKLYHPGSEQKNHESDAVKPNIGIGVSILDQKAEETNDDADILAHQSIPTETTNDDDDILVHPTVRSSVPSCSDVEIDMQDKEPQHGEAAIQECFNPPIVVHVSRPHEVEKARRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRADRKGMIGITQPRRVAVLATSKRVSYELGLKLGKEVGFQVRHDKKVGNNCSIKFMTDGILLREVQSDFLLKKYSVIILDEAHERSLNTDILIGMLSRVIKARKFVYADQQKQIRSGMKIDPADMVSQLKVVLMSATLQLKDFISNRRLFDVIPPAVEVPTRQFPVTVHFAKRTHDDYLAQAYKKVLSIHKSLPPGGILVFVTGQREVDYLCKKLQRASKRQIGKKPEMVGDEYGSRPEIDEKEIFEAYDIDRTEPDHQDDIFSSYGEDEMDDELNVASSDAETESEMDTDSDDEDSVAHETAEEDGPVLSFLKGAESSSVLKASFKAISGQPETAEEASNATIAEKSGPSVSRARLRVLPLYAMLPASQQLRVFHDIPEGERLVVVATNVAETSLTIPGITYVVDTGKEKVKNYDHATGMASYEVQWISKASASQRAGRAGRTGPGHCYRLYSGAAYSKDDLFPEFTEPEIKKMPVDGIVLMLKSMNIDKVENFPFPTPPNKESLVEAQRCLKILEAVDNQEKLTSMGKAMAQYPMSPRHSRLLLTIIKMLKSLEGCARSNLILGYATAAASALSYTNPFQVQYNTDRETNEDGPDPEHKDRHERKSQKKLKAMVREARKDFSIPSSDALTISHALRSFECSRNRVEFCRDYSLHLKTMEEMSKLRKQLLRLIFNHSKFCDEFAWNFGGSQDVEQAWGSETNKKPMLNEEEILGQGICAGWADRVAKKINTFSGLSKEDRKVRAARYQSCALNDIIYLNRSSSVAQTPPDYVVYSELLNTKRSYMYGVTSVKPGWLLKYASSLCTFSAPLEDPKPYYEPQNDQVYCYVSPIFSRHNWQLPLHSLPIKDATRRVQIFAWALLKGDVLPCLKVVQKFLALSPSVVLGPASQRRVGDLLSRMSKKAIYSRAALREAWNTDPDFLYPEIQAWIQDKYHTQLGAIWEQMQQEVRLEGRELFPKRFKKVKG >SECCE2Rv1G0134390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901352280:901352795:-1 gene:SECCE2Rv1G0134390 transcript:SECCE2Rv1G0134390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRTILLAVAAMTILSTASAAIYNVGEPGGAWDLSTNYGTWASSRNFHPSDQIVFKYSPQAHDVLEVGKADYDSCSTASPIVTLKSGNDVVSLTATGTRYFICGFPGHCAAGMRVKIDVVPSSSSSSPTPASGPSPTNAPPPAPVSAATSMEATWFGLTVLLAVAGLMA >SECCE5Rv1G0370400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841954287:841958103:1 gene:SECCE5Rv1G0370400 transcript:SECCE5Rv1G0370400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAHVVLAALLAMAAAAATVSASPALGIQPLSKIAIHKATVHLDLHRSSAYVRATPALLGGQEEDTVWVTVKYGWDNPTPDDWIAVFSPADFISGTCPNPERYPAEPLLCTAPIKYQYANYSANYLKGGKGTIRLQLINQRADFSFALFTGGLENPKLVSVSKAVVFKNPKAPVFPRLAQGKTHDEMTVTWTSGYDVDEAYPFVEWGMVGSAGTRTPAGTLTFNRGSMCGEPARTVGWREPGFIHTAFMRNLWPNKEYFYKIGHELSDGTVVWAKSYTFKAPPTPGQNSLQRIIVFGDMGKAERDGSNEFANYQPGSLNTTDRLIEDLDNYDIVFHIGDMPYANGYLSQWDQFTAQVAPISAKKPYMVASGNHERDWPNTGGFFDVEDSGGECGVPAETMYYYPAENRANFWYKVDYGMFRFCVGDSEHDWREGTPQYKFIEECLSTVDRKHQPWLIFTAHRVLGYSSNSWYADQGSFEEPEGRESLQKLWQKYRVDIAYFGHVHNYERTCPLYQSQCVNNEKSHYSGTMNGTIFVVAGGGGSHLSEYTTAIPKWSIFRDHDYGFTKLTAFNHSSLLFEYMKSSDGKVYDSFTIHRDYRDVLSCVHDSCFPTTLAS >SECCE6Rv1G0449400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:862032833:862033357:-1 gene:SECCE6Rv1G0449400 transcript:SECCE6Rv1G0449400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPLLTLVLFLLASLAAVPRGGALHGTNLQRIRAYMHQTLTGPNATEVTSVQSPLCGGATFGQITVLDNELRDGPDRWRSSPLGRFQGLIAVAGLASTPGLLSAANVVFTAGRHHGSTLAMLGTVRNLQATVERSVVGGTGVPDGPRVQLHGLHGRGEHAGQRCLQDRLLC >SECCE3Rv1G0182000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:620886316:620886783:-1 gene:SECCE3Rv1G0182000 transcript:SECCE3Rv1G0182000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHDGINIPDGMLYLGDDGYACRLGVLPPFRKTRYHLKEFAGRNYPRTHQELFNLRHSILRMTVERAFGAVKNMFKILDQKPFHPYPTQENLLLACCIIHNWILQWGVDELVSGEEDVTHDEVISSGHGVEAFDNEAWKNKRLEWARAMWDNRG >SECCE5Rv1G0323820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:440400384:440401802:1 gene:SECCE5Rv1G0323820 transcript:SECCE5Rv1G0323820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGARRGRRRRSNGQPKRAPRREPIPPGPTGVHHIPDHLLELVLLRVGSSLALLRAAFTCKRWRRMVSATAFLARFRSLHAAHVPGHYHVVDPSFKEPPPDGSSNHAYVPDPSTADAIDRRRLALDFLPEGDRSEPWELGDSRGGLLLLYRRNIVWHRMTYRAWYSELYFSDMVVCDPLTRTYQTILCSGEAGSRLFLGVFLLDGVAVDESGAGFIAMSNFRVLAVGHESHDFEDGRGTPRVSVFSSGSEGGWQSAAENDVSIAEYALISFVGRARSSLYWRMEREGVVLALDETTLEFSLVEFPCTVVGMPEESSAFRVIGGHDGALRVVRVMVNNDLTVFAQLQGGGEWVAEKLVRLPEATCGLPGREETYFQRPAKIVSASTRYILVTPQEETWIVSVDLDTLEVERAHERNRYAGAAYPFELPWPPALQACRAADRRCSRRIYVPPTFAYESLDGICNAPTCTGTS >SECCE1Rv1G0052740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:663869495:663871178:-1 gene:SECCE1Rv1G0052740 transcript:SECCE1Rv1G0052740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNELRKVMGNQAKLLYRRLVGQQPRLLAVTLLLAVSSLAVMAMLTTEGVYALLQGGHGVMAVSLAGAVVAAYVYTQLSFRPVYLVDFAGYKPAPAHEFTRARSIHHYRLTGAFNAESMDFQKRVLERSGLGEATHFPASLIRVPLDMCLRTADEESHTVIFGVIDDLLAKTRVRPDDIGVVILNSSLYSPTPSFTSLLVNRYCLRHDVVTHNLSGMGCSAGVIAIDLARHLLQVYHDTYALVVSTENITLNGYLGNNRPMLVTNMLFRMGGAAVLLSNRWNERRRAKYQLIHTVRTHHGPSDKSYACVMQEEDEVGNLGVSLSKDLMSVAGDALRTNITTLGPLVLPLREQLRFLGAVVLKRVFRTTVMSCLPDFTLALEHFCIHAGGRGVLDELQKSLKLSEWHMEPSRMTLCRFGNTSSSSLWYELAYCEAKGRMKRGDRVWQIAFGSGFKCNSAVWKALRTVEAAAAVEEGSPWAQDIDVLPVHVPKVMPIDEDASYAPAV >SECCE2Rv1G0139860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929177791:929182077:1 gene:SECCE2Rv1G0139860 transcript:SECCE2Rv1G0139860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATGAVSTLLGVIGHEALKLGRVRRDVQFIQEEMESMGSFLANLSGNSREHDEQVRTWMNQVRILATDCNSCIDLYLYRGNPALHRPKEGLGRCLWWAPWLLRKLVAQHRAAGRLRELKDRAQDIGNRRMRYGVEVKPAESSSSGAGQLTEPLLLPATQDHEEYDDYQHGGDPLKVALSMTSMRMDKEDYFQKRLDEWIGSVVKAWTEGDIERNAAGKSKPLPPSIAFVVPKAKDTHPLGVKIRDVAEDYFKRAKDLQNTVAPTKPTGADHKDAGNIIVFVDVPAVHYDSVPLRPHKILCYILAELERQNGPSHTQQHTHRSRWRTYIYTRITIGEINDKIEAVDVKAICNLEDDKLPDQDILEKLGKGEETHLDQLLRLLIYHSTSAAASEKDKKIKSGVAEWYDDIIKQTAKKLKQKIEQELREQPIRKIKEEMRKQLKRKIEEGGLSQELREQLKREIEEVDPSLELWEQLKRKIEEELRGQLKRKIEEGGLSPELQEQLKRNIEEVSSSPKLQEQLKRTLEEGGSSPELQEPEYEDILKTVFPEPTSITTTTTAANSPHGTSVDEEIKEMVRGVKEMLHQLHESDMPDENPEADIQKITEKKKKEIISKIRNRFKIKMMVQKIKNCLTGDRKILLILNLVYDKYVCQWEETRKSLSLLGLQCPITGAVIVATKTTQQDKKPQPSDSSELDHIEYSLAGLYLDNVLRNSGQHMDNDEETRQILRDILYRCESHEFSMKLFAHALYAKPKRSNGELSKLHKNLCDGATQKSLPSMMFKFSYMELPKEYRSCLLYLAIWPQGHTMRRSTLIGRWVAEGLITTKDWSWSSSVIEAEKCFDTLVARCLVCPAGFGATGKVKSCMVDKQVYGFITKIAMKQRILETRLSHHLARHFSIFSDVRLRRSETIKDFLKKSSEFSNLKVLDLEGCDCFPQNQHYLSHICRRILMLKYLSLRGTDVTSLPSEIHNLLELEVLDIRETKIPAHATRNILLPKLKRLLAGHVDSSRSSAAKPKNYLKRLLGDHVDSSSADFSSVQIPEKIEKMLGVEILSNVKVKKKRDLKDIAGLNELKKLGVVINQESHLEKLAEAISDLLNQSLRFLSVTLDITRHKGTPSNLTLKENVPNVLESLSINGSTITHEGKLLPLLTTNAKQLAKVTLTDTSLSQEDLQILAKLENLRCVKLRPNNIESKLTFNKDEFKNLKKILVEGTSLSEIKFENGAASNLEKIVFSSTNEISISGVDCLQKLEELELNNITNKAMLHSLLVNAKHITKVTLRNTLLEQGDLEILARERKIDYLALAGKFCDGNQLIFKECDFHKLNVLIVDSDDITEISFSDGSPNLEKIVWSFKNIVSLSGIMKLPALKELELNGDSVPNKVKEDIEKLKSRLDYTHRDKPKKQENKTEPDGAAKCPSLWKAKDWCWRN >SECCE2Rv1G0128190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:858559055:858560662:-1 gene:SECCE2Rv1G0128190 transcript:SECCE2Rv1G0128190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRLAVFLIGMASFFSVVAGQLRPMAAGGLPGDLFALGIASKIRTDCNSTASASSDFGRIMEAAPEAVLHPATPADIAALIRFSASSPVPFPVSPRGQGHSVRGQSLAPGGVVVDMRTLGHGHHRINVSADYVDAGGEQLWVDVLRATLKHGLAPRAWTDYLRITVGGTLSNAGIGGQAFRHGPQIANVHELDVVTGTGEMVTCSRDNRKDLFLAALGGLGQFGIITRARIALESAPKQVRWVRLAYSDVVAFTRDQELLISKQASEAGFEYVEGQVQLNRTLVEGPKSTPFFSEADINRLAGLASETGSSAIYLIEAAMYYDESETTAPSVDHKLEMVLAQLSFVPGFVFTKDVTYFQFLDRVRVEEAVLRSGGVWDVPHPWLNLFVPRSRILDFDAGVFKGILGADNPVGLILMYPMNTAKWNSHMTAVTPPTGEDVFYTVGLLRSALSADELERLQRENQSVLAYCDKEGIQCKQYLPHYTSQDGWRRHFGAKWSKIAQLKAKYDPHAIMSRGQRMFPLPSVPAASTATA >SECCE6Rv1G0433140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:756532055:756535305:1 gene:SECCE6Rv1G0433140 transcript:SECCE6Rv1G0433140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTTLLVLLLLFVVGPHSVRAYSDGMPEPVNEEVLGLVVFRSALTDPSGALAAWAESDATPCGWPHVECDPATSRVLRLALDGLALSSASGLPRGLDRLPALQSLSLARNNLSGALRPGLSLLPSLRLLDLSRNRLDGPLPDDLPLLASLRYLDLSGNALSGPLPASFPPALRFLVLSQNRLSGDVPAGLAGSPLLLHLNLSGNELSGAPDFANALWPLSRLRTLDLSRNRLSGPVAVGVGALHNLKTLDLSGNRFSGAVPDDIGLCPHLGAVDLSDNAFDGELPESMARLASLVRLSASANRLSGDVPAWLGGLAALQHLDLSDNSLTGDLPDSLGDLKDLSYLSLSRNRLAGSVPEAMSGCTRLAELHLRGNQLTGSIPEALFDVGLETLDMSSNALTGVLPSGSTRLAETLQWLDLSGNQLTGGIPAEMALFFNLRYLNLSRNDLRTQLPPELGLLRNLTVLDLRSSGLYGPVPGDLCESGSLAVLQLDGNSLAGPIPDNIGKCSSLYLLSMGHNSLTGPIPAGMGELKKLEILRLEDNNLTGEIPQQLGGLESLLAVNISHNRLVGRLPASGVFQSLDASALEGNLGVCSPLVAEPCVMNVPKPLVLDPNEYTHGGNNNDSDLAANGDGSGGEAVPRKRRFLSVSAMVAICAALSIVLGVVVIALLNVSARRRRGVGGGSADGLFQGKELELESSVVSGSSTKSSKLAATGKMVTFGPGSNLRTEDFVGGADALLSKATEIGRGGAFGTTYRASVGEGRVVAVKKLSTASVVESRDEFDREARVLGKARHPNLMPLKGYYWTPQLQLLVTDYAPHGSLEARLHRKDGGAASFPALTWAERFRVVAGTARGLAYLHQSFRPPVIHYNLKPSNILLDSRCNPLIADFGLARLLRKPNQQPDGNGGGAMGSCRFLQSAAMGYAAPELACSSLRVNEKCDVYGFGVLVLELVTGRRAVEYGEDDVAVLTDQVRVALEQGAGGGDDDAAAERLVDPALRGEFPEEEALPVLKLGVVCTSQIPSNRPSMAEVVQILQVIRAPSLPGCTAQLF >SECCE6Rv1G0443320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:822082793:822086208:1 gene:SECCE6Rv1G0443320 transcript:SECCE6Rv1G0443320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNAT5 [Source:Projected from Arabidopsis thaliana (AT4G32040) UniProtKB/TrEMBL;Acc:A0A178V1Z3] MAYQYHHQDHALGMDAAAAAAGNPGGGFAPALGAGGGGWEREKAAIEAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARAPPPMPPAAAPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGTGATMSDDEDNPVDSESNMFDGNDVSDGMGFGMLTEGERSLVERVRQELKHELKQGYREKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPTSSSSDKSKRKRNNAGDGNAEQSW >SECCE6Rv1G0417070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:654306547:654308131:-1 gene:SECCE6Rv1G0417070 transcript:SECCE6Rv1G0417070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQINATQKATRNEAGASNANEDRLSKLPDDLLLNILERVDTLDAIRASLLSKRMLKLPTMLSQFFLSISSIKYDHDKLPQINRTVAHLTDSILSTRNPEITIRNLKIRFILMDRDSLTIGRSVARAMATQKVEAAEFQIITEKAYSNSTPADLLQLGKRFNNFVGACPDAFAGLRRLWLRNMRFGEQDIPNILSTCKLLESLRLTHCDSGIRSVLQVEHAQLIELEVDFGRFERVELICLPKLQRVSYNNWYSYEHPVYFGLVPQLSRLSITKVGVRSDKILELSQLLADVHSISYRHLDFQSEKIWVVPECPKMLKPVLSKLQHVNLDNLPEGCDLAWTMFIIEAAPSLKELCITVWDHWCIMMTDKEFRKKYGYCEKADVKWKPYAPDLKHKNLAKLTIYGFQPNHNFMRYIRCVVEHAVNITEISLYDRKLCGRYGDKDYQSRYPRTAEERKRTAEGLGLASPAVIHFRS >SECCE7Rv1G0494330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:581446374:581448726:-1 gene:SECCE7Rv1G0494330 transcript:SECCE7Rv1G0494330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFNPAAVEEERAAMVPPPPAAREEEIVDDPPAEHQPSSSPATKPCWKATTSSGAGVVIGTLMFLALLVGTNWIHDDDAGQQYFSFHPVTGGGSFSRHGRAPGRTRSSTAPLVPIPFSCGNDTSSPSLCRRRASPPAPAPSPSPSSSSQKQYSPSVPPPWCPDYFRHIHTDMEPWRPTGITRDAVERARHSAEFRLVVVSGRAYVEKYRRSFQRRDILTQWGILQLLARYPGRVPDVDIMFGTGDVPVLRAADYPDHSTAPPLFRYCKDGTELAILWPDWSFWGWPEVNVRPWGALMEDFVRENGRLSWPDREPYAFWKGNSDVSPVRRDLFRCNNDSAAGKEWNVRAFKQDWNAAHRNGFRDSDLAKQCRYRYKIYVQGRAWSVSEKYILACDSPMLAIDTPFEDFFTRGLVAGKHYWPIDPARKCAAIKFAVDWGNAHPGQARRMGQEGSGFAREEMGMDYVYEYMLHVLTQYSALLRYKPTVPEKAVEVCVESMACPTRGREREFMMESRERYVAGRELCTLPPPFTAEEVREMAARDEQVRSKLLHMEEGH >SECCEUnv1G0536190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:62811085:62811699:-1 gene:SECCEUnv1G0536190 transcript:SECCEUnv1G0536190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWCPETASQAFIAGVSALSDSEHVPAGSAGVAELVSAMVGGWNAQLVVEAPEVSAADSATTSLALAAASQRTGGRYARVLPDKDADRAMAELEGVDFLVVDARRRDGAAVLAAARPGPRGMVVVRHGDERRPGAKALETSMAAGTRVVRSVYLPIDKGVEVLHVGVGKGPSLQCRRSRSASSRWIRHVDHETGEEHLFRRP >SECCE3Rv1G0198830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:836298724:836299721:-1 gene:SECCE3Rv1G0198830 transcript:SECCE3Rv1G0198830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEAWMDVLPPPAPYFAGQPCWAVQQERRGGSAWTLEENKVFEEALAAIDLDAPDGWEMVALMLPRKTVADVVSHYRALENDVGFIEAGLVPFPHYDSSSPSSGFTLDWDGTGAGAAGFRRGYCLKRGRADQERKKGVPWTEEEHRLFLKGLKKYGRGDWRNISRNYVTSRTPTQVASHAQKYFIRLTSGGKDNKRRSSIHDITTVNVPDDDDRGSPSGSPPSVVTTASDQFGAHVDAKPSPPFGGQRHAFMPPTLPPGTLGAGHPYGNVKLEHQSSFMDGMGVDLDDSLLLQMQCGQL >SECCE3Rv1G0212690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956217328:956219866:-1 gene:SECCE3Rv1G0212690 transcript:SECCE3Rv1G0212690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSSKPEDASVLIRRRPASIGEVAVFVPGLRVPEPLELPPSLADNLPRRLTERLAASRDRIANMAAREALAVTKPRRRAATQHGASTSADLVQALEEYLPVILGMAKDGSELEDKIQFAWMNQEDDAEETALPSAWYEVLSVLHMMAMLRLSQANSLLLPKTSLEGYHTKVSEENKRASVEIFLKAAGHLDCAMQHVLPRISAEKRKGLPVDLSEGVLKATCMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESIADIPLLDGWGEKHRLFVKWKHMEAKAAAYYYHGLILDEGNTDKSHRAAVAALQSAEESLRESRAVCEAFHNASPFSRSPALWGSMKYLHDKIHKDSNCKVRINKDLYSNVDRTQEAVPALPDFAVALQPEEYRLPRTDDASAND >SECCE1Rv1G0017600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:158462233:158478036:-1 gene:SECCE1Rv1G0017600 transcript:SECCE1Rv1G0017600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETAARGVRSQPLAEEAVGSSRTMERVAAAKKIIENDYRERMKNLRERNERRLILEQQLASSQVPREEQIKLIKELQRKETEYMRLKRHRICVDDFELLTIIGRGAYGEVQLCRDKSSGNIYAMKKLKKSEMVVKGQVEHVRSERNLLAEVGSHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTENVARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCTKLSTLNEDEPMTDENLRESMDIDYSLSDTANGRRWRSPNEQLQHWQKNRRKLAFSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRSYLKFPENPRLSPEAKDLICRFLCDVDHRIGSGGADQIKAHPWFHGVEWDKLYEMEAAFKPQVNDELDTQNFQKFDEVDPAPARTGSGSSRKMIPNSKDLSFVGYTYKNFEAVKGLHQSAGLGRSSSFTSQPSESSSDTAAMDSSAEPNGSDTHMRTVSSADHMMQ >SECCE4Rv1G0276270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797436665:797442057:1 gene:SECCE4Rv1G0276270 transcript:SECCE4Rv1G0276270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTSLLSVLVSLLLLLHLHERARAECEPATCGVDHPFTIQYPFWLGAAGDPSANSTCGHPAFELRCNGSSASLRGSAIRVRSIDYGAGSFVVYHNRVAHAADAVCCTDFNMSTYPALAPFTFSAGNRALCFLYACNGTEPRGTGYVNVTAVPSCDWPISAYLGGSYDPYTPPAIPTPTGSCTFSYLPVLESEAAVSTAANYSRLLTAWFVLDWAGTGAGDCSACTASGGQCRYNNQSEALACLCPPGHLQGFTCAGSKRKGKKIMLIGLTSAGATLLFSCIYLLICHRKGKRLWFQLCQKTSSSTEKNYEAMIISYGSLAPKRYMYSEVMKITSSRNNQLGKGGYGVVFKGRLHDGRLVAVKFLHDCKENGDEFVNEVMSIGKTSHVNVVSLFGFCLEGSKRALIYEYMANGSLDKYIYSENPKEILGWERLYSIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDKDFNPKIADFGLAKLCHTKESKLSMTGARGTIGFIAPEVYSRSFGMVSTKSDVYSYGMMLLKMVGGRRNVKSSVKKSSEKYFPDWIYDHFAQDDGLQACEVTGEIEDITKKIALIGLWCIQVLPVYRPTITKVLEMFERSLDDLDMSPKQNLLLESSAHNMDVQGANFSGSEEITSVNSKTLQQACD >SECCE4Rv1G0242080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:469546272:469546793:-1 gene:SECCE4Rv1G0242080 transcript:SECCE4Rv1G0242080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVPAMLTAPSAAAAVAKPLPAARSNTTTAAAFHATAASSRRDVITGTGVGAALLLALSPRRALAASDDEYVTETTEVIGKVRSTISMDKTDPKVADAVTELREMSNSWVAKYRREKALLGRQSFRDMYSALNAVSGHYISFGPTAPIPNKRRIRILEEMDAVEKSLKRGR >SECCE2Rv1G0072050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:49315060:49327212:1 gene:SECCE2Rv1G0072050 transcript:SECCE2Rv1G0072050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP214 [Source:Projected from Arabidopsis thaliana (AT1G55540) UniProtKB/Swiss-Prot;Acc:F4I1T7] MAAPRELDLSDEVEGEMDGTTDFVFRLVGDPIPVLPPASAPLPLFDLQSPPARPLAVSDRHAAVFLAHPNGFMAARTKALIEASKEAREKGKASTRCAQDCCVTDVPLPGVTLLALSRDESVLAACTGSEIQFFSATSLLTDKDIKPSSSCSMGRSGTVKDFKWLDNGYIVLSTGGLLSHGSLGQGLKDIMENVDAVDCCKDGNHIAVARKNSLRILSSDLKETCCMALLFQLWPDSDSEGTEIKVDSIGWVRNDSIVVGCVRLNEESNEEGYLVQVIRSGGDTFFESSSKPVVFSYDVFGGIMDDILPSGVGPNLLLGYLHRWDLLVVTNKKSTDDHISLLKWPSRTDEERTVVYLEMVEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVLVGPEQKEVAPQHLLLCLTSEGKLIIYYLARISDPSDLPQTSLSTTEDSNVNKQISPATVSNKDLTPSVTSSMAKSLPAGPGASSAPAEKDQHGSRDAKSSFPISNSKDIAAGSSLLISSDTNSKPLDTKQVNTASPFAPPSSSAPTGNMKPGMPFSFSTGNNVGLNSTGSKGSSDPVSSWQPNNSGSFVNSQLGKGGFDSAKPLGAFGGSQNATKSGGGSLSFKSSVFSSDGSVPVKTAERDGASSFGSYPAQTSYTTERKVLGSSAGLSSAPSLSISPNKPVRASSAGFGAGNLEVPPTSRGSPLPQQTIGKSPNNRNHTSSDSKNFKLGTMFDTQQDLSKKIYSINDMTEELDTLLSYIEKDGGFRDACMTLQQRPLSVLEGDLQNLLELLQVFKNKVEEQCSKAEDLRNKMFQVSARQAYMKGILSQSSDTQYWDIWNRQNLSPEFEAKRQNILKANQNLTNQLVELERHFNNLEMNKFGETGRVASSRRAVYSNKSRSSQTQLSSVYNALNSQLAAAEQLSECLSKQISALNISSPSKKRGAVTKELFESIGLAHTTDAAKFSGGTPSKLIQRFPSTKEHTKGMLGHSKSAEPETARRRRESLDRSLASLEPQKTTVKRIAQQQRLKISSDLPFRSNKKMFDSQMAAISQETFGGSPSSSIVESYTSRARSPIEALDEKTKPSGPQGNSLFKWVKEPAGPSQGSEQKHLELSGRMKSADQSSKLVPSSPASFSYTQKDARDRTSSPNVASLGTMHTVPKSNTLTFKTTIAPKTNANTRPDMSPSVSSPMPVKTVSGDSGAGFTLTTKNRYGDQDVPSFGSMKGLGVSPQNTGVSKPSLSSEPSKPAVLHGKTFQLSGLSDSMQNSAKASPQVAFSPTSQSSSFPIMSGASSSAGSLLSTMQASAAKTSDVSSPTVSSTLPPQERTPKTHPTVPEGAVSSSLPSVPTPVKESLPDLNKNVSKPEVVTPEVPGTTVPSSTLTGGPTSESKTELLPVTNSSLPSNPPSIPVPKVVPGATESAVVTSTRKDAGPSNLSSDEDEMDEERPSASADVNLGALSGFGLGSAPSSSPKKSNPFGSSFGTSESKSSGSPFTLTTSPGQLFRPASLSIPSSQPAQSSQSTSSSTFSSAFSSGLGGFGQSAQVGSAQQSGFGQSAQIGAGQQAGFGQPAQIQSGFGQPAQIGAAQPSGFGQPAQIGGGQQSGFGQPAQLGAQQALGSVLGSFGQSRQLGGFGGFASSSSGAFASAPSSNSGFAGAAAGGGFSAAATSVGGGFAAAATGGGFASLASKSGGGFAAAASSAGGFGGAAQGGGFGGAAQGGGFGGATQGGGFGGAAQGGGFGGAAQGGGFGGAAQGGGFGGGAFGAFGSNNQGATGFSSFGGSGAAGPGRPPADFLTQMRK >SECCE4Rv1G0275380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:793195292:793196782:-1 gene:SECCE4Rv1G0275380 transcript:SECCE4Rv1G0275380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNVSKNRSMPLKVVYQRIVDNFLAVVTAAISSYSLVALVRLGPAELISWLRELQLQPTHLFLAGFIPAAAATVYLMLRPRAVYLIDYACFHCSSNRPLARVPMASFIEHTRHTPTIDDRSVRFMSRLLQRSGLGEETCLPAAHNYVPTHEYCTLENARAEFELVVFSAIDDLLAKTGVPPDAIGALVLNCSLFCPTPSLVDIIVNKYNLRSDIRSVNLSGMGCSAGLISVGLARNLLQVLPRGSSALVVSTETITPNYYVGNERAMLLPNCLFRVGGVAALLSTSPVNARFHLKHVVRTFTGANDDGAYRCVFQEEDDQGNVGINLSKDLMTVAGSSLQANITEIGPLVLPLSEQLLFVLSFIARKLLRGTRVKPYIPDFSTAFQHLCIHAGGRAVIDQLQKKLRLSDEQVEASRMTLHRFGNTSSSSLWYELAYVEAKGRMRKGDRVWMIGFGSGFKCNSVVWQCIQPAARDTRGPWTTSIHRYPVDIPDVLK >SECCE4Rv1G0228490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:136304424:136305854:-1 gene:SECCE4Rv1G0228490 transcript:SECCE4Rv1G0228490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVTSWMEDVEKMLLEEHERSSLVEQWRKHSIYRVPARIKRLNGDAYKPQTVSLGPFHHGDPDLLPMEKHKRRALLCLLRRAGRPLRDLVAAVREVEEQLRAAYVGLGGEWRGERFVEMMIVDGCFLLEVMRTAAAAGRRHAVHPDYAPNDPVFSRHGLLYIAPYVQRDMLMVENQLPLLVLHRIAAAAEGGKTSTHVMINRMVLNFLGVADADRHPAAVPHLGLHPLDIYRRSLLHTTGRTERNIQVEEPAAKPADVRSARKLHEAGIRFRHSGRADCLCDVRFRGGTLTMPQLFVDDSTEYKFLNLMAFEALHAGAGNDVTAYVFLMRGVVGSVDDVRLLRSKGIVRSEWVDGDETVVRLLNDMTRDVVCDEASPLCALHGEVEAYCRSNLRVFLHVSWYYLKRTYFGNPWTFLSLAAGILLLVTDIIQTVYSVLSYEVQGKREYYNHK >SECCE6Rv1G0426840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:716124175:716126017:-1 gene:SECCE6Rv1G0426840 transcript:SECCE6Rv1G0426840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVVGSPGTWSGMALRLSQVVFAAASTFAVVSGFGYSNYSAYFYMNLALILQLMWSLGLACNDIFALRNEKDLHTQHNLLIIVMVDWVVAILMFSGACASASLTIFFMWDVHFCGVYSRLACKQFALSVVLAFITWLLQAASSFSGFWLLVSFY >SECCE6Rv1G0450660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:869096598:869096972:-1 gene:SECCE6Rv1G0450660 transcript:SECCE6Rv1G0450660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRSVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEATRDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE2Rv1G0073980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73064337:73065461:-1 gene:SECCE2Rv1G0073980 transcript:SECCE2Rv1G0073980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYLNLIVHDFSSRVYLLCRIDVRKHLFYKDSEMAQRAAQLASGKKSKRGELAAMGGCKRLPAPAINIQASPSIHNKTTVYLFSILGGESNVLYSDNNCQTALCNLDLNIIDPFAPPNSFKSWNAISVPITSPMKPLSLYVLNLSPDAKPGCCFEVLSHRENEPALPKEWSWEILPPPPFFRNPKTAPDLYSYAVVDGSTICISSLEQAIGTYTFDTVGKKWSQAAHWALPFFAKAEYVPELGLWFGLSACNPFSSLCALDLSAMDPGQPPKLLHSWDYIDLPEEDPWSPSQLHLFSLGSGKFCVAAFFGTELGTCGMSAYDSDYEATFHSECAVFTGLEVKRGNDGEGPLQFIKHMSKRFSVGDRNIECVL >SECCE7Rv1G0518090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857194365:857199350:1 gene:SECCE7Rv1G0518090 transcript:SECCE7Rv1G0518090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIQTLLLLLLVFHASLGTPSPPPAPASPEPKNCPAKCGEIDIPYPFGVGAGCSLSDRFALTCNKTTRPPTLLTGDVKVGSIALETAQMVVYTYLTYSCDVPTSNTRIKHTSTDMALSVATPFLLSPSDNMFTAIGCSSTARIKGHGASAYLTGCITTCARVNDTGDDGTPCSGHGCCQASLTPNLTEVSVKWENKGGRSPVAENLCQYAFVATKGWYSFRKNDLIGNMTFANRLGSGPVVPVVLDWAVRDGTCPPTLEDNGKEIVPNGAACVSSQSYCVNASHGAPGYFCNCSKGYTGNPYKKNGCTNIDECALRRSSNSTMYENIYPCRGGTCHDTDGDYECKCNFGRRGDGKSDKGCEPVLSTPAVAVIGTIGAIALLSVLVIFLHMERENMKLRDRFNRNGGSFLKSAGIEIFTKEKLDRITNKYSCSIGRGAFGEVYKGTTDTGTVVAVKRSIIVNKDRQKDFANEITIQSKINHPNLVRLVGCCLETEVPMLVYEFIPKGSLQDVLHGNSDPIPLETRLNIAINSAEGLDYMHSQSQMVLHGDVKSGNILLDDSFTPKVSDFGTSRLMSIDKDHTNWVVGDSSYIDPVYMKTGLLTAKSDVYSFGIVLLELVTRKKSRYGENRSLPMDYVKASKDGTTRQMFDEVVSANVKENMECLEEVGKIAVQCLEEDVNNRPATREVKEKLEKCKSQWLKSQGKANEVSA >SECCE5Rv1G0357750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:749078414:749082817:1 gene:SECCE5Rv1G0357750 transcript:SECCE5Rv1G0357750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIPVQHRAPKVEARAWRWHDLPAAAAKPLRDPLLAVNFLLLAVGAACGPLLLRLYFLRGGSRKWLSSLLQTAGWPLLLVPLCFSFSSRRRRRSRQGDDANVSSSVFLMTPRLLAASVVVGLMTGADNFLYAYGQAYLPVSTSSILISTQLAFTAAFALLLVRQRFTGSTLNAIVLLSVGAAMLGMGSGGDRPAGVSGAQYAVGFGMALGAAALYGLVLPVMELSQAWHAARAGAAALTYTLVVEIQVVIGLTATAFCAVGMLANNDFQAIPGEARQSELGQAGYYLLLVGTAAVYQCFCLGIIGAIYYGSALLAGVIITVLLPVTEVLAVVFFHEPFSGTKGVALGLSLWGLASYFYGEVRNKAPEAEHQTSLCADHDCEN >SECCE7Rv1G0475690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:190737685:190739025:-1 gene:SECCE7Rv1G0475690 transcript:SECCE7Rv1G0475690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKLSFSIPSKLRPPKPPRPAAGADSSVASGNSASAAAAPAQQFVTEFDPSQTLAAGGASAVIAPLPNSGHFLNHRSRKPSSLPTPEEEAALAASTAGGPTFVLDTSEAPDNPSSHIGYGLTRRGADADAEADATESGKTQSSKEPEKKPTSPARDVGSSGDLMLRRYKEDMASLPDHRGIDEFEEVPVEGFGAALLAGYGWSEGKGLGRNNKGDTKVVEYNRRAGTLGLGYDPSEADPKKTRSGDWVIGGKKATENGGKKAAENGNAKKRDRDNEDRVRERDSSARQKRSGDLGAERDVREKERNTRDSREEKSSRDVRNKVRWLQSNIRVRVVNERFSKRLYLQKAKIVDVVGPTTCDIMMDDGSELVQGVEQDMLETVLPRTNGRVLVLCGKHKGVYGHLVEKNSEAETAVVEDADTKDMVRVKYDQIAEYVGDPELLGH >SECCE5Rv1G0313700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:263396177:263418726:-1 gene:SECCE5Rv1G0313700 transcript:SECCE5Rv1G0313700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHANAKAPPKSNLDADLIASISPSSTSSGARNAAAIESQFADLAISDELPKPSGWGDDAILGIAGSDEITGEITGGKGQPVATADSRPRFPQRHAEPDCTYYLKFGTCRFGMKCKFNHPVRKKKNRVKASGSSCSGSNDISSKAFPPDDDQTPEEEYEDLVPDISAEVDLLNGRMEAMQLKIADETEKGLYLKKFKETEQKVAKEKRKETVSEGSAQEECKYYSTPGGCKFGESCRYLHCEGKERKTEVAKIELNFLGLPLRPGGKECPYYMRTGSCKFATNCRFHHPDPTNVVSRDPMLEHENGNIPQQNVQASSQLNVPVWSADQRALNEHPAPFLAPAPSYSAGMIPPRGMYPSPEWSGYHQVPLGPYYPPGISFHHFPAPPVNHPMYRGADVLGQQELPSDEYPERPGQPECQHFVKSGFCKFKAKCKYHHPRSLVPPPTAGALSPLGLPLRPDQPVCTYYERYGVCKFGPACMYNHPFNFGHPASAAGPPLSAQYLTSGNYTV >SECCE6Rv1G0449850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:864813218:864814393:-1 gene:SECCE6Rv1G0449850 transcript:SECCE6Rv1G0449850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQPAVQTIVLRVTIHCHGCKKKVRKVLKSMEGVQDVKVDSQQHKVTVTGTVDADTLVKRLYKSGKHALPWQHTPAAPATSPEAPAPATPADEGTTKDAAAADKKPAEPVKADSSDKKPPEQETAPEKKPETEKGAEPEKEAEKEEAKPCEKSKKDGGESAATEPKAKVAEPASESAAPTNEAGDDDGGDKKKQSKPKDAPAPDRSLSPTPAPAHAHQEFNPYSAPQPVMSYHTAQPRASVSYYAPQPEQGYSMRQQPPQQQQAYSQQAQPMQQWSPSYLYMPYPHASPESYYHDYYSPPGTHAPPPPPPPPLQDSYRLFDDENPNSCSIM >SECCE4Rv1G0266520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:731973108:731975898:1 gene:SECCE4Rv1G0266520 transcript:SECCE4Rv1G0266520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPGKLALPSQDSTIGKFLTQSGTFKDGDLLVNKDGLRIVPQSEEGEAPPIKPLDNNHQLSIDDLDSIKVIGKGNSGTVQLVRHKWTGQFFALKVIQLNIQESIRKQMAQELKISLFTQCQYVVTCYQCFYVNGVISIALEYMDGGSLADFLKAVRTVPEAYLAAICKQVLKGLMYLHHEKRVIHRDLKPSNILINHRGEVKISDFGVSAIISSSSAQRDTFTGTFNYMAPERISGQKHGYMSDIWSLGLVMLECATGNFPYPPRESFYELLEAVVDQPSPSAPSDQFSPEFCSFISACIQKDAADRSSAQTLSAHPFLSMYDDLNINLSDYFTTAGSPLATFKQIAL >SECCE2Rv1G0121140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:804860285:804860827:1 gene:SECCE2Rv1G0121140 transcript:SECCE2Rv1G0121140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTACFLAAAAAILAVVVCVGATPETTCRAAAGADRRVDYHFCVSRLSQHHDSPDADTWGLAKVAADVGVLMASNGAYDIKAMLAGKKEQPAAARGPLEQCEALYNRMGSAFAEAYDGIDRRDYATGKEKAGEAASLARRCAAAFARAGVAVPSRLAKQSADSVQMAIVCTAVTNLIK >SECCE5Rv1G0347310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666405390:666406187:1 gene:SECCE5Rv1G0347310 transcript:SECCE5Rv1G0347310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSPAMAGTPVKASRAAPFGEGRITMRKTAGKPKVAASSSPWYGSDRVLYLGPLSGDPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLAEDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >SECCE4Rv1G0232400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:197359067:197361816:-1 gene:SECCE4Rv1G0232400 transcript:SECCE4Rv1G0232400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRGAKRRKKAAAEKKAAMAAAAAGQGAPGGDWWDGFCMRMAGTLSSAEDAHRFETLFKMPRKTFNYVCSLVKDDMMVRASSYTFIDGTVLSLEDRVAVALRRLNSGGSLVTVGTSVGVNHSTVSLITWRFVEAVEARAGHHLRWPDSDEMAMIKSKFEKIHGLPNCCGVVDTTHIIMCLSSAEPNCKVWLDHEKNYSMVLQAVIDPDMRFTDIVTGWPGSMKESSILHSSGLFRLCENGARLNGSKLTVSDGSEVGEYIIGDAGYPLLPWLFTPYQENDLSELKVEFNKRHSAARTVALKALARFKDTWKFLQGEMWRPDKHKLPRIIHVCCLLHNIVIDMEEDAAMDDAHISDDHDANYRQQVCQLSDEKAVRMRDRLSEHLNSS >SECCE4Rv1G0236950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:354666890:354670884:-1 gene:SECCE4Rv1G0236950 transcript:SECCE4Rv1G0236950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGTEPAASSKKKKRHSKKRKAIEVTVDASFPGPAAPVVGYFPTGYDPLAAAEPPRARLFCHGKHHNRVELVVGSPGGGPDFVGRSYAGEAAARQLCGYALGVLDKATGSLKIVPIASNKILRLEPHFEVQQPAHSQQSAAEAGSSVADTELNRQDLTQAYGTKKDKDKDNKWKSLKEQRNDPSAYLDLDLGESKTTADATDSQASVEVRNIPPYDPAADTSEKAYLFDEIIPKNIRPHLLDIVGHFESGEFGLKGYGSFVSNRVNKLQELQGEDQERLAWILSYITHLLSLLARNSSMSKQKRKEKDQTIRGPMIPQAVHRKLLLMFTEPGSSSLSTEKHELLINYILVLTLFADDFRSEPTDICADLKMNRQMLKPYYDQLGCKSVSTGHFKPFFMTLPAPLEFPQQVTRRRRRK >SECCE6Rv1G0394980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:313995748:313998465:1 gene:SECCE6Rv1G0394980 transcript:SECCE6Rv1G0394980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGASDFSDWEVLSAASGVGGDDAVLVSGEGGDFLHDHFALDSSTGFSGEGSWSEAASEDVEIESGLGSVDRFGSAMQEQTDLIGVVDSSAQLQLGGIDVTAQASPVFGASVACGGGAHEKQTAGLSCGEFDSIPQAALEGLEGILDSDATAVAGVRLQVELSENSSLQLEDGGADAISESSVLQAAATSDAMQTLQEEPEQGKDASAASGCAEPGGDDKDGSSPLIAAAAPVTGDGERQVVVWWRLPFRFIHYCAWKVSPVWPISIAAALLGIVVLGRRMYRMRRKTQGLPQIKIAFDDKRASQFADHAACLNEAFLIAKRVPALRTSSGAALPWSMMQER >SECCE7Rv1G0520690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:871828091:871829133:1 gene:SECCE7Rv1G0520690 transcript:SECCE7Rv1G0520690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPTDERPLVMLAQPLFPEFAAALAGRYRFALLADADATAAAEARALLVVGLPPVTAQHLRALPALELVVCTSVGVDHVDLDACRSRELIVTNAGAAFAADSADYAVGLLVAVLRRVAAADAYVRSGRWTADGDYPLTTKVSGKRVGIVGLGNIGCRVARRLAAFGCAVSYHSRSPKPSAPYPFVPALRDLAAGSEVLVLSCALTEETKHMVNRGVMEALGKDGVLVNIGRGGLVDEPELVRCLREGVIGGAGLDVYENEPAVPPELLGMDNVVLSDHRAVLTVESIGGVLEVVIANLDAFFSGRPLVSPVQL >SECCEUnv1G0568490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:426745164:426746129:1 gene:SECCEUnv1G0568490 transcript:SECCEUnv1G0568490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWMDRDTGAKCYMVSATELSIAWRDTPCYWTWISLDDSRFSESAQLICVCWLEIRGKIHSKMLSPGSTYAVYIVYKLEDDSYGLNLPVNASVSVGGSVLACKVSLQHDPQIIYPEDVALPNERVDGWMELELGEFVCDGDEDGDVSFGLSETEYLDSKSGLILQGIEIRIKN >SECCE3Rv1G0204360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:893338258:893340532:1 gene:SECCE3Rv1G0204360 transcript:SECCE3Rv1G0204360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENQSNRQVTFSKRKNGILKKAKEISVLCDAEVGVVVFSSAGKLYDFCSPKTSLSRILEKYQTNSGKILWDEKHKSLSAEIDRIKKENDNMQIELRHLKGEDLNSLQPKELIMIEEALDNGLTSLHEKQMEHYDRLMKHGKMLEDENKLLAFKVHQHDIALSGSMRDLELGYHPDRDFAAQMPITFRVQPSHPNLQEDS >SECCE6Rv1G0420970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680926751:680927506:-1 gene:SECCE6Rv1G0420970 transcript:SECCE6Rv1G0420970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSAVAACAVLLALAGPSLAGDPDMLQDVCVADLKSSIKLNGFPCKADITADDFFFAGLKKPGNTNNPAGSNVTAANVQSFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGVLEVGFITTANKLFTKTITVGDVFVFPRGLVHFQQNRGHGPASVIAGFNSQLQGTQAIATTLFAAAPAVPSDILAKAFRVDSEDIDAVKAKFK >SECCE5Rv1G0336260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583365777:583367536:-1 gene:SECCE5Rv1G0336260 transcript:SECCE5Rv1G0336260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNQEDELTMSTDEFLEAQAELYHHCIAFVKSLTLKAATDLGIADAIHRRGGAATLSDLAAETGIHPTKLSNLRRIMRVLTTSGIFSVQHSDVVNDDDAVYKLTRVSRLLVKSSSYPLSPVVPVLVDPFAWSALLRMPEWLATDERASLFDLAHGCPLWDTTVEDGGLFKDGMAADSRVAMQVLLARCGGVFEAVRESLVDVGGSHGTTAAAVAKAFPHIKCTVLDLPDVVAGAPANDAALSFVAGDMFEYIPQADAILLKWILHDWKDGDCIKILRRCKEAIPSRDAGGKVIIIETVVGSGSPGAQKIFPKEAQVLMDVFMMYIDGIEREEHEWSKIFFEAGFSDYKITPTNGVLSVIEVYP >SECCE2Rv1G0122700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:818233639:818237405:-1 gene:SECCE2Rv1G0122700 transcript:SECCE2Rv1G0122700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSALHFWGLFCCLYALSNNIYARPDAVSVGALFTFNSTIGRAAKIAISAAVNEINNDSSILPGTNLVVEMQDSNCSGFVGIVQALQFMEKDTVAIIGPQSSVIAHVISHVANELQVPMLSFGATDPTLTSLQFPFLVRTTRSDHFQMAAVADLVDYYGWKQVTAIYIDDDYGRNGIASLGDELAKRRAKILYKGAVRPGAKKSEMASVLVRVAMMESRVVILHANPDSGLALLLLARNLGMTSSGYVWIATDWLSSFLDSSPRLDSGLLSTMQGFLTLRQHTENTRRKSMLASKWSALVKKDSADDLFLINSYGFYAYDTVWILAYALDAFFSRGGNISFSDDTKLHEVGAGGLQLEAMTVFDGGRLLLEKIRQVNFTGATGPVKFDTDGNLIRPAYDIINIVGSGLRPVGYWSNYSGLSTSSPETLYMKPAKRVRGDQKLHTVIWPGETTARPRGWVFPNNGIELKIGVPNRASYRQFVSVDSNTGTVRGFCIDVFVAAANLLQYPVPFKFIPFGDGSQNPSYPDLINNILTNEFDAVVGDIAIVTNRTRVVDFTQPYVESGLVVLTSVKKQSSSGWAFLQPFTIKMWCVTGVFFLVIGTVVWLLEHRINDDFRGPPVKQVITVFWFSFSTLFFAHREDTRSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLVSPITGIDSLVASDDPIGFQVGSFAESYLVNELGVSRHRLKSLGSPDEYKEALELGPANGGVTAIVDERPYVEIFLLQHPKFAVVGSEFTKSGWGFAFPRDSPLAVDLSTSILGLSENGDLQRIHDKWLANDAAASMSQANDLYSDRLQVYSFSGLFLICGVACLITLAIHAGILVHKYCEQGRQVPADGSSRSSRGSFRAFLSFADRREMDTRIASNDKAGGEHSISAAASSSSVSTTASC >SECCE5Rv1G0330340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:527762429:527766053:1 gene:SECCE5Rv1G0330340 transcript:SECCE5Rv1G0330340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTVLWLFYVSAASCVLYKVFLRGRNSPKTSSSNARRPPGPAPIPFIGNIFHLQGEPHHALARLAGVYGPVMSLKLGTTTAIVASSASGARDILQKYDHLLAARSITDAGRALGNHERSIVWLPCTSPLWKRLRAVCTNHLFSARGLDATRAVREEKVRELVGSLRAHHAGETVDVGRVVFSGVLNLVSNVLFSEDVADMSSDRAQELEVLIRGMVEEFTKPNLSDLFPVLSALDLQGRRRRTTQYLRRFNDFFDPIISRRMKYEGERKDDFLDVLLQLHSVDQLSLEALNCFLSDLFVSGAETNSITVEWTMAELLRQPVVMSKVRVELREALGSKEHPDEFDVCRMPYLRAVVMETMRLHPPSPLLMPHEAMADGAEVGGFAVSKGTKLIVNLWAIMRDPAMWKHPEEFIPERFLGADMDFRRKDHGEFMPFGAGRRACPGTPMATRVVTLILASVLHAFEWRLPDGMQPGDVDVRGRFGTSLKMVTPLKAVPVPLFL >SECCE6Rv1G0416170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:647245727:647249885:-1 gene:SECCE6Rv1G0416170 transcript:SECCE6Rv1G0416170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDGGVKDQEASTSTSAPAVAITRAARPPRPARFKKVPAWHPLKYRRFRVWAAKMMVDASSSARAVRGAREVGEATMAVVAAPKESEQEDGKGHISGGWKSEDGSLHCGYSSFRGRRESMEDFYDMKSSKMHAKKIYLFGIFDGHGGSCAAEYLKEHLFENLLKHPAFISDTKTAISESYTKTDSDFLDAETNIHREDGSTASTAILVGNHLYVANVGDSRAVILTAGKAIALSDDHKPDRSDERERIEKAGGVVTFSGTWRVGGVLAMSRSFGDRLLKRFVVAEPEIQEQEIDDELEYLILASDGLWDVVSNEHAVAFVKDEAGPEAAARKLTEIAFARGSTDNITCIVVQFLRAN >SECCE2Rv1G0066590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14735115:14735750:1 gene:SECCE2Rv1G0066590 transcript:SECCE2Rv1G0066590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGALALCVLLAIAVANAEAASVVVGMAKCADCTRKNMKAEEAFKALQVAIKCKNSAGEYESKAVGGLDGTGAFSVPLASDLHGADCVAQLHSAASNAPCPGQEPSKIVPASEGTTFGVVAGDNIATPSAASPECASMTLCGPIKKHIMEHFHHKKPVPPKPEPKPQPHPDYGPVPKPEPKPQPHPDYHPVPPTPTYGGGGGGGYHGHH >SECCE1Rv1G0060600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710724537:710725515:1 gene:SECCE1Rv1G0060600 transcript:SECCE1Rv1G0060600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVAARRLVGGGQRPLVAVEDAQRRLFQVNRATRSTTSSAAADSNVTAVKGYEDREKLLTKIHNSREELYGMVSDAERIYKIPGKAGKEISRLRQELATQVEPRPGDMTWRVLRVNSKFQRYMGFATFIFSSYVLTGMAIGSIVELEPDEKRWIKKKREEARKRSGDNVSSS >SECCE6Rv1G0444260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827400843:827402321:1 gene:SECCE6Rv1G0444260 transcript:SECCE6Rv1G0444260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT6E [Source:Projected from Arabidopsis thaliana (AT1G80510) UniProtKB/Swiss-Prot;Acc:Q9M8L9] MVNSNYSALPLTATAIELQSSHPPPPHPKSGAAATNGANGHAKLTKQDSFLGEVEDDTPGEHDELPLIGDDGPAGPPEGSGVSGAVFNLATSIIGAGIMALPATMKVLGVAVGLVSILVMGALSEVTIELLVRFAVRCRALSYGELVHRALGRPASVVAQLCIVVNNAGILVVYLIIIGDVMSGSLKHMGVMDQLVGHGEWDNRRLLILFVLVVFLAPLCALEKIDSLSLSSAASVGLAVVFVAVSCMIAAVKLVEGKLAAPRMGPDFSNRAAILDLLVVIPIMTNAYICHFNVQPIYNELKEKTPQNMYNVSRISTVLCVVVYALTAISGYLLFGDDTESDVLTNFDKDLGIKFSTVLNYIVRIGYIVHLVLVFPVVHFSLRQTVDSLVFGELAPHSRKRMLSLTVVLLALIYLGSTMIPNIWMAFKFTGATTGLALGFMFPALVALRLDKEGECLGRGERLLSIGMLGLAIVVSVVGVVGNVYSLRSKSE >SECCE7Rv1G0481320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:282727997:282731828:1 gene:SECCE7Rv1G0481320 transcript:SECCE7Rv1G0481320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDATTKCSAGEENDRIKYATSSMKGFRDEMEDALTAVLDLDGYSSTSFFGVYDGHGGADVASYCSKQFHIELTKEPDYGNNLRTALEHVYFRIDKKLKRSDEWKREPAHPPENSTLKRLLRAALCAKPSDPPLQEGTTACVVLIRGNQIIVGNIGDSRCVLSRNGQANDLSTDHKPDHQQERERIERAGGQVTRDENPRRDITGRLVGVDLGIHRIGGKLAISRAIGDFQFKQNKNLPPAEQIVTCSPDIRTVDITDDTEFLIIASDGIWEAKTSQEAVDYVRQRLQSGETDLRDMCERLLDSCLGRRMNDNMSVILVQFKAGARANPTATAEARADEIEVSIEVGQSSNTNVEDGQPSNGNEDDDGSGKGCVLCFGGLDLFEAGED >SECCE4Rv1G0214970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:2186149:2190186:1 gene:SECCE4Rv1G0214970 transcript:SECCE4Rv1G0214970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALDEARIIDYFRHKSVLITGATGFLGKIMVEKILRVQPDVKRIYLPVRAADAAAARRRVETEVVGKELFGVLRERHGAGFDAFVADKVVALAGDVMREGFGVDPATLRDLRLADELNVIVNGAATTNFYERYDVALDVNVVGVRHMCDFARKCPNLEVLMHVSTAYVAGEKQGLVPERPFKDGETLRDDGTCLDIDGELRLARDLRKQMEADDDVDPKAQRKAMKDLGLTRARHFGWPNTYVFTKSMGEMMLAQMMRGGDVPVVIVRPSIITSVQNDPLPGWIEGTRTIDAILIGYAKQSLSCFLADLDLTMDVMPGDMVVNAMMAATVAHASSTRSSEPEKKLPSRQQQHPHSVPAAPTVYHVSSSLRHPAPYAVLYRTGIRYFEEHPRVGPDGRTVRTRKVRFLGSIAAFHLFMVLKYRVPLELLRLLSILCCGLFGLAALYHDLARKYRFVMQLVDLYGPFSLFKGCFDDVNLNKLRIAMADGDHADTAGGLFNFDPKTVDWDDYFFKVHIPGVMKYVLK >SECCE5Rv1G0315950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:301203136:301210815:1 gene:SECCE5Rv1G0315950 transcript:SECCE5Rv1G0315950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLPTLAAPLLRPHPRLPPPPPTAFSPSWNCQTKSRLLQRGPFATGADVPGRGGPLPEPEQPAPLLLAALRATRLRDEESRRPDPLFIDPYAAVLLSLDVAHQASESLISHLMPSADRYRLTTRYLDDKLQHLISRSDNFRQIVLLTDGMDTRPYRLNWPRMSIVYDVSPGRIFNTSAQQLRGAGAKISRNCVLFHTPLESPDLQEGLRKNGFNGNRPSLWVLQGLPLSSSSTFKSLLLVISNLAMKGGIFIGEVPHFPDWTAAADMVSEQDRLENLFFTHGFRVSFVLCENVAKDLGLDLAPQREQCGRVLFVAEQLRFSDAQMESFWTHFERTEEDADEEGFEEL >SECCE6Rv1G0440460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:804618132:804618770:1 gene:SECCE6Rv1G0440460 transcript:SECCE6Rv1G0440460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNALLKLWEMYQDSKSDRRKDNLESSLTIHHLKEEKNNLEANYDKLVEDVHQLFNAQEDRVLDFRYLQLKMDNAEERKAEMTNSALSDMKTEMEKKEAEIFKMQEKYAVLINLTKAQATVIRNLKLNHLKEKEVLSADRRNLQFQVDEFIKSQEKLTQENLQLKAHIGDLEKVHDKLYKDRAQFKLQIAGLLKAEEKNKQKMKGIQAILDE >SECCE4Rv1G0263990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718703368:718706133:-1 gene:SECCE4Rv1G0263990 transcript:SECCE4Rv1G0263990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFAPNSTGDYLMRHFCDANTSASVDTYVGNVTASYTDTSNESSMVAASVIMFALAGIFFNLNLFSRFSDVSAILDPKVRVFLSSLLSLFLPVMSYLFSEAKNAGKVAAAAGVGRREEPDLSLQAGLILLWMLLVELLRKKVDEIRMRGYSGTIQRAGRVIWLGSLVFFNLKRVGRKAMFGIFWVLCATKVVQRIAFTEVGKRSYAHGKNTRLITSYMSQILQPGRLQPQDQQEHQLHHSASTHVLNVEDVEHGAPAGNNQGDGGEAMLKRCKFIVMGEEDLVIEPTADGYKLKDISPNDTVLTVGKIWDHAYSNLEQDLRLRLRRLCLSFALFKLLRRRFEHLPPVTEAETRECRDLLFNGVYHDRTEKAAAELFQMMNDEVNFLCEYYHSVIPVVLASPFFLLANYFLLPVVVLGLCVMTVVLCGFGDAGFAFASITTDNFAIKSGVVNTTMCLLLKSISSPSAFFTTVDFAITFLLLAIFFYEEMWEFIVFLLSNWFMVSLVHNYVTKQWWRDSKMFRSSVHRIIWLRKKMSQPVLGFKQFSLLNLRWPLVLGLPSMFSLVLETAPVPKGAKHAIMESLVKHTHDGTDLNNGSSVLMNREDLLPACQSDSLAEVILTWHVATTIMEAKYSPDKGKKSKASQYHMVATRLSKYCAYLVAFHPELIPDNQEKSERVFEAAKEELKATLKCAPYYLLCWRSRVDKVMAAPKREATAAWEDGKVVHNGTKLGNMLREEPTGDGDTQREQTWKLLADVWTELLVYLAPSSDEERVLGHESVLVQGGEFITVLWALTTHTGITRPEK >SECCE7Rv1G0464590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:62633729:62636698:1 gene:SECCE7Rv1G0464590 transcript:SECCE7Rv1G0464590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRYKTLPKSFNARSFLRKSNQTLVKSIASSATPSPLPHTDALAELVHATRSAKCLGRLHALLAVTGAINRDTSAVTAAVEGYLSVGMPDAAASVFAGAHRGRPTVYSLNLAVRCFSAHGFHRELLGLYRTACAFGAGSDNFTFPPVIKASTAVGCLLLGREAHGRVLRDGHGANVGVQTALLVMYAKAGWVRASRTVFDCMAQRDLISWNALISGYSLNGCFTEAVEAMREMQEDGMRPNASTFVAAVGVCGAVGDSDAGDSLHAFALKCGVLGDESVTPAFISMYAGFDDLSSSRLLFDLQPLKDLVSYNSMISAYMQHDKWKESFEVFRLMHCAGLGPNLVTVVSVLPTCSDFFGVYVGQSVHGMVTKFGLAEQISVVSALVSMYSKLGELDSAVHLFSSCTGKNHLLWNSIISGYILNNEWHTALDTFRRMQTEGVPPDATTVIKVISGCRHIKDLRMAKSIHGYAVRNSFELNQSVMNALLAMYGDCGELSNSYKLFQKLEVPMLISWNTIISGYAEAGDAEASVRLFRQMRQADLQFDVVTLIGLTSSISVAEDAAIGESLHSLAVKSGCSADVSLTHTLITMYSNCGSVEACQRLFDSLSSVNTVSYNVLMTGYRKNNLSEEILPLFYEMVKSEKEANHITLLNVLPVCQNQSQGKSVHCYAVRNFFRLETTMLTSAICMYSRFNNFDYSCKLFNSVGEKNIIVWNCILSACVQCKLADIAFDFFRQMCFLNVNPDAVTMLALISACSQIGKADLAECVTALLLKNGFGGSLFVVNALIDMHSRCGSISFARELFDSSVAKDSVTWSAMINSYGLHGDGKSALDLFSMMIASGVEPDDVTFVSILSACSHSGLVEQARSLFKSLQIDYRITPRMEHYACMVDLLGRTGHLDEAYDVVGSMPFRPSESLLESLLGACRFHGNSKIGEAVGKLLIDSDHSNPRSYVMLSNIYASVGKWNDYEWLRLDMEAKGLRKDVGISLIE >SECCE7Rv1G0518800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:862048082:862048648:-1 gene:SECCE7Rv1G0518800 transcript:SECCE7Rv1G0518800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTASASRRSWPRYGTVPMTRCPACPRTAPLKRLVTTTDKNGNLGWEFVKYESKPEQGKKLKQCTHFEWLDEYIERIQLEGASGELDLPLEAEKFGSGPYGSGAPRSGNSIGATVGDAGVTAELKKLNKQMKKLIELQKQGNLMGLMAGLFMFV >SECCE3Rv1G0190630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:742289361:742294227:1 gene:SECCE3Rv1G0190630 transcript:SECCE3Rv1G0190630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLCHVPFLLLLLLHVVIARPFFPLPSKTSNEEKKPIQTFRPYNIAHRGSNGEIPEETSAAYLRAIEEGADFIETDILASKDGALICFHDVTLDDTTDISDRKEFANRRRTYEVEWANVTGWFVVDFTLEELKTLKVKQRYLFRDQQYNGKFSIITFEEFISIALDASRTVGIYPEIKDPVFINKHVKWADGKKFEEKFVDTLLKYGYRGQYMSENWLKQPLFIQSFAPSSLVHVSKLTDSPKIFLIDDITVRTQDTNQSYWEITSDDYLAYISNYVIGLGPWKDTIVPVAKNYLLEPTDLVARAHAHNLQVHPYTYRNENQFLHFDFHQDPYAEYDFWINTMGVDGLFTDFAGSVHKYQELKSPHPKDATANSLLVKIAQLIAAYEGH >SECCE5Rv1G0370270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841430755:841431830:-1 gene:SECCE5Rv1G0370270 transcript:SECCE5Rv1G0370270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEETPVTTELEVESGDAAPVIEAEEPAEDGAPVVEDVKEGDGDEDEEDDEDDDEDDEDEDGELGVAGSEGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKAMRPDAAAGAGPGAPADEEEVVDETGIEARDIDLVMTQASVSRAKAVKALKAHDGDIVSAIMELTA >SECCE5Rv1G0333870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:562599297:562600622:1 gene:SECCE5Rv1G0333870 transcript:SECCE5Rv1G0333870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAVGTGVMDIDLGAARAARVLGRGAMGTVFLVAAAEAEADYALKVFDKRSHAATAPGRAEDAARRARWEVSVLSRLAHPHLPSLLGRAETPDLLAWAMPYCAGGDLNELRRAQPDRVFSPAAVRFYAAELVSALADLHAAGIAYRDLKPENVLLRADGHVTLTDFDLSRLLPPKSPSASTCTSASSPCSSATPSPTAPKPHGRQYHHLRRIFARSESSVAASSGQEPHNLAWYLNRSDGGVDKLKKAKSARASTSLRRGKNHASFRLAAASGGVACERSFSFVGTEEYVAPEVVRGDGHEFSVDWWALGVLVYEMAFGTTPFRGRNRKETFRNVLLREPEFSADVGRRWPDLTDLISRLLDKDPARRLGFSGGADEVRAHPFFAGVAWDLLGEVSRPPYIPPPADDIVACEGFGVVEYFQKLHQPPPQPDGSPESLPEF >SECCE7Rv1G0483100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:317567940:317571772:-1 gene:SECCE7Rv1G0483100 transcript:SECCE7Rv1G0483100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPPPAPAPLVEGGGSDGAGPDPNSHRLSPETVSVELSMGGDYYHACCGDPDPDPKPEGPQVPYIGNKEPLSALAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDRAEVERILKNIEQCKKTLSGLGYIEFTFEDFFSMFIEELQNVLQGHETSIGPEELLERTRDQTTSDYVVMFFRFVTSGEIQRRAEFFEPFISGLTNSTVVQFCKSSVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDTGNLSVNHHDFIPAANSSEGDAAMGLNPADEKPYITLLYRPGHYDILYPK >SECCE5Rv1G0373130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856295021:856295515:-1 gene:SECCE5Rv1G0373130 transcript:SECCE5Rv1G0373130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKLALFLALSLVLVGAAHGCGSCGNTPSVPVPTPPIAVPPPAVPVPTPPIAVPPPAVPAPTPPISVPPPTSGGGGGTCSINTLKLGACANVLNLLKLNLGVPASEQCCPLLSGLADLDAAVCLCTAIKANVLGIKLNVPVDLVLLLNQCGKTCPANFTCPS >SECCEUnv1G0557930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:328588408:328588725:-1 gene:SECCEUnv1G0557930 transcript:SECCEUnv1G0557930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDVVALVRQGLRWRRPRGRRSTARVVDESAVGLGADADAGAAAAASSVGSALARALLALACAVRFDGEDLPTEEAWAASVWRPLADEGSHLMVRESMRYAIYA >SECCEUnv1G0558060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:329548140:329549024:-1 gene:SECCEUnv1G0558060 transcript:SECCEUnv1G0558060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGPRWKKGKDGKDFAALAAAQPMSGIVANLQSSLKGSKLVATLSSGGGDAVLGVNPQQAALLSRAAFGRALENAGAEKQWFQLGAEEVFYLRHALECVVVESASKDGLLSQGELWDRLCSASESFPEMYKAYSHLRSKNWVVRSGLQYGVDFVAYRHHPALVHSEFAVVVVPEGSGFGGRRGRLKVWSDLLCALRASGSVAKTLLVLTISSNVCELGSPDCLEQLVVHERTITRWIAQQCRERRCEPCREEEAYKEEQDHTGGTVVFNYWGVILGFTVLSSLLVYKLRFRQ >SECCE1Rv1G0032220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:452379987:452382998:1 gene:SECCE1Rv1G0032220 transcript:SECCE1Rv1G0032220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFRRGVEFGTTSFQRCHSLSCQMAENTQIDAQKAGIRRKEKSSMRRPKGEEMPVIPEQAMEFLSRTWNPSSSDLFQILTPSCLGVSAQDHHGEGETKEDEDGEKELDVVRIDGGKSQLFNQIQTWRVLASGKPSSKQRKTKLNQPIWLNVGQMKALLRGYFLDNVSVTGSRRRRRRDELRLHSAQAHAAVSVAQLAAAIAGVVSACDLRGDKKLGAVLASAAALVATVCAEAAESAGANRPRVTSAVKTGLESRSPAELLTLTATAATCLRGAAALKLRAADVRGISTGANVNGNANANAMAMSISAKIEKGIALRVCLPCGKVRVRTVSVVPRRGGGAVTLRLGTKRLRGAFATYDNHELLAVSTGGGGEAVVDGRRCFAVALSTSAGTVQLLLEDQTHCKVWKAAIEGMLSDANLKHTK >SECCE7Rv1G0524780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887032375:887034640:-1 gene:SECCE7Rv1G0524780 transcript:SECCE7Rv1G0524780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWFLILCFTAASTLLALWFFWLSASGGKRKPTPQKKLPPGPWTLPIIGSLHHVASALPHRALMQLAREHGPLMHLTLGEVPAVIVSSAEAAALVLKTNDLAFASRPCTVTLDIFSCGGKDIAFSPYGDHWRQMRKVCIMELLSSKQVRRMEDIRADEVRNLLRSITALDGATVNISEKMAALSNDIVSRAVFGGKFTRQEEYIRELHEALALVGGFSLVDLFPSSRLVRWLSNGEGRMRRSCGRIQRLIASIVQERKAARAAGDGAHSTDDEDLLQVLLRLQREDTLEFPLTTETIGAVLFDLFAGGTETMEDVLAWAMSELMHSPTTMAKAQQEVRDVLGEGRDVITISNLVELHYVRKIIKEILRLHPPVPLIPRRAREDCTVMGYDIPKGTVVYTNVLAISQDPIYWNNPEEFTPERFENNNVNYNGTCFEFIPFGAGRRQCPGIQFSSSVSEMALINLLYHFNWMLPDGVSLASLDMSEKFGIAVSRKYDLELRATPHVW >SECCE7Rv1G0479760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:258201403:258205050:-1 gene:SECCE7Rv1G0479760 transcript:SECCE7Rv1G0479760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BB2 [Source:Projected from Arabidopsis thaliana (AT3G63530) UniProtKB/TrEMBL;Acc:A0A178VG02] MNGSRQMELHYINTGYPYTITESFMDFFEGLTYAQADFALADAFQDQANPYWTMMQTNSYKYGYSGAGNYYSYGHVYDMNDYMHRADSGRRIWDNPMPVNNTDSPNVVLQGGEAPHASASSTTEERIQQPVHQNSSSPQVVWQDSIDPDNMTYEELLDLGEAVGTQSRGLSQESISALPVTKFKCGLFSRKKKRRERCVICQMEYRRGDLQMALPCKHVYHASCVTKWLTINKVCPVCFAEVPGEELKRQ >SECCE2Rv1G0122950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:820240382:820240672:1 gene:SECCE2Rv1G0122950 transcript:SECCE2Rv1G0122950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVACSFFFDDELLGEPGTAAMDACALCAKLLARDRDVFMYQGDTPYCSEECRHEQMQLDAVCAKQAARRQQRFSAETECHRGQRQSRKVSVAS >SECCE4Rv1G0277860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806045937:806046548:1 gene:SECCE4Rv1G0277860 transcript:SECCE4Rv1G0277860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIHEEDLSMLAADVTIYVLLAAMLLVFAADQACAGGWGCAVARVVSEIRSQAILVLLLLPLALVLFFLRAAGSSSKPADAESLSVKDVEERPSDFSLPLLWLLVVAMLGTFSFIFYMYGCPYCADLYGHVALFFIFMCEAFVYVRAALSLWRMNPTPKPSNMVAAE >SECCE2Rv1G0104750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:610963921:610967730:1 gene:SECCE2Rv1G0104750 transcript:SECCE2Rv1G0104750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMELLGRSLLQAAGSASTAAPRGGRERGSGGLCFASLGGRPSRRTVRSKAPVGALAERVVLTPAPAERVARPEAHPQSVAARAVVTVRRKRKVEVKEQVAEQMDAYADRVGRSVLLELISTETDPRKGGPKKSKKSRLVGWFEKRDVKAELVVYTAEFTVDAAFGEPGAVTVLNRHQREFFIESILVEGFSSGPAHFTCNSWVQPTRVDPAPRVFFTNKPYLPSETPPGLRELRRRELKELRGSGTGVRKTTDRAYDYDVYNDLGNPDKGAGFERPVLGGDKLPYPRRMRTARPSTVTDEGAESRVEYPEPIYVSRDEEFEEGKNEMLSEGAIKALLHNFMPLLVSSVSPDSRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQVFPPVSKLDPSVYGPPESAITEEHIIGNLDGMSVQQALEENKLYMLDYHDIFMPFLDRINSLDGRKAYGTRTLFFLTAGGTLKPIAIELCLPPMTDDCKRAKRVFTPPADATNIWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGRYCMEMSSFAYDNLWRLDQEGLPADLIRRGMAVEDASQPHGLRLLIEDYPYATDGLLLWSAIARWCEAYVTAYYPSDEAVQDDYELQSWYTEAVQVGHPDKCDAPWWPRLTTAGDLASLLTTLVWLCSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYEHLVADPHRFYLSALPSLTQTTTFMTVIDTLSTHSADEQYLGERPNEEWTADPAALAAASEFAAEVRRAEEEIEQRNADPARRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI >SECCE6Rv1G0420840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680423782:680425191:-1 gene:SECCE6Rv1G0420840 transcript:SECCE6Rv1G0420840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIDKATDNGELKQRQPEQELVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPADHKNAHLLALEGAEESLTLCRADVLDYDGLRAAFHGCQGVFHVASPVSNDPDLVPVAVEGTRNVIRAAADAGVRRVVFTSSYGAVHMDPNRMPDAVLDESCWSDYEYCKNTGNLYCCAKMMAEITATEEAAKRGLELAVVVPSMTMGPMLQQSLNFSSSHVARYLTGSKPTYPNAVAAYTDVRDVAHAHVLVYQHPDARGRYLCIGAVLHRAHFLQLLGELFPQYHITAKCEDDGKPMAKPYKFSNRRLTELGLEFTPLKDSLYETVMCLQKKGHLPLPIVPMAQKRAYL >SECCE2Rv1G0106880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:640115937:640116107:-1 gene:SECCE2Rv1G0106880 transcript:SECCE2Rv1G0106880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKLNSKERVGCCLLVLLCIALLIGVLFGMGVFRHGYDKFTELGRNRTCYDCHTN >SECCE1Rv1G0057200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692613350:692613748:-1 gene:SECCE1Rv1G0057200 transcript:SECCE1Rv1G0057200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSAGRLPAWPRRYEPDGAAGQEDAAGRGEAVRRAVAESPVLVVGRRGCCLSHVVKRLLQGLGVNPAVREVADEAELAAAVTRDEAVVALPAVFVGGRLLGGLDRLMAVHISGELVPMLKDAGALWL >SECCE2Rv1G0132950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894707029:894709098:-1 gene:SECCE2Rv1G0132950 transcript:SECCE2Rv1G0132950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSMLTMARAAWAVVRYAVVVPLLQLAVYLCAAMSLMLFAERLYMGLVVAALWLRRRRRQRRSSSRNKGGDDDGGEDLESGGAEDLPIVLVQIPMFNEKQVYRLSIGAACSLWWPADKLVIQVLDDSTDAGIRALVEAECRRWAGKGVHIRYENRSNRSGYKAGAMKEGLKKGYAKDCELVAVFDADFQPDADFLRRTVPVLQADPAVALVQARWRFVNADECILTRIQEMSLDYHFSVEQEVGSACHGFFGFNGTAGVWRVQALADAGGWKDRTTVEDMDLAVRASMRGWKFVYAGDVQVRNELPSSFKAYRYQQHRWSCGPANLMRKMFWEIVASRQVSAWKKVHVLYGFFFVRKVVAHLVTFLFYCVVIPAYVLVGGQDVRLPKYVAMYVPAIITLLNAVCTPRSWHLLVFWILFENVMSMHRSKATIIGLVEASRANEWVVTEKLGSATSTAAATTTMATNKGAAMKKKKSQSSILAPEIVMGLCLLYCAVYDIVFGHDHFYVYLLMQSAAAFVIGFGYVGSQ >SECCE7Rv1G0468510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:99099950:99101589:1 gene:SECCE7Rv1G0468510 transcript:SECCE7Rv1G0468510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRNFLAGGRKDGKRDRPHPEPTPGPGPGATPKEKRWSFRRPVALTPEQPGRGVVPREAADGVGASRSSATSEARFDEKKHAVAVAVATATAADVAGAAAQAAATVARLSSRKAAPPLPPPASLLVEAAAAVRIQASFRGYLARTALCALRGIVKLQALVRGQLVRKQAKATLRCMQALLAAQSQLRAQRMRFLQVQDHHPHHTPPPRPRPSSQHSRHRRSSYEMDRSSEENVKIVEMDSGDQPARRGGSRGGDRQYSSVEYHHGGRCSPAPSAMTELSPGASSWHVEDHLSFGTAHSSPRSHSHNASAAMTEPAASDLPFPSYMSNTQSSRAKARSQSAPKQRAAAEALERQPSGRRKGAEHRSVPRGARMQRSSSQQQAGSAPRQSPFFHRPWSASTSVRLDTSTASLKDSECGSTTSSVLTAATTVSTVYSRTRSLVGFEVRRSLY >SECCE5Rv1G0303610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:49923305:49923742:1 gene:SECCE5Rv1G0303610 transcript:SECCE5Rv1G0303610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRSVVLRRCKSLSRAGSRRSSSSSSSSYSNLRSMSARDDVAGEDSQSQVAVEGASAVVFVGSSRRRYVISARHLRHPLIAALIDDDDAGDGGVRNSKEPVAVRCEVVLFDHLLWMLDNAVDLRAGAGGEDDAVRELAQLYAT >SECCE3Rv1G0166980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:195340052:195340606:-1 gene:SECCE3Rv1G0166980 transcript:SECCE3Rv1G0166980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGEGAQQRSALAAAAAPEQWRGAVEAPLPGTPAGAAWTHVASFFSAHRYLPGIDVCERVTGASCEEEQGDDGRLLMTPGCVRHVASSAAGLWAREELLEADHDARRLRYAVVDSNMGFGRYVATLRVLDLDGGEGGCMISWAFECDAVKGEGWSEAALVARLGYSVKGMAERVQQLAAVAQ >SECCEUnv1G0529640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8780868:8783659:-1 gene:SECCEUnv1G0529640 transcript:SECCEUnv1G0529640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTGMILDEHVLKANDRKRKHESAVKITGTDGSAEVSQHADGSAVLKKSKMTSCAGHILEWYKNFKTSGLPVRVLCYHQGKWRDFPEHVVNLVQQDFQLKRPITSAVMKNQQVLLDFMHMVCIDSSMTTNKPIAWIDVHGNRFFPELCAGPVTSKPSQHGKSDPSGKSEAGECAGALILTAGAESSSSDSVNAVLPHAKKVNNILEEEQEVHNYTAVESKAGPAICLGEPASGNIHAATCNQKIGQPVDSAVRKLLLEGAGQPFSEENIIGIYRTPLVDQQGRARFNLFQKELEATKMHRGNANVRYAWLPCSKDTMEEMMMRGVLEVTKPMLGPMYGIGTHLAPANCAKTCASYSDIDENGIMRMMLCRVIMGNVEVVLPGSKQFQPTNGTFDSGVDDLQKPKHYVIWDANVHRHIYAEYAVLIKAPSMTNEYLAREDTASNVSEIRNSGSAENVIKDNSSETLASPADKQQAPRFGRAPTRRPPSSPWMPLPMLFAAISTKVPRSDMDVIHGHYEEFKGRKISRPEFVRRLRQIVGDKLLVSTVMRLQPKAVPPMADAELLPRNAPGTGEPHLQK >SECCE2Rv1G0127050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:850567473:850570006:1 gene:SECCE2Rv1G0127050 transcript:SECCE2Rv1G0127050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSAAVLLAAIVALLLVSAVRGGDDDCGSPESAAQDRARANPLKITAFFSILVCGALGCSLPVLGRRVPALRPEGDVFFLVKAFAAGVILATGFIHILPDAFENLKSPCLLLSGGPWQDFPFAGLGAMVGAIGTLVVDTVATGYFTRAHLNKDEANGAISSNSAVVDEEKRAAAEEARHHDGEELEVHVHTHATHGHAHGSAALVAAVGGAEDEKDTIRHRVISQVLELGIVVHSVIIGISLGASQDPKTIKPLVVALSFHQMFEGMGLGGCIVQAKFKARSIVIMILFFCLTTPVGILIGFGISRVYNENSPTALVVEGSLNSVAAGILIYMALVDLLAEDFMNPKVQSRGKLQLGINISMLVGAGLMSMLAKWA >SECCE6Rv1G0409990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:593150734:593154852:-1 gene:SECCE6Rv1G0409990 transcript:SECCE6Rv1G0409990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEITQGSSRRAFGDLTNVLGKRPAFCDLEKNAGGAKISRVEKAVDPRKESDEKAKANAGASGNLVEIMVDGIGKEDFARTSIFRGAKVQHMAAQAAGLISKDDDDVRNRCISLDSSGLNDKEESSLESEGGCEGEDDEDTDSELLPYASEASKIVINDKTNEGECLTQEEMVVSPGNHNPQSSFDATARDDMPCTNVQLPPMGVGSMEKSCATKSCTCSFCLKAAFMWTDLHYQDARSRLGALKKSIKFARSLEAKRQGNEFNADRYSKRAAEMGFELSQQQRSLFLHTENALVRESAQLHSAVVKLKDLRDNCKKDLKI >SECCE4Rv1G0295470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898512249:898514669:-1 gene:SECCE4Rv1G0295470 transcript:SECCE4Rv1G0295470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIELLKPVAAMIAFDTLFAVMTVLVKKALDGGLNPVVLIALRQLVGAAFLAPIAYFKERNARPRFTKEIFAYLFMSALLGALFAQYLFFLGLSYTTATLAATVSNMTPIFTFLIAVPLRSETVDVRSKAGLAKIAGTLASVSGAILLSLYKGAALTHTASSTQEHGENGTTGNNGSKRRWLLGSALLLLNCITFSLWMLLQGKLTKKYPAVISSTAFMALISSLQAGALAVTTQRHLSVWLLRGSIQIATVLFAGVGVSGIGYVLMTWCIEKRGPVFTAGFLPLIQIIAGVLDLLVLHEQLYVGSVVGAALVIGGLYLLLWGKSKEASSAAILSDKGLEEDKEIQENL >SECCE5Rv1G0299470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:17391365:17393953:-1 gene:SECCE5Rv1G0299470 transcript:SECCE5Rv1G0299470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEIFGSGLRLTRNNDRVLRLLFGDRSGYASFVMASNIAVRGGNNWGRPNWLCVLPCASNYFCTSIYATKGKQSAITKGVDRSEMKGRTKQVLVVHASYPVSEANILKKTTHRDGSIYKINYGLLKLWHLTRRDETQLEPMMFSNPKNCFPDRDRCIVHSATAMMQIFSLKLEKASTNIGLVQLYGYIAVRDRYDSLLNYVFNRSRDDPIIVGQGSLIEMTGPKRGITMVAPALVEFDMRIKKGKQEDDLQLIDGAMEYHDLVTPEYPFTHRINGDCGAVDITLALVRCSFEATIDVLISKVQCGFDLSLSSCVVLMNGLHEIQLFRGSVVESCGLRRYVIAVKKDTLMHLKFKVGQNSCKIDLDHHCSFKAKKHGYDYQQIMLELASISVKVTWSNLQR >SECCE3Rv1G0161980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:124711454:124721042:1 gene:SECCE3Rv1G0161980 transcript:SECCE3Rv1G0161980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKGFIAKSDNAGTKKSPLQIQMLESFYSEVQYPKPEDVTEYAASVGLTHNQVRIWFKERRRKDRRHMEAAAVHMEIQASARSNWPRCSSSRSSNSSQSSMQDTTGHHSYQDESVLKKRKIMSPTAQRSTLPFENNDPVRKHGKGKGLMTVWHAMYSQTAEIQDCSSFIDESGCLRSLRPFEDCGGKLAQKQTLPRKKVNKRSRPPPSKRKVPCGRVTDLKEHPPVECHLSVDESESSELRTEQATLVDDEELELSELQAGPNPLRCSAHLSSTGRHGCPLCKDLLARFPPPSVRMKQPFPTKPWESSPEMVKKLFQVVRFVYTHFGSMDVHPFTFDEFAQAFHDKDSSLLGKVHVSLLKLLMLNTERGSGSVFVPRSSKDSRFLSFLNFVREQEFDVNFWIKSLNSLTWVEILRQVLVASGFGSDHHMLNRNFCNKEKNQMVKYGLRPRTLKGELFALLSKKGSGGLKVAELAKSPQIIGLNLSGASEVEQLIFSTLSSDITLFEKIAPSAYRLRVDPRIKGKEDPRSDTEDSGTVDDDGDASSSGDESDGSQESYPEHESRIARWRQKNVHKNMNKCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALIDVVSGAGSVPRLEEPQSVLSNIQRAQSHASGGKIKKCTRTIYQSSDEYLNRPGSSHSFDSSMQGQPGSLRSQDYIADSGANESPTGFAHQPQIVLLGSDRRYNNYWLFLGPCRVDDPGHRRVYFESSEDGHWEMIDSPQDLLSLLSVLDIRGTREAHLLASMRKRQSCLFEGMKKHLEDGCVVALTASSDSSRSETSSGNRYSPKPSSGDGASPLSDIDSASVPTYLAGNLQNASSAIGIEVGRRSDEKMLKWERLQVLDNWIWTSFYSSLTAVKCGKRSFKESLVHCESCHDLYWRDEKHCRICHSTFEVGFDLEERYAIHVATCRETEDLYDVPNHKVLPSQLQALKAAIHAIEACMPAAAFAGLWMKSSHNLWVKRLRRTSSLPELLQVLVDFVGAIDEDWLYQSSSAVSLSSYLDDIAVYFQTMPQTTSAVALWVVKLDAVIAPHLAQADSGRGLGKGSMQTRAQAC >SECCE2Rv1G0103900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:596111657:596113445:-1 gene:SECCE2Rv1G0103900 transcript:SECCE2Rv1G0103900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGFGPGSPKSFRYPRADYDLESGIPRKGRKPKASHLDAPAPLSSALMKIRFFYEAHPIAVALILLSFGLSVLILLSVYETRFRTMRSGDLEVGAHPLPGLRNLVMVAGHSIYTSASCGKTDREDSWFLEPYQKHPGQAATFLAHIKEGVEVAARDQDALLLFSGGETRKDAGPRSEAQSYWAIAESEGWFGNDDSVRNRALTEEHARDSFENLLFSVCRFRELTGTYPQNITVVSYDFKEERFAQLHRSALGFPEERFFFSGTPATATAKEAALKGEASVRSQFQEDPYGCAGSLRVKRLKRDPFHRTIPYPNGCPELKGLFSYCGMVPYSGNLPWTQ >SECCE4Rv1G0227600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:124944710:124951217:1 gene:SECCE4Rv1G0227600 transcript:SECCE4Rv1G0227600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGNHMLLEEPVRLASVLAPAKPKVFPSLTKIVGTLGPNSHSVEVIEECLTAGMSVARFDFSWKDATYHQETLDNLRKAAQNVKKLCPIMLDTVGPEIQVHNSTGGAIELIGGNHVTITPDLSKAPSADILPIKFGDLAKVVKKGDTLFIGQYLFTGSETTSLWLEVTETSGEDVICYVKNTATLSGPIFTLHVSQVHISMPTLSEYDKQIISTWGLNNSVDIISLSHTRSSEDVRELRAFLQSHDLQDTQIYAKIENAEGLEHFDEILQEADGIIISRGDLGIDLPPERVFMSQKTGIHKCNMAGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGTDAILLGAETLRGQYPVDAVRTVGRICAEAETVYNQSLHFKKVVRHVGEPMAHEESVASSAVRSAMKVKAAAIVVFTFSGRAARLIAKYRAPMPVLAVVFPREGSDPSKWRSYGTTQARQCFSVRGVYPLMGSTDEAETGGLTKEEYGIKLALNYGRSVGIIKPYDRVIIFEKIGDSSVVKIIECDDSSER >SECCE4Rv1G0245870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:537732858:537769410:1 gene:SECCE4Rv1G0245870 transcript:SECCE4Rv1G0245870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSEGGGPWLRSVNNFLGRAVWEFDPDYGTPEERAEVERVRREFTDRRFEKKESQDLLMRMQYAKEKHLQVDLPAIKLADSAQVTEEALLTSLRRCLSQHSALQAHDGHWAGDFSGILFIMPILIFALHVTGSLNTVLSTEHRREICRYIYNHQNEDGGWGTQVLGPSTMFGSCLNYVTLRLLGEVENDALTKGRAWILLRGSATAIPQWGKIWLSVVGLYEWSGNNSIIPELWLVPHFLPIHPGRFWCFCRLVYMPMSYLYGKKFVGPITPTIMAIREELYSVSYNEIDWNKARDTCAKEDLRYPRSLLQNVIWTCLNKFVEPVLNCWPINKLRDTALKNLMKHIHYEDESTKYIGVCPINKALDMICCWIEDPNSDALKLHLPRIHDYLWLAEDGMKAQVYDGCQSWELAFIVQAYCSTDLVNEFGPTLRKAHEFIKSSQVLENHPDSETYYRHRSKGSWTLSTADNGWSVSDCTAEALKALLLLSKMSPNLVGDPIKGERLHDAVDCLLSFMNKDGTFSTYECKRTTSLLEVLNPSESFLNIIVDYPSVECTSSVLQALIMFKELYPGYRKEEIGKCVKNASKFIEDKQRKDGSWFGTWGICFTYGTFFGVKGLIASGRTFENSSSIRKACIFLLSKQLSTGGWGESYLSSETEAYVEATSPHAVNTAWAMLALIYAGQVERDPTPLYHAAKELINMQLDTGEFPQQEHVGCFNCSMYFNYGNYRNLYPIWALGEFRRRLLAKN >SECCE3Rv1G0163240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:146158583:146159532:1 gene:SECCE3Rv1G0163240 transcript:SECCE3Rv1G0163240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDNNGVHNEFDLFTTSTHNHLFQNNHSDEMDNLLGATPIVGNTDDANIVVADYDNNDGETHSDQRMATRRVNYHCLRSEQILQLEAVFRDCPYPDEKLRKDLSESLGISAQQVKFWFQNKRTFSKGKMQRWETQNRWVENERLKTERQAIMLAMQNKTCLKCRGVMVQTQDTTEWQRLYAENMRLKEELLHATTYLKEGLRQNGMSLPWARDRPYRL >SECCEUnv1G0552430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:249572371:249572643:-1 gene:SECCEUnv1G0552430 transcript:SECCEUnv1G0552430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGRKIFGFMVKEEKEENRGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRRRLLAYLAKKNRVRYKKLIGQLNIREQ >SECCE2Rv1G0096970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:450384580:450385068:1 gene:SECCE2Rv1G0096970 transcript:SECCE2Rv1G0096970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMGSNGGGKLASWLWRAPRRALGRARDLYVRSLTSCAGQFPSDASFGYPSFAAAPAPCSRAAGYHSFSASPSSSSRSYDGDGDLRELMRAASERRAAPERLPAVPRSRSVAMAKIDEDGPCEFGLALAGAGVAFARSRSCAVSTGRTSGQRGRAPPTPMA >SECCE4Rv1G0296400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:902919447:902924226:1 gene:SECCE4Rv1G0296400 transcript:SECCE4Rv1G0296400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPTPRSLLLLLLLATPFLLHPHRSSASIPTSNPDIDLEYLIKNAGLDDSTPSTPEDGAPDFPGLDADYEEDEEDLFGDGDDGPEDSSHTSAVDEAHVLLLTAANFTSVLAARRHVMVEFYAPWCGHCRALAPHYAAAAAALAEQGGLDVALAKVDATEDHDLAQAHDVQGYPTLLFFIDGVPRDYAGERTKDAIVAWIGKKLGPAVQNLTAVDEAEKIVTGDDLAVLAFLDHLSGAHSDELAAASRLEDTISFYQTTSPDVAKLFHIDPEAKRPSLILLKKEEEKLTVFDGEFRASAIAEFVSANKIPLITALTQETAPAIFDNPIKKQILLFAVAKESSKFLPIFKEVAKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDAKKFFFSGEISLDTIKEFAQDFLEDKLTPSYKSDPVPESNDEDVKVVVGKSLDQIVLDESKDVLLEIYAPWCGHCQSLEPIYNKLGKYLRGIDSLVIAKMDGTNNEHPRAKPDGFPTILFYPAGKKSFEPITFEGDRAVVEMYKFLKKHAAIPFKLKRPDPSAAQTNSADGPGSTTEGEKSSGSNPNDEL >SECCEUnv1G0532080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19313281:19318731:1 gene:SECCEUnv1G0532080 transcript:SECCEUnv1G0532080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRGGEGGAACSEASGVAGGASSPPPPPPPADLTELMKAQIAGHPRYPSLLSAYIECRKVGAPPEVAALLEEIGQPERRGGGAAAAGEIGVDPELDEFMEAYCRLLSRYKEELSRPLDEAASFLTTIRSQLTNLCGGGATATSPHSDEMVGSSEDEPCSGDADGSDAGMQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARLALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGGGSSSGTTLYFDTGTIGP >SECCE1Rv1G0042440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:580285553:580290358:1 gene:SECCE1Rv1G0042440 transcript:SECCE1Rv1G0042440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPARNAAASFKPLLPSPRSRLSTRPLTSGAAPSPSSSSPRRSVSASASSRRDLLLLVPSLAAASAVLRAPPAAAADDQAPPPPPAESPPPAPPPPTKEKEPEGDESAVSRVYDATVIGEPQAVGREAKGRVWDKLASARVVYLGEAELVPDPDDRVLELEIVTKLAARCADADKRLALALEAFPCDLQQQLDDFMSGRIDGSILKMYTSHWPAERWQEYEPLLNFCRDNGIKLVASGTPLQVKRTVQAEGIGGLSKAERKEYAPPAGSGFISGFRSGRSFIENITSTRDSPFGPNSYLSAQARVVDDYTMSQIVMKELNGRDPPGLLIVVAGASHLIYGSRGTGVPARVSKKLPKKGQVVVLLDPERQSIRREGQIPVADFLWYSAAKTCTRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLDKNPVMTELIHRFQGFRERLLADPKFLQRLAIEEAISISTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSFTDDDSGQSLGFVRGLLGSLPDNAFQKNTFGQNWNMNQRVAAVIVGGLKLAGVGFISSVGAGVSSDLLYAARGVLKPSVSVGAERKRAPVLKSAAVYSCFLGTSANLRYQVIAGLLEHRLGESLATQYNQPLLAGLLSFVARTANSYLGTQQWVDLARYTGIQKIQEQLPSDEVTTSPETSQSEGGRTDVQNPDDSSSSNSNADQSSDPTK >SECCE7Rv1G0503370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:710613389:710614806:-1 gene:SECCE7Rv1G0503370 transcript:SECCE7Rv1G0503370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFRQPRPAGDASQEGASSRRPALPFATGLLFASSPSTSGKNAWPSEADDMEKKRWDSMESWSMLLDTAMGASSGGEGSSRDSGRREEWMADLSHLFIGNKFAAGANSRIYRGIYKQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSPETILKLALDISRGMEYLHAQGVMHRDLKSQNLLLNDEMRVKVADFGTSCLETRCQATKGNKGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAAAEKNLRPPLSSSCPPLLNNLIKRCWSANPARRPEFSYIVSVLDKYDHCVKDGTPMMVHQELRIWSSFAKIFRMGCIANNLSIPVHS >SECCE3Rv1G0165950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:180980205:180980615:1 gene:SECCE3Rv1G0165950 transcript:SECCE3Rv1G0165950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE5Rv1G0336870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:587135311:587136707:1 gene:SECCE5Rv1G0336870 transcript:SECCE5Rv1G0336870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQDVLLPQDVLADVLRRIAPRDLAISRCVCKAWCSIIDARHLLPADLLPHWVGGIIINFNDLMLSEFFSRPSTGPSVSGNLNYLPSTSVVKDHCNGLLLLDGYVVNPATRQWAELPPCPSLGLESFEGEHLVFDPTISPHYEVLVIPISPKLDHDVKLDPAVEELEWPASLCMLHVFSSRTKQWEERRFVREGEAAGTIADMRSARQYYQNRAVYWRGSLYVHLPSHFVMRISLSNNKYQVIKPPKGRSTLFGEDMLYIGKSEKGVYCAPVDNPVRVWILDESCGQLEWVLRHNISLHVDTDESSRPWTLQDVNYYEGYGEDAKDEAIAPQKFDWDSDSDNLIDPKSMADDESPCYTGILGFHPFKEVVFLCVGLQRGLAYHLDSSKVQELGNIFPKCYGTSIGIQPFIKESFIYTPCWMEELP >SECCE6Rv1G0391050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:204670305:204673606:1 gene:SECCE6Rv1G0391050 transcript:SECCE6Rv1G0391050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MPRRLGTTYSGRIAAATPSPSGPSITVTVSPTPPPTPLDPRGYPLPRRHLICAAAGILRSPASPTPLVDLADYLRDRRLTLTASEASEIVKALSPDPALALAFFRFAPASLPGFRHDAFSYNRILALLFRTGADPSEALRIVSEMERDGVAGNISTVNLLVGMGGGGVEVTKCLELAMKWGLRLNGYTYKCILQAHLRSREVSKGFEVYEEMRRKGYRLDIFAYNMLLDALAKSGMVDQAYQVFEDMKQKYCEPDAYTYTILIRMSGRAGKTSKFLSFFDEMVSKGCALNLIAYNTLIEALGKNKMVDKVIFVLSKMIEGDCQPNQFTYSITLDILATEGQLHRLDEVLDICARYMNKSIYSYLVKSLSKSGHASEAHNVFCQMWNSYETGDMDAFVSMLEVLCNSGKTLEAIDLLNMMPEKGVATDVSMYNMVFSALGKLKQVSFITSLFEKMKANGIAPDLFTYNIMISSYGRVGLVDKASGLFEEMNAGSCKPDVITYNSLINCLGKNGDLDEAHMLFKEMQEKGYDPDVFTYSILIECFGKSNKVDMSCSLFADMIAEGCIPNVVTYNILLDCLERHGKTAEAHKHYETMKPQGLTPDSITYSILERLESRSQRTIRIRKPARATSWVVSPLR >SECCE2Rv1G0107980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:656614902:656615936:-1 gene:SECCE2Rv1G0107980 transcript:SECCE2Rv1G0107980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLLSLAAVSAAVTAAMCYVRFAAGRLRPGLPRLAAFLPVLAVLPFLPFAFRAIHPRAISGFFLAWLSEFKLLRLAAGQGPLHPSLPFPAFVAVASGPVRLRGDGPDKAQGTSSGLDLASSAVMAALLAVIVSLYRYQEVMNQYALLTLYAFHVYLALELVLASAAAAARALLGMDLEPQFDRPYLSASLRDFWGRRWNLSVPAVLRPCVYRPVRARLGQAAGVLATFLVSGLVHELMFYYITLRPPTGEATAFFALHGACAVAEAWWARHEAWWRPPRLLATPLTLAFVLVTAFWLFFPPITRPGADKLVIAECEAAVAFVRDAGAWAAGSARSVWTGRS >SECCE5Rv1G0363630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:794924628:794925764:1 gene:SECCE5Rv1G0363630 transcript:SECCE5Rv1G0363630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPAVRRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIAEVDLYRFDPWALPDRALFGTREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPRGGRTMGIKKALVFYAGKAPKGVKTDWIMHEYRLADAGRAAASKKGSLRLDDWVLCRLYNKKNEWEKMQLQQQGGEETTMMEPKEENTASDMVVTSHSHSQSQSHSHSWGEARTPESEIVDNDPSLFQQAAAFQTQSPAAAAAHQEMMATLMVPKKEAADEAGRNDLFVDLSYDDIQSMYNGLDMMPPGDDLLYSSLFASPRVRGSQPGAGGMPAPF >SECCE2Rv1G0086950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:217490934:217491944:-1 gene:SECCE2Rv1G0086950 transcript:SECCE2Rv1G0086950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASCPALGLPERIGYVQCKFCTTILLVSVPCSGLLKVVAVQCGRCAGILSVSVASPSPPPPPSVELPLQELGVDPPPREWSDDSSAGGDWEGEVAEKSATAVNKRRQTEPEEIKRIKAMEPDITHKEAFSTAAKNWAHLPRIQHKGD >SECCE1Rv1G0056850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689550357:689552077:-1 gene:SECCE1Rv1G0056850 transcript:SECCE1Rv1G0056850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAYVDVYLGIALLSLLIVLACRCRRNWGARGDGGHGLRLPPGPWQLPVIGSLHHLVLAGQLPHRAMRDLARRHGPAMLLRLGEVITVVVSSREGAREVMKTHDKAFAMRPVSATMRVLTSGGRDIVFAPYGDYWRQLRKIAVVELFTARRVRSFRAIREEEVAAALRGVGEAARPVEMRALLAALVADSTVRAVIGDRCRVRDTLLRELDRSMQLAAGFNPADLWPSWRLAARLSGAKECHDTVHAILDRIVKEHLERMDGGEDLLDVLLRIRKEGGLRFPLDMDAIKSVIMDILGAGSETAATTLEWAIAELVKNPRAMHKATAEVRRAFHARGTVAEQDLRELTYLRLVIWETLRLHPPLPLLFRECREPCQVLGYDVPRGTQVLVNAWALGRDERYWPHAPEEFLPERFEGEAAVDFGGADFAFLPFGAGRRMCPGMAFGLASVELPLASLLFHFDWRRPGLDSTKLDMTEAFGLTARRKDQLLLQPVLRVPLLRV >SECCE3Rv1G0209630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939674899:939678530:1 gene:SECCE3Rv1G0209630 transcript:SECCE3Rv1G0209630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGAGAGAGPGEETKMGKDRDVVRLERESVIPVMKPKLIMKLAYLIEHENDREEFLKLCKRVEYTIRAWYHLQFDDMMELYALFDPVQGAKKLQQQNFSSEEIDTLEQNFLTYFFQVMQKSNFNILSDDEVELAHSGQYLLNLPIKVDEAKLDNKLLSKYFKEHHHDNLPEFCDKYVIFRRGIGLDRTSDFFFMEKVDMVITRTWRWLLQKTRLQRLFLRKKKVKPVIDSKKNDDLVGEGDDKELYVERIRLETMNLSLRNLIGKVTIQEPTFEEVIVLYRKKSPKGQDDRAIQVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFVVSVVIGLVTLVGSLEMPKADFWVVIAILSALAGYCAKIYFSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEIKEVIIAYYILMENGKATVEDLDLQCEELIQEEFGLQCNFEVMDALQKLERFGIVTRDSIGRIVCVPLKRSNEIIGATTEELVMKARQS >SECCE6Rv1G0415030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:638575530:638576639:1 gene:SECCE6Rv1G0415030 transcript:SECCE6Rv1G0415030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKALIFGILGCVCFCSSVLAARELSDGLSMVAKHESWMVQYGRVYKDHAEKAQRFRVFNANVGFIESFNAENHKFYLGVNQFADLTNEEFKATKANKGYKPSLERIPTRFRYENVSFDALPATVDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKLKTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESNYPYTAADDKCKSGTNGAATIKSYEDVPANNEGALMQAVASQPVSVAVDGGDMTFQFYKGGVMTGSCGTDLDHGIAAIGYGKTSDGTKYWLLKNSWGTTWGENGYLRMEKDIPDKKGMCGLAMEPSYPTA >SECCE1Rv1G0057440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693486583:693487836:1 gene:SECCE1Rv1G0057440 transcript:SECCE1Rv1G0057440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENNPHWKPPSSWSALPLDLAGMVLRLLPAYADRARFAAVCPQWRAASRQPLMPPPLPLLALPDGTFYSLPYDEPFQFPGCGFAGYKSACGSWLVFPRDDGCFLVNPFSRATVTLPALSSVRLRPPNAVAKWSGVGKAKCAEPFCTWMHINDSRELHIIKLLLCSPNLVAALVGVEYTSQILMCQPGALSWSVRACDECKDFEDMAFYQGKLYAITDDENLLVVNVSSDPSTGDPQVSRVGRVIKAKGDRCYKGNLSVYHVLFQANSVPVMPVKKVYLVESCGALLMVRRKTWCQPPRPGVTCKIVAGKSEFEVFKADFEHSRWIKVSTVGDDQVLFLGRRCSRALSASRYGLLGNRIFFLDDDDEHRVDYFYEEEDTSCSSYDMRLGVVSSPHPMISWKRRKEMRLAAWLFPQD >SECCE5Rv1G0352930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:712741646:712744523:1 gene:SECCE5Rv1G0352930 transcript:SECCE5Rv1G0352930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKTTFVLLMFCLAVLGSADHLKYKDPKQPIGVRIKDLLGRMTLAEKIGQMTQIERENATAEAMSKYFIGSVLSGGGSVPSPQASAAAWQSMVNEMQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPNLIKRIGEATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTTLISGLQGDVPAGSEGRPYVGGSKKVAACAKHYVGDGGTFMGINENNTIIDAHGLMTIHMPAYYNSIIRGVSTVMTSYSSWNGKKMHANHYLVTDFLKNKLKFRGFVISDWQGIDRITSPPGVNYSYSVEAGVGAGIDMIMVPYAYTEFIDDLTYQVKNNIIPMSRIDDAVYRILRVKFTMGLFESPYADPSLVGELGKQEHRDLAREAVRKSLVLLKNGKSASAPLLPLPKKAGKILVAGSHADDLGLQCGGWTITWQGQTGNDKTAGTTILSAIKSTVDPSTEVVFSENPDSAAVDSGKYDYAIVVVGEPPYAETFGDNLNLTIPAPGPSVIQSVCKSVKCVVVLISGRPLVVEPYIGAMDAFVAAWLPGSEGQGVTDALFGDYGFSGKLARTWFKSVDQLPMNVGDKHYDPLFPFGFGLTTEANK >SECCE1Rv1G0040680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:564898993:564901166:1 gene:SECCE1Rv1G0040680 transcript:SECCE1Rv1G0040680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLVYIESPSASIAITKQSPTRPQERKQLATMAVPSSPSLLLAAALLLCAATTLCAAQAVTTRKYQFDVQMTSVTRLCGTKSIATVNGQFPGPTLFAREGDHVEVNVVNNSPYNMSIHWHGVRQLLSGWYDGPSYVTQCPIQPGQSYVYRFQIVGQRGTLWWHAHISWLRATVHGPIVILPPAGVPYPFPPPEAEVPLMFGEWWRNDTEAVIAQALRTGGGPNVSDAYTMNGLPGPLYACSAKDTFRLKVKPGKTYMLRLINAALNDELFFAVANHTLTVVDVDALYVKPFAVETLIIAPGQTSNVLLTAKPAYPGARYYMLARPYTNTQGTYDNTTVAGILEYEHDAATGNVKNLPIYRPTLPQINDTSAVSNYTTKLRSLASAAYPASVPQVVDREFLFTVGLGTHPCAPGSPVNGSTCQGPNGTSRFAASINNVSFVLPTTALLQSHYTGMSKGVYASNFPFAPPHPFNYTGTPPNNTNVMTGTKALVLPFGTAVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNYDPAKDPAKFNLVDPVERNTVGVPAGGWVAIRFRADNPGVWFMHCHLEVHMSWGLKMAWLVQDGSLPNQKLPPPPADLPKC >SECCE3Rv1G0213260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:960353358:960357330:-1 gene:SECCE3Rv1G0213260 transcript:SECCE3Rv1G0213260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPPCPPSPLARVRLDDVAPHDGAAGPGYARAVGALAASLARRGAAVLELPAADAAVLRCALESARAFFRGRHAAYLYRAGRTLEDGELSPACMADAFRCLGKAARAALCAIARHLRLRTDVFNQLLDDTPLPVNEVSSSELLAAYSHEQLQSVQTLMGCPGRSSMPQVDRGFVTLVASDYPGIEVCDPNGHWYLADGVSGPNNLLLLTGRALSHVTAGLCPISQYRVTNENRASLTFRLVPHANAILDCSPILAAGHCIRQVYQPIPASQFMDDSYAEEHAVSSHLEEPSESQGNFVSDPSLRSVLSDPLSGAFLEDAMVLQCGHSFGGVMLKKVIEMARCTICNGEVDPSSLFPNLALRAVATVVKMEDDRRLFHNAALRKRRKDVTEYTDVPKRIGSSKVNSELTLDAESPVAFKGVQYPFGVGERVLIMGNKRTPEKFVGKEAVITSQCLNGWYLVKALDSGESTRLQYRSLRKVPELQLQMQARLQPLAFLGGRQ >SECCE6Rv1G0437100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782379520:782380584:1 gene:SECCE6Rv1G0437100 transcript:SECCE6Rv1G0437100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAFSMGVVAAAAVLVVLCAAVSAAAQPRPPLPKNSRMITPGRFGRRKQVLTCDEPKDGTSPCVATCDSRCPNECIVMCPGCKTYCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDQDFCVLSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFADHRLYMGAQKTTKWNNDVDRLELAFDGESINIAADIGAKWQSTVVPGLTVMRTTVTNGLSVQLKGVFDIMAKVVPITEKDSRVHNYGMTEDDSLAHLDIGFKFYDLTDDVHGVLGQTYRSNYINKLSVSASMPVMGGIASYISSDIFATDCKVARFGRSSGISMVTTAAN >SECCE3Rv1G0161890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:124084428:124088398:-1 gene:SECCE3Rv1G0161890 transcript:SECCE3Rv1G0161890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASMNMGRYVLLAGVGFAAPIVLGNGRAARFSDILKDFQEFLREKGVDSGAAEENAALALQLQMLAREVRHLSMASTVVHIDSGSKVMSAFMAPAAAAGVVGYAYMWWKGISFSSIMYVTKKNMANAVSSMTKHLEQVQNSLAAAKRHLTQRIQRLDDKLDKQKEMSGQIRDEVAGARIKLKDIGAEMENLKKLAFNMDGKLDSIQDKQDCQLAGVSYLLQFIEPNVAMLPNRLEGLQRPVVTRSMKQGELPGLEFGLRLLALEQSAKGAGLALPSLPVKSVC >SECCE3Rv1G0182990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:641182498:641186352:-1 gene:SECCE3Rv1G0182990 transcript:SECCE3Rv1G0182990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTVDFKLPHFFDYPPYFTLQPVRETREKQVQLWKELILDYCRSQKMYIISLEEDFPLFSNPKIERSLSYEAKEVFLAALVSEGRAEWIDKSHKKCLILWLRIQDWANYILDFVKENGLEVTTIEDIRSGIETHGTELAGIDRGVLMRALRLLEQKGKAVIFKGTSADDEGVKFSV >SECCE5Rv1G0336390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583878290:583878941:1 gene:SECCE5Rv1G0336390 transcript:SECCE5Rv1G0336390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQDQGKLVQVVAADVGLVAPPIRYVLREENRPATIAQQAKLVIPIVDLSRLAMPDDVEEAAKLRSALQSWGLFVVTGHGMPKEFLDEILEATRKFFHLPLEEKQKCGNVIDGVKFQNEGYGIDRIDSDEQVLDWCDRLWLQLQPEDERRLQFWPQNLRDLLHEYTLESGRVTMDVLKAMAKLLN >SECCE4Rv1G0244160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:510807179:510807606:1 gene:SECCE4Rv1G0244160 transcript:SECCE4Rv1G0244160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIHAMAILFVGWLAVTAQCRLEARRRSYLATGDSNTSRNNATMANPMSSLDDAKINLKFCGDYDCSFGTCYCCDNVKARPCFNTFDECKSHCPSCPPECPPESSIELHA >SECCE1Rv1G0008700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:48638879:48639463:-1 gene:SECCE1Rv1G0008700 transcript:SECCE1Rv1G0008700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRSAAGVALPPIRLAVERARQEALRRELDGCQLLAGIWCHGFTVAQLRSIRASLPSTARLLVAKNSDLAAAVEGTRWESLRPCARGMNAWLFVRSDEIPPALRPYRDFQKEWRLQLNDFTGAVYEGRLYGPDDFAQLEAMPTRVQSYQYLLGCLQMPAVSVLAALRARQEAMAQAEKPPAEEAAPAPAPEK >SECCE6Rv1G0447410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850545964:850553702:1 gene:SECCE6Rv1G0447410 transcript:SECCE6Rv1G0447410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEKKSVAIVGAGVSGLAACKHLLERGCRPVVFDAGDAVGGVWPNAMEGTRLQAPRHMYRYSDFPWPDSVTEMFPNQRQVADYLHAYARRFGVLDCVRLGHRVTSMEYVGVAEEEVAAWEEWAGCGEAFGSGDGEWRLTVADAEGHIEVHMADFVILCTGRFSNYPNIPKFPPGMGPEAFDGKVIHSMDYSKMGSEKAKEMIKDKCVTIVGYGNSALDIANECADVNGTEKPCTMVVRTKQWIIPNFYAWGINISYFYLTRFAELLIHKPGEGFLLSIIATVLTPLRLMISKFAESYYSIPMKKHDMVPDHSFFEGMVGCMLSTTPKDHYKNLEEGIIVIKKSKTFGFCKEGVLVEGESTLVKSDIVIFGTGFNGDQNIKDMFMSKYFNTIVAGSTSTTAPFYRECIHPKIPQLAVIGYSDNYANVYTSELRSKWLAYFMDGGFRLPSVEAMQRDVVECEKVMKRYSRDESRTPCTGLLPTWYNDRLCEDMGCNPRRKNGFFAELFEAYGPNDYNDLHPK >SECCE4Rv1G0279160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:812226020:812227552:-1 gene:SECCE4Rv1G0279160 transcript:SECCE4Rv1G0279160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDSNPMSFSAFPDDKAVFEPLNPEDVRAYLHKAVDFISDYYTNVESMPVLPNVKPGYLQDELTASPPTYSAPFDVTMKELRTSVIPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQLLHLPTTFMNRTNIGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSIGVSHMTRLVVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETNYGLDPAKLLELMQDDADAGLMPTYVCATVGTTSSNAVDPVGAVADISAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLYVRDAHRLSDSLETNPEYLKNDATESGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEDFVRADNRFEVVVPRNFALVCFRIKPSGSMTEEDADEANRQLMEKLNKTGKAYLAHTVVGGKFVLRFAVGSSLQEERHVRGAWDLIKKTTSNIMD >SECCE5Rv1G0313160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:254813081:254820065:-1 gene:SECCE5Rv1G0313160 transcript:SECCE5Rv1G0313160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAMPMPAAAAPGMPPSAGLSTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADTQPQTSVSRPQMAPPSASPGAGHYMSQVPMFPPRTPLTPQQMQEQQLQQQQAQMLPFAGQMIARPGAVNGMPQAPQVEPAYAAGGASSEPSGTESHRSAGADNDGGSGLADQS >SECCE4Rv1G0235230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:322874447:322877222:-1 gene:SECCE4Rv1G0235230 transcript:SECCE4Rv1G0235230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGGDALLSTLSHLQVRSRCPPQPRTMGSAHSSHSSISTAADEGDDDPSTASASEPSAPPPPAQAPAPPASASKVLEQEPEVLPCRAADSPLSPQPSVTGTPRLLGPNIKVWDPCHVLLPPPSPHQHLLQQGRTEALLEVVVVSHGECAFAMRPDLVGGRWPAVALTARGERQARALSVFLRSRGSQLAAAWTSPLDRSRATAELVCRELDFPEEQIQVSDALTEMSHGQWEGCPKSEIYTPEMVNLMDSTQPDFSAPSGESLRQVQFRMMEFLNRTVIRLPEKVAMGDTLSQQNEAKGLSRQSSTNSVQDGPSWDLLYRLNRHSLQRKKSGKSRLQFVTSVDNDTEDEFSVKDINQRHHHHKASLGSSRTSIAIFSHAIPIRCLLAGLLDCNPVMSQRICIDDSSVTVLEHSLRTGWRIKRLNDTGHLRLL >SECCEUnv1G0564570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:384820959:384821624:1 gene:SECCEUnv1G0564570 transcript:SECCEUnv1G0564570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPRLMLNAVSCMRNAQTVLRDMNVSVHDGTALVLTGANGSGKTTFLRMLAGFSRPSAGEILWNGHDVTSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGRSAPAIDLMGLGRLINDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDSEGVKLLEYIIAEHRNKGGIVIVATHLPIEIEDSMNLRLPQRFPRRKTLVDLVR >SECCE1Rv1G0048590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636444922:636446662:-1 gene:SECCE1Rv1G0048590 transcript:SECCE1Rv1G0048590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFVAADGKTGHRQFKGKITWYVWICGIIAATCGLMFGYDIGISGGVTAMDDFLVRFFPTVYARKHRAKENNYCKFDDQRLQLFTSSLYLAALVTSLGASMVCTRFGRKRTMQAASVFFLIGAGLCAGAVNIAMLIIGRISLGIGVGFGNQAAPLFLSEIAPANIRGALNILFQLNVTIGILVANIVNYYTSNIHPVGWRYSLGGAGVPAAILFLGSLVITETPTSLVERGHRVAGRAMLERIRGTKEVDEEFDEINSACETAAALCAEEKPFRRLRRRESRPPLAIAILMQVFQQFTGINAIMFYAPVLFQTMGFESNASLLSAVVTGGVNVLSTLVSIILVDKIGRRKLLLEACVQMLIAQVTVGGIMWVQVKDSNNPSHSWALAIVVLICVYVSSFAWSWGPMGWLIPSETFPLATRTAGFSCAVSANMFFTFVIAQAFLSMMCTMRAFIFFFFAICIVIMGVFVLTLLPETKGVPIDEMVDRVWRRHWFWKRFFGDADEAKINNC >SECCE7Rv1G0468260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95765429:95765650:1 gene:SECCE7Rv1G0468260 transcript:SECCE7Rv1G0468260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVVVAVLLMQFCNVVMAARLLEGDGAWLHGGVGAAGALIMQILPGGSPGAGAPNGCTNNPKHPPGGKCHG >SECCE5Rv1G0373760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861096625:861098074:-1 gene:SECCE5Rv1G0373760 transcript:SECCE5Rv1G0373760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMKFCRECNNILYPKEEKERKLLLFACRNCEHQEVAGDNCVYRNIVHHEAGERTQILQDVASDPTLPRTKDVRCAACGHGEAVFFQATARGEEGMTLFFVCCNPSCGNRWRE >SECCE3Rv1G0203090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:880291925:880293529:-1 gene:SECCE3Rv1G0203090 transcript:SECCE3Rv1G0203090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSRARALLLLRSSVPRSPPPQQNPLRSLTRAPPPPPRLLSRFLSSSSSPEALPDTPSSAAEALPDDPFAFASGAVSGSADPTEADEEVARVRAVVESTPEDQIPTAIADMVVDFTEPLLAATLLSAENCSGKKLLLLFKSAGKNNPDVKSLANLDILASKVAGSADIDEMDAYMLWDLVKEMGSVPGSLSTPLLNKVLAIFGKLEKSKAALEVLDKFSEFGCTPDGDSYYLAIQAAGKKSMVGAAWGVCEKMISSGCFPDGKKTGEIVTFFCQGKKVTEAHSVYLAAKEKKVQIPTSSLDFLVGALAKNDETISTALELLDEYKGESLKHAGKSFDAVIHSLCRMKNVKDAKKLLMRMVDLGPAPASAVFNFVITGLSKEGEMEDAKGLIRVMESRGLRPDVYTYSEIMSGYAKGGMIDEAHSLLCEAKKIHPKPSRVTYHILIRGYCKMEEFEKALECLKEMKEDGLQPNMDEYNELIQSLRLKSMDWRTAEKLLEEMDGSGLCLKGITRSLIAAVKESKMGEASKDSQEA >SECCE3Rv1G0196330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:808350539:808352229:-1 gene:SECCE3Rv1G0196330 transcript:SECCE3Rv1G0196330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKPACSVPANSADVAKPPAAPEQQLLEVAEEEVVIDFQPNSKCRVDLRLRSLHPTLPVAFKVQTSSPLKFLVSPPRGALQPLSSATIRVVLRPQPHAPPSFPRSRADRFLVLSSLSAAHLDSAASVPSGAGAIRLRVFFGGPYLLRLAADAGDSAAVRVILRRQPHLLPVLDPEAALPDAEQWPQLHAAAARGDCGEVRRLGQEEALAARDKDGRTALHVAAAAGEAEAVAELVDMGADSAATDARGRTPLDVAREKGYKEVVDVLQRWELVMTAARRGDLRSLEFLLSKRTGLRGRDQYGLTALHVAAIKGHCDAIALLAGSGCMDVECEDVEGHRPLHLAVEGGCADAVDLLLDMGADVHARTKRGATPLQMADTMGYDDISQLLRGRGADEAAAAAGADAQLCIASSSSSSISCA >SECCE3Rv1G0162410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:130454558:130455283:-1 gene:SECCE3Rv1G0162410 transcript:SECCE3Rv1G0162410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAAAPEHIEAMEQIEGEEQAAGAVAAADDVDVPTDAMEQVGGDESAAVAATDDDAAEPMEQGEGEAAAEIDAEEEAGASAEAHMEASEQAEEEEREEVHAVAEEEEAEAQPLQTVLPLGRVKRIMRVDSEIKKVTAEASLLIAAATELFLGSLAAGAHTAASQGGRRTVRAAHVRAAVRAHRPTAEFLLDCLPAAAEAAPRVARSGSDGAAAVAEAAVPKPLPRGTRRIDGFFQKVT >SECCE7Rv1G0507640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:763883356:763884056:-1 gene:SECCE7Rv1G0507640 transcript:SECCE7Rv1G0507640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVYYSTWGHVATLAEEIKKGADSVPGVEATIWRVPETLPEDVLAKMHAAPAREDHPVITASQLAEADGVLFGTPTRFGMMAAQMKAFFDSTGGLWKEQSLAGKPAGIFFATATQGGGQESTALTAVTQLAHHGMLFVPVGSTHGAGMLIMDEVKGGSAYGAGTFAGADGSRVPTGPELALAEHQGKYFAGIAKKLKSV >SECCE1Rv1G0010000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:59484076:59486595:1 gene:SECCE1Rv1G0010000 transcript:SECCE1Rv1G0010000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRQSSAAASLLLPPVAALLFLWMFGGGHVMAHTEIRNMTALQKHVSFFDRNKDGIITPSETFEGSVAIGFNVTYAREFATLVHTANGPITSPADAPLPHLSIYIENMQRGMHGSDTGAFDVKGRFVPQKFEEIFIKHAKTRPDGLTYLEVEDMILANRDPLDPASWEGPHIEWGGIYNLASDNDGFLHKDDVRGIYDGSVFVKLEEKRAFSHHSAM >SECCE4Rv1G0286720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850267857:850268329:-1 gene:SECCE4Rv1G0286720 transcript:SECCE4Rv1G0286720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRPRAAAIAAALCVLLLLVLLPGPQAAAQSQSQSEYCECFDGCYLSCRNDHHSPRWACIPSCQDACTIFPNQAGDGAAPCDCEARICESATAPADAPDAAVDN >SECCEUnv1G0533540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:31074165:31074434:1 gene:SECCEUnv1G0533540 transcript:SECCEUnv1G0533540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGGGLIWATAEDLARNRPVVLSMYRQILRALNSPALPLGHAARLAKKAECRAIFIFGAEERSLHNIQDLLDAARHTLGLLNRGRLP >SECCEUnv1G0567240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:413040217:413040603:1 gene:SECCEUnv1G0567240 transcript:SECCEUnv1G0567240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRWKMVRTRFAKLADALHVFNDMLEGDCINEFEKAREQCDRDLQHRKGVADRKPGDVFPVSVESCAKATAALRKCMQSQNVLAKHIVAMDLGVEEDERRRLEPDMEVEEDGRWRWWTGMKKSEED >SECCE1Rv1G0036310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:508408258:508421608:-1 gene:SECCE1Rv1G0036310 transcript:SECCE1Rv1G0036310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIVRRRKYLLDHVNAPILSSSSSSFRHGTFGFKPEPRIAQQFLEQSLGDSKSEKEKEQYSVNLTNGGLLGPDNGILRRPAHVISYYGYGIGRNEFTLPFGARGLLQSVRKASTATAGQPKLDIEEQGDDQNQNKRKKEASPEECDQAVEGLSTAKAKAKAKQVQDSLKADQSIVKKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFISTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPVAVFIVVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGETKQTAEDLDEFLNKVRKGERVSNDEILSFAKLFNDELTLDNMSRARLVNMCKYMGIRPFGTDHYLRFMLRKKLRDIKNDDKMIQAEGVDSLSEEELRQACRDRGHLGLRSTEEMRQQLRDWLDLSLNHAVPSSLLILSRAFTLAGRMKPEDAVVATLSSLPDEVVDTIGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKREKEEKAKQKKEEKANFTEQEAAEEDLALKEMTEPTAREEEELIEAKQHDKEQLCNISRALAVLASASSVSKERQEFLSLVNKEIKLYNSMLEREDTEGAEEAKKAYMAAREESEDTTEVASEGKVSSALIDKVDAMLQELEKEIDDVDAQIGNRWQLLDRDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISKLSKDKEGKILVEDIVKLASQAEENNEDDEEEARH >SECCEUnv1G0538260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72039730:72043188:-1 gene:SECCEUnv1G0538260 transcript:SECCEUnv1G0538260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASPSPPPPAAANQARPPDPPQPTGGGTAVAAPSSPPPAVAAAAAVGSPHPTFTEDQEKMVVASPSSPPPPAAGRPHPTYPEMIKQALTELGGSSGRIAIAAFILGRYKGFPAAHDELLRAHLRRLVSKGVVRGYGSESRACYVFPPSNTKSRGRPRKSSNRPLPLPAPPTNKQNLDLVLDTSGGLGSTLLPALPAPPANSDLSLVPHSGGSQAISDDDDYSTSSDEYDEDEDDYTSGDGIDDDDEYTPARASTHACMLRAKRFGGRGRPRKRKRRADRPLKLLLSANGGASSLTKKGSGRPRKKQKLGSSQAAFQNGTPGGGFSTPKKGRGRPRKDAQGLSTGVKRGRGRPRKDAQGLSTGVKRGRGRPRKDAQGLSTGVKRGRGRPRKESQGMSSGVKSGRGRPRKEPEEMSTGVPETDSSATASDEESDSSLTGSDTESDSPNVSRWLKEGFGNPTTIATPPAAVRPASRGLNIGSLRPTIERPPAAARSPRTLVYSAASTGMKKPRGRPRKKRSSKAVSAKTAGDAASTGMKKPRGRPRKERPPEAVSGEAGDAAAPAPPETGNAMLASETGDAAAPAPPEAGDAPPALETGDATPAPETGAPAHAPEAGDAAASAPETGDLTPASETAAPAPEAGDAPPAPDENTPAKTGDGASTGIKRVRGRPRKERPPAEMSTETGDAMPAPETGDAAPDENGAATPAEAGDAASTGFKGPRGRPRKERPPPSISAETGDATPAETGDATPAETETETGAATPAEAGNAASTGIKRPLGRPRKVKLGRPRKDKPEAAISGETGDDAAAAAIFAETGDATPGDSASAGTKRGRGRPRKERPAAANSAESGGGETETEAKKPKVASPAGDVGVKRGLGRPRKVKRGRPKKEKPASDDAMSTGTKAPLESPRSYALSAGTGSKIVLESPRTAEKPSAGDIVSMVMKRRRAMPRSDEPSEAGRVKACGGIFGYVRESSGKQEKEGGLASLSPVEGEKTQTKEGAVEGSESDNKKLGSCPPHVEAVGGGATESSSCDNNKLGSAAAENAEGVAKSDNNKNKVGGSAAGGVEGEGEEDKVGSEERCVVC >SECCE2Rv1G0101270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:559135678:559142379:1 gene:SECCE2Rv1G0101270 transcript:SECCE2Rv1G0101270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLVQSAVAPTAYRSSSTRFRVRTRATMLRSTPTRTLTLGGFQGLRQTNFLDTRSVIKRDFGSIVASQISRPRGSGSRMVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGISLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRICNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVEESIQILRGLRERYELHHKLRYTDDALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKKLRQITKDKNEAVRGQDFEKAGELRDEEMELKAQITAIIDKSKEMVKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALASYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDSDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKEIDLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSDGKVVVLNSGGGIAEPLEPALSA >SECCE3Rv1G0190550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:740621278:740628381:1 gene:SECCE3Rv1G0190550 transcript:SECCE3Rv1G0190550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRRLPQFQALLAQQAVRSAIPKPRPLANPHTRLLHCPSTPAAACRSPLSHSLWRSPGTLLPVSAAAVAAAARAGAKRWLTARAAGSLELFSLQRRRSPDLLSSSYTFLRRVPWERWLPSADGMVLMLMGANVAVFMLWHMASPDFMRDHFSISLDNMKSGRLHTLLTNAFSHYDSGHLFGNMMSLYFFGSSISSTFGPAFLLKLYIAGALVGSTFFLVDKAFIAPRRQVYAGWHVLRSNALGASAAVNAIMLLHIFLKPKGLIYLYMVIPVPAALVGAAWIGLDLWRVNKGPGQTSGASHLGGTLVAALVWARIRKGWI >SECCE1Rv1G0000720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2278518:2280878:1 gene:SECCE1Rv1G0000720 transcript:SECCE1Rv1G0000720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPGALHRSITLQSFTVFSLVAVFVADHHVQGGDDGCPLFSCGHLRDISYPFRRRGDHRECGVEEYELGCTGSKAMIHINTGTYYVTAINYTGSYFWVMDPNFITSSSCPLPLWNHLPYFGYSYGIDSVSPPGFRYLVTQSPHGACFANCSRAVTDNSAYKPIACQSASNSHVYVWVSNYTYCAVEDLEPYCGYLAEIPFGNEYSPDWRQLQNASYADITQFISKGFTVQFPVDTIYRKRSTSVMLRKAINLCLNNSISYFKEQISGTSIVNWTHAIFWSEVHFLECMTQSSNDDYYSLSPEILAIKIDYYYKTKLVLIIATLVSAIAIPQFFFVLCRFLLAPLAVWIFLAYKYMKTRIIIDAVEKFLRIQQMIGPTRYAYTDIIAITSHFRDKLGQGGYGTVYKGVLLPGGVHVAVKMLEGNSNCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPNGSLDKYIFSTEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRGDSFVPLSAMRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNMGSSSQAYYPSWVYDQLTQEEAGEISPVAANMHELEKKLCVVALWCIQMRSRDRPTMSEVIEILEAGADGLQMPSRPFFCDEGHIHVEDSYQFTSELTVVSEEELSAVSEEDDV >SECCE4Rv1G0229310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:146936384:146939227:1 gene:SECCE4Rv1G0229310 transcript:SECCE4Rv1G0229310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDHGSSSDGGRQAQCRRRGASVDVELRAAMALADMAGAGAAADHALPAQHLRHAAAPAAQAAMEHEDDELASTRLSLELGNVGIQSSPCSSSSSGAGQQPPQSSAAVTGYGLSKPRHMLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLASQNENMKKEKDMVLEQYLTLKETNKQLKQQVAKTTKKKPSSTPTITPMDIAPPAQSAEATPPQTPPQPSFLYSAPPTSMTVPYVWGSWPPCGPGVYEHGGNPAGMAGHAPPPLCVPPACAWYYPVVAAATDPRGSPPAYVQPFQEPTGSGGTAGEDTDDDPCSLTLAIDAADKKSPAAGPNAYQSSSGVVGAVGLQVALSGREKAATAAEARKRRKELTKLKQMHAGSRHGAGEHW >SECCE5Rv1G0329400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:515833126:515834340:-1 gene:SECCE5Rv1G0329400 transcript:SECCE5Rv1G0329400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAATDGPPFPIYISSDEDEDVAVLGSSGSPEEIQIQQAILLSVGLSPDPTGIPSSSASLSGTDVDITDRKGKRKLRSESPHQVIDIDDNDRQEVIDVDDNDRQEVIDVDDDDSLILIKETGSGKWRKPRTNGALLEVGECSHSATIMKEFYCTICMETVSGVERFPVAGCAHAFCAGCVRQYIAARVEDNLLSMGCPDPGCKGGVLHPEECRDIVPPQLFQRWGAALCELALGDLKFYCPFKDCSALLIDDDPGPGDGGAAAAALTNVECPHCNRMLCAQCKVPWHDGVGCAEFQRLGEDERGREDLLLRKVAQERRWQRCPRCRMYVERVSGCQHMGCRCGHSFCYVCGGSTRSGAHRCTRRRTY >SECCE2Rv1G0107230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:647621461:647621991:-1 gene:SECCE2Rv1G0107230 transcript:SECCE2Rv1G0107230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKSQGRAPTTAPSPTPTPTWAQRTEALTHILTHPSHSPSLHSQLFLASRVPCPPRGSSYPPFLCPGASLLRWALTSVFLPRAARLGLPPSSWRSRCPFQLPPPLVPSAGIEPAPERWSEAELRGYAQRRRARRGPMRTRPPVSVAGVVLTTVPNIVIIVVIMRELFWVRPGRF >SECCE5Rv1G0334700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:567565348:567574958:-1 gene:SECCE5Rv1G0334700 transcript:SECCE5Rv1G0334700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRHVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPCDPKNAHLMQLDGAAANLRLFKADVLDRAALAAAVEGCEGVFHLASPVPVVKLVDPESEVIAPTVKGTSNILEVCSTMNVQRVVMVSSTASVLFNPNWPQGKTKDESCWSDKKVCMENELWYYLAKTVAEETSREYAEKNGLDIVTVCPCIVFGPQLQPVVNTTSELLLYVIKGGPNAMNDVMWEIVDVRDVANALLLVYEKPESSGRYISAPNNITTKAMLELLRKAHPNYNYVKCKTDVEHNSPITPTSSEKLRNMGWKPRKLEETLLDSIEYYQKTGLLQDAEGEGYSCHLPEIFSFFHAAE >SECCE2Rv1G0081500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:140732065:140732514:1 gene:SECCE2Rv1G0081500 transcript:SECCE2Rv1G0081500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCLTARRRRQAEEERRRQAMKQREEAEKRREEAEKTPCFRLRRNLAAYEMEEGRRIRRAAERAKAMENAEAAGKVQGNGKNMSTHEVRMEKERMRREKKEEERLKAKVEKERKKREEEAKKKREREAKEKMEKKRKEASKKRKTAKGK >SECCE2Rv1G0083370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:165453739:165454422:1 gene:SECCE2Rv1G0083370 transcript:SECCE2Rv1G0083370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSIFHFLKGTYNSPNGERLAGGAQQVRLNAPRVGGSFAVWGGLFSAFDCTMVFVRQKEDPWNSIIAGAATGGFLSMRQGPGAAGRSALMGGCLLALIEGAGLMLNRVLAAPQNLPPLPTDDPNLAAAMGGGGVGGFPGMPQPPVPHVEVGTSSGGGSWFGGLFGKKEEEKKPSGSGGKSEILESFDTPSPPIPNFDYK >SECCE4Rv1G0245750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:535835145:535835669:-1 gene:SECCE4Rv1G0245750 transcript:SECCE4Rv1G0245750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASARAHHQHGGKQQQYQPLRRIEGLSLRSALRSDPRLPALAAAALLVPLSAALLALSGLLLLATLAGVALAAPLVVLFSPVLVPAGFAAALAVAGFAAAGALAVSGLSALVWVVGYVQRGLAQGDSGRVGGLVVQPLDSGKRHGGQGAPAFVGHRVGDALGTKAGDVAST >SECCE3Rv1G0191000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:745083872:745084479:1 gene:SECCE3Rv1G0191000 transcript:SECCE3Rv1G0191000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDGKNPHLLPLSAAKKKILDDVHVALVCVYALLNALAIVLGAAGSYIAVHYFDVSCSQLSSILPFVELTDVESARIIVLSIALLCCAPTQAAAAALALLLPCRRRRARRALAYLALAVTVLFHCMYAGAIWMFLAADPGYIFGKIFFTVVICLILVCDLTCLADLLRGDGWGKQ >SECCE4Rv1G0268680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744351285:744353318:-1 gene:SECCE4Rv1G0268680 transcript:SECCE4Rv1G0268680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLLLILLLLSRDGEVASSQLDDARFAYKGFAGASLTLDGLAAVMPGGLLALTNFTQQTKAHAFHPAPLRFLGGSASTTNATAARSFSTSFIFAIVSGYDGLSDHGLAFVVAPTTNFTTANSGQYLGLLNATNGTASAPILAVELDTILSPEFRDVNSNHVGIDVNSLVSRQAQPAGYYGDDGAFRDLKLNSRNPMQVWVDYNGQSKQLEVTLAPVKVPKPRRPLLSEAIDLSTLMADAMYVGFSASSGVISGHHYLLGWSFSLDGPAPPLDFSKLPALPRLGPKPRSKVLAIVLPLASALLVAAALATVFFFLWRRRRFAEVREGWEDEFGPHRYAYKDLHRATDGFTERNLLGVGGFGRVYKGLLSASNLEIAVKRVSHESRQGLREFVAEVASIGRLRHRNLVQLLGYCRRKDELILVYDYMSNGSLDKYLHDPNMPAVFWSERFSIIKGVASGLLYLHEDWEKVVIHRDIKASNVLLDEQMNGCLGDFGLARLYDHGTVSQTTHVVGTMGYLAPELVRTGKATPLTDVFAFGVFLLEVACGRRPIERGDRNNPVVMIDWVLERHRSGSLLKAVDQRLAGKFDIEEVTLVLQLGLLCSHPLADARPSMRKVMQYLDRGQSVPDLSPTYMSYSMLALMQVEGFDSYIMSYPPSATSSAAVSYGSSATVLAEGR >SECCE3Rv1G0168420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:220855916:220863747:1 gene:SECCE3Rv1G0168420 transcript:SECCE3Rv1G0168420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDKGKASKKAGEASGQPSTTHEGKVSNEPQRQRSLNGRTTGPTRRSTKGNWTPEEDDILSRAVQTYNGKNWKKIAECFPDRTDVQCLHRWQKVLNPELIKGPWSKEEDDIIVEMVKKYGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKDAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNSIKNHWNSSVKKKIDSYMSSGLLAQVTRLPLIEHHAHFNSSPAITQQNSEDSDSNAAREVEDSSGCSQSSLAMVSCSQVQDTNLALSCDLHVNADPSKTEAHDSQSSMCQEGYTSTEGVASALSEVHCHASSSRFGPDKLLQQEISQRMNLQMDIDETPGNSMFENNQTICSTSNNERPMLQYEIAPDMPISVLTNVSGAEQKLHFMSEADFSSPSCLKSELWQEISFQNLLSGPDIVDADSLSRLNHHSDTHSSEADTNFLAAPNPSHTSNPSSMMVAAYGQDPSLSVPQSLISNGLSDAADEKSGEMQVSGSEMITCMHDSFGDSEQFATPGSTDGRQGASAIIERIPEYGDKQLTDAEEPAASMAKEPPLAQGEAASDEKQDKGALFYEPPRFPSMDVPFVSCDLVTSGDLQEYSPLGIRQLMRSTMSVTTPLRLWGSPTHDESPDVVLKSAAKSFISTPSILKKRPRDLSSPTPERRIEKKSRTEQDSGMLGTSSVSAQTSCMHAIKDKAIVTESVFCTNRSSSFKPLEKKLEFCDENKENLGESEQAKDGRNAQNNHPVDEHASGEQCSTANMVNTNDEPPATVLVEHKGNDISDHDANAMHQKMNTNLEALSACKETFAKSKSGELTAEKSSPCIQMDYEYVNILADTPGAKRGLESPSAWKSPWFIDMQYKGSYFISPADTTYDALGLMKRINVQSASALADAREVLASGSQCGNKNFDEENKENIDAENETGTGKPQTKIMAEARVLDFNECATPVRTAGSSVGGSLAKSVSSPIPSSHLLKNFR >SECCE2Rv1G0133980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898976135:898976798:1 gene:SECCE2Rv1G0133980 transcript:SECCE2Rv1G0133980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKVVLKLDLHDDKQKQRALKVVSTLHGIDQIAVDMKDQKMTVVGTVDPVELVGRLRSKLFPTAQMVSVGPAKEEKKDGGAKKDDGKKDDGKKEPIQVYTPWYPPPPPLYHPHPHPHPYYHNHSAEEDPSSCVIC >SECCE4Rv1G0225770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:99705811:99707478:-1 gene:SECCE4Rv1G0225770 transcript:SECCE4Rv1G0225770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPRRLASVRHICSSSASELAPVPTAVFDAASRAAAAVLRAPRFEPGLLSLVPRQLLFEPSCVRQTLSRLLPSPEPSLRFLLFLASHLPAPAPAPAPADAPAPSPHLPGIDAFLLSLQPHLAADAAALLASHLGLHPSLPALNAACRAALRAARPDLVFRLFSTFSSSPAFPGNADTVAYLVRACAADCRPLDGLRLLRDAARRGSPPSSAAAADLVTSFVAIGNFAKVSETLHLMISAGCSPDTFVYQRVIHGLFSHGMGGEALRVFSEIKMRGYAIDAVIYNTALTGLCKMGHIADARQLWDEMVDKGIHPSEYAYCSFLDYYCAAGDFGMALKVYEEMLEKGFKESTVSCNIIAKGFCVHRRVDEAVGVFEGMVTKGIEHDVITYNTLIQGLCKVGRLADAIGMYQRLLSSGLEPSVQTFTPLIDTLCEEGQVDHAVELLTLMQAKGLEPLERSNDSVINGFCKVRRADDGMAWLASMLKNNLKPQEQTFNSLLESLSKSERMDDALLILNTMFKAGYELGSLASAIIVDKLCTRNVSCPQKLESILVSS >SECCE1Rv1G0028080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:392957045:392966081:1 gene:SECCE1Rv1G0028080 transcript:SECCE1Rv1G0028080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MPAPPDQPAATGAGDASTDPTAAAVCDDVHDSKEVVLRRYFLQEWELVSAILRRIVAAGGVAEPADVHRIRSIMDKYQEEGQLLEPYLEDIISPLMSLVRSKIMELGAATDELLEIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLELAVALLEKCHTMSSATALRQESTGEMETKCVVLLWLYILILIPFDISSVDTSIAATDHVPGSEVVPLVTRILDICKDYLSNSGPMRRMSGLLLARLLTRPDMLKAFSSFMEWAHKILLSVTDDFVDQFRSIGIVEALASIFKIGNRRVLHDANSGIWNDCSFVMKTNIAIRSSLLRKFLVKLAQRVALISLAPRTPSWRYQSVSSSLGANLLSSTAASSSGSTQQVSIDQTDTCGFEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVITKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYTNLDMKAVLEQLAPHLLTVACYDREVNCRRAASAAFQENVGRQGTYPHGIDIVNTTDYFALASRSNSYLSVAVSVAQYKEYVYPFAEELLCNKITHWEKSLRELAAQALALLVQYDMDYFSGHALKKLIPCTLSSNLCTRHGATLAAGEIALKLHQLGFIFTTDMQRGLSGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMAAISLNEKTKRSLVETLNENLKHPNSQIQCAAVDALKHFIPTYLVSAGEKIANDVISKYVTLLDDPNVAARRGGALALGILPYEFLLLKWMPVMSKLCSSCTIEDKADDPDAEARVNSVRGLILVCETLTSNVDQSSDIGESVYAYIKVEVMPALFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKRDAAAVRAAPAAEDESEPSDMDATSTTCKLFDSAIAQGLVAGIAKQAVEKIDKIREIAVRTLQRILYNQEQFVPSIPYRKLLEEIIPNNSDLEWAVPTVSYPRLVKILQASCYSKPVLSGLVISTGGLQESLRKASTSALVGYLQDSSINIDDEGKSREYLLSHDILWVLQRYQKYDRVTTPTLKTIETLLSKQVFLNKEGHGDFYSELVNLLGPELKGSKDFTKLCAGLSILGYISSQLDGTGTKAFSQLLVFLGHRYPKIRKAAADQVYLVLLQNDSLISAEDMDKAQEVLAETCWEGDVEEAKHKRSELNAMAGFGVATSQKSENRQGATAHNVRNAASTDENTSYSSLVEFSGY >SECCE2Rv1G0068640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:24012582:24014728:1 gene:SECCE2Rv1G0068640 transcript:SECCE2Rv1G0068640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAADAFLVLEFVAGNRRVPHSLFAGLLAALPSVSPHTSPRLRKAVALRALDAALSGPDADDSGEPLRKARDVLADPGIAACFPDHLVVVGDDVAALRRLVDAEWMSLPPSKLELDADRIAGAGALETWGNADQDTRKKLRRLVGEATEREILGKLGQDPSKAPQVDEAANAPSTSGANEGDRAQEDDEAHLGREKGEANHAQEDCARHQEEPVERTTDARIPEKPVTSAAIKGKEQATFSDVTGQAAPDHDKSHPVTGSKPSLMERKPSAIVYQWDDSGDSDSERPPHQRRLPNYERKMRPPPAVQYKTRKKWTEIQERTLIEGVDKYGRGNWKDIKIAYPDVFQDRSTVDMKDKFRNMGRH >SECCE1Rv1G0004240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16923061:16927678:-1 gene:SECCE1Rv1G0004240 transcript:SECCE1Rv1G0004240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYPQATAALGLVLLGRRNFAPINETIIRYPDDPYDRLWNPMYNTTIWADMSTTERVANYDNDYFEAPTAVMQTAITPLNASGNIELYWDAEPQPNNPMPGYIATLHFSELQLLDGNATRQFYINLDGDLWYSRGFTPGYLSSDAIFSRFFINRHQGRYNISINATANSTLPPIINAVEVFSVIPTTNLGTDSQDASAAMAIKAKYKVQNNWMGDPCLPKTMMWDKLACSSATASPPRITSINLSSSGLNGDISSSFANLKALKYLNLSNNNLTGTIPDALSQLQSLTDIDFSGNQLNGSIPSGLLKRMQDGSLNLRHGNNPNLCTDDNSCQLATKRKSKLAIYVVVPLFIIVVIVSVAALILFLQRRRKQQQGSMDNMVTIKPQNEEEMPTSHGGANYSMRLVENRRFTYLELEMITNGFARVLGQGGFGRVYDGFLEDGTQVAVKLRSHSSNQGVKEFLAEAQILTRIHHKNLVTMIGYCKDGEYMALVYEYMSEGTLQEHIAGISRSLPWRQSLRIALESAQGLEYLHTGCNPPLIHRDVKATNILLNARLEAKIADFGLSKAFDYHNGNYISTNTLVGTPGYVDPEYQATVKPTAKSDVYSFGVVLLELVTGKPAILSEPEPTNIIHWVRQRLARGNIEGVVDARMHGGYNVNSVWKVAEIALKCTAQASAQRPTMADVVAQLQECVELEEGHTRDSNTGSNNNDYSSWNYNAYASGQSTDVSSNATFGTELRMPIVGLGPGPAAR >SECCE7Rv1G0512100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:807086748:807088998:-1 gene:SECCE7Rv1G0512100 transcript:SECCE7Rv1G0512100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLVLVMLVVAVGVAAGEGGGKLRQGYYEQSCPRAEQIVKHYVERHVPHAPSVAATLIRTHFHDCFVRGCDASVLLNATSGGGEAEKDAAPNLTLRGFAFLDRVKALVEQECPGVVSCADILALASRDAVGVIGGPFWRVPTGRRDGRVSIKQEALDQIPAPTMNFTDLLTSFRAKGLDLADLVWLSGAHTIGISHCNSFSERLYNFTGRGGPGDADPSLDAEYAANLRRTKCTTPTDNTTIVEMDPGSFLTFDTSYYRGLLKRRGLFQSDAALITDAAARADVESVAKGPPEVFFQVFARSMVRMGMIEVKTGGEGEIRRHCAVVNS >SECCE6Rv1G0384060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:60105930:60106301:1 gene:SECCE6Rv1G0384060 transcript:SECCE6Rv1G0384060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLRKSLKSGSCDDRENGRRKMREEYEAGHARLLDLQAREREMRELVPAATSAIALLATPRVGDTRETYCHVCHDDFEEEDKLRAMPCAHSFHQRCIFRVLSVNRLCPVCSYELPGDYLEMP >SECCE5Rv1G0356170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:737616433:737617314:1 gene:SECCE5Rv1G0356170 transcript:SECCE5Rv1G0356170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSADSLAAGRTRRVGSLAPRPKRVCVFFVDADATESESSGDEEARRGKRRVRKVIDISVKSSSQPSPASSIPRRRALLLRRRAAKAGDGSYRRRFRGVRQRPWGKYAAEIRDPSLQKRLWLGTFDTAEEAAAVYDDAAVRLKGSHAVTNFSSSSSSDSDYVASTKPRSLPQAKLRPTEEAAAEAPATIPPSAPSPPEPEEDDAESFNPFASSLTPVLRRAPGEAPRPVDHLYGELCDLASAAPPSKAAEFDWQQPWWESEPKAAEFDWQLPWWESEDFVMPPAASAVSVI >SECCE1Rv1G0037380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:522182036:522192203:-1 gene:SECCE1Rv1G0037380 transcript:SECCE1Rv1G0037380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAASGIIKLPPFSLPPPHHHLGANPSGGGLYAASAFPRRRCGALAAVVRCARRTGKRRYPSEKKRLDRRHKEQLRQTAPEEGGVAREAGFWRLSKLAVPASDDPGKDFLGVSPPLLQAIAKALKFPVASMLPEEAFSVIRKSFDARKILKEPQFVYTVDVDAKKLLDMEPRTWDFIARLEPKLGIVEYMSDERVATDLISMLNVHNKVSDDEHGIRDTVNNGSISPTQMKPRVAIVGSGPSGLFAALVLAELGAEVTLLERGQPVEQRGRDIGALAVRRILQSESNFCFGEGGAGTWSDGKLVTRIGKNTDGVQAVMKTFVHFGGPPNILIDGRPHLGTDKLVPLLRNFRHHLRELGVAIKFNTRVDDLIVEGGHVKGVVVSDSNLQPGSVDQKLSFDAVVLAVGHSARDTYSMLLRHNVDITPKNFSVGLRIEHPQELINNIQYSELAAEVHKGRGRIPVADYKIVKSIGEEDMKNDMEQVDQNRSCYSFCMCPGGQVVLTSTNPLELCVNGMSFSRRASKWANSALVVTVSSNDFEPFQSHGSLAGVEFQREYERRAAVMGGGNFVVPAQCVTDFISNKLSVTTLPPSSYRLGVRPSKLHELFPPYITEALQQSITMIDKEMPGFISSEALLHGVETRTSSPLQISRHKETYESTSLQGLYPVGEGAGYAGGIVSAAVDGMYCGFALAKQLSLFAGDIEAIFGKAQKQTGSVKY >SECCE6Rv1G0432440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:751935241:751937004:-1 gene:SECCE6Rv1G0432440 transcript:SECCE6Rv1G0432440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALGSVTKALDIVFKIKEAVDTVKQNEKDCEQIKKRVERVQHTLSLFQKDAELMNSAGSRTALEALSEVLAEALELVTGCKEETNLCCLLIKAGEVSKKLNKADQTISNINSEVSLTILLCIAPHTTKSLKLYQAPDGNCRSLQLDKQTRSVPPTISEIAVEIKLAVDRVQRNKAECIEVDKRVNGVNALLSQFGNTELMKDPSMSALIEKLHTTFCIARTLVMDCQKRNIIFIRSGSELSKQLREVLDQIDLALDDMITISANYAYTV >SECCE2Rv1G0116980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:769036174:769038007:1 gene:SECCE2Rv1G0116980 transcript:SECCE2Rv1G0116980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQIWRLVPNACSPLRPIRAGAGRGSWPAGRPAPLRRSALASAATRGICRTLAVSAQSTSLDAGLRVDKFFEVEMKVRDYELDQYGVVNNAIYASYCQHGRHELLERVGISADAVARSGESLALSEMHLKYFAPLRSGDKFVVKVRLASIKGIRMIFEHCIEKLPNHELIVEAKATAVCLNKDYRPTRVSPEFLSMLQLFSSVDNNG >SECCE6Rv1G0440000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:800754166:800756219:1 gene:SECCE6Rv1G0440000 transcript:SECCE6Rv1G0440000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMSSPTAPWFADLFTDVRVRTLSHQVTTLGDRVWELERKITDLICEKSKLEKQLEETKAISIHKEEVERSFKAENDKLRSEVSIAEEKCGKSEAELERLRKELGALAEAKEAAAKEFNNERAKIRLESENLKRRLEEIQAIKDLAESENDKLRSEALMAKEKHNMSEAELERLKIELGGLAEAKEAAAKAFDVKNAEITEELEELKRKLKEIQTNKDLVDGENDKLRSEVFKVEEKCRQSEAEVKCLKQVVGALVEAKEAAAKAFEAEKVEIMKEMDNLKRTIEEIQANKDSVESQNHELQSKILIAEHENSVFEAEVKSLKMELSVVEEAKEVLAKEFDAEKAEILKKLEDLKGNLEEFQVNKDLLEGKNDKLRLEVFAAEQKQSMSEAEAKSLKMELVALVEAKEAATKVFDTEKAKITKDLEVLKRKVEEIQTKKDLVEGEKDKLRLEILIAEQKHAMSELEVKRLKMDLAALAEAKDAAMKSFDTEKDKFMKEVESLKRKIEEIHGSKEAAEEAGRNKDVEADRLKDELVKIRVSVSQLQASCIELDAKHSRLNDEMNSVQKALVCEKVEGNKLKLKIEELENYIAEKDGENGKLKAALEEKKSEIDALSKDNEQLHLTVAEAHDKNKCGILSFLSPCGSK >SECCE3Rv1G0180690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:588232110:588234142:1 gene:SECCE3Rv1G0180690 transcript:SECCE3Rv1G0180690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTSLVPRVSLGAQGLEVSKLGFGCMGLTGSYNSPVEDDAGVAVITHAFRRGVTFFDTSDVYGPHTNEILLGKALKQLPREQVQVATKFGIQRDAQGKSTICGRPEYVRACCDASLHRLGVDHIDLYYQHRIDTTIPIEDTIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPISAVQMEWSLWARDIEPEIVPLCRELGIGIVPYSPIARGFFGGRGVTQQVSAESSLKGHPRFSAENLEKNKQLYLKMEELAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDSNIDSLKVKLTEDDLKEISNEIREEDVAGGRQYTSFAKFTWNYADTPKK >SECCE3Rv1G0209390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:938414717:938415064:1 gene:SECCE3Rv1G0209390 transcript:SECCE3Rv1G0209390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMGKGLVGTNKTLICLWLVMLLLLSSEDMGSDGCEGHDSQTWDGNMCVKHGTCNVVCQKEGYDRGRCYVTVCRCYKNCTGLPI >SECCE6Rv1G0418240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:661851851:661852357:1 gene:SECCE6Rv1G0418240 transcript:SECCE6Rv1G0418240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATASRPSGPVLSIPSYRSASPTRVKLPAGGATRSPGKSVSVSSSFSAPAGGAASKSRRSCMCSPTNHPGSFRCGLHKERKQAAPSCNSKPGTPPSICSAGSKQMGSGLAQRLPMGSRHWARRAITPSPAAQQSLQHRRRVVGSRPRPSRLSAVSMAGDRAGDNHQ >SECCE4Rv1G0296950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:905315928:905316383:1 gene:SECCE4Rv1G0296950 transcript:SECCE4Rv1G0296950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKTMLWGLLLFILLATPMAAEYGAGSDNSGPWSWCDPATGYKVSALTGCRTMVKLQCVGSQVPEAVLRDCCQQLANINNEWCRCGDLSSMLRSVYQELGVREGTEVLPGCRKEVLKLTAASVPEVCKVPIPNGTGGSTGVCYWAAYLDA >SECCE6Rv1G0409290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:585085840:585089257:1 gene:SECCE6Rv1G0409290 transcript:SECCE6Rv1G0409290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHRLPTSGPPPAAGPRRHPRRPRRRCRLLLLPTFALALLSLAYLSFSSLSSLPFHAVHQGPVNRENVGKGIDKRDERNATVKENISMTIDRPEHFKGRKKRRYGPCKIEFLPSVDNLVEPADYNNFTQFLLKYILNEGHLIGNGFFEPLFAGHQSLQEREETYYAKNQSLHCGFIEGPEGYPSSGFDLDEHDRAYMGTCRVVVSSCIFGGSDYLRRPTKSKIGSYSKKNVCFIMFLDELTLTTLSSEGHVPDENGSIGLWRIVVVKNLPYKDMRRAGKVPKLLAHRLFPSALYSIWLDSKLRLNTDPMLIIEYFLWRKKAEYAISAHYDRTCVWEEVRQNKRLNKYNHTAIDEQFYFYQSDGLVKFNASGQDPVLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFTYTYLKLRRMNAGRYFQLNMFKDCERRAVAKLFHHRTNGTTDPHPTNLRADTNHSLMSS >SECCE6Rv1G0379320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13258002:13261127:-1 gene:SECCE6Rv1G0379320 transcript:SECCE6Rv1G0379320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQPSPSPSPPPRAAAGDPEDPPLPPPMPPQPQPQPREGGGGRSEDEEDPPSPPLPQSTTVPISNAAQYFPRVTDHTTSAGGDGRSWYSWNGRTKDRRRQPPPPSQSQYPPSQPYPQSRPQPQQWVRPEPKPRPQLPHVADSPPVPPARAAPSTVPAPGPARSVERDRRTVPDIMYRKRRTATLQRTALLARLVAAALCLAALAVLAADTRKGWARDSYSNYTQLRYSEAVNVIGFVYSVFQFFALALHLTRKRHLIPRPKGDYFDFAMDQIVAYLLISSSSSATARVSDWIDNWGSDTFPNMANSSIIISFLAFVVFAINSLISAYNLFRRDL >SECCE1Rv1G0037680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:529758659:529765968:1 gene:SECCE1Rv1G0037680 transcript:SECCE1Rv1G0037680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAKKPPLKSSSSHNSAAGDATAATAAAAAAAGKTIEEMYQKKTQLEHILLRPDTYVGSVQKHTQPLWVYEGGAMVQRPVSYVPGLYKIFDEILVNAADNKQRDPSMDSLKVDIDVGGCCISIYNNGDGVPVEIHQEERIYVPELIFGHLLTSSNYDDNERKTTGGRNGYGAKLANIFSTEFVIETADGHRQKRYRQVFSDNMGKKSEPEIKKCKQSENWTKVTFKPDLAKFNMTELEADVVALMMKRVVDMAGTLGKTVKVELNGEKVAVKGFSDYVQLYIDSASKEGIELPRIYQKVNDRWEVCVSLSEGQFQQVSFVNGIATIRGGTHVDYVTNQIASHVMGVVNKKNKQAHMKLHTVKGYLWVFVNALIDNPAFDSQTKETLTTRQASFGSTCELSEEFLKKVSSSGVVNNLLSWAEFKLNKELKKTDGTKKTSIVGIPKLEDANDAGGKNSDKCTLILTEGDSAKALAMAGIGVVGRDHYGVFPLRGKLLNVREASHKQLMENAEIQNIKKILGLQHEKKYDSTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHKEWPSLLKVPSFLVEFITPIIKATKGKAVKAFYSMPDYEAWKESLGGNASSWTIKYYKGLGTSTAQEGRDYFEDITHHKKDFVWADDKEDGEAIELAFSKKKIAERKDWLTNYQPGTCLDQREKRIKYSDFINKELILFSMADLERSIPSMVDGFKPGQRKILFCSFKKNLVKESKVAQFIGYVSEHSAYHHGEQSLASTIIGMAQDFVGSNNINLLEPRGQFGTRNAGGKDAASARYIFTRLQPITRLIFPKDDDVLLNYLNEDGQSIEPSWYMPIIPMVLVNGSEGIGTGWSTYVPNYNPRDIIANLKRLLNNETIVPMIPWYRGFKGSLKETSSKATGVTYTITGVIEEVADTRLKITELPVRRWTTDYKEFLESMCPIPIKEKEKSKDKNKEKKKDKDKDKEKEKEKSKEPPLLEEIRSQCDHADVDFELILTEQNMNVAKQEGLEKKFKLTTTIGTTNMHLFDSNGKIRKYDTPEDVLKEFFDLRLDFYVRRKKVMLENMGIELLKYKNKVRFILAVISGDIIVNNRKRAELFLELREKKYDPFPKKKPTSEPVAVGSTEVDEENDESPVEAAASDYEYLLAMSIGTLTMEKVKELIAQQDKVEDDLKILSNTEPETLWLRDLDALEKELDVLDAKLEAEQKDRSCKRAKNAKESNAAAKRQPKKAAAKSQKAGSDDQDFEPPKPKPAAQKKKAPPKKASAPVKDEEDDELANLKDRLAAYNLDDSSPEPSAMETEEQQKAKKGRNGASKRGAAKKAMSSLAESSDEDMAEPEDGGSSMEVEKKTKGRKPAAEKPKATTIRKRAPAQSKGMRQKVMEEILKPTDDSNLSAPSPEKKVRRMRDSPFNKKSGSLLQRAAGASTGAEDAEAPSSGSSAEAAAPRRTARERKVAIAYVDSGSDDDESEDEDASEPSESDYSSED >SECCE6Rv1G0399840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:444111718:444113885:-1 gene:SECCE6Rv1G0399840 transcript:SECCE6Rv1G0399840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPADGVASDEPVHKGRTNSILLPILGVLFAYLLYRYLRPRLRGLRLDSLPFRVPDCLRRGNAARSNLLPYFAPIADRLGALQPYLGPIAERLGVGPHAGAGGYGGADALVKFPGGEALSVAAILEAPGEVVAKSSHSTLYRAAMRSGETAVLLRFVRPACAVGADEAAAAARRIGAVSHPNLVPLRAVYVGPRGEKLLVHPFYAAGSLHRFLQEGIADTHRWNLVCKLSVCIAKGLDHLHTGLEKPIIHGNLKTSNVLLDANYECKISDHGLYLLLNPGGAQEMLEASAAQGYKAPELTKMRDATRESDVYSFGVVLLEMLAQKEPAGDDHAPSSRDIFLPASFKNLVLERKISDAFNSDLVRQSRKSGNERKLNAFLELATACCSPSPSLRPSTKDILRRLEEIAK >SECCE1Rv1G0057040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:691695110:691697826:-1 gene:SECCE1Rv1G0057040 transcript:SECCE1Rv1G0057040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALRRALPPLYLPAAPIFRHAPGPSVLPPRPLRLLDPINFQPFSTAAATATAIARAPEMGASLFRGLTETRFPKRRPGFVSRRKRASLRPKGPHYWVKCTPGEPIPSSQPNEGSVQGRKEKKRIKQRKDFIMAEKRKRKAQYSVAVKRKEAERTERKMAAVARDRAWVERLAELNQMEAEKRAAMA >SECCE7Rv1G0492640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:554100759:554103063:1 gene:SECCE7Rv1G0492640 transcript:SECCE7Rv1G0492640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAKERKLSRPGSGKAANGGGGSFGARGGHRSPGAGGRRRLFAVFFAFLCAGAVVFGGVHAIGASFRPVLLTAWPSATLNALSSERRVQQAGGNGAGTISPSVQIRHAVALPDHVLVVLGDGSLLPAPGHFECLYSTANSTQLRRRPLSVAALPDGPSLVHCPAGPSGMAVSLSLSQSPPVTPFQWDQLVYTALLDSRDNSTVVFAKGMNLRPGRLGVPSRYECVFGRDLSKPKFVVTSPVVSAAQETFRCVTPVRIRRYLRMTADGNINKNSDGKPMLVSIRTKGRGSSTLPSIAQPEPLPRYTRHRHTRHRQQKVHSMCVCTMLRNQARFLREWIMYHSHIGVQRWFIYDNNSDDGIEEVLGSMDPSAYNVTRHLWPWMKSQEAGFAHCALRARESCEWVGFIDIDEFLHFPGNQTLQDVLRNYSSRPRIGELRTACHSFGPSGRTKVPKKGVTTGYTCRLAAPERHKSIIRPDALNPSLINVVHHFHLKEGMKYANVGQGVMLINHYKYQVWEVFKEKFAGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPRRFCEVYDTGLKDFVHKEFTDPQTGSLPW >SECCE4Rv1G0220300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:37409944:37413193:-1 gene:SECCE4Rv1G0220300 transcript:SECCE4Rv1G0220300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGEPTLEQGLLANPEESNQLTYTGDGSVDFSGNPVVKEKTGRWKACPFILGNECCERLAYYGISTNLVTYLTKKLHDGNSSAARNVTTWQGTCYLTPLIGAILADAYWGRYWTIATFSTIYFIGMSVLTLSASVPMLMPPSCEGAICPEASPLQYTVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPAERIQKGSFFNWFYFSINIGALISSSFLVWVQDNLGWGLGFGIPTVFMGLAIISFFAGTSLYRFQKPGGSPITRVCQVVAATLRKWNAHVPEDSSLLYELPDGVSAIEGSRQLEHTDELRCLDKAATITDLDVKEDSFNNPWRVCTVTQVEELKILVRMFPVWATTIVFSAVYAQMSTMFVEQGMVLDPTIGSFKIPPASLSTFDVVSVIIWVPIYDSILVPIARRFTGKERGFSELQRMGIGLVISILAMSAAAVLEIKRLAIAREAHLVDQNVPVPLSILWQIPQYFLVGASEVFTFIGALEFFYDQSPDAMRSLCSALQLITTALGNYLSAFILTMVAYFTTRGGRPGWIPDNLNEGRLDNFFWLLAGLSFLNFLVYVLCANRFKSKKAA >SECCEUnv1G0562610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:367243444:367244967:1 gene:SECCEUnv1G0562610 transcript:SECCEUnv1G0562610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNVEIPDDVQSWVGEGTNYKEGFFTQLATDELASGINEDVVRAISAKRNEPEWMLEFRLQAYHAWLKMEEPHWLKAYYTPLDYQDYSYYSAPSCGSCDDSCGSQPGATQQSGGESLGVPAGEANNYLTSEVEKAFEQLGVPVREGKEVAVDAIFDSVSVSTTYRDKLAESGVIFCSFGEAIHEYPDLVRKYLGTVVPAQDNFFAALNAAVASDGTFVYVPKGVRCPMELSTYFRINAAKTGQFERTILIADEGSYVSYIEGCSAPVRDTYQLHAAVVEVILHKDAEVKYSTVQNWFSGSKDSSGGILNFVTKRALCEGAGSKMSWTQSETGSAITWKYPSVILKGDNSIGEFFSVALTSGKQQADTGTKMIHIGKNTKSTIISKGISAGHSQNSYRGLVKILPSAENARNFTQCDSMLIGADMRNNTAQLEHEATTSKIGDDQLFYCLQRGISEDDAISMIVNGFCKDVFSELPLEFAVEAQKLLAISLEHSVG >SECCE1Rv1G0027620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:384715977:384717329:1 gene:SECCE1Rv1G0027620 transcript:SECCE1Rv1G0027620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKFALAFKTKTIEFFAEEEEEEEDAAAWEGGVLPGQRVLVLKPDPQNPSSPVGGDVGNGSASGEEAALEAALATTSSFQAAYLHLQAAHWPFLPEAAAAADALAVSHLRRLSELKRLASGAAEDGSLTAHLEDQVRENQALLRSFDAVVNRLQAALDAKDAAAASLRWELAALADGNARLAGRLDRALAPQPGAGGGDALGAMLSASVFDSVLRDALRVAHRFTRALAELLRCAGWDLADAAAAAYPGIAYSKHGHCRYALLSRVCLSMFDGFDSYQFGGTSDAAALEGIELAVRRNESLQQFIEHSDADPMELMSSSPDCEFSQFCDRKYKQLIHPGIESSLFGNPDCRALPVMATAGPLYELFITMASSIWTLHRLAWAYDPAVGIFQVSRGTDYSSVYMENIVRPKAFSASKEVGKTVRPKVGFTVVPGFRLGGTVIQCRVYLES >SECCE4Rv1G0284880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841234429:841235133:1 gene:SECCE4Rv1G0284880 transcript:SECCE4Rv1G0284880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPHVSETQVEQELHSLEASISSSNSISTMCDGLRSLADIYDGLEEIICLPSHQVCSSQQRNMLDGEMEGSLELLDLFSSMQEIFVEMKAVIQELQVALRKGDDAATQAKIQSYTRLVKKAKNHFKKNTKKTPADCRMVMLLTKGREISASLLESTIHLLSKQIEMPKQSLVFKAFHKKRAVVCKEEQLSELECSIGDLESGAGHLFRKLVQNRVSLLNILSS >SECCE5Rv1G0328590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:508981648:508991229:1 gene:SECCE5Rv1G0328590 transcript:SECCE5Rv1G0328590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASQITLFLLLIIHSGVAQNATTSGTDEFPVGVILDLESLGGKMARTSILMALEDFYTVHRNYSPKVVLHIRDSKSHSIEAASAALDLLENYNVQAIIGPQTSSQAAFVSDLGNKSQVPIISFTATSPSLYSGSLPYFIRATLSDSTQVNSIASLIKAYGWRQVVPIYEETDYGRGVIPYLIDALQEIDARVPYRSVIPLSASNEQITQELYKLMAMQTRVFLVHMSPDLASVLFTKAKEIGMMKKGFVWIMTDGLTNVIDSTNPSVMEAMNGVLGVKFYTPKSAELDSFTKRWNRRLQIDNPNDPPVKLSIFELWGYDTICTVAQAVENLGIKNKTSFKKPAVARNMTSLGTSVYGPDLLKTILQYKFRGLSGHFDLSGRQLQASTFQIINVVGKGWKEIGFWTAENGISRRLYQAESMTEQSGLVPELNPVIWPGKSTEVPRGWEAAVNGKKLRVGVHVSVYPQFMTSGKDPITGATKAEGLSIDVFEEAVKRLPYALPYEYVAFGTTNATSSGGYDDFVYQVYLKKYDIAIGDITISENRMSYVDFTLPYTESGVAMVVPAKSSRTNSTWIFVEPLSRDLWLGSIILFFYTWVVLWLLEFLGNNTNIPGEVPRKLGITTFFSLFGDKDRVERLLSRIVLIVWVFFFLVLSASYTANLATMLTIRQLNPTITDIHELRKSGDYVGCIRGSYVERILEQLNFDGSKIKTYNTYDGFYSALSKGSKNGGIAAFIHEVPYIRLFLARNCKGYTMVPFYKAAGFGYAFPKGSPLVGDISKAILSVIRGDTINQIEKKWIGIGYQNNCNNAGRAPDPEKLTPDGFAGLFILSGVVSTSSLLIAVVIYFYEKKNSTTKTQADQKGDQAEGNEAGEEMQNIGLQPSGLRRNASAVPWGFRRSFGTRVAPVSSSSRF >SECCE4Rv1G0246650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:547510601:547511032:1 gene:SECCE4Rv1G0246650 transcript:SECCE4Rv1G0246650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRKPIANGRSHEDKVSSGEEVVPARKRRGRPQKQRAPDKVDPAEPKSSVDGVHGDSDHHQQGEGDDAKLKENDPEGNGNKRNRAPKEESSNLDMEENSSSTRSSNDESTTTTRSSGFRQNGSRRKSTPRRAAEAGL >SECCE5Rv1G0310910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:180862463:180864107:-1 gene:SECCE5Rv1G0310910 transcript:SECCE5Rv1G0310910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRLAAAAGYVRLLSTSAPAPSTGGAGGSSSAGGGDTLGKRLLKLIYPKRSAVVVLRRWAEEGRTVHKYQLNRVVRELRKYRRFKHALEICEWMRTQPEIRLLPGDHAVHLDLVAKVRGLPSAEKFFEDVPERAKGPSTCNSLLHAYVQHGARDKAEAMLKEMADAGYLTCALPFNHMMSLYMSTGELEKVPEMIKELRRFTIPDLVTYNIWLTYCSRKNSVKSAEKVFDLMKDDRVVPDWMTFSLLASIYINAGLHVKGRDALVEMEKRASRKERAAYSSLLTMYASLSDRGNLDRVWSKMRQTFRKFSDAEYKCMLTSLTRFGDIAAAECVYGEWESESGTKDSRIPNTILSFYIKNGEMEKAESFLQYIVQKGVKPSYSTWEFFVWGYLGNDERTDKVMECLKKALSSLEKWEPNPQLVVALFSQIEKKGDIEAAEELLVVLRGAGYVTTEIYNSVLRTYAKAEMMPLIIDERMDQDKVTMDDETRRLLKSTINYPIGEVSTIMS >SECCE7Rv1G0462360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45232247:45233560:1 gene:SECCE7Rv1G0462360 transcript:SECCE7Rv1G0462360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSLPKPLGTAAAAPHLPRRRALLGSAAAASLATATTRTQRLSALSKPPKPPPPPPLLPRPRLPISNHAPTNGKQDDRREPSTDASSSVSGSSSGAGDVLRLMDLLRVTPDEDVYVSLLRDSVDAAEVAAVHAHVAATRAAPGLPLPLANRLLLAYATCGDMAAARKVFDEIPLKDGITWATMVSAYSDGCFHDEAIRLFTRMCQEEQGLAGDLFGHAIVAVLRSCARLGRLRGFGEQVHALIVKTKRVCGDTGSSLLQLYIASNQHDSARQVLQAMRCCSQEPVPEAAWTSFMTACHRDGLLDEAIYVFRDMVSSGVARSSFSLSTILAVCAESENCRCYGQQVHGDAIKHGVETDQFVVSGLVHMYAKQGRLADAARAFEAVGGKPDAVCWNAMAMGYARGGWYTEATRMIYQMKAAGMDLPGLNVVGMACAR >SECCE7Rv1G0466920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82404253:82408648:-1 gene:SECCE7Rv1G0466920 transcript:SECCE7Rv1G0466920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIRSAKCPWASVGAAGALVMLVTAVHVFMVPILPSSLDFSGARRTVHHRPRNVLPGAGVVDSRLRGRFPADSYEAVTFRGAPWKAEIGRWLAGCHADSSAVNITEVIGTKRCEKDCSGNGVCNYDLGECRCFHGYAGNGCERVLKLECNLPSSPEWPVGPWIVSICPAQCDTTRTMCFCGPETKYPDRPVAEACGFKTIVPAKPDDPKLTDWTTPDPDVFTTNSSKLGWCNVDPEDAYSSKVKFKEECHCKYDGLLGQFCEIHVECICINQCSGHGHCRGGFCQCDSGYFGIDCSIPSAYSVAYEWPSWLQPPVNLPDLKNLSNIPINVNAVVEKKRPLIYVYDLPAEFDSHLLEGRHYKLECVNRIYDEKNRTIWTRQLYGAQMALYESILASPHRTLNGDEADYFYVPVLDSCLITRSDDAPHLRMPEDVRLRSYHTLEYYRKAYDHIAQRYPYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNAKHEKSTTAYWADNWDDIPLDRRGNHPCFDPRKDLVLPAWKEPNPGAIWLKLWARPRINRTTLFYFNGNLGPAYEEGRPEDTYSMGIRQKLAAEFGSTPNKQGKLGRQHTANVTVTYLKSEMYYEELASSIFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGIFLPYENVLNYNSFAVRVEEDNIPNLMRVLQGINDTQIDFMLGNVRQIWQRFFYRDTMLLEAERQKKLFTEEEADWSVEVSKLEDGDDVFATFIQVLHYKLYNEPWRQDLLGEKETGLPNICSKAS >SECCE4Rv1G0216810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12649001:12649735:-1 gene:SECCE4Rv1G0216810 transcript:SECCE4Rv1G0216810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSAENRGIKGSDGGDAVGPTSLLTKDCNMLIPAALGGAINKDNADAIKAKYIIEAANHPTDPEAGEILAKKGVLILPDIMANSGGVMVSYFEWVQSIQGFMWDEEKVNRELKTYMTRASNIVLII >SECCE6Rv1G0390140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:175582545:175583071:-1 gene:SECCE6Rv1G0390140 transcript:SECCE6Rv1G0390140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSASMGALAAATAMALSGSLVLFSLRRFAKPSLDDDAPALDEPASLRPCLSSSTSSSEKRPRGGKLRRKGEKRVRFAEGVIDNEGAPPAARSSSAPPPAPSAAEPTCRGSVTGSDHRMPANREALYRGMLRDRSVHRTAYSY >SECCE3Rv1G0158290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:86758604:86759296:-1 gene:SECCE3Rv1G0158290 transcript:SECCE3Rv1G0158290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHPSEYIGEDLCHRRPELRGCSSPDPVPPMPHVPKPSTGPVVEVEAPSSYATAGMVLFVAAGAFAAVLLALVAMHLYNSDRRRRAREGRRLHRSLAIYVEAPSMRRLDPLDPAVLRALPVVAAAVGAGDCAVCLAEFEHGEQARALPRCGHRFHVECIDAWFRGNSTCPLCRAAVEAPDDAMAHPEVRVDVAADDNAAAAKGGAPATSGGADLDKTRRVFASTRSASF >SECCE7Rv1G0459440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:25936786:25937226:1 gene:SECCE7Rv1G0459440 transcript:SECCE7Rv1G0459440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARQHAGGVAPCANGCGFFSGVAATNDLCSNCYKERQLLDLMAFDGAVMSGLRSLRLTKAGGEEETPERRAGATKNRRNACQQKAGLLGFVCRWWATATCCGAHRHADAHVCFFDYKAAGREQVARKNPLLVPLPHKMARISFS >SECCE5Rv1G0310540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:170914673:170922466:1 gene:SECCE5Rv1G0310540 transcript:SECCE5Rv1G0310540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPPPRDDAASAGSSGGGGFNKGKGRWGGKKRNEQRLGGSGGALSLAAFANAKSRNTGYNPALIKKQKEFYRNAKVISKYKKSKKHQNQSNYPPQFPTLEEGGADTTDVPKPHDKRKKRTSQSLNVEYEKRRLEDEKAKKERDAMIQAKKEEREKSEAKRKELREKMFKRTRSGQPVMKYRIEHLLETALESSNK >SECCE1Rv1G0054760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:676413854:676414327:-1 gene:SECCE1Rv1G0054760 transcript:SECCE1Rv1G0054760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIVGASMATGQYAKGSSDPLGTDVIDLEEPKANKAAAPHEEVAQSPTCGESAAPKLKKAKTNPSAEDKMHATIMASSERLAVAIEKLVSCANPAIDGLWDEMKELSGFDLDSLAHYYAYLVDNPRVATAFKVLGDVQRKVWVSRYVKSTFPEAEA >SECCE3Rv1G0198880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:837040612:837041349:-1 gene:SECCE3Rv1G0198880 transcript:SECCE3Rv1G0198880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPSTEQIQRISNHLSAFGKVESKNVFYWFQNHKARERHHHKKRRRVASCSPDSSSNEEESGRAAAAEPADLVLQPPESKREARSYNHHPRIMTCYVRDVAELEEATTWERPTREVETLELFPLKAACYDLELEADRFSRYVRGGGEQQCREISFFDVATGRDPPLELRLCSFDRYLV >SECCE5Rv1G0376120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871287306:871287587:1 gene:SECCE5Rv1G0376120 transcript:SECCE5Rv1G0376120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKELLLAAMMLALVVAAPGGALAACEVGQLTVCMSAITTGAKPSEACCANLRAQQACFCQYAKDPALARYITSPHARETLQSCGLAVPHC >SECCE2Rv1G0072430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:55365323:55366533:-1 gene:SECCE2Rv1G0072430 transcript:SECCE2Rv1G0072430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVSTLIIEANLECEKCYKKIQKVLCKLQEKEKIRTINFDTKKNTVTVSGPFDPVKLSKKLRCKACKAIKDIKIVEEKKPDAKKPEEKKPEEKKPEEKKPPASDGCKCCCKKADEKKPEEKKKTDEKKKPDEKKPEEKKEEKKEEKKEEKKKTDEKKSEEKPKPAAPAAPSTTVNLQFTQMCNLCYPWPCSDPSHWGGVHQYPHPQQPQWPCEPPQMPPQMPAYPVPHHPQHPPWAAPATPKRQPCGGPAYCGGGCGSCGGGGGGGGAYGGWPPVMPTPLQMMQPPPMGCGGPASSCRGCKGCRIVQEGRFIYEEYPPSACTVM >SECCE7Rv1G0477150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:214759686:214764564:-1 gene:SECCE7Rv1G0477150 transcript:SECCE7Rv1G0477150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSMDIEPSRPGEPDAAAWVTVEEWGGSSGSAFSRTAVLTASASSLTSNRFGSRWGRIGSRVLGAFVPEGFPGSVTPDYVPFQMWDTLQGLSTYIRAMLSTQALLGAIGVGEKSATVIGATFQWFLRDLTGMLGGILFAFYQGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMSGMGLGMLLAHVTRGHDLVVWVSFLSLTVFHMYANYKAVQSLSLSTLNYERTSILLQYFMEHGEVLTPQQVSKQEHILPFWSSWRKLLRIKLPHELVHLGAKASRLTHSDMLLIAKRRSYYTNANYFLLDKEGSVLIFIHKQAVATDILKSFIHGLVLERLMQKSKSCHTEAHQWMDEKYNTFISKLKVEGYSTERLLSHSIVWKAHWVYGPLDEKTK >SECCE4Rv1G0250830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:596461863:596470001:-1 gene:SECCE4Rv1G0250830 transcript:SECCE4Rv1G0250830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] MESSLLLSSARPLKPSLVAPSFAHRRCASFGPKPLSRRLPSLPPRARRWSVAPRAAPEAFDGIHSQDKPPGVGRGGARRRAYREEQGEPVARPLGAGAGGITRYIVPAGALLALSFVIWKVVENFLPPKRKDQNSGEPTSSGVTWSFAAGSNLSTSTSIKAQKESKKNLNKFYKELRTLKTVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNAITAAGIEAFDGILQINTALKTLNLSGNIIGDEGAKCLSDILIENVGIQKLFLNSTNIGDEGAKAISDLLRKNKTIRIVQLSNNTIEYSGFASIADALLENNTLRSLYLNGNYGGPLGASSLAKGVVGNKSLRELHLHGNGFGNEGLRVLMSALSAHKGKITVLDIGNNNITSEGSVYVAEFIKVTKSLRWLSLYMDDVGDEGAEKVADALKQNKTISTMDFGGNNIHSRGVTAIAETLKENEVLTTLELSYNPIGSEGVKALCDVLKFNGKLQTLKLGWCQIGVSGAEFIADCLKYNTTLSTLDLRANGLGDDGAICLARSLKIINESLKSLDLGFNEIRDDGAFALAQALKANEDLAVTSLMLANNFFGKFGQVALTEARDHVYEMSGKEIDIYY >SECCE5Rv1G0323700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:439027318:439031444:-1 gene:SECCE5Rv1G0323700 transcript:SECCE5Rv1G0323700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGGLGSFVTIRMLKTTSPPHALRPSLAYYRPAFSLSARTSSSSLTSNPKKCLIQELRRQLSSRIDDASQALKNAPRRLLDAIVDSTFKFTDQALLPSESNFAPVDEIGGSIEILQIEGEIPQDFPEGVYIRNGSNPLFGALHSTVSVFGESSEIWVEGEGMLHALYFTKTGSASSWSLSYTNRYVESETLKIEKDCQKPCFLPAIEGDSAAIIAGYILNYLRFGKVNKNITNTNVFEHAGRVYAVAESHQPQEICIQNLETGNTWDIGGEWDRPFTAHPKVAPGSGELVIFGSDAKKPFLVVGVVSDDGTKLKHKVDLKLNRSTLCHDIGVTLKYNIIIDIPLTIDIGRLTTGGQLIEFEKEGYARIGIMPRYGDAESIMWFDVEPFCMFHLINCFEQGDEVVVQGLRSADSVIPGPRLNKQDILPERSELTNDGKAMKQGIDEKLFSRLFEWRLNLKTKTVSGEYLTGTECSLEFPMINNHYTGLRHSYGYAQIVDSLTRSAGSSEKVLPKYGGFAKLCLEERDNTEVCINQLHASVQLLFNQDFLTQIQAVHQTSAEDLIKMEIHRLSEDEFCSGASFVPRVGGSHEDDGWIISFVHNERTNTSQVHIIDTQKFECAPVAKITLPQRVPYGFHGTFVHNNTHGHVNTRSEKNWTA >SECCE5Rv1G0326360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:478011768:478013964:-1 gene:SECCE5Rv1G0326360 transcript:SECCE5Rv1G0326360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCCMPLLDSKTISSLSIIGYHNMGENRKFGQIILPRMTTNKILHHQELHGDQSISDVSDIVDETLGKSPLDRQDTLKYRVFASTWNIGGIAPTDELDLEDWLDTRANSYDIYVLGFQEIVPLNAVNVLGPSKRCISTKWNSLIGKALNKKKTRDGAQLQHTTTNSSSMESFAQEGCFICIRSKQMVGIFTSVWVRSNLRSYIHHLGVSCVGSGIMGYLGNKGSVSVRFLLHETSFCVVCCHLASGGKQGDVLLRNFDAADILARTRFHGGGSKKLPKKILDHDQVVLLGDLNYRISLEEPETRLLVKAKNWSTLLENDQLVSEFSTGRLFEGFQEGPVTFSPTYKYQPNSDQYYWCFEAARGEKKRAPAWCDRILWRGKGLKQIQYGTCDYKLSDHRPVRAGFIAECRIRGDAEDSVGGFTR >SECCE2Rv1G0084330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:173917010:173918572:1 gene:SECCE2Rv1G0084330 transcript:SECCE2Rv1G0084330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLFRRLLSTAVVAPAPEAAVAVSAAAPRPTDPALLVRLCTILYQQQNAPDAALQRRLSVLPIPSAPADLRELFLQASARFPLSWRPVQRLLEHLTARHGFAHSPATAARFLDVLAKSSNVDLLHSTLLALPTGLLSDAALRAAVRGLAPAREVGKVSALLTLFPEAQRPRVLAFIVDIVCSVCKLPDVAEKVVKQAEHRYGLARCGSCCELLVVAYCRAGLFSDACSVWNGMEKRGIEPGAAAYEEIVVTLFKNNRIPDAMKVFDGMRRRGLSAGGGGACYHAVVSWLCKEGRTWSAFMVLAEMVKRGVEVDGEVLGDLVYGLLARRRAREGYSVFHGVKEKDIALYHGLMKGLVRIKRAGEATEVFRQMVASGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLEATKFVERTMWGGVDVPRFDYNKFLHYFSNEEGVAMFDEVGRRLRETGHVDLGDIFLTYGERMATRDRRRRAMNGCLTAVEDYSCLSSSQESEARSM >SECCE4Rv1G0258930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:681097754:681100636:-1 gene:SECCE4Rv1G0258930 transcript:SECCE4Rv1G0258930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVESATGADVMSSTNASSSPSTAIDISDAAAAAAVSWPTAYRVTPSLHTQDAVDALCEKYGVPTAYTARPAGDQRVCMPPPERTVGLYAHALEAGVRFPLHSFFSKVLTLFCLAPGQITRSGWRALVGFVVLCNDAGVEPSTIVFRYFFALVKHESRCWYGFRQKHDGRALFSRHSIMERREYYSEAEPAWKGRFFFLTSPEPWACPVLWGEPPSKTKYKYSVTDPVLTSQQKKMVAKLLGAHSTAIDLRTYLSDTKLAAAFSSNLASPPPGLVLHSTGAKGMESSAAKVKPEPDGDAPTVSLKKRKREEAAIAKDRSEQSTPHAAHGCSGSAFSSGAPPGFDPKPDTHDGDSADWEAAKKVLECITTPSRERGFAAANPSDVVASSYSAMLQAANYASFSFGYALELEKKLAARDKENAALRVQLESAKAELTVAKRAAEGEVKAKTTAM >SECCE2Rv1G0075240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:83277925:83280594:-1 gene:SECCE2Rv1G0075240 transcript:SECCE2Rv1G0075240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTAQRPGPRRGAGGKPGSRVQVAVKPDRLSALPDALLHHIMSSLKAWEAVRTCVLARRWRHLWASAPCVDLRVRHSSGRDVDPPEEFRDFVHRLFLLRDESAPVGMLRLRSGDEDAGYDEDDANAWIRAAINRNARVIHLAGHRSEIASLDRVQFVSCHLKVLKLSYARLNDRILKQLSSSCTSLEELDLKDCLVTGPGIVSASLKTLIMLKCKINCAFSIAAPNLLLLRLTTPYVRVPSFKNLGSLVTGTIILDDSFLGDDFEHISDEDDCDGTTDDDGDDSDDNDWTESSKIHDDSSLGDDFGYDHFIRFGYGHTFAEESYTHGRYKDNFDYGSDIDSDDNTYEYSEIANDAKYGCKGKGHLSSKDANYGGNRECSGRKILGGRHILESLSSARTLELLTDAGEVVLSRELNMCPTFGNLKTLSLGEWCMAADFDSLIFLLQHSPNIQKLFLQLKINFNASKASETGIKLQGRSFTCKDLRMVKINCSKDDGRVHKLANLFRANGIPLEKIYVRRSGSAYLRGQKQMKEFARRELEFWGM >SECCE1Rv1G0043720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:595621971:595622650:1 gene:SECCE1Rv1G0043720 transcript:SECCE1Rv1G0043720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLVVILAACLLIFFSNGGDAQCTVKDLVVTQTTAPSQPGGTTYPEHLVTVKNTCVCMQLNVKMACAGFDSSIDVIPAGTITPDGGNALCTLDGGRPVYGNRTVTFNYAWSTNISFRPVSSYIECS >SECCE4Rv1G0295910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:900401513:900403532:1 gene:SECCE4Rv1G0295910 transcript:SECCE4Rv1G0295910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPAAEVPQGQGAYPIPSSPRLDGRRLRRFVVKGAGSVSKVLGDDNLLIEIFVRLPPKPSSLPRASAVCKHWGSILSDPVFHKRFRKHHRKPPLLGFFQGYANRFAPIMDSPDRIPAARFSLPKSSTPYKEHAEYMGCRHGLAVVINKHERNTVVWDPLTGQQRSVAFPPWVDDAFMGCFCTWHGTVLCADAEDGHVHGDCFSSPFKLVLVYGGGYNTKAFCSVYDSVSGVWVDVSSTAIRNEISVSRPSIVVGNALCWLISGGDILVFDFEIQSFHVIEKPAEYHVDDRCFQLLRMEDGGLGLAVLSGLIIQLWERKFDYDGVVGWVLLQKTIPLEGMLPRGMNYVLFVGYDEDTNVIVLTTMIGNFMIQLDSMQIKRIGKRKNMWHNTFYPYTNFYTAGRGVGWKGADLELRTH >SECCE5Rv1G0310420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:168705237:168710650:-1 gene:SECCE5Rv1G0310420 transcript:SECCE5Rv1G0310420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFSRRRMKIGRSKGHKSDPLHGSRSPGGHLSLSNGGDPITASVSGRADDLAYRCSSDSFDLDARALDSSENWAVLSTEGDKPAPRFSHAAAIVGSKMVVFGGDSGHHLLDDTKILNLEKLTWDSTTPKVLPSPIRSTSKLPACKGHCMVSWGNSVILVGGRSEPATDRLSVWAFNTETEIWSLMEAKGDIPAARSGHTVTRAGATLILFGGEDTKGKKRHDLHMFDLKSSTWLPLNYKGSGPSPRSNHVAALYDDRVLLIFGGHSKSKTLNDLFSLDFETMVWSRVKTNGPHPSPRAGCSGALCGTKWYITGGGSKKKRQAETWVFDVLESKWTVRAVPPSSSITTKKGFSMVPLYHRDKIVLVAFGGNKKDPSDKVEVLVVLQNDHSYSWRSAPDVDPLLYEYSPSTKELAGHLNKCAPLYSDSSVARHSLASTVERASREEHAALGASLHRKYGQVEDCSLAQKLEKAIDDDKYDDADDCSSCPASTPKDHRSKRAGADTRTDMARTVAVKEENADNHGPSGRRIARSSSDISHLYNTKITDLIRRNAALEDQLAAALASKEQTEKNLSLVMSSREQLEKRLASRGEEAELLKEKIAGLELAQEDSNSLSNAVHADNVRLEREVAFLKAVADETRKEMHSTRRVLAGEQSRAFQLQVEVFHLRQRLQAAEGRSGTPRKPHNP >SECCE4Rv1G0217980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19444295:19449255:1 gene:SECCE4Rv1G0217980 transcript:SECCE4Rv1G0217980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRPAAGLRPLLLLLPAAALLYVAALSLRFPGRPLGPTTVSVARTAAEATAADRPRLPTPASPRNRRRLDVSRINVLALDAGTPLHAAAARAFRDGGPLLRDALSAASAATPSPSPAPPRCPPSVALSADTPRALALPCGLALGSHVTVVGRPRRVPGGEGGLAQFAVELRGAGDGDAATTILHLNPRLRGDWSGRPVVELNTRFRGQWGPALRCEGWRRSDEDTVDGLVTCEQWTWNAGGTLEELKSMLLRNRVSGQSSSGLIDWPYPFVEGELFALTISSGLEGYHVQVDGRHVASFPYRVGFDLEDVATVQANGDIEVESMFAGTLPAVHPNIMERNLELLAELKAPPPEEPVELFIGILSAGSHFTERMAVRRSWMSAVRNSSSTMARFFVALNGRSEVNEDLKKEADFFGDIIIVPFVDSYDLVVLKTVAICEYAARVVSAKYVMKCDDDTFVRLDSVMAEIKKIPDDKSFYMGNMNYYHRPLREGKWAVSYEEWPRDTYPTYADGAGYIVSSDIAGFVASEMEKGRLNLFKMEDVSVGMWVGQFDAGGGATAGVEYVHGARFCQAGCGDDYLTAHYQSPGQMLCLWENLRRGKPQCCNAR >SECCE5Rv1G0300910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28493016:28493641:1 gene:SECCE5Rv1G0300910 transcript:SECCE5Rv1G0300910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANKLGDPLLATVANKTIPAAVRRATAWALLTACATLVNFALGHAVTYALAHYKLCCSQCVQWTDAEAAEESALWFWMLFCAVLQVAAAALALHLPCRRRWVRRALAYLALVVTLFGHCIYAVHVRLILAADPGHVFARILGTADMVIFAGGDLLCFLGLLLGGDN >SECCE1Rv1G0049510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:641830874:641832325:1 gene:SECCE1Rv1G0049510 transcript:SECCE1Rv1G0049510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTVILYPGLTVSHFVPMVHLAGALVDHGYAVSVALIDPAVHGDPAYRAVVARAVASPSMPSVRFHTLPLAADAPKLTPDAPFIPRYLDIVGRHNDLLRDFLCSFTRGVHAVVVDSLSVEALGVAKRLGIPGYVMFTSGAAALAAFVQLPSVLAEARTTFQELGDAPAPPLQLFGLPPVPASHLLGELLEDPEGDTYKATVTALYGIPQGVGILVNTFESLDAGVVAALGDPRCLPGRIMPPVYCVGPFAGGVGNEAKDRHECLAWLDGQPDRSVVFLCFGSGGNHSAEQLKEIAVGLENSGHRFLWVMRNPSSDDQAMPLDRFGDPDLDALLPDGFLARTRGRGLVAKQWAPQAEVLRHRATGAFVTHCGWNSVLEGVTAGVPMLCWPLYAEQKMNMLRMVGEIGVAAEMVGWQRGLVEAAEVEGKVRLVMDSEDGVELRARAAAHKEGGAAAWSDGGSSRAAFGRFLSDIDSRPAASDSR >SECCE7Rv1G0471200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:128635835:128637001:1 gene:SECCE7Rv1G0471200 transcript:SECCE7Rv1G0471200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRPAAAVLGAGHATTTRCKRSRINNIRSSADYEEETCLGEGSFGCVLLARHRATGKIVAIKYLNWADGSAEPPDPAELLREAGFLEACDGNQYVVGFEGLVRDPANGAYGLVMEYVAAPTLHEFLWNRRHGPPLPESTVRAIMWKLFTGAKKMHDRHVVHRDIKPANILLGQDGELVKICDFGLAISLSELPPYTQAGTAFYLAPEMLLGKEDYDALVDTWSLGCVMAEMLTGKTLFLGDDDDDDTNNEIIQLWSIFRLLGTPDERTWPEFTSLPHTAKALRLLPPGHKQNRLRDLFPQGKLSDEGFQVLQGLLTCNPDKRLTAAAALKHRWFAAPRPAAAAANVGALAFPVKKAPRTRFIPPAMPQKNLLKIPLAVWNAAQQV >SECCE1Rv1G0037990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:533636374:533639498:-1 gene:SECCE1Rv1G0037990 transcript:SECCE1Rv1G0037990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLGACGSRSSPAAAFFLAAAAICAQFATGLADDPSKDGNKDESKGHTGQTVLFVLLGIGAAGLLSFFLFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >SECCE6Rv1G0431670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:747131450:747132231:1 gene:SECCE6Rv1G0431670 transcript:SECCE6Rv1G0431670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQLPTVLLAVCATFLALAAPLLAGDPDMLQDFCVADYKSLDGPLRLNGFPCKRPENVTADDFFSSALALPGNTGNPVGSAVTAANVEKLPGLNTLGVSMSRVDYAPWGVNPPHIHPRATEIIYVLEGSLDVGFVTTAGKLFARTVCKGELFVFPRGLVHYQKNNGGAPAAAISAFNSQLPGTQSLALALFAASPPVPTDVLARALQIDGGLVEAIKSKFPPM >SECCE3Rv1G0197090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:815499516:815500310:-1 gene:SECCE3Rv1G0197090 transcript:SECCE3Rv1G0197090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKDMQKSDTASVVKVYREPAIIINGVPDLPPDFASGSQPAVRDAPGSRVDHRFGEWLEGRKVRKQFGDKYFSGKIVKYDSESNWYSVVYDDGDQEDLEWLELEEILLPLDITIPLRKLVLDKFKHQNAVPDYRLKVAKSSQGTNVASNQMVVRAVNGQQSNNLPLPGLLQASSSNAETVRAEKLKMQTSKRKTEDVKLSDQPKKRGRPRKDRSISGDTEPKKRGRPPKEKNISGESSVYKRNAETIRQEKLKRESLRVRGA >SECCEUnv1G0528730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:5717430:5722440:-1 gene:SECCEUnv1G0528730 transcript:SECCEUnv1G0528730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDMEDEGRREKKRPAAVVGVTSRHAIRSGSISSSYYSPTSLPPPAAADSNIRESEGGHHIAELKRKKGKKPKPLKWRSTNSDMNNRSSEAEEGSDGGRDDDDTVLSSLTRATPSSSGSLISRKRPRTLGKVAEGCDAVDPPVPRKLRSAIIKRVGQAVPSSPRHATKRRHISAISAQIFQMDRETRTDETMPSNSFTKEEEVLADTLLALSQIAPPSEPAAEMATEQDISNTNVASTSCPEGVIAPTGAIKEDDKITLLPTDANKVEAQSACADKRVEPTATASVPQLNPALGAPRISINPDFPKDGKIQDLSLGLFAKSQSPPKESLNKSAWKKPKAQFDGSLSLTNPTKKEAPHWLLNRNKSGFVAHDRTKDENSSAKEVMPAIQAPLPCTSTGSSKKPSSSTLGACTISGKEITTAWAAANNDKLSLPENGGPARTWKRSVTHAYVSHLIQNHLDKDKASQNQVTAQERSHSRISSSPSGSTLNKNGTHFDGRIPVQPSIGVCDMAPGRQAMVSTDYLNMPTSGAFSAPQYVQYIHPQMITTHRGPAPYQSYSHLPCSRGNVAPVMSIQQQMQQYMCSPGYAAHPGVPASQGGMKLQQFAPTPQQQQMWQYHFSQYQPRQAAEGAAWQNGRLRDMPSSSGSLRPMQALHAPPAMPPPPQMELLCGPYQGAGGGGARRPPQLRLI >SECCE5Rv1G0310090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:163883915:163886674:1 gene:SECCE5Rv1G0310090 transcript:SECCE5Rv1G0310090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAAASLNKSLTGFLAHEDPEKLLSLFAAKVRQCRGLGSVDFACALRECRGSGKHWPLVPEIHAKAIACGLEGDRIAGNLLIDLYAKKGLVQRARRVFDQLSARDNVSWVAMLSGYAQNGLGEEAVGLYHQMHRSGVVPTPYVLSSVLSACTKAALFEQGRLVHVQVCKQGLCSETVVGNALIALYLRFGSFSLAERVFSEMPYCDRVTFNTLISRHAQCGNGDSALEIFEGMRLSGWTPDCVTVASLLAACASIGDLNKGKQLHSYLLKAGMSPDYIIEGSLLDLYVKCGDIVEALEIFKSGDRTNVVLWNLMLVAYGQISDLAKSFDLFCQMVAAGVRPNQFTYPCLLRTCTYAGEINLGEQIHSLSIKTGLESDMYVSGVLIDMYSKYGWLDKARRILKVLEAKDVVSWTSMIAGYVQHEFCKEALETFKDMQLFGIWPDNIGLASAISACAGIKAMRQGQQIHSRVYVSGYSADVSIWNALVNLYARCGRSKEAFSLFEAIEHKDKITWNGLVSGFAQSGLYEEALEVFIKMYQAGVKYNVFTFVSSISASANLADIKQGKQIHATVIKTGCASETEVANALISLYGKCGSIEDAKMQFFEMSERNDVSWNTIITSCSQHGRGLEALDLFDQMKQEGLKPNDVTFIGVLAACSHVGLVEEGLGYFESMSSEHGIHPRPDHYACVVDILGRAGQLDRARKFVEEMPASANAMVWRTLLSACRVHKNIEIGELAAKYLLELEPHDSASYVLLSNAYAVTGKWAYRDHVRKMMKDRGIRKEPGRSWIEVKNVVHAFFVGDRLHPLARHIYKYLADLDDRLAKIGYRQGNCSLFQEKEKEQKDPTAFVHSEKLAVAFGLMSLPPSMPLRVIKNLRVCNDCHTWMKFTSKVMGREIVLRDVYRFHHFNNGNCSCGDFW >SECCE6Rv1G0396210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:360484449:360488744:1 gene:SECCE6Rv1G0396210 transcript:SECCE6Rv1G0396210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLMRRVLSPAPPSPLAGAVFRRTVTYMPRPGDGAPRTVTLIPGDGIGPLVTGAVRQVMEAMHAPVCFETYEVHGDMPSVPTEVIESIRRNKVCLKGGLATPVGGGVSSLNLQLRKELDLYASLVNCFNLPGLPTRHDNVDIAVIRENTEGEYSGLEHEVVPGVVESLKVMTKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIQYSEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVSNVAAGIAGGTGVMPGGNVGQDHAIFEQGASAGNVGNDKIVQQKKANPVALFLSSAMMLRHLQFPSFADRLESAVKSVIAEGRYRTRDLGGTSTTQEVTDAVIAKLD >SECCE7Rv1G0476270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:200112758:200118542:-1 gene:SECCE7Rv1G0476270 transcript:SECCE7Rv1G0476270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPSVSLRGDAAEAGAAAPDPAALARWARAFCIIRFDLERGQLVEACFPPDALAHGGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPSPSAAGSRRGFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDIGHTALTMVASHVAAWPAPSPGCPMELPIGSAALRVHLPPAANDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPILVVAPSPPQCSEAVAGLVSLVAPILYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLRSLKSVPNVVSVGSPNPNSTRVLPAGGQSPASANGSNGTPVRLKLDKLAINKFSPTGLLNSIKLRREGPLSLMTEHKEALWSTYVPTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPLEGSSPFVDPPLLPPFHADEFVNGLASRGPGKFLSKRMKSNWLNLYRKFLEGPNFMPWFRQRRSAAEQDQLRLWRQARMNVDIEKLMSKMSELERIDSFNVIERYLLKEMENSRTGTAESIAACQKLKADLQAAFSVLPKDMQQLLLSNPKRAVLLQASQEKVSGLEDVVSQTSL >SECCE7Rv1G0519800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865783303:865785614:1 gene:SECCE7Rv1G0519800 transcript:SECCE7Rv1G0519800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQTRVFIVHMLPADASRFFARASATNMMTEGYVWIVTDNIGIVLDVLPQHTIGTMLGVVGFRPYVGKSARVSGFMARFVTRYRAKFHQDPDVRVARPTIFQYWAYDVVWAIASATEKAINLGSSTPGNIGNLVQVSPAGQELLNSIMDGEFDGLAGRFRFVDRQLPVPAYEIVNVIEEKTRRIGFWSPVSGLSPFLNSSTQPVQDERRLHADQVLRTVIWPGDSTTVPRGWDFPVNGKILQIAVPVRRDFKVFVNVEASPNSSELVVSGYSIDVFEAAVKKLPYAMRYKYIPYDCANSYDKLVSEVYFKTFDAAVGDVTIIANRTRYVDFTMPYTESGVSMLVLARKDDDEPTMWVFLEPLTKDLWMATVAFMVVTGLFVWVIEKPINEEFKGSKWRQFSTSFYFAFSTWTFTHDQKFKSLQSKVIVVSWCFVMLVIVQSYTASLSSMLTAKRLQPSVTDPRQLLHNGHYVGYQNGSFVHSMLRRLGFEERWIKVCSTQEEYAKALKLGSKNGGVSAIFDETPYINSFLLQYNKGFQKVGPIDRTVGFGFVFPKGSPLVEDLSKAMLNLIEGPEGSNIEKKWFSDPILSLDYGSSDTDSLRLSSRSFLGLFIINGCVLVLMIIINLSRRACAKSTAKRNTTSASNSEAQPSLNCNGVPAIQSL >SECCE4Rv1G0253300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:625407631:625410471:1 gene:SECCE4Rv1G0253300 transcript:SECCE4Rv1G0253300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAEEADSPPTHMSEDSSGSSSGGRRTPDLRGGDNGGGPRASSSSAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVQRFRGVAAVVLKGKPRFADFSLLPDGWGANVKPWLEALGPAYPCLERICLKRMTVTDDDLGLVARSFPQFKELSLVCCDGFSTLGLAVIAERCRHLRVLDLIEDYVDQEDEAVDWISKFPVSNTSLESLMFDCVSVPFNFEALEALVARSPSLRRLRVNHHVSVEQLRRLMARAPQLTHLGTGAFRPEAPQGGGMSVSELAPSFAASTSIVCLSGFQEVNPEYLPAIYPVCGNLTSLNVSFASLTAEDLTPVIRQCHKLQTFWVLDTVGDEGLRAVAETCSDLRELRVFPLDATEDSDGSVSDVGLQAISEGCRKLESILYFCQRMTNAAVVAMSYNCPDLVVFRLCIMGRHRPDRITGEPMDDGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSLAFSGNSDLSLQFLFEGCTRLQKLEVRDSPFSDRGLLCGLDYFYNMRFLWMNSCRLTMRGCREVAQRMPNLVVEVMEEPNEDKVETETVDKLYLYRSLAGPRGDAPELVKIL >SECCE7Rv1G0476440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:204142471:204156344:-1 gene:SECCE7Rv1G0476440 transcript:SECCE7Rv1G0476440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEEPAPTPTPSPAKPSPSSSDAKRLRRCVQSRLPFGSGRPGGGGGGGVAPPAPAAEEAAGKEAAEEPEKGKRKARPRRSAAVRKPSSNKETTGLDQGSKDEVILVDESPQKKQRKGRNQDAARKAPNRKRCKVLESPDGHVSCQQLHSSQTEAILPEGSPVSIDIDLNNVSSEATQANANDVLDNEEKSQVIVDLRSEAKIAAQEIRMLSSGKKLHPFFASRKVNKGAEQDAFNIEDTNSLSAIERDPPLWPVHVMYQLEASIPIHWSKWLIAEGSFLDTSAADTLENPVSFFEGFVKPLTIESNSKRMCLDQLAEQNVANHTALGMDFPSFPKEQSESNLSSLDVIHLDEESSPHDSLTYNKHPERILQGRPEVDQKGSCQPAYYLWTDKYRPETAAQVCGNIEHVKFLSEWLKGWDERGHKNKQTGVANESINASFCQDESDTDCSEDASDYENVLLITGPVGCGKSAAVFACAREHGFNVIEVNTSDMRNGAYVKQKFEEATKSHGLEKWSQEEVTTPPRIDSLDPASETPDRTEYKHLVSCSTRKASNDDEYMLPVKCYSSSKLSDEAPKQVINKTLILFEDVDTVFDEDRGFISTILKIAETTRWPIILTSNKKDPALPHLLDQLVLDFKYPSSGELLSHVGMICKSEGVDVTAPQLKYFINACLGDIRRTTMLLQFWYQGKQEYTERSNKCLSVPFSLDLDAVHSTVPRMLPWDFPCKLSETVCLEIEKTIHLAIEKKRQMELSEFEALELQITAPLTKGRSAVKTRKIKKSKLKHGHSTERNDISPCKNDLDDFDDAPDTSLPSDQQKVRKKHGVVLLSESDDDQADAYIAKDARFSVPEGDLFPQPPEVPHIHGQGISNQFCFPSESRETFEITNSFQNQFESNLVGSISHICDTFMSQGVSCVPESSLAVGGVSASVSSDDLLSSMVFNGLSTFNNDGVCTTPMTALEDSNHARSLMSGSQKCMEDVVGETCEAYAESFCRNEQESCSTTGYQLMDECSRADSIWLLSGKKTNDCCKVERVQDTWNRLRRCCPVLPCETNHNRTASGALKLASGVSDLISESDLMLTRCYPLTNDILDPSSTPSAEPDDLSWYDKHLEMGSVYAQHALCVFSRDFQDKEDGFIDLSQELLFASTTATSLGKLISSGINSDDGYGNISHMKNPTSCISKGREQLVHLCDALFPVVPSKLSLSLRGPAFVDYLSSTCQISQLENLRLTDSQVANKQRRCRQSRHYLSSAALSMSPEGIELLAQSSRFGDRREKVIDQTIA >SECCE1Rv1G0062960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721811298:721812383:1 gene:SECCE1Rv1G0062960 transcript:SECCE1Rv1G0062960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGTRQQQPAAAAVAVDPKFEWAEKAGTYVLRLTLPGFRKEDFRVQVDGAGRLTVRGTKPGASLHKVFQLPATASLDDIAGRFEAGVLTLTVPKRAGVTPPTSIENAKPMPPAEVDGAKKMSKEEGAAKLTPQAEVDGAKKMSMEEGAAKPTLPTSHQLPSKDSGAGKKETKQPATRDDYGEKNEAVVATEPKESMVGKTTRQVAEYVQRMEEESRRKQIEHKPAPAAKKEEEVKPKAHQPAAAAATPEKPEVANGEKAKAAVDPESLEEKMRQRGEEERAKATAAATGIETKAEQAKKAVASTCTAWKERIAGELKGLTDMKWADNAVETARKNKEVIAIGVAAFSIGFLVSQKLFRK >SECCE6Rv1G0386180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:92569481:92576771:1 gene:SECCE6Rv1G0386180 transcript:SECCE6Rv1G0386180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFNRHKENEEARKKREEDEAARVYAEFVKSFEGESASGSKFVRGGVIDPNAKLRADSEGGNSKDRGSVPKKGSRYVPSFVPPSFGREPEKKKEDERPKEKEKGKPRAIDTVMEELKLEKELRERRNQERASRHGDTSVPSTRFDELPDEFDPTGRLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERKRQRHCGFVAFMNRAEGQAAKDEMQGVVVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGGTVIISGPGGPPVASVTQQTSELVLTPNVPDIMVTPPDDSHLRHVIDTMALHVLDGGCAFEQAIMERGRGKALFNFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPTLPSSRSPDREKESTFAAGRTRRVEVERTLTETQRDEFEDMLRALTLERSQIRAAMGFALDNADAAGEIVEVLAESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAAIPDVMESFNDLYCSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLKATFLRPGNSGVTSFHSLCGDAPEIEKKTSSEDNNGFRLDEDGALATGKAAATKELLSLPLAELERRCRHNGLSLCGGKETMVARLLSLEEAEKEQVYQKDVAMKYVQGEPHRTGREDVGLNARSVLRLGEGTGDSESDMLGLSRNSMQTGQRRSRECVSAEPEQVSSKKQKADPVLPASKWNREDNDNEDRINGQGLGLSYSSGSDIAGDSGKVDTTEISTDQAIHHPDTIVDEEHRQKLRQIEIAVMQYRESLEEKGLHSMEEIERKVASHRRRLQSDYGLSSMDGANNRRSSERLSLERKERADDARDSSRKRPRSRSRSRSPSRKSSLDRDREYNRSRGRLHGNDAGRDRAREKSSGRGKDDHHDRSSRDREKDRRTGR >SECCE1Rv1G0039450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:548346863:548347546:1 gene:SECCE1Rv1G0039450 transcript:SECCE1Rv1G0039450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPGSFSRRSGDSFLLPRPPPVTDVGCGCRTPRVLSSIYSSLMSRARGGGGRGTKPKSPHASSTSTATAFSSSTAGATTATTVSSLDDSWGLATYATNTLYEDDPEARRRRQRTRTRRHRRQRNHGSSRRPERGVAMMARGEGEEEEEAAVAVEVESAMPYEDFRESMVAMVVEKEMYAWEDLNALLHGFLSLNSPRNHPLILHAFADLWAPRGGLFCPPSPCLGL >SECCE1Rv1G0031960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:448857873:448859509:-1 gene:SECCE1Rv1G0031960 transcript:SECCE1Rv1G0031960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGGSIPRPQTASARRLGRSSSSASRAGAGAFAYDGMRAAPLFSSANFARSLRKAASFGHKKKPSAGDADAAAQPPRRALSSKDQNTVHGADAGAVTLSPRRSPPQPGVGVRQGPWEPTRRRRSTGGPSPDEAPANKVSAGALRDMMTRKREGSEKEETVHRARVLAGQLLQWRFTNARMEKAVARATSAAENKLFYTWLRVAELRNIHAAKRIVAQRRRQKLKLERLLRPQLPLLAPWESLDKPHADAVSDLAGALSAACTSLPLTAGAQVDMESLHEIVSACVGTVTEIEANADTFYATAGATSGALGELARTIRQEVEGLEEAMRLSRVVTRLQMQEASLRTNLVQAKQKRDPVMGVAPAIAASGWCY >SECCE5Rv1G0364250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:800029704:800033998:1 gene:SECCE5Rv1G0364250 transcript:SECCE5Rv1G0364250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAPHIMSLHDSGPPLLGRAPQHQQPGDDRDEPPHGALLHHPPQHPAVAALEDRIVSRDRDIQEFLVDNQRFAATHVALQQQLISAQHELRAVSIAGTRARMEREAEVCAIADQAARIEAEARSVAGARVEIEQVHADVRVLAAARNELMDRLKGLREQLGRAQSNSAKSENVRSQIETMRREIQKGRAAVEFEKKAHADNLLQSKAMEQNMIAVASEIERLRGELVNAEKRATAVTTAAAVTNPGYAQPYSSSEAAYGTMYGNPEAAYAAYGSAEATYAGTYASSDAYGTTNQAQTRTDGNQHYMAQPVHYAQYEGQQQQQQQQHTNVQR >SECCE1Rv1G0037490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:524856169:524857077:-1 gene:SECCE1Rv1G0037490 transcript:SECCE1Rv1G0037490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAENVDAGATAQLQLKLFGSWASSYTHRVQLAMRLKGLGFEYAEEDLGNKSDALLRHNPVYKKVPVLVHDGRSLAESVIILQYLDDAFPATRQLLPADAFDRAVARFWCHFGDDKLGPAVGAVFASTGEEQEAAVRQVHENLALIEAELREGAFKGRRFFGGDEVGFLDVVLGCGSYWLAVFEEVTGVQLVDAEAFPLFHAWLRDFEAQDEVRETIPSIDRLLEYARGLRQMLVAMAAGAGAGAASADAPTAAPPAVAPPAATTADIAVDI >SECCE4Rv1G0295660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:899311981:899314049:-1 gene:SECCE4Rv1G0295660 transcript:SECCE4Rv1G0295660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRSSPLEDEDLLREILLRLPPQPSSLPRASLVCPRWRSVISDHKFRRRFHEHHQNRKPPLLGFFTWPDDDNYVFRPLLDPPDRIPAERFAVPLSRPEGWDFMGCRHGLAVLICQSRRETVVRDPVTGQQRHMTFPKGLDDYAEWLHWHCTVLCVDTQDGHVHGDCFSSPFKLVLIRACCTHASVVVYDSASGVWGNIISIVTTGLMSSRPGVLIGSALYWFIHGGVVLAFDIERQSLSIIDKPTDVHYSHFLTFQLFRTQDSTLGIAVIPMSDHNIQLWARKSNSDDVVLWVLQKTVQLDKLFSRPSHVNILLMRGYDEDTNTIFLSSNLGDFMLQLETMQFTNIGIGDPWSFRIYHPYNNFYTTVRGVAGGDGGAEHVNR >SECCE7Rv1G0459070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:24188126:24188992:-1 gene:SECCE7Rv1G0459070 transcript:SECCE7Rv1G0459070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCWLLLHLLAFLLPAVSATSCHADDLRALQGFAGNLQGGGVLLRAIWSGPSCCGWEGVSCDGTSGRVMALWLPGHGLVGPIPGASLAGLTQLVELNLANNKLIGTIPSWIGVLDHLCYLDLSDNSLVGEVPKRLMQLKGLVTTRRSLGNRRTLQQQQPNIISGTNNKVRSGRTNVVSGNDNTVIFGNDNTMAGSNNTITTGSNNTVTGSNHVVSGSKHIVTDNNNVVSGIDNNVSGSFDTVSGSHNTISGSSHTVSGSHNTVSGSNNTVSGRNHVVSGSNKVVTGG >SECCE3Rv1G0183590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:651999089:652000069:1 gene:SECCE3Rv1G0183590 transcript:SECCE3Rv1G0183590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRAQAVDSWPKSCNSRPIRNLQVQGNGETPIWDLDDCEETAELGSGNFGTVIKAWSYKTGESVAIKTLSSSSHDAVRREADLLGKCRGHLNIVQLRAMSFDRNADRLSLVMEYVGPSLHDVLHRDRRGCPFQEDVVRYLMRQLLSGAEHMHQRSVVHRDIKPENVLIGDGVKICDFGLAMCMSQSPPYGYHGTRSYMAPEILLGKPDYDAAVDAWSLGCVMAELLLGERLFGRAADDADQLLRIFHILGVPDQISWPSYNSLPLAGELVTPPSIPHRNWLREMFPEDCLSRQGFQVLSGLLSCDAGKRLSAAAALKLPWFTTN >SECCE4Rv1G0253600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:628309379:628310586:-1 gene:SECCE4Rv1G0253600 transcript:SECCE4Rv1G0253600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGKFTDTSVWGPKQYILAAIAVTLAVSAVAVVTSVILSPARIVFSVTATSTNQLVQGAPVLILNFTVDAANPSHRAGVEYSSLRARPRVHSHSVPLLHSASHGSDAWVQMVVHQAMPLLQPPASSSSFRASAFFDQVFVAGKIGGIKGGRAQRAPPMVVLVRAQVRFMVGLAYSRPYDVEVSCQPVDFFTAAAAGARIGCVA >SECCE7Rv1G0459490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26075278:26075955:-1 gene:SECCE7Rv1G0459490 transcript:SECCE7Rv1G0459490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFDCGAIDCGDCCDECFDCCDEPCFCCVCVSDAISGKALLRILCGLVIFAVLATVVTLLVIALVPRRVGVSVEDAALADKNATAYDISIAVAVRNGNWFMPTEHTAPLYAELLFDGARFARVGLATAGSVVRPRRREVYHATAAADNAGVALGRAGAADFINDSAAGMFHLEVKLVGEVMYRPHHKKHRLDAICRLELGPSTATSPAMFRKVKCDLQKDHGAR >SECCE2Rv1G0073610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:69899292:69901400:1 gene:SECCE2Rv1G0073610 transcript:SECCE2Rv1G0073610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQIWGAGRMSKRNHRNLAGSLRYCGGDHPLRKRGHDEEWRDWPNLPTVLLDDIAGRLLLYDVAEYIRLRAACKEWRNCTDDPRAGGGLDRRFRPRRWIMLSNRTEGDGRRFLNLSTGASACVDLPELSRHHLETSTEGLLLLRGKASHAVRLFNPLTRAFTNLPPITPDLGRAYIVWTGLLESSERLIYAGISEETSPASVVLLMIDRGRAIVYAKPGDQRWAVIEHDEIGRPNRYASYRLSSASTLQGRFYFATLEGNIMHVRLCPEPRLVPIVVHQPKTRGDVFSYLVPPDDHRCGGMLMVRYYLDLDHLSADERRIMTRRRKRMDVIRVENRLKECRWNLIQVFEVDVAGKRLVPVEDIGRHRAVFVGEAACFSLSARTFPCVAGNAVHLGATGVRYPPVGVRYLADKSADPPFEFTTDRPASPDEPLKWYHRHNRPELNLFLDTGPELNLVPLARPCTLQEYLVCCAGLPGGLKD >SECCE2Rv1G0105720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:624480526:624486557:-1 gene:SECCE2Rv1G0105720 transcript:SECCE2Rv1G0105720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETGKAEASAGAGSCGGGGGGCEAVKKRAEQSVAFHELFSFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELVNGFGKNQHHLRRMTDEVSKYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLATFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQSTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRSGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDSTDGRCLDEVHGNIEFKEVSFSYPSRPDVMVFRDFSLFFPAGKTAAVVGGSGSGKSTVVSLIERFYDPNQGQVLLDNADIKSLQLKWLRDQIGLVNQEPALFATTIIDNILYGKPDATMAEIEAAASAANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRIMIGRTTVVVAHRLSTIRSVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGASTRKNRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPKGYFFKLLKLNAPEWPYTVLGAIGSIMSGFIGPTFAIVMSNMIEVFYFRDPNAMERKTREYVFIYIGTGFYAVVAYLIQHYFFSIMGENLTTRVRRMMLAVILRNDVGWFDEEENNSSLVAARLNTEAADVKSAIAERISVILQNMTSLLVSFIVGFIIEWRVAILILVTFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCSELRVPQMHSLRRSQISGVLYGLSQLSLYASEALILWYGAHLVRHHVSTFSRVIKVFVVLVITANSVAETVSLAPEIIRGGESVRSVFAVLNSRTRIDPDEPEAEQVEKVRGEIELRHVDFAYPSRPDVMVFKEFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPMAGKVMIDGKDIRRLNLKSLRLRIGLVQQEPVLFATSILENIAYGKDGVTEEEVVEAAKVANVHGFVSALPDGYRTPVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVVQEALGRIMKGRTTVLVAHRLSTIRCVDSIAVVQDGRVVEQGSHGDLVSRPDGAYSRLLQLQLHHG >SECCE5Rv1G0321360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:402253935:402258364:1 gene:SECCE5Rv1G0321360 transcript:SECCE5Rv1G0321360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPHMGKLRRGVKEEAVDDDGGAAGAAGAEASPFHKRSRLAQHQQQSQQWSTGGASVSNQQSTQHDFLEEPSPLGLRLKKSPSLVDLIQMKLLQAGKAKDARHGGTASASEKLKASNFPGSILRIGSWEWVSRYEGDLVVKCYFAKHKLVWEVLDGGLKSKIEIQWSDICALKVVCPETEPGTLEIALSRQPLFFRETNPQPRKHTLWQATSDFTAGQASMHRRHFLQCAPGMMNKHVEKLVHCDPRLCSLSQQNEITLENPYFESRSSIFEDPDDVKCQNFVHNKDDDDQLAPQRFRELLQHHSASGRIDAEERQEAGTSDGLPRDFSNSVTDGQVIKQGGGSSVCEPPTSILSWNGFKLPGIRRSMSKSEIANHIGHHIYRHMYSGNLPAADGGAGTSGKLALDDITRYLLNDSQIIDGGHDSMGKLAFDEMTRQLLNDSQITIAADERMLMSRVNSLCSLIHRDSGTGQVNTGVDSDNEIYERKPQPNAPPVGGDSGNGSLPPRQESFGDLLTHLPRISSFPHFL >SECCE5Rv1G0304680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:61375434:61375931:-1 gene:SECCE5Rv1G0304680 transcript:SECCE5Rv1G0304680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKKLVQFGKGFFERKEESTSTDMPLGTAMHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPEDHPHGGGEGKAPIGRKKPTTPWGYPALGRRTRKRKKYSDSFILRRRK >SECCE2Rv1G0118380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:782019020:782021336:-1 gene:SECCE2Rv1G0118380 transcript:SECCE2Rv1G0118380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAGGSRGLLHALLLVGAALAALTGGAEAWAHHGAAGARPGAERRYRDLAAGRMESVRSSFGKARRGLATSSASSRVYHVTDYGADPTGAADATAAIKKAIADAFSLPSNATMTAGIPDLGGAEIHLDGGSYLVNGPLTLPASGGGNFKIHSGSLRASAEFPADRYLIELSAGSSAPSSSSYHYEYVTLRDLMLDCNYRGGGVAVVDSLRVGIDNCYITHFETEGIEVRGGHETYIRNTFLGQHMTAGSDPGERSFGGTAIRLDGNDNSVSDVVVFSAATGIMVTGGANTISGVHCYNKATGFGGTGIYLKVPGLTQTWLTNCYMDYTSIVAEDPVLLHVSGSFFLGDANVVLKAVRGVARGVQITGNMFNGRGKGVDIVQLDGAFGTVEQVYVQQNSAMGMNLKATTARGSAEGNGSSWTVDFAPVLLFPDRIGHVQYSLVAGDAFPGHTLRNISGNQVVVATDKAVSATVHVLVDQNSN >SECCE2Rv1G0102460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:577653303:577662029:1 gene:SECCE2Rv1G0102460 transcript:SECCE2Rv1G0102460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPSLAYFPSDNALLESSGLPWGVAVTPFSSTDERGSPPVTGDEGDLIPRCTSCFAYFSTLCSLHRWSWTCPICSEDNDLSADAAARYARDGSHDPPELRSAFVDLLLPGEEGEAAAATTPVYVAAIDLCSSEEFLELVKSALLAALEALSPGSLFGILTFSSKIGLYDVQGPIPIVKNVFIPPDSDGTLHVDLKDVMPFCSFLAPVGTFKDRIAEALETIKPIASWERATTASQVQDHALHHTRGFGVAIDALVDYLSVENGTTFELARIFVFLSGPPNYGAGQLDARSNGDHNTGKVVDSNNTLLPEETSFYKNLAASAVQAGACVDLFAITNEYTDLTSLKVLSVESGGSLFLYSNTDESTLPQDIYKMLKRPYAFGCVLRLRTSPEIKIADSYGHFFPDPQYMHVQHINCCDSFASYTYDFEFEKDSQFSRKSRPPILQIAFKYTMIVHHGDTSDDASNSGSRSKFSVQRRLRVRTIQYNTTANIWDLYDFVDPDVVLTILVHQVILASLSDVVEARLWLHDWLAIFIAQYNKAYKNVRPADSGVSDIDVDFSNCSQLQPLARLVFAFLVSPLLQVQDEHSHPDYQTYLQCLFSVLEPASLRQAICPTLSSYSSPDTEAEVHQSLSRSVFTSERPIFLLDAYTDLLVYYLPTASPSIPFPPPRDCLLRSTVDRLKQERTLTPRLAFIHGARDDTTTFEKYLIEDRALDGTLLDGSTGFRSFLEEVRSRVAEFGI >SECCE4Rv1G0256100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:654030182:654031060:-1 gene:SECCE4Rv1G0256100 transcript:SECCE4Rv1G0256100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAHTILGTVGRLVGEEFRLLRAVGGEVVELRDELATMNALLRMQAEAEDGSVDHFIREWMKQLRELAYDAEDCVDLYIFRIKCRFGDGFLAWSNRLLVTFFPRRRLAGEIISLRARAVSISERHARYGVSREALHRSPSLAPTPVASASSSGVALRPANDPDQIVGIQDEAKTLAGKVKARDDDEADNKPKVFSIVGFGGLGKTTLAMEVCRQLEAEFDHQAQVSVSQAFDGTKDIEGLLKRVLQQIMTAQIEEKENKPQNGKEENIGDMDVTKLASKLKEYLANKRYG >SECCE4Rv1G0256660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:658717736:658724666:-1 gene:SECCE4Rv1G0256660 transcript:SECCE4Rv1G0256660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTATPTKSKSKSKPGPSPSKATAAAAAAQMDFSTPSKPAPTPTTTPRRKSKPVPYAQPASPATPSAVRRSRRLLDTPTKDLSEAALFETPAKPKPAPAPAPTLKRKRAAPSPSPKTPSRAEPKPKRQRRAPTKRAFYRKVVYDGGEFAAGDDVYVKRLEGAESDAEDPEEPEDCRVCFRAGGGVMVECDACLGGFHLRCVRPPLRRVPEGDWACPYCEAEREGRAMDRPKPPVGKKIKRTAKEKLLDSDLWAARIESLRREPDGAFWAKVRWYTIPEETAAGRQPHNLRRELYRTNALGDIEMESIVRHCYVMSPKEYRDAANDGDDVFYCEYEYDVHWHNFKRLADIDDEPETKEDPSDEPYNAANDYNSDTDEDSEYDEEDEPVARCSARKNQPNDKILAANSWKGRIYGLQKIGIRKIPEHVRCHQKTALEKAKATLLLATLPKSLPCRDKEMEEISTFVKDAICNDHCLGRCLYIHGVPGTGKTMSVLAVMRRLRSEFDSGALRPYCFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSDGKKIGKQANQPIVLLIDELDLLLTRNQSVLYNILDWPTKPNSNLVIIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYRQLQEIITSRLKGINAFEDQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQFQQAEQTPSSANTGNGFVCMGDIEDAIQEVFQAPHIQVMKNCPKFGKVILAAMVHELYRSGLGEVLFDKLAATVFSWCHVNRELLPGYDTLLKICCKLGESKIVLCEEGTKHKLQKLQLNYPSDDVTFALKESPDLPWLSKYL >SECCE4Rv1G0285650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:845965558:845967865:1 gene:SECCE4Rv1G0285650 transcript:SECCE4Rv1G0285650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPFRGRLLLRLRLLFSSLLRRSLSRWAAGPTRPGRFPCRYAVGLEKMTLTVSASCVHTHARSAPPLDVSAGVSGSIVFASPERKTTKNKPTNTSPTSIHPCSRTSPCRAPASSPPTGRGVMEGAVLCAANHAPLTPLSFLERSALVYADRPAVVAAAAGDAPPRTWRETRARCLRLAAALAGLGVQRRHVVAVFAQNIPAMCELHFAVPMAGAVICALNSRLDAAMAAVLLRHSEARVIFVDAALLGVAREALRLLSDAGATAPAVVLITQLLEDGNDASPFPSGATSSAEHEYEALVSGGGSPEFAVRWPEDENEPIALNYTSGTTSRPKGVIYSHRGAYLNSLAAVLLNDMPSMPVYLWTVPMFHCNGWCMAWGVAAQGGTSVCLRRVTGAAIFDAVARHGVTHMGGAPTVLGMIVHAAPGDRRPLPPGRKVAVMTGGAPPPPAVLFRMEALGFLVIHSYGLTETYGPATVCTWKPEWDALPADERAAIKARQGLHHLGLEVDVKDPATMRSVPADGATMGEVMFRGNTVMSGYYKDAPATAEALAGGWFRSGDLAVRVPGDGYVKIRDRSKDIIISGGENISTIEVEAALFAHPAVAEAAVVGRPDEHWGETPCAFVVGKAGERVEPEEVVAFCRGRLPRYMAPRTVVVVEELPKTATGKVQKFALREKAKAMGSLSASKL >SECCE7Rv1G0475710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:191163991:191164980:-1 gene:SECCE7Rv1G0475710 transcript:SECCE7Rv1G0475710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDQMLSPLLGGAGRSAWTPRLQQLGGDAVTRQILKCTRWQLEETTDFVTCPYHYYCDSSYPGDYHSAVGALVAAFAAYCFVAALAFAVLDLARSGAAGVRGVKRKYLVPSGPFLLPLVLLALAKGQRVNAVFPLAQLGPALLLLLQASALAFRNEADGDIRYAVLEASTVSGVLHASLYLDAVVLPYYTGLEALRWSRFSGECASCLCRMEPLVVGGTAMRYRGLSKTALAIIFALCSRMVCRIYGEERLSAWTRSALEGVGWVFVAADAVYLVGWVAAEGGAVGVAAYSLVAGLVFLCVFGKVYRFLAWVESRQSQWKSSLCHTVV >SECCE5Rv1G0334610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566904630:566905046:1 gene:SECCE5Rv1G0334610 transcript:SECCE5Rv1G0334610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVESHRAGAEVHKGNVICKKKTVELLEELGLPKGLFPMDDIEEVGHNCESGFVWILHKKKKEHTFNKINQTVSYDTEVTAFVEKGKMKKVTGVKIEDVSLVEVYVDESSSDKVSVKTDTGLSDTHDAAVFALGE >SECCE2Rv1G0099150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:510015626:510017695:-1 gene:SECCE2Rv1G0099150 transcript:SECCE2Rv1G0099150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCPGGAGVHARWTARALAGAFLDLTIVYAFLCAAAAASAASALLALFRFRLPCTCSRPHLPCLFAFLFRYPSRVLDSLLLSLRSRFPFVVHSDDDSPNEGEEEDESVDEEDEEVNLKREVDEGNDVSALQQELDKERSAAASAAEEAMAMILRLQKEKSSLEMEVRQQRRTSDERCAFYEDEVEELKDILLMRERETRSLQKEVESYRRMLGLTGGDDDEEEEMMTPHNYFLEGEPSSSRSVDRNVGSDGTVQLGNNSVFSFQKQFVPQQVSPIRVGRVKDGNEDSLPFQALGEVAVVGSKLGADRCEDDGTETVVILPLSARSLDLPQSARCLDQGGDVEVNAAAGMKGMEELTANEFQEVDSGRLDKTCHDFMASDNDANIFDVHVVDDICFSTEVKGLIGRSFSDATMQADKLQNRAAADDLLGKSLNAIKGAQNKIRLAASERRQSLQLQLLEDIADQLQEIKDVADAGRQLHCISPKISKKS >SECCE2Rv1G0096220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:435136463:435142065:-1 gene:SECCE2Rv1G0096220 transcript:SECCE2Rv1G0096220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPKPWERAGAEGTSGPSPFKPPSGGSTSDVVEASGTAKPGETIAATERNMSANVNNPISRPMPQRPWQQTGYGNSYGGTGYGSNMYSSVGGYGSTYGSGGLYGNSMYSSYGGGGGLYGGSGMYGGGMYNSGMGSSYGGYGMGGMGGMGGMGGMGPYGNPDPNSFGPPAAPPGFWVSFLRVMHGAVSFFGRVAFLVEQNTQAFYLFITAMLQLFDRSGMLYGELARFVLRLLGVRTKSKKGRVQGAEAPAFEGPGQQLFEAPKANNNSWDNVWGN >SECCE3Rv1G0177730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:480270008:480270259:1 gene:SECCE3Rv1G0177730 transcript:SECCE3Rv1G0177730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITNIRNWVIHSITIPSLFIAGWLFVSTSLAYDVFGSPRPNEYLTESRQGIPFITDRFDSLEHLDEFSRSF >SECCE4Rv1G0257770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:672799370:672804080:-1 gene:SECCE4Rv1G0257770 transcript:SECCE4Rv1G0257770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAGIVSAGAADTGSPSARLAAGEEEAGAGKVKLLCSYGGRIAPRSGDGALRYVGGQMRLISVPRAASFGELMRKVEAVDESGASAASAAAGGVLVKYQLPGEDLDSLVSVSCAEDYDNMLEEYEKLAAAAPDGSAKLRVFLFPASGADSASGSGPHLPAAAAVDEAGQRYIDAINCVSADAVAAIRRRESVASGGSSAHNSEASEPAGLAEGMSPRAVPPPSVPPEYLYSAGSHINHTSSPFPQPLGFSAVAASTPAMGIPAHNPVLLRPEPQPLQPHQVASYALPHQPVPVASYAPHHQPAQQVASYAPPLQPQVASYAPPAPQQLPQVASYTSQMPQSQQVQYINAQQFGLHGVSQSGNLMPAHMSQYVPSTLGTNSMATTGTQIGAFRPVSAGTERVLENLHFTRPMQTPVDPNYRVLQPLSELPPLPHTTLQASDAQRYGVQTVLTSTASSPVTTSSRAFPVVVSSATVPSLRYDDCTMCQKILPHAHSDNMIQEQGNPHALNYPDVSPVFYSLHQENASKQQVPAAIPVSSANYIAEPRAESTAGMAQFDPLSARNPAVQAAPSQDAGTLVRPTMVTVPVSSIPTSNGVFVGQPPHTLAEDFLMYQRQQQHPYSMQPTQVLANGVSSNPQGIDASAFKNSNHPVAEPIGEYAHDVPHDYVRAIDARMQGIQLGPIAPPESIVQGKSAIPHGAVGDGIVEKPPVIIDGGPIYKSQAGGYHMGTSNDFPVPSFILEDNVVRHTEQPPPSRNVGANNVYPEIIQQPSMLLKNNLGVPIEHPVPSESFLARPAYSGVQSPAGPPPHHPGEMLNGIVSAPYNVSSQVVLQAAASTDCVEATCEPAYTESLFSNQDPWKAIGNASAIPPTSNMLAKEHVLSGDPYVDGHVPAITSSNAAMLLEEGNLPLIHNPTFKDIYPEPAQISKGYGEEIVKRQLQAVAEGVAASVLQSPFPEKPTEFSGDHKDLPVVVDPKNEDAPSKQSDKTSQGVPVLDDIDNLQIIKNSDLEELCELGSGTFGTVYHGKWRGSDVAIKRISDRCFVGKPSEEQRMKTDFWNEACKLSSLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKIFDRRRRLLIVMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSNLVSEKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVNNTLRPLVPESCDPQWRSLMEQCWSAEPTERPSFTEVVKRLRAMATSPTKALPQK >SECCE6Rv1G0402810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:489246964:489248460:1 gene:SECCE6Rv1G0402810 transcript:SECCE6Rv1G0402810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74900) TAIR;Acc:AT1G74900] MPPPPPPPPTSVSPSSPTPPTASSPSPRQIAALVLNHPSSNLTTASARSLSASLLAIAPALPTPVANRVLKLLWHHAPRALLFFHSLLHLPTRAHAVSPCTIDLALDLSARLRHPRQLTNSILALFPRLRLPFTPRTFPILFERFAASQRRPDIAVRLFLSLHRSHRVVQDLPLFNSLLDALGKSRHASKAASLVRALERRFPPDVVTYNTLADGWCRVKDTSRALDLLRQMAESGITPTKTTYNIILKGFFRAGQIQHAWNFFLQMKKRGCKDESCKPDIISYTTIIHGLGVAGQLDKARKMFDEMSKEGCTPSVATYNALIQVICKKGNVEDAVTVFDDMVQKDYMPNVVTYTVLIRGLCHAGKIDRAMKLMGRMKDEGCEPVVQTYNVLIRYSFEEGEIEKALCLFERMSKGEDCLPNQDTYNIIISAMFVRKRAEDMATAARMVMEMVERGYLPRRFMLNRVLNGLLLTGNQQISRDLLRMQEKYRRLRREIRL >SECCE2Rv1G0107300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:648035756:648036337:1 gene:SECCE2Rv1G0107300 transcript:SECCE2Rv1G0107300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNLTAGYVFQPTGRELVHHYLVPRAGLGGDFFPGFIEEGVDVLSMRPCELPFRENHIRDYGEVWGFFFAAKPAGEMCPTPGARGCWVQYGPEKAYYGEGGGEAVAFRRRFTYRITWKGGRAWSPTRWLMKEYRLNRDAAAFRRAHPDPEASDVVFVVHKVYRKPVLPPHDDSSSSEEEGSERSIVLKKRR >SECCE5Rv1G0367380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:820751078:820751958:-1 gene:SECCE5Rv1G0367380 transcript:SECCE5Rv1G0367380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFHPAFALATAPPLLHHLDAAADPEVADALLGFLCDPIDPAMDAGIDGFLDLNPVDPDDGADVDDDLQPCAKRPRAGCGGDDDVHAWLDFEGVDGQPWRPEFATGFVLPLPPPPPQTPHAGFLRGADARRAEGNGRVGQTAPSAAARERRRRISEKTAELSRLVPGGHRLNTAEMLQEAGRHVKLLQAQVGVLALMRTLEEKIVAPVARERMHALLTCGGVQERLAAEGKCLVPRKLVEAMAEDKAVRSNAPLIRDLTRFSESLPEQ >SECCE2Rv1G0133640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:897989606:897992755:-1 gene:SECCE2Rv1G0133640 transcript:SECCE2Rv1G0133640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKIVWARDVGEAAGILLYCLEDTTSAAHRTIYFDGWLGLAASATLRAVAQHPQPSLQNKFNKIIHLDCSRWISRRALQRAIAEELKLPPWVMAIFDMQDEIDDFSGIDGSSRAEIGDVSREIHRAMQEHRCLIVFHNGSDNTIDLNDLGIPRPGWFDTRILWSFRGRLRSNLGIKEKVDNSHLFLDTDCDKCPPDWVSCIREEAREIAQCTCRPAVTTEIAEECCLYLLSLSSRGGNIMHYSWATHASSYWVCDGIIQGDEAWEVADALRQQICVEDYSSHAFSYFGNELKIPPNRWVLARDNSVVQGRTSINTMSVHPDSTSLFVTAVTSGSDPPLRLLPNDMFHQSDNLHVLKLCHCTFSFSSPPFHCCQNLRFLGLDNCKDQRVKEDEQQDRSSMNFFQSLWVLDICCTNWELALSPEIIEQMEANIREVHIHRGRIWRLNFAWRQLQNLRKLKVTQPTSPWESGRMDEFTDMVKLEFLDLSGNSTIQILPSLSGATSLKTMVLVGCFGLEHVGPEGLPPSLESFRLDAGPKEDHSKKANITRVSMAGCARLFDFRLGGSLPMLEELNLSGTSIKTLDLRDEVVQVRCLQKIMLLKCEKLRAILWPKKGIPELALLCIDTRGGEEIGRIPLEFYKANKGHCEAHVAIVDMRFIQLLVLRSWNVHRMHLNLCISATSTDGRRSCNKGPGNSGKIVAPPQPKSLISNVSYNTYTDLATENIFADHYNDSACRFEPFGCHVEIGDGISNTSVESVQGIKAVIFVMNKVESLNVHGNSSITTIIPQHMVAIDGDILIWQRLKWCHVVGCPKMHTVFTSDYNSFVFEELEKFWAADLPMAHCIWSKGRTVQGFFAPSFAKLRSIHLHACPSLTFVLSLAWFTLSSLETLHIVNCGNLNKVFPVEPEFLTIIDTNHQKGALEFPKLKHIYLHELYKLQHICEAKMIAPILETIRLRGCWGLERLPAVSLDRRPIVDCEKEWWEKLEWDGLEAQHDPSLFEPRHPPYYKEPLPRGSVLW >SECCE2Rv1G0102960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:585557024:585559678:1 gene:SECCE2Rv1G0102960 transcript:SECCE2Rv1G0102960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPIIVAYGLLLLLNLRNPTCRAATDTISAGQVLPGKDTLVSSNGKFALGFFQPNSKSSHDASNWYLGVWFNTVPKFTPAWVANGDKPVTLPELAISSDGNLVIIDKSTKSIIWSTQANTTANSTRAMLLKTGNLVLQSTSNSSHVLWQSFDYPTDTHLAGAKLGRDKVTGLNRRLISRKNSIDPAPGMYVYELHEKNGSARFSLAALNSSRPYWSSGEWNGHYFGSIPEMSGRQLIDFTFVNNEQEVYFTYTLLDDATIMRFALNNSGQVKILLWVERAQDWVPAYTNPNDHCDVYGICGPFTICEEDKLPYCSCMEGFSIRSPDDWELEDRTGGCMRNTPLDCRINNNTSMQDRFYPMPCVGSPDNGRKIGDATSVAGCAQVCLDNCNCTAYSYGNNGCFVWDDELTNVKQQQCGDIGNNNQGTLYLRLADKLEVQRLGSNRRRIIIGSVVGASVALIGLLSLFFLLMTRRLCAHRMKNLQGDGGIIMFRYADLQRATKNFSEKLGTGGFGSVFKGALNDSSAIAVKRLDGARQGEKQFRAEVRSIGTIQHINLVKLIGFCTEGDRRLIVYEHMQNRSLDAHLFHRNTTGLKWSIRYQIALGVARGLVYLHDSCRDCIIHCDIKPENILLDASFVPKIADFGMAKFLGRDFSRVLTTMRGTIGYLAPEWLSGTLITAKVDVYSYGMVLLEIVSGERNSGRQCTTDDDYGYFPVQVANKLLRGNVGSLVDSNLHDHVHLEQVERVLKVACWCIQDNEFDRPRMSEVVQYLEGFLEVEIPPMPRLLEAIAGNPHSKSDWCLDQASQEGGLKDDGGSAPHQAAHP >SECCE4Rv1G0277480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:804550330:804551333:1 gene:SECCE4Rv1G0277480 transcript:SECCE4Rv1G0277480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPMLACCKLYVSEGRSAAALRAVEQAARRHHPAVALVNTFADDAYNRVGYTLVSRVSDPVAPAVPLRRAVFGMVEAALGAIDLGAHAGAHPRLGAVDHVCFHPLAGAALRDVAALAAAVAADIGDGLQVPTYLYGAAHREGRTLAAIRRQLGYFKPQSNAGWHGPLPVTADATALPVAPDAGPDAASASKGVLVLGATAWVDNYNVPVRTADVEAVRRVARRVSERGGGLRSVQAMGLAHGDGGAEVACNLLDPGSVGAEEVQGMVERLAGEEGLAVGQGYFTDFSQEKIVELYLEKSAHAEA >SECCE3Rv1G0213060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:958183229:958184894:-1 gene:SECCE3Rv1G0213060 transcript:SECCE3Rv1G0213060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKAYVHGTGQRVTAIPKVDLCGLEPGAPGWEDAQASVTVSMVRHGCVVVSHGSVLSPDLRKALFGHALPELFALPVEAKQRNVSKWGPFNSYLGKVPGLLRESLRVEEATDAARVHEFTNLLWPQGNSDFCDTIVSFAKNMVGLQRTVERMTLEGLSKGVHVDPAHLDAHTYAARLSHYGVPGDTATGKSMQAHYDETLFTVVVQHEVEGLEVQANDGSWLMVPPEPDTFTFLAGKLFTVVTNGRVPPCFHRVRTPSNRERYCALFVCRPRDGTVVSAMDGLVDQDHPLRYNPCRLDHYNAFRQSEEGRHSGDPLKAFCGVEPATTL >SECCE6Rv1G0386790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:101871502:101872996:1 gene:SECCE6Rv1G0386790 transcript:SECCE6Rv1G0386790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIPSLLFCLLVLAPHFGSSYHTSFINGGKQIFVRSSDSRVPPACSPTPSDGGEVPAGRLPVMHRLSPCSPSGAGEGQSMLSAGDAFDRDALRLRAIFSESEPEDSKIGLTIPATGAPVAALPGAADYSVVVGFGNPVQKLAVGFDTATVGATLLQCKPCSADGDPCDKSFDPSRSYSLAQIPCASPDCPLRACSEPSSCTVALKKKGAVLVNATVVTDTLTVSRSASANDFRFACLEMGAGTTESSSGVLDLSRERHSLASRVAVSPDTVAFSYCLPADPGFPGFLSLGAARPERSGRSVVGGYATVWDKASHPNLYFLRLVGVSVGVRHLHVPPAALASDALLELHTTFSYLSHHVYAALRHEFRELMREYRRAPPMGELETCYDFTGLRYFSVPLITLRFHGGAELVIDDALYFKDPRNIFGVACLAFAPAPAYAPAGVAVVGSMAQANMEVVYDVRGGKVGFVPNGC >SECCE6Rv1G0419580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:671091987:671098538:-1 gene:SECCE6Rv1G0419580 transcript:SECCE6Rv1G0419580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQEHLDFIMHHHHHHQQGGMGFAPADRGDSEEALGSSESEPAGRPRGKRARARAAEVHNLSEKRRRCKINEKMKALQSLVPNSSKTDKASMLDDAIEYLKHLQLQVQMLSIRNGVYRPPVLPTSQMHATLNQNSVDASSNPSAGMLPMSHILGAISGARHPFGPPNQDRPRHEPLVLSSVPCTTTREPPFVLGSSQESHLQSLQMTVPAEMIFQEEAMLKHRLSSTQETTSVPGHEMKPVRQETPAARAGHFDTCSIWKNQPQDMAPNNTESILFVPHLQRFQNSNADSGLRAESK >SECCE5Rv1G0365460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:807174723:807177052:-1 gene:SECCE5Rv1G0365460 transcript:SECCE5Rv1G0365460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPTVLVTGAGGRTGQIVFNKLKERSDQFAARGLVRSEESKQKIGGADDVYVADIREAEHLAPAVQGADALVILTSASPKMKPGFDPTKGGRPEFYYEDGAYPEQVDWIGQKNQIDVAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKSEQYLADSGVPYTIIRPGGLQDKDGGVRELIVGKDDELLQTDTKAIPRADVAEVCVQALQYEEVKFKAFDLASKPEGEGTPTKDFKALFSQVTARF >SECCE3Rv1G0208380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:930634041:930652024:1 gene:SECCE3Rv1G0208380 transcript:SECCE3Rv1G0208380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAASMEASAEEEPSGDAVGLDSSVSAASAMRELGEKVYEDLLVKASLQLLGKGLPPQIRHHGFEMMQHLFISRSATAALMAKVIWSGGISLLNDLLCSVTSLSKSGPYDAEFAAFILKWISDFRIVSAVDLEGGLCEAGLSDLDEFLTNVILLLSSLLEQHVEPILSEHPDELIVSTEKDVLTVTACLLAANAYAEWVPVVHLVKHGLVKRCQSLLHLSKFQTYALKFFKILCQRRRPPTVAKDYADTMNHVFDILMSISQDSLTKVGACFDFGSEREIDIAGGICDCLVALGSFNMQSIIADTIKASCFLRRMLEYYQHYKIALHFQCLPFWLMVLTERPKEIFIASDLGDVTNSAEKGNIGTSLFFSDDICSVILDVSFKRMLKTPATILPNSLELWSDELYGKNGFIHYRSRLLDIIKYIAYRRPILAASRAAQRINCVIGDASTVSKSPKDLAAIESVRLVLTTVVNAIFDDLVKNGEIILDTKFSLQRIHNIFGGLLDQLLSLKWTEPRLAAILGHYLNSFCPYLRCHPPVVPKVVNKLFELLSSITASSHVASTARSARSQICSCIIHICKAVDSTALPLYMKDFVPPYITEDDVLERANYSVEQNKEGDGNQDRHTINLSASNTMPLGLSEKSQNIHRGKSSSLSTGSQINAGTSSSCSLPCTPGIGDSYCSLATQKQLSHLNRELQNYVTSVKQVATDTDKPGVKGPEANNFDGHGQGNNQEYDLVQGNATSDLERPSESFVPKWLGKYKVTLGYQGKPELLLWEHNLLSEAFTSVTACPGIECKEQLLISLLNPLNKIWIQPEWDEEYMSYAYRRSDLLCNDKFMKTVCLLVKSFEKETKGSKIENSTGTQEKCPPTSTTNYQYSSTFPQLMLALLLRILHFIHVAWMDRSEYDLPEIIRRAKLISTNEISTFLKENNTLPDNDGVDACRMNAIGTWLREIRETGYKVIGLWASVERSFYGLDRSLFIDALVEDISSMDFIHLGKLIQFTFIPLVRYCPRGCWDKWMVELLESLFSYCEDIFDYAWLSLIHEGRAKTAAYFGDLYGPKEKLKKLEVELLLEFTRSVSYLLRVLASEELNSSLPHLNCPKSDLKSISSSSLMGYLLLHNCFGRFSMYLFGSLVDYEAAKDALPFCHALIRLAVATDDERLKQFILNEMLPTVLRFDDGSPQSGISRLRSELSSSTKVSSMNDVDCLCREIYEVYFHNQVTIVNGEGANRKSCADGFTDWLDKELKDLHERASLPAPNIFPKHLVWNWEFKEEFDRYFPTYMEMLHEVDTMNDCLEHKILNGDALLERLNPKFKAKYAINSLQHPHLQIMSRMLPRKERAVCHQRRSDEIYKFLVQLIELKPYIKQTDCWDDVFFRLTKSCGTQSSLWLSDAPRAIDIFLDSLLPFWEPQFHPLIRKGHKELLLTSAHELAEGSTSFKPLKPDPHDFLEHLQPYALVHIKNKKEQSLYYTAKKQVRLHEEFDNYLAAWTWDPAVDDFFSFMITVGKDDENSQFAKLDADLARMSFERMAGIAEREHKMNNYTRRLRALLLDDEMKGKLESLMHLLDEEGFFSIDDESIDWDKKSFTELVEQFNALTEHCFDGCYAIQGIMDLKKFLPRKNDACDDAVKMVGYANEAWKENIQQFWRDTRHYEHGYYDMLRQPLEKVFK >SECCEUnv1G0557610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:325479918:325481242:-1 gene:SECCEUnv1G0557610 transcript:SECCEUnv1G0557610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSSQTMTIPTDAELVQAQADLWRHSLSYLTPMALSCAIQLGIPTAIHRLGGAASLPDLVTTLSLPPSKAPFLSRLLRLLSTMGVLASNEAGIYSLVPLSYLLVDGVRIDGDASQTALVLAVTSRHYMEAAMGLADWFKKDVAQPVPSPFEEVHGAALFEESMAVLDPECDKLFNEALAAHDHMGIGTILRECHGLFSGLQSLTDCCGGDGTTARSIVKAYPHIKCNVLDLPKVVDKASSDGSVNYVAGDMFHSIPPAQAVMLKLVLHFWGDEDCINILGQCKKAIPSREAGGKVIIIDIVVDSSSGEMFKTQLLMDVAMMVYTRGRQRDENEWSSIFAKAGFSDYKIVKKLGARGVIEVYP >SECCE4Rv1G0250610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:594728537:594733541:-1 gene:SECCE4Rv1G0250610 transcript:SECCE4Rv1G0250610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGAVGEESVVVPAQTEEVKQGPPRKRNPCPGIRCVGGRIYDPENGKTCHQCRQKTTDFAVACTQPRKKGLCPIYFCHKCLLNRYGENAEDMAKVVGWTCPKCRGICNCSFCRKKKGETPTGILAHAAKASGHSSVHDLLIKGSDMVAAAQTLSSLPKKIKKEHKEGSLKRALGTDDATGGLFAEGDENIKTDLNALPSVPTNKKPKKIKKEHKEGNIKRAPRTDNATDGLLTEGDENVRTDLNAFPSDPTIKELKKIKKERKKGNIKRAPGTDNATDGLLAEGDENVRTDLNAFPSDPTIKELKKIKKERKKGNIKRALETDAGTDGLLAEGARNTGTDPNTFPSVPINKKLKKGNRRVNNMTADEKCPVEINGELHIRDGSTDVLNTKIELPIGTPVTDIAGAKLEVDDVGSAIQFYEFCRTFAEVLNIRKGQPEKILQVINGAGRIGREVPSVVADLHISLLSVIQEDREENPLDYSRNGDAWIIDTGKYISESKVMSDELPLDCLSQGVLGYKKLSPSLKLHVLNFLCDEALSTTTLKDWILKQHESATERKAAAREKFRAVKEKEKELKEKLTIKMSKPRFLRNGAEINSLVSQIKEAYEDRKAVVDEEKLGGLVRKKPVRIDEGVAYWKLDGYCDKTAIMRQEFDATENTDKWFMFTEEEEKVIKGHVAPKPQLKRKKHSLAGHNALPISSFMKTQTPVSAA >SECCEUnv1G0546980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:166488858:166489187:-1 gene:SECCEUnv1G0546980 transcript:SECCEUnv1G0546980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYTRNAIVAVLLLVVMVAAVSTPAVTAKEDCWDKCVKHCKEGLEVCSPKCTEFCKYQSGAVGYVGMASDKLKEAATASPDEAVKLKNEAQTYLERAKALTDKAGTMP >SECCE5Rv1G0330620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:531561767:531562303:-1 gene:SECCE5Rv1G0330620 transcript:SECCE5Rv1G0330620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSRLLLLALAASHLLLAAAGAGCGPSGTLRPSQGHSCEDCCRAGQAYPTYTCSPPVSSSTRAVMTLNDFDEGGDGGDPSECDGAFHRSSERVVALSTGWYGGGSRCGKNVRIRANGRSVLAKVVDECDTVNGCDREHAFQPPCRNNVVDASQAVWDALGITGEEVGEYNITWSDA >SECCE3Rv1G0159440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:96124286:96126650:-1 gene:SECCE3Rv1G0159440 transcript:SECCE3Rv1G0159440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSTSRGLHPPEKIMTYESPHTWPLSVPTSKTEAGSSDDQEVRLLSVSWNQDCGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNMHYPPNKVMIWDDHQSRCIGEFTFRSDVRAVKLGKDNIVIVLETKIYVYNFTDLKMLHQIETLSNPKGLCCLSHHSNTSVLACPGLSQGHVRIEHFGLKVTKMITAHDSHISCLALTMDGLLLATASMKGTLIRIFNTMDGTRLQEVRRGLDKAEIYSIALSPNVQWLAVSSDKGTVHIFSLKVRVAGEDSSNDQRTLEAPRMDHQNSSTSMDPLIQTNTGSNASSSLSFMKGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCIFDQVNGGQMTQKEYSRFLKTDYPPLRTLTV >SECCE3Rv1G0204050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:889415603:889418029:1 gene:SECCE3Rv1G0204050 transcript:SECCE3Rv1G0204050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRALNLKATGPSFLAPRRDPPATWAPLPAGEIGLGPRGGRRWPRLAVSASGKKSRNGRDGDDPKSKPSSSGKGDASTPIGDDDADAVSQNHGELKSSDTMYVPSNLSYWRDVRASFVIPKSEQAVDVNTLPQTSFDGPVHCLPRKWAHSISAPESGCVLVATEELDGNGTFERTVILLLKLGSRDAYDGPFGVILNRPLYTKMKHVNPSFRDQATPFGDCSLFFGGPVDMSIFLMRTNEGRPIKGFEEVAPGVCFGFRTDLQKVGHLMKNGALNPDDLKFYVGYSAWDHDQLLSEIDAGYWVVTSCSSGLITDALTTDPSCLWSEVLQLMGGQYGELSQKPKEDSS >SECCE3Rv1G0190780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:743501968:743504894:1 gene:SECCE3Rv1G0190780 transcript:SECCE3Rv1G0190780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKAEELFRKLLEGGSKKQPRLLGLDVGSKYVGLAVSDQKNRIAVPLSVLGRTKTNINLMADDFKTLVKNYSLAGLVVGYPFNLQGQSCPDALQVSLLVGELCKTGKLDDLSYTYWDENFTSKCVEALLNPLKLNDPVETKTMTDKFAAVCILQGYLDNMNRALGSADNCEE >SECCE1Rv1G0035980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:503820730:503821476:1 gene:SECCE1Rv1G0035980 transcript:SECCE1Rv1G0035980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELAMLPGDVIAEVLRRLEPHGLASARRVCRAWRDTVDARLRGHLLSRSVRGIFINFCRFTFSEFFSRPSTGPAICGGLDFLPCTMGVKVTDHCNGLLLCRDKNPDDARPREYVVNPATRRWTRLPQRPRPHVPGSGQTAYLAFEPAVSPHYQVFLIPSVPAAGETDDDDDDNPLLESEWPPASYVMHVFSSGTQRWDETTFLREGEAAGIVANMHTDSEDDQYRYRAVYWQSALYVHCQHGYLTR >SECCE3Rv1G0158520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:88644737:88645621:-1 gene:SECCE3Rv1G0158520 transcript:SECCE3Rv1G0158520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAALGSNIIATSNQCAATVVLDTEAAALAIGNPLPDALRNALNFFVTADDVLFAFAYHFMSRPPAFELMTAAPKDEMRSLCPSTDWSWESVHAPFTKHQMIVSYALHPDGRTVFVSVYDREDSGTGTFSFDSENREWRRHGEWVLPFHRQGYFDAELDAWVGLHQDGYVCSCQVPSLSLGSSNGSSNTMQQQPSWKMAKEQKLWSPTHQVSKSQGATLTHMENSSFFLVDCVVADGFEFQDAFDDPHGFMLNMTTFRLKYNHEGKLRIVDRNTTSCPVSRQLSSFAPVAFWM >SECCE3Rv1G0198610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:833899919:833905548:-1 gene:SECCE3Rv1G0198610 transcript:SECCE3Rv1G0198610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAAAAASNGGLLYHEVQEGKLCAVHCVNTALQGPFFSEFDLAALAADLDQRERQVMLQGAATVAAGDFLAEGEGSHNVSLGGDFSIQVLQKALEVWDLQVLPLDSPAAGSSLFDPELEIAFICHLQDHWFCIRKVNEEWYNFNSLYPAPEHLSKFYLSAFIDTLKGAGWSIFAVRGDFPKECPMATEGSNGFGQWLTPEDARKITASCNQVPAPTHQGAVSQLGGQSAGMSEMDIIAAQQEEADLNAAIAASLMDAGGPFASHSAPQEESRPQPSYVVEQGANVTASDAVGEDSFVVEQGANEAEASEPGSDTIEGSAPGSYPRERSPPSERR >SECCE5Rv1G0326850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:487152532:487163695:1 gene:SECCE5Rv1G0326850 transcript:SECCE5Rv1G0326850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLSNGRSPLVRKQSQITAFFASPSPSPSASTPKPAAEPSPSPLNPKARKPPLVVPSPSPSPAASTPKPAAEPSPSPLNPKARKPPLVVPSPPPPKPSPAPQPQPQQEKKKHDAAAAPAGEAVGRRLRVYWPLDDAWYEGTVDAYDGGSRRHHVKYDDGEEEEVDLVKEKFEWAAEEATPPARKLRRLRRMSDTAKAKSPSVQEDEETGDSTEDEDWKKDAAPEGESDEEEVELDDEEEDVVAVSSRKGKSRNSLSTSASVSTLRSTPGLGSASSGSTLSKKRKQVDVGALDCAKKFSFQLANTPEKAEMKVPPSCDRRERILENAHLALTGDLSERFGSRQMEKFTFLGQGRKDAKGRQPGDPAYDPRTLFLPPQFLKNLTGGQRQWWEFKSQHMDKVLFFKMGKFYELYEMDAHVGAKELDLQYMKGDQPHCGFPEKNLAVNLEKLAQKGYRVLVVEQTETPDQLELRRRETGTKDKVVRREICAMVTKGTLTEGESLLANPDPSYILSVAESYPCNSTKSQDGHTIGVCIIDVSTSKFIIGQFQDDPERHVLCSILSEIRPVEIIKPAKMLSAETERALKNNTRDPLINGLLPSTEFWDAEKTIHVIEQYYSSSDNLTASRNTVGVQNNAGCLPDLLSELIEAGDRAYALSALGGSLFYLKQVLLDDKLLPCAEFEPLTCSGLINNMRKHMILDAAALENLEILENATGGLSGTLYAQLNHCVTGFGKRMLKRWIVRPLYDCKAILQRQGAIAIFKGVGHECAMQFRKDLCRLPDMERLLAHLFSRCGENGRSKSVVLYEDTAKRLLQQFTAALRGCQQMFQACSSIRALTGTEGSSLLNDLLSPGKGLPDVSSVLDYFRDAFDWSEADHNGRIIPLEGCDPEYDATSCAIEEIESSLQEYLKEQRKLLRDSSVKYVNVGKDTYLIEVSESLRGSVPSDYELQSTKKGVCRYWTPEVKQLISELSKVATEKESILKGILQKLIQRFIEHHSKWRQLVSVAAEIDVLVSLSIAGDYFEGPTCCPTIRELCGPDDTPTFHARNLGHPIIRSDSLGKGSFVSNNINMGGPGNASFIVLTGPNMGGKSTLLRQVCLTIILAQIGANVPAENLDLSLVDRIFVRMGARDHIMAGKSTFLVELMETASVLSSATKNSLVALDELGRGTSTSDGQAIAASVLDYLVHRVQCLGLFSTHYHKLAVEHEDGKVSLCHMACQVGTGEGGLEEVTFLYRLTAGSCPKSYGVNVARLAGIPASVLQRANEKSIDFEANYGKRRCATKDKAICTQEDNFATIKDLFRVVKAWNDQEDQAASLSRIREVQMRARAQAMEA >SECCE4Rv1G0248160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:568758242:568759711:1 gene:SECCE4Rv1G0248160 transcript:SECCE4Rv1G0248160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like serine/threonine/tyrosine-protein kinase SOBIR1 [Source:Projected from Arabidopsis thaliana (AT2G31880) UniProtKB/Swiss-Prot;Acc:Q9SKB2] MGLAAGTPTKRPVFFLVSLATLLLLVSAVQCYDGRHAVMRSAMARRSRPGIRHVHHRRTGVPHRYLAENTTSSSGGGKQKNNSSPPTKNNTIAPPTAPAAEQGKHHRSHKHRVRNWIIGFVVGSLAGVISGLGVSVLFRLALNCIRGRYRTKSGMVIFTPKLIKRADHLAFLEKEDMLSSLAVIGRGGCGEVFKAQLPVETEGEEPKFIAIKKIKKQVGDGHGHGQNNLSDEESRQLDKWSRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEYMKNGSLHNALKSTPSEDDGGSGGGVRLDWPARLRVAVGIASGLEYLHVLQQPQIIHRDLKPANILLDDDMEARIADFGLAKAMPDAHTHVSTSHIAGTMGYIAPEYHQSFKFTAKCDIYSFGVILAVLATGKEPTDQFFVTEVEEVGLVKWLRRVVQNGDYAEAIDPAIAGAGHEEQILLVLRIAVFCTADEPKERPAAKDVRCMLAQIKN >SECCE6Rv1G0425030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:703788861:703791297:-1 gene:SECCE6Rv1G0425030 transcript:SECCE6Rv1G0425030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSRRQRAAKLMAKPSVKAESSLHKLKVNPDDRISKLPNDILVNILDRLGFRDVTRTSVLSRRWSQLPANLSRLRISARDFLSPQTSISNEELDEKLLRTNALLRINAANAAVVKATKSTLAHRDPGGCTIRLLSTTFYLRGDTPISVGHTVGNAMATLKVEKAEFTVLTQHKGRKISIDEMLKFGTRFVSFFNECHNAFAGLTRLYLENLRFRESDFVSNIPVTCKQLNYLGFYNCDTEDWITLRVEHAQLSELSIVGCRFHMVELTWVPKLTWLAFLYWLSVPELPLSLGYVPLLGVLRLSNTACNFHKNVKLSTFLHETSVRDLTLGFKCEKIWVQPECLTRRQAYVFQQLRILNLVKIPEGYDLTWTMFFLEAAPSLEELYMMVLDHPCEMEMNQEIRRQSYSENKGIEWESPTSNFKHHCLAKFILVGFQANKDYMVTHVRRVLKAAVNLQDVYLYDKLACAKCLKKVENAQDYDELVRSMCPGVNLPIKFPYANEDQRAVQKRMPRGIGSLAKIHFISFDDMKAEHRPRIGASSMVAMEDEWISKLGLRI >SECCE4Rv1G0289970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:869596941:869598194:-1 gene:SECCE4Rv1G0289970 transcript:SECCE4Rv1G0289970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQTAVPFSPALFFVTRMNHHLQSSDYQKLFRRKMESAELQTPLLHGLPDEIALLCLARVPRQYHTALRCVSRGWKALLCSEEWHSYRKRNNLAWSWIYVICRGTGCKCYVLVPDPTTPCLKVIQVMEPPCSGREGITIETLDRRLFLMGGCSWLKDANDEVYCYDAASNHWSKAPPMPTARCYFVSAALNDKIYVTGGLGLTDKSPNSWDIYDKATDSWFSHKNPMLTPDIVKFVALEDELVTIHKASWNRMYFAGIYDPADESWRGKENEIALFWSGPTVVVDRTLYMLDQSLGTKLMMWINETKEWVMVGRLSDKLTRTPCELVAVGRKIYVIGRGLSTVTIDMDMAARVDGFLVSSSTGPLMEHDFPPEKCRVITI >SECCE5Rv1G0310410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:168697563:168704635:1 gene:SECCE5Rv1G0310410 transcript:SECCE5Rv1G0310410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 6 [Source:Projected from Arabidopsis thaliana (AT3G27530) UniProtKB/Swiss-Prot;Acc:B0F9L4] MDSRSINLRGFAGSAGKNIMQGIGGFVFGNEASESKEDSYVERFLDRISNGTMPDDRRSAMTELQSLVAESRSAQMSFGAMGFPVLLNVLKEDREDVELVRGALETLVSALTPIETSQGLKSEVQPASMNSDLLSRETDNISLLLSLLTEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGAFEKLFSIIGEEGFSDGGVVVQDCLELLNNLIRNNASNQMLLKETMGFDPLISILKIRRGSAFNFTQQKTVNLLGALHTVELLLMGGPPGETGKDTSKITNQTALAQRNILDHLLLLGVESQWAPVALRCTALRCIGSLVLRNPQNLDSLASKHVGEEPHVQPALNAILAIILRTSVAQEFVAADYVFKCFCETNPNGQALLASTIAPHPNQGIATHGASSDMPFGSALLQALVSSDVNGDMEACCRASSVLTHIIKDNLQCKDRVLQIQLETPAPSLGRTEPLLHRIVTCLSFAALAEGENDQSSQSEGSYIQPVILRLLITWLADCANAVNCLLESAVHLNYIIELAANKRFTGCVRGLAAVVLGACVLNNASREKGRDAFAVADAISQKIGLTTYFLRFDELRKSFVHLPSGQQNHKQLSRSSANSMSDFQEIEEEETNKGDQHPVLSEIFDSQFISLLSKLETDIRECIMDLFSRTKTATAVLPAELEQKNGEVDGEYIKRLKSFVERQCNEMQDLLGRNAILAEDLVRTGGGSTSDSSEKPSSGRERVQIEALRQELEGAARRIEVLKTEKAQIEAEASNQRNLAVKLESDLKSLADAYNSLEQSNYRLDAEVKTLRQGGSAPYPDVEAIKAQAKEEAEKESEVELNDLLVCLGQEQSKVEKLSARLAELGEDVDTLLQGIGDDAALPDDDDDDDDDDEDEDEK >SECCE2Rv1G0071990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:48265618:48267867:1 gene:SECCE2Rv1G0071990 transcript:SECCE2Rv1G0071990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTNLAVPLLLLTILFPTLALCYIDPSPTSVHQNATKSSAARAYIVLVEPPLSNDGEDAHRRWHESFLPTSLAEESSESRLLHSYTEVFSGFAARLTDAELDAVAKKPGFVRAFPDRALQLMTTHTPEFLGLRRGTGFWSRAGYGKGVIIGLLDTGLYATHPSFDDHGVSPPPARWKGSCKAARCNNKLIGAKSLIKGDEFGDEEGHGTHTSSTAAGNFVAGASYHGEGKGTAAGIAPGAHIAMYKVCANRRCRQSTVLAGLEEAIKDGVDVLSLSLGGETSASFDEDPIAIGAFSAVSKGILVVCAAGNSGPKLGSITNEAPWLLTVAAGSVDRSFGASLHLGNGKSINGEALTQKVSTSSKSHPLFYSEERRKCNYKSASGITGKILVCEGTRSPIQQSDVHRIVSAGAAGVVLFNDEISGYTTMVRDYNSSVVQVSAADGDALIAYATSSESSSVASLIYNNTLFGVRPAPVVSFFSSRGPSVIALGILKPDILAPGLNILAAWPPSTGSGRGTFNIISGTSMATPHVSGVAALIKSIHPDWSPAAIKSAILTTSDIVNSTGGSILDEQHRKAGVYDTGAGHVNPTRAADPGLVYDLSVTDYAGYICSLLGDSGLATVVRNSSLTCAKLPKVHAVQLNYPTITVSATSTPFTVKRTVTNVGPANSTFTAKVDAPRSLTVRVSPETLAFSKTGEKKTFSVSVSSHGLDEELHVEGSLSWVSEKHVVRSPIVAVSPRGDAAPDPSP >SECCEUnv1G0528900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6173231:6173617:1 gene:SECCEUnv1G0528900 transcript:SECCEUnv1G0528900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGSGGGEPTKYRGVRRRPWGKYAAEIRDSSRHGVRMWLGTFDTAEEAAAAYDRSAYSMRGRNAVLNFPDRAHVYEAETRRQGQGSSSSSSARQQQGQQSGVIEFEYLDDDVLQSMLHDHDKSNK >SECCEUnv1G0558760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334265165:334265536:1 gene:SECCEUnv1G0558760 transcript:SECCEUnv1G0558760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTLLNVADNSGARKLMCIRVIGAAGNQRYARIGDVIVAVIKDALPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRELNFTKIVSLAPEVL >SECCE2Rv1G0066080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:11893294:11898133:-1 gene:SECCE2Rv1G0066080 transcript:SECCE2Rv1G0066080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAIGSAGESRWSKVATSLPVASVQALVASAGELTVDKIERYTQPDIDAHAVLPEHSDEVPVIDLSKLLSSESAQAETAKLRFACAEWGFFQVVNHGIPDEVIMGIKEDIQKFFQLPLEVKNAYAQKPGDHQGYGQLFVVSDDQKLDWGDRFALSTQPPQARDMSYWPSQPHTFRNCVEQYSSEVMKFTHSLAIFIAKTLNVEPELVGDKLVSQILRMNYYPPCTSIPEKVLGLSPHSDGAFLTVLLEVNSVQGLQIRRNDAWVPVKPHCDELLVNVGDLLEIMSNGKYKSIEHRVTINAHKERLSVASFHIPNYDGIVSPILETIEEKMLYKTMKVEEYLRFYFSNKLEGKSALDYAKFSEI >SECCE3Rv1G0145540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:7486571:7486918:1 gene:SECCE3Rv1G0145540 transcript:SECCE3Rv1G0145540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAPPAAEGAPLDLSLTLAPMSPWAFLPSGRRSGAARSRLFSCLFCERKFLKSQALGGHQNAHRKERVVGSWNAHLYLPDRPRPPVPDSATGYLADDDDDGDKHQKLDLNLKL >SECCE3Rv1G0194740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:784845424:784849648:-1 gene:SECCE3Rv1G0194740 transcript:SECCE3Rv1G0194740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCHPKLFGAKEKKSAKRTDSPGCNAADELGPSPSKIVSASPLRTLSGVKSIHLSHFLAQPSSINRTTEPIRIFVSTWNVGGKAPTAELNMDDFVPPDDHSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPADNDTNVFQHPRSPSVDSISSRASSSLDTSFSELTKTASGSTIFQKSSLKAIKKPFMPMQRKRLKACNCPTEMIKSSYRDACFRRPKKNADETDSSGEEEKENTRDSCGSVLSEVTSAPTARDQLKYNLIVCKQMVGIFVTVWVKKELVQHIGHLRTSCIGRGILGCLGNKGCISVSMTVHQTSFCFICSHLASGQKEGDEFRRNSDVLEIQRLTLFSRLCRRTGRRIPEKIREHDKVIWFGDLNYRIALSYEDTKRLVTENNWDALFEKDQLKIERDAGRVFKEWNEGKIYFAPTYKYSSNSDAYAGETATSNKKRRTPAWCDRILWRGDGISQLSYYRGESRFSDHRPVCGTFIVEVEALNRKTKRRSSNADMKIGAEELLPTDKKKGKGTSRSVSFHKITQ >SECCEUnv1G0527200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:613955:615121:1 gene:SECCEUnv1G0527200 transcript:SECCEUnv1G0527200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAARAGPTPPHGGIPDEILIWDILVRLPPESLLRCRAVCRAWRTATSARDFLIAHHARQPTRPLVYALNLPRYGCYKVGGNIDIIPFDHRAAAADQLQSVARLDRAAEFKDLVASVDGLVVFDWWRRKFLGICNPATRQYARLMVPLDYTFLGMYRHPPTGEYRLLMLGRPVSAGREVRFYVLSLGSGQPPRGIVRPGEAHKEFIFGTRYVLLRGGLHWHRVQQREGESNMIIVFDTTAESFRQMRVPIVRGTARDGLFEMDGMLGMSSFNDKATSIDIWVLQDYESEVWTFKCHIELPLAEIRALCGKSDDDDSWEAVVVPGDGELLVLVKFPDWLIQVDMDGKLVASFNRTGVQPTQLQLKQSLVLHDFFPSLHGYVVNGWPFA >SECCE6Rv1G0444510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:828949253:828951530:1 gene:SECCE6Rv1G0444510 transcript:SECCE6Rv1G0444510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSGPRRSSTKEPDGPCDGADRISALPDDLLLDVLARLPCAGAAARTRVLSRRWSGLWARLRQIVFRDVPFPSLEAALVRIPPPPPAVSLLEIRVPPKQRRRGESAFHKPNQNQDRAGSAVVNSLLRAAARLAPEKLVFCLPSYLIGRHRLSVDLPRFDGATSIVLDIPSSFSLRLPAGADFPALKALSLTYSITDLDAWLSCCPRLRTLRLCRALFPNHKCDIRVNSRVLQELVVYREASLTQHVDIVAPALKELTLSFSTMKLASISVLAPLVEKVSWQCCYLGSYIVFGLWGINKLQLRTADRLGHLSSLQIHAYADMSFFHAEAGNFAHELEKHMVAAFSVLELHLTAKGHAFVGFVFHLLGMDRVRCGTQRLKIILRKSPMKGRCSPLCPCEFPNWKPQIICLAALEEVEFNGFEGEDHEFDLLKLLLGCAPMLKRMIVKLSQETSASNDGCAKIYNIFEACSSVQCDVYDNSGLMLGCYN >SECCE5Rv1G0348020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:671979296:671982477:-1 gene:SECCE5Rv1G0348020 transcript:SECCE5Rv1G0348020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRPPQARKFRVRLVVRRAEGLPPPPPSVEPAEQEPAGQSVAAEVRWKGPRASGLGSLRRAVRRNRTRGEELAGGAVAWEEGFESAVTLAAASHREAVVFQPWELAFTVFTDVNKGPKTKPTILGTASLSLADYASAAEEDIEIILPLSVPCGAPESAPSLHITLSMVELRAHQETSDASQRSAVAAPLSPSSCDSVPGGKDEVSVIKAGLRKVKSLKHIVSARRSKKTFQGDEGSEDNCYVHSDGTEYPYGTEPVDEDLDDRTHEDEVADPAIRKSFSYGSLQSVNHVGDHEDWVYYSHRKSDAGYHVDEPQSSTAEGTVLPAAKRSILPWRKRKLGLRSLKAKGEPLLKRANGEEGGDDIDHDRRLLTSSDGSERSRSEDGSVHGMMSEFGDDNFVVGNWESKEVLSRDGHMRLSSQVFFASIDQRSERAAGASACTSLVAVIADWFQANRNLMPIQSQFDNLIREGSLEWRNLCENKTYRERFPDKHFDLETVLDAKTRPLTVSPSKSFIGFFVPEGADDMGGLDFLNGAMSFDNIWDEISQAAEFSSSDNPNLYIVSWNDHFFLLKVEHDAYYIIDTLGERFYEGCSQAYILKFDDTTMIHKVSDEKKAQPSPDSSGPLKGSSGSSSSGQDSEDDDVEENILVSKGKESCKEYIKSFLAAIPIRELQGDIKRGTMASTPLHHRLQIEFHYTEASPVEVASPPQALAIEAPFEFSWPEPPPAILAPTLAVA >SECCE4Rv1G0223350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:69237028:69238210:-1 gene:SECCE4Rv1G0223350 transcript:SECCE4Rv1G0223350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQLTVPTEAELLQGQADLWRHSLYYLTSMAFQCAVKLGVPTTIHSLGGAASLPDLVAALSLPPAKLPYLRRIMRLLATSGVFAADNAATGVVTYRLTPLSWLLLDGVAVDGHQSQASLVLAATSRHCLEAAMGLSDWFNKDVAASPFEDLHGVTLFDGSMAEQDPEIDAVFNDALASHDNSGFLTVLRECGGTLFQGLESLTDCCGGDGTTARAIVEAFPQIKCTVLDLPKVIDNVPADGVVNYVAGDMFKSVPPAQAVLVKLVLHHWSDEDCVKILAQCKKAIPSREEGGKVIVIDIVVDSSAGPTHEAELLMDVAMMVMTNGRQRDETDWSEIFTKAGFSDYKLLKKLGARGVFEVYP >SECCE3Rv1G0186450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:684976277:684979749:-1 gene:SECCE3Rv1G0186450 transcript:SECCE3Rv1G0186450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-glycerate 3-kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80380) UniProtKB/Swiss-Prot;Acc:Q944I4] MTPLRAAPPPLSASAAVSSSAPPPLLAKAYHPKAAALCSLTVTAATPSRKAFLACPDHGPAAGRSLTPARGAPASSPALISAVKDLYEFICSGPLVDRIGYTREKIAESIDRWLWCGSQVSRLFRIDELRLTDAEKSRIYHFYIPVFLWCEDQVANHRSKYNEGDEIPPLVIGVSAPQGSGKTTLVFALDYLFRVSGRNSATLSIDDFYLTAAEQGKLRETHAGNALLEFRGNAGSHDLQFSIETLESLIKLTKEGTKMKLPRYDKSAFGGRGDRADPSTWPEVEGPTEVVLFEGWMLGFKPLPNEVVTAVDPQLEVINKNLEAYYDAWDRFIESWIVIKIKEPNCVFQWRLQAEVAMRADGKAGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGAKKDHLLVIDIDEERTPISGS >SECCE2Rv1G0125560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:841749247:841753984:-1 gene:SECCE2Rv1G0125560 transcript:SECCE2Rv1G0125560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKVCKERFDAAVTNLGAIGIEGETVSRVLTNLLELYGYNWEFIEADDFRVLTDAIFDEPDPKEEQQRQANEMKNLDSDHCKKKLKIKHHSRKPTPKVRVNVKTELAEVPLQQEAGKLCPPQTVCVTGNTLQLSSSRLPIEEANMEINVLKDILTDEDSSALPLQGQELPTFETPLAVMCPQVQDSSHQRAYKDAHNQCIIELDYTYSGPRESGMLQIVPAMDFLSQPSGPNQAGSLCMPPNNRMIYGGICPSRATAGEQSSSSNMLVIAKPKGPAHDVNDITKGEEHVSIPIINEGGNGILPPPFHYIPRNITFQNAYVNLSLARIGDENCCSDCLGDCLAEPIPCACAAETGGDFAYTRDGLLKEEFLNSCLSVLPKFYCKICSTERVKIVTSESPNAKVNPCKGHPIRKFIKECWSKCGCTRHCGNRVVQRGITQHLQVFLTPGEKGWGLRAAEELPRGAFICEYVGEILTNSELYERNNQMASKGKHTYPTLLDADWVTEDVLEDDHALCLDGTFYGNVARFINHRCSDANLIVIPVEIETPDHHYYHPAFFTTRQIKPFEELTWDYGLDFDDVNHPVEAFKCCCGSEFCQDKRDI >SECCE4Rv1G0257060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:662736743:662738038:1 gene:SECCE4Rv1G0257060 transcript:SECCE4Rv1G0257060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEILESCMVTPSEATPKHGVWLSNLDLLVARGHTPTVYIYRPSSGPASFSPDVLKAALSKALVPFYPLAGRLAQDDAGRPEISCSGEGVLFVTARADSTLDVLGDFAPSDELRRTLVPSADASGLAGILAMFQVTFFKCGGVCLGAAIHHTAADGLAALDFVNAWAAIARGDVSVPAASPCLDRTLLRARSPPSVLFDHAEYPRRSGGESKPARVPFGSAILPMSKDQIDALKDGAGQGKRLSTFKAVVAHVWRCACKARGLAATEDTRLYMTADARSRVHPPLPRGYFGNAIFRASAATKVGDVASGPLDAVAEKVTGATARLDDEYVRSLLDHLELQAADASGLRKGEWVMPETDMWVISWQGLPIYGADFGWGRPVFMGRACLQFSGLVYLVPGPDDDGRLDVVVAMEPESLARFREVFYQELMRY >SECCE7Rv1G0462010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:41954676:41958082:1 gene:SECCE7Rv1G0462010 transcript:SECCE7Rv1G0462010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQGSTMPAATAEAEGEMDIELSRGSWAPNFAFAFDSERYSDKVLRVEIVANGEVVGGSFTELASHRAEKGTQVLRVRTLNISSVILSASSTFFQKLFSNGMKESEQTHATLEITDSEENALMELLRFMYCGKLTTAEPAILLDILMVADKFEVPSCMRDCSQLLTSLPMTTESALLYLDHPCSILIPATVHNLIVAARDFLAKKYSAVHKFQDEVMNMSLAGIEAIFSSTDLHVVHEDCLYRLLLRWARARYPELEERRKILSSHLIPLLRFGHMTYGTLQGILTCTDNDIDHEQITKHVSEVLLCKAYPAHRPDALAACATSSEQFAERAYKCRHLKVVAFDKPCPQVIAYMDLKREECSQLFPSEQICSHPFYVAGQGFMLVAGCNMVEQSGSCRFGIFLYINLRLKGSTCVSVNYEFAARTRPYGHFVSKYNVSHTFTDKASVGSRDFFLVPWEMFLADDNPFFIDGVLHLRLDLKVVEQT >SECCE1Rv1G0022520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:284750174:284755985:-1 gene:SECCE1Rv1G0022520 transcript:SECCE1Rv1G0022520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEAPQPPPPWKQNKSAVAIEASSGPLASAAARLSARSRAIPPARDFHFYNNFPSFKSPVAAAAARAESSLGVLGASPLLPKLQQQPPFPTEDLDDAYDWLVARNDDLLEMFASSADEFKALREKEEAQGRKVAAEEMAGDGFQVVNGKKKKKMGMGEEGVGRSEAFGSSGSVRMATMDRAAASGPKQKVPFHIPTIPRPQDVHRIVVDNTSKPFDHAFLERSDDGARAIHPLEKLPMEQLFDRRVPESEPLKPPALDDTPFTFVEDRKTLEMLVTKLKSATEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRNCLGENLREVFQDPTKKKVMHGAGRDIIWLQRDFGIYVCNLFDTGQASRILQMDRNSLEHLLQYFCGVSANKEYQSADWRLRPLPDEMTKYAREDTHYLLYIYDLMRLRLVNESSGDDLLLEVCKRSNEICLQLYEKELLTDSSYLYIHGLKENDLNARQLAVLAGLYQWRDGVARAEDESTGYILPNKTLLEIAKQMPVTTGRLKRTVKSKNKFLEHYLGHVITIIRNAVANADAFESIAEQLKKGRLEELMAAEAKDGSEDTEMIPAVDADSNESNLQLIAEPDVAPSVITNVHAASFCTGNVTSGVSLGNLQLDKITSETKSFGALPGATGQADTVIPSNGGGQQQLIKATVQVSTRPTAFGALLGKPSTARRPNLFPGFSSDQSKSKVEKIKSSVVLPFHHFSGGANPPSTSLPVAKSVEPEAEIVCEDPASRMEEVIQLDTGTDDPENGNTDGQSECKPGDTDVSSSPPELSSGIEQGFRSLKESRDLQQKHQAPEEPEFDDQLKAFDYAEARKNTSFGEARSERRKDNAVARAINADSGDKRKSLKQTPGGGEKDEGNFQNPRRRQAFPPSGNRSATYH >SECCE4Rv1G0278930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811451044:811452924:1 gene:SECCE4Rv1G0278930 transcript:SECCE4Rv1G0278930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 28 [Source:Projected from Arabidopsis thaliana (AT1G63100) UniProtKB/Swiss-Prot;Acc:Q9CAN3] MLAGCSSLSSRHQMSTAQRLPCGFSKRAGRGDATAAGGAAPRGVPGGDGRGGNGTCSFRAHPAPPVTQAVSWGAPKPEPSVVGDGGWERRGRAVKRAHEEEEAAEEYGAPVVRAKRTRMGGDGDEVWFHQSIAGPAAMMQMAAGEGDGEEEEVAEEQKVFLVPSAAAFPHGMAAAAGPSSLAPAKQEEYSKSPSHSSSSSGGTDGGSSAMLPLEPAGVRTFVVPEAEREALELVGALTACAEALAGCQHDAANYYLARLGETASPSGPTPLHRVAAYFAEALALRAANMWPHVFDITPPRELTDGAFHDDDDALALRVLNSVTPIPRFLHFTLNERLLRAFDGHDRVHIIDFDIKQGLQWPSLLQSLAARRPEPPAHVRITGVGSSRQELQDTGARLAHVAAGLGLAFEFHAVVDRLEDVRLWMLHVKRGERVAVNCVLAAHRLLRDETGGALSDFLGLVRSTGAAVLLLGEHEAAGLNAGRWEARFARALQHYAAAFDAVGAAGLPPASAARAKAEEMFAREIRNAVAFEGPDRSERHESFAGWRRRMEDGGFRSAGIGDREAMQGRMIARMFAPGKYGVHPQGDGEGLTLRWLDTPLYTVTAWTPAGDGATVSASTTASHSLQS >SECCE1Rv1G0014560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:115340861:115341451:1 gene:SECCE1Rv1G0014560 transcript:SECCE1Rv1G0014560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSPO [Source:Projected from Arabidopsis thaliana (AT2G47770) UniProtKB/TrEMBL;Acc:A0A178W1Q1] METATATQDQGLTRRAVGDVDATPARMPGSGARPTSRDPKRKLGRAKRGLRSLAAAVTVSAALTAAAFYASGDGSSSGTTKAPSAAMVAIARAGSVAAEAVMALAAWMVWAEGGLHGRPGATLAPFAAQLLAAAAWPALALRLGAGWAGMACCAAMAAGAAACVRGFGAVNPVAGDLAKPCVAWAVLLAVMNYKML >SECCE1Rv1G0055100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678221001:678222500:-1 gene:SECCE1Rv1G0055100 transcript:SECCE1Rv1G0055100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAAWPPCGFRANWSLEPVWAPGFPVESAMWFQPHASSSSSGGQLQQLQYGAAATPNLAIQEQEEEAQYKMEMEIHDPIQVFAEAAHEFKVDINMRDMKIHRYPASIKRLDGYYTTPTTVAIGPYHHGEDPLKPAEKVKHVAAYHCIMESGRSVQEMYAAVASVAGEVRGLYNKDMVAGIGDDDFLPMMFYDACFLVQYMLTCTSSGFDMDPSLRSYFDGNDSDIFHDILLLENQLPWRVVEVVMRFRPVPLEEFITSLKDGLQDRKLTEKKSPALDDSYEPPHLLGLLRFYIVGRSNIKRTRLPQTESMSFSVSAIELAEIGITLKANKTSDLIEMGVRNKRALFAELSLASLSIDHARASWLVNMAAFEVCTTPNFQAVDDEESVVCSYLLLLSMFADREEDVHELRRKRLLQGGGGLTNKETLDFFTGLQGLPLGSSYIRTMQEIENYRVKRRKRTRLHAFVYKNMRIIVTVFSVLGVLAGIFGSLMSLRRRS >SECCE4Rv1G0215270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4366158:4367695:-1 gene:SECCE4Rv1G0215270 transcript:SECCE4Rv1G0215270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKPLRLIQHKKEALWFYSFISFGYDHVFNPGQYTEDMRDISLEHARLHSRALKVLDVGGGTGFTTLGVVRYVDPENVTLLDQSPHQLDKARKKKALKGIKIMEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGVREAYKVLSADGVACMIRPVRPTFWLSRFFADMWMLFPTGGRDVELTRIGPKWYRGARRHGLVIGCCVTGIKTQSRDSPLQLGPKAEDVSKPHVNPIFVFFRFLIGTICATYFFLVPIYMWIKDKIVPQGRPI >SECCE7Rv1G0514110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:829970284:829976233:1 gene:SECCE7Rv1G0514110 transcript:SECCE7Rv1G0514110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSLLLCLCLCLFASGLCSSKEEGQLAAGGYRVARVAVDEGGRRLRAEAAAAAGGVSSTGDVQRLAVYASLETDTRLRVRITDADHPRWEVPQDIIPRPAPEDVLHDAPPASSTPLQGSRVLSAAGSDLVLTVHASPFRFTVSRRSTGDVLFDTAPGLVFRDRYLEVTTALPAGRASLYGLGEHTKGSFRLRHNDTFTLWNADIPASTVDVNLYGSHPFYMDVRPPGTTHGVLLLSSNGMDVLYGGSYLTYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGFHQCRYGYLNVSDLERVVAGYAKAKIPLEVMWTDIDYMDGFKDFTLDHVNFTAAELRPFVDRLHRNAQKYVLILDPGIRIDVTYGTFVRGMQQDIFLKRNGTNFLGNVWPGDVYFPDFMHPRAAEFWAREISLFRRTIPVDGLWVDMNEISNFYNPEPLNALDDPPYRINNYGVHRPINNKTVPASAVHYGGVTEYDAHNLFGLLEARATHRALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWGDLRYSINTMLSFGLFGMPMIGADICGFSGNTTEELCGRWIQLGAFYPFSRDHSTIFTVRRELYLWPSVAASARKALGLRYQLLPYFYTLMHEAHTTGAPIARPLFFSYPHDVATYGVDRQFLLGRGVLVSPVLEPGATTVDAYFPAGRWFSLYDYSLAVATGTGKHVTLPAPADTVNVHVAGGTILPLQQSALTTSRARRTAFHLLVALAEDGTASGDLFLDDGESPEMGGRSDWSLVRFSSTSGSDGAIKVRSEVVHNSYAQSRTLVISKVVLMGHRSPAVPKKLTVHVNGVEVDASSASGTRYQNAGGLAGVAHIGGLSLVVGEEFELKVAMSY >SECCE4Rv1G0225910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:101535285:101542282:1 gene:SECCE4Rv1G0225910 transcript:SECCE4Rv1G0225910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSAGGGGAAGGAPGLKTYFKTPEGRHKLQYEKTHSPSVLHYNHSSGGKTVSEMTVAYLKEKPVGQGSTPSTPSSSSGMRSAAARLLGTGNGSRTLSFAGSNGVSRAVSGSSRVGGGIGMSTGVGGSQAVANYDGKGTYIIFNTADTLFISDLNSHDKDPVKSIHFSNSNPICHAFDSEAKDGHDLIVGVWSGDVYSMSLRQQLQDPGKKPVMSQHFINKDKDGTANSRCTSVAWVPEREGIFVVSNADGNLYVYDKSKDGNADWTFPTVRDQNQLMISHAKSTKSNPTARWHICQGAINAISFSPDGAYMATVGRDGYLRVFDFMKEQLIFGGKSYYGALLCCSWSADGKYILSGGEDDLVQVWSMDDRKMVAWGEGHTSWVSAVAFDSYWSPPSSDDAGENVMYRFGSVGQDTQLLLWDLAMDEIAVPLRHPSSGSPTFSSGSPSAHWDSASPPTGVLQPSPRMRDVPKLSPLVAHRVHADPLSGLEFTSESIVTICREGLIKIWARPQQSENSQRPNSSELAAGNAISKDKMITSPNKAGASGSSFKQPSSVIFS >SECCE6Rv1G0446700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:845427618:845429087:1 gene:SECCE6Rv1G0446700 transcript:SECCE6Rv1G0446700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPTSAAAKDEPASQPKKSDVEYSNEVAAQRPEVQVEEWRNEAQEFETEFSEMEMKIHRFPASLRVLGVRYIRPMAVGIGLYHHNSVNLHEMEKVKRVATHHFIRDSGHSFEEMYAAVFSVVGTARSFYNIYEFQLQVEDAELADMMFIDGCFLLQYMLMCTGRDKLPPSLLSCFESNQACISNDIMLLENQLPWMVVETLRRFKPVPVEEFIGKMGRTLQIRGDMDSRRPVVLDGAGSHALPHLLGILWFYKMGGRNSGVPMSNRPDALRSMSKSISAIELAEIGIKLKASKTTKLTDMGIKKGFLSGEIFMAPLLLDDTRSCWLVNMAAFEVCLGMATGAYQNKAVVCSYLAVLATLMDREEDVHELRSKRLVQGELSNKETLDFFKMIVKRISGGPLYIHIMEEVEAYKLNRWMWIKVHRFVHKHLKAIVTVLSIIGVLVGIFKTLLSLKRN >SECCE3Rv1G0198320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:830770803:830776232:-1 gene:SECCE3Rv1G0198320 transcript:SECCE3Rv1G0198320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGSPGTWSGLSLRLGQFLFAAASVCVMSSAIGFANYTAFCYLIASMGLQALWSLGLACLDGYALRMKKELNSAVLVSLFVVGDWVTSILSFAASCSAGGVVVLFDKDAFFCSRDPHLPCGTFELATAFAFLSWALSATSALVMFWLLASS >SECCE2Rv1G0096060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:431270691:431271797:1 gene:SECCE2Rv1G0096060 transcript:SECCE2Rv1G0096060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSPMVLSLLLLASLTALLVLAPRASSPPLPLPVVVGDEEAPPAAGGAAGGAAGSSGGGAGVEEGPEDLALSRRVTLDAGEGAAVASKVAFMFLTNSDLTFAPLWERFFAGHADRFNVYVHADPAVRLRLPPTPSFRGRFVAAKPTRRGDPSLIAAARRLLVAALLDDPANAYFALLSQHCVPLHSFPRLYEALFPPKAAHHHRLPSYIEVLTGEPQMPARYVARGDDTMLPEVPFDRFRIGSQFFTLARRHAVLVVRERRLWRKFREPCLPELQDSCYPEEHYFPTVLDMADPAGCTRYTLTRVNWTDSFEGHPHTYAAPEVSPRLIAELRQSNSSTYAHMFARKFAPDCLGPLMAIADTVIFKD >SECCE1Rv1G0062740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721010502:721011062:1 gene:SECCE1Rv1G0062740 transcript:SECCE1Rv1G0062740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAMVIMGQNILIALVSSLLMLILRPFIIDVILVSKKIWSFLRTLTKYLVHDDTLAVDSMVLDDSRAPPAQLVGGGGLTSGDIEIVTARLGLTGWMYQGCEGIAVVDVLMDGKQASEDELEEAFYVFDRNEDGFICAGELWNVMRRLGWKEGAMYEDCVRMIGAFDEDGDGKISFLEFRRMMENAV >SECCE5Rv1G0355350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731576768:731577292:-1 gene:SECCE5Rv1G0355350 transcript:SECCE5Rv1G0355350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDGVCNLCNGGGRFVRERDPGRSIRYIPLQSESGRKLLRRSGRSPDDISSVVLVEKDRSYIKSEAVLRIMEYLNLPFPQLAAFLKIAPLFVRDFAYDNVANNRYVVFSRSETESCEIL >SECCE3Rv1G0199010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:840062141:840063544:-1 gene:SECCE3Rv1G0199010 transcript:SECCE3Rv1G0199010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKLSPLSPVRPDDKRRAPAASVALKVQDSSAAEAYEQYLRLPELSRLWRAACCPGWPDEALVKPALQALEITFRFVSVALSDPRGYASRRELARRLESLAAREVEVVAALCEGDRGAPLAELSASEGVLPRERSASEVWQLPGSTAAVVCHASESSLLPRLAAWDKSETLAAKIMYAIESQMQGCAFSLGLGEPNLAGKPVLEYDRVVQPHELHALKPRVAPEPKTGYRNRENEALFTIHQILESWLCAASQLLTRLNSRIEAKDWEAAASDCWILERIWKLLADIEDLHQLMDPDDFLRLKSQLAIRSAPDGTDACFCFRSRALLHAANATRDIKKLVPWVIGVEADPNGGPRVQEAAMKLYHSRRRGKGEDAGKIELLQAFQAVEAAVRRFFFAYRQVVAAVCGTAEASGNRALFVPAEGTDPLSQMFLEPAYFPSLDAAKTFLADYWVQHMAADSVSSGRS >SECCE6Rv1G0389750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:160283869:160285339:1 gene:SECCE6Rv1G0389750 transcript:SECCE6Rv1G0389750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSAFKRARARRHWSSEAQNHHDSGTGSGALRLVNPLRVSCTLEPKMARSAMSGLVLLLLCATCRLALGITDGLLANGNFERGPQLSQLRGTQVVGASSIPSWRTSGFVEYIPSGRKQGDMVLVVPEGAYAVRLGNEASIAQRLRGAVPGAFYSLTFSAARTCAQAERLNVSASGQSGVLVMQTMYSSNGWDSYSWAWDATADEVEVVIHNPGVTEDPACGPLIDSVAIKTLTPPRRTNKNLVKNGDFEEGPYIIPGTKWGVLIPSRMVDEHSPLPGWMVESLKAVKYIDSDHFAVPRGRRAVELLAGRESAIAQVIRTVPGKQYALSFSVGDASNTCRGSLMVEAYAGRESTKVPYESAGQGGAAKRAVLPFRATSSRTRVVFLSSFYNTRTDDMSSLCGPVIDDVAVVSVRARPPKRA >SECCE3Rv1G0192860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:763350492:763353637:1 gene:SECCE3Rv1G0192860 transcript:SECCE3Rv1G0192860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCALSLLLLAATHLSVSIATDTIDLTASIAGNQTLLSARGAFRLGFFTPPGSSDGRTYVGIWYASISVQTVVWVANRRNPVVHSPGVLKLSPDGRLLIVDGQNTTVWSSAAPTGNVATTATAQLLDSGNFVVSSDGSGSSQSVAWQSFDYPTDTQLPGMKVGVDHRSGFSWNMTSWRSHTDPSPGDYTVKLVNGGLPEFFLFRGPVKIFTTGPWNGVVLTGVPELKTQDYTFALVSNPNETYSTYYIRSPSLLTRLVVEGSTGLLQRYVWADGAWDNFWYHPTDPCDTYARCGPFGFAYCDTAHSPQCSCLPGFQARSRKWSFRDGSGGCVRKTKLSCGDGDGFWLVNNMKLPVATNATVHADMTLDECRQVCLANCSCRAYSAANISGGVNRGCVIWATDLLNMRQYPAVVQDLYIRLAQSDVDALNVSAAGKRRRSMVIAVAATISGVLLLAAAGCLCFWRNKARRKRRPETAPGSGDSVLPFRARKHSDFSPPRNDENKMSCGEDDLDLPLFDLAVILAATDNFAAESKLGEGGFGPVYLGRLEDGQEVAVKRLSKKSSQGIEEFKNEVRLIAKLQHRNLVRLLGCCIDDDERLLVYEFMHNNSLDTFIFDDAKRKLLGWSKRFEIILGIARGLLYLHEDSRVRIIHRDMKASNVLLDRNMVPKISDFGIARMFGGDQTTAYTLKVIGTYGYMSPEYAMDGVFSIKSDIYSFGVMVLEIVSGKKIRGFYDTELDLNLCGYAWMLWKEGRSTELLDDAMGSSCDHSQVRRCIQVALMCVDVQPRNRPMMSSVVMMLAGENATLPEPNEPGVNLGRNRTDTGFSENESEYTMTTTDTR >SECCE2Rv1G0102190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:574739174:574740062:-1 gene:SECCE2Rv1G0102190 transcript:SECCE2Rv1G0102190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEVEQQQAPMQMVLRVKHPSSLSSGSEEASEGEGSSRSALSVFKAKEEQIERKKMEVRDKVFAQLGRVEEESKRLAFIRQELEGMADPTRKEVESIQRRIDTVNRQLKPLSKSCVKKEKEYKEILEAYNEKSKEKAILVNRLIELVSESERMRMKKLEELNKTVDSLY >SECCE7Rv1G0504550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:725028451:725036330:1 gene:SECCE7Rv1G0504550 transcript:SECCE7Rv1G0504550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVRLSHVLLQKDCKNICRTKACDVSIELPSLWSISNFVSSKVYELNNFLKFSLYPDPEDARQSSEWGKFMHFLWHNKRAGIVRHGSFTFHILPAQSEERPNYSHAVILYETERKDPVICKRRTGISEKSNKSEEICDSVPNPRGLNASHIHHDPESSPCESVEDGNRISDSLVKRGTSTLRRNFVSTDPTYLRTLSQTHAGWIFGAIAELIDNSRDAGASRLDIFIQTMFSKKAAGKVPVLSVIDDGRGMAYPEMMRMISFGHKRPSEHCNDQIGRFGIGFKTGAMKLGKDAIVLTQTSTSRSVSFLSQSFNENKDNLEIPVVTYRKEGQYMEVDLSVQSEATAEYNLNAIKEFSPFNEYFIGEKLGLFGEEGTGTQIYIWNLDRWGKDYTLDWSSGRTDENPADKGHGDILIRSKRVRSRPGQTSKQVPLDYSLHSYLEVIFRNPRMKITVQGSKVKARPLDKSLNTTSVISGDIAGRTIELTIGMSKVEWERTNCGVFLYWRGRLIESYKRVGGQMHNADTGRGVIGVADITELVDDEDGNSWVLNSKQGFQDCEMYAELEEWLGSSMDEYWETNFDNVELGKAAGRCKPDHEWVQCYGCRKWRVLTAGFDTESLPDQWFCLMPPFNGKCTIPEQQMGHGTITIGEKRSGNVGRNRITQREATTKVDTNKIGNNEFSQDEDVKNVKLIPTIVNKRKNTSNGTNSIEDDLDSNSSQTESVAPLHVLKRIRRGAARSCKT >SECCE2Rv1G0114860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:740571163:740572076:-1 gene:SECCE2Rv1G0114860 transcript:SECCE2Rv1G0114860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEVTAASGSSGRAGIIVWTNSMTKTMLGFLAGLVADGKRTSSGFRDVHHRQCAAVLNEQFKLSVTGEQVKNHLKKWRKIWTRIANLKNLSGALWDEDTCTIRLSEEHYAGHCMTHKADAPFLNTPIEHYHAMASIYGTMGAKGQNARSGNDLLSTDLEEEENGEVNTSPNVGESSDPKAPPKKKAKVKHVVDDPLVITLKDGFKLVAEALVKSSGDDDDIPDDLWDVISTLPDFDEEHLAHYYAHLVDNPKTARAFMTLTQTNKSVWVSRYVKKNF >SECCE2Rv1G0139670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:928680522:928680930:1 gene:SECCE2Rv1G0139670 transcript:SECCE2Rv1G0139670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKVPSLLCLLLLMPLLLVPGSEADTCSKFSKTYTGRASLCKYAPCAKACEKEGFTEGVCAMIRARPLFMRCLCKKECQN >SECCE1Rv1G0049620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642427456:642432469:-1 gene:SECCE1Rv1G0049620 transcript:SECCE1Rv1G0049620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATVSSAGGILAMLHEPAEELKLHALASLNSVVHLFYPEISTSIPAIESMYEDDEFDQRQLAALVVSKVFYYLGELNDALSYALGAGPLFDVSEDSDYAQALLAKALDEYASFKTRAYKAMEEEENVDPRLETRVERMLERCILDGKYQQAMGMAVECRRLDKLEEAIIRCDNIHGALSYCINLSHQYVSHREYRSEVLRCLVKIYQTLPHPDYLSICQCLMVLGEPETVANILDTLLSGSQDDALIAYQIAFDLVENENQAFLLNVGNRLDSQTPGQSALLVDQTVNAGTTSTEPAGDVQMGDGTTTSNRNAHPLDPNEAAHADRLAKLKAILSGEKSIQLTLQFLYGHNRSDLLILKTIKQAVETSGNVCHSATVCSNAIMHAGTTVDTFLRENLEWLSKATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLASLGTADEEVFEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKAGEMLAYAHDTQHEKIIRGLALGIALTMYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMGLGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLRSRNKHDKLTAVIGLAVFTQFWHWYPLLYFISLAFSPTAIIGLNSNLEVPKFEFMSHAKPSLFEYPKPTTEQTTTLAVELPTAILSTYAKAKSRAKKDAESKAAANQEKTAAEAESKANQEKTTEDASGSTSGKAADATQVDITAEKKAPESEPAFQILANPARVVPLQEKFIKFIEGSRYVPVRPAPCGGFILLRDTQPSEAEELVLLTDAPATGQPVPGASAMAVDDEPQPPQPFEYLS >SECCE1Rv1G0010320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:61516633:61518498:-1 gene:SECCE1Rv1G0010320 transcript:SECCE1Rv1G0010320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAWAALRSAVPRWRHAQNPPTSSSWVSSIGLIIRSSQPYSAAPSPSHGGEEDGDRDEARQEMLNRWVFRAAETTFRDYLHVERGLCFTDAKHISERSPVFLGELLEELNKAVTKPADQGEDEARLRSKVKKRVSRALVRLFHRRPVNEFRPFLESIGLRPSECDYLLPEDLTSLADAEMLLESYRALCSYGIARRKIGRIYRNATEVFSLGQGVIASKLEALEGLGFSKATVIKLVISTPTVLVHDPAVELKTFLLWLDDIDIQRDWIGQFLSERVSYNWPKMVQALQSLSDLGFTKDDIGKVVRKNPHLLLEHSGGELLSIVDTMQRVGSGKRELLDLFLNHPNVNGVDVGWNISKGSCFLHDIGISYEDVKKILDSHGWMFGAAPMKATSTILGQLNVGKARLRKIIMEEPGQSLNYTIGSKVSRLPRCKPEPCVKEKREFLRRIGFVEGSEDMEKALKAIRGKGANLQDRYNKLVEKGLDPKHVAHMVKVAPRILNQKTDALAYKISFLVHVTGYPLSALPAFPRYLEFTVHRSKLKMLMYSWLLERGLAAPQLTLSTVLASSETEFIKAHRVYKVPMGREVWWKLKREGGSFGQEEIGWLRHRCSLDDSRIECMT >SECCE1Rv1G0045570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:610641928:610643454:-1 gene:SECCE1Rv1G0045570 transcript:SECCE1Rv1G0045570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPWYRVHTVVLNDPGRLLAVHIMHTALVSGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITDSWGGWSISGVTVTNPGIWSYEGVAGTHIVFSGLCFLAAIWHWVYWDLEIFSDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQAVNPAWGAEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSNGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPVFRDKEGRELFVRRMPTFFETFPVVLVDEEGIVRADVRFRRAKSKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRSQLGEIFELDRATLKSDGVFRSSPRGWFTFGHATFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGTFQKVGDPTTRKQAV >SECCE6Rv1G0409500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:589623449:589634330:1 gene:SECCE6Rv1G0409500 transcript:SECCE6Rv1G0409500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAMRLRCLLQHPPLWCKCSSLGISTSGGGCLVRRFSAVGAPRPCDAGRRLCRFYGSKGGVGSAEARGAGAAEAAAGSSGRCSEQEHARLGERDQQEWLSGERFLSDCKRRESPFLTRRERFRIEFLRRVVPWEKGNLTWQNFPYYVNENARQLLRECTASHLRHKGITSEYGARLPSSGGRILLQSLPGTELYRERLVRALAHELRVPLLVLDSSVLAPYDFGEDYSESEEEDEHGESEEEGSESEMEDEGDEDWTSSNEAKSGESDDEDALKSVEELKKSVDDLKKLVPCTIEEFAKRVVGEEEGTSSESPETAKSPEEDKRPFQRGDKVKYVGSSAVVEADQRIILGKLPTQDGSRNAYTFISGRTLSNGQRGEVYEINGDQVAVIFDPPAEKLHDGDENSKEENAKPSIYWVDAQDIAHDHDIESEDWHIAIEALCEVLPSLEPVIVYFPDSSQWLSRAVPKSNRREFIQKVDEMFDQLTGPVVMICGQNMLAAVSKDKDKEPPTLMFQNLSRLSSLPSSLKRLVGGLKGQKDSRSSDISKIFTNSFVVPLPEEGEQLRVFNNQIEEDRKIIISRHNLVELHKVLEENELSCMELMHVKSDGVVLTKQKAAKVIGWARSHYLSSTVLPSIEGDRLTIPRESLDLAIERLKEQVTKSKNLSQNLKNLAKDEYERNFISSVVPPDEIGVKFDDIGALEDVKRTLDELVALPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGALEHEATRKMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVGLPDAGNRKKILKILLAKENLESDFKFDELANATEGYSGSDLKNLCIASAYRPVQELLEEEKKGRVSSNSTHLRPLVLDDFIQAKAKVSPSVSYNATSMNELRKWNEQYGEDGSRTKSPFGFGN >SECCE4Rv1G0252680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:617152114:617153181:-1 gene:SECCE4Rv1G0252680 transcript:SECCE4Rv1G0252680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALMDGELGSLVKVTAAVWAAMYYARKAAALIRPGAARLAALLPAVALFCAIPFAFSTTTCRGSSAFFLCWLGTFKLLLLAAGRGPLDPSLSLPHFVFSASLPVKFRQSTSTSAKAKGQDPASGRGHGPAKILVSGAVIPFIIYAYQFKDAMRWWQLVLMYTVHIYFSLELLLGSVHALIHGVLGMEMEPQVDRPYLASSLRDFWGRRWNLMVPAILRPSVHGPVRARFGDAAGVMASFLVSGLMHEVMFYYIMWQPPSGDVTAFFVLHGACTAAEGWWARHAGWWRPPRAVAVPLTLAFVGGTGIWLFFPAMVRGGLDELVLQECQGLVVAMEQAGRWLAGGADAGPILSTR >SECCE3Rv1G0193330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:768927364:768928536:1 gene:SECCE3Rv1G0193330 transcript:SECCE3Rv1G0193330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRLFLRRRLATATATPPTPASILNPSSPTTPLTSRQKTRLAISLLKSSPPPPPDQILSICRAAALSPKTHLDRVALSLATSRLSTAPESLRDLTSSLLIPHHAHHAIVLFGQAGLLPDAISTFQSSPSTRSLNALLFACIVAGNHAEAARIFQTFPDAHRVKPNAETFNSIIKSFSESGTTRSFYSVFDEMCKEGVKPTATTFTAAIAGFYKEERFDDVEKVIKLMKKHGCGESLQVYNARVQGLCKLGRNGDAKALLSEMAKKGTKPNWVTYNHLIYGFCKEGDLEEAKRQYKEMGKKGLVGDSGFYFTVIFHLCKAGDFDTALGVYNEIIPRNWVPCFSTMKMLVNGLAGSSRIDEAKGIIEKMKEKFPDRDEGWKEVEEALPQ >SECCEUnv1G0564820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:387084402:387085643:-1 gene:SECCEUnv1G0564820 transcript:SECCEUnv1G0564820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAPVMEVPNDAELLQAQADLWRHTLYYLTSMGLRCAVKLGIPTAIHNLGGVSSLPDLAAALSIPASKQPFLGRLMRALVTSGVFANGGKNDSGAELFRLNPLSRILVEGVVAEEHHSQTSFVLAGTSRHYMEAALGMADWFKRDATGPVPSVFEDVHSASLFDESTAALDPELDALVTEGLAAHDNLGIGTIIRECHDLFKGLESLTDCCGGDGTTARAITKAHPHVKCTVLDLPKVIDKTPSDGIVNYVAGDLFHTVPKAQAVMLKLVLHHWSDEDCVKILTQCKNAIPSREEGGKVIVIDIVVEPSLGPVMFEAQTLMDILMLVFTRGRQRSENDWHELFTKAGFSDYKIVKKLGARGVIEVYK >SECCEUnv1G0546370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:154261439:154267640:-1 gene:SECCEUnv1G0546370 transcript:SECCEUnv1G0546370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSARYGPALTFTGPVRKWRKEWVPISAATATATSAAASSTGTGSRGNNLILFKWTPLSGANEGDEEQTAPAKTATRRRRYVPVSVVQDQRQESAKSDDANKADDGEPSSTETEQSIGKTNIDDILLDESQASDEVRDSGNFVGGTDLNLNLGPKDPDGEGEGDIGEHHEVRTEHRLKRKSVTPDLEMRM >SECCE2Rv1G0067410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18802889:18803917:-1 gene:SECCE2Rv1G0067410 transcript:SECCE2Rv1G0067410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDSIPMVSLAAAAAALYARVASSLLRPGGLPRLVALLPLFPFLAAAPLAFTSSAIVRGTAAFFLTWLCAFKLALLAAGRGPLDPALPVLPFIFTALLPVKLRRAGDRASKAKPLPSLLSCAAKVAVIAAILSIYQYKPRLHPYARRALYGVHIYCFLDLFFPCIAAAAGALGMETEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRARAGSPAGVLATFLVSGLMHEVMVYYLSLRRPDGGMTAFFLLHGGCCVAEGWCARRWAARGLPSPPRAVATVIVVVFVAGTSFWLFFPPLCKDGGEEKLLEEWAAVAAFFLDAGRKINGVVRSTD >SECCE1Rv1G0001800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6339134:6340090:1 gene:SECCE1Rv1G0001800 transcript:SECCE1Rv1G0001800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFKTLAKALTGRTVTAGRNSSGRITSFHRGGGSKRSLRDIDVKRNTCSVGIVERIEYDPNRSSRIALLRWIEGVPQKDAAYKAERAPVNYIIASHQMEPGSMVVNSDSSKPSTTGSLMRPAHNADSFLRFQELFRKASQSENGTDDQAKDAAVPAAAPLMPADLLDLNSKVGNCMPLSDIRMGTWVHSIELRHGQGAKLVRAAGAYAKVVKESATQCLVRLPSGVEKLIDSRCRATIGIVSNPTHGARKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGYRTVPKKPKAQLSRD >SECCE7Rv1G0478310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:235981236:235987617:1 gene:SECCE7Rv1G0478310 transcript:SECCE7Rv1G0478310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTPNPSRRSWVGPPTPFLTPRPERRELRWAEAGSHSSVRRSGVGACSINGSNDRGREANVQVVLRCRPLSVEEQKANVQSAVSCNDTKREVTVLNSLFKQADKTFTFDKVFGPKSQQRAIYDHAVAPIVDDVLEGYNCTVFAFGQTGTGKTYTMEGEMMQQVGELPASAGVMPRAVRHIFDILEAQKADYSMKVTFLELYNEEITDLLASEDQSRFPEDRQKRPTISLMEDGKGGAVIRGLEEIVVYSPGEIYSLLQHGSTRRRTADTALNMQSSRSHSVFSIYIHVKVTTTGNQELMKCGRLNLVDLAGSESIARSGAKEVRAREAGELNKSLLTLGRVITALVEDSAHVPYRDSKLTRLLRESLGGKAKTCIIATVTPSVHCLEETLVTLDYAYRAKSIRNKPEVNQKVCKSVMIKDLYQKMEKMKQDVKAAREKNGIYIPHERFVLEEAEKKIMKDKVEHMELSMEKQSKEFEKYRSLYLAEQEHRLNLEGQNQELMMRIETCKREFLDLQDAHSRANMSLKEKDFIISNLLHAENVILERAKVLCGIVETASGDIADLQNKLGRQSKTEVENKGLLFNFRSQLDQSLGLLGNTVVGSICEQHQFLESMTGQMNSYFSAKSESANHLKRRITKVKDMYTSGVQCMKELVNTLQQRSITDSEQMKLNISSQAIAVDNFLAVMVSEAEQVLTEVLGSTSELKELLAFAADQQELGLRKSLTSAQAMSKMSIDFFNDISTHASRLMKLMEQSQRGCTSRLAEFEKGFEEVAIREEQAALAKIAEILAGMTARKTTMVSEYVGQLNEKYSEEQEHLALEMSSLQQVSDNGKKEAASCAEKLENQFLEDMSSHANIKYKMGDILQQCLKGSHHSVSYWSHTQSCLEHLNKSSVVEANVFIQERRNENESIIQETQLRSSHNDAGFHAITSDILTASENSHLLDHETRERMETLSTSSSNHLGLLTETHNQGTESIRTFTSNFMEKDYSVNSPVRHRPRELLPGAYSFESIEELKASVPDLVAKFISKNKLDEVDKGKSYSDQRTRAPRSPLTPVNHYD >SECCE3Rv1G0173790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:361289201:361313655:-1 gene:SECCE3Rv1G0173790 transcript:SECCE3Rv1G0173790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTLLLLLWISAGAATASPPPLETLGIPPQDEAYYMGGVIKCRDGSGKFTRGQLNDDFCDCPDGTDEPGTSACPEAKFYCKNAGHYPITIFSSRVNDGICDCCDGSDEYGSNGTCKNTCWEAGKAAREKLKKTVATYKSGVVIRNQEVEKAKLAIVKDEAELTKLKGEEKILQGLVDKLKEQKRLIEKAEEEERLIKEKEEKRIKEEAEKQAAEENKAPDASQEAESQGTNEKEQEGESKVAEHHDGDVMDHDNHSPEGETSVEEPDIKAVTGDDEPPVETSAVPTKEQDPTSVNSEGLSKAELGRLVASRWTGENVDDVGKSDKKGHEDELDIPEPAEEAFEDEHDLPEPAEEAFEDEHDLPEPVEENYAGYHSEVEDDRHKFEDEELSNASDDEYVDDHDEPDESYKSDDDRKGDDHSDLAASGQASWLDKIQQTVQNVLQKFNFFKTPVDLSEAAHIRKEYDDASSKLSKIQSRITSLTDKLKQDFGKEKEFYYFYDQCFEGKEGKYVYKVCPYKKASQVEGHSSTNLGRWDKFEESYRIMHFSNGDKCWNGPDRSLKVRLRCGLSNELNGVDEPSRCEYVAVLSTPALCVEEKLKELQQKLDAASSDLSGHDEL >SECCE7Rv1G0456050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9343759:9345246:1 gene:SECCE7Rv1G0456050 transcript:SECCE7Rv1G0456050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAPTPCGSKSARGTDSGVDRLSSLPSDLLHRVMSFLPMPEAVRTSLLSPSWRNLWASTPYIRIDHLDFMDDNSKMEKFGDRLLLLRDITATLDEARIIDHTVASTTCTVWIRHVIMHKVRHLHVSGLGHLDSSAIPPSHHLETIRLQFAILGNGLLRPLNYDCRVLQLLQLEDCVLVDLKEISSRSLKVLRINNCLITGSLLICASNLTHLSILDMHSHSEAILVRDLSSLVTAFVSVKTIDGHGLLDGLSHASTLELHAPLLEGGLWICPMFSNLTSLVLGNWCMAADFDALLRILQRSPKLKELTFKLERVQCTRCMYSESTLPPSRASLSLGSHPCIERIKICCWEENPSVGALVEALQPIAGDVKISIKRLY >SECCE4Rv1G0258500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677841781:677843655:-1 gene:SECCE4Rv1G0258500 transcript:SECCE4Rv1G0258500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGVARPTSTVQELAGDLGNPDVPARYVSRGHHENDRQPTVAPVPVIDIGRLFKQDGADAADDEAVKLRQALESWGLFLVTNHGVDTSVMDGMMAASREFFRQPPEEKQRYTNLIGGDRFQLEGYGTDRVSSAEQILDWSDRLYLKVEPEDERNLALWPAHPETFRNLLHEFTTKCRVVKDGLVRAMARLLELGDDYIMDQFGEKADTYARFSYYPECPRPELVFGLKPHSDGSVLTMLMVDDTVGGLQILRDGVWFDVPIVPHTLLINIGDQTEIMSNGIFKSPVHRVVTNAEKERLSLALFYSVDPEREIEPATQLVDEKRQALYRKVKVKDYIAGLYEHVSQGTMVIDTVKI >SECCE2Rv1G0069420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:29117494:29118775:1 gene:SECCE2Rv1G0069420 transcript:SECCE2Rv1G0069420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFQITPRAAIMESNELNFRSLYLFHTPLGSNQNQSAIIDSNATTGLGATVVNNWPICDGPSPGATVVARAQGLHIYAGNWQNTFSITFEAERFKGSTLQVMGISVEEGEWAIVGGTGQFAMANGVIYKKFHEQRSDGNIIELTVHGFCPVLKSQSLPTKVGPWGGNGGSDKDIVETPRRLESIIVSSGTIIDSIKFSFVDQTGQKRTAGPWGGSGGNQNTFILCTSEFVKEVSGTFGLYGRDNHNIITSLKFITNVKTYGPFGEAKGTPFTIPVQKNSIIVGFFGRSGIYLDALGVYVHPL >SECCE6Rv1G0395160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:318154905:318157883:-1 gene:SECCE6Rv1G0395160 transcript:SECCE6Rv1G0395160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDKNPAQDMVDIAGHVEQEDPSYEKDIVEIKLPDSVASFDYGGNFVKDVCVDDGQPLPRRISEDKLVDEKSSPNFDCQMIHANGAPTYTEKDCAAKSFHKPKPETVLPVDFAPDSNNEKRYSSCEKHDLEGRSKGTNFGDLSEKKISLEELLRLESAEESLHKGAISSETSKNHMPSFHGEAVGQVSANVRHGVETIASKTSGLVNADCSITISGKCDAAASFDARGQNLIDHYNPSVGHGSLEDTCKPESSTSAITDAASAEPICTFEKTDIVSAEGFDEVETAEPRVNALSSLSSEIRSSAKINDQNGSIMGESITNKVHETEAATTSSADNVEPNGANGENSEKHETGGTADIHDSSQIDEGTNNDAVSKTSVLAQHDNVCEQNAPDSANAPSRTGNGYPPFESGLFGPSIMSAPVSNSGYLAYSGNISIRSDSSTTSTRSFAFPVLQKDWISSPVRMAKGERRRTRRRRGWRKGLLCCKF >SECCE7Rv1G0507800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:765814744:765815633:1 gene:SECCE7Rv1G0507800 transcript:SECCE7Rv1G0507800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQDLGAWLGLATGGGAAVWRTHHGERRPDPVRLDELFPQHGKEERDQDEQKTGGKGARRKMKVADDDGRSSSSNGPWPSDGGGSDGAGGGGRRKKLRLTKEQCALLEGSFRAHNILSHAKKQELARQLNLSSRQVEVWFQNRRARTKLKQTEVDCEFLRRWCESLTHENQRLKHELLELRRSAAAPVAASSKLFAQLPRAAAMVNLCPSCEKVAVNN >SECCE7Rv1G0473700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:159857765:159860795:1 gene:SECCE7Rv1G0473700 transcript:SECCE7Rv1G0473700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRKLFARKAMDGLSHVSERVFVFNSCLSIGVLDEGAHRDYLTSTIIQLKAGNPHASLMVVNFAAATTGADAVHSLLGHGAAAVVADYPSRYGSCPSLPLPKIRAFLGSCVDWLVSGHQRNILLMHCDGDGAAWPALAFAMASLLVYIEEAAPERTTLDAVHGRAPVELLSACSVLDPRPTHLRYLQYVSRLRDKGALMGMKQQPFVLDCLILRAVPDFDGSGGCRPVVRVHGEPREPSTDASSTEVLFSTPRIKQQFKRYKQAESTVIKADIGCQIQGDVVIECTHVGDEDHEEIMFSVMFSTCFLQSNMTVFTLEDIDLPWNCNTEKFQEDFKIEVFFSEVALSDTDESNDESELSSIGNADEFYDFDEILIEDSNFDQNDRGPYGESSRQDHCEEPNTSTEHSETVSSDSASNSSAEKGEDGETGSSDSASNGSDEKGNISTDDEAEFIHGDGVDVTGETKDPRLGETSHLLEAGSSSSTAPIVPTTGHVSVEIPTDFQENRSDEPMQEGYGALNPQPMRKTRQKQAAIIPPVPVIRKKMRRPDTGPDDKKPAASRTLVRVGSHKGALVAASSSSSNSPSQARTSPSSPHKHHEIPSRLRQGSAAQPTRVSSNLSKEHLKHSSQQQARGDPAARRHFARPEVKQIVLTRQASPSFAPSPLGRERSQDDGERSGNPMEKAKKPVIHSSEKLRSSRAPKQESPVVEVPRRSSTLKKSMSSPAISATPAVSSSPGKIRTTNSLPGVKTSRPSSGLHIASSSSSSSSSSPRGQKHSVTPPSSPGRSPLSGPRFSRAVPVSQPDVQQDASAPTRLPRRASFSELSRSVATSRAGDVHAVSPRASRVIQNHREGVKGSGRSLSPSSPRLTAQPWPKTTAVVSMSSSKDTRSGTAPARPTRLSS >SECCE7Rv1G0470260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:118086611:118093304:1 gene:SECCE7Rv1G0470260 transcript:SECCE7Rv1G0470260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDVAADIPAAVAPAKRAPLNKYALACAILASMNSILLGYDVSVMSGAQIFMKRDLNITDIQIEILAGIINIFSLVGSLAAGRTSDCVRWEAFLLLDEEPHWIGRRYTMVLASVIFFAGALIMGLAPSYAVLMVGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINTGVLLGYISNYAFHGLPVHLSWRAMFLAGAVPPVFLAVGVLAMPESPRWLVMQGRIGDARRVLEKTSDSPEEAVERLADIKSAVGIPEGIADDNNDELVAIVRKNKGSHGEGVLRDLLLHPTPPVRRILIACLGLQFFQQASGIDSVVLYSPRVFEKAGIKSDANTLGATISVGATKTLFILVATFLLDRVGRRPLLLTSAGGMVVSLVTLASTLHVIDRRASPADGATALSGVSIASVLTFGASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRIMSGAITMSFYSLSHKITLAGNFYLYASIAAAGWVFMFCFLPETRGQGLEDTEKLFGGGDGVEKEDGHGHAKSTEMTTQ >SECCE4Rv1G0253120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:622440868:622441569:-1 gene:SECCE4Rv1G0253120 transcript:SECCE4Rv1G0253120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVCKAEGAAPASLVKSGAPVAFRALHSPAVTAARRPYNTQISRYDGDGDDYSGRDLVIPSFFSQDMLDPLGRLLSLTETGLSSTAGAGASRLAHWVAKEDDDAVYLKVPMPGLTKEHVEVRADKNILVIKGEGEKQPWDGDDDSKVPRYNRRIETPADAYKMDKIKAEMKNGVLWVTLLKLKEEERKDVFHVTVE >SECCEUnv1G0552470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:250290015:250291167:-1 gene:SECCEUnv1G0552470 transcript:SECCEUnv1G0552470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFPIADKKKRASWTTAQLDLLVSVMKEYADAARFRGQNGWTKEGWNSMTTRLNNQFPRANFIVCQLKFREQRLKKEYFVVKSIVEKSGFGFDPITKMPTTIDEKWDELSKEQQKWRYKAFPYYDDLHAIYDAEGKGCKRTTDVVEEKSSPATDLPRGESFTQQVLDAAGLNSPSPTLPAPGFEGHNYEWTEGIYGEDVEVFPVNNTERMENNSSQIPTEHMNTLPDPTPMKKARTCKGNDEGKAKRGKETAIEDLVAVRKEELKTYVDVKTKQIESYRDVKMALMEKKDPDKDPYCIANCIAKLKTIPDLSASEHLKMIEYLKGERVDREIFMTVEHDVVLEILKKVLGRQI >SECCE7Rv1G0466660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:80595986:80596924:1 gene:SECCE7Rv1G0466660 transcript:SECCE7Rv1G0466660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Positive regulator of auxin response and transport, Regulation of grain siz [Source: Projected from Oryza sativa (Os03g0175800)] MERRGDKGMSAAARARRHADQPSFSSSLLDAIYRSMEDEPGHAAGDAVTTAATKKKQQEEALHYSYYYRPSLAGSYRAAPAAPGPHATTSSSSECSSYGGFSSSEAESSSHRRLRPIRTTVPGGPPAPAPEKKAKKPAGASIRAKLRDLRKPASPGARLAGFLNTIFAGKRAPQTPPSARTAAEYACSSASSYSRSCLSKTPSTRGHANRTVRFVDSDREAPATVPGADRRRVPVEQMLLRRMEMESDEDDDEEDESSDASSDLFELENFAAAAVPPGAGYRDELPVYETTRVVLSRGIGHAHGHGRSARVA >SECCE7Rv1G0455440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6412784:6416118:-1 gene:SECCE7Rv1G0455440 transcript:SECCE7Rv1G0455440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPTTGSASLLRGSAALQRDGRSTRRPSSAVARPLPGRRARSAVRVSAAKDIAFDQASRSALQAGVEKLAAAVGVTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVQSLVEELEKKSRPVKGSGDIKAIAAISAGNDDFIGTMIAEAINKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNSEKSVVEFENARVLVTDQKISSIKEILPLLEQTTQLRAPLLIVAEDVSGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGQLIEQTTVEQLGIARKVTISGSSTTIIADVASKDEIQARIAQLKRELSQTDSTYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTFVPAIKEKLDDPEERLGADIIQKALVAPASLIAHNAGVEGEVIVEKIKDSEWEFGYNAMTDKHENLVEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPSKKAPAPAGMPQGMM >SECCE1Rv1G0023540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:307297804:307303122:1 gene:SECCE1Rv1G0023540 transcript:SECCE1Rv1G0023540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASKARQAGSSARQVGSRLAWSRNYAAKDIRFGVEARASMLKGVEELADAVKVTMGPKGRTVIIEQSFGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDDVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNQKTQKCELEDPLILIHDKKVSNMHAVVKVLEMALKKQKPLLIVAEDLESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLENFDPEMLGTCKKVTVSKDDTVILDGAGDKKNIEERADQIRSAIEQSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVSEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKALDKLQTANFDQKIGVQIIQNALKTPVYTIACNAGVEGAVVVGKLLEQDNTDLGYDAAKGEYVDMVKVGIIDPLKVIRTALVDAASVSSLMTTTEAIIVEVPKEEKEAPAMGGMGGMDY >SECCE2Rv1G0074400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:76200013:76202502:1 gene:SECCE2Rv1G0074400 transcript:SECCE2Rv1G0074400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDLTDEERKALRGSKFAPLPAPPPSSRPNPRMAHPGGPLTTNKAAALAKFLERKLQQPDGLDSLNPDLVNLAVKNAKETIKASKGEASTSGRVVRHVPSFEDSSEVSNQDDGEQRKEKKKKKKKKRKTKAAKDSKLHNTSKKKNKLSL >SECCEUnv1G0538860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74406056:74407465:-1 gene:SECCEUnv1G0538860 transcript:SECCEUnv1G0538860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLARSLPPLLSRLRRPAYAHRHSLLPSGTSRAYASADAGKFHGDLCNSCGAKHEQRRRHLYVVLDDWKHGFSLHKISLEDLVGGDGVGVEVEPQRLPDPILRFGSRSIGSSPGFHALGSNLVVTTEDDDLTLTYDTETAALHTTTKESPQQTGCYHAMAAGNNLHTFDSDGYMLNLDEFCVPHWEVGPVPPFTPHKDSYVSACALHPDGRTIFVSVRRRSGDYRTSFVEEEPEQSKLQLGTFTLDTKSEYDWGAWKWRGEWYLPFEGQGHYDGDLGAWVGTTHAARDGQGYRNDEHEHLCSYDVPDLRKEEEEEEDEGTDEEEGDEEEEEDKEDEEVEKEKLVDEVCPPPVPRWSLAGEKLTFLEPKLKSTGRAVLLHTGGRGTFCLVERALRKGVSSSGSKGDGDGDDCLLRVTMCRIRYGRNGELVAAPLWPGRSYLTSRYAPYWPGRSYLTPSDVKDFDVRAFWI >SECCE7Rv1G0504840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:727010130:727011191:1 gene:SECCE7Rv1G0504840 transcript:SECCE7Rv1G0504840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDACTNLTDVVRSVQLLTINGYSMTKTMDSDDGCIKSRWNVYGYDWEIHLYPTGLSNRYHSSSLALKLVFIGEARTSSVRASLACQLVDPQGKLNPSKEEREAATFKRPQDSSSPVFLIQMSALEKSVYIKDDSYTVRCTITVLKDIPGSATSSVHGADVPSSDLRRHLGELLRGETGADVTFLVSGESFAAHKAVLAARSPVLMAEFFGDTKEKQSCPCVEVKDMEPEAFRSMLHFVYTDMAPELDQSPETAMVMARRLLGAADRYGLDRLKMICECKIYDGIGVDTAATTLALAEQRGCSLLKAKCLEFIVSSPEILDAVMATEGYKELESSCPWVLRELLKSARGRMRS >SECCE2Rv1G0075460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:84264402:84264998:-1 gene:SECCE2Rv1G0075460 transcript:SECCE2Rv1G0075460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKLKQRSTLSVFLYIVSSAALAVLLSASWQWFAAACVRPARMRLFMHDVLTGPGATAVEVVNGTGPSLFGGDPPLRFGHVVVIDDVLTEGPDPASMPVGRAQGTYVFASMHDPALLFCMNVVLTAGPYSGSTFTVVGRDNIVEPLRELSVVGGTGRFRMATGYVLWRTASWQLRKNAVLDLDVFIYAHAHAHAPA >SECCE2Rv1G0133950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898956552:898957204:1 gene:SECCE2Rv1G0133950 transcript:SECCE2Rv1G0133950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKKVVVKLDLQDDTRKRKAIKAVSALCGIEEIAVDTKDGKMTVVGTVDPVDVVRKLRKRFCTVQMVSVGLAKEEKKNGDKKQEGDKAEGNKEKKKGDTKDCYPLWCPPHCYPPPHYPHPCCFVHTEENPNACVIC >SECCE7Rv1G0468230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95752144:95752365:1 gene:SECCE7Rv1G0468230 transcript:SECCE7Rv1G0468230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVVVAVLLMQFCNVVMAARLLEGDGAWLHGGVGAAGALIMQILPGGSPGAGAPNGCTNNPKHPPGGKCHG >SECCE5Rv1G0343970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:642781704:642782654:-1 gene:SECCE5Rv1G0343970 transcript:SECCE5Rv1G0343970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRRRRRKNSRPVPEREVDIDAVPGELLELVFLRLPSPAHLIYAASTCRRWRNVIAGDGGGFLRRFGSLHGAASDHVVGHYRVDERYGHPRPPGLNPVFVPSSSSSSPWADAVANRNLALDFLPRAKFGDCGWELADIRGGLLLLFHSELAPGLLVCDPLRRCYREIPRSAWFHGCHMLGAFLLEGEGEGDEHAGISLSNFRVTCALFRFGDRNARACAFSSAGGGWTSGAGRSSTPVCHDRELAPIYFAGSSKRAAYWTVGDNVVLALHKENVEIFSSIVLGDAQYALLRDKRHATEYAYQLPWPPTIEACVT >SECCE6Rv1G0378840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:10809354:10810976:-1 gene:SECCE6Rv1G0378840 transcript:SECCE6Rv1G0378840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGDGSFMSWIRCTTDSLCDRVRVADERPAPGYVFHLDESIHGKTQLLAELRGWRTYLEEIVEKLGLWNTPRKELLSRQSETLLELRGHVERLRLLEEKTLVDSSVHEIVKDMMAGEEAPNDVGVEEDQQAGLQLPAGPTNTPVLRNDTAAAVAAAVGGLVTPFHMETFHLKSMVADLSGVPMAKLKMQAETEDEVLLVWEPGEKAEQPPASSKIRDNFLSARLKGHDANHGGRVDYQFFSPPIDFDTEAESPLQPGRHTGAMGASLQRSANVLSIKVTSSSGVASFPLSLYGSVVVRDALDNEGIRLFHRERDDPQLITSQGEPLLLTGPTRGLVVFGFLFFDFNLKLKTGRVEDDMDFIQDMMEYNRLMNQHTHISDSLSSPLGAVELRYMAVQGAIESTVEIRILENSTKDGNPLVDDGLCRKMSVHTRTTKIPEPEEMVLFDSKASGAAITVGDDGVLELSRRVVAVSVGDSLVITVETWEDDGGDLHTKSSFVFTPLPCGEGRAVVPYDGYTMQIKVVWSALFNPKTDQVAV >SECCE6Rv1G0405240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:528722630:528725012:1 gene:SECCE6Rv1G0405240 transcript:SECCE6Rv1G0405240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLIEAQKPLLTGMMRLAGLRPIDVELEPGTTMHFWAPKHHAGKKGTTISPHDASAAAAAGEDGTIKKPSSSRRGSRRKGPDAKPNVVLIHGFAAEGNVTFQFNFGVLVSRYNVYIPDLLFFGKSSTTDSADRSPEFQARCVAAALARLGVTRCDVVGFSYGGMVAFKLAEERPELVRSLAVSGSVVAMTDAVNSETMERLGAGSSAELLMPETLQGLKALFSVSMYRKMWFPDRMYKDYLKAMFTNRTERLELLQGLLDSNMDAKIPTFQQKIMLIWGEEDKLFDIELAKKMKEQLGENCYLQGIPKAGHLLHLERPCAYNRQLGRFLAYVNSQENQATN >SECCE4Rv1G0267220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735286018:735286525:-1 gene:SECCE4Rv1G0267220 transcript:SECCE4Rv1G0267220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTNAYHDQKLAAAKRCSREAALAGAKAAAIATVAAAVPTLASVRMLPWAKAHINPTGQALIISTVAGMAYFIVADKTIVSMARKHSFEDAPEHLKDTSFN >SECCE3Rv1G0187080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:694462479:694465248:1 gene:SECCE3Rv1G0187080 transcript:SECCE3Rv1G0187080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED ONLY IN THE GREEN LINEAGE 160, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31040) UniProtKB/Swiss-Prot;Acc:O82279] MSLLAVVTSRAAAVRPLRASAASGEAAAAAADQPAERRPVKIILPKKKPQKWSTGMEPGSYGGGPTTIKPRKYWMGKEDRDPIGNTDDFIWNKNFLPHMERVIANGGTDTPATIPRVTPADEDSGFLSINRAMDLDSMDVDLSKELMAPAKSILQTQLKAARRGRSTSVEAVNRSTFIRWKLAPTRREQEQWDRATRATTGGIDVILRESQQKVQLKGDPKVVAAEAREQYLKLKERLQLLTLGIGGVGVVSAYVSYTPEIAASFSAGLIGSLVYLRMLGTSVDSLAGGTKAAAKGAAAQPRLLIPMVLVMMYNRWNAILVPDYGFMHLELIPMLVGFFTYKIAMFTQAIQESIPDVGNREV >SECCE3Rv1G0148260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:18821478:18822103:-1 gene:SECCE3Rv1G0148260 transcript:SECCE3Rv1G0148260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHEREEHEKTCRIGMADDSDILPRGIVKIGPFGGSGGNEKDMDVRGVSRIVKLVVWHRSDVLDAMSVSYERDGREEETEQWGKPAGERSEICLEAEEYLTSVKGHVDHSGDGLIVKSLTFVGNRRTFGPYGEKQGVAFELLAAGGKIVGFHGRSDSYVNALGTYVKMYS >SECCE7Rv1G0475310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:185311392:185313344:1 gene:SECCE7Rv1G0475310 transcript:SECCE7Rv1G0475310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSSSPSPTVAPMNPLLPSSSSYLKSHHPPDPDPGSPNPSPCSFLLHVDADDEALIQIPGGPNPSPGGPVASSLPLPPVDPTPHISSQFYTFTAASHALMLRCILAGRPAVADEVRAATSPSVLASWRAVWKDRNEDTAYLTAWKRIQDKLAASADGRHLHFKSNPAQRVSHVGQWLDIVSEAHADPDLLRHLGLKDTVERIRQAWTVGAKFYGIPESFVRVCVAACPVCKAAPAGQPDSAISSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGVPTSSSGNTASSSASASEVKKPRGLKREPYQSKRCGCGFRIRAIVPIANYNEKDKSFVYQEEGTAVFKLYAVHSGHEPGPLDGSARIVHRLVGHQGTFEFDPDIYDVNEEGEPSFMIKGDVDVDIDDSHQAVLQQVRELRAEVVSLEGKVAKMHPELLGSLSSELSEVLHRIRKFNLDGNTYQPEETLMMGNEVGGWATGDVSHHLDHHDGAFCKEDDMLDDDDTDFGSSLGPIVSWDRMAAECEDRKMLMGDSPKCDKWMLKENVGDFDEKSILNCGDEDGGEDSKIIKPLMHDDTMVTDSSLLGIQIDGFYSGPKWYDSPVGLDSSGDAGDVSFRHGLV >SECCE2Rv1G0083860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172097724:172098206:1 gene:SECCE2Rv1G0083860 transcript:SECCE2Rv1G0083860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSAAVLLLGLLISCVAMSSAARNLEETVPSKEEHQPEVSPLPKVELPPFPEVHLPPKPELPKMELPPVPEVHLPPKPELPTVPEVHMPPKPELPKVELPTFPEVHLPPKPELPKVELPKFPEVHLPPKPEMPKMKLPPKPEMPTVPGFHLPEPEAKP >SECCE1Rv1G0028730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:406061455:406063900:1 gene:SECCE1Rv1G0028730 transcript:SECCE1Rv1G0028730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MALLVRAPPLLHLQLSPITAQAFFVPQLASTPRRRPLSARVRVRASNSDPPQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLRSEALGLCLAAFSAALPYLGRFLEGAGASSRVSLPEGSRQVFVIPDDLSTAQKEDMAWATYVLLQNTNTTSVLIAIGNVLCIRGYWDPPADISKYAMIDWFKSQMEQAGLDNLSSALYLPNFSDTQLGNILPQGILSVLAQPIVSNPDPANGKPKAEGVVLLASNANYAYSEKDMVWIRTVANKFRLA >SECCE5Rv1G0340890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:619254914:619255534:-1 gene:SECCE5Rv1G0340890 transcript:SECCE5Rv1G0340890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEVTVLSAEEVVLRELLRRPLDRGAYAVVRTGKPDGGLHTDEPPPRTGINDEDDDCNGYPYWGEAVRVTLHAGTTAIHVKIYSQRRDGSPESVAAARVPVADFSVGPPGHLHCLSYRLLEDTWLVTNRRNGIVNITVKRLDGAQAKEKGGKAVEKAATGTGDSCCGGVAEDGKVSIAAAALAGPVIGYPVEFSAAGQANGKGSV >SECCE5Rv1G0375130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:866926464:866927716:-1 gene:SECCE5Rv1G0375130 transcript:SECCE5Rv1G0375130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMEMEMLISDEEVVLGSAGDEEEEDDVVLPGYRFHPTDEELVTFYLRRKVAGKSLSIEVIREMDIYKHDPWDLPKGSTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAASGSSASGSGSGVLIGLKKSLVYYRGSFSKGTKTDWMMHEFRLPPSTAAAANASPSTQEAEVWTVCRIFRRTVTYRKHQTWRTAPVPSAADLSSNTGCFESSEVGAEYMNCLQAPAPAAPCITQHQQYVSQIDAVNGNNFYEDTVHNQQFQGHWNAAPTAPELLERPQNTLSTSVSVHQTDHSHAVTENEFYEVEGYLEEIARMMEVTDPAEFYD >SECCE6Rv1G0413600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:625199774:625200160:1 gene:SECCE6Rv1G0413600 transcript:SECCE6Rv1G0413600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLQRRGGAVRFRMPRRRALAAGPPLLLPGAAAQEERGSSRRRKMAVARLGGGRGGLFGAVRRLRMRWVAAAYRRALRRLRAFYARALEDLLEGAAAVSSLHSHYAGADCSFGTAFAPAVTVGNRY >SECCE6Rv1G0433560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:760193359:760194786:1 gene:SECCE6Rv1G0433560 transcript:SECCE6Rv1G0433560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMCRATIVGVVALIGCLLAGGGARAQQQQRFDYPAARAPTTWANTDAGLPHHVVYTDGSVARVALLRLNPAGFGPSYAFGFFCTSHGAAPCADFLLGVAVVYCNSGALITSVTTGVPQVVWSANRASPVGDGAAAELTADGELVLRSANGSAVWSAGTKGRSVAGVTIGSDGNLVLIDGRNATVWQSFDHPTDALLVGQSLRHGARLTANASTADWRDGRFYLTVEDDALSAYVNATPPQRYNHLGFGETAAGAYATYTNGSLTVSARPGAPTLATIQLPTVGAGTVQYMRLEHDGHLRLYEWRSGSGWAPVFDVLRLFPDGGCAYPTVCGAYGVCTDDTQCSCPDAVNFRAVDFRRPNRGCVPTKPPPTSCGSFSPGRSRAQHRLVSLPGTGYFNDHATSMRAVERVSEEACKKACLDDCACVAAQFYYGPDAGDGFCYLQSEVLSMQTVRPEVVHYNSTMHIKVQAKSAMI >SECCE2Rv1G0077880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:102752353:102754254:-1 gene:SECCE2Rv1G0077880 transcript:SECCE2Rv1G0077880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSEQMAPAATAGRRPASSATASEAEAKDAENLRLIEEMTSNVDSVQERVLAEILSRNAATEYLRDCGGPTDRATFQAKVPVVSYDALKPYIQRIANGDRSPIMSTHPVSDFLASSGTFGGERKLIPSTAEEGRRRQLPFGLLKAVMNLHFPGLDKGKGLYFLFVKSETTTPGGLTAWPMLTSIYKSQQFKDSMRDHTSPRAAVLCADTSQSMYAQMVCGLCQRHDVLRIGAAFASGLLRVIRFLQLNWEQLAADIEAGTLAPCVDNASVREAVAGILRRPDPELAQFVRDECCTGKWAGIIPRIWPNTRYLDVIITGAMAQYVPALEYYGGGLPLVSTSYASSECYFGINLHPLCNPTDVSYTIMPNMAYFEFLPVDDTTTGEIVAPEGDAARLVDLARVEAGREYEVVITTYAGLNRYRVGDVLRVAGYHNAAPRFTFVRRKNVLLSVESDKTDEVELQRAVERASELLQPHGASVAEYTSHACTKRIPGHYVIYWELLTTDDEAVSKETLDTCCLQMEEALNAVYRQGRVADGSVGPLEIRVVRPGTFEELMNYAISRGASVSQYKVPRCVALPPVIELLDSCVVSAHFSPGLPHWTPARRHD >SECCE4Rv1G0247780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:564010246:564012921:1 gene:SECCE4Rv1G0247780 transcript:SECCE4Rv1G0247780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCDYCGEHRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPAMVRCLEENTSLCQNCDWNGHSAGSSAAGHKRQNINCYSGCPSSAELSRMWSFILDIPNVAPELNCEQVISMMSISDSAVSNGDNAQGDNSLVDMACATLDEEDKQKSAIGSSSEAALNLLPPANNQTAVSVDSTTAKYTPDKHMFSKDSIYEDFSMDDIDLSYENYEELFGNSHIQTEELFDDAGIDSYFELKEATAVNSDEQPKPKQPAASNALSADSGMSNPAVKEDDSSLCIPVRQAISYSGFTGESIPEEYQDCGVSPMLLMGEPPWLPPGPDGSFAGIRDSAITRYKEKKKRRKFDHKIRYESRKARADVRKRVKGRFVKAGEAYDYDPLDTRSY >SECCE5Rv1G0366370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:813083662:813084627:1 gene:SECCE5Rv1G0366370 transcript:SECCE5Rv1G0366370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMWRHRVLGSLATLLLLLTLVQPLTLDQIAEDAPPTFRRIEDWPERKRMYGGIEDWPGGENDLRVIDLARFAVSEHNSNTNAVLEFQKVVKVKRQVVAGYMSYITIQVDEGWAKKLYEVKVSDRPWLNYRKLWEFKPAEDKLCTLLRNMPAFLPRLTRAGCIEYK >SECCE7Rv1G0503730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:715649266:715655044:-1 gene:SECCE7Rv1G0503730 transcript:SECCE7Rv1G0503730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRTRARRWADEEEDLRPLKVVFASPAEHFTDTAPIGNGSLGAMVWGGVASEKLQLNLDTLWSGVPGNFTDPKAPAALAAVRKLVDDGRFVDATSAASGLFGSQTEVYKPLGDMNLEFDTSNQEYSSYKRELDLHTATTIITYNIGEVQYTREHFCSNPHQVIVTKISANKPEHVSLTLSLNSKLNHRVRVMNANEMIMDGTCPGQGHVLQEKEASDATGIGFAAVLSLQMSGAAAKVEVLDDQSLRIDNADWVLLRVTAASSFSGPLVNPSDSKLDPESAALRAMNMSTNFTFDQLKAAHLKDYQGLFHRVSLRLSQAPAIEKINMKEVGDAVKTTAERVNDFRSDEDSSLVELLFQYGRYLLISCSRPGTQISNLQGIWNQDLVPGWDCAPHLNINLQMNYWPTLPCNLTECQEPLIDFIASLAVNGTKTAKINYQASGWVTHHVSDIWAKTSAYNEDAKFSVWPMGGAWLCTHLWEHYQYLLDKDFLKNTAYPLLEGCALFLTDWLIEGPRGLLETNPSTSPEHVFIAPGTGGQQASVSYSTTMDIAIIREIFSAVISSAEILGKSDTPLVQKIKEALPRLPQNTIAEDQTLMEWAQDFKDAEVTHRHLSHLFGLYPGHTITMQRNPEICEAISNSLHKRGEDGPGWSSTWKMALWARLLNSENAYRMILKLITLAPPGKKIGYEGGLYTNLWTAHPPFQIDGNFGFSAAIAEMLLQSTLTDLHLLPALPRDKWPEGCVKGLRARGDTTVSIFWEKGELQEAVLWFNNKNNSVLRLHYGGQVVVATVEAGNVYRFNGVLQCVETWPLDKCAF >SECCE5Rv1G0329110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:512451624:512453293:-1 gene:SECCE5Rv1G0329110 transcript:SECCE5Rv1G0329110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFVQKGLVMYKDRFLAALPPSRHSSTDFGRPVFTAAVFYLCAKRRKLKVDKLKLIDLCGTSSSEFTTVSTYMGDLCFDVFGIAKEKKDPTSIRGNRELLDVLPSKRKHEDDSDHSDESSGDDNDDELDLPTHKRHKEMLKQAYNQWKSSVISTSKLTKPDPTKPRKQAQLNFKKKRPDFHLGSTATS >SECCE1Rv1G0035210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:497041714:497046409:-1 gene:SECCE1Rv1G0035210 transcript:SECCE1Rv1G0035210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSHRHHRLFLPLLSVVLLLTASAVVADSSLTRQGAIGGEQTLVSPGGVFQLGLFPVANGTKWFLGIWFTVSPPAVVWVANRDRPLDALSSGLVTLSGGGDLVLLDTARDNETVWSSNSSAAAPAAVAQLHDNGNLVLVSEAGAVVWQSFDHPTNTFISGMRTGRDLRTGAAWSLSSWRDADDPSAGDFRYDMDTSGSPELHIWREGRKTYRTGPWNGVRFSGIPEMTTFEDMFEFRFTDTAGEVSYGYRDRVGSPPSRVVLNESGVMQRMVWDGATGAWNTFWSGPRDHCDSYGLCGAFGVCNVVDAIACSCVHGFRPRSPAEWRMRDASGGCARRTPLRCGGGDSDGFYVLRGVKLPETHGSSVDAGASLEECGRRCLSNCSCTAYAASDIRGGGAGTGCIQWFGDLMDTRFVDGGQDLFVRLALSDLETTKTKKFAAVVAVVITGFALLVLLIGFLIWRRIRRSKEATMFEDILRGECPTYHLEVIRAATSGFCPKNEIGRGGFGIVYKGEMPDGQEVAVKKLSAENRVQGLKEFKNEVDLIAKLQHRNLVRLLGCCIHCSERILVYEYMSNKSLDTFIFDPRRRATLSWKKRMDIILGVARGLLYLHQDSRHTMIHRDLKAANVLLDRDMVAKISDFGIAKLFSSMGDNQDSTVTERIVGTYGYMSPEYAMDGLVSFMQDVYSLGVLLLEIVSGRRNQRSFNLIAHAWKLFEENKSLELLDPAVRDGCSLAELEQAVTCIQVGLLCVQESPSQRPQMAVVIPMLSQQQAPGRPLRPVVCMPVSTPVDLLDVLDDTSGNVELTITNIEGR >SECCE1Rv1G0060220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709489418:709491386:1 gene:SECCE1Rv1G0060220 transcript:SECCE1Rv1G0060220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPASPPADEARGPGSPEAAHGAPQGAKEQEDIKGEAAVTGSEPVREELVRSAVTFLRHPKVVASSDVQRRSFLENKGLTVDEIEEAFRRLLSSPSNSTNSNMCDTQGSPDPETVTPVVPRHPKSYMEVIEMIQRGERPDDIQDINDEPPNPDQPISKPSMAPKPKPWEKQGQQSSGWDLKVRSIDPSESLSGLQTDSTSQATGSNSSGHEDLMLTAEPATGSEAPVDDVAA >SECCE2Rv1G0091940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:336352988:336363099:1 gene:SECCE2Rv1G0091940 transcript:SECCE2Rv1G0091940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASSISRSLRRSLAPGALHRLLLQRSRAFVTAECHRPVVLHKRGPDILHDPWFNRGTAFSMTERDRLGLRGLLPPSVVSSQQQIDRFMGDLKRLEQNARDGPSDTYQLAKWRILNRLHDRNETMYYKVLIDNIEEYAPIVYTPTVGAVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGEEYVEIIDEFMEAVFSRWPNVIVQFEDFQSKWAFRLLQRYRKTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEERVDIDPDARPFARRKSELTHQGLNEGASLIEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPEEAFSIIGEKVVFSSGSPFDNVDLGDGKTGHSNQGNNMYLFPGIGLGTLLSGARIVSDGMLQAAAERLASYMKEEEVLQGIIYPPTSRIRDITKEVAAAVVREAVAEDLAEGYRDMDARELARLSEEETVDYVKNNMWNPVYPTVVYKKD >SECCE3Rv1G0214180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:964877546:964881605:-1 gene:SECCE3Rv1G0214180 transcript:SECCE3Rv1G0214180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRALVSGQPGRLCSSASASASGWPRSSSRTACASWSPWAGAGARVGTTKPNAIIIPVLAKDFTRHRRIGIGTGTGNAIPPLHALPQDALSKEEEEEENAFLFSGLYPGTVGIDLILLALPAVLGQAIDPMAQLMETAYIGKLGALELASAGIGVAIFNILSKIFNIPLLSIATSFVAEDISKKATKHSTSAKLELPSVSSALVLAAAIGTIEALALFLGSGLFLKLMGVSPASPMYASARLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVLFIGLGNLSAVVLLPLLIYGFKLGITGAAISTVASQYIIAILLMWSLSKKAVLLPPRMEQLEFGGYLKSGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQALIASSYAILDYKRVQKVAMFSLQIGLASGLALAVGLYISFGSIAKLFTNDPQVLAVVSSCALFVCASQPVNALAFIFDGLHYGVSDFDYVAQATIVVGVISSLVLLYAPRVFGLAGVWAGLTTLMGLRMAAGILRLLWKTGPWSFLHEEPKSKVC >SECCE7Rv1G0498440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:639988796:639994454:1 gene:SECCE7Rv1G0498440 transcript:SECCE7Rv1G0498440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVAVSKVCHGHSRPVVDLFYSPVTPDGYFLISASKDSNPMLRNGDTGDWIGTFEGHKGAVWSSCLDTNALRAASGSADFSAKIWDALTGNELHSFEHKHIVRACAFSEDTHMLLTGGVEKILRIYDMNRPDAAPRELDRTPGSVRTVAWLHSDQSILSSCSDMGGVRLWDVRSGKIVQTLETKAPVTSAEVSQDGRFITTADGSSVKFWDANHFGLVKSYDMPCTVESASLEPKSGSKFITGGEDMWVHVYDFFTGEEIACNKGHHGPVHCVRFAPVGESYASGSEDGTIRIWPLNPANADDTEAPSANGKPKAAAVAAVANEVARKIEGFHITKEGQTEV >SECCE6Rv1G0451400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872505362:872507353:-1 gene:SECCE6Rv1G0451400 transcript:SECCE6Rv1G0451400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFRRPATAVPAASRSEDEILQPANLRSFTFEDLKKATRNFRPDSVLGEGGFGSVFKGWVDEAIFAPARPGTGMPIAIIKKLKQESFQSHKEWLDEASHLGRLSHPNLVKLLGYCQEDELHLLVYEFMPKGSLENHLFRRVSHLQPLSWDLRMKIALGAAKGLAYLHSDKANVIYGDFKTSDILLDSSYNAKLTDSRKSKEEPTVDPSFYIDELLNYYAKRLSDLGLSTGNTEDPAAGSDVYSFGVVLLEILAGRRRLDRNRPSGEQDLVEWARPHLRSKRQISHILDARLGGQYSLAGAQKAASLALKCLSSDVSARPCMEQVVAALGQLQGSKEITVSNQGKRSGGGGTCGFVGRLGGNRQQQP >SECCE2Rv1G0109950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:684786630:684787301:-1 gene:SECCE2Rv1G0109950 transcript:SECCE2Rv1G0109950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVQNLPPGHAFEPSGHQIITQYLAPKALRGDTTPGHVAEGVDVFSASPAALPFHPSSRRRHGEAWGYFFGAQASGDGRPAPGGCWARYGREKGYVHGAGAAAEAVAFRRSFAFHVPRQGCDGGAAWAPTPWLMKEYRLNKGAAAFRAAQSHPRADMDCVVRKIFTKPVAVAPPPLPCSSDEEDSGGLVMDEEDDGYYGDEDRKRVGCLIEGPPRKRARPS >SECCEUnv1G0559150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:336228835:336229917:1 gene:SECCEUnv1G0559150 transcript:SECCEUnv1G0559150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADDSPLHIVVFPWLAFGHLLPFLELAERLADRGHRVSFVSTPRNISRLPPVSPAVAPLIHFVPLPLPRVDGLPEGAEATTDLPPNKYDLLQKASDGLAAPFSAFLEAGSGGKKPDWVVIDTFHHLAAAAALDRKVPSVMFLIYSAGTSVLYGVPRVSTVAHEELGASVAQRCMFTYETCKIIAHRCCAEMEPESVPLLSKIFGKPVSPVGLLPLPFAGSRGLRIINGAATDKGDALVSWLDRQPDKSVVYVALGSETPLSTELVHEMALGLELAGTRFLWALRKPSGVPDGDVDILPPGFHERTHGRGLVTMGLVAQTRILGHAAVCAFLTHCGWSSTIEGLKPCEACMRAADNWIE >SECCE3Rv1G0184100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:659473887:659479977:-1 gene:SECCE3Rv1G0184100 transcript:SECCE3Rv1G0184100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHSYPPTRATRSAPAPRPLSPLGESEPAAHPGADPEARRKAKKQNQLWPKTVLRKWLNIRSPDSDFSADEGDTADEADSESEYEEMCAWERQRYDQDRRMRGLGAQTTDSQMEGVPYGLHRRRKSETLRAQYIDVKELRVCVGTWNVAGKLPPQDLDIQEWLDMKEPADIYVLGFQEVVPLNAGNIFGAEDNRPASMWEHIIRETLNKISPDKPKYKSHSHPPSPSKFKPSDDALAMEDELNSESDSESGGEVHPWNEQDLVVVDDDNSHSNRLEHSTSAPDESIIQADNFSRLPSMKTFNRSHNIVSFKDYTSLLEEPINQKMLTKTLSHSERLGMIWPEQPLDMLAQCLPDSTQPFASGKALKTYSSFKSVNEDSCAFPEDSALHGLNIESVVAKGKRPYFVRIISKQMVGVFLTIWVRRSLRKRIQNVKVSTVGVGAMGYIGNKGSIAVSMSIYQTHFCFLCCHLTSGEKEGDEQKRNADVQEIHRRTVFNSRVSTPKTIYDHERIFWLGDLNYRINLSYEKTHEFISNHDWNGLFEKDQLRVELKKGHLFDGWNEGVINFPPTYKYRFNSKKYTSDEPKSGRRTPSWCDRILSYGKAMRLLSYETVDMRLSDHRPVKAVYTVDIEVFSPKKLQRALTFTDAEVEDRLSSEDERIAGIYSLGLS >SECCE7Rv1G0523120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:880584586:880585983:1 gene:SECCE7Rv1G0523120 transcript:SECCE7Rv1G0523120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSVARIMSLGKLAIQQTNMCSLIFRVLPKLLGFTPSSLKKFCKAKHVELQLTKKTTLPELPPDILMGIFATLEIPDLVRAGSVCSSWRSAYTSLRSLGQYNLQQTPCLLYTSESTGESVACLYSLAEKRSYKLTLPGPPIRTRCLIGSSHGWLVTVDDRSEMHLVNPITCEQIALPSVVTIKQVNPIVDEYGALHKYEFSRHTGIRGGYSSPSIFALDKLRHELHYKAFVFPDTSTGSYIVVLIHNPVRRLSFARVGDDKWTWLPPYDDYSDCTYKDGLLYAACTYKGEVHTFDLSGPVVTRKTVISTPRKYDCEYMYIVQAPWGGLLVIWRIFEDHNVEPEPGSSVFWNTTQYRIYEFDAAGSKLKEINCLRDHVLFLGHNQSLCLGAEEYPSLRANHAYFTDDNSLWACGLKNNHRDMGVLNLDDNSKKDLVSPQLWSNFPAPMWITPDLRKMNLASEGD >SECCE6Rv1G0402170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:480866529:480866795:1 gene:SECCE6Rv1G0402170 transcript:SECCE6Rv1G0402170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPGAAAAAAEFTRETARRSLIAISQSLPETLLLNPKVNPPSTNPGANGYRDDGAAKCRSKLISISYPSTPDALSTPCPPKNTATV >SECCE2Rv1G0139970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929644849:929648674:1 gene:SECCE2Rv1G0139970 transcript:SECCE2Rv1G0139970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASTSSVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVMYPYCPPHITKPKECKKLFIVHLTEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVSS >SECCE5Rv1G0297940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:6982527:6983639:-1 gene:SECCE5Rv1G0297940 transcript:SECCE5Rv1G0297940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPWSSLHLDLLARIFLFLSCIGDRVRACAVNKHWRLVALQNPSPLPCLVRPSVTRIDSYRIFGRFADPNPSHSAEGRAGRFCGSAPGGWFVVACPPGGGHALLNLGTGELVALPDRVCIRLNSGDIRCPMMIRAAAMSAAPSSGACVVAAITSTRTTMAFCRPGMECWTSLPAEMTIQPDAQDLTYHDGWFWVVDSDDDLFCYKVELSTSRQTIEHLSYWIPAPRTDMEVEHGEIVFRYLLPSASGADLLMVRRFISPATSRTSRFQVFRLQKPQEGRRAAWRVYEMTRQLLFVGRACSKAFDTGHAGNPGYVYFLDDVYRGGPHQQNEYPCADAGGWRYSSPGEIQRCLPSAPPSDTSPCIWYHQ >SECCE4Rv1G0242050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:469295945:469298101:-1 gene:SECCE4Rv1G0242050 transcript:SECCE4Rv1G0242050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANIGGFRLVRCPKCLNILPEPANVSVYQCGGCNTTLRAKIRVTDAQNVATKQVGQDPGDYSVVPSVSNGVSTKQVRQDSGNYSVVTSVSNGVSSQSKELGSIGAAADDSITLGAPSTEIEQEGNGTGSSEKGDIMSDEENVMEVANTESSEDDSSDGQDMNREMEDLAALIQPSGNGTQIVDSDKEENDTVEQSAENSEASKINEDGDMESSLNNPEQNMPLSEDRELASNLPESEESGARKTEAAASKRSKLVRAHSRSCDLREVPGVSVDSLAFHSSRTSFQSKSFRASEPLQSKIMKTVDELKGDLSEIFNEPSDCRPRAPPRHPLKQDGYSQPLTAYHPAVKHSGYASRLSRSGQVAPHGHGPPLPRYGRRSAYSYIRSGQLEMRPCHHQCHHSCRPHCCSSWKQEPSPQKPPAKETKLRPASRNLCRPVLRGAPFVLCSNCLRLVQLPTDFAIPSRGTRRLQCGSCAQVLSYSYRDPNRKKLESPFGGDESSTDDSEIHQVGDDHNTSAHQAEPYSYSYSEEYGISAGVSYSTEDERPLHVSRNSSFNTIDERSGKESKLHRLMGYSSASELMRHSPDLYESFSRRTPKPNARTYDVKGKAVCMTDGPDAKDGAMKGSKTKERRMGLPFQGMFKKGIHGLESLKLGS >SECCE7Rv1G0483740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:326710636:326722014:1 gene:SECCE7Rv1G0483740 transcript:SECCE7Rv1G0483740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSWEAEQAPPASRVRVGPPPPLTHEDNRGFLQMLREKKERLGVDAAKVEVRFEELTVEADVRVGRRALPTLLNCAVNAAQELATSSHMCTTRKKPIKIINGASGTIRPSRMTLLLGAPGSGKTTFLKALAGKLDSSLKLKGKVMYNGEEVNSSTPQYLHAYISQYDLHHAEMTVRETIDFSSKMLGTNNEFEMLGEAIRRKKGVINKVDQDLDSFIKATTFGEGSNLTTNYIIKILGLSECADTLVGDELRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTYEIVKFLQQMAHLMDLTVVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFEIMGFKCPSRKNVADFLQEVTSKMDQKQYWIGDENKYQYRSIEKFAESFRSSYLPQPAKDDLCRTNNTGKRKEIITSATRRISRWNIFKACFSREVLLLKRNSPLHIFKTVQITVMALVISTVFLRTNMNHKTVLDANKYMGSLFMAVVIVNFNGMTEIGMTIKRLPTFYKQRELLALPGWALLSSVFLISLPMSLLETGLWTSLTYYVIGYAPSFLRFIQQFLVLFAMHQMSMGLYRFLAAIGRTQVMANMLGTAALIAIYIFGGFVISKDNLQPWLQWGYWTSPFTYAQNAVALNEFLDERWAIEFYYANAKTVGEAILKIRGLLTEWYWYWICVGILFGFSLVLNILTIFALEFMNSPHKHQVNIDSTKTKTECKKQKVGTGNASTGQVVLPFQPLSLVFDHINYFVDMPKEMMKYGVTEKKLQLLQDVSGVFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIRIAGYPKKQDTFSRISGYCEQSDIHSPNLTVHESLQFSAWLRLPSNVNSRQRDMFIDEVMDLVELTGLKNAMVGIAGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARSAAIVMRTVRKTVDTGRTVVCTIHQPSIGIFESFDELLLMKRGGQIIYSGPLGPLSSNMIKYFEAIPGVPTIKEGQNPAAWVLDISSHITEYEIGVDYAEIYRSSSLYRENMLLIDELGQPAPNTVDLHFPPGYWQNFRAQCMACLWKQRCAYWKNSEHNVVRFLNTFAVSIMFGIVFWKIGSTIKREQDVFNILGVVYGSALFLGFMNCSILQPVVAMERVVLYREKAAGMYCTLAYAIAQMAIELPYMLVQVLIFASIVYPMIGFEMTVVKFFWFVLYMVLSFMYYTLYGMMTVALTPNLEIAAGLSFLIFIFWNVFSGFIIGRELIPIWWRWVYWANPAAWTVYGLMFSQLGDRTELIRVPGQPDQTVREFLEGYLGLENRYFNLVTCLHLAIIALFAFLFFIFIKHLKFQRR >SECCE7Rv1G0503820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:715994698:715995066:1 gene:SECCE7Rv1G0503820 transcript:SECCE7Rv1G0503820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRAGEALVGVAGAPAAVGHGAHPAFWRTPTPYLLLGFALMMGIIAVALLVLVCTDSKPSGASRRGSAGEDASARGMAPLDREPKVVVIMAGDDLPSFLASARPFVFPDAVDPPRQADAA >SECCE5Rv1G0316260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:315979837:315984023:-1 gene:SECCE5Rv1G0316260 transcript:SECCE5Rv1G0316260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGALMTPGSDQSGPDGGTGVGSFSSLRSYGRALSQTPRRLARRACAATAPEEEMSRVRARSGAPMSRALRWPDLVGLGLGGMVGAGVFVTTGRATRLHAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVFSNAAVARSFTAYMGTAVGVDAPSKWRIAVPGLPNGFNQVDLVAVGVILLISVCICYSTKESSKVNMVLTAVHVAFILFIIVMGFWRGDVGNLTHPADPAHPGGFFPNGVGGVFSGAAMVYLSYIGYDAVSTMAEEVERPARDIPIGVSGSVIIVTVLYCLMAASMSMLLPYDAIDTEAPFSGAFRGSDGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLAKVHPKTATPVNASAFLGLLTAALALFTELDILLNLVCIGTLFVFYMVSNAVVYRRYVVGPTGSSTESGDRQPSAWPTLAFLLAFSLIALSFTLVWKLAPEGGRTRVGLLSACGAAAVATVGAFQALVPQAHTPELWGVPGMPWVPAASVFLNVFLLGSLDRPSYVRFGFFSAAAVLVYVLYSVHASYDAEESATLDGAKVQDEACRV >SECCE7Rv1G0479270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:249928395:249929148:1 gene:SECCE7Rv1G0479270 transcript:SECCE7Rv1G0479270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 10 [Source:Projected from Arabidopsis thaliana (AT3G08920) UniProtKB/Swiss-Prot;Acc:Q9SR92] MAMGAAATAAACFSSASSGVSRCRIRAQATSWAGGAEELVRSGAVKAVRPRDAAEVMGSEGFQLLDVRPAWEHDRAAVRGSVHVPLFMADDDMGPVTLLKKWVHLGYIGLWTGQSFTKMNDRFLDDVAAAVAGKDAKLLVACGEGLRSLIAVRMLHDDGYKNVAWLAGGFSKSVDGDFAELEGESKLRYATIGGVSYIFLQILLLLRVVQ >SECCE3Rv1G0143760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2117159:2117935:1 gene:SECCE3Rv1G0143760 transcript:SECCE3Rv1G0143760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTDVDVFKRPRRGYYWSYYIITSSRELESALAHPLAQIYPVVLMFWASWNEPCRVMMRPFRAMAVAKRRAAIFCQVDVDKFKDIVERYRVEALPTFLLLKQGLEKGRVVGAKVGDLSTIIMANI >SECCEUnv1G0561860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:359594993:359595409:-1 gene:SECCEUnv1G0561860 transcript:SECCEUnv1G0561860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVATEKTPAGKKPAAEKRAKTASKEGGGEKKGKKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE6Rv1G0430940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745180133:745181063:1 gene:SECCE6Rv1G0430940 transcript:SECCE6Rv1G0430940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPSRKGGTYWHGNSEDAAADDDNSSWCDDASDSPGHGSSLHTEWAHMQDQLHNMGYREGITEGKKDAAQDGFNLGHRQSAPEGYKWGLVRGITSALASLPDSLKEKLLLDAQRRGKLEDLRNSVREIPAEGALRMFHESTLQVNPQPEESRLQTIPNDLLLLLRECPDVQVPEELK >SECCE5Rv1G0369480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:835324285:835332672:1 gene:SECCE5Rv1G0369480 transcript:SECCE5Rv1G0369480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGKSGPSADAAASSTADRKPWRSPQMKSKAAGKKKAAQAAHNKKPKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKAMPLILAGLDVAAMARTGSGKTAAFLVPMLQRLRHRDPGAGIRALILSPTRDLATQTLKFTHQLGKFSDLKTGLIVGGGSMESQFEVLADNPDIIIATPGRFEHILSMVDDLSLRSVEYVVFDEADSLFSLGFAEQLHKILHKLSDTRQTLLFSATMPKALAEFAKAGLRDPQVIRLDLDKKISPDLKLAFFTLRQEEKLAALLYLVREHISSEEQTMIFVSTKYHVEFLNILFREEGLEASLSYGAMDQEARTIHISRFRARKTMLLIVTDVAARGLDIPLLDNVVNWDFPAKPKLFIHRVGRVARQGRTGTAFTFVTSEDMPFLLDLHLFLSKPLRPAPTEEELLKDMDGMNLKINQSLADGETIYGRFPQTILDLCSDGVKEVIGGCTDLIALEKPCANAFRLYLKTRAMPSKESVRRAKDLPREGLHPIFRDVLRPDEISAIAFSERLKSFRPKQTILEAEGEAARSRSSKGSNQWLDVMKKKREVHEGIINLVHEQNSGDLATKEEETGNISNWEKKEVCGKKRKSQSFRDEDHYISSVPQNQHSEAGLSVKGNEGFVQDRLDAAVLDLVDDETSGMQSQKTRYHWMKNKFVKLNNGDRVTSTGKIKTESSAKLKASKDIYKKWQQKSHRAISSGGKDGAEGGTSTPAGYQRGNRRYPAAGRGRSSIPNADVPSEIRNPQQMRKGRQEKAMQNLRRNEKSAKDGKSPGKFQKNRRPNGPGRDDKFQDSRSQKSRRPDWKGKGGGKGNTKGFGKGKGKGKPKGKGAR >SECCE2Rv1G0140560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931717412:931719355:1 gene:SECCE2Rv1G0140560 transcript:SECCE2Rv1G0140560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGSGGDLNNPIPSPTRTTASDGGGEDVVDGRPCLVSHPPFKIRKLGGPGTSSVEAEEFTEDQLRRSRWTCSVVAEDQSEAMDTEMTEFKSDEFHKNKTTPEEMDLGKSSSIVSEQEIKELCAQMDEMVAFMNYRIIPSAPLTFSSKETAKDEQDKAQQSGIDGILQRLADVRRDMSKLKLELTPFRHKYPYEKVLTSSEERERRLKSKQEYDKMDNKEKARRQMEFDASDFEGYRKGLTSFVEHFEFMTIVSPMHFTHYTPGQIPHHLATYGATLQILSFKIANIDLDLKWPLLEWPLRVYGVVAARDNVDRRRNILFLRKRWNFQEITQEKPFLCLTGPSRAILSKDPVDLEVELKLKGPTESEDRVLITKRCQYSGYHTDNGLYTLTLNNRLCTTELSLQQLYCQSVQATFLRVGGFVKGNTSPFIHGGRVACSSPPHGGQGTAPPTQVVLLDSRYCDGGKMPIDEEDGYLDLSRHVVSVELRRVRDVSEELEETLSVFIEAYSDSPPNVSAQADFVVKPQYCGISEHECVLAGSTVKITIAWSPILRTNKVIL >SECCE7Rv1G0484820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:349461277:349464345:1 gene:SECCE7Rv1G0484820 transcript:SECCE7Rv1G0484820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRAVLLLRERFRSCFLAHRGDRPTSIHSLQAASPHCSSVATSSSSSRASPLPEFLAMEHGLWSSGASDKNKAMVEQLQRYGVIKLTKVAEVMETIDRGLFVPPGGSPYFDSPMAIGYNATISAPHMHAACLELLEDHLQPGMRALDVGSGTGYLTACFALMVGPGGRAVGVEHIPELVAFSTENIKKSAAAPQLNDGSLSIHIADGREGWPELAPYDCIHVGAAAPQIPEALIEQLKPGGRMVIPVGTIFQELKVVDKLDGSVSIRDETSVRYVPLTSKDAQLHSN >SECCE4Rv1G0280960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:824289950:824293550:1 gene:SECCE4Rv1G0280960 transcript:SECCE4Rv1G0280960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPVLIKANTIDVAAEEILGILEGMSKHERNVYFHGWRGLGASTTLRVVAQRLKSSTAQEMKFDKVLHVDCSLWQSMRALQKSIAEELELPQSVMAMFDQHDEGDDFDGIDQGSRGVILDVTEEIFRKLVNSTFVVIFHNRSGHYVDLYECGVPVTAFLRNKLLWTWGRRFQLFRKFEVEEFKDSDVDVIIGTDSWEDNVFHLHEEATEVAKYMGILEPDVAWEVGDALQRNINLDWIMEADIPIAAGIDDCISSPGDRWVSATHQALLYDDIGQLPPRTTSFFLLPEESVGRRVVFPAAMFPDNNTLRVLHLSWCTFSFTSPPFLCCNHLRFLLLDHCTDVREEEDQSNNQNISCFKKLWVLDLRYTEWYSKQVMCLMVELRELNVEGIKDWVNIADLCGGRTSLLKLRVTADLDSSREIIMQRPVANLSSASNLNTVILDNCVGLEQIVPDVLPQSLESFTFVISDAAIAKISRISFRGLAKLKSMLLRGLMGSLQELDLSGTALKTVDLREVVANNLKRLILLGCEKLRAIQWPPRDKRTGMPEVFRIDTIRSASSIQANWEEMAKETSAATKLAPSFDTYISIKDARLLRSLVPIEGYFWAWGGHVEMDSLPASSVAIGDGEYAQGIGQPGHYLYARDIIFQDHLQAVATSEGAVRWMWAFPPVPVVDESREWYIHVQDEEETRRGLLQREGSTQGTSTGVAFIPDFMCQYGTSLHVHDSLSITSIQVPQNSADSTWTCLEWCRIERCPKLSSVFATPTQTDFDSADRVECFSRLTTFWASQLSTARYIWNWSRTCAPNSESFTQLKFLHLDYCPRLIHVLPLSVSMTILPRLVTLEIVCCGNLMEIFPLDPELQEKQKNTNFPSLRHIHLHDLPKLQRICGSMMLAPKLETIKTRGCWSLRRLPAVAKQCPEVDCEKELWDSLEWDDGDANHRPSLYKLSHSRYYKKAQLPRGTVLR >SECCE6Rv1G0431800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:747742654:747743964:-1 gene:SECCE6Rv1G0431800 transcript:SECCE6Rv1G0431800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDQEVEVVETCMVKPSEETPSHGLWLSLLDLKMVNRGHTPTVYFYSSDSGAVHNFFDVARLKAAMAKALVAFYPLAGRLSVDGDGRPEIDCAGQGALFVVARSDLAVDGFVDFQPSPELRRLFVPRVEDSPSIMCAVQVTFMGCGGVALGTALHHVAIDAVSAVHFFQTWSAFSRDGDAAAAALELPCHDRTLLRARSPPVVHPDALTVFCPKLLSLAAAEPSGLVVNQIFVLSKDQVAALKRACTGGGDGGRVSTFCAVSAHVWRCFCAARRLPTDATTRLTFPANIRGALRPPLPARYFGNGIIVLGAAGKVQDIESEELGSVARRINGAVRRMDDELVRSAIDYLEINGSKQPASSMPETELRVISWLGMPVYDADFGWGKPLVMHRAVQQRAGFVYLMDGVSGSGGVRILVSMEAPILNDFQRLLYANFV >SECCE7Rv1G0498220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:636546124:636547915:1 gene:SECCE7Rv1G0498220 transcript:SECCE7Rv1G0498220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEGVKNLAVTEPHKKNRIQVSNTKKPLFFYVNLAKRYMQMHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVNDESRGRPMQKAKIEIVLGKTENFDELMAAAAEEREVAAAEDGEEQG >SECCE4Rv1G0277670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:805288771:805290266:1 gene:SECCE4Rv1G0277670 transcript:SECCE4Rv1G0277670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGESTAMTVQELANVGKPDVPAQYMVRGHHDQQLATAIAALIPVIDLCCLFKEDGAAVDEASKLRSALESWGLFLLSNHGIEATMMDSMMSASREFFWRPLQEKQKYTNLIDGEQFQFEGYGNDRVRSPDQILDWSDRLYLKVEPEDERRIALWPTHPVNFRDVLHEFTKKCGEVKEELLRAMAKLLELDDDYFVDQLGEKAETNARCSYYPQCPRPELVFGLKPHCDGTVLTLLMVDDSVGGLQVLRDGVWWDVPVVPHTLLVIIGDQTEIMSNGFFKSPVHRVVTNAKKERLSVALDYSVDHEREIEPSPQLVDEKRPALYRKVKVKDYIAGLYEHFSQGTMVIDTLQI >SECCE3Rv1G0204500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:895061587:895063534:-1 gene:SECCE3Rv1G0204500 transcript:SECCE3Rv1G0204500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGCTNFLYQENIDLVKCQNKCSQTSKGKEAKTRQSLKKGLPSFPDQPPLTERSTRISRRDKTNKEELDTDIFELCMEDVWSCMDIQEKKNDYAYLNPLWFTMYKDSDDKSRILKWIKAKKIFTRRYVFVPIVLWGHWRLLVLCNFGETRYLGTKKGPRMLLLDSLKKAEPERIQPIINRFIVDILKMEEREELEQFINEVKLEFPKVPQQSGADCGMYVLYFVYCFLVIEKLGEDLSHLDALFDPEELKSLEDIRMDILSYKEKMDAKAAE >SECCE1Rv1G0015380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:124334315:124337918:1 gene:SECCE1Rv1G0015380 transcript:SECCE1Rv1G0015380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHIRTHLAAADERVTHIKIPPYEIRTKIFFMISNMSTDNIESNAFGFYEVLMEQYYPWFAQYMVMQRVCIDPNFHDICLMFLDKVNSRVLDEEILKATYANSKILLRSDIIKSCSGERTLLKNIGSWFGKSAIQRNQDPSTYVDGLIPLIVKAYQTGLMLAVVQFISKILEPCQPIVSVGTMEILSLLAEIYTKPDLQLSLKFNIEVLFRNFGVDADHTKTNYILKDVKREVAGNQDFAVKDVVHVPTWLCPVILDQEGEASMPLTQYITSLKPIMHTVKLVGEMRNHWFYWVVTEKAKSLMASIIRALHDLHEVNICPEQFCASNIVVTYYGRVKIRVACFKKTVPMVRKNYEDASNIIMDTLFADYKPTIIPKDVDHLLSLMKSPIATGMKYVIGTHASLIPLGNRFQFYLDMCDHITSVVDPELRSNIHGALDDPYGENWSVLLEYNILLKESFYRSGSSYNTKKGAIEFLRFYRNMLAHRMDKCKKPLPNIGDFRTLIYTKEDIEMILLVTYPMILPRMQEELQNHKRLKDLNLHNLNFK >SECCEUnv1G0528690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:5621723:5622238:-1 gene:SECCEUnv1G0528690 transcript:SECCEUnv1G0528690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVFFDMTVGGAPAGRIVMELYKDAVPRTVENFRALCTGEKGVGKSGKPLHYKGSAFHRVIPDFMCQGGDFTKGNGTGGESIYGEKFADEKFVHKHTKPGILSMANAGPNTNGSQFFICTVPCNWLDGKHVVFGEVVEGMDVVKNIEKVGSRSGTCSKQVVIADCGQL >SECCE7Rv1G0496080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:607457913:607458182:-1 gene:SECCE7Rv1G0496080 transcript:SECCE7Rv1G0496080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDKARSASEEEASRRRDIERSRAEARRKVEQMADTVQFNDPWIHHSDVTKSPEELLQARQQAWRYQAQLIEMACRRDYAQAMQIHG >SECCEUnv1G0556530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:312022526:312023424:-1 gene:SECCEUnv1G0556530 transcript:SECCEUnv1G0556530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEEEVVMGAYGGPARRVESGASETMLLWGLGQPASHRPNTFARQGAPAFPIDACGRRLTLHQQPSSFRGASGVTGAVVWDSAVVLAKFLEHAADAGLLPALRGGARAVDLGAGCGLVSVVAALLGARVVATDLPDRVRLLRKNLEENLAEEKGGVRATVAELVWGDDYELLDPELLDDPEPPELVLGSDVVYSEEAVGDLLATLVRLAGPRTTVLLAGELRNDVVVECFLDAAMAEFEVGCIEQEQWHPDFRTNRVAIFILVKKKTPLL >SECCEUnv1G0537790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:70097055:70097408:-1 gene:SECCEUnv1G0537790 transcript:SECCEUnv1G0537790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFRNGVVKLVENHPASAAGPQGGGAVRRKALLHTPTGEVVASYASLERKLVALGWERYYAGGSGAAGDCMLRFHKRSSVDLISLPKDFGQFSSVHMYDVVIKNRDAFRVIDV >SECCE5Rv1G0303840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:51412353:51412793:-1 gene:SECCE5Rv1G0303840 transcript:SECCE5Rv1G0303840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDSLLIGASMALLLVLSLVAFFCSNRRRAAQSSSRQGVVDIELGDGRCAAAAAGGLDEAVLAACPTTVYSSSTQHHAAATGERTAKEEERGCAVCLAEYADGDELRVLPGCAHAFHRRCLDQWLRRRPSCPLCRTSPQSTTSAC >SECCE2Rv1G0132350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:889415565:889416726:1 gene:SECCE2Rv1G0132350 transcript:SECCE2Rv1G0132350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIEHTQLPVRGLNLHVAQVGKDELGTVVFLHGFPEIWYSWRHQMQAVAAAGYRAIAPDSRGYGLSDQPPAHEEASWEDLVADVLAILDALSIPKAFLVGKDFGAMPAYDFALRHPGRTRGVACLGIPFSPVPFDFAGTMPEGFYPLRWRQPGRAEADFGRYDIRRVVRTIYVLFSGGEVPVAEQGQETMDLADGSAPLPEWFTEADLDVYAALYENSGFGYPIRMPYGSLDKMATQLDARFEVPVLMVMGENDYVMKLPGFEAAVRGGMMAGFAPDLKVAFVPEGSHFVQEQFPAQVNELLLGFLKDHP >SECCE2Rv1G0118190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:780088257:780089188:-1 gene:SECCE2Rv1G0118190 transcript:SECCE2Rv1G0118190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPDEYLLFRAEGKIWNGPDGAQVSPDCPFVVRQVAELKNKSFEQVRRAILKNFQLNEATHELTLQHILYVRTDPSAPPYNVLIDIVGEESWRAFFKVACRHVRSFKLFARWTVKKTSSASNATDSNITAVLDEDPAVTDESDDGSWPACKHDKPCTIETSWDRHDPGRRFYRCPFFANPKEDCKFTKWLDKKFPEKANELINKFQDTVDSLQQQVDNLKCELEELRRRHRKRSAEEVVVSHGDMCPCGKSLCDVLTCRGREKQTRPGQTPRLAGDN >SECCE3Rv1G0193960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:776104845:776105423:-1 gene:SECCE3Rv1G0193960 transcript:SECCE3Rv1G0193960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEIKLRLPDAAAQRRLSSFLAPRLLRINAPARPLAAATATQRARLYGTGDRDPSRAVLTLKRRPRIDAGVSRVEEVVEPLDPALALTCVDNPACLGAVDSPIVRLVSDEYGVGGDKAPFVCLGGFRDTRGVYELDETHFDFGTNYELECETAEPNQAKEILERLLTVAGVPYEYCRSNKFACFMAGKLLP >SECCE7Rv1G0464530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:61986748:61987333:-1 gene:SECCE7Rv1G0464530 transcript:SECCE7Rv1G0464530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSPSLFQIGEGEAQGEDQAGTPTMTTAGELVGLRLIIQPSPRRQQRPQLAVLRRSSVRSPVANLQENSGCRPFLGLEFLKCCLCCCKRIDGDMDVFVYKGEQAFCSAECRCQQMAREERREIEILVRKRRDAFHSRRAAPGKTIGGPDRHARVQISSFC >SECCE5Rv1G0327520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:493142496:493144526:1 gene:SECCE5Rv1G0327520 transcript:SECCE5Rv1G0327520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQWATFLGVVLATVLFFKAVLRRRRQYNLPPGPKPWPIIGNLNLIGTLPHRSIHALSKKYGPLMQLQFGSFPVVVGSSVEMAKFFLKTHDVVFTDRPKTAAGRYTTYNYSDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRREEVLALLGDLYRGGAGAGRVVVLKDYLSTVSLNVITRMVMGKKYLEKEVRDDAGAVITTPEEFKWMIDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSKMFDRFLEHVVDEHTERRRGEGKSFVVKDMVDVLLQFASDPGLEVKLNREGVKAFTQDLIAGGTESSAVTVEWALSELLKKPEVLAKATEELDRVVGRGRWVTEKDMSSLPYVDAIVKETMRLHPVAPMLVPRLSREDTSINGYDIPAGTRVLVMVWSIGRDPELWEAPEEFMPERFLGSRLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFTWRLPDGVELSMEEIFGLSTPRKFPLEVVLEPKLPAHLYAEA >SECCEUnv1G0532370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:20034680:20035789:1 gene:SECCEUnv1G0532370 transcript:SECCEUnv1G0532370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQHSHLDGDRTGKRPRRAPKKHLYLVLDDWDTGFSIYKVDANTLQDTCTSTTDVQIGFPDPPVLRFPVPVRHLGMSFTAFGNSIFIATNPHCPQTPILVYNTKIAGITIGPSLPRSLLGGIDISVAVGDTLYGLTSRHAGEQHSFEAMSWAATGSDELSDPRPAMDWSWKSVPSPPPFATDDIISSYVLHPDGHTIFMSAHDYLYQHVPKGTFSFDTKRCEWRWHGEWALPFQGQGYYDSELDAWIGLRKDGYICACEVASRSRESAVQPYCKIAKEKLFLKVPERPVAATRATLAYMGNSNFCLVDCVQREGVEPTCIFDCCVLHMSTFGLKYDRRGELQTTRHCSNSCVVSKHILSFSPVVFWM >SECCE3Rv1G0205970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:903948717:903953202:1 gene:SECCE3Rv1G0205970 transcript:SECCE3Rv1G0205970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGQVAKVLGQKKAMGTASILAIGTANPSNVVEQSTFPDFYFRVTNSDHKQHLKDKFRRICEKSTIKKRHLYIDEALLAANPEMATYMAPTLDIRQAIISEKVPELAAAAATAALKEWGGPLEDITHLIVGSTSGGSDMPGADYHIMRLLGLSPSVRRVALYHQGCFVGAAVLRLAKDIAENNGGARVLAVCVETNLMYFRGVDDAHMDNLVCQALFGDGASAVVVGADADPATEKQLFDIVHATQHLIPETGGAIQGLIREVGLTFGLISEVPALIAKNIEAGLQDMLDGSGVAIADRNSLFWAVHPGGRAILDKVEAALGLKPEKMRASRKVLAEYGNMGSACAWFVLDELRRWSVAEGCSTNGEGCEWGVLFGFGPGLTMDTVLLRSAYI >SECCE1Rv1G0016240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:136210621:136211139:1 gene:SECCE1Rv1G0016240 transcript:SECCE1Rv1G0016240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLSGRVEEYGRPVAAGEILSANPNHVLSKPCSQGVVRRILIVSPESELERGQIYFLIPASSVPAERKKKTSPTAGSQGANGAEKAPSRTANPGHGGKTRSHVKSKPSTDHGSGRDVQSEKRSLHRRRVSTGGRTAVWRPHLECIVEGT >SECCE1Rv1G0044300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:602206497:602207144:1 gene:SECCE1Rv1G0044300 transcript:SECCE1Rv1G0044300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDCFHRAYALAIVNAICIGGTAMILYALVNLARKPGHSRGSIIVLTVFLLFWVVVGAFVYTVFCGAFFPWSALRRCLTSIHGALLHYLLRTGRLLCLPCRSRLRRRSGSALPQFLDQIQQSHMPALAREPPAHGGARVATAYDVLAYEQPEGGGGASECSVCLGEVEKGDTVKRLPACLHMFHQQCIDPWLHEHSTCPVCRCLVLAPLPAQMV >SECCE1Rv1G0033030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:461908053:461908904:1 gene:SECCE1Rv1G0033030 transcript:SECCE1Rv1G0033030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARELQETSSSSSSSTSSYTTSSTSMSCSSTVTDSSSCPSSPASAANAAAAKAPRKRQLDEDEGGVEETEEGKTATTTTKAKKSKRSSDGKHPVYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGARSAHLNFPELADVLPRAASPAPKDVQAAAALAAAFTSSPPPLSTDQSESEEGLIQEETVKKDAGAGATAPLESSAATATSGSGAAEQAEEEELQLFDLPDLLMDIEDGFGFSTMWAPLPDVEEVNADLRLEEPLLWE >SECCE7Rv1G0494260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:580833060:580840144:1 gene:SECCE7Rv1G0494260 transcript:SECCE7Rv1G0494260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFMRRNPEKQRELPRESTPPPPHAVKVRSRGGLGLIEHQSDTNQIGSSGSGSGCICISAPAPEPRPAAPAMSPSGEVVASVSSALAVLLVLLACVELGDAAAAVGVYRLIQYDLAGAPLGSRAAALNHHAAAFPLPAGADLSRSALVAPLLDLPLSFLREYLAEKKHLGGLLILLPRNISAKNVEGSNDDKGEPKNVLAELEKLLMHEEVPFPVYFAFHDDNLDNLLADIRKIASSGQPASASTGGYKLVVPSAEPKKVSSPTISNIQGWLPGSKGEGDAEQLPTIAIVANYDTFGAAPALSVGSDSNGSGAVALLEIARIFSRLYSSPKTRGKFNLLFGLTSGGPYNYNGTSKWLRSFDQRVRESIDYAICLNSVGSWSNDLWMHVSKPPENPYIKQIFEDFSDVSKEMGISVGIKHKKINVSNSRVAWEHEQFSRFRVTALTLSGLSTPPEFLESTGGLYDTRESVDVESVMRTVKLVSESLARHIYELRGRNIEVFADDSSLAISPHYIRSWLNLFSRTPRVAPFLQKNDPFIVALKKELSEHTTDVHVQNDVLDGMFTFYDATKSTLNVYQVASVTFDLLFLLVLGSYLIVLFSFLVITTRGLDDLINIFRRPPSRKVKGA >SECCE4Rv1G0274220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783257413:783258657:-1 gene:SECCE4Rv1G0274220 transcript:SECCE4Rv1G0274220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHSKLCVSALTTLSGLQEWADLPDGLLHTILPLLGSVRDLLAFASTCRSWRAAFLSYPSKSTLCTLSPPLLIQTNIRVDAPHVPSKDSHCKLRTCKVIDPSNQNITLRCQIDEKILQKMYCVGPSYGHLIFFSSRGYCLVVDPFSGSKVSPPRLPISGCERVSPTKIHAQHLDIAELYFFGVLTAPITSPNSHLLVGTRFSLFDWPVGSDSWSELKLPSGAQITQIVEFKGQFIAMDYHRRIYSFKLAPQLGLQEVITEWSPYSVRTPYETSWLVVCGDMLLMVIAVDHWSRRIKDGGILKKVYTLHLDMSSKPAKWVVKKKLGSWAVFAGCDTKNMPLSNMNPERWGGRSNSLYYAESSPPWRVNELDSAHDPYDASIRQCIIYWGDHMKPLWVYPSMLYSDGASDHRVEQ >SECCE4Rv1G0241620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:457746268:457762248:1 gene:SECCE4Rv1G0241620 transcript:SECCE4Rv1G0241620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKVPPRPPGYAFVEFEDPRDAEDACAGRDGYNFDGNRLRVEPAHGGRGSGGPSHDRSSSFGGGGGGGSGGGGPRGVSRHTDYRVLVSGLPSSASWQDLKDHMRRAGDVCFSEVYREGGGTIGIVDYTNYDDMKYAIKKLDATEFKNAFSKGYIRVKEFDGKRGRSYSRSRSPSRSRSKSRSPSKSPRACSASRSRSRSLSSRSRSASKERSPSRSPARSKSPIASPANGVVASPVASLKKCCPSRSPSRSRSPDAKSE >SECCE3Rv1G0192870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:763393117:763395843:1 gene:SECCE3Rv1G0192870 transcript:SECCE3Rv1G0192870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGREHDSSVALPVEDFGVAKDTTSVSTKPTRRYPLASWVAILALATLVGVYIFSLSLKQNGMLFGLRQTNMIEKEREQPCHHPGVPDTEIPYVHFPTPNTYSRKECACTPVRFFAILSMQRSGSGWFETLLNSHENISSNGEIFSVKDRRSNVTAITQTLDKLYNLDWVSSAAKNECTAAVGLKWMLNQGLVKHHQEIAEYFNRRGVSLIFLLRRNLLQRYVSILANEYDRNTKQLNGTHKAHVHHRGQADVLAQYKPTIDTKSLIAELKRSDKLAADALVSFKKIRSIVLYYEDVVSNRTKLTDVLDFLKLPKMKLSSRHVKIHTKRLRDHIDNWTDVSNTLNGTQYQSFLNGRR >SECCE2Rv1G0109340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:674515333:674515563:-1 gene:SECCE2Rv1G0109340 transcript:SECCE2Rv1G0109340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRTILVGFLLLVAMSTAVLTSVDAARRLGLAPEEQYSATVRATSTTLHERARSLITTWMAQLTAGPSPRGPGH >SECCEUnv1G0550990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:227380770:227381939:1 gene:SECCEUnv1G0550990 transcript:SECCEUnv1G0550990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLEADSGHDRRVKTLRLEFFQTYNSMCVDRLINVAVGAWGVEDLEVVVRQSSSYDQTRTYSFPHNCFNLRSCLRSLTLGKYCALPPLHSYNTLTKLILRDMSASTPVAMYERVFKDCTGLQVLHLTFCCCAHTTLVVDAPCSHIRELVLEEDSFLVIELHDLPMLVRLACCLTHTSNILFGSVSSLMDTNLSFSLEDDSKVAARWIDEFDSFLGMSPTMTNLVIRFIGRRTWIRPSHLERKLPHLKNLLVADLPSNWDISWTRGLLMASTFLEVLHIHVPHSEMEPDCLRGMNWSKSRNELRHHHLKELVVIGFTQRNIWLLKYVVRVCTSLQRIVLLKDGHVRYIGLWDWRIVGEQTCPWSDDEKMVVRKMMKFFGLRPLPELILG >SECCE1Rv1G0048680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636937555:636939146:-1 gene:SECCE1Rv1G0048680 transcript:SECCE1Rv1G0048680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMRWLKKVFAGGGGKKEGAKEQGAAVPPIERRRWSFAKARSSVADASRRPSVTAVVAGELSQSQARPCGCGQARETEAAVLIQKAFRGYLARKALRALKSLVKLQALVRGYLVRKQAATTLHRLQALMRLQASSQALKNLSSRRSIEQERKAAHRRRLSEGGAGDGGLDRSPRIVEMDTCQLRCRSSRIAGRYAAADPQAPPPPSSPLAYFCKPASRLQLRELEPPRQPKTTQNTPRLPAIAPGGSPAKGRPSCGGGRESSSPRYMADTASSVARGRCQSAPRQRHGNNPAAAVGSRKAAPQSQDSFSFKSSDATSRVEDYSEMSDEVTRDYYLDQLW >SECCE3Rv1G0207970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:925491026:925493035:1 gene:SECCE3Rv1G0207970 transcript:SECCE3Rv1G0207970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPDGQEKVIAAAQQIVKSLANSKNAADDMIRILSGFDNRFSLMSDLFPAAGAHDAAAGSELPEGDEEEGDYGRERGPPGPGGGGYGGDDDDLEDERDAAVEDALRLVEQWDSPGAGDRLVFESPEDAEEYLAAAACLMGEAGPRVEAALQVAMARLEEEFRQLLIRGTASLAAEDLHASLLRRLSLTVPTFHSASSVDLECPSFASHAEEGDESASAARWSSVSDGEISPYLISPDTVSTLKDIADVMLRAGYGPELCQVYSEVRRDTLMECLAVLGVDKMSLEEVQRVEWGVLDGKMKKWIQALRVVVQGLLAEERRICGQILAADADAEEECFTEAAKGCVLQLLNFGDAIAIGKRSSEKLFRILGMYEALAELLPELEALFSGEARDFVKEEAEGILLRLGDAVRGTVAEFANAIRGETSRRSLPGGEIHPLTRYVMNYVRLLADYSRWLNHLLDGCETELENGGDNADMTPLGHCLLILITHLLDKIEDKAKLYDDEALQNIFLMNNLWYVVQKIKDSELKTLLGDNWIRKRRGQIRRYSTGYLRSSWTRVLACLRDDGLPQTTGSSSALKAALKERFKSFNLAYEELYRTQTAWKVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRNFAKYIKYNPEDVENQVSDFFEGKKLNA >SECCE2Rv1G0100620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:544501410:544502758:1 gene:SECCE2Rv1G0100620 transcript:SECCE2Rv1G0100620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRGASYSCHEATAAEAPEMHRRKRRRTAMEAAGCAAVGDMFEDLPDDLLVSILADVAASARSPADLAGAIMTCKRFRELGQSKVVLAKVSPRCLAVRAKRWSDSAHRFLQSCADAGNLDACYLLGMIRFYCLGSRGSGAALMAAAAVGGHREALYSLAVIQFNGSGGSKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSLLDGRRLLIQANARELAAAVTTSASLLRAAAGSGKASRRHSCLLSDFGCRAAAAAAGEAHAANRFLVDWFASRPLGAESSPAPAPAPADDGGGLRLCSHALCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKMAHKAECTPMDRWLDGANANPNPNPNAVAVAGAGAGDAAVAAPAL >SECCE7Rv1G0520890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:872266943:872268365:-1 gene:SECCE7Rv1G0520890 transcript:SECCE7Rv1G0520890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSYEPDEGNPHHDREFPFVRFEEIAIATHNFSETCKIGHGGFGKVYKGMLGGQEVAIKRLSKDSQQGTKEFRNEVILIAKLQHRNLVQLLGCCADRDEKLLIYEYMPNKSLDATLFDDSRKLLLDWSTRFNIIKGIARGLLYLHQDSRLIIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQNANTQRVVGTYGYMAPEYAMEGLFSTKSDVYSFGVLLLEVVTGIRRNSNSETTGFPSLIIYSWNMWKEGRAEELPDSSIMDTCSVDEVVLCIHVALLCVEENPDDRPLMSYVVFVLENGSTTLPDPNRPAYFARRRIEMEQIREDIQTSANSLTLTEIEGR >SECCE3Rv1G0194680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:784037658:784040614:-1 gene:SECCE3Rv1G0194680 transcript:SECCE3Rv1G0194680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 36 [Source:Projected from Arabidopsis thaliana (AT5G04920) UniProtKB/Swiss-Prot;Acc:Q9FF81] MSVAAADWLPSATVTASGRPVLSAGEIERHLLPLVDLEPEENPRLAPLRGCLLALTSHRLIFLHEASLSARALPLVSIVHPYPPHRRHNHNPLRSLFSSSSSSSSHHPRIRIQISLPPSRSEVVAVVVTCKADVDVFYGRLLEAIRARAWEATASAAPASGAPVAEGAAPAEEDLAIRMPVVGVAGILRKEQETWESAGQNLQDAFQDLNALMSKAKEMMELAEKMRQKLLTNSSAQSNSNDEEMGSKQDMQDLLLSVGIVSPVTKETAGALYHQQLSRQLADFVRVPVERAGGMMALVDVYCLFNRARGTELISPEDLLQACSLWEKFDVPVMLRKFDSGVKVIQTKTHSDDEVFARISSLAQKPDALLKGISPSDAAFTLGIAPALAKEHLLNAENKGLLCRDVSPDGFRFYINLFNEIDLQNIHLPKTHGLYHTWISVAAAAH >SECCE3Rv1G0165440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:174716216:174716590:-1 gene:SECCE3Rv1G0165440 transcript:SECCE3Rv1G0165440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMNMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE1Rv1G0054100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673139957:673141313:1 gene:SECCE1Rv1G0054100 transcript:SECCE1Rv1G0054100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTASTVQSAIDQASNAASSSAPAGGGEAAVVVVEGGGGRPKSGVLVECRICQEEDDETCMEAPCSCKGSLKYAHRTCIQRWCDEKGDTICEICLQQFTPDYKAPSKLFQQGRNSIFFRTPAYIQAQAAHRSSSTSTSYEYDRQASTPKAVICCRIIAITLMLLLVLHDAISVYLGDQGVYTVALVTLLMLRTAGIVIPVYIILVAVTELLYRRSEWQAMHGQVSEPAAAGSTQPVPIPPQQQRVVITIQ >SECCE3Rv1G0170220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:249929090:249938487:-1 gene:SECCE3Rv1G0170220 transcript:SECCE3Rv1G0170220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPVMKLGTLLLRTLSKPIANRLKSQAAVHPKFRDFIISIAQTNHRITTRIQRRIYGHATNVAIRPLDEEKAVQAATDLIGEGFIFSVAVAALIFEVQRSARSEARKEEARKQEIEELRQKEEILAKDLEDLKVKLAELERLAKGRGLSGILNFKGVNAADSSKEATPA >SECCE6Rv1G0382330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:41105592:41106358:1 gene:SECCE6Rv1G0382330 transcript:SECCE6Rv1G0382330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTTISGGAMEESQEVEELVDTRLSLVIGAASRPPPTVLALLPATSPENEAVAGRKRKGPENGAGGTAATSSREHSKRAKTVHDSSDVDDDDGGYAARAEGTRKKLRLTVEQAALLEESFRAHNVLSHGKKHDLARQLGLKPRQVEVWFQNRRARTKLKQTELDCELLRRWCERLSDENARLRRQLAETLSSSLAFLSRVTMANDKQAVCSSCNKLTSARMPA >SECCE7Rv1G0501780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:688577480:688578809:1 gene:SECCE7Rv1G0501780 transcript:SECCE7Rv1G0501780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSDGTYPQSPDISPLILFLYPATHTPPYHQSPVSTHLSKTEASTAPHTMAAMATTASSLLKTSFSGVRLPAAARTPSCVATPRAGAICNSISSSTPPYDLNAFKFSPIKESIVSREMTRRYMTDMITYADTDVVIVGAGSAGLSCAYELSKDPSISIAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELNIEYDEQEDYVVIKHAALFTSTVMSRLLARPNVKLFNAVAVEDLIVKENRVAGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLQDIGMIQTVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNGIDGTLKNVTPALHPEMILAATNNGDIVDA >SECCE7Rv1G0473670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:159761417:159762288:1 gene:SECCE7Rv1G0473670 transcript:SECCE7Rv1G0473670.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARIASLLLLLGVVWSRAQPGAEAAGTTVFTLRNNCTTTIWPATLSGNSAVAVGGGGFELAPGANVSFAGPTGWSGRLWARTGCVAAASGASLACATGDCGGAVRCTRGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVAATGARVNSSTCGYAGCVGDVNALCPAELQVAGKEGDQEGKTVACRSACEAFGTAEYCCTGAHGGPDSCGPTEYSRLFKAACPAAYSYAYDDPTSTFTCGAGAQYLVTFCPAQQ >SECCE4Rv1G0226840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:114327270:114327725:1 gene:SECCE4Rv1G0226840 transcript:SECCE4Rv1G0226840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFTFPTVPGGQCKLAPSFPPPWFVAAVAEEEENDDGSSSGGGAPWGHDEKMDMLWEDFNEELVHAPPVCPLSPLSKGGGLMAIRMMKEAEWFYSDGDGEDDGVIETRKRTGGGRHMVVRRRRWSLLLMLRLLKKLFLVKKSRNGRTAPI >SECCEUnv1G0562210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:363240196:363241850:-1 gene:SECCEUnv1G0562210 transcript:SECCEUnv1G0562210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCSNLPFDEAQWIIRIRRILEEEIELGDDQPISIFDVLKPLLCTKPEAYVPQLVALGPYHHCREGLRDMEMYKLSAAKRAQSHLPSMNFQQLVGVFATFEHRIRSHYHRQLNLTNETLAWMMAIDVSFLLEFLQTFCETKNQRILQRMPSRMSHLVDPSHRTSVHSMLLRDILMLENQIPMFLLVKLVEMWCSSGHSAQRPNLSSMLSGLFQEVCLLKGISSPCTDATRHAHLLDFLYSNMLPCGCVEESDGAMEEAEDGLSDHLQRSTLSSITELLMKRGLKLASLVTDFMVSMFLKFLASLPCLSMVRQPIEQLTSQRGVPKPKGASDVDNKNISPLLEEIAVPSITELAYVGVTFTPTVGGISTIEFCVKTATLHLPVISIDMTTEVVMRNLVAYEASIGSRALVFARYVELMNGIIDTDGDARLLREHGIILNHLKSDQEVAELWNGMTRSVRLTRVPALDRVIDELNRYHGACWKVRVRTFVKGHLLGLRDLVACVMMVLLLLFVGVQAFCLSR >SECCE3Rv1G0153470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:53689193:53689680:-1 gene:SECCE3Rv1G0153470 transcript:SECCE3Rv1G0153470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMMRIFAMLMLFSLCSQGNAEFRECTLSDLHVTQTATGKNAGGNPEYAVEVENKCICTQTNVKLLAPGFKSSEPVDPSIFRPDADGKLGTLNNGSPVYNGDKINFNYASATKFSLAPFSSSVACS >SECCE2Rv1G0130040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:872781651:872782778:-1 gene:SECCE2Rv1G0130040 transcript:SECCE2Rv1G0130040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAASPTSWFKLISSMLGLPQSPPTYYLSTPDFLCHPSGMNFAYYGPGMFRFPEEMMSVSEQVDAFESMIKAGTISHNNVTHSVALLAVSGTDYNRFNVSGFSSATDCIEKETTEIVAITQRLQNLGVKKILVNNLQPLGCTPFRCRPTLYHECDEFGNVIAFVHNKKLKHKLPRNEGILIVDMYTAFNNIIADHGPKLYKQFEEGRKPCCESYGPRFYCGEEHPHSGWLYSLCKEPSKRFFWDNAHPTHAGWEAVMKQLEQPIKEFLGVV >SECCE7Rv1G0478050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:231195581:231198264:-1 gene:SECCE7Rv1G0478050 transcript:SECCE7Rv1G0478050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARRLRELQGQPGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEPQLRKMEAGGNDRLNAFLTARGVPKETPHIPKYNSNAAAAYRDRIVALAEGRAWTDPPVVKETPGSGAPAPARRPPLPAGGGGGGGGGGGGGGWDDWDDEVRPDMRRNQSAGSFAGAGADSGRQPTRSRSTQDMYTKQELEASAASKEDFFARRMAENESKPQGIPPSQGGKYVGFGSTPPQSANRNNNGAAQGDVMQVVSQGFGRLSLVAASAAQSAATVVQVGTKEIQSKMREGGYDQKVNETVNVVTNKTAEIGSRTWGIMKGVMALATQKVEEYAKEGGVGGGWGDDWQQREQQNSNEPYRRYEQETSNGNGWNSPQDGSAKNQNHNSNSWDDWDDQDKKDEPAKTSQSSDSWAGWDDAKDDGFDSYSNHSPANKGGSNQNGTAGGSYWADGGFR >SECCE2Rv1G0074340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75777655:75779913:1 gene:SECCE2Rv1G0074340 transcript:SECCE2Rv1G0074340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRLQAPRHTRAAATLSSAAAHHLFGGIPRPARGTTPLSSPRAGHPLPVLVSSPATFSAAVASSDRATLPALHALAVASGLDGFAFVSNSLAARYAKTGSFPSAARVFGTARARDVSSYNTMLSAFPDPAEALAFASWMLRSGDVRPDAVTFTIALSLAAGCGEDALGFVRQLHALASRAGLAADLFVGNALVTAYSRGRLLGAARRTFEEMPARDLVSWNAMICGLAQDGGCPAEVVRLFLRMLKDDAVRPDRISVCSVIPACGGEGKLELGQQVHGLGVKLGVNGHVSIGNVLVAMYYKCGAPACGRKYLQSMVERDVISWTTIISMDEDDASIALFNGMRRDGVSPNEVTFVALMSALPAGCPARDGQMIHAVCLKTGVSDKVAASNSLITMYAKLRRMGDARTVFDLMPRRETIAWNALISGYAQNEQCSDALEVFSWMVKCSRPDETTFASVISAVTGVETVSMAYGEAYHCQALKLGLGGSEYVSGTLIDMYAKRGSLEESRKAFDGTAHHSLIAWTAMIAANAKHGSYDAVMGLFDDMMRSGVTPDGVVLLSVLTACRYKGEVGTGKEIFDSMAAEHRVEPWPEHYACVVDMLGRAGRLEEAEELMMQMPSGPSISALQSLLGACRIHGNADMAERVADVLTESEPAESGAYVLLSNIYAEKGDWGGVAKVRRQMRERGVRKEIGFSWVDFGAGTGETTHLHKFSSDDTTHPQTEEIYRVAEGLGWEMKLLKDHLRMETESVS >SECCE6Rv1G0383840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:58220693:58224376:1 gene:SECCE6Rv1G0383840 transcript:SECCE6Rv1G0383840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNSKFHNKCKHALKCTRTRLDLVRKKKQAMVKFLRKDVADLLTNNLESHAFGRMEGLIVEMNQASCYDMIEQYCDYIGKQLNNLQKQSECPHEALEAVSTLIFAAARYPDLPELCELRHVFTEKYGASIEPFVSSEFVQKLQNKSFTKEEKLQVIDDVAEEFAIPFNTKTFERKISGAPPNKNELLKKGSFNGVEVEASGRNGHRVDKHAVLERKSKSIPDGREWKHEFQLKPKDIHVVPDYIGQVGEKSRKNYSDKPVEKKHLVSDVPPADMKRRNGQKEINKDEKKGGQSWRELMNAEELDLNGSKKQEVAVAKPVQREMKKIVPPYTDLKETEKKYGVEKANGKGYHQTHMAGGTDHNWGHADLGLKTLGLEKQETESAGTLNGNGKTVNKVPPYSKPYRATSEKSAEEANNGLYNRARHMGEFGQLVQDRQQMPEKLVNMRPPYVKPNSSMKSAHENPTDQAANGYKLNGSEATGHRRDGLVDDDGAPRPVSVRRKSSRPPTHGSLYDEATNDEKVTSQTPGGRTRRPSSRNGSQDDHERRKHSSRRNGSTSGSDYQTEEDETDTAIDFGNLLPRAPRKHRSRSAHPREGGGHDDEERMMDKLLRHYSKKGMERDEEHKTRSKFRTPRPRADQPADGNNRDGAPSHPERTVSLPAESGSPVARAKAPAPARSTSLQQDTSRGNVHPRMPDFDELAARISALKRA >SECCE5Rv1G0328180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:500657167:500657442:-1 gene:SECCE5Rv1G0328180 transcript:SECCE5Rv1G0328180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAWAQVPHFCVYGHRGVGSVGTFEVEAKADASVLREEVRSLLWSEIQVVGKVQFDVDGEVAGLGYLRCKFFIMEDKAADVPKSMCILHQ >SECCE5Rv1G0301760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:33842013:33845312:1 gene:SECCE5Rv1G0301760 transcript:SECCE5Rv1G0301760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLFIIGVIGNIISVLVFISPIPTFWRIVRSKSTEDFEAAPYVLTLLNTLLWLYYGLTKPDGLLVATVNGFGAVMETIYLVLFLVYAADNAKRAKTAKLVAALDIGFFGVVFMATTFAIGGLDMKIMVIGLICACLSVFMYGSPLAAVRRVISSKSVEYMPFFLSFFLFLNGGVWATYAILDKDVFLGVPNGIGCFLGGIQLVIYAVYMNSKVVSHSRGSDEAAYDASASLLSSEGSKHGQHDAFARV >SECCE2Rv1G0126150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844769035:844769697:-1 gene:SECCE2Rv1G0126150 transcript:SECCE2Rv1G0126150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSECGCYDAFCDCCCPCVSYDARETILCCAVCLAVLAGVVLFAVLLAAYGFIRHAEVAVVDASLTRLALATAPATAFAYNLSLTLTVRNKNWAMSVKNTQPLEADYSFDGQRFERVRLADEGSAHPAGKTEVYHLVSGADSAYVALGNAGVAEFKEENKTGVFQVEVALSGEVRYQAHFTKCKFQAKCPLKLQLAPPGTPAVVFQKVKCKLAPADKNC >SECCE7Rv1G0477360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:217446579:217448012:-1 gene:SECCE7Rv1G0477360 transcript:SECCE7Rv1G0477360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEICCDVPAAGAEGKGAESHVGSRAARRRRMEIRRHRLVAERGAEETSRKRRKLDGESSTDEEEIEPARYGVTSVCGRRRDMEDVVSVRPEFLPGHHFFGVFDGHGCSHVATSCGERMHEIVLEEARSSGSDDAERWMGVMERSFARMDAEAVSSRSRASGAPTCRCELQLPKCDHVGSTAVVAVVGPRHLVVANCGDSRAVICRGGAAIPLSSDHKPDRPDELERIQAAGGRVIFWDGARVFGVLAMSRAIGDSYLKPFVISDPEVRVLERKDGEDEFLILASDGLWDVVSNEVACHVVRSCVRSKAKRRGGRSSPTSNLSPRQSSGSGSGSGSSSGDEAQNENGGCAGAGSESDEESGEEVDEACAEAAILLTKLAIARQSADNVSVVVVNLRRRRPIF >SECCE4Rv1G0251620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:605830462:605838684:1 gene:SECCE4Rv1G0251620 transcript:SECCE4Rv1G0251620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHAR3 [Source:Projected from Arabidopsis thaliana (AT5G16710) UniProtKB/TrEMBL;Acc:A0A178UIL9] MAVLLRTTTCAASTTAGSSSTLLATTFRRGRRLLPARASPARRAFTARASAEPLEVCAKASITVPDRLGDCPFTQRVLLTIEEKNLPYELKLVDLANKPDWLFTINPEGKVPIVKLEDKWVADSDVITQVLEEKYPQPSLATPPEKASIGSKIFSTFIGFLKSKDTNDGTEQTLLSELTSFDSYLKDNGPFINGGTISAADLSLAPKLYQMEIALGHYKNWSVPDALAHVKTYMKTIFSMDSFVNTRGLPEDVIAGWRSKVMG >SECCE1Rv1G0048500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:635485131:635502115:-1 gene:SECCE1Rv1G0048500 transcript:SECCE1Rv1G0048500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASAAVVLVLAIAAAAAAETPAPIGLAGCTTSCGDVGVPYPFGFGPPRCYWPGLNLTCDTRGQQPPRLFLGDGTLRVAAISLRNAAVRVVRTGSVIDSAGITSDRNVSFGGGFVDAGYMLSNGNELVLSGCNLLATLVEDLGVGPGRSGIISGCASFCSFRNKKVDSVGQLAGKYCSGMACCQAPINYHSSPTGVHLRWLDAGNHTEALTFLPTYVFVAEEGWFDRRPLADELLSVKQSPSKAALEVPLVLLWGVKQGLPPVPSLTANTSTACSDDAHRMLCKSDHSVCAVGNLGYTCQCEDGYDGNPYLTHGCQDINECEHPHDHGCFGKCINTIGGYKCQCPQGTHGNYTIKDGCIKSAATGTSIGIGVGSAAGFMLLVLAAIFVAQRFKHKREMLLKQKFFKQNRGQLLQQLVSPRIDIAERMIIPVDELAKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKSKITVQKEIDEFINEVAILSQINHRT >SECCE7Rv1G0456690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11270463:11271344:1 gene:SECCE7Rv1G0456690 transcript:SECCE7Rv1G0456690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTKLAALGFLILVSIGFTDAARMLVSSSSASGGGGGGGGGGGASGGSGYGRGSGSGGGLGYGESGGDWGNKWNFAKGSGGGGGAGGGGGSKGGSGSGSGSGYGSGSGVSGSASAPSGNGYANADGMGGGGGEGGGANGSSGTGVGSGLGKGYGESGVSNAPAPVAGGEGTSYSDAGGSGNGGGGGNNGNGRGVGAGAGQAGSDDTSGGFANGGGSGNGGGATGGTAEGPSVGVGSGAGSGAGQTGSTGSYGDGYATGIGGGMGGGTGESQNEGTGSGGGSGSGSGGGGYH >SECCE4Rv1G0287710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:856514452:856515882:1 gene:SECCE4Rv1G0287710 transcript:SECCE4Rv1G0287710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNRGQEPTGPVYARVPLRTALSLDLGNGQNGTFVHSNIDGSTTFLLPDVRSAAAPTQPTAPATATQNQNHERAIHLIKLLYSCAAALDEGETELANKGLEMICSLATDNGNPVHRLVSSFADALALRIVQPWQGVCRALLLPKTTPAPAAAAARRHFAVMCPFLRLAGTAANYAIIDAVQTERNAVLHVVDLGGADPSQWLLLLRFFAKLPGASAHHQILRLTIVNEDDEFLSSTAALLALEAKHLHVGFQFHPVKLHINQLLSVEPLGVRSGEALVIVSTLQLHRLVADEFAEVATRPHDKKGKTPVHATMTRADALLRDLAGLSPKLMVVTEQEAKHNGVEFRVRFGNALKYYGALFDALEESVPARGSAMEREGVERCLLLDEIRDIIACEGAQRRECHEPMLRWAARMEAAGFVTAPMSPGNVAQTAILARMLAGGSRAYRICSENEGRCVFIYRDDLPMFSVSTWRTV >SECCE1Rv1G0063660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726199960:726200733:-1 gene:SECCE1Rv1G0063660 transcript:SECCE1Rv1G0063660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGLVLLLLVVLALVDAPAAVHIDIAAALFHSHDHLGLGGNKKKDKQSSSSSSPSWPDASYLPAPAAAKQKDKKEKEKKEKEKKDKPWADGNAADFLAEAAKKGLRSFTGGRGSYKTMTKEFLEAHNEVRAKYGVPPLRWSKKLARYARRWSDARRFDCVMMHSVGSPYGENVFWGTGWDWRAVDAVGSWVSEASFYDWRAQTCYPGQVCEHFTQIVWRTTKYVGCGRAECLAGGVFITCSYDPPGNWKGEVPLT >SECCE5Rv1G0371660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:848993803:848994114:1 gene:SECCE5Rv1G0371660 transcript:SECCE5Rv1G0371660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVWVFRKDGVMELAQEASSRKALVYLPANETMRSLPALERRLGSLGWERYYEDRAVVQLHRRDGSLDLISLPRDFAQFRSIHMYDVVVKNRGHFKVIGL >SECCE4Rv1G0224980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:86877914:86880805:1 gene:SECCE4Rv1G0224980 transcript:SECCE4Rv1G0224980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIANSLLPPDSPEADGEDPRASGSTSPGSPPRGVREDLSELTDALAHRFQGLASFLAAPTPVGSGGAPRGLNPAEIAGRFRLGLARLPGRQAVADLAKNASSLLHPDEYWGGSEAAGATEDVVAFARDAAMRPELWLDFPLLPDDADSDDFDITDAQQDHALAVESMAPELADLRIELCPNHMSEGCFWKIYFVLLHPKLTKEEAELLSTPQILEAREKLSQNLQHQTKLESNKETVALTFSNADGSVPVAVEVVSVLKDQDASGRPTTLGNLDYGILEPIHLEAVSKDTVGDAGAVPSDKISSGVPLQLVPVLRDATEFSQSRMEESIHTSSKEHANEFSQSRIEQSTPYLATDDAVATEQCVPFVDSAPSEEDERKWPLNDLSKQSGVVIQKAHSDDCDDDEDEWLEEDTGGPGSTHIPIVGDDEDISFSDLEEDD >SECCE5Rv1G0337190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:589829532:589833863:1 gene:SECCE5Rv1G0337190 transcript:SECCE5Rv1G0337190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLMSRKRRRLRCRRRIRDRGPVALVAKRKSLRCQQDDNYHVDRRISCPDLPEDILRHIHAKMTLRDAARTACASHTFRHNWICRPDLTFSPGTFGLNRNASRDVITRDLISKIDLIMQNHSGNGVKRLDIDLYSCDNINFAYLDSWLRIAVTPGIEELILTLPLPTIVFENAVPIISVYNFPCSLLSNGSGNSIQYLDLCYCTLRPTAGLVCLRSLTRLHMSCTRITGDELWCLLSNSPALVCLKLLHCEEIISLKIPSLLQRLRFLEVTYCNRLQVVESNAPNLTTFYFEGSLGQISLGGSLQVKTLRMICFHQTNIVCYARQNLLSIAPNVETLTISSLNEMVNTPMLSSKFLHLKLLQISLVGMAFSAAYDYLSLFSFLDASPCLENFALAIYQDLMQHASILGEPSHDLRQIPEQRHDKLKSVTITGFCLAKSLVELTCHILENTTSLDCLTLDTTCHPPCSVTKFDKCHPLMDGDSLVEAQKALLAIRRYVVGKVPPTVKLTIMEPCSRCHTVESLVSTAGSS >SECCEUnv1G0534560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:42856106:42856884:1 gene:SECCEUnv1G0534560 transcript:SECCEUnv1G0534560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMSYLVATSMAVGLLLGAVSPTVKATSGNATASKNTTASALPPFGTNHTVGDGAGWLFDGKANASAANYSAWAANRTFYLGDYLSFRTRTDNTVVHTTNATVYKLCAYDGGVIGGGWKAEEAVLTVMLATEGSNYFFSDAGEGEHCRRGMRFDVNVARGRGLPQVPPSYYEPLPGGTAGRGLGGVVPMWVAVNVGFAVLLML >SECCE3Rv1G0168890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:228447641:228448450:-1 gene:SECCE3Rv1G0168890 transcript:SECCE3Rv1G0168890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMPRDSSASGALMPSDSASMAAVATAATTAVGKLPLPLLMRTNYAAWAMRMKYLLCVVGREALSLEIDKGKEEMAMTITSQSIDDTTLLRVAEKETAADVWAALRSMHVSVERVREARIYSLRSEFDGLTTGDAESVDDFAARFTTLVGRIRELGDPMEEKYVVKKLLRAISNKFINVASSIALFGDTNKMTMEEAIGSLKAHEELVKGRETAREEQQLLMARVHDSSRGRGRGGRGRGCGHGRGGWRRDKSEVKCYNCDDFGHFA >SECCE1Rv1G0024720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:330446234:330464321:1 gene:SECCE1Rv1G0024720 transcript:SECCE1Rv1G0024720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin MOS14 [Source:Projected from Arabidopsis thaliana (AT5G62600) UniProtKB/Swiss-Prot;Acc:Q8GUL2] MEAQATATVKEALAALYHHPDDTIRTAADRWLQKFQHTLDAWQVADSLLHDESSNLETLMFCSQTLRSKVQRDFEELPSEAFRPLQDSLYGLLKKFNKGPPKVRTQICIAIAALAVHVPVEDWGGGGIVNWLGDEMKSQQEFIPSFLELLIILPQETSSYKIAARPERRNQFENDLCSSANVALSLLTACLGFDELKEQVLEGFASWLRFCHGITAATLASHPLVHTALSSLNTDQFLEAAVNVTSELIHFTVSRDSCGITEQFPLIQILIPHVMGLKEQLKDSSKDEEDVKAIARLFADMGDSYVDLIATGSGDAMQIVNALLEVTSHSEFDISSMTFNFWHHLKRNLTGRDSYTSCGSEVSIEAERNRRMQLFRPPFEVLVSLVSSRVEYPEDFHTFSEEDRRDFRYARYAVSDVLLDATDVLGGDSTLKILFMKLIQACGSGAEQNQNWQPLEAALFCIQAIAKSVSIEEKEILPQVMPLLPRFPHQEQLLQTVCSTIGAFSKWIDAAPAELPILPPLVDILNKGMRTSEDTAAAASVAFKYICEDCRGKFSGSLDGLFQIYHVAISGVGGYKVSSEDSLHLVEALSVVITTLPQDHARRALELICMPIINSLQEIIQQGESALQQVPARHLTVHIDRLSTIFSNVKLPEVVAEAVNRYWSTLKIIFDHRAWDTRTMESLCRSCKFAVRTCGRSMGITIGAMLLEIQTLYQQHNQSCFLYLSSEVIKIFGSDPSCASYLTCLIQTLFNHTIQLLRTIQDFTARPDIADDCFLLASRCIRYCPDLFVSTEIFPRLVDCAMAGVTIQHREACKSILCFLSDTFDLAKSPEGEKYRDLINTIVLQRGATLARIMIASLTGALPSGRLEEVSYVLLSLSRAFGGNMLNWTRECISLIPPQALTDSERSRFLTIISDASSGSSLGVLTDRFAEISEVCRRNKAVQDIVQAALQPHDLAFTVAPRQS >SECCE7Rv1G0492440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:551185971:551188500:-1 gene:SECCE7Rv1G0492440 transcript:SECCE7Rv1G0492440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAASATQPPPAASPAFSYLAVFGNCPLIAAVLAFAIAQSIKVFTTWYKENRWDAKQLVGSGGMPSSHSATVTALAVAVGLQEGFSSSLFATAAIFASVVMYDAFGVRLHAGRQAEVLNQIVYELPSEHPLAETRPLRELIGHTPPQVFAGAVLGFAVATFTGMMAGLGNSG >SECCE1Rv1G0026050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:365019708:365022601:1 gene:SECCE1Rv1G0026050 transcript:SECCE1Rv1G0026050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G23290) UniProtKB/Swiss-Prot;Acc:P57742] MASPARIDVDKLSVEQLKALKEQTDLEVNLLQDSLSKIRTAATRLENATAALHELSLRPQGKKLLVPLTASLYVPGTLDDAEKVLVDVGTGYYIEKTMTQGKEYCERKINLLKSNFDELLEMATKKKSIADEMGMFLQAKLRQASPSSSS >SECCE5Rv1G0360690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:774104701:774106038:1 gene:SECCE5Rv1G0360690 transcript:SECCE5Rv1G0360690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLLLLLLAVAAALPPLAACRLGDHEPPPPPPPHARAHQHRAQSGGGGASRVLTTALVAAASLLAVLLLYLCVAIAVRRIRGKGEGGRASRQQASQSSRAAAFLRRHGLHHSRPAFTYEQLRAATAGFDAARKLGDGGFGTVYLAYLPPAGRPAAVKRLHVPPAPSPSSATITKSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDFVPNGTLSHHLHRRGRGIAAAPPPPPLPWRTRLAMAAQIASALEYLHFAVKPHVVHRDVTSSNIFVEADMRARLGDFGLSRLLATPDACSTATGREVVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVGRERRDVTLADWVVSKIQIGELREVVDPPVLDELPGVMPSVEAVAELAFRCVAPDKDDRPDAREALAELRRIQGMLPELSLSGHKDSS >SECCE5Rv1G0333680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:560486577:560487371:-1 gene:SECCE5Rv1G0333680 transcript:SECCE5Rv1G0333680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKAAVFTAAVLAVLLCSPASAQKSPPAPSPVSVSIPPSLAPAPAPAPRYVDLAALLDVAGPFHTFLGYLQKTKVIETFQAQANKTDEGITIFVPKDSAFAALKKSTFSNLTGDQLKTLLLYHAFPKYYPLAQFRNLSVLNPVDTFAGSPYTLNLTDDMGTITVESMWSKPKISSSVYATKPIAVYSINKVLLPMQLFSKDPPLAPAPAPAPESGASDIAPSPGGGKAGAGNGKADSTSAGHVGAANCLGLLAAAAAGLMLLW >SECCE3Rv1G0161410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:117146520:117148666:1 gene:SECCE3Rv1G0161410 transcript:SECCE3Rv1G0161410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSLLRRAHQLRPCHPPHRHLSRLLDRYGFVPPASLTPTAKEISPGTGAAAGQKRRTKKPPYRPPSSLDRGDRPASHSDLPFDFRFSYTESSPDAKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDTTLRRVDAEDPAPAAEKDLEEARRRERERVLGEPLTPAERSFVVDKCQKNRTKRQINLGRDGLTHNMLNDIHNHWKHGEAVRVKCLGVPTVDMQNVCHELEDKTGGLIIHRHGGQLILYRGRHYHPKKRPVIPLMLWKPAEPIYPRLIKTTIEGLTVQETKEMRKKGLHAPVLTKLAKNGYYANLVPMVRDGFLTDELVRIDCKGLPKSDYRKIGVKLRDLVPCILVSFDKEQIIVWRGKDHDEGIQDNIHKAFPSVLQLESAGVKNENIEQEEASSESAAGENDHGEKEETSTDWPSSDEWSEISSSDELPDDK >SECCE1Rv1G0017990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:172286131:172289456:-1 gene:SECCE1Rv1G0017990 transcript:SECCE1Rv1G0017990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQQQAAAPTGVWKTIKPFVNGGASGMLATCVIQPIDMIKVKIQLGEGSAAQVAKTMYVNEGFGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAIEANDGKPLPLVQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPAAQRRHYKNAFHALYRITADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDKLGAGEYQTVIGASAISGFCAAACSLPFDYVKTQIQKMQPDATGKYPYTGSLDCAMQTLKTGGPFKFYSGFPVYCVRIAPHVMMTWLFLNQIQKYQKKIGI >SECCE3Rv1G0186790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:690877515:690879033:1 gene:SECCE3Rv1G0186790 transcript:SECCE3Rv1G0186790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNFGDSMGWGRSSGSRKSKRGGSSSGGSGADKPKQPQRGLGVAQLEKIRLQSEMAEYFHPLGGQPPSSIHRTASLNLEDTRASTSSLSSSPSSPFHATPVSSPFPVHPNFAIAYGERGDARYGEFQTPIVRSPSSSTIYGPPHYAHPGVTLPLFAPEEATRLRGHHDRSRSADSTTMNSNDPQDVDLELKL >SECCE6Rv1G0414650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:635576592:635576846:-1 gene:SECCE6Rv1G0414650 transcript:SECCE6Rv1G0414650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLDRSITPAGWLEWSGQFALGTLYYGEYGNTGPGAGTSGRVKWGGVHTSLSTAEATQFTVRDFILGDSWLGDTGVSYTSGL >SECCE3Rv1G0175440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:426568494:426610557:-1 gene:SECCE3Rv1G0175440 transcript:SECCE3Rv1G0175440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSGGPSAPQRQPSMGRRNMSRAITMRTDGYSGEEEGPIIESELVPSSLAPIVPILRAANEIEDENPRVAYLCRFTAFEKAHTMDPNSSGRGVRQFKTYLLHRLEKDEKDTQRRLASTDAKEIQRFYEHYCKKYLEEGLQTRKPDEMARYYQIASVLYDVLKTVTPDKPNSEYDQYAKGVEKEKASFSHYNILPLNISGPAQPVMEIPEIKAAVALLRKRNNLPTPRPDTTDRPQGIDDGPIVRDLLDWLWQTFGFQKGNVENQKEHLILLLANIDMRGSGNVHQGERQNHMIQRDTVDHLMKKVFQNYISWCRYLHLESNIKIPHDASTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMARDLYDIISDRREESFDPPFRREGSDDAFLQLVIQPIYNVIHNEATMSKRGTVSHSKWRNYDDLNEYFWSKKCFKQLGWPMDPASDFFADPTKTKNDIERHDHSMSRRRMSKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIIAWSPSGSLSAIFEPAVFRNVMTIFVTAAFLNFLQATLEIVLNWKAWRSLVCSQMIRHVLKFVVAIGWLIILPVTYSSSIQNPTGLIKFFSNWIGNFQSQSIYNFAVALYMLPNIFSALFFIFLPMRRALERSNSHIVRFLLWWTQPKLYVARGMYEDTCSLLKYTTFWILLLICKLAFSYYVEISPLVGPTRTIMFLGRGKYIWHEFFPYLQHNLGVVFTIWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFEAIPTAFGKHLVPRHGSQPKRREREKEDKNLHIDKFSDIWNAFIISLRDEDLINNRERDLLIVPSSAGDTSVFQWPPFLLASKIPIALDMAKSVKKRDEELRKRINQDPYTFYAVIECYETLLNILYSLMAETSDKKVVDRIRESLEDSIERQSLVREFRLDELPQLSAKFDKLLTLLLKTEEEHDTTIKTQIANLLQDTMEIITQDIMKNGQGILKDENRDNQLFANLNLDSIKDEAWREKCVRLQLLLTTKESAIYVPTNLEARRRITFFANSLFMKMPRAPQVRSMMSFSVLTPYFKEEVLFSTEDLHKKNEDGISILFYLRKIYPDEWKNCLERIKFVPKDEESLKSRMDEISPWASYRGQTLTRTVRGMMYYRRALEIQCIQDKIDIAKLDRQRTTTSYQEGGNIVDMALAIADIKFTYVVSCQVYGMQKVSKNLKDKACYLNILNLMIMYPSLRIAYIDEVEAPTKNGTTGKTYYSVLVKGVGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFGSDKYGKSKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNITHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILLDPRIKEDIKPLENALASQSVFQLGLLLVLPMVMEVGLEKGFRTALGEFIIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLAYGKSYRSTSLYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWIGEQEHLRKTSIRALVLEILLSLRFLIYQYGIVYHLNIARRSTSILVYGLSWLVMLTVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFISVMSVLFVVCSLTISDVFASILGFLPTGWCILLIGQACAPLIKKTTLWDSIMELGRAYDNIMGLVLFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEFE >SECCE4Rv1G0267350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735719816:735720304:-1 gene:SECCE4Rv1G0267350 transcript:SECCE4Rv1G0267350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQRLAAAKRCSRGNHRRPAPATAQHCTAQAAMLASVRMLPWAKAHLNPTGQALIISTVAGMAYFMVADKTILSMARKHSFEDAPDHLKNTSFH >SECCE5Rv1G0364400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:801160987:801164536:1 gene:SECCE5Rv1G0364400 transcript:SECCE5Rv1G0364400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT5G13520) UniProtKB/Swiss-Prot;Acc:Q9FY49] MAPVDPHSYTDGAHPVTSHAALAFYLDFAASTIHASALVTLSAPHTGDLLLDTRALAVHSATTASPESPDPIPFSLAAAADPVLGTALTLTLPPDTASFLLTFSTSPAASALQWLAPPQTASGLPFVFSQCQSIHARSVFPCHDTPAARITYSLLLNVPAQLSAVAAARHVARRDPVPSDHRGACDDALWCAPGRIVEEFEMAQCVPPYLFAFAAGGIGSRDLGPRTRVYAEGGDTLLDDAAREFAGVEDMVKVGESLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNSGIGWRGLNRMMERFKDNMEFTKLKPKMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFLKKYIANFKFQSIDTETFLEFLKANVPGIENQVDLHEWINGTGLPPDAMEPESATYKKICVLAAEFKSGKIPSEEEVAEWSGQEWELYLENLPTDVEASQVTALDERYKLSESRDYEVKVAFLQLAIPTGCRCYFNEVEKCLKQVGRMKYLRPLYSSLARCSGEEEKMLAKRIFSEAQEFYHPIARGVAESILLKHG >SECCE2Rv1G0108460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:664264812:664266756:-1 gene:SECCE2Rv1G0108460 transcript:SECCE2Rv1G0108460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate synthase [Source:Projected from Arabidopsis thaliana (AT5G03860) UniProtKB/Swiss-Prot;Acc:Q9LZC3] MPGLHDTTPAMAQSYDTPEGVDIRGRYDPEFAAILTRDALAFVAGLQREFRGAVRYAMERRREAQRRYDAGELPRFDPSTRFVREGEWACAPVPPAIADRTVEITGPADPRKMVINALNSGARVFMADFEDALAPTWENLMRGQVNLRDAVAGTISFRDAARGGRVYKLEERTAELFVRPRGWHLPEAHVLIDGEPAIGCLVDFGLYFFHSHAAFRAGQGAGFGPFFYLPKMEHSREARIWNGVFERAERAAGIERGSIRATVLVETLPAVFQMDEILHELRDHSAGLNCGRWDYIFSYVKTFRAHPDRLLPDRALVGMAQHFMRSYSHLLIRTCHRRRVHAMGGMAAQIPIKDDAAANEAALELVRRDKLREVRAGHDGTWAAHPGLIPAIREVFEGHLGGKPNQIDAAAAVDAVTEEDLIQPPRGPRTVEGLRLNTRVGVQYLAAWLGGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAVLDAGGVAVRATPELLARVVEEEMARVQAEVGADRFRRGRYAEAGRIFIRQCVAPELDDFLTLDAYGLIVVHHPRGAPSKL >SECCE3Rv1G0206920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:913217680:913223603:1 gene:SECCE3Rv1G0206920 transcript:SECCE3Rv1G0206920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome regulatory subunit 6A homolog B [Source:Projected from Arabidopsis thaliana (AT1G09100) UniProtKB/Swiss-Prot;Acc:O04019] MSSSPTPAPDAGAAAMAVDDSSETDQLDSMSTEDIVRATRLLDNEVRVLKDELQRNNLELENVKDKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPDNLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKEIQELVEAIVLPMTHKEQFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFELAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALLRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVIHEDFNEGIIQVQAKKKSSLNYYA >SECCE4Rv1G0292740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883786552:883790411:-1 gene:SECCE4Rv1G0292740 transcript:SECCE4Rv1G0292740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPDAAFTTGILLVLVLLVSAGVSHATAESEAEALLVWKVSLGDPDALSSWTKAAGLCSWHGVSCNDAGRVESLGLRGLGLAGTLDKLDTTALPALTVLDLNENNFVSSIPASLSQLHCLVVLDLGSNGFNGSIPPQLGNLSGLVDLRLYNNNFIGDIPYQLSRLPRIVHFDLGSNYLTNPEGYRRFSPMPTVTFMSLYLNYLTGIFPKFVLESGNITYLDLSENDISGPIPNSLPEKLPNLKYLNLSVNAFSGPIPVSLSKLGKLRDLRVARNNLTGGIPNFLGSISQLRVLELSNNPLGGTIPPVLGRLQMLQHLGLNCTGLVSTIPPELGNLSNLSFAELSANELTGVLPLALAGMHKMREFGIELNKFTGQIPPDLFTSWPELIAFQGQENSFTGKIPPELGKATKLKLIYLFRNNLTGSIPVELGELVSLSQLDLSVNSLTVPIPSSFGNLTHLTRLALYSNQLTGMIPPEIGNMTALQVLDVNTNHFKGELPTTITSLRSLQYLALFDNNFSGTLPPDLGKGLSLVDVSFENKSFSGELPQNLCDGLALQTFTANHNNFSGSLPPCLKKCTSLFHVRLDQNQFTGDISEVFSVHPILDFLDVSGNQLTGKLSHDWSQCTYLTIFIHEQQSPLWKHSCSLVCWWKLQALVFMDVSSNGFWGKFPASTSLSKFPLQSLNLANNSFSGDFPSVIETCCSKLNTLNLGNNMFLGAIPAWIGKSVPLLRVLSFPSNNFSGVVPSAISQLSNLQVLDMSNNSFSGYIPSTFGNLSSMMEQLSSWTTSSSERHDVVLLSRISIFSRRAMPPDTLQDFGFQRVNIFWKGREETFQKTIELMTGIDLSSNLLAGDIPEELTYLQGLRFLNLSRNHLSGNIPASIGSLELLEFLDLSWNELSGAIPHSISNLPYLGVLNLSNNCLTGAIPTGSQLQTLVDSSIYGNNLGLCGFPLSVHCLDEGTQDHRQQLGSDVGLCYSVIFGVVFGFWLWFGALFFLEPWRVSFSHFLDKLCSN >SECCEUnv1G0546830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:163240908:163241702:1 gene:SECCEUnv1G0546830 transcript:SECCEUnv1G0546830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATQQHRRRRAILHLAALLVLASPAAAVSSACESEKFPAGRSYETCADLPALGAALHWTYDAEASSLSVAFAAKPPSSAGWVAWGINPTGDGMKGAQTLLAFKKNGAYVVNTYNLTGYKPLSPASTPIAFKATDLAADEGAGGKVRLYGTLQLPKGMNSANLIWQVGSAVVNGVPAKHAFAQENLDAKGMLVADGAGAPEAAPAPVAGDSSGEAGSMETEAPSSPAPSGGKKSSPAGAASTTQASAPVLIVLLALAGFLAIV >SECCE4Rv1G0245620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:533988967:533990977:-1 gene:SECCE4Rv1G0245620 transcript:SECCE4Rv1G0245620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSSARGEPRRFGNVALLALMLCSLVALSLIRARFSPIGNTAVAETIKVEDPKPVVVSKAAVTAEAGDGADEAAAVAVEEDKEEEAQPKDDEIQPKTEQTVDATVRPAKAAVVADASKPVCYETSRRSDTCEAAGDVRLVGRSQTIYVDTLEREWKTRPYCRKHDTYALSHVKEWSLKPFPSGDSAAPKCTSNSSATAFVISTGGFTGNPFHDYTDVLIPAFITAHRFAGEVQFLVSSYKSWWMSRYIQIFQQMSRYEVVDIDADDEVRCYPSAVVGPTFHKELGVDPSKAPSGVSVADFRKMLRSAFGLERATATPSGDRWDIRRRPRLLIISRRTSRGRAFMNERAMADMAGSLGFDVRIGDPDTTSDTSKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVLVQVVPYGRLEWLARNTFAEPSAGMEVHYLEYVVQLDETTLSEQYPSDHQVLKDPMAIHKQGWDALKTTYLDKQNVRPHLGRLKKTFLQALKMLPHGRDD >SECCE7Rv1G0480590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:271742884:271745596:1 gene:SECCE7Rv1G0480590 transcript:SECCE7Rv1G0480590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGDGLDHRGATNASSPSPPPKWRHLLQISPPSLHYSTLAPSPAPFPLKTLTLAAPLAMAADYRTPDRLLPAAAAEGPAQDPPKPALAVAGPAAAAAHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQAASPPCRPTLSLGAALRAAVAGEGGALALYRGLPAMALGAGPAHAVYFSVYEFAKSRLSDRFGPNNPAAHASSGVLATIASDAVFTPMDTVKQRLQLTSSPYSGVSHCVRTVFRDEGLRAFFVSYRTTVLMNAPYTAVHFSTYEAAKRVLGDMAADEESLAVHATAGAAAGALAAALTTPLDVVKTQLQCQGVCGCERFASSSIGDVFRTIIKRDGYVGLMRGWKPRMLFHAPAAAICWSTYEASKSFFERFNEKRRK >SECCE4Rv1G0277820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:805713695:805718615:-1 gene:SECCE4Rv1G0277820 transcript:SECCE4Rv1G0277820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQPHREAEEEEGELWHRGHGDGITSPLLPSTSRSSPDPDDDEEENSPIEQVALTVPVGDDPDTPVLTFRMWVLGMASCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAVLPERAFFRGRPYEFTLNPGPFNVKEHVLITIFANAGAGTVYAIHVVTAVRVFYGKNLTFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEVRSKGGFTRSQFFLVAFVCSFVYYIFPGYLFQMLTSLSWICWVFPNSVFAQQLGSGLYGLGIGSIGLDWASVSSYLGSPLASPWFATANVAAGFFIIMYVITPIAYWFNFYKARNFPIFSDGLFTETGQKYNITSIVDSQFHFDTEAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVLLFHGSEIWQLSKSAFQEKRVDVHTKLMRRYKQVPEWWFICILIVNIAVTVFACEYYIEQLQLPWWGVLLACAIAFFFTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMDSIPNICDTELLPAGSPWTCPGDHVFYDASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPLLVWFAHKAFPGQNWILLINMPVLIGSTGQMPPATAVNYITWVFVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKGIFVEGCPVYT >SECCE1Rv1G0056600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:688142800:688144111:1 gene:SECCE1Rv1G0056600 transcript:SECCE1Rv1G0056600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGITVPISMDNIPLVKCDNAAAAAAVPTVDLSAPGAVAAVEQACRSVGFFRAINHGVPTALTDALEAGAAAFFALPHKNKLEASANPLGYGSKSIGRNGDMGWLEYILLSAGSGSVAAAASLPPPLRGALEEYTDALREVGARVLELMAEGLGLAEENRGVLRRVVASDDADEMVRVNHYPPCPCPLAAGQRGVTGFGEHTDPQVISVLRSNRTGGLQMMLPDGRWVSVAPDPDSLFVTVGDSLQVLTNGRFRSVKHRVVAPAEGQQSRLSVIYFGGPAPTQRIAPLPELMREGERSLYRDFTWAEYKKAAYKSRLGDNRLGPFELFPAAAQDCCSNAVQPPAPPPPHVARVH >SECCE4Rv1G0223810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:74039516:74041825:1 gene:SECCE4Rv1G0223810 transcript:SECCE4Rv1G0223810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRLLLLVVVALAVAAVAVAETEQRATYIVHMAKSAMPAEYADHGEWYGASLRSVSTGSAAAPKMLYAYDTVLHGFSARLTEQEASDMAGMDGVLAVNPETRYELHTTRTPEFLGLAGSEGLFPQSGTAGDVVVGVLDTGVWPESKSYNDAGLGEVPSSWKGTCMAGADFNSSACNRKLVGARFFNRGYEAAMGPMDTSRESRSPRDDDGHGTHTSSTAAGAAVPDADLFGFASGTARGMAPKARVAVYKVCWLGGCFSSDILAGMDAAVADGCGVLSLSLGGGSADYARDSVAIGAFAAMEQNVLVSCSAGNAGPGSATLSNVAPWITTVGAGTLDRDFPAYVLLGNGKNYTGVSLYAGKAPPSTPIPLIYAGNASNSTSGNLCMPGTLSPEKVQGKIVVCDRGISARVQKGFVVRDAGGAGMVLANTAANGQELVADAHLIPAAGVGEKEGAAIKSYIASNPKPTATIVVAGTQVDVRPSPLVAAFSSRGPNMITPEILKPDIIGPGVNILAAWTGKAGPTGLAADTRRVNFNIISGTSMSCPHVSGLAALLRSAHPEWSPAAIRSALMTTAYSTYTGGAGSPILDAATGAAATPFDYGAGHVDPTRAVEPGLVYDLGTSDYVDFLCALKYTPNMIAALARSKSYGCAANKTYSVSNLNYPSFSVAYSTANGEAGDSGATTVTHTRTLTNVGAAGTYKVDASVSMSGVTVDVKPAELEFTAVGEKKSYTVSFTAAKSQPSGTAGFGRLVWSDGKHTVASPIAVTWT >SECCE4Rv1G0286170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:848294111:848296185:-1 gene:SECCE4Rv1G0286170 transcript:SECCE4Rv1G0286170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMEELTRSGLAGMDISIFRGEFEAEGERGAGGNRECINITPPSPSPCADEEQPPPEKTEQKEEKEEWVYREEDRITRYCGLWESRFAGKFGSFDDETSLGPMRFTFGPIPSYARPHCTIQIFGIRVADLEDGLQWPMHVHGFVAARDTSDHNRNFLFKRTRDNCQVLTQQDPYLVLTGPSCAIVIIDPITIEFQLKVKSKTDPEEDEMLAFGIFNYPQTYLATHVIRSGILCDRCTIELAYAPLVPSVEATVTDVRIIDGVWPEGLRGRVVAEVTTVREGEVLLLDSRDRKMPISPSTGAIELSRRVVSVDLQGGKLVISVVASSQTGGKEEDDDGGSAVVVVRGEAVFAPERAGMSNGTCDLGFCKVAVAVAWSLVSSLWNERRALAKLAEERA >SECCE4Rv1G0259480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:687290556:687291132:-1 gene:SECCE4Rv1G0259480 transcript:SECCE4Rv1G0259480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPRDAEFLNTHIQNYSQMQHIFFGPATGKHAMGSGEPLGSPMPDFLWTPDVEVLDGHDKPLDHVHDRKRKRGGLMEEEINVFCGMTEAVKEVATAIRECKPLDVHPDLYGAVMTQGGFSDEALMVALRHLLDNKAQGVGFVAMADAHRVLWLRTWLGKHYY >SECCE7Rv1G0499180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:650684868:650686169:1 gene:SECCE7Rv1G0499180 transcript:SECCE7Rv1G0499180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLSTAFPLMEEDHHQDHHQGHFQAFTLPKDPPILFPFVISNSSPSDNSSTLSYGSDQHLMQHHAMLDQPQHMLGGSSSVFSKPFPTVESIRDDMIERSYSYDPYDMEKLQATSGSLKIGKWTAPAPAAKMRITRKTSDPGVKKPRKRAQAYEDHGHMGGMNQALGVIRTCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASGAAPATGVAAAKAATPGDAAVTVHPPKVKKEKRTADVDRSLPFKKRCKVVQDHTATNAAASTTVEAAAEPPVAVTTTTTAAAAAAPARDLVDTIGVNWSTSPTAAAASCFRPSLAPFAVPVPVQDEITDAAMLLMTLSCELVRS >SECCE4Rv1G0220090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:35189008:35190568:1 gene:SECCE4Rv1G0220090 transcript:SECCE4Rv1G0220090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin 1 [Source: Projected from Oryza sativa (Os03g0718100)] MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDYDQEMETSKTSSSVEKSYELPDGQVITIGSERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFTGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >SECCE3Rv1G0196630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:810359990:810361807:-1 gene:SECCE3Rv1G0196630 transcript:SECCE3Rv1G0196630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALHKAAVQGSVASLKKLVADRPGILGSKTPQQNTALHIAAELGHAGFAEAALAVDDKLLVSRNADGDTPLHLAARAGKVEVADLLIGRASAWPAEHPHHSPAAQNGKAGEPSRSSPGTAQGPLFMANKVGDTPLHEAVKHGRSAVALKLLDAEPGRGHALNLKQQTPLHIAAREGLADVVAKIVSQPWVHEKFVPSDSVSGTALHQAVLGGHARVVEILLDATPPDQIGMTDSSENNALHYAAQKNNARVVKLLLNRKVDLAYKRNGDLQSPLHVAAYYGSTEAMVELLKQCPDVAEMVDSNGRNAFHVAITSGKVDALKRLLKHVRPEEIVNRVDRAGNTPLHLAASLSRIQSALLLLKDRRVNPCVLNREGHSARSLIEKRAATEEMDTYEMYLWKTLKKHEASRCKKEQLPPIATYQSLRSRRAGHDEYYELSVGTYTLVATLIATVSFAATFTMPGGYSQTDGTALHGHKAAFKIFVISNTVAMCSSIVVVFCFIWAWRDPVKFKLDQLMWGHRLTILACLAMVVSLMTAVYITVAPTARWPAYVVIAIGVSTPAVVFLILGKEALYVPM >SECCE7Rv1G0507310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:760672996:760674750:-1 gene:SECCE7Rv1G0507310 transcript:SECCE7Rv1G0507310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQARRMGSLLPVALLGLGILLQLASCSPPPDPVVCTHGTSNCTITNTYGFFLCRAANVTYPQTEQELVAAVAVVASAKRKVKVATKHSHSVPKLACPGGRDGTIISTARLNRTVRVDAEKRLMTVESGMLLRDLIEAASAEGLSLPHSPYWYGLTIGGLLATGAHGSSLWGKGGAVHEYVVGLRIVTPAPASQGFAVVRELGANHPDLDAAKVSIGVLGVISQVTLALQPLFKRSLTFVKRDDSDFADQVATWGRLHEFADMIWLPQQGKVVYRQDDRVDVSTPGDGLNDMLLFRPIPTRAIIAARAAEELRQENSTDTARCAAAQLQADTLERLAYGFTNDGVSFTGYPVVGYQHRIQASGTCVDSPEDSLRSACAWDPRIPGSFSDNSGFSVALSKAPAFIVDMQRLRDLNPAMFCAGVDPSLGVAFRYIKASSAYLGKAEDSIAVDIIYYRSHADGMPRVHADVVDEIEQMALRKYDALPHWGKNRNIAFDGAISKYPKAHEFLMVKDRYDPDGLFSSEWSDQVLGINGSPNINKEHCAIQGLCVCSEDSHCAPEQGYFCRPGKVYAKARVCSFGKGY >SECCE5Rv1G0305380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:71980935:71983333:-1 gene:SECCE5Rv1G0305380 transcript:SECCE5Rv1G0305380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGDVAVMAAAEEEAKRRRRRQAERVALPAGMVLVQALTVVTMLLSKVALNSGMHPLVLLVYRNLVAAAFVAPLAVVFEREMWKKVNPRVLGWISLNATFGVLLAMGLYYCGLRATSAAYAVNFLNLIPIATFIIAVALRAERLSLAAWASRMKLLGAIVGVTGTMVVSLCKGTHLLLPHLRQSSHANPHLAAPHDGRDMAIGTLFLCGSCVSYALWFVVQAKVAKVFPSRYWATALTCAAGSLQSAVAATVAVVLAPAGDGHGWARTWTLRWDLQLATVVYSGVFNTGVTFVLVSWAVERRGPVYPPMFNSLSLVATTAVDAVVLGTDVYLGGVLGAALVVVGLYAFLWGKSKELAAAKAVNAEQELRCAGDADDGIA >SECCEUnv1G0557280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:321509020:321510561:1 gene:SECCEUnv1G0557280 transcript:SECCEUnv1G0557280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAILPTMVITTLFLFLFLIAASHRARKQYNLPPGPKPWPIIGNFNLIGALPHCSTHELSKKYGPLMHLRFGSFPLIVASSTDKARFFLKTEDLLFIDRPKTASGKYTTYNYRDMKWSPYGARHAASASPNSSGFSPRRLASMEHIRVDEVRALVRGIFVASGPKRIVRLGMDHMSTLSLNVITRVVMGKRLFADGTPEGPVPSMEVFKRMLNELFLLNGVLNIGDWIPWLDWMDLQGYVWRMKKVGKVFDELMEHVLDEHNLGEQWRREGEAARDMVDVLMDIADDPTLEILFGRIGVKAFTQDLITGGTESSAVTVEWAMSELMRRPTALDAVTKELDHVVGRGRWVTEKDMSNLPYIEAIVKESMRMHPIVPLLAPRMACEDAVVAGYDVPKGARVLINVWAIGRDPELWEAPDEFRPERFMGSKMDVKGQDLELLPFGSGRRMCPGYNLGLKVVQLSLANLLHGFTWRLPEGMTKEELSMEEVFGLTTNRKYPLEVIADPKLPAHLYV >SECCE5Rv1G0331190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:536799232:536799543:1 gene:SECCE5Rv1G0331190 transcript:SECCE5Rv1G0331190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHCFLKPSRMSLQRCVVGDDMTADEFKEWIRGFDADQDGRISRDELRRAMRALRVRFTRRRSRSGISYADADGDGYIDDSEIDGLVEFARTNLGLRIVAC >SECCEUnv1G0533900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:34999271:35002448:-1 gene:SECCEUnv1G0533900 transcript:SECCEUnv1G0533900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAASSFLSRRLLARRPAASLLGADNARRLWPGAVYKFSTAPAAAADEEPIKPPVEVKYTQLLINGNFVDAASGRTFPTVDPRTGEVIARVAEGDAEDIDRAVAAARTPQGLRRGPLAEDDRLLPSSRHVLEWYVRVGMQERCRVLLRFADLMERHNDEIAALESWDGGKPLEQSAGGEVPMAVRCMRYYAGWADKNHGLVVPADGPHHVQVLREPIGVAGQIIPWNFPLLMFAWKVGPALACGNAVVLKTAEQTPLSALYVASLLHEAGLPDGVLNVVPGFGPTAGAALCSHMGVDKLAFTGSTGTGKTILELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHRALFFNQGQCCCAGSRTFVHESVYDEFVEKSKARAQRRVVGDPFRKGVEQGPQIDGQQFKKILGYVKSGVDSGATLVTGGDRVGSRGFYMQPTVFADVEDEMKIAQEEIFGPIQSILKFREVGEVVRRADATRYGLAAGVFTRSLDTANAVARALRVGTVWVNCYDVLDAAIPFGGYKMSGVGREKGAYSLGNYLQTKAVVAPLTDPAW >SECCE4Rv1G0238580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:380821900:380822295:1 gene:SECCE4Rv1G0238580 transcript:SECCE4Rv1G0238580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQLREYELKQRKKKLRFESDSKEFAEKEKQRRAVDGAKGANFAKVKKPSLQKKRLSTIAEEGGTPRWSPRVACMNKNLGKRTAETGGKDDPQRKQLHVTEGANSDDDDFVLVDFVRDVHSGRRKDWFI >SECCE4Rv1G0226470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:110059776:110061310:-1 gene:SECCE4Rv1G0226470 transcript:SECCE4Rv1G0226470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGVCGCACRSGGEARYGELDAPPPDIETGTDQAAAPPEAEVSPLNIAIHRVLLFLVWVYLLDLMRRFAMKHTDGDIWFSTLAVVLMALPVTEYFLITAMCEHNPDGLMWRLY >SECCE5Rv1G0367330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:820459301:820460810:-1 gene:SECCE5Rv1G0367330 transcript:SECCE5Rv1G0367330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWKDGEESSEEELVGMELEQHWANPGTIIDASFCGRAADVSITCRLHLAPCMKYVAFEGKDTGRRFYGCAVPQDGIDCEVAQWVDAPWPSILQRCLEKIWKMFHEENHGRMVDHEKYKKELEKMKKELDTLGDQYSQLVQDVTKMFDWADQNNRVMSDEEYKQKQMDVNKDMVKLAISKEKESDAIGKMKEMEKLAQEHKEMKCILRSQGEIIRNTRKERDDLKEERDWLIEEKKKLEFLVGDLMKAGHGNKDKLAKIKSILDE >SECCE2Rv1G0083840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:171885599:171887833:-1 gene:SECCE2Rv1G0083840 transcript:SECCE2Rv1G0083840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLVAALLLLSSTLVVSDFCVCRSEQPQAALQKTIDYACGAGADCNMIHEQGPCYSPNTVVAHCSWAANSYFQKKRAMGATCDFGGTALVVTTDPSSSGCSYPSSASAAGTSTTPTGAGTGIGGTTGGTPGTFTPGAGTSTGGMGGTGTGTDTSTGATGTGFGGLGPTGTSNMDTAAAGLHPRSGAGAPAFLAVLLSFLAFA >SECCE6Rv1G0399010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:430374701:430375880:1 gene:SECCE6Rv1G0399010 transcript:SECCE6Rv1G0399010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRIPKKATLIKAMEEADVKTKWENSSWGKKLVVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEVAA >SECCE4Rv1G0252390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:613892333:613894263:1 gene:SECCE4Rv1G0252390 transcript:SECCE4Rv1G0252390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLPLPPHAEYPKLRTTASACLLPPLGRLRLGPSKVATKNLSAASPDASSLRLASVAAPSPCPSVRRPDDGGLLVLSVAASAVAIFASFIFFSAIPSMLECKKAAESLEKSFDLTRDKLPETMASVRLVGKEIGDLSVDLSDLSQELNKVVRSSFSIVHTADAQLRQLATSAQQGTAQLVANRKKDAGEPLVATTVREVRELIADIRSGFGAAFGIASLFMWASKFWSKRPRNNSQ >SECCE1Rv1G0045230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609042533:609044811:-1 gene:SECCE1Rv1G0045230 transcript:SECCE1Rv1G0045230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCSWIRGLCTVKCSSLRLGCCCSWVHGLRTVKCSSIRLLSCACCCSWIRGVCGRKKREAGQETSTSETKKTKRKWGRNFCGWTSHEAEEPLTSETKKKRKNGASSSEPDKKRWFKNKIWRKKKAKNKQLATLVKEISLANSPKARAAAGEILRIGNHNIPSRVFTHSQLSDATNSFSQENLLGEGGFGRVYRGYIPETMEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLFGYCTECDQKILVYEYMPLGSLQDHLLDLTPKSQPLSWHTRMKIAVDAARGLEYLHEVANPPVVYRDLKSSNILLDGNFSAKLADFGLAKLGPVGDKTHVTTRVMGTYGYCAPEYAMSGKLTKMSDIYCFGVVLLELITGRRAIDTTKPTREQILVHWAAPLFKDKKKFTKMADPKLDSKYPLKGLYQALAISSMCLQEEASSRPLISDVVTALTFLADPNYDPPDDIEPLPITVPDFDRGISLNEPEEVKEKFEEKQVEDS >SECCE6Rv1G0409130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:581539453:581540334:1 gene:SECCE6Rv1G0409130 transcript:SECCE6Rv1G0409130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLKPHSHCSALPSGRSSGLHGSSCPAAVRVVQRPAGRRGDALKARAFPLDVVPLMVTMVEHVDNQRDWVVTKSIWHLSDTAIKSFYTFYAMFTVWGVCFFASMKDPFYDSEHYRGQGGDGTVHWYYDRQEDIEATARGDLLREELLEEIEQRVGGLRELEDAGMEGELEEAK >SECCE7Rv1G0504200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:720832365:720835606:-1 gene:SECCE7Rv1G0504200 transcript:SECCE7Rv1G0504200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSVQQSPMVRSEEDLGPPWLRPLLGTSFFVPCPAHPDLSKNECNLFCLGCNHAAGALCSYCVPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDIAHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPTLTFAIRPKRSQDSGGEGYGSDDDSFSPRKQLRRAGFELGRFDRGTVRWSDDEGSKSNTLPMTPTTPPISRCRPSRRKGIPHRAPFYG >SECCE3Rv1G0169040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:230150974:230152182:-1 gene:SECCE3Rv1G0169040 transcript:SECCE3Rv1G0169040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPSWIILGSVAQVSGTDAAAEDLPPGADLALAVAAPPRVTLLTVPLRVSPGPDEYPMVLAVDPSGLLLLSTPPARSPPPPPPSRPDADGFVTLNITDRKTQGYYVCDVSSSSAARLPNQGRGFLDVRSAGLLASPAGGGHYMVVELQPLIGSDEATLLCFSSVTGRWKEKDVFYPVRYGIWNTDAAITNAGKLCWVDLSSGILSCDPFAKKPVLDFVELPEGRLRQNIHCLHCAGRELSRRRCVQVSAGMFRLVELTCGDHGSRPRGVVLASWMRLQQAQSRSHRKAPRVVMWTLADPDTGEWKVEHRVNFADVWADESYKATGLPKKTPVLALVHPKNPDVVYFFLGKHLFGVDLRTRKVVECEVYKLVGPSRKHVSSRCVHSWELPATLCAGNRQ >SECCE7Rv1G0481260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:281955979:281957313:-1 gene:SECCE7Rv1G0481260 transcript:SECCE7Rv1G0481260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSSAMAEEHAGGQHEQQVAAERRARQKLLFAVPIIPIVMFIVAPCALFLFTADLALPRIRIEYGRRDGDRDAPASAVASAVPSEEQHQLPPLRQLTDRPYSLGPNVSDYDARRAAWLAAHPRFPAMVAPKRPRVLVVTGSSPRRCKDPEGDHVLLRTFKNKADYCRVHGFDIFYSNMVLDAEMNGFWTKLPLLRALMVAHPEVELLWWVDSDVVFTDMLFEPPWSKYARHNLLLHGWDDAVYGAKNWLGTNAGSFVIRNCQWSLDLLDAWARMGPRGPVRDRYGKVFAAALSNRAAYEADDQSALVYLLVTERGRWGAKVFLESSYLLHGFWEGIVDRYEEMRSKGRPGLGDDRWPLVTHFVGCKPCGEQSASYEAVRCRQGMERALNFADDQILGLYGFQHESLNTTAVRRVRNDTGRPLDADDEQIGRLLHPEFRAAKP >SECCE2Rv1G0114340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:733682641:733686534:1 gene:SECCE2Rv1G0114340 transcript:SECCE2Rv1G0114340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFNSLQSSPNIPSHNIYKHICSTMEVLESFIQLCSDTTAMAFPKPSLVLCSLLALLSLNRGPSHVSAKVYMVVMEDDPVVSYKASRKNIMRGEEAQKYKEMATTKHDVFLESFLTVGSYKKLYSYTHLLNGFAVHANSEKAARILSGAKGVRLVQEDIKMAKMTTYTPKYIGASGVWPLLGGAENSGDGIVIGMIDTGIDPKNPSFAGFSDQAKQPPPNFKGMCRSGDRFPPDSCNGKIVGARWFARAGQATGEFNATVHYASPYDPDGHGSHTASTAAGNFHTPAISRGYNFGYASGMAPGARLAIYKAAYPFGGYMSDVIAAVDQAVEDGVDVISLSMAPSSVSPGPAAFLNLLETQLLLATKAGVSVVQAVGNGGPDASSIVSFSPWITSVAASTTDRKYNKSVVAGNGQIFSGGGLSPPTPGETMYPLALADDVSTANSTDGSNGCQDPKAFIRSLVQGKVILCMIVSSNYYQGDNFAGIIYTIQKIGAAGVVIIDRYSGDIDYEYQAIFPTAVPSAMVVDGVDMMNLMEYYENNTARDGDGTVITFGATVRILEGRRASYSGERPEVADYSSRGPNLENAQMQLADVLKPNVMAPGHHIWGAWSPTSDALPEVQGESYAILSGTSMSTPHVAGVVALIKQRHPKWSPAMIMSAIMTTADVTDRSGRPLMARRDVGAVVAATPFDMGAGAINAARALDPGLVFDATYREYLQFLCAVPGVDEAAVRRAVGASCPTSRARWCSDLNAPSVTVASLVGSRRVDRKVWSVGAENETYMAYVRAPDGVAVRVSPDEFTVAPGETAALRIVLNTTAPGNAFSFGEVVLRGDKKHSVRIPLAVYPAAVLGGP >SECCE2Rv1G0118090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:779379195:779380596:1 gene:SECCE2Rv1G0118090 transcript:SECCE2Rv1G0118090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGGLRRRLKPSGAVEGKSSAKKRKKVRTANPPAAAASLLAKNLILEILSRLPARSVHRFKCVSPAWSDLIADPAHRKKLPQPLAGFIYSTYHGPDHRMYKFHFAKVPGGEAQPVDPSLSFLPSDEYWYVDQLDTCNGLLLCRAHKFPSTPFGDEDEPLEYHYIVCNPATRRWIGIPALPPVPTGHRAIARLAFDPAVSSHFHVLQFEDTEQDKYITGVTIYSSQTGAWNYRESRLPEKISLFAGLTSVFFQGMLHLYGLLYPVNTDYDAVLVAVDMEGQVWKTICVPSGSLSFGMMGVSQGCLHYAATPLAPGEKRKKNMKKKKHDDTTTVWYMKDYDSKEWVLKHSVSYDELWRMTGGEYRVAAFHPDCDTIFLDSFGADTLASYDMQHRKFHRICSLRKNKAPIFLPYVPLFSDSFAGADGQ >SECCE1Rv1G0012590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:94019655:94020799:-1 gene:SECCE1Rv1G0012590 transcript:SECCE1Rv1G0012590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPASVFAWAAAVLLAVAAFSAVADASPPPSKFLKVGFYKHTCPQAEYIVRDAVRRAVARNPGLAAGIIRMHFHDCFVRGCDGSLLINSTPGNTAEKDSQANNPSMRGFEVIDEAKAALEASCPRTVSCADVLAFAARDGAYLAGGINYRVPSGRRDGRVSIADEVLNNNVPFPTDEVAELVASFKRKGLSADDMVTLSGAHTIGRSHCSSFTQRIYNFSGELGRTDPSIDRSYAAELKYRCPPSTDDVNDPTAVPLDPVTPGEFDNQYFKNVLARKVPLTSDQTLLTSPRTAGIVAFHAAVEKAWQAKFAAAMVKMGKVEVLTGEEGEIREKCFVVNHH >SECCE4Rv1G0279320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:813884946:813891382:1 gene:SECCE4Rv1G0279320 transcript:SECCE4Rv1G0279320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGGGGGGGVGTLKSTSINGVKLYSVTGKNYVAPWVLAKKKRSLRKDAEYQRRLELIHDLRFETATTRIKVTPDGQYVIASGIYPPQMKVFELKELSMKFERHMISEIIDFEVLGDDYSKLAFLCADRSVCLHAKYGSHYSVRIPRMGRDLAYDCWSCDLLCAASSSDLYRINLEQGRFLASLSSQSPAINVVTRSMIHGLVACGGEDGAVECFDMRRKSSVGRINTASSSEDVDQEVTSLQFDENQGYLLAVGSSIGKVSIYDIRMSSPLRVKDHMYGSPILNIKWHQTLNSSEPKLITADKHIVRVWDPNTGNNMTSIEPDNGSINDVCIFPNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKQENTLYDDYKFLTEEEMERLGLSEYKNSDAVRAHLHGYVIRYDLYKKQRAKLHIADYETLQNEMKVKKLADLRKSRITQVVKIPKANRQLLDDIRTAEEEIDADVENASKSSIRKKIMKLDMKKSLLTDPRFQKMFENKDYEIDVNSKEYQAIHPQLATKEPHLIEEHFESVSEDEEVQDAGSSDASAESDSDNDTHNSKRIRLYEVKDGRHAEAFLNSVSLGNEEAVPIGDRVAALERKQNSRALDKVKYGPGGSREISFNPRSSRRRAEEDEHSEEEQKDYKRRSVQSLGLKQNKAEFYLFGGRGGRGGGGGGRGRGGGGDRGRRGGGGRGRGGGGRGGGGGDFGGGFGGGSEFGGRGGGRGGGRGRGRGMSRGRGGGRSRGRGRD >SECCE3Rv1G0145690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8069122:8071492:1 gene:SECCE3Rv1G0145690 transcript:SECCE3Rv1G0145690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAWKEWGITAWKEWGIQALVLLSLTLQVALLMLAEFRRRMDSGTVRFLAWSAYMLADGTAIYVLGHLSAASRSPDHELMAFWAPFLLLHLGGQDNITAYAVEDNRLWLRHLQTLAVQVTAAGYVLFYTSAVIGSLSGSALLRWAGILMFVVGVVKYAERVWALRCAGSNPGVLVKRPWDTEDCLLMAHRTLGVPKEMIKGLINEAGYRHASYLREEGRLYKVVEMQLSLMHDGLYTKAEVMHTWYGLCIRMLSPVATTVAFLLFHRHQRHGHQHRVDVAVTYVLLVGALVLEVVSALRGLFSSWACVLLISHGPHFSLRETLSYIHGGTTTPSWWLKAAAWVARHVSGSLGRMVLSVRRLVRAADWARYWSASMGQHNLLQLAARSRVSKRSRMANWMGREVWWNAMAYSSSIPVSPFIQELVVNYILSKGGDLRLFSHDDDRQLAHFDSRGQAELRRWGLYEGLTWSVEEKILVWHMATNIYIYSMMSSSEEHESHLAEAVQALSNYMLYLLASRPYMLGPTASHNSYIEVCFGLIARGPDAASATPYSSPEEVARFLKTYGDTGRNNRYRLLNVDHTTQGHLVSMLDKGCELGAKIVQDDAVGTLELLAQVWVETLCYAGQRCSALFHAKQLSDGGELITVAAILVEYFKQGKIKTKQQEEAEARFREERHFDIR >SECCE7Rv1G0486060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:394676348:394687380:1 gene:SECCE7Rv1G0486060 transcript:SECCE7Rv1G0486060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 [Source:Projected from Arabidopsis thaliana (AT2G24765) UniProtKB/Swiss-Prot;Acc:P40940] MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHSILEEDELKGAVVLIYANKQDLPGALDDAAITESLELHKIKSRQWAIFKTSAIKGEGLFEGLNWLSNALKSGGS >SECCE4Rv1G0263200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:715161168:715162580:1 gene:SECCE4Rv1G0263200 transcript:SECCE4Rv1G0263200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTPPPLPPPQLHLRVLDTVRLSPAPQPPASSQPLSGLDADRNVLDVSFRTVRFFPAHPVSLDPLDVLQAAFADALGLFPQLAGSLLRDDGRVVLSGTGEDAVALVLAATELSVADVDADRPDSPLLDRLAPGDSDGGPAALALQVTRFTCGGVALGMRVAHALCDGAGSTKFLVAAARFARGLKPEAAAEPVWDRRELLGPRNPPRVVTPFDAVLATDDDVAQLGSYGAASDGQGHERLARGCFHVSDARVEALRARLAANAGVKFTTFEVLAAFIWRAKVKANQTAPDETVKMVYSMNISGLLDPPLPEGYWGNVCVPVYVALAAGDLVGRPLAETASLVRKSKRGVDEEYVRSYVDLQELRRGEGGVTAGRRGVSAFTDWRRLGHSEVDFGWGAPDAVLPLSWRLLGSTQPCFLLPYGAGDERRRRGFKVFVAVPEEAVPRFREQMDEILWQDDHTASYTSVGKL >SECCE6Rv1G0404460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:517656148:517657311:1 gene:SECCE6Rv1G0404460 transcript:SECCE6Rv1G0404460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTEKEREKQEQLGRAGSGAAYQRSPTDKPPFTLGQIKKAIPPHCFQRSIIKSFSYVVHDLVIVSALLYAALVWIPTLPTVLQLGAWPLYWIVQGCVMTGVWVIAHECGHHAFSDYSLLDDTVGLVLHSWLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKEALAWYTPYIYNNPIGRLVHIVVQLTLGWPLYLALNASGRPYPRFACHFDPYGPIYNDRERAQIFISDVGVLAVSLVLLKLVSSFGFWWVVRVYGVPLLIVNAWLVLITYLQHTHPALPHYDSTEWDWLRGALATMDRDYGILNRVFHNITDTHVAHHLFSNMPHYHAMEATKAIKPILGEYYQFDPTPVAKATWREAKECIYVEPEDRKGVFWYSNKF >SECCEUnv1G0530590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13701053:13701652:1 gene:SECCEUnv1G0530590 transcript:SECCEUnv1G0530590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHQRREPGTEVTLREFTEADAEALFAWASDPRVVFFQRREPYARVDEARRYILDHVLPHPWYRAICLGADAVAVGSISVKPCCPVREGEGEGAPRASLGYRVAHGYWGRGIATRAVGMAAAAAFAEWPWLLRLEAVADVENPASQRVLEKAGFVREGVLRRYVLLKGRRRDMLIFSLVREDLAPKLPVTEPFELNKE >SECCE7Rv1G0494280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:580845410:580848025:-1 gene:SECCE7Rv1G0494280 transcript:SECCE7Rv1G0494280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MADHAADEEEPPVLLDRAARATRGKRITKLVEEEVELDEAFWGQEALKEDEEDDNYQEEQDAGDVFDSDFDEDEPQPDDDPEKEVSERLPIKKRLFFPGKTMKKMKAKKKKKKNKVIKLEDDEGIDDKNPDKTTSSKQSDVPDEWESEKTIRKSTRTSVIVRQAEREAIRAEKQATAKPIKKRKEGEEKRMTQEEMLLEAAETEIMNMRNLERVLAREEEVKKKAVVQKAVYEGPTLRFHSRDGESRLEFINGASFGSELCTTSTPYPEKPVCVVTGLPAKYRDPKTGLPYATMAAFKIIRESFLKEEPDKKRPNISNMGELFESVAGEHSTPKKKRIEARSPISGDLRHGGRFRRIPVLDVMDED >SECCEUnv1G0557620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:325652910:325657036:-1 gene:SECCEUnv1G0557620 transcript:SECCEUnv1G0557620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALARAASLLRRAVASPPQLGAIGRDAPLLAKILPNVYYNGYSTLMAPANEVLIPPELLSSKTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGCQFRGGATMHGPKPRSHAFKLQKKVRRLGLKIALSARTAEGKLCIFEDLEVPSHKTKNIVQYIKQMDDTKKILLVDGGDIDKKLKLATQNLHYVNVIPSIGLNVYSILQHDTLVMTRDAINRIVERMHTPISR >SECCE2Rv1G0071560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:45482813:45485783:-1 gene:SECCE2Rv1G0071560 transcript:SECCE2Rv1G0071560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKARRQASLKVRRRVGKYELGRTIGEGTFAKVRFAKDTESGDPVAIKILDKAKVQKHGLVEQIRREICTMKLIQHPNVVRLHEVMGSKARIFIVLEYVTGGELHDIIAAHGSLKEDEARRYFQQLINAVDYCHSRGVYHRDLKLENLLLDTAGNLKVSDFGLSAISEQAKADGLLHTTCGTPNYVAPEVIEDKGYDGALADLWSCGVILFVMLAGYLPFEDDNVSALYKKISGAQFTCPSWFSDGAKRLIRRILDPNPSTRITIPQLLKDPWFKKGYKPPVFYEKYQTSLDDVDAAFGDSEEKQVKEEMEGQPASMNAFELISLNKGLNLDNFFEADKKYRRETRFTSQCPPEEIISRIEEAAKPLGFDIQKKNYKMRMKNLEAGRKGNLNVATEVFQVAPSLFVVELKKAKGDTLEFQKFYKTLSTQIKDVVWVCESEAEERGST >SECCE7Rv1G0463310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:51985883:51989716:1 gene:SECCE7Rv1G0463310 transcript:SECCE7Rv1G0463310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGERRILAGLLLLALVVSAHCLDGGGHHGPRLKRRRKKREIHSPVKTVVVVVMENRSFDHVLGWLRPSRPDIDGLTGSESNRLNASDPASPEIFVTDKAGYVDSDPGHGFEDIREQIFGSADTSAVPPPMSGFAQNARGMGLGMAQNVMSGFAPGSVPVYAALADEFAVFDRWFASVPTSTQPNRLFVHSATSHGLTFNARKDLINGFPQKTIFDSLDEDGLSFAIYYQNIPATLFYQSLRRLKHLVKFHQYSLRFKLDAARGKLPNYVVIEQRYFDCKEFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVVGVPQPDGIIGPDPYYFKFERLGVRVPSFLISPWIEKGTVIHEPNGPQETSQYEHSSIPATVKKLFNLRANYLTKRDSWAGTFENYLKVRKTPRTDCPEKLPEVTKSLRPFGANEDKSLSEFQVELIQLASQLNGDHVLNSYPDIGKTMSVGEANRYAEDAVSRFLEAGRIALRAGANESALVTMRPALTSRAAMSTGLSSEL >SECCE4Rv1G0257520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:670733548:670738168:-1 gene:SECCE4Rv1G0257520 transcript:SECCE4Rv1G0257520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEARKGLMERERERDQFPVGMRVLAVDDDPVCLKVLEVLLRRCQYHVTTTNQAATALRLLRENKDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKSVLKGITHGACDYLLKPVRIEELRNVWQHVVRRKFSNREPNNLDFCKEISRDSYHRLGQATCDSHRSSDQSNRASKKRKELHSEEEDEGEDNDDASAASKKPRVVWSVELHGKFVAAVNQLGLDKAVPKRILELMNVEKLTRENVASHLQKYRLYLRRLSAVASQQASIAAAFGGRDPFLHMGAFEGLHSYHQPFAPSAAHPSFNPHGLLSVAGATAFGLQDLASPKGISCAGDTNKFHIVSLQDNQHAELTQGLTASSSLGQPRLQQEWIRQEANDLRSVFSGGGLANTMSGTLQRITGSSLPPEDLLECTPHIKVGAHLSIGIQTTSSGLLERSRQGALPVGDGFSVDKLPLHVSFDGAGATKLDASFVAGEQGMDQKGIFSERMTVSVCPSEHIASDSLIAASSGRCDTDRHSKYLQLGVASNSSHRMDGMRQEQRLSNGDFSYDAGAILPEHQQTDTDDLGTPKLQGGFNSSSCNFDGLLSSIIKVENPHVMTILPL >SECCE6Rv1G0445130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:835213411:835214541:-1 gene:SECCE6Rv1G0445130 transcript:SECCE6Rv1G0445130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYLIELLRDHDVPKYRTQNAWSKEAWTSIVGKFNQRFDVSYTAVQVKQKEQDLKRDFKAVKDLISESGFGWDRDRKMVVAPDNVWAALEARKNKDALTWRGRSFPYYEDLFALYDGRYAQGRSCHGMDYYARKSAQLSQLPTSHSPQLQGPEPDLHTPTPTIHAPGDSSMQFDIEEDSENTNWFSSNNTLSQVEANFGQGNDLALHAPRDEAIPISSQHVGQTLHEIPQVVHRNPRPSSSAPEVTSTKRAKKQKTTSIDDFHERYLKLRREEIDRYAAIEEKKLKDPFSIKKCIRALERLEGLSMADMLKAADIFTANKENREVFLSFSSNELRLGWLTGKVRNT >SECCE3Rv1G0144110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3205656:3209687:-1 gene:SECCE3Rv1G0144110 transcript:SECCE3Rv1G0144110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISEMDVDRVVGYFKGKSILITGSTGFLAKVLVEKILRVQPDVKKLFLLIRASDVESAKFRIQNEIMGKEIFQVLKEIHGLEFHNFVKEKICPLAGDVMHENFGLDTVKLREVSKDIDIIINGAATTNFSERYDVAFDTNVLGVKHICEFAKKCTKLEMLLHVSTAYVGGEKEGLITEKTFLMGETLRVGTHLDIEIELNLIKDTKRELRASSSTEKAQRKTMKELGLKRAWNFGWPNTYVFTKAMGEMMMGHLRGDVPVVIIRPSIITSTIKEPLPGWIEGIWSVNTLILGHAKQTVPFFVINPDLTLDMIPVDMVVNAMIAAMAAHSEDQHAQIIYHVTSSVRNPTPSALVINSMHRYFLENPPCKGRNGERVRLKKMRIFSTLAQLRLYTTIKYELPLEMLRLVSIALCGVFSRRYNELNRKYRSLMHMIELYAPLVLFKGCFDDTNLERLRMAMNKDDQINNGAYSLDFDPKSIHWVDYFYGVHIPGVLKYCV >SECCEUnv1G0528210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3462487:3465024:-1 gene:SECCEUnv1G0528210 transcript:SECCEUnv1G0528210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWENDDFQPIAPVVKAQPLKSNWEDEDVDEDDVKESWEEEEEKPKPQPVEKPAPKPSAKAAVKKGKQPSTSAEVVEDDVLDDPISEKLRQQRLVEEADFKATAELFAKKDGSEKSLETFIPKSESDFAEYAELIANKIRPYEKSFHYMGLLKNVMRLSMTPLKGADAKEISSSVTAIANEKIKAEKEAAAGKKKGGAKKKQLHIEKGEEDFVARPGASFDDPDEFDFM >SECCE3Rv1G0213410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:960700110:960702284:-1 gene:SECCE3Rv1G0213410 transcript:SECCE3Rv1G0213410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAALVALAAALGNMLQGWDNATIAGSLLYINRDFGLHGQPALQGLLVATSLIGATLITTFSGPLSDRVGRRPMLLASSLLYALAGLLMLWSPTVAVLLLARLVDGFAVGLAVTLVPVYISETAPPEVRGLLSTLPQLMGSTGMFLAYCMVFAMTLAPSPNWRVMMGVLVLPSLLYVAVAVLFLPESPRWLVSKGRMKEARTVLRMLRGREDVDGEMALLAEGLGTGGDTAIEEYIVGPAPEEDDQADQAGAAVRLYGPERGMSWVAQPVPLGGQGSMLSMGMSRQGSLLGSIAGLSRMGSMLDHLQDPVVALLGGLHDMKPAADGNNNTLFTNFGSMLSAHGGMDWDEENAAPSDDDDKIAAGGARVDDGGLRAPLLDMRTQSSMNGSGIGMGQTTSTMGIGGGWQLAWKWTEGVAPDGTRQSAVQRMYLHEEPGGDGQHVHAAALVNQSALYSSTTNDQLQQDDPITPMGPAMVHPASAPAVEQPRWRDLLEPGVRHALVCGVAIQILQQFSGISGILYYTPQILDQAGVSVLLASLGLSSDSAAILISGLTTLLMLPAIAVAMRLMDVAGRRRLLLWTIPVLIAALASLVTADVLPLATTLHAAVSTTSIIVYICTFVMGFGPIPGILCSEIFPTRVRGMCIAICSLAFWLSNIAVTYSMPVMLHYLGLAGVFSIYAAVCCVALAFVALRVPETKGLPLEVIAEFFNVASKGMPKLDHDE >SECCE7Rv1G0515500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839699158:839700240:1 gene:SECCE7Rv1G0515500 transcript:SECCE7Rv1G0515500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPACTNVTHAARLVQLLKIQGFSATKCKQGSSYDSNYSYIPSRWTVDGYEWEVRIYPAWKPGMGSDILWVALTISCCSKPRESSVDASLACRLVDPSGELEPSQEGSAAETFSIYSYYPRQCKDLVFLRRRDDLAGYLKDDTLTIECAITVLKPLPVPRIPAEEVPVPSSNLHQHLGEILQSKMGSDVTFLVCNESFRAHKSILAARSPVFKAQFFGDMREKSSRRVEIMDIEPEAFGAMLHFIYTDTVPDVEKQEEASTVMAQHLLAAADRYGVDRLKLICEAKLSCSITVDTAATTLALAQQHNCSQLKTKCVKFIVRTPEVLDAVLATEGYKHLEASCPLVLTELLKSAHGSNAEA >SECCE6Rv1G0447720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:851261925:851270434:-1 gene:SECCE6Rv1G0447720 transcript:SECCE6Rv1G0447720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEYESYMHGMEQELPALGLKRLKEMIKKCQAVPCPPQLHAPADDSAASSAAASAMVVVSGGSSRCSGAGRHCYVCDESFFPSLADELSAIVRCFNERAERLLKLHLACTGWKKYLMCFTDSSDKTHEALIRQGKDLVTYAIVNAVAMRKISKKYDKKCRSKQGQDFRTKARSMHIEILESPWLHELMAFYINLRRTDDSAAMELLGDLSLTFDDDDKPTLSCSLFDSLRIDIDLTCSICLDTVFDAVSLSCGHIFCYLCSCAAASVTVVDGLESAGRGAKCPLCRRAGAFPDSVRLNQLNILLRNSCPEYWEKRMQTERVERVRLAKEHWERQCRAFMGI >SECCE4Rv1G0293490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885942726:885943367:-1 gene:SECCE4Rv1G0293490 transcript:SECCE4Rv1G0293490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDCPADCGDGDCDCLCCCLCDDRSRGSGHTPHRCLLILLLLLLLVAILVAAYAFVLPVRIAVEDASLARLALAGPNGTALAYDISLAVAVHNRNWAMHARVGAPLDAELLFAGERFASVRMRGSSRGNIRPWKTEVYNVAASGESAAPLGSAGVAEFVKESAAGGVFRLQLKLTGEVKYPPHGNAHRLEATCPLELPLSSPARFKKIKCV >SECCE2Rv1G0068440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:23176226:23176771:1 gene:SECCE2Rv1G0068440 transcript:SECCE2Rv1G0068440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRAAMAKAQAEVRNNLQGKPSVTEDDLTDLKYMRLVIKETLRLHPSAPLLLPREPTKACKVLGYDVPKGTTVFVNTWAICRDPKHWDAPEEFRPERFESGEVDFKGTNFEYTPFGAGRWICPGMLFAQSSMELALAALLYHFDRELPAGGELDMEEQMGIAVGRKNDLYLLAKVLVPLN >SECCE2Rv1G0105070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:614164464:614169208:1 gene:SECCE2Rv1G0105070 transcript:SECCE2Rv1G0105070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVSWYGPLIDLSAAAGHVGGFVQLLASVRRVLPHQEQNAATGRTYHRVILEVGDDTRSSFSVSLWSNNTTSTIVAGDVLLLQNIKIVEFRNGLEGRAAQMSAVQILMNSKDLVQPEGIDEFIINCKVGNATRSKLRRVSEWIVRTKRTHAENHQQVVSKNWKERMKNESADFLSISELLPQSKPCNLNISASIGKIVLMGSLGPETKVQLSVIEKHSLNRHNDIVRDIITTGCKLCGLPLYQKNLHGDSTYPIDCPDNPKYLHVVGQIYKPFMIYVQDQTGQVPVLVKNKVAEALFSNISADDVSECYNSRHCMLVDTCESGQSSTSGMLDGTGKTGIVKRKRTKRKLDFHLIWFILIKCLLNQGNNSPFCFQISVNPGKNVEDGRFELISLTMPIP >SECCE4Rv1G0248180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:568947177:568948985:1 gene:SECCE4Rv1G0248180 transcript:SECCE4Rv1G0248180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDNSQTNGGAQEQRAMEEGRKEDYDQGCGLAISLPFVQKIIAEIFGTYFLIFAGCGAVTINKSKGQITFPGVAIVWGLTVMVMVYSVGHISGAHFNPAVTFAFATVRRFPWRQVPAYVLAQMLGATLASGTLRLMFGGRHEHFPGTLPAGSEVQSLVLEFIITFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPVSGASMNPARTVGPALIGSEYRSIWVYVVGPVAGAVAGAWAYNLIRFTNKPLREITKSTSFLRSMSRMNSVSV >SECCE2Rv1G0074080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73525674:73526240:1 gene:SECCE2Rv1G0074080 transcript:SECCE2Rv1G0074080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDEKETTMVFEKLFKFTGPNLKHLLERPSVEGPDPEPGRYCLRLHKNRVFYASESLVRRATAVSRTRLAGVGTPIGKFTHGGAFHLTVHALDLLAAHARRRIWLKPDTERSFLFGNSVPKSALARITENTKSGDGVVVMSMADVPLGFGVAARGAQDCRKADTNAVVVLHQSDAGEYLRKEEELM >SECCEUnv1G0559450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:338418919:338419685:1 gene:SECCEUnv1G0559450 transcript:SECCEUnv1G0559450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE2Rv1G0116490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:765056409:765058652:1 gene:SECCE2Rv1G0116490 transcript:SECCE2Rv1G0116490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRISLLSLLPFLLLATAAEATGGELSTFIVHVQAEENRVFGTADDRKAWYHSFLPEHGRLVHAYHHVASGFAARLTRPELEALSAMPGFLSATRARTYTTLTTHTPEFLGLNVEQGRRNYTSEFGAGVIVGVIDTGIFPDHPSFSDDGMPPPPAKWKGRCDFSGTSCNNKLIGARNMVAALNGPNGTSARVPPVDEFGHGTHTAGTAAGAVVPGANVLGHAWGTAAGMAVRAHIAVYKVCYGNVVVDCEDADILAAVDAAVGDGCDVISMSLGGPSVPFHLDAMAIGTFGAIEKGVFVSMAAGNSGPGESTVLNEAPWMLTVAAGTMDRTIRSIVQLGNGAHFHGESLYQPDVGFCPLVSAGASGKPLAEFCGNGSLDGVDVKGKIVLCELTKNISVINQGEVVESAGGVGMILASPFFRGYDKLAQANILPASSVDYLAAAAIKSYLNSTPNPVARMGFRGTFLGTSPAPSIIFFSSRGPSRQGTGVLKPDITGPGMNVLAAWPFQVGPPSAPVLPGPTFNIISGTSMSTPHLAGIAALIKSKHPDWSPVAIKSAMMTTADITDRSGNPILNEQRVAANLFATGAGHVNPMKAADPGLVYDITPEDYIGYLCSMYTSQQVSVIARRRITCSTTVVISDRLLNYPSISVAFAASWNSTTPVVVRRKVKNVGEVPSVYYAAIDMPSSAVSVDVFPRELEFVEEGQELTFSVYLWPRQSGARVVQGALRWVSEKHTVRSPISITFA >SECCE7Rv1G0499750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:656650349:656651833:1 gene:SECCE7Rv1G0499750 transcript:SECCE7Rv1G0499750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSYSSSPLHVVICPWLAFGHLLPCLDLAERLASRGHRVSYVSTPRSIARLPPVRPAVAPLIDFVALPLPHVEGLPEGAESTNDVPYEKFELLKKAFDGLAEPFSEFLGAACADGGKKPDWIIVDLYHHWAAAAANEHKVPCVVLLLGAATFFSAWIGRSSEHAAAGQELSAAQPPRFETEKMKLMSTQRDSGMSMGERMSLTLERCNLMVIRSCLEWEPESFPLVATLAGKPVVPLGLLPPSPEGCRGVTKDGEDATLIWLGTQPAKSVVYVAFGTEVPLCVEWVHELALGLELAGTRFLWALRKPNGVADADVLPPGFEVRTRGRGLVVTGWVPQVSVLSHGAVGAFLTHCGWNSTIEGILYGHPLIMLPIFDDQGPNARLMEGRKVGVQVARNENDGSFDRDGIATAVRAVAAEEESRRVFVDNAKKMQEIVADSEVHERCIDGFIKQLRSYTE >SECCE4Rv1G0270110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:752582111:752583454:-1 gene:SECCE4Rv1G0270110 transcript:SECCE4Rv1G0270110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPPATPPAAAEPPPPPPPTPGADAPSPPTDAESPPPLPEAAAPAAPLPDADAPPPQAQLPDGILEDIFLRLPTAADVARAAAACASFRAITAALPFRRRFRALHRAPVLGFLREDFYAARPPHPSAPAARALAQAADFAFSFLPDDAACWSPRDARDGRVLFSAVPSSHGRGSFADATSTTFVDLVVGDPLSRRCVRVPPVPDDLAGPVLRCGMLDFEPFLAPASAEDLRDEDAPFRVIGKVLCEDRVAVFAFSSRTGEWRSHGFSELSNDFALDALYERRHYVQGCFCWLLEWMEKLLMLDAGAMEFSIVDLPPGNDERRFAILEAGQGRIGLLNIGRNTLDLYYKAWPGKRNGEWQHETKEHPLPDYHWRIIGSDEEYLLLRGISLDWPWFGSASSESPDIEYFALELKALQLERMYVSKHKMLHAHLYRGYPPQISAPTL >SECCE7Rv1G0496510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:613338412:613339571:1 gene:SECCE7Rv1G0496510 transcript:SECCE7Rv1G0496510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDAGCYYLGGGGGNDWDLNAVVRFACRGRVLPPPPSDDPFSSFFPPPAPVVEDHGMPDAAALLPDPPVDGASAAVDELSIAFFAPSALLPASPQPPQQQPAAPTVAEMVPMQQDEAVPPPMLLTAGGQGPVGEGSRSKRKKKVVKKVVKRVAADGTSADPWAWRKYGQKPIKGSPYPRGYYRCSTDKACEARKMVERCRDDPNSFILTYTGGEHSHPAPAHRNSLAGTTRNRQQAPEPAAGQSAPAARTKEEPAAAAAAEPSPGQSTSGGMSPTTPLLTLSMEEEYNQDEELDEVAGGASQPPKDVEKARENELMKVFEQCVHGGDSGIVVEETFVVTPWVTAPGGAAGWS >SECCE2Rv1G0102650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:580493786:580495435:1 gene:SECCE2Rv1G0102650 transcript:SECCE2Rv1G0102650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRAWIHLIKPKAQGGLGFRDLRLFNQALLARQAWRLLTSPDSLCARLLKARYYPHGNLEDTVFSSAASVTWQAIQHGLDLLKRGLIWRVGNGRAIRIWRDSWIPRNGSGKPVTPQGRCRLRRVSDLLDNHGAWKMDLVRSIFLPVDADVIATIRTSPRMGEDLLAWEPERNGNFTVRSAYRFALEDRLRFSSVAASRAPDGRRAVWAFIWRCPAPPKVRMFTWRLLTDCLPTWVNKRRRGLERLVQKVTTAPTTPLRWSKPSTGWAKLNVDGSFQATNGEAGAGMVLRSDTGDIIFSSCRELRVCTDPLEAELHACMEGLNLALQWTPLPIAMETDCSEAFHAILELVLVRREQNGVSHYLANYGRVHKRTVVWLGSGPEEIPDLYKAEALSV >SECCE2Rv1G0066510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14489985:14490323:-1 gene:SECCE2Rv1G0066510 transcript:SECCE2Rv1G0066510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEDAFLVPAILWLIRGILESLTMGGELDAWIRRAGLADDAEGLRSEIKRISTTVSAASSTREGRPATAALSEPSLAALREALYDADDVVDDLDYCRLQQHVLQRGTVNR >SECCE1Rv1G0014310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:112092914:112093390:-1 gene:SECCE1Rv1G0014310 transcript:SECCE1Rv1G0014310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHHESLADGRDVDGPASWTEIRQRMSRDLILRHEEARMRAAEAEAAVNGDHWEWDDDGAYTVIHPASLKAMLAMETPKVGETTEPGCAVCLEGFVAGGKKLRKMPCSHSFHQRCIFDLLHRSRFCPVCRFEMPPRSADEPDPAAEKAAASEQVGSS >SECCE1Rv1G0056230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:685860112:685862838:1 gene:SECCE1Rv1G0056230 transcript:SECCE1Rv1G0056230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNFGDSMEWGRGRSSSSSSGSGSGSRRRKRAAAVDKPRQPQRGLGVAQLEKIRLQAEMAEYQHHPPLVGQPPPSSSIHATGGSFNLQEDARSSLNYPLSSSPSSSFHASNTNVSSTYPFHPNLVMAYNGWRSGDMRYGESPTTPIIRSASDYYGATTYGATHYPHSGSNHTLPLFEPELDLNQMATVDSSSASTNLDGVDLELKL >SECCE3Rv1G0172990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:312849924:312850298:-1 gene:SECCE3Rv1G0172990 transcript:SECCE3Rv1G0172990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVEIVEQVLKTEVKQSTFLRNVGLQSSRNNSGKARTGVAAHVRDLEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEKLAHLMALFGAKVV >SECCE6Rv1G0441160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:809504804:809506111:-1 gene:SECCE6Rv1G0441160 transcript:SECCE6Rv1G0441160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAHALLLPLVLAALLHPTTATVDDATPGLSGGFSLRMVPSPSWNSTIHVDDDGFLHLKEPVGHDTTALRPHVRFFPGAYSVVTTVGTGHGRHTYTLAMEMTSSLTWMQCAPIQRPFQQVPPPFHPRTSPSFRHLAPTNRLCSPPGHDVCKFRVTPIRPGGVHATGVLGDETLSFTGSAPAVFPTVVIGCAHGSTGFNSHGVLAGVLGLGKTYPSLVPVLLQRHGLHRFSYCLFVPGSANRHGFLRFGNDIQVDTRQMKSTRILYPEDSSYFVSLSGISVGGTRLGGNLAEVFRRRQLAHGRWHSGTVIDVGTSRSVMIEAAYHVLEQALAEHGRRLGLPVRRTSSGLCFRATQSHFSQLPTVTLHFEQDLVLTPNRLFVVNEQDICLAVSPSQDITIIGSMQQLRTRFVYDLAASRVYFARENCNDDTGEHE >SECCE2Rv1G0128420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:860131153:860132844:-1 gene:SECCE2Rv1G0128420 transcript:SECCE2Rv1G0128420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEKKLLKKTNFLLWKREGGHREAAVTQRYSLVDRDDYKKYNGICLMAQKLVNIIKQMDPRDPFRIEMTDMLIDKLYNMGVIPTKKSLVKCERLSVSAFARRRLATIMVKLKFAEHLKEAVTYIEQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKKKIMEYNGALDDYDAMI >SECCE6Rv1G0403680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:508949054:508953571:1 gene:SECCE6Rv1G0403680 transcript:SECCE6Rv1G0403680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT2G42210) TAIR;Acc:AT2G42210] MEDDSPATKTVKGAVTGLAAGTIWGTIVATWYDVPRVERHVALPGLIRTLKMCGSYGVTFAAVGGLYIGVEQLVLSQRKKHDFVNGAIGAFVSGATVYGYRGKSIKSALIGGSSLAFTSAILDVGGNTTRVDNGKAYHAYTTEKKPEPAH >SECCE5Rv1G0341160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:621985775:621987763:1 gene:SECCE5Rv1G0341160 transcript:SECCE5Rv1G0341160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAPNSSSSSSSAAAAAVAAAAASGNGVQGGDRPEDPSKQKLAQVTASIQRTLGLLHQLNLNVSSFSSASQLPLLQRLNALVAELETMQKLADGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKSLRKHLLEELEEAFPEDIEAYRQIRATSAAESKRLAQSQNVLPNGDSNVKAEH >SECCE2Rv1G0104100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:600666522:600670070:-1 gene:SECCE2Rv1G0104100 transcript:SECCE2Rv1G0104100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESVAAHEVSVDWRGRPCEPGRHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSEAANVVTNFVGTIFLLSLLGGFLSDSYLGCFWTMLTFGFVELSGFILLSVQAHLPQLKPPPCNMASMDGSCEQARGFKSSIFFVALYLVALGSGCLKPNMIAHGGDQFSGSGGADNAKSLSTYFNSAYFSFCLGELVALTALVWVQTHSGMDVGFGISAAAMAAGLISLVSGAAFYRNKPPQGSIFTPIARVFVASFSKRKQICPTNPGNAGIGEPARLAGSFRHGNKFRFLDKACVRDAAQQQGANTKPESPWRLCTVSEVQQAKTLLAVTPIFACTIVFNTVLAQLQTFSVQQGSAMDTVLGGAFRVPPASLQAIPYAMLLLLVPAYELLLVPFMKRLTGTRSGITPLQRIGVGLGTVAFSMVAAATVERRRRDLSASGAQMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQACAGMQSFLTALTYCSYAFGFYLSSVLVTTVNRVTARHGGAGWLGDNDLNKDRLDLFYWMLAALSVLNFFCYLICARWYNSGADGSDAASAQIAAEGDAKEIS >SECCE2Rv1G0071420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:43930909:43931895:-1 gene:SECCE2Rv1G0071420 transcript:SECCE2Rv1G0071420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDQRGLRSEEAAGEEEMLRRGPWTVEEDVLLANYIAANGEGRWNALARRAGLKRTGKSCRLRWLNYLRPDLRRGGMAAEEQLLILELHARWGNRWSKIAQHLPGRTDNEIKNYWRTRVQRHAKQLRCDVGSQRFRDLVRGLWIPRLLERIHADNNSSGDGDVIAQATAAALPVAADGRCWPVDDVFDLGPGAAADVELSCTTALSSSSSVSTDGGGVQLVPPPAPMMATAESAHGSVISSAAMHDTALWQPPQTQTHGGLMMAQEQQHHHQQAESQLLGAETWWSDQTSLQTGLYADVGFPDLEFGGETMWGACADDLWCTDMLGQ >SECCE2Rv1G0100890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:549426388:549428292:-1 gene:SECCE2Rv1G0100890 transcript:SECCE2Rv1G0100890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAARRRQELAAEGQRHLEETIASAFQILSSMNDELCNPALWSSSATATAASAASQHPHHQNAAPPPPHSADSDADALGGAAGGSGGSLDEARHRYKIAVAALRASIAAVSPSTQEMGPTESKGDQAEIERLEEHATSLRKEIETKNKQLKLLIDQLRDLISDISMWQSPCSV >SECCE1Rv1G0018810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:207560880:207564888:-1 gene:SECCE1Rv1G0018810 transcript:SECCE1Rv1G0018810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGVAGFRRHIGQLLSKSNGFIAASLSAASCADEAEKAEGVGCGDDAAALRLKWIAMAAILVSGVMGVGLPLAGRKRRTVQTGSAVFVSAKAFAAGVILATGFVHMLHDAEHALSSPCLPAAPWRRFPFPGFVAMLAALATLVLDVLVTRFYETKHRAEVARVKADAAAALAGASTSASDEDITVVTVVEGEHKAPLLQAHSHSHAQSHGHELVQPEPREGEVSDHVRSVVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKNLSAVMMASFFAITTPTGIAAGAGLSSFYNANSPRALVVEGILDSVSAGILIYMALVDLIAADFLGGKMTGSPRQQVMAYVALFLGALSMSSIAVWA >SECCE4Rv1G0290770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874047651:874048359:-1 gene:SECCE4Rv1G0290770 transcript:SECCE4Rv1G0290770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTQPPPKTVLRVAAISGSLRRASANTGLIRAAAEICRESIPGLHIDHVDISELPLLNTDLEAGGGFPPAVEAFRDRVRAADCFLFASPEYNYSISGPLKNALDWGSRPPNCWADRAAAMLSASGGSGGSRSQYHVRQVGVFLDIHFVNKPEVFTQAHLPPKKFDADGNLVDAETKEQVRRLLLSLQAFALRLQGKPADSF >SECCE2Rv1G0089470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:257691661:257699707:1 gene:SECCE2Rv1G0089470 transcript:SECCE2Rv1G0089470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPAT9 [Source:Projected from Arabidopsis thaliana (AT5G60620) UniProtKB/TrEMBL;Acc:A0A178UCY8] MSAERWCPLVYEPPPRCTSDPRTINSLDVPRLIAPNLTPVAPSPSLPRRHPRRRRLAGVGRWEEMASSLDAPNLDDYLPADSLPQEPPRSLTLRDLLDISPVLTEAAGAIVDDSFTRCFKSNSPEPWNWNIYLFPLWCLGVVIRYGLLFPLRFLTLVLGWMAFFTAFFPVRFLMNGKNRMKSKIERKLVEMMCSVFVASWTGVIKYHGPRPSSRPYQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLKDREVVGRKLRDHVQHPDNNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWFLEPQYLREGETAIEFTERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRMFAESVLRRLEEN >SECCE2Rv1G0080460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:130023531:130025877:1 gene:SECCE2Rv1G0080460 transcript:SECCE2Rv1G0080460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPVEDDGECGLVAAAAEQEQEQATFLKASLGSGKLRIEGSLSFKRLQLVEPKISIATPDAVTAPVPVPVPMPRELLRTRFADAAVAAAAAPESPKHEAAAVTLQKVYKSFRTRRRLADCAVLVEQNWWELLDFALLKRSSVSFFDIERQETAVSKWARARTRAAKVGKGLSKDEKARKLALQHWLEAIDPRHRYGHNLHYYYDCWLRCESREPFFYWLDIGEGKEINLEDRCPRSKLLSQCIKYLGPKEREDYEVVIQDGKLMYKNSKQILDSSGGPRDAKWIFVLSTSRNLYVGQKKKGTFQHSSFLAGGATSAAGRLVVEDGVLKAIWPHSGHYRPTEENFQEFQAFLRENKVDLSDVKMSPAEEDEEFWSRLRSIPTERCTDADKPEEELVPAAETIPCETPQVTETTPEEVSQHQETGKDHSQPEILTRLDSSKGAVNIEKSTTSVTEDHREDSDDDHSSVPSEKIIGRANSYRLGKQGSFKWTTGAGPRIICVRDYPPELQHRALEEVHLSPRRSGRATSRFSSPQRGGSPMARGCEPLTPREAFHATHLQQGLLISL >SECCE3Rv1G0169690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:239936601:239936975:-1 gene:SECCE3Rv1G0169690 transcript:SECCE3Rv1G0169690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVRECEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVVVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE4Rv1G0218870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:25007203:25009946:1 gene:SECCE4Rv1G0218870 transcript:SECCE4Rv1G0218870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARRDIEAAGAGAGAGQDGSPAAKRGKPDAAAAAAAAAVARPTLTRTEALAAAAVLALFVAGIFCIFLAAPRREFGQILRLPRSLADVRLLKDNLAVYASEHQANFVLGYCSIYIFMQTFMIPGTIFMSLLAGALFGVIKGGVLVVFTATAGASSCYFLSKLIGRPLVCWLWPERLRYFQSEIAKRKDKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLIGLIPASYITVKAGRALGDLRSVRELYDFKTLVVLFLIGSVAVVPTILKRKRTYE >SECCE7Rv1G0526140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894074374:894077397:1 gene:SECCE7Rv1G0526140 transcript:SECCE7Rv1G0526140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMLAIRPLLPKLGSLLAGEFTLEKRVRKGIDTLDKELKLMHAALSKVARVPTEELDEEVKIWAGMVRDLAYQMEDIVDAFVLRVGNGSANPKNRVKKLLKKTGRLFKKGKDLHRISDALEEVVGQAKELAELRQRYKLETLDAGAGASVDPRTMAMYTDMTELIGIEGPRDELINMLLDGDDWSKNPLKMVSVVGFGGLGKTTLAKMMYDKIKVRFDCSAFVSVSQNPNMKKILKDILFELDKYKYSDIYNVEREEKHLIDELIEFLGEKRCLMVIDDTWDEIAWDFIKRAFSKNSIGSRLIMTTRNVSVAETCCSSSQDIYHMKPLCEDVSRRLFYRRIFSNEKECPHELVEVSEHILKKCGGIPLAIITIASLLSNNRQMRTKDQWDAVLHSIGRGLKENRNVKEMKKILLFSYYDLPSYLKPCLLYLSIFPEDHLIMRSQLILKWISEGIVYSEEEETSLYVLGDSYFNELVNRSMIQPIGIDDEEKVKYCRVHDMVLDLICSLASEENFVTILNGTKRKFSDSQSKVRRLSIQNSNVEVATISMAQVRSISVFTTDDVNQLYKVSSSHVLRVLDLEYCPSPDTWIFLHLRQLRLKGYRGKELPMEIGKLWFLQVLDIGETSIKEIPSSIVGLTRLMYLYLGRGVKLPYGIGNLTSLEVLLGLTVGKKGVSSDSCNHHLVQELGNLTKLRVLEIIWGALDESIAKTLVESLSNLHKLQALDIRGDKGGHFNVMREGWVPSQQLRRVFITDCSLWTLPAWINPSLLPFLSCLVITVSEVRPEDIPLLGVLPALRTLSLSVDGFWSPEMRVIAGMEKSVVTADAFPCVEVCSFGGIAIAPSIFPQGAAPRLKELNLCFPARWIGRGDIDLGMGHLPSLKEVSVNLLRREASDEVVEEADAALRAAAEHHPNRPVIVIY >SECCE5Rv1G0300130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:22917707:22923016:1 gene:SECCE5Rv1G0300130 transcript:SECCE5Rv1G0300130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04850) UniProtKB/Swiss-Prot;Acc:Q9M0Z3] MAAAAVAGCFPARCRCASSPRVTAASTSVAACVLQPRRRSRAPSLPRLGLGEAAARTTMVRTTRKGRRAVAFRVRAGVGVEIASAVEVINDLGFDTLTFLGVTVLVVPAFRVVKASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALAKFAFGIGLPQVLLSTLAFAAFELPPNGAIGTKILQFLFNSRPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELATRFGSATLGILLLQDIAVVPLLVILPVLESQNVVEQSVWPMLLAESLKALGGLGILSLGGKYLIRRIFEFVAESRSSEAFVALCLLTVSGTSLLTQWLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLIREWPNVLSLLAGLIAIKTLITTALATRVGLTFQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNDLGRKAAGIIDERSETKEKPAEEANYGATEPIVILGFGEMGQVLAKFLAAPLSFGLERDTEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPAVMQSAGISSPKAVMIMYTGKEETVESVDRLRQAFPAVPVYVRAQDMSHLLDLRKAGATDVVLENAETSLQLGSMLMKGLGVMSDDVSFLSKLVRDSMELQAQEALNNSEDRETTTMESLQVRVSDLVESNGNGSGMIPREQSLGLSSRPEVRVIKATPENPMPSLSTKNESEDGVKYCLLETADGEETVEPPAEARR >SECCE7Rv1G0477480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:220932990:220934393:1 gene:SECCE7Rv1G0477480 transcript:SECCE7Rv1G0477480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g59600 [Source:Projected from Arabidopsis thaliana (AT5G59600) UniProtKB/Swiss-Prot;Acc:Q9FGR2] MRGAETSSWMKQLTSSSRQGRHGHALHLFFTRLSLQASIAGTVAPYPAAVPTALRACAHLADAASGRLIHALVLTRPALASDKVVATALLDMYAKCGLIPSARKVFDEMPARDLVVWNALLAGYARHGLPEHALVLAVKMRGLGLSPDLVTWNAAVSGFAMAGDGWMASDLVSAMQEDGFRPDVVTWTSLVSGSVLNFQYGRARTLFREMVAGGARVLPSSATISSILPAFAGVGDIKHGKEVHGYAVVTGVEQELTVSSALVDMYAKSGLVHEACRLFDKMSERSTVTWNSMIFGLANSGHCREAVGLFDRMPGEEATPDHLTFTAVLTACSYGGMVETGEALYQRMREEHGIVPRLEHYACMVHLLGRAGKLVEAHDFIRAMPVEPDCFVWGALLGACRSHRNVELAELAASRVRAVEPENAASYVLLSGALADAGKQNDVLKIKRLVKRRRLKRLDGCSWLETS >SECCE5Rv1G0301650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:32889299:32892570:-1 gene:SECCE5Rv1G0301650 transcript:SECCE5Rv1G0301650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSSEIFLGGRARARRRLAPLLAAAAFAYLVFVSVKLSGLGSWEDAADIPRPETAAAGGELVQRGALERAPRGRRAAGGGATVTGYGRITGEILRRHEALGGGSRRRWGLRGNFSELERMAAEAWALGAKAWEEASAFSGDVDAIISGEGAAVKCPTSLELGGGGEGETVAFLPCGLAVGSAVTVVATAREAVAEYVEALERSGSGNGTVMVAQFAVELRGLRASEGEDPPRILHLNPRLRGDWSRRPVLEMNTCFRMQWGKAQRCDGTPSKEDDHVDGFPKCEKWERRDMADSKETKTSSWFNRFIGRAKKPEMTWPYPFLEGKMFVLTIQAGVEGYHINVGGRHVASFPHRMGFTLEDATGLAVTGGIDVHSVYATSLPKAHPSFSLQNVLEMSDKWKARPVPEEPIQLFIGILSATNHFAERMAIRKTWMQYPAIQLGNVVARFFVALSHRKEINAALKKETEYFGDVVILPFIDRYELVVLKTVAICQYGVQNVTAQYIMKCDDDTFVRLDVVLQQVSTFNRTLPLYLGNLNLLHRPLRSGKWAVTFEEWPELVYPPYANGPGYVISIGIARDIVSRHANQSLRLFKMEDVSMGMWVEDYNTTTIDAPVQYIHSWKFCQYGCVDNYFTAHYQSPRQMLCLWDKLSLGRAQCCNYR >SECCE4Rv1G0216670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12169240:12169998:1 gene:SECCE4Rv1G0216670 transcript:SECCE4Rv1G0216670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIPRAFSSLIAQFPANIRSLPAPAIAAALNPHKMPRKAARGATAAASKKSATAAKKKSAPAAGKKAVPIATKKPAPAAGNGKKAAPAGSKYWLLKTEPGEWSWTDQAGAPGGVGPWDGVRNHQAMNNLRAMRRGDRCLFYHSGAGAASRRVVGVVEVAREWYEEGGEGEAAAGGAVDVRAVGEFRRPVALGEVKKAAAAGEVEGLKDFALIRQARLSVMPVPVAVWDWVCEMGGGFVQDGEVGEEAAAEG >SECCE7Rv1G0478860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:242255492:242259200:1 gene:SECCE7Rv1G0478860 transcript:SECCE7Rv1G0478860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHALLTVPHATAGARLAAPLFTPAATGPSHCRANCRSGSLANQQQRLRHLHPAAAATKPGAIGSAAEAAAAPAEGLVRSLQGVEVLDLSGKVVPVVDLWKDRKAVVAFARHFGCVLCRKRADLLAAKQEAMEAAGVSLVLIGPGTVEQAKAFSDQTKFKGEVYADPDYSSYRALEFANGLFSTFTPSAGLKIIQLYREGYRQDWELSFEKNTRTKGGWYQGGLLVAGPGIDNISYIHKDKEAGDDPEMEDVLRACCS >SECCE6Rv1G0432500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:752247014:752247894:1 gene:SECCE6Rv1G0432500 transcript:SECCE6Rv1G0432500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSAEEARVKRAKTVLKVAAISGSLRRASANTGLIRAAAKMCEESIPGLQIDHVDISDLPLLNTDLENVDGNAFPAAVEVFRARVRGADCFLFASPEYNYSISGPLKNALDWGSRPPNVWADRAGAIVSASGKSGGKRSAYHIRQIGVFVDIHFINKPEGFVSAHHPMSKFDDDGNLVDLETGELLRKVLLSLQAFALRLIHGNSAANSAHGS >SECCE3Rv1G0196500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:809014304:809016562:-1 gene:SECCE3Rv1G0196500 transcript:SECCE3Rv1G0196500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPNTPSPGAAFAVGSFPGAVSEDSMFPRPPPPTPKGHMPLASTADAGLTALFDSMFVSPPVPILRFGAPSRLNIRSSATPLVSLDSPDLVSLRAAGNVGYFHLVGHGIPSELPSAAIAELSRVDASALRASFLSTLGFSVQDEEVHAGAEPVMVFDVDEQGMDALPAALEYARLMREVGMQMVGLFSGSGEVGFGEKPFAEEGGRKARCLVWVSKVGSGEPAVPPAAGDAKAYPYVLALHCEWEQGAGASSWVMNDSGEWKAVGARDGALLVTIGDIAQVWSNGKLKKVRGMARPTLAPSSDGEEGGEPARLSITVLITLPLDSIVSPLLPLSGAGEEDGDLEEKKKEVSEDGDGDGCSFLPISLESYAWGLYHDQLESKDPLAPYRI >SECCE1Rv1G0054190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673532911:673534116:1 gene:SECCE1Rv1G0054190 transcript:SECCE1Rv1G0054190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFAGVSVLAGGELCPSTETSVVTSTNCGYHLLVVQDYSLTKQAKRTGMSICSRPFMVGRHEWCIEYYPNGQNKSCADFISLYVNLLNDDDDATERVVKAKFEFSFVDEAEKHKPMYISGTKTLSFRGESLRGYAKFMRKDVLEQSANLMDDCFTIRCDIMVCGTEDAGVNKVLLSEIDQHLNNLLRTNVGADVTFEVRGEMFAAHRCVLAARSKVFMAQFFGPMKEGTAASSAIQIKDMEAKVFRALLSFIYTDSLPLLEEDNMEEDQGETVEEGREEEAAEDEMAEVVEQGEEEDETELQWLQDLLVAADRYDLQRLKFICEKRLCDRIGVTSVASTLALAEQHHCRGLKEACLKFIQVQSPLCLQKVMATDGWEPLITTYPSVLNELIAKLAANQK >SECCE4Rv1G0220930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:43227938:43229190:1 gene:SECCE4Rv1G0220930 transcript:SECCE4Rv1G0220930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMVMDEPSFFHQWQSDATLEQYTEQQIAVAFGQGEMEAAALMQQQQYAAAAAGEHRPRKAARANTSWDSCVTEQGSPADSSSPTILSFGGHADAFAKAPPAPTAAYYGAASGVAPVKPKQEVDAGGVASFQQVKRSYDAMVAEPARAPSRPAAQNQDHIMAERKRREKLSERFIALSKIVPGLKKMDKASVLGDAIKYVRTLQEQVKGMEEVARRRPVESAVLVKKSQLAADEDDGSSCDENFEGGDAGLPEIEARMSDRTVLVKIHCENRRGVLVAALSELESIDLAIMNTNVLPFTASSIDITIMATAGEDFSLSVKDIVRKLHQAFKSSP >SECCE2Rv1G0069900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31787946:31789727:1 gene:SECCE2Rv1G0069900 transcript:SECCE2Rv1G0069900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGASSCLVGPVPFKNAVSRDDHHHHIVSPPEEYADIISAMPTTTIGAGYLMRQCQGAWLPHQRVPGLLTFQRRFTPRPGDVLLASPPKCGTTWLKALSFATMARAAYPPSDDGHPLLRLNPHDCVPLVDDLFSAGQEAKLDALPSPRLMNTHLHHSLLPPSIAQNPGCKIVYVCREPKDMLISVWHFVKNFATANGSMYRFSDLFENACEGKHVNGPIWDHILGYWRASKASPERVLFLRYEEMLRNPVGKVRELARFLGVPFSLSEEAAGLPANIVKLCSIETLRGVDKAGASGIFVKFPHASFFRKGVAGDWVNHMTPEMAKRFDGIVEEKLHGSGLSFKSCISCMAF >SECCE2Rv1G0097230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:455779460:455779870:1 gene:SECCE2Rv1G0097230 transcript:SECCE2Rv1G0097230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRQAGGAALCANGCGFFGGAATSDLCSRCYKERQLLDVVAFDDAVMSGLRSLTITLTRAGGEEEGTPSSTKKRCGACQRKVGLLGFVCRCGATYCSAHRHADAHGCFFDYRAAGREQIARQNPLVVAPKMARI >SECCE1Rv1G0016010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:133435489:133436274:1 gene:SECCE1Rv1G0016010 transcript:SECCE1Rv1G0016010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHMRSMTATFLLVLFSLSAAAPSARGQAVAAAPAPAAAAPKTIKAVLTKAGQFTKFLQLLQSTQEEEQIDTQLKGKASTGAGLTVFAPPDNAFTALKSGTLNSLSDQQKTSLVQFHVVSQLLPMAQFDTVSNPLRTQAGDTGRGKYPLNVTSDGGGRVNISTGVVNASVDGTLYTGDRLVVYQVDKVLLPWALYGPPVPAPAPSPAADKDKKKAGPVAVADAPVADTATASAAAPREMRGLGGGLCMVAVAVAAAWYGM >SECCE6Rv1G0435960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:775206952:775213458:-1 gene:SECCE6Rv1G0435960 transcript:SECCE6Rv1G0435960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDSKEMLQRAAESAIRSIGLGYDVAADVRLKFCKQRGSPDPSLIELDRDGTQDIVLPGSLTTVAGVPKSIKCDKGERMRFRSDVLSFQQMSEQFNRELSLSGKIPSGLFNSMFEFTGSWQKDAASTKSLAFDGWCITLYTVALSKAQIVLRDHVKEAVPSTWEPAALARFIQKFGTHIVVGVKMGGKDVIYLKQQHSSSLQAVDVQKRLKEMSDRRFLDANGQSDISFRDAYGKEDKSDRREQRLRFVESSPLNSYASKEDLVVLPRRRGGRDKDMISHSEWLSSVQSEPDVISMSFIPITSLLNGVPGSGFLNHAINLYLRYKPPIEELHQFLEFQLPRQWAPVYSDLPLGPQRKKQSSASLPVNFIGPTLYVCTNMVDVGKRPITGLRLFLEGKKSNKLAIHLQHLCSLPQIIQLEDDPYNNRTPEPFDRKYVETIGSWKRFSHVCTAPVESEDASIVTGARLEVISQGFKKTLFLRLHFSKVVNAHSVRQPEWEGSPNLIQKSGLISTLISTHFSTAVQKPMPRPADVNINSAVYPGGPPAPVQPPKLLKFVDTTEMVRGPQDLPGYWVVSGAKLHLERGKISLRVRYSLLTAMLPDDEDYALDDEF >SECCE6Rv1G0396540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:368482780:368484618:-1 gene:SECCE6Rv1G0396540 transcript:SECCE6Rv1G0396540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFKMSSWSKANAGGGHGNMASGGGEIEWEVRPGGMLVQKRDGGQAEEVIMVRVSTGFSWHDVSIGATRTFGELKVMLSMVTGLEPREQRLLFRGKEREDTDHLHMVGVRDKDKVLLLEDPALKDMKLRAARAVAAQVAQSPRQPFIQV >SECCE1Rv1G0033360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:466625064:466629401:-1 gene:SECCE1Rv1G0033360 transcript:SECCE1Rv1G0033360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGASPDHYGGGGGGGSIRLVCEICGAADEYNPEDAEDGFFSCRQCSAVHTSTQATAADPDDFQATGNMSFHRVSQANKTPKSRTPAPYPTPYPTRQVPAETEADFEEPRDFVIGAEAWGEPEELGARVRWRYVQGLQLILQQQLEVLVKRYRVGALVCGLAGTIWVRWVANSKVFDEIWARKVLAEDEAAKRLKSSAHGGEQKPQEVKCEWVDEALPRKDRRRVEFVFVRSLRTMLPLYSTLSVCFLACHIAREAILPTDIYRWAMEGKLPYMAAFTEVDRLLRSSVKHCPLSARQLFRPVRVIGTWQLEAAAGSIAQRIGLQLPSVNFYAIAQRYLNELSLPIERILPHACRIYEWALPAELWLSSNPLRVPTRVCVMAILIVALRVQYNINGQGIWEEICETGSNADGSDPDANLPPPKRPEGDTSEEFGTRELLCTLASAYDKIDVAHDYSKDLHSYLKYCKDIVFPGIACSVEEDHLIEIFQDMYKGREDGNPKARMEETRTTNGVMNKRCRDGTSVGARSFSTSSSGMQRIKLEMEDHGFCYLPPRKWLRSNGYLHYRRKTITGSLVCIGHADYYVLIRSFAKLAEVDVRVLHTSVLKLERRLAWIEERIGRSLDALQNPPIS >SECCE7Rv1G0502520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:697096348:697098326:-1 gene:SECCE7Rv1G0502520 transcript:SECCE7Rv1G0502520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDEERPLLNQHPQDECSRYTSDGTVDFYRQPALRQSTGNWRACFFILGAEFSECMCFFAVAKNLVTYLTTVLHESNVDAARNVSTWIGSCFLTPVIGAFLADTYWGRYSTIAIFLSVYIFGMLILTSSAALPFVLPRSSDESGGVHPAAVYLGLYLVALGTGGIKPCAAALGADQFDGADPKERVAKGSFFNWYYFSINIGSLLAATVLVWVQDNIGWTVGFAIPTVLIGFGLAVFVAGGRIYRYKPLGAGGSPLARVFQVVVAATRNCRLELPDDSSALHENDRGEHTRQFTFFDKAAIVLPSSEKKGPWRLCTVSQVEELKTLLRMSPVWASLLIFFAVTAQMSSTLIEQGMAMDKRVGAFVVPPASLSTFDVISVLVWVPIYDAVLVPLARRVTGEDRGLTQLQRIGVGLALSAAGMAYAALIETRRLAAPATSMSIMWQVPCYFVLGAAEVFTSIGMLEFFYDQSPGSMKSLGSALALLAIAGGNYLNSLLLGAVASTTGWIADNLDQGHLDYFFWFMAALSALNLLQFVHCSTRYKSIDQH >SECCE6Rv1G0383090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:49960407:49962506:-1 gene:SECCE6Rv1G0383090 transcript:SECCE6Rv1G0383090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSLVVVLLVCLVSPTSSCTEQEKSSLLQLLAGLSRDGGLTASWRHDTDCCTWEGVTCNKDRKVTDVSLASRGLDGPISPFLGNLTGLLRLNLSRNLLSSGLPLNLVSSNSILVLDVSFNRLTGGLGELPSSTPVRPLQVLNISSNLFTGRFPSTTWEKMKSLVVPNASSNRFTGQTPTTPCVSAPSFAVLELSFNQLSGNIPPGLSNCSALKLLGAGYNNLSGTLPDELFKVTSLEHLSLPNNRLEGALDGISKLTNLVTLDLGGNELSGNIPESIGDMKRLEELHLEHNNMSGELPAALSNCTNLVTIDLKANQFSGQLTKVNFVSLSNLKKLDLLSNNFTGTVPESIYSCSKLTALRLSYNPFHGQLSEEIGNLKSLSFLSLSKNSLTNITRTLQILSSSRSLTTLYLGFNFLHETMPEDVSIDGFKNLQVLYINHCSLSGKIPDWLSKLSNLGMLFLQGNKLTGPIPEWISSLNFLFSLDISNNSLTGEIPSALMEMPMLESDNTAPKVFFELPVWNKNQFLQYLTPSAFPKELNLAMNNFTGMIPEKIGQLQGLFSLNLSSNRLFGEIPEQICNLTNLQMLDLSGNHLTGKIRAALNNLHFLSRFNISNNDLEGTIPNVGQFSTFPDSSFGGNPKLCGPMVANHCGSAEASSVSIDPIKQIGSEAIIFMTAFGVFFVVGVLYDQKVLARHFG >SECCE7Rv1G0483770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:327907949:327911775:1 gene:SECCE7Rv1G0483770 transcript:SECCE7Rv1G0483770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKDVGILAMDMYFPPTCVQQEALEVHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTVVKSLLEKYHIDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALLNCVNWVESRCWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQYCAKYEKLVGEQFSISDADYCVFHSPYNKLVQKSFARLYFNDFMRNCSSVDNDAKEKLQPFANLTSEESYQSRDLEKGSQQLAKHLYDIKVQPSTLLPKQIGNMYTASLYAALASVIYNKHASLNGQRIVMFSYGSGLTSTMFSFKLNEGQHPFSLTNIASVLDVTAKLESRYVTSPEKFIDTLKLMEHRYGAKDFETSKDTSLLPPGTFYLTKVDSMYRRFYEKKTDGVVDGKIKCSNGIANGH >SECCE6Rv1G0437960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786772425:786773466:-1 gene:SECCE6Rv1G0437960 transcript:SECCE6Rv1G0437960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQRIGRQYYEEPRGFRDGPPPRLARERSISPRRFEGELSSRRGEIHRIRDDNQHLVDEIVGLRQAMSRLKEDLHSSSQVIPKLRAEKDLESRELTQRNLKLEAELRSLEPLRQDALQLRSEAGSLESLRQELNAKVQGLTKELEQQSSENQRIPAMIAERDNLRQELIRARADLDYEKNAKPELMAQVQAMEKDLVSMAQESEKLRAEIEKRRAPPPRVSGYGAYGPPPGTGLKGMYDGGYNTYTDRRYGTGAGPWDPPSYPRP >SECCE2Rv1G0099610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:524367049:524368694:-1 gene:SECCE2Rv1G0099610 transcript:SECCE2Rv1G0099610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGMRQSRVRFSGIGHEDQGSGQAMAMPPQQQRGTPFGRGEEYDAAYAATVAAVAYAIAAMEEDKLPSQQKPIPEKTASRQKRVTVHEPTAAPPPRSPPRRGESMKRPTEGSKISRLFTGNKEIVEVGDEDEQGANVSVRRPVKPAPRKPGGSTPGQNVVGKVVESVPNLKGDPSFTRKTPDKKRSRNFEQEEANRKARPEVNPTTSFPGERKQSWKHEQEPANQRAPPAARPPGMVYSSEAERMAAAWEKEKLAKIKEQYNKTMETIAEWETEKKAKARRLKEPKEGDSERKQAKALEEYNDEMKRISKVAAASRLSAEDKKRNAEGKVWEKAAKIRSTGKLPRSCGCF >SECCE6Rv1G0447070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:848369824:848371946:1 gene:SECCE6Rv1G0447070 transcript:SECCE6Rv1G0447070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLMCRSWLHASKVPELWRAVVMTRPPDTLEGTNAFLCAIAKVSSELRPAVPIKGVDDPWCAMVKVDDSLCAMAKAAVDRSGGRLQKFVARDFGTEELLEYIADSNINFHIACMSPSLKSLGLMQCHDISEKGFMDLIVKFPQLEELVFIECHNISHDDKENRDVYDAISRACPQLKLFVLAHPGYFLQPDDSYGFDDGDVLGIATMKQLRHLSLDCVDINNTELVSIIDSCPYVEHLCMRYCYNIVADEALRAKCARIKTLKLKPMADETASNDCCFVFF >SECCE3Rv1G0148700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20036449:20036847:1 gene:SECCE3Rv1G0148700 transcript:SECCE3Rv1G0148700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMKNNIQALCLVVLLVMSSTLWSCEATARNQGTTGLWTSCSTFRACQKPTPNNVAMCKLSCEWRGYNFEESYCHLNISCCCVW >SECCE6Rv1G0381360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:32464826:32465941:-1 gene:SECCE6Rv1G0381360 transcript:SECCE6Rv1G0381360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSRRFLYLVVDTHRFFSSPRYTLHRIDPSHLFYTNGAADRAASAPAVDTPLPEPTMGFSSSQDMQFTLLGHRMDKILGMDCKGRGILYEDDTHTVRPMPSMLAPERYRPLSIAVDGVGPKDEEGSLYVIEAEPTRDLPGQPQSVEALVYEGGRSWDQKDDWVWRSLPPPPYVHDLPGLGTDELSGEITCHGMDGNRVVNGSLVWVSTAGRGTYWLDTGSSMWSKASDWALPFRGRASPVWEYGLWFGFSAVDSGHICATNLYLSVEENRPPVAEQVWEGFAVPEGCREMGSYLMYLGNFRFCVAKLFRITTRRQGGGCCCCWDVPNEKCFATLTGVEVVRGDHAGKWHRVIKHRSLQYYLGEYFSGCVL >SECCE2Rv1G0071860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:47837034:47838260:1 gene:SECCE2Rv1G0071860 transcript:SECCE2Rv1G0071860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASASGDSASTLCASTPTTCHVMKIQGYSWMRKSNPNSHGVSSPSFRVGVGTRWHVGFYPSGTTPETTGFVSLKAVLDATYAAIKAVVAFDVLDHAGNPVPRYARTSGEVLHEFAGAGAYWSCDDFVERAELERHLLKDDCFMVRCSVTVIEVLAYEHEYDPPRSVVVVPPSDWPQSFRRLLRSGEGADVSFRVAGETFAAHRCVLAARSPVFRAQLYGAMKESAQECVQIDGMRAEVFRDLLHFVYTDSLPEEEEEEEEEPEEDARDVVAMAQHLMEAADRYSMERLKLVCEDRLCRRMDVSTVATTLALAEQHRCQGLKDACFHFLINSPTILDEAMATDDFDHLSKTCPALLKELMSKLAAHSSTLTDKVARLSADKKRLVSACTNNSKQKKRKLVTDARI >SECCE6Rv1G0399950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:445250231:445251064:1 gene:SECCE6Rv1G0399950 transcript:SECCE6Rv1G0399950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLNPASEASEAALDSIRQQLLEEPTAPARPAYCRSTSFGSLVADQWSESLPFRPNDADDMVVYGALRDAFSCGWLPDGSFAAVKPEPLPSPDSYDGSYLGSFLVSPGPVTLDEVAWTEEAEVAATASRGKNFRGVRQRPWGKFAAEIRDPAKNGARVWLGTFDSAEDAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAGQKRPSPQPASPESPPPSSSAPGSSKRRKRGEAAAASMAMALVPPPQAQAPVQLTLPAQPWLTAGAVQQLVS >SECCE5Rv1G0355460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731756758:731758413:-1 gene:SECCE5Rv1G0355460 transcript:SECCE5Rv1G0355460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKVAITSMSAKASERTYRCSDDSQMAYYNNSVPLAENGRFYMMQNHLDVVHYTSSDDGSQKISSNPQVFEAQYCTLESSSANGVYPTQSSTSSHSISPISGSPLSQNDCHSYHTYDSPPTVSCVTEIPDFQSKLKELENAILGPELDIASDSPESLLQANHPLNQDNWRQLLGINAGDLKQVIIACGKAVAANDMYATELLISELGQLVSVSGDPMQRLGAYMLEGLVARLSSSGSKLYKSLKCKEPTSSELMSYMHLLCEICPFYKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWITIIQALAARPGGPPCLRITGIDDSNSAYARGGGLDMVGSRLQSISASCGLPFEFNAVHAASHEVYIQHLDIRPGEAIVVNFAYQLHHTPDESVGVENHRDRIVRMIRSLSPKVVTLVEQESNTNTNSFLPRYLETLDYYTAMFESIDVALPRDDKRRISTEQHCVARDIVNLIACEGAERVERHEVFGKWKARFAVAGFRPYPLSSVVNNTIKTLLNSYHSCYRLEERDGVLYLGWKSRVLVVSSAWC >SECCE6Rv1G0398850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:426758398:426758970:1 gene:SECCE6Rv1G0398850 transcript:SECCE6Rv1G0398850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPALAITNSTPNRLLYKSIVRYTTNSNRRGPANRSRSRAIGGSPPRSTASKNQEGRLRSMKRHQEESRGHLLAGTTSRHDAADAEAPAPITKGCATFWVGAEEEGEAPRRFTVPVALLGHPLILELLGEARDKYGYAHEGAIVVPCGVERFQKAVDAARAQERYRHHHHHHFSLPHLAGCFRPSHAVA >SECCEUnv1G0554930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:293819553:293820776:-1 gene:SECCEUnv1G0554930 transcript:SECCEUnv1G0554930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSCLVDDVSSGASTGKKASPAPAAPATKPLQRVGSGASAVMDAPEPGAEADSGRVGRLPSSKYKGVVPQPNGRWGAQIYERHQRVWLGTFTGEAEAARAYDAAAQRFRGRDAVTNFRPLAESDPGDAAELRFLAARSKAEVVDMLRKHIYPDELAQHKRAFVASAESSATSSSSDPASSAPSAAATRREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQQLPSAGSAVSGECKGVLLNFDDAVGKMWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDAVGFYRSASGNNQLFIDCKLRSKTTTSTTTFLNEEAAPSPAPVTRTVRLFGVDLLTAPAPEQEEYGMAKTHKRSMDASVAAPTPAHAVLKKRCVDFALTSPQCPRSRDQLEGVQAAGFTFAL >SECCE5Rv1G0317100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:336502188:336511394:1 gene:SECCE5Rv1G0317100 transcript:SECCE5Rv1G0317100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPAQPLQVADRTPAEDPPAVAPSAAPLAGEVMEEITEASAAAGLGEEEPPAAVPVAVTSEPKAEETAGGTTTEQESIVLALVSEGKMDVDDCCTEDADHAAAPVASETKTVVDGITIQEQERALATGVKMEEEDQQPTQPAGARQVKEEEGECLVGRYISQTADDGTSIRLGKVASYDTSIGTYSVVFENGQSEELGHPQLQELLMTEENGASGMKVSCRKRKLDLVVSSGTATVLNGPPTTRQKSTLFDAVHVSLLRALRRQLETKSSEGSELASNCLKYLDWSLLDALTWPTLLLEYLYVMGCIKSLGGKSFARSLLALEYYKLPVTMKLRVLQILCDHAIDSEELKTELEAREAYNEELEYEMDSSNFSEAGSRVVLTRPSRVSACKKVEDSQNLEAAPNVTSPEAVVANASLDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGLNKAFLPQGLWFCPECVVNKLGATSSRIERGARGAQMFGIDMCERLFLGSCNYLLVTGTSLNAESYASYYNQYDVVKVIQLLALSDAYTAICRRITEYWPHLLDVFQNERSKSYAVQCNTLLSATPSEAVDGSVRSILKDGGESKTAVFSEVDVQHKFVANQFTVCSADQLEEQNMVTSVDGTENNNLQTSLAQNDVHTTPINGAFGSSGLSSVSHQNGSMVAGLSNITHAQPAYPLIRTDMSTSFSGMKDNAMSREDIGSAISVKADSSSASQNKHPFGNVIGGKAAKLSSFKPQAYMNLYNHGNIAASAAANLAVLTSDEGKVAASQVITNPRKKMAADCALQVKAFSSAAAQFVWPCTEKKVMEVPRDRCGWCLACKSSAIGNKKACFLNLATANASKGSARIFSAMHVIKGSESHFPSIVAYLTNMEESLRGLLVGSLQDMQQRQRWHKQLQEASNCRTIIPLLLELESNIRGIAFSASWLKLMDDWPVKSPGVSAGPSRSAAYQKRGTGGRRGRKRLLASESSTVTDDDKSWKEVNWWNGGNISKCILQKGVLPSSAVRKAARQGGKRKIAGLSYHETSNFPRRTRQFAWRACVGLSYNSAQLALQVRYLDAHIKWKEFIPPDQIPSDGKSSDADHSAFRNAVVCDKKVVDGNIRYALQFSNQKHLPVRVTKNILEAEDNQDENGKLWFSEIHVPLYLVRDFEQKAGVSSSPSPGIIISNSFTNFYQRRVKATIGDIFFYLFHKGDVYPCSSCEKDVAFRDAVKCSSCQGNCHKECTSGSVGSKGGNTAPNLMCKLCFQKRNLTQTKMNANSALSQQKSNGQLPVTAPKIIFTVRSAHSSEPAMNVAAKVEAQPVVKVETQPTKVGTQTIMKVATQPIPKAESGPVTNVATQNIAGVQVQPKAKTKKPKAEKPKKPKKVQVITYFGLVWRKHKNDIGGEDFRANDVILKSKDGIGSSIKPACCLCNKPYSPDFMYVRCERCQKWFHGDALQLEEERIAEVVQYRCCRCRRRAIPQCPHSDDYREPEPEVNEQTATMSSQSTMLSGEETFAIAVQDPLLASYGIVEPIGEESMNADLSMNMGSLMPGSNQKLSIRRAQVKNSEYLDQAGTSVNGYYGQNQTPGDANINFSHMNEFSMSEADGVDASELLGWDFTQGNGYAAGPDQGTNSQWNDTSGGSIVAEEYEPQTYFSFTELLEADDTQFDNTFGMSTGLQDDGNFAGSFDQQGASFDELTFMVEEESSNMHFPANDPSIDELVCHKCKDPQQPPDLKCSSCGLRVHHQCSPWQESEQPGDSTNWRCGTCREWQ >SECCE4Rv1G0260400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:695425895:695434457:-1 gene:SECCE4Rv1G0260400 transcript:SECCE4Rv1G0260400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLNPFSSGTRLRDMIRAIRASKTAAEERAVVRRECAAIRAAISENDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAASGFPEKRIGYLGLTLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQTRDPNTKKKAALCSIRIVRKVPDLAENFMGSAASLLKEKHHGVLISVVQLCTELCKASREALEYLRKHSVEGLVRILRDVSNSSYAPEYDIAGITDPFLHIRVLRLMRTLGQGDADCSEYVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMAVDTLAVQRHRVTILECVKDADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEVSDDDFKEDLTAKICSIVEKFSQDKLWYLDQMFKVLTLTGNFVKDDVWHALIVLISNASELQGYSVRSLYKALQACGSGTQESLVRVAVWCIGEYGEMLVNNISMLDVEEPITVTESDAVDALELALKRYSVDVTTRAMCLVALLKLSSRFPPTSKRIQTIVAQNKGNTVLELQQRSIEFNSIIQRHQSIKSSLLERMPVLDEASYLVKRAASSQATVSLTKSAPSAASGGSLKVPNGAVKPPPAPLADLLDLSSDDAPVTTSAPSTAPNDFLQDLLGIGLTDTSTTGGAPSASTDILMDLLSIGSYPVQNGPPATSNISSPGQVTKHAPGTPQAIDLLDGLSPSTPLPDANAAYPSITAFQSATLKITFSFKKQPGTPQETTMHANFTNLTSATLTNFMFQAAVPKFIQLRLDPASSSTLPASGNGSITQSLSVTNNQHGQKPLAMRIRISYKVNGEDRLEQGQISNFPAGL >SECCE1Rv1G0001200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4265964:4266994:-1 gene:SECCE1Rv1G0001200 transcript:SECCE1Rv1G0001200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVKVYGAARSWNIARVLLILEEASVEYEVVAVDFAATEHKSPAHLSRNPFGQVPVLEDGDFCLWESRAITKYVCRKYKPELLGVGNQELEGSAMVDVWMEVEAHHYSPIMDAILMEVRIRPIFGQRVDEMAVEGNIEKLKKVLEVYESRLSNSRYLAGDFISLADLNHVSTMLCLGVTAYGSVIDAYPRVRAWWDDLRARPTVRRVSDLMNPSAKNDPK >SECCE1Rv1G0016690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:140830694:140832486:-1 gene:SECCE1Rv1G0016690 transcript:SECCE1Rv1G0016690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLLRRCCNCGDPVTMSYLGGHGSAAAAEGGEQPPSWVSHLAAADFSKPCSRDRVCDTCGGAAFCGHCCEEHHRGHVTSPAPTEDDATGHRRDSFCIGCRVAFCSELCAHHGVGHEIIPVDEFEGWHCARCTGSERWFPVFTGVQTFQDNEGNLLVPLHRKPAAVAKLVEGGPCPPPWLFELVSADFAKTCARDRVCNTCGGAAFCGHCCGEHHPGHNTTASIPEEKDGSEVTVVHRRDSFCTGCRVAFCSDLCAHHASGEDHEVIPIDEFLEWHCVRCTGSEWWFPALHYVLMLAFGDEHGNLFVPFQWNGEVEDGEELPSWMTHLVTADFSKMCTRDRVCNTCGGASFCDHCCGEHHRGHDTSAATTNETDGSVAPAGYRRDSFCIGCGLAFCSELCAHHAGGEGHEVIPVDVYGDRHFLRCTGSEPWFASAFGDIETYEDKDGNLMVPGERKRSMIPEPGLRYATRHCGGTTVTPAAANPLDF >SECCE7Rv1G0497720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:629882430:629882666:1 gene:SECCE7Rv1G0497720 transcript:SECCE7Rv1G0497720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFNGSFIFPECILIFGLILLLMIDFTFDQKDRPWFYFICSTSLVISITALLRQ >SECCE5Rv1G0349670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:685861917:685866566:-1 gene:SECCE5Rv1G0349670 transcript:SECCE5Rv1G0349670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASASASPSSGAGSSGVAMACVVASEVATVLAVMRRNVRWAGVRYGGDDGGGAEEEHLDHPLIAGLKSLRRRAAAWGTRWPEADPLLCLRPFLDVVRSDETGAPITGSALSSLHKLLSLDLVAPGGGAAEAMGAVVEAVTGCRFEVTDPASEEAVLARVLQVLLACVRGRAAPALSNRHVCAIVTTCFRVVQQAGTKGELLQRVSRQTMQEVIRCVFGRLADIEPTAIVNEQIGKNQDLGAEELGNGKSDYVCLNSSGDEVGGGFGDVQDKDMMEPLGVPCMVEILQFLCSLLNIAEDMDVSQRMNGIDYDEDVPLFALGLINSAIELSASSIDRHPKLLAFVQDELFHNLMQFGLSMSPLILSTVCSTVFTLFYHLRQELKLQIEAFFSCVILRLAQGRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQCSNIFEDLANLLSRSAFPVNSPLSALNVLALDGLVSVIQAIAERTDHAHQHHGQTVPEISEYFPFWQLKCEGSHDPDQWVRFVHQQKNIKRKLMVGVEHFNRDKKKGFEYLQGVHLLPEKLDARSVALFFRYTPGLDKNLLGEYLGNHDEFSILVLHEFAKTFDFEDMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPHMFVNRDAALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLSELFYSICRNEIKTIPEQGAGCSEMSYSRWVDLMWKSKRTSVYIACDSYPFLDSDMFPIMAGPSVAAISVVFDNVEHEEIITGCIDGFLSVAKLAAFYHLDDVLNDLVVALCKFTTLLNNSYADDPVIAFGVDTKARMATEAVFTIATTYGDHIRSGWRNIVDCILRLHKIGLLPGSLTGDTGDDQESSSDSLPSKLGSYAVAPQALPINTPKKTYGLMGRFSQLLYLDTEEPRSQPTEEQLAAQRNALETVKKCQIGTIFTESKFLQADSLSNLARALIQAAGRPQRITSSLDDEGTAVFCLELLITVTLNNRDRIVLLWQGVYEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITLEVTRLVKANATHIKSQMGWRTIISLLCVTARHPDASDAGFEALVFIMSEGAHLSPANFIVSVEASRQFAESRLGSAERSIHALNLMADSVNCLTRWSREVKEAGGEAERILEGIAEMWLRLVQALRKVCTDQREEVRNHALLCLHRCLVVDGISVSSSAWLMSFDIIFQLLDELLEIAQSYSPKDFRNMEVSLLHAVKLLCKVFLQSLKDLSAQSSFSKLWLEVLDMIEKFMKVKLRGRRTEKLHEAIPELLKNILLVMKANGVLSKTSASEENTLWEATWLQVNKVAPSLQPEVFPDNESDPAAEGEQSKLESSAQEGQTAEQ >SECCE5Rv1G0356200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:737669265:737671360:-1 gene:SECCE5Rv1G0356200 transcript:SECCE5Rv1G0356200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNPPPKPWERVGTSSGPAPFKPPSGGSTSDVVEASGTAKPGEVVSAAQSNAAFNVNNTVAGPVPPRPWQQQGYGNTYGGYGANSYNSYGGFGGAYSNGGLYGNNNMYSGYGGGYGSSYGGSGMYGGSMYNNGMGNPYGGMGMAPYNQGPNSFGPPAPPPGFWVSFLQVMHGIVNFSGRVAFLISQNTQAFHMFITALLQLCDKSGMLYGELARFTFRLLGMKTKPNKGRIQGAQAPSSDGPGQQFVEAPKANKNSWENVWSGDAKGM >SECCE3Rv1G0189740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:730240142:730241471:1 gene:SECCE3Rv1G0189740 transcript:SECCE3Rv1G0189740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSELPQRASPRAPLHLKTTASSEANGAHHRLLVDRSSPKVADRHSPRSPLPEKKRAGTRVAELETKLGKVQDELKKLREQLVSAEAAKKDAQVALEEAKKHVGTKGSPKPATSPPSPAPLPVEDEKKTEEVKVVEEPAAEEEEQEEESSINSPATDVFEVVRTESGDKENQSALAAEDSEEVSCGDKAALAEEDVEVEETKTMIEEDSKDSAAAAIEKENPEVVELKAQLVAKEMEVAVLTADNAELKKQADEAAAAVKRADEEAVAKAFLIEQELKENAAREARMGEQLRASEAAREALDAEMRRLRVQTEQWRKAAEAAAAVIGGDAHLVGHHGNGSNGWGSPATMPDDGDDEGFGSKRKGAGIRMLGDLWKKKGNK >SECCE2Rv1G0109600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:678867106:678868101:-1 gene:SECCE2Rv1G0109600 transcript:SECCE2Rv1G0109600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLAAILASVLLAAAAMADPSPSPAIIDATCTVFAKTCAYPSYDYCVNVLSTSDPASAASAKDEHDLAIIAANATAHNVTHAVDLINDLSYELTRCSERYARDMGDKVASALGDIVAGRKPGDKLLDAYNIGPSNCTLAVTLRWGYSKDLLAHENTANAALVLLARNIARLVPNSNRTASPAAIMNSTCSSLRYNMYPGYDYCVNVLSSDPSAASARTTRDLAIIAVNATAHNITRTVKLIQGLLSDLTECKESYGRMGSTVDGALGDLIAGRAPAGAAGKLGDAWNDALSCDMVMSKRRGAAKNVLHQENWQNFVSARFASNIAFLEDY >SECCEUnv1G0553110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:264926452:264926664:1 gene:SECCEUnv1G0553110 transcript:SECCEUnv1G0553110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWRIINMTIAFQLAVFALIATSSVLVISVPLVFASPDGWSNNKNVVFSGTSLWIGLVFLVAILNSLIS >SECCE1Rv1G0020620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:259656018:259656212:1 gene:SECCE1Rv1G0020620 transcript:SECCE1Rv1G0020620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMPNILSLTCFCFNSLLYPTSFFFAKLPEAYAIFNPIVDIMPVIPLFFFLLAFVWQAVVGFQ >SECCEUnv1G0527480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1333558:1335001:-1 gene:SECCEUnv1G0527480 transcript:SECCEUnv1G0527480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSALTLVSFQSPSFNLKQRPLSQRQQISTEWGKRQQCSQKPIRAIPGPNATGGLNNAERGGNTLPSSPMTDVIQEFYSSLNDKDIARLTELIDPDCIVKHTSYYKPLDNKNTITYFARLMEAMRKNVKFAVDEVCQGVEPTAAAAMWHLEWNGKIIPFTKGCSFYMCSANGEALLIRKVHIFNESPVKPVNLALDMLLFVTFLFDLLPKQAEDFLQNPEALAQSFVKFYKFLLEPVIVYLLAYSIRFWSFVVKLYRLYLEPIIVHLLAYNFRFWSFVARRLDTVLDVLYNIFKQFI >SECCE7Rv1G0483110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:317572326:317575788:1 gene:SECCE7Rv1G0483110 transcript:SECCE7Rv1G0483110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MWSTAASWWGHRHIRLLLPALFLAAALFFFLASPRSSASFFALPASREHSPSGSRVIWAQRRLAEWRPCEWWQTAMPAPSTRNGYIRIDCYGGLNQLRRDLCDGIAVARLLNATMVLPKFEVAAYWNESSGFADVFDVDHFIDQTRGYVEVVKDMPEEISLKEPFKVDCRKRKGHFDYVETVLPALLQHRYISLTPAMSQRKDRYPSHAKACYCQGCYNALQLNKKVEAKAMELLQAIPKPFLSLHLRFEPDMVAYSRCEYSALSSKSRDAIEAARGQDRNVLIGNAAHLWRNRGKCPLTPSETAFILQALGIPTETNIYLAAGDGLMELDGFTSIYKNMYTKSSLLTHEDFERMHGNTKAALDYYVSVNSDAYVTTFFGNMDKMVTAMRTMQGLQKTLVLSRRAFANYTAAGLAGDQLANAMWDAHREDYIMGRGLALPEHCFCEFKL >SECCE2Rv1G0064460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4008565:4009719:1 gene:SECCE2Rv1G0064460 transcript:SECCE2Rv1G0064460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITISSLRRRLQQAHDLILQIPWSKTLVSLPSDVIFDIVSRVPAKSMCRFRCVSSEWRDRISDPVFIAAQKCRPPEPLLVVSSDHDMSLRLVDMEGSVVRVISGMNRRWVPVSTSPDGFVCVTTGFSRDDNLAGMIDLITMKMHVTHLKSDRTWGYGRAVPSGAYKMVRLGFHHCEVFTVGDDDGWKRRKCPPFRFDGFSHDNYTAAVNGTLHFLPVALPDEESSLLCFDLESEEWKSGIKGPPKVKLGRLNIRLGELNGSLCMVEPEVRHSGYTNIWLLKDHHKSVWAKAYTIPLDTSAIDRRHMMPLRILLDDGSKLLFYNKEHGESPELHIYDRRYGTYRNATKELLGDGHVSGIGFCSLHIESFLSAKFHCPVSSSYFS >SECCE2Rv1G0120610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:799597573:799598623:-1 gene:SECCE2Rv1G0120610 transcript:SECCE2Rv1G0120610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLAIVVALVVVTASSIAIRLLARAKRTQPANLPPGSLGLPVIGQSLGLLRAMRGDGGSRWIQDRVDRYGPVSKLSLFGTPTVLLAGPAANKFMFFSGALSTRQPRSVQRILGEKSILDLHGADHRRVRGALLEFLRPDMLKAYVGRIDGEVRRHLEENWAGRATVTVLPLMKRLTFDIISALLFGLERGAVRDALAGDFARMIEGMWAVPANLPFTAFSRSLKASGRARRVLQGITRDKKKASQVEVEHGNGKASRNSDLITCLLSLRDGHGERLLTDEEIVDNAMVTLIAGHDTSSILMTFMVRHLANDDATLAAMVQGKA >SECCE4Rv1G0234460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:282008677:282061019:1 gene:SECCE4Rv1G0234460 transcript:SECCE4Rv1G0234460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVPLGPVIALCCSLPHPNPSTYARAHLPAAGAAAMDVAESPDLQAQLAAAVHALNHASHPSARLAANQWLLGLQRSPQAWALAVSLLASADHPAPSADLLFFAAQMLRRKIQSPDHPLPDNAALLLDALLVAARRFCLAPPRLLTQVCLALAALALRAEGGVDGLFARMPHLPDPALLELLTVLPEEVAQDESGDTGVDSATRCRFTRELLTHAPAVLEFLLAQSEKPAAADVVPLHERSRRILRCLLSWVRAGCFSGAPASALAAHPLLTFAFNSLQAFFSFEVAIEVMTELVSQYQELPQVFLSKMPYIREVLLLPALANRSEKIIAGLTSLMCEVGQAAPGLVAEGSNEALSLSDALLRCIAFSSEDWEIAESTLQFWCSLAHCILGIDEKTSKRNTTQELFLPVFSSLLDALLFRAQIIDIDDHCTGGVSSIPDGLVQFRLNLEELLVDICLLLGAPAYINKLLSSGWGLASQSIPWKEVEVRMYALSMVADTILQDGSPFDFSVVMHFVNILSSRTPAELNGCQFLVYKSFGDVIGSYSKWLSSSKNNIKPLLLFCASGISKSISSNSCSVALRKLCEDASSFIHEPPILEILFWISEGMGEGNLRIEDEEEIMSAITHALCSILDKELRKTSLARLLCSSYSAVEKIIDIDRDELLRQNPSAYAQALNIAVRGLHRMGALFSHLATSVTSGLMDDDTISILFCIFWPLLEKLSQSSHMENTSLSTAACRSLSSAIHSCGQHFQILLPKILECLSTNFLLYQRHDCFLRTAANMIEEFGHKEEYSVVCVRTIETFSSAASLSNLNSSYTCDQEPDLIEAYANFTSTFIRCCPKEAIFASRSLLELSFQKAAICSTAMHRGAALAAISYMSCFFDASLTDVLESPECPSDESRGVVLVQILARCGEGLMSNVFYALLGVSALSRVHKSATMLQQLAALCSLCERTMWKGILCWDSLCGWLQTTVSSLSSKYLRQGEAEMMIPLWLKVLQDAGSDYLHSRTGDNCRNHPGYMQGKGGRTLKRVIRDFAESHRNVPTPYIDSRWSWRQQFS >SECCE4Rv1G0267650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736751244:736752916:1 gene:SECCE4Rv1G0267650 transcript:SECCE4Rv1G0267650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSECIDVSNGPGYRCKCSQGYEGNPYLQGGCQDINECEPPNQSFYPCKGNCKNTDGNYICLCPSGFRSDDPKSIPCVPADPKKALKVVLGISFSVVFLMVCIFALRAEYQNRKLAKEKDKFFDQNGGQILYRQIMSKEVDTLKIFTQEDLKKATNDFDKSKELGRGGHGTVYKGVLKDNRVVAVKRSKIMNVAETGEFVQEIIILSQTNHRNVVRLLGCCLEVEVPILVYEFIPNGTLFEFIHRSYGSPPPSLDTRLRVAQESAEALAHLHLSMNHPIVHGDVKSMNILLDDNYMAKVTDFGASRMLPKDVAQFMTMVQGTLGYLDPEYLQERQLTEKSDVYSFGVVLLELITGKTAIYNDGPKEGKSLVWSFLLAMKEDSLVGILDPSIVRAGTKTLLGEVADLGKMCLGARGEERPSMTEVADRLKAMRSTWREELALDRAVTEHMAVQMPPVAAPTPLDLGSSSGAPSTVPYMFGMGIEAPR >SECCE4Rv1G0219930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33879615:33881275:1 gene:SECCE4Rv1G0219930 transcript:SECCE4Rv1G0219930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSAMIQLELPHINILSKMDLVSNKKDVEDYLNPEAQVLLSQLNRQMAPRFHKLNKALAELVDDYNMVNFIPLDLRKESSIQYVLSNIDNCIQYGEDADVKVRDFIPEDDD >SECCE7Rv1G0476740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:210151758:210155935:-1 gene:SECCE7Rv1G0476740 transcript:SECCE7Rv1G0476740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAAATFSPSRLAAHPAPPAAAAAGRSRAVAAGGRRSSSRRGSTGLRCSSASARASATPVINGSAAAKAEVEDRRRFFEAAARGTGKGNLVPVWECIVSDHLTPVLAYRCLVPEDDMDTPSFLFESVEQGLEGTTNVGRYSMVGAHPVMEVVAKENKVTIMDHEKAEVTEKIMDDPMQVPRSIMEGWHPQEIDQLPEAFSGGWVGFFSYDTVRYVEKKKIPFSGAPHDDRNLPDVHLGLYDDVLVFDNVEKKVYVIHWVSVDRHASTEEAYKDGRSRLKRLLSKVHNTNVPKLSPGFVKLHTRQFGTPLNKSTMTSDEYKSAVVQAKEHILAGNIFQIVLSQRFERRTYATPFEVYRALRIVNPSPYMAYVQARGCILVASSPEILTKVEKGKVINRPLAGTTRRGKTEHEDKLQEEQLLSDQKQCAEHIMLVDLGRNDVGKVSKSGSVKVEKLMNIERYSHVMHISSTVSGELDDRLESWDALRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGLGGISFDGDMLIALALRTIVFSTAPSHNTMYSYKNSDRRREWVAHLQAGAGIVADSIPDDEQKECENKAAALARAIDLAESAFVDKE >SECCE4Rv1G0248110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:566620343:566621746:-1 gene:SECCE4Rv1G0248110 transcript:SECCE4Rv1G0248110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRDDRAGAPAPRPRIVLLPSAGMGHLAPFSRLAAALSSGHACDVSLVTVLPTVSSAESCHLEALFAAFPAVRRLDFQLPPLDAPELSGADPFYVHYEATRRSAPLLAPLLAAAEASALVADISLASVLIPVASELRLPCYVFFTASATMFSFYAYYPTYLDATGAGDADVPGVYRIPKSSFPQALHDRNNLFTQQFVANGRSISKADGLLINTFDALEPEAVTALRQGSVVPGFPPVFTVGPLSPVSFPARASSDYSAWLDAQPERSVVYVSFGSRKALARDQLSELADGLEASGCRFLWVVKGAVVDKDDGSELSELLGEGFLQRVSDRALVTKAWVEQGEVLKHPAIGMFVSHCGWNSLTEAFATGVPVLAWPRFADQRVNAGIVARRGAGVWVERWSWEGDEGVVKGEEITEKVKSVIADEMLRRNSAMVCEAAQRAVAGGGTSHRSLAELVRRCSDGCR >SECCE3Rv1G0214260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:965019496:965020411:1 gene:SECCE3Rv1G0214260 transcript:SECCE3Rv1G0214260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQEGTPSTGGANRAAATTDPPSTKAKNNGDHSLYDKTLSTASNLARLLPTGTTTAFQTLAPSFTNHGECLSVNRYFTWGLILFLGVLCALLSFTDSITDQDGHTRYGFVHCRGFTPFNPDGPIDVGEREKRKMTWRDWLHSFFRFAVFISLAFCDSGVQKCLVPRESRQWREVLVNLPLASGFLASFVFMIFPSTRNGIGAGPGAPTGLDKPPTDTNAPTALNKPTDTNADTVKNLKTSKSSPAAADTRVIPSTSYELDPVV >SECCE7Rv1G0501280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:681320116:681321082:1 gene:SECCE7Rv1G0501280 transcript:SECCE7Rv1G0501280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFAARSAAFVGLAVVLCVLAGEASAQQLSPNFYSRSCPNLASIVRSGMTSALQTERRMGASILRLFFHDCFVNLGGPTWSVPLGRKDARTASQSAANANLPGPGSSLATLITMFGNKNLSPRDMTALSGAHTIGRSQCQFFRNRIYNERNINASFAALRQGTCPRSGGGGNLAPFDVQTADGFDNAYYQNLVGQRGLLHSDQELFNGGSQDALVRQYSNSPSQFSADFVTAMLKMGGLLPSSGTQTEVRLNCRRPN >SECCE3Rv1G0192920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:763725359:763728376:1 gene:SECCE3Rv1G0192920 transcript:SECCE3Rv1G0192920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYVVYYSMYGHVAKLADEIKKGVSSVEGVEAKIWQVPETLPEEVLGKMGAPPKLDAPIITPPELAEADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTALTTVTQLTHHGMVFVPVGYTFGAKLFDMDKVQGGSPYGAGTFAADGSRWPSEMELEHAFHQGQYFASIAKKLKGSA >SECCEUnv1G0561800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:358931007:358931804:1 gene:SECCEUnv1G0561800 transcript:SECCEUnv1G0561800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTMSLSSAFAGKSVTNVASSALFGEARVTMRKTAAKAKQVSSGSPWYGADRVLYLGPFSGVPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEVKNGRLAMFSMFGFFVQAIVTGKGPIENLADHLADPVNNNAWAFATNFAPGK >SECCE5Rv1G0310730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:174297409:174300509:1 gene:SECCE5Rv1G0310730 transcript:SECCE5Rv1G0310730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGGDPAGFAAAALHASSDVSEHEEIRPADDSNTISDHVQEPLNFFLEQESNDASVSTEKKQSVVPKCKSVEEIPREATVKRCKNIDSKKLLSNNNNSPSLTGIQALRKPPRKGGHPIQLRECEMFQDKKPPSTWICKNAACKAVLTSENTFCKRCSCCICHLFDDNKDPSLWLVCSSETGDTDCCESSCHVECALQRRKAGRIDLGQSMHLDGNYCCAACGKVIGILGFWKRQIAVAKDARRVDILCSRIYLSHRLLDGTTRFKELHQIVQDAKAKLETEVGPLDGSSKMARCIVGRLPVAADVQKLCSLAMEKADDWLQSNSQAETKQIDTLPTACRFRFEDITASSLVIVLKETASSQYHAIKGYKLWYWNSREPPSTGEPVIFPKDQRRILISNLQPCTEYAFRIISFVEDGELGHSESKCFTRSVEIMHKNIEHGTEGCSSTAKRNVKRQNGRSSGFKVRQLGKVLRRAWEEDGCPSEFCKDEIEDSCDQSDSVILEKGQVAHVSRKLDLNETSVPDLNTEVVMPAECLRNENGYSSAKNGLRKSNGCGDFATCTEGHVGEAPAMESRSQSRKQTSDLEQETCAEDGNLVIGSQRHFTRRLGELDNNYEYCVKTIRWLECCGHIEKDFRMRFLTWFSLRSTEQERRVVLTFIRTLVDDPGSLAGQLLDSFEEIVASKRPRTGFCTKLWH >SECCE2Rv1G0101660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:567787846:567790641:-1 gene:SECCE2Rv1G0101660 transcript:SECCE2Rv1G0101660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA cross-link repair protein SNM1 [Source:Projected from Arabidopsis thaliana (AT3G26680) UniProtKB/Swiss-Prot;Acc:Q38961] MAAAIAGDAETDPTLPLSDDLDDNGFPASPPAAATTGSFADDFYRSGIDWSSLQAPPSHRTRPADGTTEKFCGPLVQKNLFQAWGIQKPAAGTTEKLCGQRVQKNLFQAWGIQKPPREEAAQGVPVGAGASSSSPSPSGAGSGRKRRRGGSDENGASRKPVACPFYKKIPGTPFTVDAFRYGAVEGCSAYFLSHFHYDHYGGLTKKWCHGPIYCTALTARLVKMLLSIDSAYVCPLELDTEYVIDGVKITFLEANHCPGAALIHFRLSDGKTYLHTGDFRASKSMQLHPLLQTGGISLLYLDTTYCNPKYKFPPQEAVIDFVVRTAQRYLKKQPKTLIVVGAYSIGKENVYLAISQALEVPIYTDASRRRILHSFGWPDLSKRISSCNQSSPLHVLPLASLQHENLKKYLETLNQRFLAVLAFRPTGWTFSEAAGKELDLIKPSSRGRVTIYGVPYSEHSSFSELRDFLKFLRPQKVIPTVNVGNAANRDKMQAYFREWLKGT >SECCE4Rv1G0223710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:73100408:73101451:1 gene:SECCE4Rv1G0223710 transcript:SECCE4Rv1G0223710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRQKFARVDTQELKSRITKKLGHQRAELYFDSLKKFLSFKLSKDEFDKICLSVLGKENIKLHNFLIRSILSNASLSLGPPIKQTVTGNSQTSMVSNGPLGNGALPAKMGRSITSRDRKFADRPSPLGPHGKAPHGHGGEVSNSCDIPRSREQQSTLEFVSSGSKVMLEVVSVEDGEEVEQVRSSPACVQSRSPIRAPLGIPFGIPKAHTPQPSTSYTYETCSNNELPDTRSLWKVLQHRLQAQGLNVSMECAHALNSGLNSHLTRLLKSSLDVAKARGNGTRIPQANGRAGTSWNGGQNHGFPSESGQYYQASLQDVKVAVESNRQLLGCDHSKQHEKISFRLME >SECCE6Rv1G0439920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:800274180:800275454:-1 gene:SECCE6Rv1G0439920 transcript:SECCE6Rv1G0439920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILVLILVVLCASAASLVTCTSAGFRMELSHVDGKGSYTAAERVQRAMARSRQRLASFADMSVPVHWNTSQYVAEYLIGNPPQRAEALIDTGSDLIWTQCSTCSLKGSCVKQGLPYYNASNSDSFHPVPCNDTLCLANQEHSCARGGSCAFGAFYGAGVTRGSIATEVFAFQNGSARLTFGCVDSLMITPGSLDGASGLIGLVRGPLSLISQVGAKKFSYCHTPYLRSNATAGASSHLFVGASASLSGGSPVMSMSFVQVPKKYPFYYVPLVGISVGHTRLSIPPTVFALKQNGTGGVIVDSGTSITALADGADRPLRKELRRQLNGSLVPPPPNSGMDLCVAVVHEKTVPSMVFHFSGGADMVLPRENYWVPLDNFTSCMVLHTTSKGMSVIGNFQLENMHLLYDLAKDELSFQTADCSSL >SECCE1Rv1G0010880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:66880042:66885266:1 gene:SECCE1Rv1G0010880 transcript:SECCE1Rv1G0010880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVVQPDGGAASGGRGRPGFAAVGAAARRGRRRGGAVAASPPTEEAAKLTEPLTKEDLVAYLASGCKPKENWRIGTEHEKFGFDVETLRPITYDQISAILNGLSERFEWDKIMEENHVIGLKQGKQNISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEELGVGFLGMGFQPKWALADIPIMPKGRYEIMRNYMPKVGTLGLDMMFRTCTVQVNLDFSSEQDMIRKFRASLALQPIATAIFANSPFKEGKPNGFLSLRSHIWTDTDNNRSGMLPFVFDDTFGFEQYVDYALDVPMYFVYRNKNYIDCTGMSFRDFMAGKLPQVPGELPTLNDWENHLTTIFPEVRLKRYMEMRGADGGPWRRLCALPAFWVGLLYDDESLQSIIDMTADWTKEEREMLRRKVPVTGLKTPFRDGYVRDLAEDVLQLAKNGLERRGYKEVGFLREVDEVVRTGVTPAEKLLNLYETKWQRSVDPVFEELLY >SECCE3Rv1G0182880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:639209993:639215313:1 gene:SECCE3Rv1G0182880 transcript:SECCE3Rv1G0182880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MALAAAAVAAARPSPTGLPRPLCRASAHPCRPRRFRLEASLSASTPAPAPATADEGAAAGPCPVVRFDMADFTVADRVSVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLTSSQAKRRGRRALEVLKKLARRQMMYHSYAMQVHGYVTPSKAMEEGDAPLVLVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGMAHTELRLENVHVSPVDKHVKVGILGNAVDFQDNDPSNSTVASNNERRKMMIAFDMRCVGFIMAKMVLRELMDSSTFQKFKSFLNKGNDPSCLREFLVPILSQNSPSGNIGLQMLDRHWGAGWNLLALLLATKSDKRISCVDALRHPFLCGPKWRISPTVNVVRWGLGSTAVRLAEDYIYGHHQRRRLAYFIELMEVLNPNSRTENWLHLLPGRWCLLYCTGRHIGLTLRQPTPRVLINDAFLTFAEAPEFVDPILSLTSDIGFKIMPESDWPHDKSGTEGNLSVTTSARITPGRIYTNAEDSRESRITSSRYLGGKWGKAAKMKELPASLPTASVNVDEDEVDVSMSCGSTLNVKSARNVLQEVRTQTPPEMFDLSKIVCGTYIDSRLMILRGVNGSALLFIRSNRTPDP >SECCE2Rv1G0088270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:241484251:241489279:-1 gene:SECCE2Rv1G0088270 transcript:SECCE2Rv1G0088270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRSKGGGGGKPDPEATDAEPVDEAVATAVDAGDAMSEDEADDDNSAESGAEDTDGEDSKEEAAETKAEEMDAEHQEEAAETKAEEMVAAPEANGADAETKVEAADAKVEEEAAKSDSEREADAGEADPEAEAEETDGENDKQAARADGESGEEAAGTGGEDEEEAAGTDGDNAAEVGGRNVDADSEAEGEGTDAEPDAPEESPPPSPPARGRRRKRVVKPDPPTEDDEEEETPLPPRRRRRRKAGERGDSPPPLPDNLRCRRSDGKKWRCSARALPTVSFCEYHYAKASKGKKPPADGEVLAVALQRQKKNKRKGRRRLNLAPESPKATRDLPNGLMTISPGSSGAVGSPVTTKVGVDIPAPLRRCYRSKNAEPVPVGPVKVVPHAMSKAKAAQMSCHRCGLKKVARVVKCKNCENKYFCNSCINKWYSEMSRNDIKIKCPVCRGCCDCRKCTLGQTKGGMRKESPGGQGKLIRIKICNHQFYKLLPLKLDQEQLDELEIEAKIQGTKLSHIRVQVAEDDPSGSLYCNNCKLSVHQVLRSCPRCPFKLCLSCCQKIREGSMSGSTPEDKFTQRLLQQESAHEDGSISCPSIELGGCGDSLLNLIYASPTDDQSEELSSGDGLDAPGNDSGVKDAQTHSSPLAESNGSLARLSGGQQESMST >SECCE7Rv1G0494830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:590917125:590927799:-1 gene:SECCE7Rv1G0494830 transcript:SECCE7Rv1G0494830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSGVGTDGIWRPWDEHTVLRPEEMEYVRRFHQHEPGANQCTSFIAKHIKAPLQTVWSLVRRFDEPQVFKPFVEKCVMQGNIEPGCVREVTIKSGLPAKWSTERLELLDDNEHILSVKFIDGDHPLKNYSSILTVHHEVIDGRPGALVIESFVVDIPEENTETEIFYLVGNFLKFNHNLLAGVSEGRIDRRALN >SECCE3Rv1G0165180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:170459598:170460512:-1 gene:SECCE3Rv1G0165180 transcript:SECCE3Rv1G0165180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSRQEASTASSPTSDGRVQPTALSATPTSDTANQAQSKRAPAAHMFREIVAGESVADVAALEDQVSKGIFLAGKTKKYWVDAKTRHNCFMLFPRGLAITWSEDPKYWTWHPLKEGSDAETGIETVALQNVCWLEVQGRLELSHLTPGVTYEVLFEVKLDDPAYGWSTPVNLRLKFPDGTIQQHKENLEEKPRGKWLQVKVGEVKPHKGQNGEVDISMFEYDGGQWKRGLLIKGIKIIPKE >SECCE5Rv1G0326540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:480983259:480985620:1 gene:SECCE5Rv1G0326540 transcript:SECCE5Rv1G0326540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAAMITAIASAALVLLLRAVWVTLSCYFLTPMRIRRTMAAQGVHGPPPRPLVGNLRQVSALVAKATAGDMTSLSHDIVGRLMPQYVLWSETYGKLFVFWYGSEPRLCLTDTDMIKEFLSSKYAHATGKSWLQRQGTKNFIGRGLLMANGARWSHQRHVVAPAFMPDKLKGRVGHMVECTKQSILSLRDAAARGHGEVEIGGHMTRLTGDIISRTEFDTSYETGKRIFHLLEDLQRLTARSSRYLWFPGSQYFPSKYRREIRRLNGELEGVVMDSIRRSREIADEGRTTSMYGRGLLAMLLAEMEKKKETGAGDDGKFSYDTRMVIDECKTFFFAGHETSALLLTWTLMLLATNPEWQDKARAEVAQVCGDDPPSADQLSKLTVLQMIIHETLRLYPPATLLPRMAFEDIRLGDLHLPSGLSVWIPVLAIHHDESIWGADAHEFNPERFARRSSAGAGRFLPFAAGPRNCVGQAYALVEAKVVLAMLLANFRFTISDDYRHAPVNVLTLRPKYGVPVHLRPLRP >SECCE2Rv1G0140980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:933953416:933954711:-1 gene:SECCE2Rv1G0140980 transcript:SECCE2Rv1G0140980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDSSASPAHHHTSFGRHILSLRHRDHGQIHSAPPTPDHPGANPNATADRQVDAFQRHAADLLLDLLPCSPDAAPPEILSIAWTRHLLHSFLICLEEFRSALLAGGPAALARPPLDRLVADFFDRAVKALDLCNALRDGLDLLRQWRKHLAIAAAALAPQGPAADPAAPLGEGQIRRARKALTDLTILMLDDKDAGGGQRNRSFGRAGGGGKDAAGQQSRGHHRRSSSGSGSGSGSGGSHFRSLSWSVSRSWSASRQLQAIGGNLPVPRANDIAATGGLASAVYTMGAVLFVTAWALVAAIPCQDRGLQAHFAVPRSFPWAGPVTTLYERILEESKKKDRKHSCGLLKEIHLIERWSRQLMEITDAAQFPLDEKKDAEVREAAQELVLVCETLKDGLDPLERQVREMFHRIVRTRTEILDCLSRPNTAE >SECCE3Rv1G0178540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:506188758:506191361:1 gene:SECCE3Rv1G0178540 transcript:SECCE3Rv1G0178540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGSPLSPDEHRLTSPPSLHQPASTIVVAIDRDRNSQLAMKWVVDHLLSGASHIILLHVAAHHPAANHGFAMAETTQDALEAEMMEIFVPFRGFCSRNGVQESEVILEEADVPKAIIDYITANKIQSIALGASNRNAFTKKWKNPDVPSSLMKGAPDYCNIYVVAKGKPVNVRLAKCGVPADDSDFVLATYSRRSSRSQLPPAMPEFASCSRRSIDRPELTTRPPFRERSLQLQGKPLLVSARIDSTTLRSNSHDPSSLDPDFAQAVHFSSMDFGDNMDALSLSPRESGSPLSAAQREVEAEMRRLKLELKQTMDMYNAACKEAINAKQRAKEMHLLKMEEARRLEEARQSEEAALALAEMEKVKCRAAMEAAEAAQRLADLEAQRRRNAEVRARREADEKVRALDAIANHDFRYRKYNIDEIEIATDRFSETLKIGEGGYGPVYSASLDHTPVAIKVLRPDAQQGRKQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMENGSLEDRLYRRGGTPTLPWSQRFRISAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPAVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVITARPPMGLTHHVEKAIESGTFAQMLDVTIKDWPVEDALGFAKLSLKCTEMRRRDRPDLGTVILPELNRLRNLGIAYDQARAAAPAGDSSSHGQERVSSPTVDAGSWRTAES >SECCE3Rv1G0143370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:107065:109193:-1 gene:SECCE3Rv1G0143370 transcript:SECCE3Rv1G0143370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDGPDAPPPAAPAPAADAAGSSSSSSRKPGKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >SECCE4Rv1G0273740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:779617730:779618499:1 gene:SECCE4Rv1G0273740 transcript:SECCE4Rv1G0273740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSPWLVLLVAMGCTFLAAARGGSGNPTAGFEKVELAAGAFQVQSPYNVPESQRFQYRNGVRTFWVHRNDKPFNTATHTNPRSEVRLRGHDYSSGVWQFEGYGYVQSGTSGASVMQIHNQEGAAHSTVLMLHVYDGVLRFYSGTEVEPDIYNRWFRLNVVHDVRASTVAVYVDGKHKFGTNVTPSASYYFKFGVYMQHHDQSSCMESRWTNVTLYTKH >SECCE6Rv1G0421640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:684959651:684960865:1 gene:SECCE6Rv1G0421640 transcript:SECCE6Rv1G0421640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELDEEIYMEQPDGFVVPDQEGKVCKLLKSLYGLKQAPKQWYEKFERTLTSEGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLDLIKEVKDSLSRCFEMKDLGVADVILNIKLLRDDNGGITLLQSHYVEKVLSRFGYSDCKPSPTPYDPSVLLRKNRRIARDQLRYSQIIGSLMYLASATRPDISFAVSKLSRFVSNPGDDHWRALERVMRYLKGTASYGIHYTGNPRVLEGYSDSNWISDADEIKATSGYLFTLGGGAVSWKHVKRRLKSVRKLKNSGVITVDYIQTTMNLTDPFTKGLSRNVIDNASREMGLRPTV >SECCE4Rv1G0285470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:844851914:844857789:-1 gene:SECCE4Rv1G0285470 transcript:SECCE4Rv1G0285470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLCSRSRPLLPSTASSPPRRWPPALVRRVFPPTEPPSPPAPRAHGFPHVSRNMASSSGAKTGEETCMDVAHGDEGASREAMKVTDVISGKRGTRGRTVCGISGSEEGNVGSNDDEEYVRWLMARDDGVSPLYIMPKTGHRDGSIYKFNTKNQWKKQYRFTDRDETCLEAMMLTEPTGCFFLNGYCLSHVPICMFQIFSLKLAEISVDDGLVHLYGYIAVRDDLDPLLNYIVSFTRDDPIIVKQGSLITMTGPKRGIDFLDNIAIEYDMRIKTSEQENHDLQLIDGASAIGIPDLRNCRALTKRIHGDCGAIDITISRIEHAIEATVEVVISEVQRSFQFCVGCFTSGLDEEIRLFDGIIGGSRCLKRSVVAVVVRSWMELKFKVSPDSSNSAEHCCSFRADSHGLTTQKIKTNHALISVKVTWSPLPLAFSSPYKL >SECCE5Rv1G0342040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:630478639:630479537:1 gene:SECCE5Rv1G0342040 transcript:SECCE5Rv1G0342040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALHQTTSFLGTAPRRDDLVRSVGDFGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPEILSKNGIKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFIEGYRVGGGPLGEGLDIIYPGGAFDPLGLADDPDTAAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPVENLFDHVADPVNNNAWAFATNFAPGS >SECCE5Rv1G0315260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:288309371:288312502:1 gene:SECCE5Rv1G0315260 transcript:SECCE5Rv1G0315260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDRDRYQRLPLDVGGGGARRPASSCPTATVVLFVGLCLVAAWMMAPTSNVPMGVSPDKSGEDTDVGLTRSVVKGSDADMTQTTDKAAKEDDDGQAVQTTEVDQSAETTDADANSTTAGKPDGDTVAGAESPSKNLTSSHDSGMTEGGDVAKPEEDPDKNVQNNTEKTTTDKGAEDPSTGTNQSGGNNAEQNTEEATTDKSAEDASTGTNQSGGNNADQNTEEATTHKSAEDTSTGTNQSGGNNAEQNMEEAPRGTTDTDDQVDKSSDTTETGGQGEKNVEAAPTEKKDNITNKNAEETPAYAKEAGDDGTEKNQTAFDDRTGDDAATGAASKNQTFVDKNDTPRNQTSTAVDGTLSEEDGMVLTNSSTATQGEARPVTEPVTDGDTETAELLPSGQAELLNETTTAEQDGTFPTQAMESSEEKARNSGKKKEHQNKGNVVVVVGESSTEEASYVWKLCNTSAGPDYIPCLDNEAAIKGLKSNKHYEHRERHCPSPAPSCLVPLPEGYRQPIPWPESRERIWYNNVPHTKLALYKGHQNWVKVSGDGEHLVFPGGGTQFLNGASHYIDVIQEALPAVAWGKRSRVVLDVGCGVASLGGYLFDKDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGGAYDLVHCARCRVPWHIEGGKLLLEANRLLRPGGLFVWSATPVYRKDAENVGIWQAMVAVTKSMCWEMVTRTSDTVDQTAMVIFKKPSSNECYSKRSRAEPLLCEESDDPNAAWNITLQACMHRVATEPAARGSRWPEEWPERLTAAPYWLNESQVGVYGKPAADDLAADTEHWRQAVNSSYLSGMGIEWQNVRNVIDMRSVYGGLAAALRDMKVWVMNIVPVDSPDTLPIIYERGLLGMYHDWCESLSTYPRSYDLVHADHLFSKLKYRCKVRLVMAEVDRILRPEGKMIVRDDRETAEEVERIATSLHWEVRMAVSQEGERLLCFHKTMWRPTQVQVQPLD >SECCE7Rv1G0456840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:13038488:13041256:-1 gene:SECCE7Rv1G0456840 transcript:SECCE7Rv1G0456840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGGGDDKPFNFLQILCEGVIAGGAAGVVVETALYPIDTIKTRLQAARAGSQIQWKGLYSGLGGNLVGVLPASALFVGIYEPTKRKLLDMFPENLSAVAHLTAGAVGGLGASLIRVPTEVVKQRMQTGQFRTAPDAVRLIVAKEGFRGLFAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLMAKRELKDPENALIGAFAGAITGAITTPLYVLKTRLMIQGQTKQYSGIVSCAKTILREEGPGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSVLAETSSRKAALAEKDE >SECCE7Rv1G0476690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:209190252:209193791:1 gene:SECCE7Rv1G0476690 transcript:SECCE7Rv1G0476690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSHHHLQQPHPAAPPPQPQQQQPAPLSFRNALPVPVDGQIPAPLAFFNAPPAFPDQAGQPQLDAAGLTAAAGMGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGGAGAGNSSGDSPLLLLPMLDDDISREVQRLDADMDRFIRAQSERMRQSILEKVQAKQFEALASVEDKILRKIRDKESEVQNINKRNLELEDQIKQMAGEVGAWQQRAKYNESMISALKYNLEQVCAHQSKDFKEGCGDSEVDDTASCCNGGAVNLQLMPKENNHPKDLTACRVCKSSEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIYM >SECCE6Rv1G0409410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:588793853:588795130:-1 gene:SECCE6Rv1G0409410 transcript:SECCE6Rv1G0409410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRSLPSSCEQESRLAYMTYHLLEITRTKRPSGVLAIEHDGIAVAAVLAKRPKSEDSHDAVPVDCQGGNQGYSDSSTLISSIGRDNSINCLARCSRSDYGSIASLSRNFRSLVRDGDLYRERRRLGIAEHWVYFSCNVQEWEAYDPYRERWMTLPRMPPNECFMCSDKESLAVGTELLVFGKEILAHIVLSYSILTNSWSRGVEMNAPRCLFGSASFGEKAIIAGGMDSHGSVLSSAELYNSETKSWITLPSMNKRRRMCSGVFMDGKFYVIGGMASNTEVLTCGEEYDLEKGTWRLIENMSEGLNGASGAPPLVAVVDNELYAAQYAGKLVRKYNKSNNTWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVLGGGMIELHSWIPREGPLQWNMIGSKPSGNFVYNCAVMGC >SECCE4Rv1G0223700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:72597484:72598926:-1 gene:SECCE4Rv1G0223700 transcript:SECCE4Rv1G0223700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPDVEVVVVDISEARIAGWNSERLPIYEPGLDDVVKQCRGRNLFFSTDVQRYVGEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSRSDKIVVEKSTVPVKTAEAIEKILAHNGRGVRYQILSNPEFLAEGTAVKDLFAPDRVLIGGRETPEGQAAVKVLKDVYARWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVTEVACSIGRDSRIGPRFLSASVGFGGSCFQKDILNLVYICECYGLPEVADYWRQVIGINDYQKSRFVNRVVSSMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCRGLLGDKAVVSVYDPQVTEEQVRRDLAMNKFDWDHPRHLQPVGEPADQPVAVTSDAYDAARDAHAVCILTEWEEFRSLDYRRMYDIMQKPAFVFDGRNIVDPEKLRDIGFIVYAIGKPLDQWLKDMPAVA >SECCE7Rv1G0490400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:485241868:485245399:1 gene:SECCE7Rv1G0490400 transcript:SECCE7Rv1G0490400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSSQPDLSLQIGLPASAAAPHGHDHHRAMSGRFFGPPSSSNFGGNPDTGASLQLPFPMPHMPLPPHHAGAPGHGGLYYHHHPVPDGGMLRPIRGVPLYPTPFPPPPPHGSPSAAATTPCYCDPCHVASAWRRGVGGCGARLVGFPAPKRAARAPRMRWTSTLHSRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDDNLLDLRGGGRPEAVAAATRNGRLAANDNDRSIGAGATHGGALWNSSSREEDWSGFPCDSNNESMQSFKDHMQSKSLEILSDMNSCLSETTSSASVPNLDFTLGRPHQRRS >SECCE6Rv1G0440240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:803306928:803307554:-1 gene:SECCE6Rv1G0440240 transcript:SECCE6Rv1G0440240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGPELVTMSHLAGAPTAAPGHGLINGTAAPHSPWQSPVPYVFGGLAAMLGLIALALLILACSYWKLSGYLDGDRDGEAAGDADAEKGSASGAARPAMDFLEHVVVIMAGDERPTFLAKPAASRAADVPAAAAASVLAGGEVQNKKVDEQGSEASLHLGADSATPSRDHHDAASPSRDHGHDAASRGHNNHPHHDHESSSTTALQES >SECCE5Rv1G0317300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:340652751:340656937:1 gene:SECCE5Rv1G0317300 transcript:SECCE5Rv1G0317300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCX5 [Source:Projected from Arabidopsis thaliana (AT1G08960) UniProtKB/TrEMBL;Acc:A0A178W3U3] MASLPYTAAACASVRADPAPGLLNYAAVHSCLLRADRRLSFPVLALLLLLHFRFLAMAAGAHFSPAVSRLASRLRLSPSMAAVTLLALGNGAPDAFASAAALRGEGGLPRAGLAAILSAGAFVSAFVVGTVSLISAPFAVPPASFTRDVFLYLLAASALFYIYLSAEIFLWQAVGLVLFYVFFVGLVFYMDLGAAGKAISSAEPEMANGMGRATMDLPVSVEHQKQRKASFWTVLTKVTRVWDWPVMFLLKLTIPSTLPSEWNKFYVCANICLCPLILLYSFSSFIPLDSRIVFLLPQIRFPLWSVVLLVSFCLALSHFRFEKESPETENIASTLISFVMSVFWISTMAGELLNCLAAIGVIMDLPPAILGMTVLAWGNSVGDLVADVALAKNGQPTIAIAGCFAGPMFNMLVGLGTALVMQTAGVYPKAFVLEFHVGIVVAFVFLLLSLMATLLVVTWARFRVPRFWGYCLMGLYILFTIVSIAIASSSG >SECCE7Rv1G0472390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:141636858:141637978:-1 gene:SECCE7Rv1G0472390 transcript:SECCE7Rv1G0472390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVGAEEQDVPLFHSSPCAYYVQSPSAASHTLSHPASESTALILSPFPETAFAAPRRSDDAGAGRHEHDQEASRLALSRYSSSRGSNNSFLTDKKPGGGGGRGPRQVLRVVSGRSSDDGDGAEQRSGAWRYVKLDPEAPCCCIAFQVAWRVAVSVAIALLVFVVVTKPARPGVSFKVGKVERFSLGEGLDGSGVITSFLNCNCSVEMAVENHSKVFSLHLLPPLLGMSFGHFTFATSQQGEGPHVVVGPRATTTVRLFVAAQEKPMYAAGRGMQDLLESGKGVPVTIAVRSRSRYRVVGSLVRLTYRHDSQCVLYLRRRSPRRDNALAAAGTSTCSAATL >SECCE3Rv1G0210280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943288677:943289641:1 gene:SECCE3Rv1G0210280 transcript:SECCE3Rv1G0210280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFDAASKTDPPAGKAPPTSFPSDPEGLERVLLYLKEAYGDIPIYIQENGQSSGNDTLVDTERTGYLKSYIGSTLAAVRNGVNVKGYFVWAFLDVFEYLSGYQARYGLYRVDFDDEALPRQARLSGRWYSALLKNNSDDIHVQSELNSTGWHAEQ >SECCE4Rv1G0248610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:573119949:573120221:1 gene:SECCE4Rv1G0248610 transcript:SECCE4Rv1G0248610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGRKIFGFMVKEEKEENRGSVEFQVCSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRRRLLAYLAKKNRVRYKKLIGQLNIREQ >SECCE7Rv1G0458450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:21818008:21818884:-1 gene:SECCE7Rv1G0458450 transcript:SECCE7Rv1G0458450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMRLSFLQLFAAVLAFCSMPAKSGYWLPAHATFYGGADGSDTMGGACGYGNLYNAGYGINNAALSTALFNNGLSCGQCYLITCDTSKSNMCKPGTSITVSATNFCPPNWALPSDNGGWCNPPRVHFDMSQPSWENLAIYRAGIVPVLYQQVACQRQGGLRFTINGFNYFELVLVTNIAMSGSIKSMSVKGTNTAWIPMSQNWGANWQCLAGLAGQALSFTITSSGGQYKVFQDVIPAWWLFGQTFSTWQQFDY >SECCE4Rv1G0221850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:52729164:52729526:1 gene:SECCE4Rv1G0221850 transcript:SECCE4Rv1G0221850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMKLSLTFVLLLSALVVFGDAQVTCDNIRCIQGGYITCKNYPGQKLNGCACVCAPEDGKGCVLYLDDGSTNKCTKR >SECCE4Rv1G0288300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:859561816:859567500:-1 gene:SECCE4Rv1G0288300 transcript:SECCE4Rv1G0288300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPLLAPSTPQPPLRPSHTKPRRLAVVRSKQGRDDASFTDRILDYIEGGPKLRKWYGAPDLLPKDGGAEGEEDESSDIEEPRDAVLVTNGESEIGQMVILSLILKRARIKALVKDKRSTEEAFGTYVECMVGNIEDKSFTKKTLRGVRAVICPTNDGFFSDQIDLKGVEHIVLLSQLSVYRNSGGLQAIMNSKLRKLAERDEEVVLASGIPSTIIRSASLETTPGGEKGFNFTEGIAAKGKISREDAATICVEALGAIPQTTFIFEVANGDENVTDWKTWFAERMAKG >SECCE4Rv1G0224800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:84629084:84632670:-1 gene:SECCE4Rv1G0224800 transcript:SECCE4Rv1G0224800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIDDAIHDGVDVLSLSIVSLLGHLPAFHAVAKGIPVVYAAGNFGPYAQMVGNVAPWLFTVAASTVDRLFPTTITLGNGQTLVGQSLFADMERANQFHKIKLYMNGMCNLTLANSTDVKGNIVLCFSITSVFPVAQLYGLATAVIKNGGEGFIFTQQNSDLLVAWQFRAMSIPCVSIDLEVAYKIIVYSSTSQNPVAKVSLSRTTTGSGLPAPKIAAFSSRGPNSVYPTVLKPDIAAPGVNILAAAPQVGIYKELGLYFFDSGTSMACPHVSGIIAVLKSLHPDWSPAAFKSALMTTAYIADNNGLPLVADATPNKIADPFDYGAGFVNLTQASDPGLIYDIDASDYQKLFSCMLGSEDTNSSCIATEESLFDLNLPSIAIPNLKSSETVSRTVTNVGQADAVYKAFVGPPAGVDMLVKPMVLVFGKDTSSQSFKVSFKATRMIQGDYSFGNLVWHDGGSHWVRIPIAVRVVIEDLYSTVS >SECCEUnv1G0553510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:271107826:271109013:-1 gene:SECCEUnv1G0553510 transcript:SECCEUnv1G0553510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEKEMFERNTKPRLESHPGLTAEAAGLLTDDLILEILSRLPARSLHRFKCVSVSWRDLITDPANRNKLPQTLAGFLYTSPGDHFASVSGDGAAPFDLSLPYLRNNKDDKGITLVDACNGLLLCRRRKKNKATPWKEDDFGFVVCNPITGRWVDLPPQPQAPPRRYIHTAGLAFDPAVSSDFHVLHFEETYTGSYITGVNIYSSRKGVWSHRDSGMVEKVTLFSRSKCVFAGGMMYLMGNLEEMNGDYVLVGVDMEGKVWKTIHTPYGRRFGTIGLSQGCLHYVLAFVGDYNAIQITEIALWCLKDRDSKELVLKHTANINKLMSMTGKMYMVVEIHPDCDTIFLVSFRGDTLAAYDMRHQKVGCILNLEKNTRRFLPYVPLFSESLADEDGR >SECCE2Rv1G0084010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172402898:172403257:1 gene:SECCE2Rv1G0084010 transcript:SECCE2Rv1G0084010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRNTAIFLLGLLLSCVAMSSGARILEEETAPSKGEEHLPELPTLPKVELPPFPEVHMTPKPELPSFPEVHLPPKPELPKFPEVHVPAKPELPKVELPLKPKMPTIPEFHFPEPEAKP >SECCE3Rv1G0211340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:948731477:948732466:-1 gene:SECCE3Rv1G0211340 transcript:SECCE3Rv1G0211340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGGGDHFILVHGLGHGAWCWYKLVPMLRAAGHRVTALDMAASGVHRARMDEVASFEDYSQPLLDAVAAAPAGERLVLVGHSLGGLNIALAMERSPRKVAVAVFVAACMPCAGRHMGVTIEEFSRRTTPEFFMDSERMVLNTSEGPRPALVFGPKLLAAKLYDRSPAEDLTLATMLMRPGRLFVDDAMVKDETLLTDANYGSVKRVYVVAMEDASLSEEMQRWMVDQSPGTEAEEIAGADHMPMLSKPRELCDVLLGIASKHA >SECCE2Rv1G0108330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:660586089:660586409:1 gene:SECCE2Rv1G0108330 transcript:SECCE2Rv1G0108330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRRLFVPLFLLLAVAFLASPAVAMPPGGCYETATKVSRRCSGEFIKALFSDDLHGVSRHCCDELICVREQSCFSVLLWYCPPEAWAHCYGDKAAAGVPLLGKP >SECCE4Rv1G0274730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:786405575:786406615:1 gene:SECCE4Rv1G0274730 transcript:SECCE4Rv1G0274730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPKGWADLPHGLLHSIIVLLGSTRELLAFIATCPSWYAAFMSIKSTLGKLFPPVIFRNCADQTSSAGSNIGNTWELIDPVYPSTPLCRLTPPSILDKMAVVKCSYGHAIFCYHRSLVIMDVLTGTTVAALPFPSNQLCYKTFISPEASPDSYLFVSSPHCLYAWPVGSPSWLHCDFLNAHLIKEMVSFKGQIIMRIRQKLYTVHLAPQFHVEVLRVDCRDYMDPYVLSGNLVACEDTLLLLGRNGEAFSIDFSAEPAKYVRVEEGGLKKWAFFFGDKRIGHPRHLVNPERMGLRGGLVYQLDENARVFSYPVDGNQNEELEPEPCFATINAHLVRNPTSFAAWV >SECCE5Rv1G0327190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:490539792:490544586:-1 gene:SECCE5Rv1G0327190 transcript:SECCE5Rv1G0327190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 35 [Source:Projected from Arabidopsis thaliana (AT5G35570) UniProtKB/Swiss-Prot;Acc:Q94BY4] MGYQPKHGGGASGGAGGGGGGAGAGDLLPRLHSPRVGGSMTRRANSFKRCPGGEIELQISGSPRSPRTDGLGSPPQDAAETSSSSSAYASGSASSSPGGLHHQQQLRFRLFKRPGAGTGAGDVVLGLGIRERRKLGNLLFFAFCGVCLLLGVVKIWAGGRSALPAGDDLQDLSVSFSSDKGHQVNHFAYMGGKESDRMLVTVESSIGERQSSVAEASDVWSQPSSENFRQCIVSNSHKKQDSRSNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSYWADDSEFKDLFNWRHFIESLKEDIDIVETLPPAYKHIEPLAKAPISWSKVNYYRDEILPLLKKHRVIYFTHTDSRLANNDLPSYIQKLRCRVNYRSLKYSHTIEDLGATLVSRMRQDGSPYLALHLRYEKDMLAFTGCSHGLTSDEEEELRKMRYDVSHWKEKDINGTERRSIGGCPLTPRETSLLLKGLGFTRNTRIYLVAGEAFGNGSMQALLDDFPYIYSHSTLATEEELEPFKNHQNMLAGLDYIVALQSDVFMYTYDGNMAKAVQGHRRFEDFRKTINPDRMDFVNLVDEFDEGRIPWEEFSSEVKRLHKDRTGAPYFREPGEFPKLEESFFANPLPGCICEKHSEQ >SECCE3Rv1G0148970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:21138034:21142068:-1 gene:SECCE3Rv1G0148970 transcript:SECCE3Rv1G0148970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVDTVRAPADAEEMEKGETAGAAAGADGDSAAPQPVDPTWAFWIGNPQEEPAGRGNTVHTFSTVDDFLSLYKNVLQPSKLGVGADLHCFKNKIEPKCEGPVFADGGAWTISCGQGESQQLWLDTLLALIGEQFEYDDEICGAVLSTRGRQETIAIWIKDATNEVAQLSIRNQWKEFLDYKDSIEFIVHDSGATAVQCWSSTFPDDPLDVIYSKVPNHCSRVRFAAVCRSWCTAASRHPALPGLPWLLLSPRDRATTKRLYCPEDSKILRISLPSDFIGNWFVGCHDGGWVASFEPPPFRIVNIFSSVEVALSEKQKGIVCSGRLGQIIIWKVIFSKPPTSSDCILAAITDNHGIALCRVGCPNGGWTTKGDVSYTMELADITFCNSELYGLTRDRWELVKFEISVDEDGAPVVIAVDRLVVAPEHQQPPSVWANRVDSSYIFELHGKLATAVRCPWSPNLRPFFKVFELVGIDASEGMAHGTHRWAEVMSLGDFALFLGPNCSKAVHVSADKRGGVKRNHIYYSYHRRLAQKEVLLSTDLVFLTSSNADGDSVYYREDESLAAAVGGVHRIGAVGYYVTGSPNPPVWLLPPDI >SECCE1Rv1G0055330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:679441215:679441676:1 gene:SECCE1Rv1G0055330 transcript:SECCE1Rv1G0055330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVYPFFGQVHFDKELDAWVGLHKAHEYPDGYICSCDVISPTESHTKPAWKLCKELMFDPDVAMRLYMDRHVPASLVYMGDSSYCLVEVLPQERFNRRHCTSTGSKCMIRLSTFRLKYGKNGELRTTAHKPNHSFLFSKYNEDFQVQAFWM >SECCE4Rv1G0268430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:741886538:741887564:-1 gene:SECCE4Rv1G0268430 transcript:SECCE4Rv1G0268430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDETVAGPRPDHGLGRLRKYYSFSPSTAAAADVAPAVTRSITIARPPSLSVPSGESSSVPSSPASAPDSPFAAATSSTPKVDGWRAFRRTSKMTNVDVVRAEATVGPRSPTVYDWVVISSLDR >SECCE4Rv1G0246860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:550230358:550232929:1 gene:SECCE4Rv1G0246860 transcript:SECCE4Rv1G0246860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEASGVRMVTGNGENSYAANSRLQEKAILETRPVLRKAIQEVCTSLSARRSTMVVADLGCSSGPNTLRFISEVIGAVSSCTRKSEEERRAVEVQFFLNDLPGNDFNLVFRSLEQFENLSGKDTPPYYVVGLPRSYYRKLFPSRSVHLFHSSYSLMWRSKVPEELSSCTHLNEGNIYIGKTTPPMVIKLFQEQFKKDFELFLTLRFKELVSGGRMLLTFLGRKNEEMMTHGEVGTLYELVAESLLSLVLNGRVEKEKLDSFNVPYYTPSMKEVRQLINESRLFHIEHARLFESNWDPQDDSDGDVVLDCADSGANIANCIRAVLEPLIVEHFGEDIIDDLFVVFASIVAKHLEKAKAKYPIIVLSLKKAT >SECCE3Rv1G0198240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:829151467:829156721:-1 gene:SECCE3Rv1G0198240 transcript:SECCE3Rv1G0198240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGAHASDLLRGPHLVRAAVLFFACAASFLVGYRWSDASASPLFFSSVFSPASPSSRISSRSPSVAISPNSNISFDPSLIPAPAAAPPASSTPNASPPPPVASPPPPMPTPSPPPPTPTPSPPPPPSLPPSPPPPPARLGIVGEDGAMRDDFDIGAVVNETDLATDEVAPQEPGNAGASVGNRVRIGKFPACPASMREYIPCLDNDEEIKRLPSTERGERFERHCPAKDKALSCLVPAPKGYKAPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWITKAKDKFTFPGGGTQFIHGADQYLDQISQMVPDIAFGSRTRVVLDVGCGVASFGAYLLSRDVLTLSIAPKDVHENQIQFALERGVPAMVAAFATHRLLYPSQAFEIIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEAQQEAWKEMEDLTTRLCWELVKKEGYVAMWRKPVNNSCYMSRDPGVKPPLCDTDDNPDNVWYVGLKACISRLPVNSDGSTPFPWPARLMEPPRRLQGVEMDAYSSKNELFKAETKFWDDILEGYIRVFKWKKFKLRNVMDMRAGFGGFAAALIGRKLDCWVMNVVPVTEPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDLLHAFGLFSKEQKRCNASIILLEMDRILRPGGRAYIRDKKETIEEIKEITNAMGWRSTIRDTAEGAYASRKVLMCDKPMVR >SECCE4Rv1G0289810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:868570256:868570774:-1 gene:SECCE4Rv1G0289810 transcript:SECCE4Rv1G0289810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMITLKSSDGEEFQVEEAVAMESQTIRHMIEDDCADNGIPLPNVDSKILSKVIEYCKKHVQVSPKLADSTDASSSTSTAAAAPAEDLKSFDAEFVKVDQATLFDLILAANFLNIKGLLDLTCQTVADMIKGKTPEEIRKAFNIKNDFTPEEEEEIRRENQWAFE >SECCE2Rv1G0085690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:196005020:196006448:-1 gene:SECCE2Rv1G0085690 transcript:SECCE2Rv1G0085690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASRRIRLLLASIAVAASLSPSTAAQTASCADHTFSGGRLYAACSPLEELDASVHWTYHASNGTADVAFRVPGGSAGWAAWAINPSAVGMLGANTVFAYHDPATGVVAVATAVVDSYAPAFADGDLAFAVHRRGAEYTDGVYAIHATVALPGNSTRQNIVWQAGTSSPDGLPESHQAFGDNIMSSRSWDFRLAEAAVVVDDVPAAPRDSVYSALLRPKNIHGVLNAVSWGVLLPLGVMLARYMRVFPSLDPAWFYLHVACQCSGYVIGAAGWVFGLTLGSPAKGALQHHGHRNIGTALFVLSTLQVSALLIRPKKAVKVRFYWNLYHWSVGYTVVALGVVNVFKGIGILQPDQKYKHTYLGAVLVLAVVAFVLELVTLTVRFKKGRR >SECCE7Rv1G0500360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:666017668:666019092:-1 gene:SECCE7Rv1G0500360 transcript:SECCE7Rv1G0500360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGCPPDVVTYNSLIDGCGKCGELEEVVQLVGEMRGCGCTPDVVTYNALVNCFCKFGRMERAYNYFAEMKREGVIANIRTYSTFVDAFCKKGMVREAMKLFAQMRIRGMTPNEVTYTCLVDGTFKAGRLDDAFVLIDEMIQQGVPLNVVTYTVQVDGLCKEGKIAEAEDVFRLMEKAGVKANELLYTTLIHGHFMNKNSERALELLNEMKDKGMELDVSLYGALICGLCNLQKVDEAKSLLNKMDECGLKPNNIIYTNIMDACFKEGKESEAIALLHKMQDSGFQLNVMTYCALVDGLCKAGSVDEAVSHFNKMRDLGLEPNVHTYTALIDGLCKNGCLTKAVALLDEMAGKGLSLDKVVYTSLMDGYLKQGNLQDAFALKAEMINSGLQLDLYGYTCFVWGFCNLNMMQEAREVLSEMIGNGITPDTVIYNCLISKYQKLGHIEEATSLQNEMESVLPSCTIGDTAPGSDG >SECCE4Rv1G0217470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:16373702:16378743:-1 gene:SECCE4Rv1G0217470 transcript:SECCE4Rv1G0217470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHYXXXXPKKEGNAAKYITRTKAVKYLQISLAVFRKLCILKGVFPREPKKKVEGNHKTYYHMKDIAFLAHDPLIDKFREIKVHRKKVKKAMAKKNRDLADRLLNRPPTYKLDRLIIERYPSFVDALRDLDDCLTMVHLFAALPAIEGERVQVQRIHNCRRLSHEWQAYISRTNSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFVNFKLYHSINVNYPPILDPRLEALAAELYALSRYMSSGRLPGNPESNGLIEDKETENNKESSKTDESELRLAQLQHQLPANEPGALMHLVEESTADDTEEESVKECRTLFKDLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFDETDQDITHQIVDRPTQSHVFLSRHYVQPQWIFDCVNILKVIPTDDYIVGRVPPPHISPFVDNDKEGHIPDYAVTLEGYKSAGQNQVMPLPGLGDEDLGNSLVEAKSENNEFAKKKKELEMQEKKYHEELKMEIEGTTFSNLSNKKADSAADVADEDEAQAAIEQAEKDADDIAKSVVSRKKRGLMEAMKISNDRKKSKVELLKQRKKAAESSAPAKSSASAKRR >SECCE1Rv1G0042320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:579383289:579384563:1 gene:SECCE1Rv1G0042320 transcript:SECCE1Rv1G0042320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGLKLAALCLLFLVAVSSLPLLARAECECEAGGEEEEQDKAGSLRLRIIAVFCILVASAAGCAIPSLGRRFPALRPDTDLFFAVKAFAAGVILATSFVHILPEAFERLGSPCLVDGPWQKFPFAGLVAMLAAIATLVVDTIATGYFQRAAHAKKAAAVVGTEDVEATPAHHAHVGHSHGVSAVVASSAAASDDGGAQLIRQRVISQVLELGIIVHSVIIGMSLGASQSASTIRPLVVALTFHQFFEGIGLGGCIVQAKFRLKSVLLMALFFSLTTPVGVVIGIGISSVYDENSPNTLIIQGMLSAAAAGILNYMALVDLLAEDFMNPRVQGNGRLQVIVNLSLLLGTGLMSMLAIWA >SECCE7Rv1G0504330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722358266:722360357:1 gene:SECCE7Rv1G0504330 transcript:SECCE7Rv1G0504330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGKTSVVCVTGAGGFVASWLVKLLLSKAHYTVRGTVRDPGNAKNAHLKALEGAKERLHLLSADLMDYDSIASAVAGCEGVFHVASPVPSGRSTNPEEEVIAPAVTGTLNVLKACHEAKVKRVVIVSSCAAVFNNPNWPKGKVFTEDSWSDEDLCRKGEDWYLLSKTRAEREAFAYAAKTGLDVVAILPSLVLGPLMQPTVNASSKILLKYLKGEHETVENRYWNLVDVRDVADALLLAYENPEASGRYICSPVRIKVSDVIGILKTLYPTYTYPKNFTEVEEGNVMSSEKLQKLGWTFRPVEKTLGDSVESYKASGILN >SECCE5Rv1G0318140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:358176992:358178014:1 gene:SECCE5Rv1G0318140 transcript:SECCE5Rv1G0318140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MCP2f [Source:Projected from Arabidopsis thaliana (AT5G04200) UniProtKB/TrEMBL;Acc:A0A178U6S6] MEGEANKRLATLVGCNYVGTHYELRGCINDVDAVRATLVARFGFAPADVAVLTDGRGAEVLPTGANIRRALADMVARAAPGDVLFFHYSGHGTLVPPRHGDGGAEEEAIVPTDFNLITDVDFRQLVDRVPPGASFTIVSDSCHSGGLIDQEKEQIGPSVGSGPGAPRTATARTARFLPYGALLDQLSAVSGMPSSHHVADHLVALFGADASAKFHVHGGSGSGSTLCTAPSPARPDEGILLSGCQTNETSADVPAYEASGGKACGAFSSAVQSVLAAHPAPLSNRELVAKARAMLEEQGFEQHPCLYCTDANAEAPFLSQDKKEETAVGAASPAMSPALL >SECCE2Rv1G0125500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:841373408:841374001:1 gene:SECCE2Rv1G0125500 transcript:SECCE2Rv1G0125500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAVTAGDEYCVVLKRCQQHGGQDLKEKKGTKRKAEEEPKICSIKRKAAGIDCPELEQGATPAAAAAARREEAPWRKMTRLPQEEVDSILAEEMDDDRLPPEYKALKRLNPELIPSPEEEMDEDVVSFYDVVRFFYEIGEDFREFQAWVRAEYAKNGYVEVDDDYLRHQEEMEAMNEAARKEALKAFDFSGLLD >SECCE7Rv1G0519700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865534015:865534809:-1 gene:SECCE7Rv1G0519700 transcript:SECCE7Rv1G0519700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMLLGRKSEEMLTHGEIGTVWELLSESLRSLVLKGRVEKTKLDSFNLPLYAPSMDEVKAVINRTEHFGIEHVGMVEVNWDPQDDDSDDEHMVLDPASSGRNLSMTIRSVLEPLIAGHFGEGIIDELFTVYACVVAKHLEKRNAKLPSIVVSLKKAMH >SECCE4Rv1G0263670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:717586237:717587133:-1 gene:SECCE4Rv1G0263670 transcript:SECCE4Rv1G0263670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGKLQLLQILDIKRTRIKELPSSIVQIRKLICLYVDRRVMLPKGMGNLAYLEMLKRVDLFSSPHIVKELGHLTEVRKLILYCDNKDVDLINMDILIESLGNLQKLQSLWINFGGRLNDCMRVSWVPPPHLHTFKSWSFKEGSFFRLPKWINSTSLPRISTLEIFVKELQRDDLQIIGMLPALQSLYLRATRVMGTLVVRANAFRYARCCKFFGFLTSPCLFPPGAMPRVQHLEFRVSAQSIASGEVDCSMEHLPSLEHAVVFLQRDNSSNDEKETTRACLKRAAEAHPKRPIMKIY >SECCE2Rv1G0135040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905220669:905223086:-1 gene:SECCE2Rv1G0135040 transcript:SECCE2Rv1G0135040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAMAPVFCFGLLLLIALDPHTLPQCHAATDTLSPGQELAGRDKLVSSNGRFALGFFQTGSNFSDGTPKWFLGIWFHTVPKFTPVWVANTENPIANHTACRLVLSRDGNLAVHHLATTVWSTNANTTSNTTVATLLDNGNLVLSSSNSSGASNPSDVFWQSYDHPTDTVLQGGKIGWNNSTGLIRRLVSRKNAVDQTPGMYSYELSGHNGDTSIVSMFNSSKQYWSSGDWGGQYFSNIPESVGQKWLSLQFTSNKEEQYVQYAIEDPTVLSRGIMDVSGQMKVLLWFEGSSQDWQAVYTVPKSQCDVHATCGPFTVCSDVPSPSCSCMKGYSIRSPQDWELGDRSAGCARNTPLYCNSNSNSSAAGGETDKFYPMTSVQLPADARSVATATTAGECSLACLGSCSCTAYSYDQGACSVWHDKLLNVRQQGNSVLHLRLAAKEVPSSKTNMRGLIIGVAVGASTVALVFIFLVMIWMRKKKQYGDDVQGSMGIIAFRYVDLQSATKRFSEKLGAGSFGSVFKGSLSDSTAIAVKRLDGVRQGEKQFRAEVSSTGVVQHVNLVKLIGFCCQGDKRLLVYEYMPNGSLDHHLFQSNGMVLDWTTRYKIALGVARGLAYLHSSCRDCIIHCDIKPENILLDGTFTPKVADFGMAKLLGRDFSQVVTTMRGTIGYLAPEWISGTAITSKVDVYSYGMVLLEIVSGSRKSSKQSSSQDGVHEGYFPVRVARSLVDGDIASLVDVKLVGEADLEEVERVCKVACWCIQDDEFDRPTMSEVVQFLECLSEVEIPPVPRLLQAIAGQPNQKIM >SECCE5Rv1G0337230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:589964171:589967343:-1 gene:SECCE5Rv1G0337230 transcript:SECCE5Rv1G0337230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSYPSRAPPHLNSGSREGETEESREIQRGRNYQGMGLMALKRLMSMKREQMRKHGPNQSHDGLVSSLAIRNNTPSVDLKTSEASEQDDNSHCGKRASYQGPDLPEEIWHHIHSLVPMRDDARAACVSRAFHHSWRCHLNLTFTKETMCSKKCLFEGTEDPNDRRNRREYNNNIDHILANHRGVGVKTFILEFHGPYNTKSYNRLNSWLQIAITPVMEELTLILLSEKTKYEFPCSLLSERSGNTIRHLHLDNCVLRPTVALNLKCLTELYLFQVRITGDELGCLLSNSFALEKLTLICCYDIIRLEIPCLLQRLSYMEVFDCSRLQVIENKAPNISSFQFAGKEVQLSLGKSLQVKSLKLDHRCVISYAIDKLASSVPNLERLNIISPREMVNAPMVPSKFLHLKTLSITIRGWWSLNREYDFLSLISFLDASPSLETFVLSVSVEKKYDLFVGDPSTLRQMPEHCHDKLKSVQITGFCPQKSLVELTRHILESSMSIKCLILDTIRVNSRCSGNISRKCSTLDKEYIKEACKSIMAINTYIKGIVPSTTWLNVLGPCSRCHAL >SECCE3Rv1G0150600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:33231880:33236661:-1 gene:SECCE3Rv1G0150600 transcript:SECCE3Rv1G0150600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWTNFFCPPVVNLKLILCVSVKRKASRFLGISSMENLPNVPACIAEKNQENETSDDAGEPEEVADVFVYREDVVSLKSNKDVRGLVMEVAGEYDSEGSITDDESDAEENERKSAHKTENVGPDGDNANNASHGDDVDSQSSLPDNKVRVLWIDGTEMTEDIDSVVVVDRTFLHGDMVASSSDPTGQMGLVADVSLVVDLQGAHGEMIKGVSAKDLRRIREFNVGDYVVSGLWLGRVDEVFDNVSVLFDDGSVCKVSRADPMRLRLASGPMHPDTACPFYPGQRVKAVSSSVYKTSRWLHGMWKASRLEATVTKVETAAVIVYWIASAHCGTNQDSVPPEEQNPKDLTLLSCFSYASWQLAEWCHPQPHTSSCANDALMECSKMKELNSEQSDVPESAVDVQAEQAQNTKTDVNPLEKHGDSLADRSNMSDGDNTCVAKESESGTSVSTVPKEGVHDHATYRKKIRKVYVKKDKRAKRRDESFERALLIADTYTKVDVIWQDGRKECGVSSTSLIPIQTPNDHEFFPEQYAVEKVSDDVDQPSETRRVGLVRSVNAKDRTVSISWFKSSFHSQEPREIECTEVVSAYELDGHPDYDYCYGDVVVRLPSVSHPMESSNGGNTMELDKNVDTAEASVASNAVPPDVAAEEQLSQKESSSEVTHLSWVGNIVGFQDGEIEVTWGDGSVSKVGPHEIYVVGREDDGGSVDDGAPSDAGSWETVDDNEMDLPDDPANDAPQNTVEHNIQTENGSFHSQDESSVGSGPLSVAFGFVTRLASEIFARGKKHLDGSNSDAMDEVESQQSNEVSESGDDIDKNEDENRMAASDCTTVTTNDSSAEKSVDVVMADEPADSDCLKHFDVLQCPPDHHYLENIAHGTGGRKWVKKVQQEWGILEKNLPDYIYVRVFEDRMDLMRAVIIGASGTPYQDGLFFFDFYLPPEFPQAPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSVKSMLYILRRPPMNFEDFVKSHFCKRGHFILKACEAYLQGAVVGTLNDDACPTDSNKEYSCSMGFKLALGKILPRLVTALKDIGADCSQFEHLGKTETAQES >SECCE1Rv1G0036110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:505360524:505366151:1 gene:SECCE1Rv1G0036110 transcript:SECCE1Rv1G0036110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNEIAVAAGINISSALGFLLAFAILRIQPINDRVYFPKWYLKGTRSSPRHIGAGFSKFVNADMSTYLRFLNWMPAALQMPEPELIEHAGLDAAVYVRIYLLGLKIFVPIALLAFIVLVPVNWTSGTLEHEKDLNYDEIDKLSISNLGKGSKRFWAHIAMAYVFTFWTFYVLFHEYKVITTMRLRFLANQSRRPDQFTVLVRNVPPDPDETVSEHVEHFFAVNHRDHYLSHQIVYNANTLAGLVEKKKGLKNWLVYYENQHAHNPAKKPTLKTGLWGLWGRKVDAIEYYKAEIEELCKQEDEERQKVMSDPNAIMPAAFVSFKSQWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWPNLAIPFVELSVRRLIISVALFFLTFFFMIPIAFVQSLANLDEIERLLPFLKPIIERNSLKSVIQGFLPGIALKIFLILLPMFLMTMSKMEGHISISGLDRRTASTYFMFLFVNVFLGSVITGTAFQQLDTFIHQPANKIPETVGESIPMKATFFITYVMVDGWAGIAAEVLRLKPLVMFHIKNTFLVRTEQDRQQAMDPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGALFWPDVQTRLIAALIVSQILLLGLLSTQEAEKSTVALLPLPVLTIWFHYVCKGRFEPAYVKFPLQEAMVKDTLQRANDPTMSLREYLKDAYVHPVFQKDDMYELVAMDEEEKNPTVATKRQSRMNTPVESKFNSSVGTSEGEFSRMHPA >SECCE2Rv1G0079920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:124922023:124926198:1 gene:SECCE2Rv1G0079920 transcript:SECCE2Rv1G0079920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYAAVKTSVWWDIENCAVPRSCDPQLIVQNMSSALATAGYRGPISVSAYGDTHRISHNVQHALSSTGVSLHHVPAGIKDASDKKILVDMLFWAVDNPPPANYLLISGDRDFSHAIHKLKMRRYNILLAQPPNVSQALTAAAKSVWLWKSLVAGEPPLEQSPYISSTSCGNKDDLATSRNIVSSSLDVTQDANPELQDILCDRQSGSKGLADKKCEVKRPREMETDNVSKPASKKQLKKMIGLANTSTKQTAFKKPTQGQLKAVKGQGLICYKCGDGHRAAECTFSGDCHGCGGQGHKDRVCKVNPNSIVKWQPAHAQDLVTPSPGSVSRQATPHVPSPPPASPVAAALPQTTAETPSGPTSTPPPECFLQETPAVSTPPLASAAPVPPHTGVGDFSAPAHSGVYAMPAAVSLGKPDVVTQLTSSAPHPPTAVLAHRPMAGPGQYHQVGVSRPFPAWTPPPWCFLQATPAVPSPPPAPVPPHTGDGKFSAPAHSGVYAMSTAVSLGKPELMTQRASSAPHHPAAVLAAHRSVTGQHHQVGASQLQPASSAPRPPTAVLAHQTVAGQYHQVGASQLHLASSAPHPPTAVVAHQPVAGHYHQVGASQLHPTWTFPPGYFWQAMQLLLQQRRS >SECCE1Rv1G0004410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:18239190:18239453:1 gene:SECCE1Rv1G0004410 transcript:SECCE1Rv1G0004410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYSFETQMKIVAATMTLHNYVRLHDKDDIHFVRCERDPDYVPTIPDRYKKYVIPSSASDASTSEASAPDMDRFRNELATAIALGW >SECCE5Rv1G0364230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:799811797:799814327:-1 gene:SECCE5Rv1G0364230 transcript:SECCE5Rv1G0364230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKLLAVLALVAASAPFLRVAGQEEGEGGPRPSELLPFAVGAAPEGCDIGQGEWVYDEAARPAYEEAACPYIPAELTCQAHGRPDKSYQHWRWQPRGCSLPSFNATVMLEMLRGKRMLFVGDALNRAQYVSLLCLLHRAMPEGSSSFETVDALSIFRAKAYDATIEFYWAPLLAESNADDGVEHRLDDRVIRGAPMDRHSRFWKGADVLVFNSYLWWMTSDKIQILRGADNDMSKDIVEMGAEEAYKLVLHQVVRWLDGNVDPKKSRVFFVTASPTHASGQLWGDEAEGSNCHGQTKPIADASYWGSTSKAMLRVTGEVLGASARVPVGVVNVTQMSEYRRDAHTQIYREQWAPPTKEQLADPKSYADCTHWCLPGVPDAWNELLYWKLFFPANDQAL >SECCE5Rv1G0309330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:150404340:150405470:-1 gene:SECCE5Rv1G0309330 transcript:SECCE5Rv1G0309330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPTPGTGRLPTMADIMAASRAQGLRMRLSTLGPLFRVTATRVGGDGDVELGRAEGAVRPWPGGSVLHLDSMRMSRATLEVPDRPLFGLGIFIGAVTVRHGFDAGCVRAELLAINDTPLYHNKLVKFYTRMGFRAVHEVDGSSMMDLAHMLVWGGKGTRMDADIEQLLMKWSRRFASQD >SECCE4Rv1G0216600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:11990747:11991196:1 gene:SECCE4Rv1G0216600 transcript:SECCE4Rv1G0216600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAVAACGAVAFSWEQEPGVSKESPAAKARKPSSGRTASDSTNNTEAQPHQLRVPPPPGGPGAPSLSPPMKAARSRSSRRGVRPEEDPFLAAYVACTASGRKTGKGHNEAQKMLRWAGLRFALGLGLSCKTSCGVAEQSVVSLAKKS >SECCE4Rv1G0215260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4353099:4354403:1 gene:SECCE4Rv1G0215260 transcript:SECCE4Rv1G0215260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGYPDGGGKEKDRQWPPAPPAYGYPDDERPPFVLIDPRAYFADRKNATTAVIHMEAGGAPNLRGRLQVTFCAVAPPLVSYFCVHASHMDHTEFPVPPSILATETDGGLVLLRVATVDPGYPTTYLRPQNSQYFVYDALARKLHQLPQPGFQHRLNELSLAIMRKPNKNTSNLRPHVHGEADFVVAAQSYIFWGKESPHICIYDSAIKTWSNKPVVIGSSYPEQHIPTKTLTIGGSNGTVAWVDLSCNIVFCDVLAKRLKLRYLELPSEPGQWGINPRSGRDIAVFGNTIRYVAMLLHPYDSKAPSFRWMATAWSIKKGRRSWPKEWHMEYKLDSTHIKVDAAGKVATFPTLSSLCVGLPTLSLQNDAIVYFLAKINFSPRQHTSWVLAVDMKNKTAEQVVEFPAERTHYVAKGYNASRISAYLQPAPGNSL >SECCE1Rv1G0009420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:54442705:54443322:1 gene:SECCE1Rv1G0009420 transcript:SECCE1Rv1G0009420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARRSAVPISLLLLASLATAAAAAAAADPEEGGLRISVQYATEEESRWLDRWAEKYKAQGSGEGFKIQPATTEESAHINSMSFTGGGYDGHIEFDDDHPFGRMVADAFHSRPRPSKPTEHDDLQKQNMEEPHSRAEHDVKDL >SECCE5Rv1G0363010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:790509452:790515759:1 gene:SECCE5Rv1G0363010 transcript:SECCE5Rv1G0363010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinase associated protein phosphatase [Source:Projected from Arabidopsis thaliana (AT5G19280) UniProtKB/TrEMBL;Acc:F4K124] MAISPLVASGAAVATLAVLGLAVYACLRWRRAAPPAAPPPPSSSQDDDINRPLISDKLDDYSGPSNNLGSNNAGESTMWTNRSTTSPRTHTRPIESHPIEGEVHVIDVTNGTPEELHLGSTLKRTAEASARASEAKHTRRASGENNNGSIPVKDITVGSHLALEVIAGPSHGINRYLQSGNTSMLPMTLGRIPQSDLVLKDSEVSGKHARIDWNPNTLRWELVDMGSLNGTFLNSQAVAHPDVGSRRWSAPAELADGDIITLGSSSKVSVQISLQNQQVPVGVGMVSDAMITRRTGKKLPMEDVSCYQYPLTGAQQFGLFAIFDGHGGDGAAKAASRILPENVAKILSQKGTVEKVLTSGNASDVLKCAFDLTEAALNHQYEGCTATALLIWFDQNKDCFAQCANLGDSACIMNVDGKPIAMTEDHRVVSTTERARIAKLGKPLKDGESRICGLNLCRMLGDKFLKEQDPRFSKEPYVSPVVQISKSCSAFALIASDGLWDVITAKKAAQLVLDYKERNMGQEISADGVADHVLSEARNLRTKDNTSVIFLDFDAMRMGR >SECCEUnv1G0532770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:23805506:23805754:1 gene:SECCEUnv1G0532770 transcript:SECCEUnv1G0532770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGFSYAQVHVKQERLRRRISEEASAATTMGKSMTGEVEKKESFTGEEKKAACNTWTAGAGRVHPCASSTAAAAPKGGHR >SECCE4Rv1G0238540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:380534861:380535571:-1 gene:SECCE4Rv1G0238540 transcript:SECCE4Rv1G0238540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRYWNINLKEMIEAGVHFGHGIKKWNPKMAPYISAKRKGTHIINLARTARFLSEACDLVFDAASQGKSFLIVGTKKRATDLVASAAIRARCHYVNKKWFSCMLTNWSITKTRLSQFRDLRAEEKKGKFHHLRKRDVAILKRKLSTLQRYLGGIKYMTRLPDIVIILDQQKEYIALRECSILGIPTISLVDTNCDPDLANISIPANDDTMTSIRLILNKLVLSICEGRSLYIRNR >SECCE2Rv1G0123360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:823694890:823696131:1 gene:SECCE2Rv1G0123360 transcript:SECCE2Rv1G0123360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEESPQLFLCPISMELMEDPVTVSTGVTYDRRSIERWFFKYGKTTCPATMQRVASLDLTPNHTLKRVIASWQDRASPSSPAGALKPMARDRLPSVLAGIEGTPFKVTALKSLRLCMDGDGSAQEDFVACDGIQVLGRVMAQALAESGAGGDFSAFRTCEEAAAVLATLPLSDEESVDLVLRPECMRPMVALVQRGSAEARLHAMSILAKVSEASGARNWTEDVDVDDMVKSLLDLLSDGASSKLSSRALDVLIDVTARSRSRGARRGKGVVEVGAVRVLVELLPEADRHVAERALLLLKRLCKCPEGRLAFAEHGLAVAAVVRTTLRVSGLATRLAVNVLWLVSCAARPTERVLDDMVASGGVAKLLALLQADSSPSTKEKVARMLRVHGAFWRQYPCIPADLKDYLKFLK >SECCE1Rv1G0035910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:502969600:502981801:-1 gene:SECCE1Rv1G0035910 transcript:SECCE1Rv1G0035910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase KEG [Source:Projected from Arabidopsis thaliana (AT5G13530) UniProtKB/Swiss-Prot;Acc:Q9FY48] MRVPCCSLCHVRYDEDERAPLLLHCGHGFCRACLARMLAAAPGAVLPCPRCRHPTAVGNSVSALRKNFPILSLLSDSPSSPSFLHSDSGSDDDDDEDDFFARPKRAPAAPAPAAGPPPCTSVDLASHPDLKLARRIGSGPPGPAGQEVCAGTLSRAGRPGGAKRCKHPVAVKRVPLSAADELEGVHQEEVERLRRASTWCRNVCTFHGAVKVDGHLCFVMDRYPGSVQEEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDANGHAVVSDYGLSAILKNLTSRKVPDDSNMSGMDATVLSPNYTAPEAWGPLKKSLNLFWDSANGISPESDAWSFGCTLVEMCTGAVPWTGLSAEDIYKSVVKEKKPPPQYSRVVGVGLPGELWKMIGDCLQFRASRRPSFQDMLKTFLRHLLEIPRSPPASPENDFTNVSLPNGVEPSRTSMLDLVHDNPNALHHLVCEGNATGVRDLLAEAASMENSSLIHSLLEAQNTDGYTALHLACRRGSAELVEAILAYQQNVDILDKDESPPIVFALAAGSPQCVRALIRRSANVNSMLREGLGPSLAHICAHHGQPECMQELLMAGADPNAVDGEGESILHIAVAKRYTDCAIVILENGGCSSMSIPNSQNKTPLHLCIETWNASLVKRWVEVASKEQIVEAVDVPSPVGTALCMAAALKKEHEKEGRELVRILLAVGADPTAQDDPHCRTALHTAAMINDAELVKIILDAGVDVNIRNAQNTTPLHVALNRGANSCVGLLLAAGADCNLQDDDGDNSFHIAADASKMIRENLTWVVQMLEHPSPAVDVRNHRGWTLRDFLERLPREWISEELMEKLEDKGVHLSPTMYEVADWVKFRRTVSSPDFGWQGAGPKSIGFVQSIVDNDHLIVSFCSGEARVLTSEVIKVIPLNRGQHVQLKADILEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIERVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGVVYSIRPDSSLLLGLCYLSNPWLCEPEEVEHVDPFKIGHQVCVKRSIAEPRYGWGGETHHSVGKIIDIESDGLLIIDIPNRSASWQADPSDMEKIDDFKVGDWVRVKATVPSPKYGWEDVTRSSIGVVHSLEEDGDMGVAFCFRSKLFLCSVADIEKAQPFEVGEKVHVLPSISEPRLGWSNETAATIGAISRIDMDGTLNVKVSGRNSLWKVAPGDAERLSAFEVGDWVRLKPSVGSRPTYDWNSVGKISIAVVHSIQDSGYLELAGCFRKGKWLTHNTDIEKVQPFKIGHHVRFRAGISEPRWGWRDAKPDSRGILAGVHADGEVRVAIFGVPGLWRGDPADLEIEQVFEVGDWVRLKNDADDWKSLKPGSIGVVHGIGYEDDAWDGTIHVAFCGELERWIGFSSQLEGVSRFVVGQRVRIRGCIRQPRFGWSNHNHSSIGTVSSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCIGDWVKVKDSVVTPTYQWGDVNHNSIGVAHRAGDGELWVSFCFCERLWLCKGWEVEKVRPFRQGDRVRIRPGLVAPRWGWGMETYASKGEVIGVDANGKLRIKFRWRDRLWVGDPADVILDDAPSPTEASNGGFCS >SECCE1Rv1G0037120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:519337338:519340606:1 gene:SECCE1Rv1G0037120 transcript:SECCE1Rv1G0037120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASASSLPTSAGAGENLVLILDFGSQYTQLITRRVRQLGVLSLCVSGTAPLSSLTGLRPRAVILSGGPHSVHASGAPSFPEGFLDFAAEAGAHVLGVCYGMQLLVQSLGGAVEAGERQEYGDMEVETTAASSELYGESGVGNRQSVWMSHGDEVVRLPEGFEVVARSVQGAIAAIEQREKRFYGLQYHPEVTHSTQGKETLRRFLFDVCGIKADWKIQDVLDEEIKTIKSMVGTDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYKEKERVMATFNSDLHLPVTCIDASEQFLSKLKGVKDPEMKRKIVGKEFIAVFDEFAHMLEQQTGIRPEYLVQGTLYPDVIESCPPPGSGMTHSHTIKSHHNVGGLPEDMKLKLIEPLKLLFKDEVRKLGSILNIPESFLKRHPFPGPGLAVRIPSGDVTEGNALEVLRQADEIYVQALRDAGLYDTIWQAFAVLLPVETVGVQGDQRTYGYPVTLRAITSEDGMTADWYYFERKFLADVSNRICNNVRGVNRVCVDITSKPPATVEWE >SECCE7Rv1G0490380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:482313665:482316156:-1 gene:SECCE7Rv1G0490380 transcript:SECCE7Rv1G0490380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFECVLKLLNLVLMAVGLAMAGYGAYLLVLWLQLLPSSPPAPPPSGNLVHLGRPMLLLIDVSISDGTSEKLISAWFIFAFIGVGVILFITSIFGCTGASTRSGCCLSTYSFLVILFVLVELAAGCFIFFDHGWKDVIPVDKTGNFDMIYGFLNENWRTAKWVALGAVVFEALLFTVALIVQSGNQDDYGSDDEYIGPRSGVRQPLVNKQTATDPRVPNLDYRPIRNDAWSQRMRDKYGVDSFDPNRFQQATISPAEQRNRCTIL >SECCE4Rv1G0221160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:46164672:46166324:-1 gene:SECCE4Rv1G0221160 transcript:SECCE4Rv1G0221160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGQQSDQGPAANSAKEFLEGNWGSVAMHQKMGYDSGAYGFEAYGMELEERPGLYRSSAGTFSQNIQMSDEHSGGVKKRKGIDDCIALLNPSASSKNVGDQQTEVSSQPERSSVERDNRKMSTKTQSKEDSSDGDGTKENYVHFRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCNKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSKQMMLSQDRRLGFYGAEPGSSALIAHFNQGMMHPDMMCNASNPVGALHGTIHDISMMNQMPEMWETLQNIPHMNFNPAVAADSSTNNAGPMKIEQ >SECCE5Rv1G0304620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:60004901:60005836:-1 gene:SECCE5Rv1G0304620 transcript:SECCE5Rv1G0304620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTAPDDNEVAHDFGLVRVYKSGRVERPLVAPPAAAGIDPATGVESKDVQLGDYSARLYLPPAAATATNKLPVIVYVHGGGFVAESVASPNGHRFLNSLAAACPALAVSVEYRLAPEHPLPAAYEDCVAALRWVLSAADPWVAEHGDLGRVFVAGDSAGANICHHLVIQPDAVRLKGAVLIHPWFWGSEAVGEETRNPVWRAMGGRLWEFACPGSTGIDDARMNPMAPGAPALETLACERVMVCVAEGDFLRWRGRAYAEAVAAARGGEGQGVELLETEREGHVFHLFKPDCDKAKEMFDRIVAFVNAP >SECCE7Rv1G0505340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:734196921:734197888:1 gene:SECCE7Rv1G0505340 transcript:SECCE7Rv1G0505340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRFISPVKRSRASIGSQPLAFYQKRFSADPRFTLSSRSSSMEEAKRKPRGVLDLEAQFAFFRSQHRHPVNAAAHALLAGPTLFGNLLILYFLPLPSPLDPALALTLAYAAAYLAVDRRAGALAALLLLGGWAASRALAARLGFALAWKVVLATELFCWTWQFLGHALFEKKGPTVSELPEVFLMEPFLILLQILNKLFDYEPYPGFSNNVDKKMEADLRESKELEQRKIN >SECCE1Rv1G0058750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701818246:701819024:-1 gene:SECCE1Rv1G0058750 transcript:SECCE1Rv1G0058750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCAAADGGGAEPAVRKGPWTMEEDLVLANYVAANGEGAWNSLARAAGLNRTGKSCRLRWLNYLRPDVRRGNITPEEHLLIVELQARWGNRWSKIARHLPGRTDNEIKNFWRTKIQKKRSAGTTASDSTGCQLLTSTAVTESQSSSSNSVGLPGAMPHYDVVAATTQPGGWGDHQLGYAVQGGGGAACAGGMDSGVQPEFLQAAAVAGDNFWGLEEFWPTVPSLHGDY >SECCE3Rv1G0158090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85438712:85444300:-1 gene:SECCE3Rv1G0158090 transcript:SECCE3Rv1G0158090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPHRRSTGNGNGGAGAGGDYIKSWIMCGNLQRGFGSLVRDPCGLNPDPYYLKGGKMLRPEKWNACLDADGKVIGFRKALKFIVLGGMDPSIRAEVWEFLLGCYALSSTTESRRKLRAARREKYQCLVRQCRSMHPSIGTGELAYAVGSKLMDVRTMPKENDSTEEVSTSQRASENAPGSAVENSNLNYDSGGTPQSQSCSKSAEVVGFNTHNDSSVYNSSKFMASSTVVNSCLSDSGDYNDMGEPRYDSETFMEYPSLPGTNLFANDGGDVNGVDESLCSFSVPEDRLRQRDERMHSFQINNNIDLIMESNSSDLFRASNSDSAIFHSDAFKQDRWLDDTGYNREIVDSLKISDAPEADFVDGTKSDSPVANKDRVAEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQLEGPTGVMKQLEALWKIMELTDTELFEHLSAIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFDEDAIRNLEANCLEPLLLDVKNDLSCEVKEEPRLNKYTRRKSKSRRSHRRNGEIRVSCNPGVKSSTRNSLCGLSGATIWARHQHMPHLSTNVLTKNGDDELPIFCVAAILIINRHKIIRDTHSIDDAIKMFNDNILKINVKRCVRLAVRLRKKYRYKSLKGGSE >SECCE2Rv1G0138410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921559501:921563046:1 gene:SECCE2Rv1G0138410 transcript:SECCE2Rv1G0138410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDWQELAQSAVIGLIFAFLVAKLISVVLAFKDDNLRITRSAPDPAPSPDAPSSSPALDGATSGGESDSDGDWEGVESTELDEDFSAASAFVAASAAGVPEDAQLRLYGLYKIATEGPCTAPQPSALKLKARAKWNAWNKLGAMPTEEAMEEYITIVDEIFPNWADGSKKKDGETTMSASGSKGPMGPVFSSLMYEEDQGNESELGDIHVSAREGAIDDVKKHLAAGVQINIRDSEERTPLHWAVDRGHLDAVEVLVNSNADVNAQDNEGQTALHYAVLCEREDIAELLVKHQADLQIKDGDGNTAQDLCSSAWPFMKPAN >SECCE5Rv1G0321750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:409856905:409859069:1 gene:SECCE5Rv1G0321750 transcript:SECCE5Rv1G0321750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVSESCVDGVVMEMVAAYCGRFYAAKPELAAGRIEAIGFQVGHQLSERYTMERPRFTDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNHFRWLTRVSLDPAVESTDATENDSGSLGDSAAQTTSMLLYFPCGLIRGALTNLGIPCAVSADMSNLPACSFVVRIKT >SECCE6Rv1G0429170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:733797868:733801809:1 gene:SECCE6Rv1G0429170 transcript:SECCE6Rv1G0429170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAMGAIGPLLPRLTDLLVGEFTLEKRVRKGIESLVTELTLMHAALRKVSKVPPNQIDEGVKIWAENVKELSYQMEDIVDTFTVHVEGGGEPSNPKNRVKKLLKRTIKVFKKGKDLRSISGSLEEVVGQAKQLAEQRQRYELVHDTGVGSSIDPRVMALYKDVTELVGIEDTRDELINMLIGGDDWVNHPLKTISIVGFGGLGKTTLAKAAYDKIKAQFNCDAFVSVSQNPNIKKVFKNILYELDKQKYAHIRNEEWEERHLIDELMEFLNGKRHLIVIDDIWSEEAWELIQLAFSKKSPGSRLITTTRIFSVSKACCSSEDDIYRMKPLSDDVSRRLFCKRVFSHEGECPQELLQVSEDILKKCGGIPLAIITIASLLANKHQIKAKNQWYALLSSIGHGLTEDRSLEQMKKILLFSYYDLPPHLKPCLLYLSIFLEDQEISRDRLIWRWISEGLVYSEKQETSLYELGNSYFYDLVNRSMIQPTGIDVEGNAQVCRVHDMVLDLICSLSSEENFVTVLDDTARKMPNSKIKVRRLSIQSSKIDVDTTSRMEHMRSVTVFSDNVVGKVLDISSFQVLRVLDLEGSDVSDVGYVGNLLHLRYLGLKGTHVKDLPMEIGKLQFLLFLDLRRTKIKALPSSVVQLRRLMCLYVDCDMKLPSGIGNLTSVEVLDNLALSEVDLDFLKELGHLTKLRVLRIYCDGFDESLGKALEESISNIYTLDSLDVYVFDGLINFLSKDWVPPPQLRRLAFQSDGSWFKTLPSWINPSWLPLLSYLDIKVFEVRPEVIQLVGTLPAVVYLEIWNYSMFKEAHEVEAPVLSSRAALFPCATECRFRGIGAVPSMFPRGAAPRLKHLGFALPAKWISRENFDLGMRHLPSLQRVYVQVIKERASDAEVDEAEAALRAAAEDHPNRPVLEIRNYV >SECCE7Rv1G0518670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:860538155:860541910:-1 gene:SECCE7Rv1G0518670 transcript:SECCE7Rv1G0518670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLFSLLALLLVAVASPAAATITRGDFPPGFIFGTASSAYQIEGAVAEDGRKSSIWDTFTHSGYSVDGATADVTADQYHKYKQDVKLLSEMGVDAYRFSIAWPRLIPDGHGAVNPKGLEYYNNLIDELLAHGIQPHVTIYQFDFPQALQDEYNGMLSRKFIDDYTAYAQVCFENFGDRVKYWSTVNEPNIEPIGGYDEGYFPPRRCSFPFGVGCDKGNSTTEPYIVAHHLLLAHASAVSLYKEKYQDKQGGKIGLTLLGLWHKPATQSPEDVAAAARMNDFHIGWCMYPLVHGDYPPSMRKNVGSRLPSFTAEDLKRVPGSFDFVGFNHYGVIYVEADLSKLDQNVRDYMGDAAVKYESVPFFNSKNQVFGLRSGSITPSSPWALRELLEHLQVQYKNPVVMIHENGAASIADPSGGNAPNDEFRSQYLQDYIEATLQSSRNGSNVQGYFVWSFLDVFEFFFGYRRGFGLYSVDFSSEERTRYQRHSAKWLAGFLHGGELRPVALPGKAYSQ >SECCEUnv1G0535130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:52284166:52287490:-1 gene:SECCEUnv1G0535130 transcript:SECCEUnv1G0535130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLRVYQVWRGNNIIWCGGRLIFGPDAKATLLSFSLIVAPVVVFCVFVGKNLIHIFPAYNAGYAILVVTVVLTIHVLLLLFLTSSQDPGIVPRNSNPPVEEFSHDSSAPHTLQFPRIKEIMVNGVPVRVKYCETCMLYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGQRNYRYFFWFVCSAAILCFYVFTMSALYISLLMKDHRSVVEAIKASPASVAVMAYCFVCFWFVGGLTGFHSYLIATNKTTYENIKYKYSNQPNAFDRGCIHNCFEVLCTKRKPSRINLRAIVQEEHVASLPRISRSSVPEDETPHRPRAKVEDDLEMGLDILKTSRRRSDELSDLELGTASNGARYRRSDSDTEVPVMTRTITESSDQTRDLDFFSVTNAAHPSSPEQRHLPDELR >SECCE4Rv1G0250050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:589296641:589301710:1 gene:SECCE4Rv1G0250050 transcript:SECCE4Rv1G0250050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEEGNAAAPRGFSRRRGTVRASLDADELMALMHGSDPVRVELTRLENELRDKERELGEAHTEIRALRLSERAREKAVEELTDELERMDEKLKLTEYHLDNKNLEVKKINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLSRQEIAKLQEDNRALDRLTKQKEAALLEAERTVQIAMAKAAMVDDLQNKNQDLMKQIEICHEENKILDKLHRQKVAEVEKLSQTVRELEESVLAGGAAANAVRDYQRKVQEMNDERKTLDRELARAKVTANRVAVVVANEWKDSNDKVMPVKQWLEERRFMQGEMQQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPPTEGKSFSNGPSRRLSLGGADNMSKVSPNGLLTRRSPSFHSRSSLSSSSSLILKHAKGTSKSFDGGTRSLDRGKVHGNGSHLLNKSTDAVKDREANDGWKETVDGGTNESPNSNADQRSNETTNSNSIEMVSGFLYNMLQKEVVSLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKDQEIKARRLGNSKGSGSSQLLPGRSAPRSGLARNFQ >SECCE5Rv1G0357940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:750920668:750921145:-1 gene:SECCE5Rv1G0357940 transcript:SECCE5Rv1G0357940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKVAFAAMALVVAVAALLPATASAASYTVGDGSGWDIGVDYHAWASGKKFRIGDTLEFLYSLGEAEHNVVVVDAQSFAACTVPSNAPTLTTGDDKVSLTQAGHWFFICGIEGHCQDGMKLAVNVH >SECCE4Rv1G0238910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:388956199:388965745:1 gene:SECCE4Rv1G0238910 transcript:SECCE4Rv1G0238910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP5 [Source:Projected from Arabidopsis thaliana (AT2G40930) UniProtKB/TrEMBL;Acc:A0A178VRF5] METIESEPAPELPAEEEMALIRDITAAAEVHAKEGDIFFLITNRWWQSWIDYVIQDSAGVPSNGSHHHEFGPNTPRRPGAIDNTNLLDDMASEVADMQIELHDTLVEGRDYILLPQQVWEKLHSWYGGGPTLPRKAINTGLSQTDLAIEVYPLRLQLLLMPKGEQAVVRISKKVTVDELRKKACEVFDLVPDEVCIWDYYGQTKHALMDNLEKTLDDANIQMDQDILVEVTTDANGSLDGACMSLIQEDYFGSASTSLMTDASKSGFSNENLASLCYGSRSYSSSLMQSQYLRSSSGDLDNVPGTVGVTTRGSPSGLTGLLNLGNTCFMNSAVQCLVHTPEFARYFREDYHCEINWHNPLGMVGELALAFGELLRKLWAPSRTPVSPRPFKTKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHRPYLKSKDAEGRSDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCGKVSVTFDPFMYLSLPLQFASTRSMTVVVFTCDGSAPPIPYTVSVPKQGRCRDLIQALSNACSLRNEERLLIAEIRNHRIHLILEDPVLQLSTIKDDDHLAVYRLPRLEKRASYIQFVHRREDLDLGNNSSSTSWKPYGVPLLAQISRNEIVSGSDIHEMARKMLVPMFRNHVAQHSAVQSSLSTRTQSYHTDNSKFQLRLIDDSNTVIEQTDYAIRVPQSSVATVIFVNWSKLDLKKLNTNHLEHLPEVFKYAPPAKRTRGEPLSLYACLDAFLREEPLVPEEMWYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKHKLETFVNFPIHDLDLTNYIANKIPQRQSYELYAVSNHYGSMASGHYTAYIKLLDENRWYNFDDSHVSAINEEEVKSGAAYVLFYRRVREADGAAGTGTQSCVKRSRRSSQR >SECCE6Rv1G0401540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:468534274:468536975:-1 gene:SECCE6Rv1G0401540 transcript:SECCE6Rv1G0401540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTMGAAAADLEARQLRILRRIEDLELVAQQHRLRALSLSGAETEVEAGDTEEQLTTLLAARGVHDFAFRRVPADYYDRPLEERRDLLAADSVAQLCKSIVMVNTKAAADVVDCSNPKNSKYYVVIVQYMARLNAENIKNFLYTLNESQIPKKRFNMRLAPEEESLMLTGFVHNGVTCIGMKTDIPVIIDEAITKLDEDFFWLGGGEVDLKLGMRTSQFLNAFNPFVVKCS >SECCE2Rv1G0132760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:893918018:893920336:1 gene:SECCE2Rv1G0132760 transcript:SECCE2Rv1G0132760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLSSIFTSSFKAPSRERRAPLAALAAATERVRAGTLSRQDAHHLFDELLSQAATVPVRTLNHFLAALARAPPSAACGDGPALAVALFNRMFRGAGARAMSPTCCTYSILMDCCCRARRPDLVVAFFGRLLGLGLRLDVISFNNLLKGLCQAKRSNEALDLLLHRMPELDCAPDVFSYSIVINGCFKEGEVEKACNLFHEMPQLGVQPDVVTYNSIIDALSKYGAMNKAEVVLRQMVDQGIGPNVMTYTSLIQGYSTSGQWKAAVRVFKEMVSLGALPDAVMWYSFIDSLCKHGKTKQARDIFDSMAAKGHKPDIFCYSTMLKGYVKEGCFDDMTDLFNSMVRNGIVPDHHVFNILINAYAKRGLMDEAMHMFEVMRQQGVNPDVVSYQVIMDSLCKMGKMAAAMDIFNQMVNQGVSPDIVVYQCLVLGSCSHGDFVKAKELISEGINRGLCSNSVFFYPVINNLCKEGKVMEAQDMFDFIVGIGQRPDVIMYTSLMDGYCLVGKVEKALRVLDAMKSAGLQPTAVTYGTLLNGYCKIGRIADGLSLFREMSLSGVKPTTIMYNIILDGLFRSGRTVSAKEKFRTMSESGIPVGVDTYNIVLSGLCKNNCTDEAIELFKKLRAMNVKINVIILNTMISAMFKTRRIEEAKDLFATISAIGLVPSVATYGLMMTNFIKEGLLADADDMFSAMEKAGCAPNSRLLNQVVRVFLKNGEVVKAVTYLAKLDAKQLSLEASTISLILSLFSRKGKFREHVKLLPAKYQPPEMLG >SECCE1Rv1G0023820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:313879491:313881586:1 gene:SECCE1Rv1G0023820 transcript:SECCE1Rv1G0023820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWFFSGTSTAAPPFDDAGIILLSGPPCCGKTSLLFQFAINRAAESGRQVVFICSKGRLENSPPFLSQGVEPSLKVLQRIQIKYIEDDEGIRKYFAAFHLLDDFPAAVIVDDFTGFFSERSCQLRYGNARARDLALVRILALCQNAISHANAKLGTIGSCNLLLSDVHQGDNPRSLFIYKRWIGSIYTIQGDGLGSYVLKNISTPQTGTKEARAAKYSIALQYLILEEIKE >SECCE6Rv1G0404630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:522020623:522023302:1 gene:SECCE6Rv1G0404630 transcript:SECCE6Rv1G0404630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAAAPLRTRVCIIGSGPAAHTAAIYAARAELKPVLFEGWMANDIAAGGQLTTTTDVENFPGFPTGIMGIDLMDNCRAQSVRFGTNILSETVTDVDFSARPFRVVSDATTVLADTVVVATGAVARRLHFAGSDTYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEEGNFLTKYGSQVYIIHRRNTFRASKIMQARALSNPKIQVVWDSEVVEAYGGAGGGPLAGVKVKNLVTGEVSDLQVSGLFFAIGHEPATKFLNGQLELHADGYVATKPGSTHTSVEGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKSD >SECCE3Rv1G0186670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:688540912:688545402:-1 gene:SECCE3Rv1G0186670 transcript:SECCE3Rv1G0186670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRLALLLLVVAAASLAGASGRRASSSTARLPLLRLAPASLADLARSDRQRMAFIASHGRRRTRETAAGSSSAAAAAAFAMPLTSGAYTGIGQYFVRFRVGTPAQPFLLVADTGSDLTWVKCRRPASASASANSSLSPADSGSGRAFRPEDSRTWAPISCASDTCTKSLPFSLATCPTPGSPCAYDYRYKDGSAARGTVGTESATIALSGGEERKAKLKGLVLGCTSSYTGPSFEASDGVLSLGYSGISFASHAASRFGGRFSYCLVDHLSPRNATSYLTFGPNPAVSPSSPSSCAAAAAPRARQTPLLLDRRMRPFYDVSLKAISVAGQFLKIPRAVWDVEAGGGVILDSGTSLTVLAKPAYRAVVAALSKELAALPRVTMDPFEYCYNWTSPSGKDADVAVPKMAVHFAGAARLEPPGKSYVIDAAPGVKCIGLQEGPWPGISVIGNILQQEHLWEFDIKNRRLKFQRSRCTH >SECCE6Rv1G0398720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:425277276:425283274:1 gene:SECCE6Rv1G0398720 transcript:SECCE6Rv1G0398720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNAFDEKFEAAVAKLHVIGIARKTVSPVLKKLLELYDYNWEYIEADEFRVLTDAIFDEPDPKEEQKKQANKRKNLDSDHYNKKLRAKHHSQKPTSKMHANEKRELAEAPLQQEAGKLCPQTVCATGNTLQLSSSRLPIKERNMEASVLEDTPTDDDSLALSVQGQDLPTFETPLAIMSPPVQDSSHQRAHKDAHERNISDTSRSQVITSSKDSSTNFEVALSNSGTGKLSFTCNSADHPDFHMPDMESVCKEMEARCLRTYKILEPNFSFIKLLQDTCQCIVDLGHASSGPRESGTVQIVPAMDFLSKPSVSRVLQPNEAGSSCMPPNGRIIHASIHSSGSFAEEQISSSNMLVIANRPAHHVNDITKGEERVSIPIVNESGNGILPPPFHYIPCNIIYQNAYVNLSLARIGDESCCSGCFGDCLAEQLPCACATVTGGEFAYTREGLLKEVFLDSCVAGLPKFHCKVCSPCEGHPTKKFIKECWSKCGCARNCGNRVVQRGITRQLQVFLTPGKKGWGLQAAEELPRGAFICEYVGEILTNTELDERNTQGTSKGRHTYPTLLNADWDTEDVLGDDHALCLDATFYGNVARFINHRCSDANLIDIPVQIETPDRHYYHVAFFTKRKIEPFEELTWDYNIDFNDVNHPIKAFKCCCGSKLCRDKKNNPRSRSRALLL >SECCE4Rv1G0265110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724487132:724487809:1 gene:SECCE4Rv1G0265110 transcript:SECCE4Rv1G0265110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWSPPPPRDVEHFPFPSLGAHDPVSLSLFVACVAATVAVASTMCSACGRKPKAVRQEPDAAADAQASDASGGADGEEVVVTLPPDMGTHGPIEAAPLPKSASRRKLSVSMSMGVGKSMSMNVGKSIANIPDKMKLSKLERNKEKDDPEDTLWKKSIILGGKCKIPGERDAEASDPDAAADAADEMTAGAFRRSSYSRPVSRSNSFSVHQPLPEPPPMQSYSKS >SECCE3Rv1G0159540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:96838513:96839721:-1 gene:SECCE3Rv1G0159540 transcript:SECCE3Rv1G0159540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDKRGELPPSEYTAGELPRDALYDILLRLPAKDVCRLRAVSPSWRSLTSDPLFVKAHAARHTGPLLATTFVDGESCGVSIVDLLSGDVIKRIRTSDPNLRVQRTRLDRVCLVGGRHPLGVPVTLLNPATGAVISSPHDISMKYAGLLKTRKAYMDSCIFGKVPSTGVYKAFRFLEVHPLISQQQLCEVMTLRGSTRWRARPGPPGPVFSDHTMKSAVIDGVVYFLMDFSNMYEEYWKVTFKPASMAAFNLETEEWMPPIDGPEQVSSLYDEEDVLPVFKDPRAVGILSITNLNGSLVVAQVHHSLPQSMDLWFLMDLEKGLWVKKYSIGYYRREDLSSYPLLVLDDERIVFVMQLTGLLQVYDPKTETYTDLWQLEDFKSMCIYTGNLLSQEAALNC >SECCE5Rv1G0309680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:157857935:157865397:-1 gene:SECCE5Rv1G0309680 transcript:SECCE5Rv1G0309680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNPGYLVISEPISLSGPTEKDVIQTAEVEKFLADAGLYESQEEAVSREEVLGKLDQTVKTWIKKATRVSGYGEQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHASRTDYFFRYLHDMLAEMPEVSELHPVPDAHVPVLGFKLCGVSIDLLYANLAHVVIPDDLDLSQDSILHNVDEQAVRSLNGCRVTDQILRLVPNIPSFRTTLRFMRYWGKRRGVYSNVIGFLGGINWAILVARICQLYPNASPSMLISRFFRVYSQWKWPNPVTLCHIEEGPLGLPVWDPRRNFRDRGHQMPIITPAYPCMNSSYNVSTSTRYLMVQEFTRGYEICQAIDDNRATWDDLFEPYPFFELYRNYLEVGITARNEDDLRNWKGWVESRLRTLVLKFERYTHEMLLAHPHPRDFSDGSRPLHSFYFMGLWRKETAQPQEPEQFDIRGIVNEFKVAVLAYVHRREGMDIDVSHVKRKDIPLFVFPGGVRPPRSSRTAARNSRAVSRNDVTADVQVGNPLGVNSRNDVTADCQVGNPLASESWSDPQSAVDRSAGYQSTSLLAPSVSSKETQNILNGHSNLHTESVEHEHPGRFLGSTSAPGNIAVSDVVTQPISMPSTSSNAGPTNGLGICFNSLHKESEGIPANNPVNFYPAVVHELVSYQAKPDNKHVIPVQGSSLEGCSGRTPGQTGNLSSRGNNHLKRKAEDELEPLELAGPSVGATLAVQRKPLRLRLSTVLQPKQA >SECCE3Rv1G0189440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:726095960:726109456:1 gene:SECCE3Rv1G0189440 transcript:SECCE3Rv1G0189440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-III [Source:Projected from Arabidopsis thaliana (AT3G23710) UniProtKB/TrEMBL;Acc:A0A178V7Q4] MPFDFPWLNKAASTSIPNPNPSKPNNPNPFLPIQTHLTSFLSSLPLPRAIAPPWARIPSPSSSAALPVAEIEDRLAGVPVYALANSAQEFMLVSKTHRGGGGDVAGGACGSARHPLALGMLCFRREDADMLLAQMDDDMRAGSTVVPVALNKVIQLKSDGVAFRFLPDPSQVANAIKLMQDGGEFVNEGFPGVPVFQSRSLVLRNDNKRYRPVFFRKEDLDKSLHRASSDQQKPIPAVRIGDTQVSSLEDIITSMKDSSSSKWDDAVFVPPGFDIAIGSEPSHLNK >SECCE1Rv1G0029100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:409398665:409399366:1 gene:SECCE1Rv1G0029100 transcript:SECCE1Rv1G0029100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGELKLLGVWTSPYVIRVRVVLNLKSLPYEYAEESLGSKSALLLASNPVHQSVPVLLHGGRPVNESQVIVQYIDEVWAGAGPSVLPADPYERATARFWAAYVDDKVGSAWTGMLFSCKTEEERAEAVSRAVAALETLEGAFAECSKGKAFFGGDGIGFVDVVLGGYLGWFGAIDKIIGRRLIDPARTPLLARWEERFRAADAAKGVVPDDAHKMLDFLPTVLAWVNGKAN >SECCE2Rv1G0112460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:710012885:710017784:-1 gene:SECCE2Rv1G0112460 transcript:SECCE2Rv1G0112460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKTRTGAVGPWALLALALLLAGSCVSVQAAGEQAANGGGRRRRTRRRSAADMMVPITFLNASVERGAVCMDGTPAAYHLDPGSGAGNRSWIVNLEGGGWCNNARTCRYRTRTHHGSSNFMERQIIFTGIMSASPADNPDFYNWNRVKIRYCDSASFAGDAFDKGTGLYFRGQRIWEEAIQHLLSIGMASADRALLTGCSAGGLAAILHCDQFGAFFAGRSTTVKCLADAGLFLDAVDVSGGRSLRSYYGDIVAMQGVAPHLPPTCTDHLDATSCFFPQNIIDNIKTPIFLLNAAYDVWQIEESLAPNKADPSRAWRACKFNRSACNASQLDFLQDFREQMVASVRGFSASKSNGLFINSCFAHCQSELPATWNGTPAIQNKRIAKSVGDWYFGRAEVKAIDCPYPCDNTCRHII >SECCE5Rv1G0312020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:213615738:213617904:1 gene:SECCE5Rv1G0312020 transcript:SECCE5Rv1G0312020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKFPRFSQGLAQDPTTRRIWFGIGTVHDFESHDDITEERLYQNIFASHFGKLAIIFLWTSGNLFHVAWQGNFESWIQDPLHVRPIAQAIWDPHFGQPALEAFTRGGATGPVNIDYSGVYQWWYTIGLCTNEDLYTGALFLLFLSTLSLIAGWLHLQPKWKPSLSWFKKRGISSQSSFVRTFWGKFFGLDRTFSSCCYSCIQGEYVRWNNFLDVLPYPQGLGPLLMGQWNLYAQNLDSSNDLFGIAQGAGTAILTLLGGFQPQTQSLWLTDMDHHHLAIAFIFLIVGHMYRTNFGIGHNIKDLLEAHTPPGGRLGREHKVLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPPYAFIAQDFTTQAALYTHHQYIAGYFFIRDYNPEQNEDNVLAGMLDHKEASMFTLGLYDHNDVMLAFGTPENQILIEPIFAQWIQSAHGNTTYGFDILLSSTNSPAFNAGPSLWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTKVILVKGALDARGSKLMPDKKDFGYSFPCDGLGRGGTCDISAWDAFIWQFSEYYLWLNSSQFINGYNPFGMNSLLVWAWMFLFGHVVWATGFMFLISWRGYWQELIETLAWAHERTPLVNLIRWRDKPVDLSIMQARLVGLAHFSVGNIFTYAAFLIASTSGKFG >SECCE5Rv1G0304340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:57986744:57992965:1 gene:SECCE5Rv1G0304340 transcript:SECCE5Rv1G0304340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRMAANNPMRKYSWWWDSHICPKNSKWLQENLSDTDSKIKLMIKIIDEDADSFAKRAEMYYKRRPELMSLLEELYRAYRALAERHDHAAGELRSAHRKMAEAFPDEYQLDLDDDLPSETASSETDSDSRDMTPFFRSFINTGDSKKRSKDDQDHEKLQKEISSLSQENQDLKKKISSVLEKSESAESEVRSLKEALAQQGSEKEAAVSQCQQSSDRLQNLQSEISHTQEEFKRLKEEMQNGLQNLSTAEEQCLVLERANQDLHMELDKLKYASKEKHEKLNEKHIELEKLSISIQEEQLKSMQAEMARLSLEKQLAQVQEKLRLLSLEKHGEASKFEDVEASKLMLQKELEMIREENRKLDDQNHSSTSVIIRLQDEIISLKNAQRKLEEEVSRHAEEKKVLQNELSHIKNDRGDVERKHFSIKEQIQVVNFNVESLQAIAQEMRDGNVELKETIKNHDGVKALYVENLMQLERTMEKNAHLERSLSAATTEVAGLRQNKTTLEESCKQLSSKINGYQSERAMFIARIEGISHTMEKLSEKNVFLENVLSENNTELENHRMKLKDLGESAQALRNQNSLLRSDKRTLVQEVDSINGALLDLETQYAELEGRHLDLQQEKNIVHNEAVKLQELLRLEREKSKELTHSDKAQFSAIQKQIALLLEDGRHKENQLQEGEHKIVEAQIEIFILQKFLGDMAEANSDVSGQLQKQQEAHKVLEEKLACLTQNNQKLTEGIGSVMEVLQFDEKYGSLDLMKVDVVVQLILHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLRQEWQAKSEELLQLQSERHDLLKISCDLRKDVEARNRKVDEMKADSKFLVRQLSELQESRQSLQAEIIKLIEENSSLAGKLYDSREKENSFEDDFSNVIGEAIRTDILGVVFRSLHDERTSELQALHDDFGCLHAAGNELYQEIRLMNKKLGDLQLENNYLEKELSRTLSICDGSSPEIGSARRRTMRRDTKLLKSGRKSLQESPVNVEQRKEVDNAGLEKSNEMLREELHKLQSEMQLLKNNEQPVIDVRSCDAEISKLLANMQIATANAALFKEKVLELIVACESSEISEIVQKEVLKEEISRRNSYVDALKDKLNAVEIENRRLKVDLNGDFTVLGALQTEVSALEKQTLSLAKDCVPSNKLKKEEFLLSPQLSKIAVRPSDDQNSPKLVKDMELQRLHGTIKALQKVVTDTGVVLEQERLDFSSNLQDARKQIEMLKLKDVLDSDASDANYERMLKDIQLDLVQTPSRRAIGSHRLKKKITAQPDDKMLALWSVVRTSSGSGRHDDLRPPQSEAASEKDKGRRSTSELMLVKDLVVDKQDLPRSVVTTEPHREWKKKVIERLSSDAQRLRDLQSILQELRASVEASGESELESVRAQMIESEEAITQLIDTNSKLLTKAEEFTSADGVDGGSVDLRSRSQRKILERVRKMSEKVGRLEMEMQKFQQVLLKHEEERASRRAAKTVQRRSRVQLVEYLYGKRRGGGGDGGSRRQKRGPSCCMRAKAIDD >SECCE4Rv1G0230140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:158965619:158966035:-1 gene:SECCE4Rv1G0230140 transcript:SECCE4Rv1G0230140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHSPSNSLILLLVLASIQAGRGATSHPSPVPAGYVPVRAVVYRSVALPAATVAAAGDEAPGVGGRYEPFQLCMGCRCCAAAGSGSNGNASSSCVDTRCCYAIDCNVPGKPFGVCAFSPRTCGCGADAGAGNNCTTA >SECCE5Rv1G0298310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10909576:10912973:1 gene:SECCE5Rv1G0298310 transcript:SECCE5Rv1G0298310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLGSAPGKGFWARHRWKMLLSLGVAGAGYAAYRFYDAHQKQLVLVEQRAEEERAADEIIKNQLQAHFENVQRISDTTTLPFAMHYLRSRIMEELDISHLTEKLLQGKGELTPEEKYETWEKIKILSFTRTVSSMWAMTLLSLYVRVQVTILGRHLYLDFARGTDGAQLQAESDTFSGNGRKDFLGTADYLATYGITALIRQMQHAATEILKEKQLKDPMSMDQVSQTMLQISEQFMSLCEGNSWINFLVPENANRYAQLMAVSSSGFDESSLLMDVGKLDQLMTETRIVLASDDFRNIMDMSLRKVADLVIEDLGAQVGAALPPSGLPLAKLLARVAQLSSTLLEEPSKNKHIQTIRSMPEVGLFYTFLYANMPTQT >SECCE5Rv1G0365570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:807969689:807974567:-1 gene:SECCE5Rv1G0365570 transcript:SECCE5Rv1G0365570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAALVSVATGVLKPVIEKLTVLLGNEYKRFKTIRKEIKSLTHELGAMEAFLLKMSEDEDPDVQDKVWMNEVRELSYDLEDAIDDFMQSIGDKDEKPDGFIEKIKSSLGKLGKMKARRRIGKEIQDLKKQIIEVGERNARYKSREAFSKTVNATVDPRDLAIFEHASKLVGINKPKAEVIKLLTKEDVRSTVQLQQQLEIVSIVGSGGMGKTALANQVYQKLKGQFECWAFLSVSRSPNMINILRTILSEVSGQDYSNTEAGSVQILISKINDFLLDKRYFVVVDDIWDVDTWDIIKCAFPATSSTSRIITTTRINNVAHSGCSSFNGRIYNIRPLDMVHSRQLFHRRLFKSDEDCPSCLQEISEQILEKCHGLPLAIISISGLLANIEKTEDLWNQVKDSIGCALERNPNVEGMMKILSLSYFDLPLYLKTCLLYLSVYLEDSTIEKKGLIRRWIAEGFIHREGRYTAYELGERCFNELLNRGLLQPGERNRYGKVTSCRVHDTILDFIISKSIEENFVTLLGIPILTIGNQSKVVRRLCLQGVKEGNSTVLTAGLTLSHVRSLIVVRGPLEIPSLEEFRHLRVLDLSYQPLEEHHLENIVRLFQLRYLNLQGTKISKLPKQIGHLGCLEILDLRRTYVGELPASIVNLRKLMHLLVEDLVKFPDGIAKMQALETLENVRVSIQPLDFLCGLGQLKNLSNLQLNLQCFGVDLDTEDTGVVWEECNKAIVSSLCKLGTQNLRSLIIWNDGGRLLQEPLCLPTLEFLSFCFSAFPQVPTWVGSLRNLQQLNIEVEVVKQDDLCILGALTSLLVLHLEESAHYASEGIHEVNENLRISGEVGFRFLKIFIYDACSKPVDLMFGAGSMPKLEKLELHYIRIVEANSLGFGIENLPCLTSVKCIGVEGDDGIVKAVKTAMERGASTHPNHPSLLFQRFR >SECCE4Rv1G0249410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:582590078:582591427:1 gene:SECCE4Rv1G0249410 transcript:SECCE4Rv1G0249410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLLDKLFLIWHDASADIRLFLLFLCTTTAITMYIMNRSRTVYLVDYACFRPNANHRISKAAWIENIHHSQSDDDDNGNHRFLTRISERSGLGDETYFPPPHHYIPPYYCLSEARADAELSIFTAIDDLLAKTSINLDAISILIVNCSVFNPTPSLTDMIMRRYKLRDNICDVQLSGMGCSAGLIAVGLAKDLLQTAPSDAHALVVSADIMSRTYYTGRKREMQVFNMLFRMGGSAVLLSTSSTKARFQLAHVIRTCTGSQDNAYRCVFYEEDDEGNLGLNLSKNLVDVAGKALRANIITVGPLILPLSVKIAILLSYVSRKVLNGAAKPYVPDFRTAFEHLCVHAGGRAVIDAVQRSLGLLDEHVEPSRMTLHRFGNTSSSSVWYELAYSEAKGRMRKGDRVWMIGFGSGYKCNSAMLKCIQPANSADKAWQDCIYRYPVDVPKEV >SECCE1Rv1G0052660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:663434428:663435045:1 gene:SECCE1Rv1G0052660 transcript:SECCE1Rv1G0052660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDETFASPTAAAGEFDFGFGPAHTNGGGGGHKAAYDPEEMDALRAAKRDLEEKLAEVRHENGFLSAEAARLEAQVAGAREDIAAADRAAAKAEGEAAALRAEVKRLQGLLDSSDRDADGPGGAGGDLATAHQEKLALEEEIKALKASAAAAAADKEGAEEEAAAAPSAKEGLAVPHGKVAAAAAAGAAATAAIAVVLLNLKR >SECCE3Rv1G0202690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:876231202:876234362:-1 gene:SECCE3Rv1G0202690 transcript:SECCE3Rv1G0202690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLMAAAAAMAATRRLLCTATADVAPSVTHLLALPPVTPSPTADELARLLLAHHNPFHPSESPLQLLSGGGVSLTQDLLVQILLRLRGASKLALSLLNAVRLHPSTSSPPNADAYDAVVDALGRAHQFDAAWRLVVEAAADDAASPRTFAVLARRYVAAGLTRQAVRAFDDMEAFLGREPDAQEFTTLLDTLCKYKYPKVAVEIFNKRKYKYEPNEKMYTILIYGWCKVNRSDMSQKFLKDMIDHGIEPNIVTYNILLNGICRHASLHPDNRFDRTVNAAENLLKEMSDRGIEPDVTSYSIILHVYSRAHKAELCLCMFRSMKDRGICPTVATYTSVIKCLASCGRLEDAEKLLGEMVSEGVCPSPATYNCFFKEYRGRKDVSGALELYNKMKAPCSPTAPDIHSYNILLGMFIKLDRHETVMELWNDMCESTVGPDLDSYTLLIHGFCVKEKWKEACQFFMEMIEIGFLPQKITFETLYRGLIQADMLRTWRRLKKRVDEESAKFGDEYKSYHIKPYKR >SECCE1Rv1G0029080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:409202295:409202996:1 gene:SECCE1Rv1G0029080 transcript:SECCE1Rv1G0029080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGELKLLGVWTSPYVIRVRVVLNLKSLPYEYAEENLGSKSALLLGSNPVHQSVPVLLHGGRPVNESQVIVQYIDEVWAGAGPSVLPADPYERATARFWAAYVDDKVGSAWTGMLFSCKTEEERAEAVSRAVAALETLEGAFAECSKGKAFFGGDGIGFVDVVLGGYLGWFGAIDKIIGRRLIDPARTPLLARWEERFRAADAAKGVVPDDAHKMLDFLPTVLAWVNGKAK >SECCE4Rv1G0254080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:632724051:632725571:-1 gene:SECCE4Rv1G0254080 transcript:SECCE4Rv1G0254080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACQGAPNGAAADDIGSAAKAHFVLVPLMYQGHVIPAVDTALLLATHGALASVVATPSNAARIRPTVDFARRSGLPVRLVELPLDCAAEGLPDGADDVDKIPPGLAPNYFRSLTLLAEPLERHLRAHPPYPTCIVSDFCYPWTVQVAANLKVPRLSFFSMCAFCLLCQHNVERYNSYAAVADDNEPVVVPGVEQRIEVTRAQAPGFFRAPGFEKLADEIELAQGDADGAVVNSFLEMEPEYVAGYAAARKMKVWTIGPVSLYHQHATTLAKRGNPATAIDADECLRWLQGKEPSTVVYVSFGSIVHADPKQVVELGLGLEASGHPFVWVLKNPDQYGEDVREFLRDLEERVAGRGMLVRGWSPQVLILNHAAVGAFVTHCGWNSTLEAIAAGLPVVTWPHFSDQFLNEKLAVEVLGIGVSVGIKEPLMWVAAKEVVVGREVVETAVRSIMDGGGEGKERRRKALALSKKAKTAVQEGGSSLGSLLDLIKHFEVDAEGCTAVQKDT >SECCE7Rv1G0512810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:815354160:815356488:1 gene:SECCE7Rv1G0512810 transcript:SECCE7Rv1G0512810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 like protein [Source:Projected from Arabidopsis thaliana (AT1G11600) UniProtKB/TrEMBL;Acc:Q9SAB7] MDVNDVLLLASAVVLGLMWWRRCSKTGGVDGLPPGPPGWPVVGNLFQVILQRRPFMYVVRDLREKYGPIFTMRMGQRTLIIVTDADLIHDALVKQGPMFASRPADSPIRLLFSVGKCTVNSAPYGPLWRALRRNFVAEIVSPQRVKGFSWIREWAMGNHLRRIRAEHAKTGAVRMMASCRLTICSILICICFGAKIPDELIVEIEEVLKDVMMISLPKLPDFLPLLTPLFRRELAEAKNLRRRQLNCLLPLVRARREFLRTGGNACKAAGEGNRVIGGVEMMSPPGEAYVDSLFDLEPPGRGKRLGEEELVTLCSEVMSAGTDTSATALEWVMMHLILDPAAQERVYDEVVAKAGKTARITEADVEALPYLQAVVKETFRRHPPSHFVLSHAATRDAELGGYRVPADASVEFYTAWVTENPATWPDPDAWRPERFLEGGEGHDTDITGTRALRMMPFGAGRRICPAATLGVLHIQLTLANMIRELRWTPPAGEGPPDPTETFAFTVVMKNSLRAGIVERNQPPPVAAN >SECCE2Rv1G0125920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844232153:844233013:-1 gene:SECCE2Rv1G0125920 transcript:SECCE2Rv1G0125920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSSSSGVPSPDREEAVRTARQPRPQAFDYFVVLDFEATCEKGAQIYPQEIIEFPSVLVHGATGDLDSAEFRTYVRPQHHPLLTDFCRDLTGIRQADVDGGVPLAEALRLHAAWLQASAWARSGGCFAVVTWGDWDLRTMLETECRFKGLAKPPCFNHWINLKIPFAAAMGGGRRAGLRWAVRAAGLEWEGRAHCGLDDARNTARLLVELMRRGVQLSITSSLQAPSPQVTPCGGAAAACCCCCGVASRGGVVAVPGPMQGRCFYGCGNWTPSMGAMCAYFMWAD >SECCE7Rv1G0478500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:238060882:238075742:1 gene:SECCE7Rv1G0478500 transcript:SECCE7Rv1G0478500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] METPPHNPISPPSPPPVADGSPAADAGADGEEPAGEFSAGLEPLWSLLFGTPEELEPMWSPPRGFDVGAEFAAAAAGPDPLVDDGGGPWDGAEWRSTGLVAGEGVSATTRAPSPPTAAPGFAEFEPPASDSSEGAPGVRPLEHLDDYSRMPESPSTPVAVDMREKLVFVSDSTPVPGSPPSPWGSCLEANLEENVPCTLNTMSSPPQLPPDDDDLVEAEDAPKYFSPSENIASASMAAVDLNAEYDPKGNADGCGTSGATSTSDTSLWGSRRTAKTMAEANATPSKPKARSFRSSKRRPVDSDLGRSSVRHNLSVSTAKPIKETTTTAPDAVDKLIKEITSTAPNTIDKPIKEATTTAPATVDKPIKGTTTTSADTVDKLIKEATTTSPDTVDLRNRVEQHRSAENVKMQSTSSGHENKGGGLSNQIVVALPAVNYRSAKRGGVSVRAPRKTKTAVKASSVDSDRVPPISPVVNSQPSMHKAESYPHVQPSKYDLDPENCLPSDKLDSSGIVPCNAGLMSAESHVVSEMPHQHDGKSSKPPRAKRARVSTGKCSSNLKRTKKNSGSICEPPTVTTMHDPNNSPKVIMDKKLVDSEMVDSVNGSCCFVGEAVPEEEARQRWPHRYEKNHHFVEKDKGSDIQPLDNAGNALLDVKCHYLQASISGSTLCIGDCAFVKGPEGKPNYIGKILELFETVAGEYYCRVQWFFRAEDTIMEDQAKSHDPRRLFYSDLKDDNALDCIVSKVSIVQVSPCVDNQSKSIPSSQYYYDMKYSQDYSTFSTLEMDTHAKLQSSQVSSISMKKEDFNKTQKSRVPNKKDLSLLDLYCGCGGMSTGLCLGAHGGGVNLVTRWAVDDDEVACESFRMNHPETRVRNETTDDFLELLKEWQKLCKQYVMESEVKDHADAITEFSNGTPDDSAVPPEELEVWKLVDICFGDPNNVRKRCLYFKVRWKGYGPNDDTWEPIEGLGNCNDAIKDFVIEGHKRKILPLPGAVDVVCGGPPCQGISGYNRNREFDEPFKCEKNKQIIVFMDIMQFLRPKYVYMENVLDILKFADATLARYALSRLVGMHYQSKLGIMAAGCYGLPQFRMRVFLLGCHPEEKLPPFPLPTHGAIVKNSCPLAFERNLVGWSDSTAVQLETPIVLEDILSDLPKVGNAEDRDEMPYVKAPQTEFQRYIRTFNSEVQGPKSHAAKAKSKKSKPKLYDHRPLALGNDNYLRVLQIPKKKGANFRDLPGVIVGSDNVAKLDPTKERVLLPSGRPLVLDCVLTYEDGRCLRPFGRLWWDEVVGTVLTCPNIRMQALLHPAQDRLLTVRESARLQGFPDSYRFRGTVKDRYRQIGNAVAVPVGRALGYALAMANLNKTGNYPLMELPPKFAFSHNIEGTP >SECCE7Rv1G0470480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:120792691:120793946:1 gene:SECCE7Rv1G0470480 transcript:SECCE7Rv1G0470480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTLLRKTATIRMGDVAAVASPATTTMKSLLLEGSKGGGGGNVAKRCCGRRCELVGYDALPAFLQHNEFILHYYRSEWPLKEALLSAFALHNETINVWTHLVGFFVFLALTVCAATMVPMETSLSHSATSTGLANCTGNGDPRVLIASYGTSGAAVATQALLRRNVSVSGELELAAAVVLSLSSGEHSQVERWPFYTYLCGAMFCLLMSSGCHLLACHSEQASYVLLRLDYAGITGLIVTSFYPLVYYTFLCDPFSRTLYLGSITVFGAAAVVVSLLPVFEAPELRWARAALFVCMGASGLVPIVHKMLVFGARPEAVVTTEYEAAMGVLYLAGVVVYATRVPERWMPGRFDLVGHSHQLFHALVIAGAYAHYHAGLVYLSWRDMDRC >SECCE5Rv1G0335570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:575873564:575875214:-1 gene:SECCE5Rv1G0335570 transcript:SECCE5Rv1G0335570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAERSFDVIVVGAGIIGSCAAHAAASRGASVLLLERFDLLHQRGSSHGESRTTRPTYTRPQYPPMVQLAHRLWHDAERDAGYTVLTPTPHLDLGPRDGPAFVAAVANGGATELAPAGDASRPAWAEAFRVPEGWAAATSGPAGVIKATKAMAMFQTLAAKMGAVVRDRAEVVGVARQGEGNTIVVKTASGEEFHGGKCIITVGAWASKLVKSVTGADLPVQPVHTLVCYWRVKPGHEEELTTEAGFPTFATYGEPGFYGTPSMEYPGLIKICRNGGPPCDPDGRDWATGTGAGGIAEVVARWIDEFMPGHVDTAGGPVLRQPCMCCMTPDEDFVIDFLGGEEFGKDVVIGAGFSGHGFKMGPAVGKILAELALDGESGTAAEAGLELEHYLIGRFEGNPMGNATSH >SECCE3Rv1G0181900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:619707424:619710625:1 gene:SECCE3Rv1G0181900 transcript:SECCE3Rv1G0181900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRLPAPPARGLLRRSPPRILPPRRLACGARAVSGSPGPGGSPVPKRPPPPLDAAAVAPPSPASSTASSAASAIDFLTLCHSLKTTKRKGWINHSIKGPESIADHMYRMALMALIADDLPAVNRERCIKIAIVHDIAEAIVGDITPSDGIPKAEKSRREQEALNEMCEVLGGGSTAEEIKGLWEEYENNSSVEANLVKDFDKVEMILQALEYEKEHGKVLDEFFISTAGKFQTEIGKSWAAEVNSRRTKGCGQN >SECCE6Rv1G0423300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695252737:695254050:-1 gene:SECCE6Rv1G0423300 transcript:SECCE6Rv1G0423300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVAHVTNKILTTRSPQITVRKLKLKFFLVPSRCLSIGKSVGLAMATQKLDAAEFEIMTVKDFSHCTDANRLLYAEKFNDFVRDCPDAFAGLTRLHLRNMRFGKSDISKILSHCKQLEYLSFFQCDEGVRSVLHVEHAQLIELVIIFGKFKTVELACLPKLQRMAYTDWHYDDNPLVLGFVPQLSKLSLASPNFSGKTLNLSKLLANASTVSDLYLEFRSEKIWIQPECPKVLAPVLAKLRFVNLDHLPEECDISWTLFLLEAATHLEELCITVWDHKCRIESQKSVSKKTDVKWEPSDRHFKQKNLARLTIYGFQSDDNFIGYIRRVIQAAVNIREVSLYSRKVCPLCLEKFPQVALRPSSYPRTSEELDLLREKMTAASATASPVVHFRSLG >SECCE2Rv1G0071150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:41454845:41460766:1 gene:SECCE2Rv1G0071150 transcript:SECCE2Rv1G0071150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 22A1 [Source:Projected from Arabidopsis thaliana (AT3G66658) UniProtKB/Swiss-Prot;Acc:Q0WSF1] MAFWWPLLVLAAAYALCRVLLLLIPPTVPSMEVDASDVMEEVNQNKEDSFIYVPRKGKAAQTDMVRCYEPATMKYLGYFPALTPDEVQEHVAQARKAQEVWGKSSFKQRRQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKIHWLLDEGEKWLKPEYRSCGRSMLHKTAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAVFSGNAAVIKVSEHASWSGCFYSRIIQAALLAVGAPDNLVHIVTGFAETGQALVSSVDKIIFVGSPGVGRMIMQKASETLIPVTLELGGKDAFIVCEDVDLPNVVQVAVRAALQSSGQNCAGAERFYVHMDIYPTFVSRVVKIIKSISVGPPLSGRYDMGAICMIEHSERLQNLVNDALDKGAEIAGRGSFGNLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFSSDEEVIQLANDSKYGLGCAVFSGNQKRAIKIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYVKTMIPKPIQYPVSENGFAFQQLLVETLYGISVWDRLQSLVNLLKMISEQKSPITRRKSR >SECCE7Rv1G0514910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:837326199:837328797:-1 gene:SECCE7Rv1G0514910 transcript:SECCE7Rv1G0514910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFERRGVRQYNRSDEPRMRWTEELHRQFIEAVDCLGGQDEATPKRILQLMGTKGVSICHIKSHLQMYRSSSSNNNINNPPHASVIRRQDHCIDGNNTGTTSSNGINAPSKVMFHRGHHSTSPPCQVPSIEEVFRIWEEGRKRLPWNSTGMLITREKATGGWSRHTNDKMRQKKQQPAAGCDLTLSIGRCPESEATSDADISNTTTEEADMPAREQGACGHRRPKAAADLNLDLNLDIAISSSWL >SECCE5Rv1G0334570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566894323:566894616:1 gene:SECCE5Rv1G0334570 transcript:SECCE5Rv1G0334570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEEIGYNSESGFVWMLQKKKNEHNFKKIGQTVSYDTEVTAFVEKGKIKKVTGVTIEGMSLVEVYVDESSADKVTVKTDTGLSDTHDASAFALGE >SECCE6Rv1G0441720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811851468:811851689:1 gene:SECCE6Rv1G0441720 transcript:SECCE6Rv1G0441720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPIPFPSPPPATTKRRGCVPRVSTCCFNDPEMKRRRRVAGYKAYAIKGKVKASLRRGLRWFKRKCAGIFHL >SECCE6Rv1G0377830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3733996:3734853:-1 gene:SECCE6Rv1G0377830 transcript:SECCE6Rv1G0377830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVHKYTVALFLAVALVAGPAVSYAGYAPAAPGAQPKATTSEQKLMEKINDGFKAAVAAAAGVPAADKYKTFEAAFSAASNKAFAEVLQAAATGQIAAQSSSMAKLSSSLELSYKLAYDKAQGATPEAKYDAYVATLTESLRVISGTLEVHSVKPAAEEVKGVPTGELKAIDQVDAAFRTAATAADAAPTNDKFTVFESAFNKAIKETTGGAYESYKFIPALEAAVKQAYAATVAAAPEVKFTVFQTALSKAINAMTQVETDAKPAAAATATATAAAGAGGYKA >SECCE7Rv1G0478090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:232129766:232130215:1 gene:SECCE7Rv1G0478090 transcript:SECCE7Rv1G0478090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEVAEKAEKTPTGKKPKAEKRLPASKSAKEGGDKKGRKKNKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSN >SECCE5Rv1G0302560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:39871060:39871371:1 gene:SECCE5Rv1G0302560 transcript:SECCE5Rv1G0302560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVTKLAGQRAVVIFAMSSCCMCHTVTSLLRDLGVNPMVVELDEDPRGKEMEKALVRLIGRNPAVPAVFIGGRLAGCTDKVMSLHLGGKLVPLLRNAGAVWV >SECCE7Rv1G0510600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:791127412:791128819:1 gene:SECCE7Rv1G0510600 transcript:SECCE7Rv1G0510600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGGKAKPLKQAKVAEKDYDENDLAYLQKKKDEQKALKELKAKAGQKGALGGSGLKKSGKK >SECCE7Rv1G0502910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:701999392:701999925:-1 gene:SECCE7Rv1G0502910 transcript:SECCE7Rv1G0502910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSLHHHGALPAAAASLQPHHHHRALHLRHPPPTSSISARLTVTAPPRRSSSSSSVSAAPPAHPARARTSTTSSRAATGYAAALADASIRAGTLPGAARHARALLRRLKETPHGQRLEEPDARVGALVRLLVGKGKAGMVADVMAEFAALCDHLLAPPPSSTSSRSKRAHAHAH >SECCE6Rv1G0426720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:715609063:715617348:1 gene:SECCE6Rv1G0426720 transcript:SECCE6Rv1G0426720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGHVALFPLPFQGHLSPALQLADALHGRGLAITVLHTTFNAPDPAAHPEFGFVAVADGGLPDAPDGIGKILAMNDDMEASGCVRDALAALEPRPACLVIDTSLPAAQKAAAELGMPTVVLHTGSAAATRLFRSYAMLHDKGYLPAQEHELDKPVRELAPIRVSDLFDPSKYPNREMANKLLDTATEVTDNSSGVLINTFEALEAPELEAIRSELAASGVGVFAIGPLHKLSTIGGAGSSLLEADRSCIEWLDAQAAGSVLYVSFGSVVRVSREDFEEVAWGLANSGKPFLWAVRRGLVVGSGSEDTELPEGFERAAEGRGKVVKWAPQQEVLAHRAVGGFWTHGGWNSTLEGICEGVPTLCRPLFGDQLVNGRYVEEVWRTGALLVGKLERGNVGETIARFMEGEDGAAMRERANELQIKAMEALSNAGSTQLAVDKLIEHILSL >SECCE5Rv1G0361530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780130120:780130917:-1 gene:SECCE5Rv1G0361530 transcript:SECCE5Rv1G0361530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMFVWWRDVLRESTLEGHHTKAVQLGPRYGSILFIVSEVMFLFAFFWASSHSSLAPTVEIGGIWPPKGIGVLDPWEIPLLNTPILPSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVSTGFQGMEYYQAPSTISDSIYGSTFFLATGFHGFHVIIGTLFLIVCCIRQYLGHLTKKHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >SECCE3Rv1G0207360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:920125837:920128073:1 gene:SECCE3Rv1G0207360 transcript:SECCE3Rv1G0207360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLGGSGIGGAGVPLPQHQQLEDVMGLGDPMLVTMRNNYCQRILEHARRAVTARLHEGNRVPADWQRGLPEIARRIEKALFEKHPNKREYYNMTNGPVQPYFEFAVISLAQVQRRLRASSTTYAHGGMIPAPGVTQGDTHNEFVNTVLSLGINSSDDHSEVANSNLAIGAPDKEEEVSKEPKFSCPFCFEELVDPSSTICGHIFCNKCIKFSVQAQSRCPACWRGLSMTSFHRVYLPATMD >SECCE5Rv1G0348370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673469488:673471930:1 gene:SECCE5Rv1G0348370 transcript:SECCE5Rv1G0348370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLVGGNISTDKAGGPKESLVVIEVKKQLYLAGPLIAGCLLQNVVQMISVMFVGHLGELALSSASIATSFANVTGFSLMSGMASSLDTLCGQAFGAKRYHLLGIYKQRAILVLTLVSVVVAVVWVYTGQILLLFGQDPEIAMGAGSYIRWMIPALFAYGLLQCHVRFLQTQNIVIPVMASSGITALSHVLVCWLLVYKLGLGNKGAALANAISYLANVSILALYIRVSPSCKSTWTGLSKEAFRDILSFMKLAVPSALMVCLEWWSFELLVLLSGLLANPKLEASVLSICLNTASLTFMIPFGLGAAISTRVSNELGAGRPEAARLATRVTMVLGLATGVSLGLIMISVRNLWGYAYSNEKEVVEYIARMMPLLSVSIIFDDLQCVLSGIVRGCGLQKIGACVNLSAYYLVGVPAALCFAFVFHLGGMGLWFGIICGLIVQMLLLLAITMRTNWDKEALKAKDRVFSSSLPTDMTT >SECCE1Rv1G0000990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:3206378:3207158:1 gene:SECCE1Rv1G0000990 transcript:SECCE1Rv1G0000990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDELKLLGTWASPWAARVKIALHLKGLSYEYVEQDLDNKTDLLLTSNPVHKKVPVLIHNGKPICESVVILEYIDDAYGTVGPSLLPADPYERAIARFWVEYIQHKLVIPWKVAFTANGEEKTEGIKQMLAGALTLQGALKDCSNGKPFFGGDSIGYVDITLGGLLAFLQGTEELCGTKLFDIANTPLLIAWVERFIALDAAKVALPEVDKLVEFAKIRRAQIAASIKN >SECCE2Rv1G0119540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:791671910:791672131:1 gene:SECCE2Rv1G0119540 transcript:SECCE2Rv1G0119540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGAVKMIVGAKEERVVGTCKAPGACPSCGGPVVATDVESERRILCLPLCLKSKRKYSCTRCFRRLVTVYS >SECCE6Rv1G0401840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:474760403:474761739:1 gene:SECCE6Rv1G0401840 transcript:SECCE6Rv1G0401840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYLLGRTKRRDHLLLMDSAGAVQSPQTPVEPMEFLSRSWSVSASDLSKVLAVGGGRRSSNFVVDRLSGMLMPETLALAAASGTSSPKKRACRSRSAISAHHHTIGRWFHHKDGGSRVDKARAERARVHAAVSVASVAAAVAALAAGAANPEDGEDAKMDAALASATQLLASHCIEFAELAGADHDQVASAVEGAVDVRSPGDLMTLTAAAATALRGATALRLREQREARSKAAVAPSEKAGSCGADIWCKEGTLLKRSRKGALRWKRVSVYINKRSQVIVKLKSKHIGGAFSKKKRSVVYGVHDDMPAWPPGHEPCGMPDSATAASEKRHFGLRTAQGLVEFECESRTHKQEWVESVKNLLRQAAGGTAQLEHSFESLRLSAS >SECCE3Rv1G0194120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:777024069:777025108:1 gene:SECCE3Rv1G0194120 transcript:SECCE3Rv1G0194120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD6 [Source:Projected from Arabidopsis thaliana (AT3G56650) UniProtKB/TrEMBL;Acc:A0A384KSV2] MASSSSSVFSPLLRPSLRLPAASCATRCSAPHAVSADNAAPAPAPAPLAVASHRRELVLGTALGALFSATPMPAGAREVEAGKYLPPAPASPGFVFFKATAKDTPALRAGNVEPYEFILPPTWKQLRVANILSGNYCQPKCAEPWVEVKFEDERQGKVQVVASPLIRLTNRPNATIEDIGSPEKLIASLGPFVTGNTLEPEEIIETTVEKIGDLTYYSYVLETPLALTGSHNLAKATAKGNTVVLFVASASDKQWQSSEKILKAMVDSFQV >SECCE1Rv1G0027350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:382216333:382222093:-1 gene:SECCE1Rv1G0027350 transcript:SECCE1Rv1G0027350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKQEMAPPAPEPTEDVADEKAAVPSPEESKALVVAENDAEKPAATGGSHERDALLTRVATEKRISLIKAWEENEKAKAENKAVKLLADITSWENSKAAELEAELKKMQEQLEKKKARCVEKLKNSAATVHKEAQEKRAAAEARRGEEIVAAEETAARYRAKGEAPKKLLFGRG >SECCE1Rv1G0003620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14812201:14812455:-1 gene:SECCE1Rv1G0003620 transcript:SECCE1Rv1G0003620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVENKPEGVNTDTGDHHNQKTEWPELVGMSVDEAKKVILQDKPDAWFIVLPVGRIVTMEYRIHRVRLFVDSLDNIAQVPRVG >SECCE1Rv1G0008240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:45616446:45617327:-1 gene:SECCE1Rv1G0008240 transcript:SECCE1Rv1G0008240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAVATAADWTVVRRRGGRRRGDAPVTTSHLDAPPPLPLTPIPWAPSDPSLDPARVSRLLDRARAAISRVEASRLYRRLLLPDSPLSRRLASLAPARLSLLGVGSFESSPAARLQLALAALLRRDLLPGASPTADLFDPVLSSVECAVAVALGFAVPSLDDGGGRRVEEPTLFYMPHCEAALYDALLAANWEPRAQLRRVCVLGNSFRRYALQAEENRSGPAAKANLVLKAGRFAWEQRIDEAGDVDDEDWFARAFNETSWHFFEVDGDVDQRTEISSQALSFEKLSL >SECCE4Rv1G0262870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:711400798:711401127:-1 gene:SECCE4Rv1G0262870 transcript:SECCE4Rv1G0262870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSMSSKTASRKKPAPKLDTTFCCPFCNHPDSVVCTIDLKLLVASAVCCICEEAYHTTAHYLTEPVDIYHDWIDACEKANQEVDVRDYHKRQRRVGSDDDDDSSDD >SECCE3Rv1G0162830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:139325862:139327994:1 gene:SECCE3Rv1G0162830 transcript:SECCE3Rv1G0162830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHADCSGQEEATATFHPTLWGDYFLTHQPQSSGQQPQMRERMEVLLEQVRTVITESNGIQKVTELIISLERLGLDYHYENEIRQLLDVVLNSEYDDTNLQLVSLRFYLLRKNRYDVSSDVFNKFQDKQGDFCQSDTNSLLSLHSATHMRTKGEQVLEKAIHFTKKHLLGALEHLESPFVEEVSSALLTPPFRRVRILEARSYIPCYEKEATRNEAILELAKLNFNILQLHFYEELKEVTLWW >SECCE2Rv1G0068940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25896068:25899760:1 gene:SECCE2Rv1G0068940 transcript:SECCE2Rv1G0068940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate peroxidase, ROS homeostasis, Chloroplast protection, Carbohydrate metabolism, Plant architecture, Fertility maintenanc [Source: Projected from Oryza sativa (Os07g0694700)] MAAKCYPTVSDEYLAAVAKAKRKLRGFIAEKNCAPLMLRLAWHSAGTFDVATKTGGPFGTMKCPAELAHGANAGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEVTGGPEVPFHPGRQDKPEPPPEGRLPDATKGSDHLRQVFSAQMGLSDQDIVALSGGHTLGRCHKERSGFEGAWTANPLIFDNSYFTELLSGEKEGLLQLPTDKTLLTDPAFRPLVDKYAADEDAFFADYAEAHLKLSELGFGEAYEGCC >SECCE3Rv1G0197640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:822117152:822119689:1 gene:SECCE3Rv1G0197640 transcript:SECCE3Rv1G0197640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPADKPMIVVQYKGEEKQFAAEEISSMVLIKMKEIGEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDKAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEDIEKMVQEAERYKAEDEELKKKVEAKNALENYAYNMRNTVKDDKIASKLPADDRKKIEDAIDGAIGWLDVNQLAEADEFEDKMKELEGVCNPIIAKMYQGAGADMDGEDAPAGGSGAGPKIEEVD >SECCE5Rv1G0330120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:525044190:525045926:1 gene:SECCE5Rv1G0330120 transcript:SECCE5Rv1G0330120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGLPLAIVIMAGYVACNSRKSEKEWLDLCGSIFPESGKDRAKAGGKELTQEEVGKIISHCYNDMPAEIKTCSLYLSIFPKGHRISRKRLTRRWIAEGFVCEKQGLSVEDVAETYFNHLIRRKIIRAAEHSSNGKVKKCIVHDMVLEHIVAKASEENFITVVGGSWVMQPPTSKVRRLSLQGSDSKRSKDTEKMNLSHVRSVTMFGSLNNQLPSRSFKFGIVQVLDLEGCTGFKQHHTEEICKMLHVKYLSLRKTDIKKLPERIRKLKNLETLDIRGTNVVELPNTICQLERLVNILGGDKTTRVALRLPEELKKKKMKALRILSGIEIAGGSADFHHLTELRKLAIYKLKATKNDPSFKELSSSIEYLGGYSLQTLVIDDESSEFIKSLDDLSSPPKFLVALELSGKIVKLPCWIKQLSSLKKLTLSITALRTDNLKQLSDLEALFSLTFSFSFRAEKQDPETLTILAKNKLLPCCGGEITVPDGGFKSLKLLCFSAPLLPSLIFSGQAMPELERLELRFNILEGLFGAENLAKLKEVHLTLDDKAGEGITTEIVSEMESAMKRTGGKTPQIILHQ >SECCE2Rv1G0072130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:50335806:50337050:-1 gene:SECCE2Rv1G0072130 transcript:SECCE2Rv1G0072130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALCGGGGNVAAPCGEFGAAAALAESLPMGGGYRARSSFPAGRVALADRPLPRGLQVAAAAGQMNGNLTIGKAMRWWEKVTHPNMREVESAQDLADSLLNAGDKLVVVDFFSPGCGGCRALHPKIAQFAERNPDVLFLQVNYEKHKSMCYSLHVHVLPFFRFYRGAQGRVSSFSCTNATIKKFKDALAKHSPDRCSLGPARGLEEAELLALAANRDLEFTYNEKPTLVPIAEAIQMEAASIGGPWMPLPAAATQPLPLGSENSALIPSGR >SECCE4Rv1G0264960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724021303:724023031:1 gene:SECCE4Rv1G0264960 transcript:SECCE4Rv1G0264960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIANGEMVCVTGTGGFIGSWVVEELLLRGYHVRGTARDPADRKNAHLLAFDSAEERLTLCRTDVLDYGGLRAAFHGCHGVFHVASPVSNNPDLVPVAVEGTRNVINAAADAGVRRVVFTSSYGAVHMDPNRSPDAVLDETCWSDYDFCKNTGNLYCCAKMMAEITASEEAAKRGLELAVVVPSMTMGPMLQQVLNFSSSHVARYLTGAKATYPNAVAAYTDVRDVARAHVLVYEHPDARGRYLCIGAALHRAHFLQLLGDLFLQYPLTAKCEDDGKPMAKPYKFSNKRLRDLGLEFTALKQSLYETVTCLQKRGHLPVHIVPVAAKHA >SECCE5Rv1G0328750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509620784:509621400:1 gene:SECCE5Rv1G0328750 transcript:SECCE5Rv1G0328750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMTMSSFAGTAVLPRGSAGHFGAQSLPALGRRALVVRAQTEGPSAPPPNKPKASTSIWDALAFAGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYSVAVLSVASLVPLLQGESAEGRSGAIMNANAELWNGRFAMLGLVALATTEIITGVPFINV >SECCE4Rv1G0217420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:16260813:16262390:-1 gene:SECCE4Rv1G0217420 transcript:SECCE4Rv1G0217420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGDHALPAEPDLEAPSPMSGGDFPADLLRAVLHRLPPADVARAACVCRLWRAVASDRAVLEAAFRAPWGVRRVLGDPATRAFWRAASLARFALSHTVRRGDTVPGVALKYSVQVTDIKRFNNMMSDHGIYSRERLLIPISNPEILLGSTCYIEMDHNAKREVAVFYPEGHPNGNAESLANAAAAKRRSKRILESVRRSLHVDDGTAEYYLSVTDGDPRAAMMEFSEDLRWEQRQAGQ >SECCE1Rv1G0052730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:663731792:663735662:-1 gene:SECCE1Rv1G0052730 transcript:SECCE1Rv1G0052730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATEMLTAAAGATAGTTPSTASVADLAGASGGVPAAGAGGNFPLGAALLAFAFANFVNVLSIWLKEKKWDARKFLTSSGVISSLSAAVASLAVAVGQQEGGDSSVFALALVFAAVVMYDASGVRFHTGRQAALLNLIVSDLSPEHPIISTFRPLREPLGHSPFQVFVGALVGCTVAYLMGRSV >SECCE7Rv1G0494190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:580023235:580023885:1 gene:SECCE7Rv1G0494190 transcript:SECCE7Rv1G0494190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKHCDKHDCERRRLYRQFCAALVAVILLILLIILIVWLVLRPTRPRFYLNGLTVACLNATSSPASYLTVTLQATIAARNPNSRVGIYFDRTDAYAEYKGQQVTVPTALPVVYQGHLDVSVWSPFLVGSNVPLAPYLAVALAQDETAGYVLLTVRVDGWIRWKAGAFITGHYHLRVRCPALLTVNGGQGSYGSIAGGGGNGYFNFQRAAPCVVDV >SECCE4Rv1G0272660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:771010884:771012568:1 gene:SECCE4Rv1G0272660 transcript:SECCE4Rv1G0272660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNTMHVPVKKDDEVKPPKPVPPPAMFVFGDGALDVGNNAYLPKTETEEGFPPQVSKSSSGRFSNGANLADTVATSIGFEQSPPAYMSLKGGLNMWGANYASAGAGIKISTNGERSISLPKQLENFKVTRGQMENKVGGDAKMRELLSKSIFLISIGGQDLDPRWNVESGYPREQTELQELMSLYGDFVTSLYDMGARKLAIVNVGLIGCMPQPYMYECDQSLNDKATAFDAALKPLMADLVSKKSGLSFSIGDFYGFTTAVFANPSNYGLVNTRDSCSQWGYPDWTYCYNPDGYWFWDPEFMTDRASKLTAAAFYYGPPQFTFPITYKALLEKK >SECCE4Rv1G0284480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:839770593:839775133:1 gene:SECCE4Rv1G0284480 transcript:SECCE4Rv1G0284480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPAPLSPPGFAVPLAVKKRQQAPPGYSSSSSSPWGVPPAPPLTNNNPNPPNGLRAGDTSIFSTSLPVLPHEKLNFPDSAHGTPSMDDTSAKLKDFDDDPQGNDYPFDFDLRQIDDLLPDEDELFAGITNEIEPSSQANPAEELEEFDVFGSGGGMELDSDPLDSITVGLGNASIGDGLRANGVNNNFGLSNSPGAVAGEHPLGEHPSRTLFVRNINSNVEDSELRSLFEQFGDIRTLYTATKHRGFVMISYFDIRAARGAMRSLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRSLVQHLGHELEQDEPRGYRHSHVGSPMANSPPGAWAQYGSPTDNNLLHAFSNSPTGNGMSPIGMSPSMMSNALKIAPIGKDNSWSKYDQVFSNSNQSLGAAFQHSQSYQDRKSDHMSSSPGTLTGPEFLWGSPKPYPEHSQSSSMWRPPPVGHAMSSSSRPQGQGFLYGSRQASLFGSLDQSRHHVGSAPSGAPFESHFGFLPESPETSFMNQVRFANMGNIGGANRNGGGLMLNMASRASLNPVSALSGSLTDSNSTNFRPIPSPRLGQPPFFGNTTYQGPGYYGLDSSSIERGRNRRVDSSAFQADSKKQYQLDLDKIRKGEDTRTTLMIKNIPNKYTSKMLLAAIDELHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYGRIQGRNALISHFQNSSLMNEDKRCRPILFHSNGPETGNQEPFPNGICIHMPLDGGAGTSKEPLGFEEDDNPNEIKIEGEKSMAGSL >SECCE2Rv1G0073770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:71349438:71350631:-1 gene:SECCE2Rv1G0073770 transcript:SECCE2Rv1G0073770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSKNGATSVADLTDDLIIEILSLLPVKSVCRFKCVSRLWYSLISHPEHRKKLPQTISGFFYPKHRLIDEYDVITFPTFDGISRDQEQLFPDSSLPFLTGYRQILPKDCCNGLIFCLCWKDSPIDEADYVVCNPATEEWVVLPDAGHKSDALAYRLGFDGAMSPHFHVFQILEGDEDYGYISGVNIYSSETGAWSYKENGWGDNEIQIVDMRGVFFNGMMHLLTCEFKILAVDTEGKTWRTISLLETMCVGNICSGPLAFIGQSQGRLYFINMRENDSSKLSVWILEDYNGNEWIFKYNISTSQLFGELFGEKDHMLQRDYAGLLFQRDYALIAIHPECNLIFLVWRCEDVLLSYDMDRGKVCVICSLKYHSYDTFPPYLPYVPCFSRIGRKKVEA >SECCE5Rv1G0308000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122976613:122977313:1 gene:SECCE5Rv1G0308000 transcript:SECCE5Rv1G0308000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPSTVAPTTARPTGRRSRLTPSVTAMATKGPKPGSGGTKRSSGTTTVFPVGKPAGPPRPATTKGSAPVKLLTNVEKLRLLTKAEKAGLLSAAERAGLSLSAVERLGLLSKAEELGVLSAATDPGTPGSLQGLALLLLAAGPAVVFLVPEQYPWEVALQAVAALVCVAGGSAAFAASSFVSRLQGSSG >SECCE3Rv1G0177450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:465248877:465252056:1 gene:SECCE3Rv1G0177450 transcript:SECCE3Rv1G0177450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVWCSLDMAADIAGLHGELADPFWPADLDLMVSTDEVEGMADMDASGFFVRGGDDSFMMPSSTTSSLSSKRSLSLDSGGSSGSGSFTLDLADASRDQAPALPVPHNPLAGAVDHDDEAIMRAMMAVMSSSSASSHQQPFCRYRDSSMHQQSAAMAPQLRGGRSGYVMVKSSLSMSPERSSSGLGQQHEDPTRAASSNTGQLYHMMSERKRREKLNDSFHLLRSLLPPCSKKDKTTVLTKAAGYLKTLEAQVSELEEKNSKLEKHIPAYDCEEDVSHQQRRQRAKVQITKSSASDEVVNLTVMVMVECDVVDLVLRILECLRWMEQVSVMSVDADTYSPQVLLKAIASIKLRIMDGDWNQALFHEAMTKAVNDATTSPPPLALTA >SECCE2Rv1G0079470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:119435725:119439677:-1 gene:SECCE2Rv1G0079470 transcript:SECCE2Rv1G0079470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSSLPDGALFLGLDSSTQSVKATVLSNELIIVASETVNFDSELPHYKTEGGVYRDPTDDGRIYSPTIMWVEALELLLEKLKPKINFSKVVAVSGSGQQHGSVYWKKGSQAVLSSLDPGKSLVSQLKDAFSTMDSPIWMDSSTTKQCREIENAVGGALELSKLTGSRAYERFTGPQIRKIYQTAPHIYENTERISLVSSFMASILVGCYASIDETDGAGMNLMDINKRTWSKAVLEATAPDLEQKLGNLAPAYAAAGRISSYFVERHQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNQCAEKSWDIFNNYLEQTSPLNGGKLGFYYKDHEILPPLPVGFHRYIVENFNDASSDNLKECEVQEFDPESEVRAIVEGQMLSMRGHAERFGMPNPPKRIIATGGASSNESILKLIAQIFGCPVFTVERPDSASLGAALRAAHGWLCKEEGGFVPISRMYMGNLEKTSLGAKLAVPAPGEEGRELLKKYTLLMKKRMEIERRLVEKIGRA >SECCE6Rv1G0434060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763562291:763565958:-1 gene:SECCE6Rv1G0434060 transcript:SECCE6Rv1G0434060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGERAHHKHGHRHDLVPSGGSKDRQPKFIADNYSSVDEVIAALREAGLESSNLILGIDFTKSNEWSGRHSFGRKSLHAISATPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYQENRPCRGFEEVLDRYRQIVPHLNLSGPTSFAPLIYAAMSVVESSNCQYHVLVIIADGQVTTSNSGARLSPQEQATIQAIVDASFYPLSIVMVGVGDGPWDAMQHFDDCIPDRAFDNFQFVNFTGIMSTSKDMSKKEAAFALAALMEIPTQYKATQGLRPPERHAQNANPPRILPPPNKVLEHDNIVAASHTPAATSRSTDVDDTASDEKVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRAPITVRVRLFS >SECCE4Rv1G0262780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:710592424:710593464:-1 gene:SECCE4Rv1G0262780 transcript:SECCE4Rv1G0262780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDRDPLVVGRVVGDVLDPFVRTTNLRVTFGNRAVSNGCELKPSMVAQQPRVEVGGNEMRTFYTLVMVDPDAPSPSDPNLREYLHWLVIDIPGTTGASFGQELMCYESPRPTMGIHRFVLVLFQQLGRQTVYAPGWRQHFNTREFAELYNLGPPVAAVYFNCQREAGSGGRRMYN >SECCE2Rv1G0106570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:637350279:637350759:1 gene:SECCE2Rv1G0106570 transcript:SECCE2Rv1G0106570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRVSSAVLVVALLFSPLLLTLYLPTARARRVVVLGAKDGLNPGGGRQHVVGDDAGKVVPVGGSRPVRTVEMRAARRHRRDAADEMHDMLRKDYSYRARGKKPIHNDEPLDDEP >SECCE1Rv1G0040920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:567331981:567332532:1 gene:SECCE1Rv1G0040920 transcript:SECCE1Rv1G0040920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRHGETESAEGDTAGAPMRLPGSPRHGASRGHVFECRTCGRRFPTYQALGGHRASHGRHLPSLSRARAHAPGDGVGVKLRLLEPRGGEARPRTHGCPVCGVQFAVGQALGGHMKRHRAMADAEANAHGARAAASVKDDDAGAGCTAEICLDLNLAQAGNCAKCRNAGPSSEPQRTLPAGKP >SECCE1Rv1G0051120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:651430464:651431619:-1 gene:SECCE1Rv1G0051120 transcript:SECCE1Rv1G0051120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGDDDMAHGGFWFSEPFLPAVAAARARFEARPSDVLLASFPKSGTTWLKALAVATLHRADHPPRSLDHPLRRRNPHDCVEYLEEAFASLAAEDSGGDVLAALPSPRLLATHLPYTHGTGCKIVYVCRDPKDALVSKWLFTKKRLAFAAADDDPPKPYAIDEMFELFCDGRCTCGPQWHHVLGYWEASRRRPDKVLFLRYEEMLRDPAGNVRKLAEFMGCAFSGDEEAAGVAEDVVELCSMDTLKNMEVNKNGTQNHVSNEAFFRKGVAGDWSNHMTPEMAARLDKIAEDALQGSGFAFGAAAESA >SECCE2Rv1G0074310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75360816:75361884:-1 gene:SECCE2Rv1G0074310 transcript:SECCE2Rv1G0074310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACALLPAGVAAALPSPHRSAAASKGQLNQVACTNQLHWKLPLSEPHRSRSLVGCCTCSGSSTGAAMSRRSADVVSFHTDVSLRGPVDAVLGAQIVISGYWIGPDMEDGSGHVQAILQRIG >SECCEUnv1G0564700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:386301173:386303524:1 gene:SECCEUnv1G0564700 transcript:SECCEUnv1G0564700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLSYSFKASSGKPRAPLAALAAATERVRAGALSQEDAHHLFDELLGQAAAFPVRGLNNFLATLAHARPSAACSSGPALAVALFNRMSGGAGARVVSPTCCTYSILMDCCCRAGRPDLVLAYFCRLLRLGLGLNVTSFNNLLKGLCQSKRTNEALDVLLHRTPELDCAPDVVSYNIVINGCFKEGEVEKACNLFHEMTHLGVQRDVVTYSSFINALSKSGAMDKAEVVLRQMVDQGIGPNIITYTSLIHGYSTSGQWKAAVRIFKEMASAGVRPNSVTLNSFIDSLCKHGKTKEARDIFDSMAAKGHKPDIFSYSTMLNGYVKEGCFDDMTDLFNSMVRNGIVPDHHVLNILINAYAKRGLMDEAMHMFEVMRQQGVNPNVVDYLVVMDSLCKMGKMAAAMDIFNQMVNQGVSPDIVVYQCLVLGSCSHGDFVKAKELISEAINRGLCSNSVFFYPVINNLCKEGKVMEAQDMFDFIVGIGQRPDVIMYTSLMDGYCLVGKVEKALRVLDAMKSAGLQPTAATYGTLLNGYCKIGRIADGLSLFREMSLSGVKPTTIVYNIILDGLFRSGRTVSAKEKFRTMSESGIPVGVDTYNIVLSGLCRNNCTDEAIELFKKLRAMNVKINVIILNTMISAMFKTRRIEEAKDLFATISAIGLVPSVATYGLMMTNFIKEGLLADADDMFSAMEKAGCAPNSRLLNQVVRVFLKNGEVVKAVTYLAKLDAKQLSLEASTISLILSLFSRKGKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >SECCE2Rv1G0063960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:724888:727048:-1 gene:SECCE2Rv1G0063960 transcript:SECCE2Rv1G0063960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLFFSFKSVMHSKSAFLLIVVAVSTTAMVHGHPAASTPAARFWEQALPGTPMPEVLADMVQKGIDQSPLVEHYSAQPSIGMCTLINTICDARTVAETGIFFHEAELHPGSTMTLSFPAEAETAFLPHDVAGKVPLENLSDVLSTFHISPGSAEAAQVKDTVRKCQQPPIAGEMKACTMSLESTVKAAMEMLGTTIQQGAGGGDVWAATSTLPRGGLLPCREYIVEEVTKLDGTAYVACHKVPFPYAVFHCHIAPTGYTAYKVTLHGRGDDEGPVVSLLAFCHFDTSRWNPAHPAFQILKAHPGARTSVCHFMSYGNLAFVKKARTA >SECCE1Rv1G0062100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718429359:718431340:-1 gene:SECCE1Rv1G0062100 transcript:SECCE1Rv1G0062100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGSTQPSRPDIRSSPSASSESVRARNSGSSSRSAAPPPAAPQAGAANPLRFDARTIHFSVNAWVLVVAGLGMLPIMPKHLADRACKLSLLGTVLSSGYSLYTTYGKPRELNMAAIQGWLQSVLGAKDFIHLMFSLLLVTSQLHLKIAALPVFCWALDHVARFLRRNFSRSSFYRGYLEEPCLWVETNNTTLSLLSSNAELALGFLLIISLFSWRRNFIQTFMYWNVLKMMYRAPVTSSYHQSAWAKIGRTVNPYIDRYAPFLQTPISMVQRWWHR >SECCE7Rv1G0462650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:47515676:47517186:-1 gene:SECCE7Rv1G0462650 transcript:SECCE7Rv1G0462650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNSYEEQRRRQMEENRRKLDELRLHHLSAAVREAAARPKPKPNPRPQRKAPVPGELRRSGRVASLPEQPNYLVGAEQRGYRGVYEAFAVWKGPTDEERAGAIAKAEELRRRIHRIRCPAFVKPMSHNCASKAAEMKIPKHFSEYLPAHDEGVVLVDEVDDEFHMMYKAHRQGRHYIHKGWRGFAAHHHLADGDCLVFHMTERAKFKVYIFRASPDYESDQTSDDSEDEE >SECCE7Rv1G0475430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:187907327:187911032:1 gene:SECCE7Rv1G0475430 transcript:SECCE7Rv1G0475430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALRSTRLLRLGFRHVPALLFRGPLFPSPSPGLGLSVGRVGLVHLRCSAAEAGDGRGKKVSARLALAQQVMRDAEERAASAGSDPAPKITMDHVTVSFARSGGAGGQNVNKVNTKVDMRFNVEKAHWLGERIKERILQTEKNRINKDGELVMSSTKTRTQKGNIEDALQKIQAIIDAASYVPPPPSEEQKKKIEKIAAAAERNRMQNKKVLSQKKELRRNKPSWD >SECCE5Rv1G0343540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638667105:638672062:1 gene:SECCE5Rv1G0343540 transcript:SECCE5Rv1G0343540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSFQAVAAAAAMEMEEYLNWKKNAPVLYDLVMSHPLEWPSLTVEWLPSGSPAAARSHRLVLGTHASDDSPNHLMLVDAVLPLPPRLAAAAAAEGRAVPAPSVSIGRSAPHQGEVNRARCMPQRPYTVATKTCVDEVHVYHLGEDGDKGGADVVLRGHGAEGYGLAWSTMKEGFLLSGSYDKKICLWDLKAGNGAPVLDAQQVFEAHEDVVEDVAWHLKDENLFGSVGDDCKFMMWDLRTNKPEQSIVAHQKEVNSLSFNPFNEWILATASGDGTIKLFDLRKLSRSLHAFDNHEGEVFQVEWNPNLETVLASHAEDKRVMIWDVSRIGEEQADEDAGDGPPELLFVHGGHTAKISELSWNPSEKWVVASVAEDNVLQIWEVAESIYSDDTSNNVNSA >SECCE4Rv1G0256510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:657195671:657204766:1 gene:SECCE4Rv1G0256510 transcript:SECCE4Rv1G0256510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSARRLLAVAASAGILTMLMLRSSVAMAAPLPVRVGVVLDLTGDAGRRSLTCISMALEDFYLKHPSYATRVELRVRDSRGDILTAAYSEELMNKNALVQAIISPQISLEVELFASMAKYSNIPILSLSATMPTSSSSQGGFFLHTAANTNSQLAAPIAAILEAFAWHSAILLHEDSPYGIGILSALVHAFQGSRGLTDSVAVPADATDSRLDVALLAIKAMSARVYIVHMLPALAVRLFYRAMVLGMMSEGYVWISTTGIGEAAYNPGGIEHMQGVVSLRPHVQETEQVKSFSRRMNARLSQESTATGDPSAPVSLIWLYDTAWAVAAAAEVSFRSAKRTTFLDALVAAKFDGLAGRFRLVDGQRQVLAYEIVNVIGKGERTVGFWTSKSGISASLDPKSAGKELKQIIWPGETAAVPIGWTMSPNGRLLRIAVPVRRGFSQFVEISGNPSSATERVTGYCIDVFHAVMTKLNYPVAYSYMPVGNSSDSESYGRLVELVPDKKADVVVGDVTITASRMKMVSFTMPFADTGWSMIVAEQDNSNSMWIFVKPLTPGLWLTSLAFFFFTGFVVWAIEHEDNHRFRGTPWNQFGVLMYFAFSTMVFSHKEKLESNLSKFVVIMWVFVVLILTTSYTANLSSMLTIRQLQPAINNLREWDYVGYQEGSFIGGILKEMGFEEARLRTYSSMDQYADALKKGSDNGGVTAIFDEVPYLRLFLSRYCEGYSMAGPTYKSGGFGFVFPMGSPLAVDVSRAILELAEEDKLARIENKWFGHPGACVGRGNGGTDARLGLWRFGGLFLTNGIVSCLMLLFHLAKIVYRERGEPRADGDAELSAAAGALTWLRACLRRFHVFQGPRGQPVSNSRRVDESNHQGLADGSTEQEGDTGDCIVSASVDESDYRRNSASASAPVSEQIFAPIGAVR >SECCE6Rv1G0386280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:93943989:93947239:-1 gene:SECCE6Rv1G0386280 transcript:SECCE6Rv1G0386280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MKLTVKTLDGTHFEIRVQHNDTIMAVKKNIEEIQGKDSYPWDQQLLIHNGKVLKDESTLDENQVSEDGFLGVTLSKASSTPVTSQAPPVAQPQSLLLGQDEQDPFTVTQEERESIERLVALGFAREEAIEAFLACDKNEELAANYLFEQAGDEE >SECCEUnv1G0557830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:327522120:327523821:-1 gene:SECCEUnv1G0557830 transcript:SECCEUnv1G0557830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFVTMAAQLVAALLSPQALSLYLLLVPLLLLYYSAAARRRPAGKPAAAAAHGEERPPPSPPSKLPLIGHLHLIATDPHVSLAELTARHGDGGLLLLHLGQVRNLVVTTPGAAEAVLRTHDHVFASRPQSAFADALLDGSDIAFAPYGEFWRQLRRLVTTHLLSARKVLSLRAGREEEARLVMAKIGEAAAAGAAVDVSTLLATFTNDIACRAVSGKFFREEGRNELFREVIDGNVAAFAGFNPQDYFPSLGKVDALSRVLFPKMTRLRKRWDGLLDRIIDDHASKAASPQQEEDGEETDFVDVLLARQHEYSLTRQHIKAILVDMFVAGTDTSYVVLEFAMAELMRKPHLMAKLQAEDDLGGMPYLKAVLKETLWLHPPLPLLLPHFSVDKCVINGYTIPAETRVIINVWAIGRDPGTWEDAEEFIPERFEDAASPDYKGRDFGILPFGAGRRICPGINFGMASVEIMLANLVYCFDWELPGGVEHKDLDMTEVFGMTIHRKEKLLLVPTT >SECCE5Rv1G0341790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:627498040:627500455:1 gene:SECCE5Rv1G0341790 transcript:SECCE5Rv1G0341790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGRRRFPPLMLPLLLALFLVAAAAAGKGKKRGGGGGLRFRREGGTFRVLQVADMHYADGRSTACEDVLPEQVAGCSDLNTTAFLYRVIRAEDPDLVVFTGDNIYGADSTDAAKSMDAAIAPAIAMNLPWAAGIGNHDQEGTLSREGVMRHLVGMKNTLSRFNPEGVEIDGFGNYNLGVGGVEGTLLANKSVLNLYFLDSGDYSTVSSIPGYGWIKASQEAWFRETSSSLQKNYTNEEPRQKEPAPALAYFHIPLPEFSSFTASNFTGVKQEGISSPSINSGFFTTMVEAGDVKAAFIGHDHLNDFCGKLTGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTESGEWQGVKSIKTWKRLDDQHLTTIDSEVLWNRGSNGRRRKDHDRS >SECCE5Rv1G0318930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:369265479:369269554:-1 gene:SECCE5Rv1G0318930 transcript:SECCE5Rv1G0318930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLMENLLLLTLVLLCLALFCLCNILWLRPEKIRKRLRRQGVKGPKPTLLDGNTKEMKRIRHELKPMKKQDSNNYISTLFPHILVWKETYGSVFLYSSGGREILHVSQPDMVKDIGHCTPSELGKPNYLKKTRKALLGGGLLAVNGDEWAYQRKTMAPEFFMDKIKGMIQLIEDATAPLLEAWKNILDSAGESTEIVVDDYVRSISADVIARACFGSSFAKGEEIFCILRKLQKAISQQDAFVGLSALWKHLPTKSNREIQNLVGQVRLLILELANANMNENGAENAATHNGLLRAIINGAHGTGHGGTAEDFIVGNCKTIYFAGHESTAVTAIWCLMLLANHPEWQERARVEALEVCHARSTLDFDALHRLRILTMVIQETLRLYPPASLMMREALTDIKIGDLNVPRGTIVQVARSMLHLDKEAWGPDADEFRPGRFANGVAGACKPAHMYTPFGIGSRTCIGQNLAMMELKVVLARLLSRFAFSPSPTYRHAPVFRLTIEPGFGMPLVVKKL >SECCE2Rv1G0102550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:579261093:579262923:1 gene:SECCE2Rv1G0102550 transcript:SECCE2Rv1G0102550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALREASRRLAFTSTRTPAGAATRPLLLTHSRGITHKLFIGGLSQFATEDSLAEAFMRYGQVLEATIVTDKMTSRSKGFGFVKFASEEEADKAREEMNGKVLNGRVIYVDIAKAKQDRAADVVPIARGPPNPVGNT >SECCE3Rv1G0197820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:824025152:824029599:-1 gene:SECCE3Rv1G0197820 transcript:SECCE3Rv1G0197820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHAYSRLGSFGGGGGAAPSPPSSPRRAWGRRPSAKGGAGAGKAAGGGGAARRAARAVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSLYRSPQLYARLRPEMDADNATDALATVWRHAYKGGVWQPCISNNTYGLPEPNGYIYVEANGGLNQQRTSICNAVAVAGFLNATLVIPNFHYHSIWRDPSKFSDIYDQDHFVQRLKNDVRVVDKVPGFIMERFSNNLSNVYNFKIKAWSPIQYYKDVVLPKLIEERLIRISPFANRLSVDAPPAVQRLRCLANFEALKFSKPITALSETLISRMREKSVENNGKYVAVHLRFEEDMVAFSCCVFDGGDEEKKELDEARERGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNKTSIYLASGRIYKAEKNMSPLLEMFPLLQTKETLASDEELAPFKNFSSRMAAIDYSVCVHSEVFVTTQGGNFPHFLLGHRRYLYGGHSKTIKPDKRRLAILFDSPRIGWKSLKRQLLNMRSHSDAKGIQLKRANESVYTFPCPDCMCHPNKSELPKPIQAR >SECCE2Rv1G0078770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:112129561:112131150:1 gene:SECCE2Rv1G0078770 transcript:SECCE2Rv1G0078770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSRAAFFCFLAVASSLLHPARSDEDDKLLKGINSYRASLKVPALTENSNADCLAEQLAKKFKGQECTNTTGANTVPGTEPQFPDYPQFLDRCHLNASVTEDGQVMPACVPGLVADIVLTNYTKSQYNRFLNDTKYSGVGIANEGDWVVVVLSTSTDSGDYSPAPPGSNWSPSVQPFSWMIVSLVGFVVLLMK >SECCE5Rv1G0346300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660510259:660510669:-1 gene:SECCE5Rv1G0346300 transcript:SECCE5Rv1G0346300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKWQRKVAARAGGQQADECCSTVADKGNCVVYTADGARFEVPLAYLGTTVFAELLRIAGEEFGFASSEGGRITLPCNAAVMDYVLCLVRRDASEEVERAFLSSIVGHCHGQDASMGPTHQFALCM >SECCE1Rv1G0039130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:545627066:545627515:1 gene:SECCE1Rv1G0039130 transcript:SECCE1Rv1G0039130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIFSFGMATGKLAMGSGEPLGSPMPNFPGTPDVEVLDGPDKPFDKTFDPIHDRKRKRGGLMEEEINVFCSMTKVVKEVATSIRECKPLDVHPDLYGAVMTQGGFSDEALMVALGHLLDNKAQGVGFVAMTDAHRVLWLRTWLGKHYY >SECCE4Rv1G0225240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:90394918:90396063:1 gene:SECCE4Rv1G0225240 transcript:SECCE4Rv1G0225240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKRFSLPVVLVFLLVLLFVAGAGFTLHRKDCHPSVSRASELSEDSRSMPRKLLRVAVHQTEARESEKPSSGGSALPRGIVQATSDLEMVPMVGDPEQQGGGRPSKSLLAIPVGIKNKAAVDKLVSKFPADRFTVMLFHYDGAGEEQWGDLEWSRRAVHVSALGQTKWWFAKRFLHPDVVAAYDYVFLWDEDVEVDAFDPLRYIDVVRREGLEISQPALDRRSEIHHGITTRALLRPGAEGDGDVHRRFYKPAAGWGSGGCDDSSTGPPCAGWVEIMVPVFSRAAWRCSWGMVQNDLVHAWGLDYKLGHCAQGDRTLKVGVVDSEYVLHRGVPTLGGTEGKAAAFRVAVRRRSFAEMQIFNRRWKEAAADDASWTDPYP >SECCE1Rv1G0032830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:459960827:459964513:1 gene:SECCE1Rv1G0032830 transcript:SECCE1Rv1G0032830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKVEQEDTVRRCKERRRNIKDAVAARQLLASAHADYLRSLRITAAALSRFAQGHSSLTVSHHTAPVLLTTAAPPALHAPAPAATSSVASSSLPPPTPLPRHHQPPPPPPPQQQQQPQPQAAAAALRVDMDPRMRRLKVPHILSDSSVASSFRKPPVVGTPSSSSAWDWENFYPPSPPDSEFFDRRKTDLEEANRLRELDDEAKARGYPQRRHDHLKEEDEVDDSHGEDEEETEREDMHCGGWEDEEDHYASTTTSETRSEEGEVGNRSECGFAARSEYGGTAPSEYAAVPMQLRRAERSEVGDSFSTVTGATEMRMVVRHRTLSEIVAAIEEYFVKAADAGDGVSELLEASRAQLDRNFQQLKKTVYHSNSVLSALASTWTSKPPLAVRYKLDTNSLEMGSMEGKSHGSTLERLLAWEKKLYEEVKARESVKIEHEKKLSTLQSLEYRGRDSAKLDKTKASINKLQSLIVVTSQAATTTSSAIVSVRDNELAPQLVELCFALLGMWRSMNYFHETQNEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVSAWHSNFNRLIKYQREYIRSLYGWLKLTLFQVDSITPQEAHASLISRELTTFCDEWKQALDRLPDAVASEAIKSFVNVIHVIYTKQAEEMKIKKRTETYSKELEKKTNSLRAIEKKYYQSYSLVGLGLPGSGRDGIEGHTFDARDPLSEKKTEIAQCRRKVEDEITRHAKAVEVTRSMTLNNIQTGLPGMFQAIAGFSGTVVEALDVVCRRAGSVR >SECCE2Rv1G0073390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:67356829:67358223:-1 gene:SECCE2Rv1G0073390 transcript:SECCE2Rv1G0073390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLSVLLLLCLAAAASAFPQLSPEFYAKSCPRALATIKSAVAAAVRSEPRMGASLLRLHFHDCFVQGCDASVLLSDTATFTGEQGAAPNARSIRGMNVIDNIKAQVEAVCKQTVSCADILAVAARDSVVALGGPSWTVPLGRRDSTTASLSLANSDLPAPFFDVANLTANFAAKGLSVTDMVALSGGHTIGQSQCRFFRNRLYNETNIDPAFAASLKANCPRPTGSGDGSLAPLDTKTPNGFDNAYYSNLMSQKGLLHSDQVLINDGRTAGLVRTYSSGSAQFNRDFAAAMVRMGNIAPLTGAQGQIRLCCSRVN >SECCE5Rv1G0322430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:420799960:420801988:1 gene:SECCE5Rv1G0322430 transcript:SECCE5Rv1G0322430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKACMSTYLIVVLCLVTGARSAAAFNYADALHKAVLFFEAQRSGKLPPGQRVAWRADSALSDGNASHVDLVGGYYDAGDNIKFGLPMAFTVTMLSWSVVEFGGAMSAGQLANTEAAVRWGSDYLLKAATATPGALYVQVADPYQDHQCWERAEDMDTPRAAYKVTPHNPGSDVAGETAAALAAASLVFRTRNPAYSSKLLRAARRVFDFADRYRGSYSDSLSSVVCPFYCSYSGYKDELLWAASWLHLASSRSPSSQSVYLSYIYSNGQALGAEQDSYTVSWDDKRAATKVLLSKVFLQNRVEGLRTYKAHADKYICSLVPGAGGFQSQYTPGGLLFKTRDSNMEYVTSTAFLLLTYAKYLGSTGAAASCGSTAVAPSTLVSLAKKQVDYILGANPARMSYMVGFGARYPRRVHHRGASMPSVRDHPARIGCKEGFHYLHSSDPDRNVLVGAVVGGPDQSDSYSDNRDNYGQAEPTTYTNAPLVGALAFFAGAR >SECCE4Rv1G0258570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:678204669:678207708:1 gene:SECCE4Rv1G0258570 transcript:SECCE4Rv1G0258570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRRARPASPPAALEDEDLLRKIFLLLPPQPSSLPRVSAVCKQWRGVVTDPLFLRGFRDHHQRPPVLGLVMGYNGEPFFRSSLSSPDLIPHERFFPPDILNLYMELIGCRHGRVLFFDRSLLEFLEIIVFNGAVLCAAGDEGHVHGDCHSSPFQVILIGIRSDNNRAFASFSTAAIRYMHDLSRPGTIVGDSFYWVFDDHEDGILRHDLDRHSLVNIEMPDLEYYSRPNSFKILRADEGDSVGLAILEYQKLQMWERKVGCDDVAGWMLQKTFQMNMILGLGPMGGRDNLMMGYDEDDHEIYERTDLGVCIIQLETMQFRNLGKDNFTTTEYYPYKNFCTAVSDLSVCERRVGGIPRPLEKDVSIMFPASIGVGAAGDTVAAASIGTVAEGDTLDKTHNRIQEINTGLVPSRVLTQTRIDVIFKKETETRSKLSKAWAKWFRSNGVPESKADCPPFRSAMKLTQQLGTRLPVPTGDELGGINLDAEEELP >SECCE5Rv1G0351420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:699391102:699391536:-1 gene:SECCE5Rv1G0351420 transcript:SECCE5Rv1G0351420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATSSVLLDVKPRDDETDMAKLEEAVRGVAMEGLRWGASKLVPVGYGMSKMQIMLMIVDDLVSVDDLIEDHLCAEPVNEFVQSCDIASFNKICACLISF >SECCE3Rv1G0153420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:53520681:53523889:1 gene:SECCE3Rv1G0153420 transcript:SECCE3Rv1G0153420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLVQKLMLETPAPAWFLFLLPLLLLSLHHWFTRKTGSRLPPSPRVLPIIGHLHLVGALPHVSLRSLARKHGPDVMLLRLGAVPTLVVSSPRAAEEVLRKNDHVLAWRPPSVVSDIIMYGSSDIAFAPYGEYWRQARKLVTTHMLSVKKVQSFRSAAMEEVSMVMAKINEGATAGGTVDMSELLSSFSNDMACRIVSGKFFLKDGRSKLFRELINDTSRLLGGFNLEMYFPALGRVGVLKRAVCAKAERVRNRWADLLDKVIDDRVSKRKSASDHKDGDFVDILLSVQQEYDLTREHMKALLTDVFFSATDTSANVLEFTLAELMRKPHFMGKLQDEVRSIIPRGQEIVSEADMNNMVYLRAVIKESLRLYPVAPLLAPHLAMADCTINGYMVPAGTRVVINAWAVGRDSSSWEDAEEFIPERFTDEGNAANVNFKGNDFQFLPFGAGRRICPGISLGIANVELMLANVVNHFDWELPVGVERKDIDMTEVFGLTVRRKEKLLLIPRARM >SECCE4Rv1G0252150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:612739124:612742789:1 gene:SECCE4Rv1G0252150 transcript:SECCE4Rv1G0252150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKVLAKNFDVLAGPLVSLAYPLYASVRAIETKSQVDDQQWLTYWVLYSFITLFELTFAPILEWLPFWPYGKLFFNCWLVFPCFNGAAYVYEHFARPMFVNRQIVNIWYVPRKDKLSKPDDVLSAAEKYIELNGPEAFEKLISKSTSTSTKPSKFRSTRRSILQEAEAEKMGKAERDSWGENPFYDKNYRH >SECCE5Rv1G0297900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:6887963:6892212:1 gene:SECCE5Rv1G0297900 transcript:SECCE5Rv1G0297900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSSLSLLLVLLVAAVAGARVRVDAARNATFGELRVRQALLGRTPQMGWNSWNHFYCGISEQIIRETADALINTGLAKLGYKYVNIDDCWAELNRDYQGNLVPNKRTFPSGIKALADYVHSKGLKLGIYSDAGTQTCSKQMPGSLDHEEQDVRTFASWGVDYLKYDNCNDAGRSVKERYTRMSNAMKKYGRNIFFSVCEWGIEDPATWARGMGGQSWRTTGDIADNWDSMTSRADQNDRWASYAGPGGWNDPDMLEVGNGRMSEAEYRSHFSIWALAKAPLLIGCDVRSMSPQTKNIISNREVIAVNQDRLGVQGKKVQSDAGLEVWAGPLSGNRKVVVLWNRQGYQATITAHWSNIGLQASAAVTARDLWAHSSFSAQGQLSASVAPHDCKMYILTPK >SECCE3Rv1G0192260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:756971953:756977024:1 gene:SECCE3Rv1G0192260 transcript:SECCE3Rv1G0192260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPEVAFAAALVAVAALFGAAAAAFPAALTLERALPHSGVPAEHLRGRDRARHAGRGLLGGGPAVAGVVDFPVEGSANPYMVGLYFTRVKLGNPAKEYFVQIDTGSDILWVACSPCTGCPTSSGLNIQLEFFNPDSSSTSSRIPCSDDRCTAALQTGEALCQPSDSPSSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASVVFGCSNSQSGDLMKTDRAVDGIFGFGQHELSVISQLYSLGVSPKTFSHCLKGSDNGGGILVLGEIVEPGLVFTPLVPSQPHYNLILESIAVRGQKLPIDSSLFATSNTQGTIVDSGTTLVYLVDGAYDPFISAIAAAVSPSVRSVVSKGTQCFITSGSVDSSFPTATLYFKGGAAMTVKPENYLLQQGTSDNTVLWCIGWQRSQGITILGDLVLKDKIFVYDLGNMRMGWADYDCSLSVNVTSSSGKNQYVNTGQFDVNGSPRHYNGLMPTGVLVALVHMLIFGVLLGR >SECCE1Rv1G0023960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:316917727:316922304:1 gene:SECCE1Rv1G0023960 transcript:SECCE1Rv1G0023960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGARALLLLLQHLLVLLLLSAGAANAATEAEALLAWKASLDRPLPDALSTWAKPAGLCSSWKGVSCDAAGRVDSLALRGLGLAGTLDKLDAAALPALAALDLNGNNFVGAIPASLSRLRSLATLDLGSNGFNGSIPPQLADLSGLVELRLYNNNLAEAIPHQLSTLPRIQHFDLGSNFLTDPDYRKFSPMPTVRFMSLYLNYLTGRFPEFVLNSANVTYLDLSQNNFSGPIPDSLAEKLPNLMYVNLSVNAFSGRIPPSLSKLRNLRDLRVLNNNLTGGVPDFLGSMSQLRVLELGVNPLGGPIPPALGRLQMLQRLDLKSAGLNSTISPQLGNLANLNFMDLSMNQLTGVLPRQLAGMRKMREFGISSNKLADQIPPDLFTNWPELISFQGQNNSFSGKVPPELGKATKLQILYLFSNNLTGSIPTELGELVSLSQLDLSVNSLTGPIPSSFGKLTQLTRLALFFNKLTGTIPPEIGNMTALEVLDVNTNNLEGGLPATITSLRNLQYLALFDNNFSGTVPPDLGKGLSLTDASFANNSFSGQLPQRLCDGLTLQNFTANHNNFSGTLPPCLKNCTGLFRVRLEGNHFTGDISEAFGVHPSLDYLDVSGSELTGRLSSDWGKCTNITRLHMDGNGLSGGIPAAFGSMASLQDLSLAENNLTGSVPPELGQLSLLFSLNLSHNALSGSIPTNLGNNSKLQKVDLSGNSLTGTIPVGIGKLRYLMLLDMSKNKLSGQIPSELGNLVGLQILLDLSSNSLWGAIPSNLGMLMSLQKLNLSHNDLSGSIPTGFSRMSSLETVDFSYNQLTGEIPSGNAFRNTSADAYTGNLGLCGNVQGITSCDLGSGRASSGHRKRIVIATVVSVVGVVLLAALAACLILICRRRPREQKVLEANTNDAFESMIWEKEGKFTFFDIVNATDNFNETFCIGKGGFGTVYRAELASGQVVAVKRFHVAETGDISDVSKKSFENEIKALTEVRHRHIVKLHGFCTSGDFMYLVYEYLERGSLAKTLYGEEGKKKLDWDVRMKVIQGVAHALAYLHHDCNPPIVHRDITLNNILLESDFEPRLCDFGTAKLLGSASTNWTSVAGSYGYMAPEFAYTMRVTEKCDVYSFGVVALEVLMGKHPGDLLTSLPAISSSQEDDLLLKDILDQRLDPPTEQLAEEVVFIVRIALACTRVNPESRPAMRSVAQEISAHTQA >SECCE4Rv1G0234600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:292071658:292074471:-1 gene:SECCE4Rv1G0234600 transcript:SECCE4Rv1G0234600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSLATLGGQYRGGWTQSSGKLSPRNISIRVSKRNYIHKIPLPCLPKFNSAKERKSCAFTSYNFHGRGYKLGTQVRCYFFQSMMGSESVISPNLMLLSDEALLTISVVFAYLAGVAPSRPTIPRARNPSANQHLTAPISFDSGRNGKRLLDKTTASDPNDTWNEVRAKLSEALEANGQDASSDSRDEGLKNDRKNYPLSMLAIHGGPRLRLLLITFQLLEMEARNVSGSFELLDGIRWSEVSVMLIDSLTEPAFMKWIEEEQALENGKIDEKLMMVISRKIKEDSGILKRFNRLGKVELYLDLLFFIRFGSARSDSYFDTKFLVENGARILEDLVIFLADVIASIYLEIMSVDGDMPTEVVGSSLALCSLSTRELQMQRNEVAINGWLHQYFESVVSMYEDRFELYVLCRRSCKNTADNRPDKTNWLAIAFRKPSTSTHLDYVCISPFSLPVRRTKELRALTGWRYYYSLFLELSDIGIPFARAVVARVSAAVSYFWVSMIGRSLGLIFSGIRQSLGWR >SECCE7Rv1G0481900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:294632384:294635065:-1 gene:SECCE7Rv1G0481900 transcript:SECCE7Rv1G0481900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITAIPAFLRAAELRLLRCTLSSPISQPAPPSPPPAHPLGPAVASALAAVEAGDYAATIASAVPHIFHSSAFAEVAHGSPAQVYDDLTAAAEAFLRGDGGGAAGEGFQCRCALVLSTAVSALLAFTQQNVTGPSGKFSPFPFQTPPLDGGEYSDSGGKWDDWASDHLASFGSHVHGKFALLPFIVFAELLFTSIKGLDSSDCWSVSWWLCRISLSQQNILDELSSSLFDQVQVYKNEMLTHFGELEKVSSYWGSLLCDGEGFSFVSAAFLEAGIVEYKYGRVDASRLHLDSAQEACGIHLSLTGILGFRTIHQVDAKSQMVLVAKTSKPAADDGQSTELAGPQGDGVAFRSATSSVPDESDEFCDILRTPRLAENGNDSSSESMTSANIHISLSAIQQAAVLAQCLHVSRRSRSDEMSGWEMAPYIESIDSQDKSYFVVRSLCDVLRIRWESTRSRTKQRALLMMENLVEDIAKEFPVVSQRAKMVFGVHMPPIPALRKEYGELLISCGLLGEALNVFRELELWDNLIYCYQLSGKVADAVSLINTRLSVTPNDPRLWCSLGDATNNDDHYKKALEVSNNKSARALRSLARSAYNKNDFHTSKILWGSALALNSLYPDGWFAYGTAAWKDKDLEKAVDAFSRAVQIDPENGEAWNNIACLHMIRGKSQAAVQAFKEAVKFKRNSWEVWENYSKVALDTGNMRLTLEAVKMVLNLSSNKRFNVDLLDKVMASVEEQGTHLTQEAKSISNASDDANKETRLPNQLLDIIGDILQQIVRSGASNAEIWGLYARWHKSKGNLMACSEALLKQVRSLQGSGLWHDQKKFMKYAQASLQLCKVYIEISSTTGSRRELLSAEMHLKSSLKQATDFSGTEEYKSLNDCLDQLRGLIGAA >SECCE2Rv1G0097310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:456441162:456444671:-1 gene:SECCE2Rv1G0097310 transcript:SECCE2Rv1G0097310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREASSSSAPGPSELAPAAGGGGSGSGSGGDTPRVPRRRARGDPLLIVCGCFSVVTAATALLCVAVNVLSAIQSFRRNGGDIFGAIFRCYAVVISLFVAVLETEWGFIIKFCKILEYWPARGMLQIFVAVMTKAYPNVERSDLILLQDIASYLLLACGLIYVISGVLCLGVLKRSRQQKATSREQAAKDLQELEKRREELEALLIAERSELV >SECCE6Rv1G0382450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:42707595:42708417:-1 gene:SECCE6Rv1G0382450 transcript:SECCE6Rv1G0382450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMWRRISNLAAAAAALLAMVCVCTMLPLAATTIHHEGGGAPSQGRGGGGEGNPANDLVAQVNADRTAAKLPALRGTKGLGCMALQCIARCLTLSPTTACAADGTLSPPCHPPETDITEVYAANCGVELPTVDVISGRILGCSQSFEGVALHTANETVVRGREHAQVGAGVDKGFWCLLLSSGSPNSTFLLENAGRGIAQRHGCFSDPDILSCTASAWGKHQTRRLTTFAMALLLLLLFLL >SECCE2Rv1G0131900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887711533:887718274:1 gene:SECCE2Rv1G0131900 transcript:SECCE2Rv1G0131900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGRPPFLAVALLTATATVMLSWGGNVEAQTPVFACDASNATLAGYGFCNRKASASARAKDLVSRLTLAEKVGFLVNKQPALGRLGIPAYEWWSEALHGVSYVGPGTRFSPLVPGATSFPQPILTAASFNASLFRAIGEVVSTEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLASKYAVGYVQGLQDAGAAGVTDGALKVAACCKHYTAYDVDNWKGVERYTFDAKVSQQDLDDTFQPPFKSCVLDGNVASVMCSYNKVNGKPTCADKDLLEGVIRGDWKLNGYIVSDCDSVDVLYTQQHYTKTPEEAAAITIKSGLDLNCGNFLAQHTVAAVQAGELSEEDVDRAITNNFIMLMRLGFFDGDPRELAFGSLGPKDVCTSSNRELARETARQGIVLLKNNGALPLSAKSIKSMAVIGPNANASFTMIGNYEGTPCKYTTPLQGLGANVNTVYQPGCTNVGCSGNSLQLSTAVAAAASADVTVLVVGADQSIERESLDRTSLLLPGQQTQLVSAVANASRGPVILVVMSGGPFDISFAKASDKISAILWVGYPGEAGGAALADILFGSHNPSGRLPVTWYPASYADTVTMTDMRMRPDTTTGYPGRTYRFYTGDTVFAFGDGLSYTKMSHQLVSAPPSYVSMRLAEDHPCRAEECASVEAAGDHCENLAFDVKLRVRNAGEVAGAHSVLLFSTPPSAHNAPAKHLLGFEKVSLAPGEAGTVAFRVDVCRDLSVVDELGGRKVALGGHTLHVGDLKHTVELRV >SECCE5Rv1G0337460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:591722203:591723943:-1 gene:SECCE5Rv1G0337460 transcript:SECCE5Rv1G0337460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 55 precursor (EC 1.11.1.7) (Atperox P55) (ATP20a) [Source: Projected from Oryza sativa (Os09g0507500)] MERRRRGGCFCLLAVAAVVVAAMAAGGEAKLSPDHYRTTCPRVESIVRSAVARKVRATFVTVPATLRLFFHDCFVQGCDASVMIASSSNDAEKDAPDNQSLAGDGFDTVVHAKAAVEKACPGVVSCADILALAARDVVSMSSGPSWKVELGRLDGLVSKAGDVTGRLPGPDMQADAIAALFDGNGLAVRDMVALSGAHTVGFSHCERFAGRLYRHGAVDPSLSPSYARQLMAACPPDVDPTIAVDMDPVTPTVFDNKYYANLAAGLGLFASDQALHDGAASRPSVEGFAGNQTLFFEAFKEAMVKLGRVGVKSGGDGEIRRDCTAFN >SECCE1Rv1G0050250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:645703548:645705092:-1 gene:SECCE1Rv1G0050250 transcript:SECCE1Rv1G0050250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAVAKNCTRRQLNQIHGLLLTSSLHRLPDLPALLVRRATDLGDMAHADLLFSSFLRSGAPPDAALYNAMIRGRSYHGPHERALELFDEMPRRGLAADGFTYPYVLDACARLSARRCGEGVHGRVLKEGLDHVPAVGSSLLAFYVSGGDGSLGDARRLFDGLRVRSVGLSNHMMAEHAKAGDVGSAREVFDAMPDRDVVSWNTMLAVHVRSGDIAAAKELFAEMPERDVVSWTTMLRALSVVGDFAGMRSLFSLMPERNLVSWNCVLSSYTRHGRFSQALHLFPWLLLEGHTPNSFTVVSLLSACEHLRKLRMGRWVHANLVTPALLAHAAVGTALVEMYAMCGDVATALVIFFKMRGKDVFAWNVMIRGLAVHGRAGDALGLFDLMKRHGFRPDRFTFMGVLLACSHGGLVDEGRRAFDAMQREHGVRPSPEHYGCLVDLLCRGGDVDGAVSVVRAMPCRPDQRVWRALLGGCGVRAGLGSAEDAATAMARGGSSEDEERAPLSDLFKMSK >SECCE5Rv1G0301660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:32933359:32935253:-1 gene:SECCE5Rv1G0301660 transcript:SECCE5Rv1G0301660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKGTKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRSAGGGAGDKKK >SECCE6Rv1G0382080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:38531673:38534830:1 gene:SECCE6Rv1G0382080 transcript:SECCE6Rv1G0382080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHDASKPYQPRRGPERPPPQQPAEDEEAAAAAAAAAMAEVEAAAGAMEQYEPHLEHEEEEEEEEDGEEEGEEEGEEGHGYAYDYVTGEAVPMDEEAAAAAAHAHHGAAGPHGMEAGGAPQAPSNTLTLSFQGEVFVFESVSAEKVQAVLLLLGGRELGPGMGGGPSSSASYSKRLNSHRMASLMRFREKRKERNFDKKIRYSVRKEVAHRMQRHKGQFTSSKAKAEEAAAAANSDWGAVEGRPLSAPVCQHCGISSNNTPMMRRGPDGPRTLCNACGLAWANKGMMREVKGHTPLKVVPPATDDAQNGVAEAPTAEQQHLAVEAAPEAPVAPAPAANGHDS >SECCE3Rv1G0170920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:259489650:259492289:-1 gene:SECCE3Rv1G0170920 transcript:SECCE3Rv1G0170920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAGLLALLVVAGAAVGADAKPYSPADSILLNCGSTADGLDADGRKWLADTNNNMWLGDSGKSSLMAAADELDSSLPSTIPYMTARVFTVDTVYNFTVNPKDRHWLRLHFYPSSYNGIAPEDFRFSVSTSTGLTLLRNFSVWVTTKALTQAYIVREFSLPRTPAGFIAVTFTPTPTSNVTYAYINGLELISMPDIFEDPATMVGFADQTVDIAASSFQTMYRFNIGGGYIPPSNDSGLARSWFDDTPYVFGVMQGVSYTAGPRFHVKYPSEAAEYAAPVEVYLGTRSMGSDPRVNQNYNLTWTMEVDGNFTYVVRLHFCELLLNRPNQRAFDIYINNKTAQSDADVIEMTSERGVPVYKDFAVHMADDPGDEIMWVAMHPSVALRPQFYDAILNGLEVFKLNDTTGNLAAPDPVPSKMLAEAELGNSEKDKSKKSKDQANMATVIGGTAGGAAAVGIVAAICVVVYHNKKNRELTGSDSHNSGWLPMYHSTTSGKSSGHLAANLAGMCRHFSIAEIKTATKNFSESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGALEFQTEIEMLSKLRHRHLVSLIGFCEESNEMVLVYDYMEHGTLREHLYNKGSNPPLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDENWVAKVSDFGLSKSGSSTVNQAHVSTMVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLMARPALSPQLPRDQVSLADYALSCQRKGTLPDVVDPAIKDQIAPECLIKFAETAEKCLADQGLDRPSMGDVLWNLEFAMQLQDAFDGASGRRQAQDDGSGSGRPVLEPSNSYGSTASVTTIGTSSTSRAHDACVVIEEEDDDITNRAAFSQLVQPTGR >SECCE3Rv1G0183610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:652356322:652357314:-1 gene:SECCE3Rv1G0183610 transcript:SECCE3Rv1G0183610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQVRRSRAPGPPPFAIDAHPCEQELIAAYLGPRVTKRDMSCKFIHEGDVYAAHPDDLTKKYAAAAASNGDEAWYFFTAVRAKGGGRRARTVDSHGGCWHSEAGAKPVVSAHHHGGGLLGHRQNFSFVTKEDGVRVRSGWLMVELGLHDDGQDEVTLCKVYFSPRAAKKDKEPAASSSAIKRKADAGSPAPARQCRRRRREMDQDDDPVEKQDVKQEGLVEESSADEPGVVDPNDFFWWLRNKEKVRLALGMSAEDFYCPPSAASLERSFGPDWPPAAPPSSPVVPNEDKPVVMWLTYEELYDMPEVREFVSPTKLLEEMRSSVKQQDV >SECCE5Rv1G0371370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846797180:846799514:1 gene:SECCE5Rv1G0371370 transcript:SECCE5Rv1G0371370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MGGHGHLNLLPCSTTRPCTGGIGIGIGTVSSSPCRYSVHCRRTSKVVAGRRSAGASVSCSATSAQAPPSQSTIKVVIVGATKEMGRAAIAAVSRARGMELAGAIDTQCIGMDAGELSGMDEALEIPVLNDITMVLGSIAQTRATGVVVDFSEPSSVYDNVKQAAAFGLSSVVYVPKIEMDTVTELSAFCDKASMGCLVAPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSPDAIQIANNISDLGQIYNRQDMDSDNPARGQILGEDGVRVHSMVLPGLASSTSVVLSGPGEIYTLKHDVTDVQSLMPGLILAIRKVIRLKNLIYGLEKFL >SECCE5Rv1G0375330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867925016:867926954:1 gene:SECCE5Rv1G0375330 transcript:SECCE5Rv1G0375330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLLFLLILVLSVSHIMQGDGSSGAVAAPSLVIVKLRGHGPDDKTSVALQRHDLSFAGFTNGRGHWQAFPGREHLFPASTTLPFGNSYSELIGGLANLPDVLLGREAMVEASRLLSAYHPGADVEPVKRALAAMQVMISEVQRLEPIRKTVLDGWVTGARVAPEHLPYIEHWDTMSYEIIRSNRTGKWDGPFTKMLETQANIRSLEEALAVVGLLRNASFEQLPTFSFTKVSCVTE >SECCE3Rv1G0201360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:863331785:863334145:-1 gene:SECCE3Rv1G0201360 transcript:SECCE3Rv1G0201360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQKPASVVLNDAAVIDAKPLRTLAPMFPAPLGMHTFTIKGSPDVVCVTPFGPYAGGTELGMPGGVLPTSAPLSTPSDPNSVQPYMVHMNGAANANGTSNNTMVSPVLQTPPAVSTQESGKKRGRSRRVQDTTVPTAPPVHLVPSVPSAPPEGNNILLQTPPSAVTQESTVQTPPASSVHESVTKKRGRPKLVQDSSDTLTPPVHSKESEPLMQTPSAVTLLEDGKRKRGRPKRVPDSSVTPCHSEDADSGDTSKRGRPRKIDTSLMHLPSLSSDDPRESANNVLVMFDALRRRLIQLDEAKQVAKQQHHLKAGSIMMNAELRVNKSKKIGEVPGVEVGDIFYFRIEMCLVGLNSQSMAGIDYISAKFGNEEDPVAISVVSAGVYDNTEDDPDVLVYSGHGMSGKDDQKLERGNLALERSLYRGNPIRVVRAVRDLTCSTGKIYIYDGLYKIREAWVEKGKSGFKVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDRVILHDISYGVENKPVCLVNEVDDEKGPSHFIYTTKLNYMNSPSSMTKMQGCKCTSVCLPGDNDCSCTHQNAGDLPYSVSGTLVSRMPMLYECNDSCTCVHDCRNRVVQTGIQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEIIDKNVVDAEDDYIFETLPSEQNLRWNYAPELLGEPSPSDLKEPSKQLPIVISAKRTGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFALKHIPPMTELTYDYGQSHGNVQLGSNSGCRRSKNCLCRSRKCRASFG >SECCE4Rv1G0218410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:22255980:22257090:1 gene:SECCE4Rv1G0218410 transcript:SECCE4Rv1G0218410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKALLLAILGCICLCSSTVLSARELGDAAMVERHEQWMAKYNRVYKDGAEKAQRFEVFKANVAFIESFNAGNHKFWLGVNQFTDLTNDEFRATKTNKGLKRSGSRAPTGFKYNNVSTDALSAAVDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKLSTGKLVSLSEQELVDCDVHGVDQGCEGGEMDGAFKFIIKNGGLTTEANYPYTAQDGQCKTNVASNSVATIKGYEDVPANDESSLMKAVANQPVSVAVDGGDAIFQHYSGGVMTGSCGTDLDHGIAAIGYGITSDGTKYWLLKNSWGTTWGENGYLRMEKDISDKSGMCGLAMQPSYPTE >SECCE2Rv1G0083410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:166030795:166033880:-1 gene:SECCE2Rv1G0083410 transcript:SECCE2Rv1G0083410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRPSLLPLRASIALLLLALALSALVPSSDAYDSLDPVGNITIKWDVKQWRTDGYMATVSLYNYQQYRHIQAPGWKLGWVWAGKEIIWAMNGAQTTEQGDCSRFRVESPPHCCRTDPEVVDFLPGAPYGKQTSNCCKGGVLSSWGQDPANAIATFDVSVGQAGTSNSSLKAPTNFTLKAPGPGYTCGPAKEVEPTKFIDKDGDGRRTTQAIKTWNLTCTYSQFVAGRSPTCCVALSSFYNSTIVDCNTCSCGCQDNSTTPVSCIKPNSPYLASVAGDSTDIPVPLVQCSSHMCPIRVQWHVKANYKEYWRVKITAKNLNYQMNYSHWNLVAQHPNFNNLTTIFSFRYKDLNPYGTINDTGMMWGIKYYNDLLMTADHNGNVQSELLFRKDPETFTFQKGWAFPRRVYFNGENCVMPPPDAYPWLPNDSHRLSASLTIPFITVWTALAFLLVHV >SECCE1Rv1G0015990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:133009719:133010574:1 gene:SECCE1Rv1G0015990 transcript:SECCE1Rv1G0015990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVVRGARMPAAARSSAAGKNKKLRKPYTITRPRERWTDEEHELFLHALHIFGRDWKSIEALVATKTSVQIRSHAQKHFLKAQKLGRGSCLPPPLHPRRAAALHPDADVLVPSMDWACASPGSRWPDLDAPGAREWPSAGALHLQDETVELPLSPHDLRFALVYRFVGDVFAPDAAVPVDAQLQRLQLQGVDPVVVDTILLVLRNLEANLCA >SECCE6Rv1G0402820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:489595437:489596287:-1 gene:SECCE6Rv1G0402820 transcript:SECCE6Rv1G0402820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator/repressor, Reguration of KNOX gene, Oskn2 (regulator of meristem function), Floral organogenesi [Source: Projected from Oryza sativa (Os02g0678800)] MADEKEADSLQPPSKQPRLSSADSNAGAVTMAVSSPLGLGLGLGLGGDSRGEQHGFEARAAAKSVLTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVAASSFGPHRFPSLIGLGSLCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVQGHKYCERHVHRGRGRSRKPVEGASAAPAHSGSPTTALPPPRGIGFTPTSILHATHSAAARAT >SECCE3Rv1G0195770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:802250427:802251023:-1 gene:SECCE3Rv1G0195770 transcript:SECCE3Rv1G0195770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTRSMSWYMGQTSSQAPSSPDGAQRALSSGGGGDASFDTNMVIILAALLFALLFALGLNSLARYVIRWARRASLGAGGGELDGAASASAAGGRGGLKKRTLRSLPIEVYGACAAAPADDVCAICLGEFEDGEKVRVLPRCGHEFHVRCVDTWLVSHDSCPTCRDSVLSGAAAAPKAAAGRSSGSADAAAVTVVIA >SECCE7Rv1G0480930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:276345398:276346498:1 gene:SECCE7Rv1G0480930 transcript:SECCE7Rv1G0480930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAARLSGFFSAAMLMVVLSPSLQSFPPAEAIRSSQFDSHVRFPGQIAGGARGLPFRRAPSFRNAADCGNGTGNVCDPSLVHIAITLDEGYLRGSVAAVHSVVQHAMCPESVFFHFLVSDPSLGDLVRAVFPQLRFKVYYFDPARVRGLISSSVRQALEEPLNYARNYLADLLEPCVRRVIYLDSDLVLVDDVAKLWRTDLGGRTVGAPEYCHANFTKYFTHRFWSEEQFAGTFEGRRPCYFNTGVMVLDLARWRHAGYTRRIERWMEIQKSPPGRIYELGSLPPFLLVFAGHVAAIEHRWNQHGLGGDNILGSCRDLHPGPVSLLHWSGSGKPWARLGAGRPCPLDALWAPFDLYGAAAVEPSR >SECCE2Rv1G0114960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:743847228:743853077:-1 gene:SECCE2Rv1G0114960 transcript:SECCE2Rv1G0114960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCTAVLRRSSQNLMELSIGSISPATSLRGFSSFARGKVKPGNSIIGQMKAMDRFPPANGVSRAMMPLSAYMGTNWLNTSKPSFNALPGPLGVSSIRRAYSSDTGIKPEVPIVPPTETAEVGTGGTTWMDIFENARNSTIDATTDAGKKVKEMTDAVTPHVQSFLEANPDLEKVVVPLGGTLCGTLLAWFVMPIIFKRLHKYGSQNPISALLGNSVNTNASYSTSLWSALEDPAKYLITFMAFSEMAAVIAPSVSPYFPQALRGAFVLSVVWFLHRWKTNFITKAMANQTALVTDKARLSAFNQVSSLGLIALGVMGLAEACGVAVQSILTVGGVGGVATAFAARDVLGNVLNGFSLQFSRPFSVGEYIKAGSIEGTVVEIGLTSTSMMSPEKLPFTVPNSLFSSQIIVNRSRAQWRVSVTKIPIRLEDIEKVPAVAEEIKAMLRSNPKVILETDAPYCYLSRLENSFGELVIGCILQKMRKEELIYAEQDILLGAARIIKSHGVEFGSTTQCC >SECCE3Rv1G0147530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15308902:15309922:-1 gene:SECCE3Rv1G0147530 transcript:SECCE3Rv1G0147530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTRGGKDNWVLSPDAGVPLGDDGVQLKEEEGETAAMAMVAAAVAAQAPRVEIIVDFDKSLLDCPLCSLPLKPPVFQCPAKHAACGPCAANQTNQCPVCDGAYERDEGADRYLLAVRVPCPNQAYGCGSSVVYCMLSDHRLVCPHAPCRCPVPGCGFQALPPALRGHLAERHAWPVTDVSYGSVLEVQMQALEHGRRLLAAEGGERLFLLVASERGVKVVRVTAAAEEDGSWYRCKVWVHAPVDTDTGHMDVLMLDAKVGSCAVPSEEEAMGAGGRYLPVPSDVPAPDGGGIVIRLRIDKEVKARTSSHSRG >SECCE6Rv1G0416230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:647521044:647526935:-1 gene:SECCE6Rv1G0416230 transcript:SECCE6Rv1G0416230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRGVVGAVASVFVLWLAAGGAAGLVAADPAAEDRARDRVEALPGQPAVAFAQYSGYVTVSERHGRALFYWLTEAAGGDPASKPLVLWLNGGPGCSSVAYGASEEIGPFRIKANGTGLYLNKYSWNREANLLFLESPAGVGFSYSNTSSDLKTSGDERTAQDSLQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEYNKASPDPFINLKGILVGNAVTDNYYDNIGTVTYWWTHAMISDGTYRAILKLCNFTSANVSNACNRAMSYAMNHEFGDIDQYSIYTPSCHATSDSSAASGNSTAPRRHRRAVLRFKDTLIRRRSNSYDPCTETYAEKYYNRLDVQRAMHANTTRIPYRWTACSDVLIKTWNDSELSMLPTYRMLIKAGIRIWVFSGDTDSVVPVTATRFSLSHLGLKTKIRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFVSFLAGKPLPKS >SECCE5Rv1G0304090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:53985742:53988470:1 gene:SECCE5Rv1G0304090 transcript:SECCE5Rv1G0304090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHRLPVRAVNLGGWLVTEGWMLPSLLEGIPNNDLLDGTQLQFKSVKQNAYVAAENGGGAGLVANRAQASGWETFKLWRVNETAFNFKVFGNQFVGVQNDGSVVATATVPRRPETFRLVRSPNDKYRMRIMAPNGLFLQANKDGSLTANYGQSTSWGDDDPSVFAVTRVSGLQGEYQICNGYGTAKATPILRKHWSTYIVEDDFKFISESGLTAVRIPVGWWIANDPRPPAPYVGGSLETLDKAFKWAEKYNLGVIIDLHAAPGSQNPFEHNSSKDGSQDWGTTDANITETVEVIDFLASRYAKSPSLLAMELMNEPLAPGVSLESLETYYRDGYNAVRKYSSEAYVIMSNRLSSPDATELLGLAGGLPRSVIDVHYYVLFNSMFDTFTVQQNIDFIKTNYSSALSAVTKQNGPLSFVGEWVAEWQVRNTTKEELQMFANAQMDVYGKATFGWAYWNFKNVNNHWSMEWMIKNGYISLKN >SECCE3Rv1G0150780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:34275405:34276841:1 gene:SECCE3Rv1G0150780 transcript:SECCE3Rv1G0150780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGISGGTAEARRPPHVAMLVTPGMGHLIPLAELAKRLAARHGVTATLITFASTASATQRAFLASLPPAITSLSLPPVDLSDLPPDASIEMLMSEECVRLVPALTEVLSGLMETTRLVAYFADLFGADSFDAAVPRRYLFFPGNLQGLTLILHLQELDVSMPGEFRDLAEPVRLPGCVPIPGVDILSPLQDKSSPSYRWMLHHGARYRESDAILVNSFDALEPDAATVLGRPEPGRPPVYNIGPIIRTGAVPVAGDTPRAACLDWLDRQPPRSVVFVSFGSGGSLPTEQMHELALGLELSGQRFLWVVRSPSDEGAVNANYYDAESKKDPLAYLPAGFVERTKDVGLLVPSWAPQTEVLAHEATGCFLVHCGWNSVLESLMHGVPMVAWPLFAEQRQNAVMLSAGVGAAVRVPATKKKEEIATAVREVMAGQGKGAEVRAKVATLQKAAIEGLLEGGAATAALDEVASKWTGAEHA >SECCE3Rv1G0150310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31501077:31502768:-1 gene:SECCE3Rv1G0150310 transcript:SECCE3Rv1G0150310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAMQVAEGAGQRSNGPRILMNAGKRALSRGASSSLGSPRSPPPSYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPEARISDYFDVIAGTSTGGLVTAMLSAPDDAGRPLFAAKDINQFYLDHCPNIFPQASKGPFALMRSMIGPKYNGEYLHTVVKKLLGDTRVGDTLNNVVIPTFDIKLLQPTIFSTYNAMKDKSKNALLSDVCISTSAAPTYLPGHHFQTEHEDGKLREFNLIDGGVAANNPTLLAMTDVSKQILMGNPDFFPIKPADYGKFMILSLGTGTAKIEEKFDAAECSKWGLLGWLYNRGATPIIDSFSQASADLVDIHASVLFQALHCEKRYLRIQDDELKGETASVDVSTPENLNRLVDVGKALLKRQVCKVNAETGKNEPDQNRGTNEEELVNFARMLSEERRARLRKEGDVEL >SECCEUnv1G0558910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:335092782:335092988:1 gene:SECCEUnv1G0558910 transcript:SECCEUnv1G0558910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAKRSAEAVGCQNASVGERSALEGSNRESGGRRSGSENVGLSNENIGENPMPRKPKVSSARFVHGG >SECCE4Rv1G0245020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:524171579:524175613:1 gene:SECCE4Rv1G0245020 transcript:SECCE4Rv1G0245020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSDPAASAQPPSTAATSSSGLTFKLHPLVIVNVSDHYTRVKAQASFPAETPSPGKAQVSCSAEGSSPPAEPPRVFGCVIGVQRGRTVEIFNSFELVLDPVTGTLERAFLEKKLELYKKVFPEFYVLGWYSTGSDVQDTDILIHKALMDINESPVYLLLNPAINHSQKDLPVTIYESELHVIDGGPQLIFVKSNYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVRVIQQYLGAMQKGDIPLDNSLLRQVSSLVRRLPAMESEKFQDDFLTEYNDTLLMTYLAMFTNCSSTMNELVEKFNTTYERSPARRGGRGAFM >SECCE7Rv1G0526990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:899566694:899567623:1 gene:SECCE7Rv1G0526990 transcript:SECCE7Rv1G0526990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKMSTLILKVDLGCCPCYKKIRKILCKLQDEERIRTISYDDGNHTIAVAGPFDPHKLSCKIRCKGRKVIKGIDILQHNGTGEPPAMNNGDNNKTNEPPPPSPVHEPPTPPPVADKPPSPTPPDSNVSPVMEATIEEKKPVEVEPAAAEQARPVSPVDVKPPPVEIPSWPAPPQPIAMVHCGCSCCKPCYQGYYEGCRCYSCGRVYGYAVGMMPAPAGYRSACHLFSEEDPTSACTVM >SECCE6Rv1G0404010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510943472:510943882:1 gene:SECCE6Rv1G0404010 transcript:SECCE6Rv1G0404010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTIADLLTSIRNADMNKKGTVRVVSTNITENIVKILLREGFIESVRKHQERNRYFLVSTLRHQKRKTRKGIYRTRTFLKRISRPGLRIYTNYQGIPKILGGMVIAILSTSRGIMTDREARLNRIGGEVLCYIW >SECCE2Rv1G0110280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:688528549:688531781:-1 gene:SECCE2Rv1G0110280 transcript:SECCE2Rv1G0110280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G02410) UniProtKB/Swiss-Prot;Acc:Q8L638] MGRLAVAAAVAAWAIPIAVLVDSIVPDPYMDEIFHVPQAQQYCRGDFLTWDPMLTTPPGLYYISLAYLASLFPGAWAIKVAEAFDPLCTTALLRSTNVIMAMVCGVLVHDLLLCVKPGIGKTKATAYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLSCLKKRFWVSALFGAVSILFRQTNVIWMIFFAANGAIAYVQDLSLSDCLSDENSEPVDKSRTEVSDRDNKVSALGLRRRRTNHPISKRRVVSGSTKLHTSFAEELFDIAFKLWNSKCRILITFAPFAIVLVVFVAFIIWNGGIVLGAKEAHVVSPHFAQLLYFGLVSATALLPWHFTPGRVSDLFYWCRKNKTFSSLAMLIALALSFMAVHLFSIAHPYLLADNRHYTFYIWRKVIQTHWMMKYILIPLYVYSWFSVINILGKSQARIWVVSFVFSVALVLVPAPLVEFRYYTIPLVILVLNSPVIGNGKLLALGSAYAAVDLFTLVMFLFRPFHWGHEPGTQRFMW >SECCE4Rv1G0226680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:112993232:112996872:1 gene:SECCE4Rv1G0226680 transcript:SECCE4Rv1G0226680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase-like PAD4 [Source:Projected from Arabidopsis thaliana (AT3G52430) UniProtKB/Swiss-Prot;Acc:Q9S745] MEDAEENSMFETSHVLGALLASSPLLARAWDQCAAAAAMGAASSGFAHGDGGGDGGTVYVAFSGLQAALSVAGPAVASGADVFAPVGLGGDAAGARAFPQLAAAEPDAAAAGERVAVQALALRCFLKLCGSPEFQMLLNQIQGKAVVFTGHSLGGAIAALAALHYLCISSLSSPCSPSPPVLCVTFGSPLLGNEALSRAILRERWGGNFCHVVSQHDVVPRLLFCPLDAVPVRVIIGMQLQQWPGHAHHTGVMTTRVMDAEQEGLRQLIQAHVRTVAMEQKLVDPESRGGSPYRPFGTYVLCSPEGAACVDNSTAAVQMLYATFAVCYTGGGTTSLEAAHSCYGDLVLKMPQNLLLKRRPHAMDVLASMSNSNYDAGISLAMEVAGIGSEATEATTARYWLKASKRAGRSPSLNCAGLAIRLGRITPCRAQIEWYKASFDADMGYYDAFKQQRSPKKFGKANIYRLKLGQFWDGVLTMLDNSQLPHDFHRRAKWVNAARFYQLLVEPLDIADYHRNNLHKTRGGYITHGRDRRYELFDKWWKEKGAFGGTSTGDTSVTATARSKYAGLTQDPCFWARVEEAWDQTESAQAEHDVAMLAMKLGRLREFERYARELVESKEVSIDVLAPQSSYTRWAEEWKKLLLRDEARIASLF >SECCE7Rv1G0462160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:43331493:43333057:1 gene:SECCE7Rv1G0462160 transcript:SECCE7Rv1G0462160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRSASRLLGSAASASCTEPPRIDPPPAPAPANESGAGFVGPMTSSGGEPCCELSRSPWDLMAQLDLSDPQVEKLFVETCFMSVSWRGSWLFPSSITMPTGSIKEEEDLAVDMVDGVILKLHKAVIKMESKLKPNKGVNVKKGVWRCRKNDGKRWCCRRPASVPNSYCSYHLDQKPPVSDKPRRKRPDIDLGEGFYYYAGFGPGTKRRRTSCRDSVPEPPLPAEPLKEEAPDEMQLDFSELQVQAANESDHQVVLPPSAHIGMAGCDKVGSDDVVPEPPMLAKLRKEEAQSEMQLNCSAGQEQADHSDHQEAAALVRVVNKLTGNDGTTGIAGWDEESSDDEVLGCNGKPRDITKRKSSFKKRWRKPVKARSLKSLMMS >SECCE4Rv1G0269490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749176374:749177651:1 gene:SECCE4Rv1G0269490 transcript:SECCE4Rv1G0269490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASGAAASTPVGSTSSSSSRRAPMEDDDLLMEILLRLPPRPSSLPRVSSVCKRWRRIVADPQFLRRFCAHHREPPIVGVFFSSSFVEPPFRSTLDPPDLIPPERFSPQLDGIEGGIWSVRSCRHGRVLFTNSDRTGRGCRQVVVWDPVTGDRRCIGSPPELGGHGWSESRVQADVLCVAGDEGHVHGACHWSPFKVVLACTSEGVARACVYSSEMGAWAAPISTLLPFDTPSCLPSRSILLGNSLCWFIFGPLAGILELNFDRQSLAVIEVPPDACITDYHELFLSTLGGMLGFIVVSESYRAQLWERTTNFDSVAGWMRGRTFELRKLLPLKSGEWIKRVMFIAGDDNVAFPSTSRGIFMVHLESLQFEEIFKSNPDNRLSTIYPYPFKSFFAAAAAGNNMHLHGNHSKNIVISDGFVSRLLD >SECCE3Rv1G0152140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:44634850:44640533:1 gene:SECCE3Rv1G0152140 transcript:SECCE3Rv1G0152140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLILLPLLAAAAGAARDSDDPFLSGAQANHSYNIDCGGAADFTSAFGRRWLADRFFSAGGNAGMVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPPGRYYLRVFSVYDNYDSKLRSPSFDVSAAATLVLSFRSPWPETAARYGAYSDLIFPASSGADAEATDVCFYSLSTDAPVVASIEVAPVHPLAYDGATTGADVVLVNYGRLTCGNGLFGPGFTNDSDAFSRVWQAGTDFRNNDLTYDAITAGGRKIFGSNQPPNYFPTKMYRSAVTTGGDASNEIEYLMPVDTRMSYMVWLHFAEIDAGVRAPGQRVFDVMLAGRNVTRIDIFKQVGGFTAFKWTYIVENLTSSIMSVRLVPVLGRPILCGLENYAMVPLETRTVPHQAAAMKALKDSLKIPARMGWNGDPCAPRTWDAWEGVTCHPGNKGLVITQLDLASQGLKGFIADEISHLTDLVSLNLSSNSLTGSLPPGLGQPSLATLDLSSNQFTGSIPGTIGSSKLQTVLLNSNQLDGQVPERLYSVGVHGGVIDLSGNKGLCGVPTLPACALFWEKGGLNKTGKIALGASFGLLLLVILIVVYIVCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMDAHNTDGFYTNGNGNTR >SECCE5Rv1G0375550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868611004:868614078:-1 gene:SECCE5Rv1G0375550 transcript:SECCE5Rv1G0375550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQAVGYGVDSTTQNEQMRFSSDSMTPLNLRRKVEPMLQEVKDYFSKWGEDGIVDLKHELKHLLMLISSRALLGKEVREEVFTEFCQLFSDIQGSANMINLLFPYVPIPTNRQRDRARKKLIEILSEIVRSRKLSGLEGDGDMLQKFMDSRYRDGRSTTEEEIAGLCIAIFFAANHTCSHAITWTAAYLLSHEDCLTTVVEEQKNIIRKNKDHIDYNILLDMHSLHRCIKEALRMHPPVPVFLRKAHMPFTVRTKQGDEYDIPKDHILASPTILNHNMSYIYKNPLQYDPDRFGPERKEDKIGGKFSYTSFGAGRHACFGEAYAYMKFKMIWSYMLRNFELKLISSFPIEDSRKFVPEPQGKMMVSYKRLPQT >SECCE5Rv1G0319930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:380038809:380041553:1 gene:SECCE5Rv1G0319930 transcript:SECCE5Rv1G0319930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAANSDDEDNYEEYIPVAKRRAMETDRFRHQRLPKPTVPSLPPPPPPPTNNPAPAAKPSLLVTSTLRKRTAPEITPTERLIQEEQKIAEEVSYRTTLKPVGEIAKDITYTEPLRTGWKPPLRLRRMPREKADKLRRKWHILVEGDEVPPPARNFCDLRFPEPISRMLREKGIVQPTPIQVQGLPVALSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEMLMPIVPGEGPFGMIICPSRELAKQTYDVIDMFLTPLKQAGFPEIRPLLCIGGVDMRTQLDVVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVLNHFKVKDNFKAPRQTLLFSATMPKKIQNFAMSALVKPVVVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPALIFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERQDAIEFFKNGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELVDPLEDAEAIAKASGVKGCASCGGLGHRIADCPKLEHQRSAAMAGSRRDYYGGGGYRGEI >SECCE4Rv1G0222020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:53247730:53251186:-1 gene:SECCE4Rv1G0222020 transcript:SECCE4Rv1G0222020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGQDPPLADAAAAASGDDDAAAADALLSAASEQLTLVYQGEVYVFDPVPPQKVQAALLVLGGCEVPPGLVSMAGPTAYGEKSTTVAAKRVASLMRFREKRKERCFDKKIRYGVRKEVAQKMKRRKGQFAGRADFGDAASSSAACVSAADGEDDHFRESHCQNCGVSSRLTPAMRRGPAGPRTLCNACGLMWANKGTLRSPLNAPKMTVQHPANPSKMESVDDDKAIVCAERNHTAVKMDSDMSPEQEQKPELRPATEGDSMADS >SECCE6Rv1G0450500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:868157572:868160100:-1 gene:SECCE6Rv1G0450500 transcript:SECCE6Rv1G0450500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTLMNFLRACWRPSSNRHARTGSDAAGRQDGLLWYKDTGEHVNGDFSMAVVQANNLLEDQCQVESGPLSFLDSGPYGTFIGVYDGHGGPETACYINDNLFNHLKRFASEQNSMSADVLKKAYEATEDGFFSIVTKQWPVKPQIAAVGSCCLVGVICGGMLYVANVGDSRAVLGKHVKATGEVLAVQLSAEHNVSIEPVRKELQSMHPEDRHVVVLKHNVWRVKGLIQVCRSIGDAYLKKQEFNREPLYAKFRLREPFNRPILSSEPSICVQPIQPQDEFIIFASDGLWEHLTNQEAVDIVQSSPRSGSARRLIKSALLAAAKKREMRYSDLKKIDRGVRRHFHDDITVIILYLDSSLVSRASTRRGPAVSLRGAGVSLRSSTLAPYGSQM >SECCE2Rv1G0128910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:865729857:865730768:-1 gene:SECCE2Rv1G0128910 transcript:SECCE2Rv1G0128910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTTSCFTFLKEALILPTLNPKLFTPLLLLFVAAAFLDHTVNFVFVQPLADVVASHVTEVNNTDFSSAEYAKLVEMERPKQDGMKLILIAGSEVIVALAVGFVKKILFLFAASTTYSGDRYSLAELLRELVKGRISLKGPSITIALVDALDFASAVLAALIPAPMGGLSGVLSVQGLVYLIALLTSLYFTAVALVGVAASVVDRKWRGVGALRQAWRLVTLVRRKEGLLLVLVAHFVPTVVAPLYRVALVYAKTSMAVCLCLLAVHAFLFCALQFFSLTAATVYYYQAMQSKEVIDALRLC >SECCE3Rv1G0149200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:23033931:23036528:-1 gene:SECCE3Rv1G0149200 transcript:SECCE3Rv1G0149200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGVVLLFLLLALTPYLAAADFCNNVKAVGAALSRNASSSPVQFATATFGQAPDVVYALALCLGDVLDSSACGTCIADWFATVNQTQCDKVGFSYRDCIVVYGATADILAAPSNATGGSGDNTPPFQDWNIRNVTADDAPRIVNLTFELLAKTAVMAATTTPKLYATGVMDMKTVGTYPNVYSQVQCTPDLSADDCSACLRRLLGMVNSTMALRMGGRMGVTRCFFRYEAFPLYGARPMLSLPSSSPPGPAPTPTKRRSMLWVIPVALVPLAASAFLFCICYCRRLKRQRKGSRRAHSLEWQGKNSDFSLFEFEHLLEATRNFSEESKLGQGGFGAVYKGQLPDGSEIAVKRLASHSGQGFMEFKNEVQLIAKLQHTNLVRLLGCCSQEEEKILIYEYLPNKSLDFFIFDENKRALLDWTKLAAIIEGVANGLLYLHKHSRLLVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNDTEGDITRRVVGTYGYMAPEYASKGKFSIKSDVFSFGVVILEILSGKRNSGTQQCGGFINLLGYAWQLWEEGKWIDLVDASLVSNSHASKIMRCINIALLCVQENAVDRPTMGDIVSMLSNETMILVAPKQPAYINVRVGNEETSTAPESYSINDVSISITSPR >SECCE1Rv1G0030770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:432072252:432073535:1 gene:SECCE1Rv1G0030770 transcript:SECCE1Rv1G0030770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQMRRGGATCLVQVAAVVSYVMLMASTGVRAQLRVGFYDSSCPAAEIIVQQEVSKAVSANPGLAAGLLRLHFHDCFVGGCEASVLVDSTKGNTAEKDAGPNTSLRGFEVIDRIKARVEQACFGVVSCADILAFAARDSVALTGGNAYQVPAGRRDGGVSRAQDTGGNLPPPTPNVNQLTKIFASKGLNQKDLVTLSGAHTIGGSHCSSFSSRLQTPSPTAQDPTMDPGYVAQLAQQCGASASPGPLVPMDAVTPNSFDEGFYKGIMSNRGLLASDQALLSDGNTAVQVVSYANDPATFQSDFAAAMVKMGYIGALTGSSGKIRANCRVV >SECCE5Rv1G0323530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:437301510:437302593:-1 gene:SECCE5Rv1G0323530 transcript:SECCE5Rv1G0323530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGGGADDKDYKEPPPAPLFEAEELTSWSFYRAGIAEFLATFLFLYISVLTVMGVVGNPSGSKCGTVGIQGIAWSFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFQSTLYMGNGGGANSVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKKQSWDDHWIFWVGPFTGAALAAIYHVVVIRAIPFKSRD >SECCEUnv1G0538360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72701430:72702638:1 gene:SECCEUnv1G0538360 transcript:SECCEUnv1G0538360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKKLHLTDLPDHILAEIFLLLPDPADLARASAACVSFRRISTDRPFLHRFRLLHAPPLLGFLNRDGFHPALPPHPSAPAARALALAADFSFSFLPSHCRWYVKDIRDGRVLLDSDPREDVRSPVFAVCDPLHRRYVLLPPFPHHLAASLDNPVPMLPGPRCNPFLVPLSEEEAAAGATTFRVILMTHSITSLTAFVFSSSTGQWQAAVSKDLSDLGLDKFKSVVISHAYDMRHYAYGCFYWDWVGLVHTKFLVFDTMRMEFSAADFPPGDWTTDGLAIVEAGEGRLGMFGFDGVCASDLSYTIAQNEGQSPSQWQVEKTISVDSRYLYLIKAGTERYSLLMRAKEDEDSSLDNPLVEFFAMDARTLQLQMLCAKKDANTSFWGHLYTNFPPSLLSSRTI >SECCE1Rv1G0002870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:11168152:11170265:-1 gene:SECCE1Rv1G0002870 transcript:SECCE1Rv1G0002870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLNCTPAEEEDILQDDMNMEGEEGIDPGQDAVVVETRVRKKRTLTNEQKYAAYVALHAVSMSRGGKFKRNDKKDLARFFKVEVRTIQRVWQKAMEQISEGLEVDVSDQRKGNSGRKPKDINLEQVPTIPLNKRSTIRSLAWQLGCSPTTLHRKFKLRLIKRHTNCVKPALKEKNKKDRMEFCMSMLDETTIETSRPKFKTMHNVVHIDEKWFNMTKKNKTYYLLDGEEEPTRPIHGNSIGKVMFLTAVARPRWDREGNVTFSGKIGIWPFVKEVPAQRRSDNRPRGTIETKSIKVDRKVMREFLIEKVLPAIQAVWPESDAGQTIYIQQDNAKPHILPNDLEFLAAVAQTGLDIRIIQQPPNSPDLNVLDLGFFNSLQSLTDCLSPKTLQDLITGVLEEFEGYDVYKLNRIFLTLQTCMIEILNHAGGNGYKTPHVNKERLEGLGQLPPRLTCPQEVYANALHNLGLMERVQ >SECCE1Rv1G0061960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717958407:717965295:-1 gene:SECCE1Rv1G0061960 transcript:SECCE1Rv1G0061960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKKVIIEGFKSYREETSTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIIFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRRESLKIMQETANKRKQIDQVVRYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNDARNELASMDDNRRQISERMSQADNEVVELREKVKSLEKEIKASAKGINEIKSEKEDAEKKRTEALKVVSQIELDLRDLKDRISSEKRAKDEAVRELNSMRKESEKSKSELAQISKVHAAKLKEEEDISKSIMDREKRLSILYQKQGRATQFKNEAARDEWLRKEIHDLERVLLSNRKQESLLQDESQKLKDEINKLTNHIESRRSESSKLEAVLADKQKHHNDFTKQKNALQDERKSFWKEENSVTAEIDRLKEDLVKAQKSLDHATPGDIRRGLNSVSRIIRDHGIGGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVHAPNVNVPQSSDFVPLLKKLKFRAEHRRAFEQVFGRTVICRDLETATRVARSNSLDCITLDGDQVAKKGGMTGGFYDSRRSRLKFVKVIRDNKAEIEKKTAHLENVGKKLKDILL >SECCEUnv1G0543640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:117534449:117534688:-1 gene:SECCEUnv1G0543640 transcript:SECCEUnv1G0543640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMRTTCTWTERPYEALLFPGIGFGPFLRSLGGRRRRLPSGGARAISEIPLWKSSDSNLVSDPRAKGQSQVDSFYGA >SECCE1Rv1G0012770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:96754846:96758622:-1 gene:SECCE1Rv1G0012770 transcript:SECCE1Rv1G0012770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLSLLASASASPVLLAPSKELHGVLPFQGKRSQQDAGRSGAVQLSPQQHILEGAYQAQQPLQMMVPGIGQAAAAAYQTFDSAALIDVQDSHPDSVRLSLGIAEQCARQEKILKFLMSGSDVRELDASLLTELTGHQMLSGNMGSQPYTPDDKLSVYEFGLEEPLQYLAENQLIIPDDKLSIYDFGLEEPLQYLSENQLIIPDPLLEFAQSQGALAIDENGRVIFTGSGDDIRDLLSVFLEFNVPKRESSGCKAAYLVPYFDRKRGRNSSQSNSKSASPAVEAPKSKANVKVKSPSKKKQKGKTINERDMSQNNYFHASEAFFSILLAKDRSSSTILSLKKAGPEITELLTQCSIGIAGTGLAVLLSVVCKVAIGGRTPFAATRLLHTSVGFGLFWLSHAVNGLRDTITSVFRGPVDTKLEDEVAVKIQRSMNDILLRAVTLLAITALRFA >SECCE1Rv1G0007930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:43010101:43013546:-1 gene:SECCE1Rv1G0007930 transcript:SECCE1Rv1G0007930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAELIIAMKKRRQQVQADSKPANPVPGTSIASVAKRARPSCEQDDYSQGTKIMRYSGPDLPEDIWCHIHALLPLPDAARAGCVSHEFLRSWRRRPNLTFNQETLGVKPTFSEKILGNDTLAWKLTERVDQIMKKHAGFGVKTFNLEYCGSRIYTRCLKSWLRIAVNPGLEELVLLLPVVDDSKYYNFPCSLLFNGSGKSISYLRLKGCAFHPMAGLGCLRKLQLSEVHITGDELECLLSNSFVLKELDLSKCNTITCLKIPGVLNQLNDLTVSKCQTLEVIENKAPNLCTVCIDAALVRLPFGDSLQVKNLEISWSFECNTVHYACAGLLPWVMPNLETLGISSAGEVFNTPVVPTKFLHLKLLEICFCIAEEGAFSPAYDYLSLAFLLDACPVLETFALSVSQTRMKHDPVSGDSSLLRKKPGHHHASIKNVKIDGFCSAKSMIELTCHILDSATSLENLTLDTIYDGDYEHADGSAVHEIGDCSPHTGWRMIREAHKAVLAIEKYIVGKVPSNVKLNIKKPCSQCHSVK >SECCE6Rv1G0453660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:884217108:884218922:-1 gene:SECCE6Rv1G0453660 transcript:SECCE6Rv1G0453660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSDVDSRRLFLRRIFGSEEAWPDTPREEEISVDILKKCGGMPLAIISIASLLAGEPVSTWDYVRKTMGAMTEGDNVENMKKILDLSYIHLPDHLKTCLLYVSMYPEDRAIDKNDLLRLWVAEDFVHVSRNSGLDAEDVAEKYFKELMSMCMIQPGRIDDYTNEVLSCRVHDIILDLMRSKSCKENFIHVIDGSKDETGEIRRVSVQYNDNEDMRILETINKGSLSHVRSVLLRPSSLVPYFLEFKYVRVLHIEFEKSRGKHLDLTGISRLFLLRYLKVASEYVIELKLPNQIGELQQLETIDIAEGMLKNPPSDIVSLPWLSDLSLWRLVLPDGIDRLKSLRTLEGVDVFKSSVENIKGLSKLTNLRKLRIYPDGSNKLKKTTMDALHYSICKLSANLRTFTFDEGLDCIPADVSGWITRTPFPRGSHIQEFDLLGCGFQRCPEWIGQLHGLYKLCISVREVADGVSIVARLPSLAYFCLASSSMFEEEKEESVVIPGTGSGAFRALKHLNFDCPKASLPKLEQLEIQFRDNMTHQFLPVGIQHLPTGILKQIILKAGWDAYREREISVRDAYIKHVTSVLEGAFKPHHPAAVIIINGRKL >SECCE1Rv1G0041440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:571284049:571284243:1 gene:SECCE1Rv1G0041440 transcript:SECCE1Rv1G0041440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSHSSSGISNYGSAKQRRPALKRGQLKRQIVRTISNLMAPRNDGAGADKRASAGRTSFGAYN >SECCE6Rv1G0440800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:806882784:806884993:-1 gene:SECCE6Rv1G0440800 transcript:SECCE6Rv1G0440800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPSLDGQPLLAPVERSEHVAALEEAKRLLRLAGPLAAGGILRSALQLVSVMFVGHLGELPLASASLATSLANVTGFSLLVGMSSALDTLCGQAFGARQYHLLGLYKQRAMVVLALTGVPIALVWAYAAQILLLLGQDPAIAAEAGAYARWLLPALVPYVPLVCHIRFLQMQSIVMPVMVSSAVTLLSHIIVCWVQVHKVGMGSKGAALAITFSYSTNLAILCLYTRLSSACKRTWTGFSMEAFKELCQFAELAVPSAMMVCFEWWSFELLVLLSGLLPNPKLETSVLSICLNTGALMFTVPSGLCAAISTRVSNELGAGRPQVARLATRVVICMAMFAGSVISITMILLRKSWGYMYSNEEEVVTYIAWMIPVLGVSFFIDGIHTSLSGVLYGCGEQKIGARVNLAAFYLAGIPLAVLLAFVLHLNRMGLWLGIVCGSLTKLVLLVWIVLSINWENETIKAKDMVLGTSLPVASRTFGRTESY >SECCE6Rv1G0382950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:48641203:48644383:-1 gene:SECCE6Rv1G0382950 transcript:SECCE6Rv1G0382950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRQGGAGVGNVASDAARPRPERTGRSVPRGRPSQRTSAYRGVTRHRWTGRFEAHLWDKNAWTEPQRKKKGRQVYLGAYGGEEAAARAYDLAALKYWGRGTVLNFSLSCYDQELKEMEEQSREEYISSLRRKSTGFSRGVSKYRGVARHHHNGKWEARIGRVFGNKYLYLGTYATQEEAAMAYDIAAIEHRGLNAVTNFDVSRYINFDNDPLQPPQDSPPSHAVLALGPFLQSSRFQKMMERVTVSESSTDLLPSPSCSPSQPPPPPTTTEQQERKPGYSSTRCSFPDDVQTYFGCDGEDSIISCAEVDTFLFGDLGTFPAPIS >SECCE6Rv1G0392710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:267533007:267533270:-1 gene:SECCE6Rv1G0392710 transcript:SECCE6Rv1G0392710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMRDALERAKMLVGMEFDEESAPPPPEEQSFFDDINRHCTRNTTQESRSLLLLVVRSPSFTCYLSDPRRLRSDLAVRVTRDYQPS >SECCE5Rv1G0320960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:395104970:395106244:-1 gene:SECCE5Rv1G0320960 transcript:SECCE5Rv1G0320960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAALFVDSLYCPEEHHDLFQEPAEEQWPEQQPPVALDDELPALFEVFRGKEEVLLREGGDGYGGDARREAAVGWATRAAARLGFSALTAALAAEYLDRCFLSGGALRLGDQPWMARLAAVACVALAAKVEETRVPVLLDLQLCAAESAGDADVFDAKTVRRMELLVLSALDWRMHPVTPFSFLHPVLAAARLRQCESVLLAVMPDWSWPRYRPSAWAAAALLATAGYGSGDGDAELLALINAPEDEVAECVKILSVEAAAGFMGFGGDNKRKRAAAGLHSPPLSPIGVIGAAAYFSCESSSSSADSRSGAATAWPGSVSVSSSPEPPGRPLKRATATAMMLAPDEESRDAWR >SECCE6Rv1G0430480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:742306275:742307465:-1 gene:SECCE6Rv1G0430480 transcript:SECCE6Rv1G0430480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEGGCRSESRRAEWASLQPELVQLIADRVLSTGGVDEYMGMRAVCPTWRSAVGKPSPHAAVADLRFRPRQWVLLHGADDQEGRPLFLNVTTGRFRRLRLPLLRDYVFVGASGGLLVLGAREPPHAARLLNPLTGDMLSFAAPIPPEDWVETAIAGSEPTIIFSFEPVYGKYQDIPAYCSLGLGGDAVYSADPMGQLRAVRFHDAASNQEAMFLLRSMVTYAGNVYVLSAGGTLCKIVWTGGRWYAERIMEVDKDYTVALIESAGKLLLVRELPDIIQVFSVDVKRKVLEPIESLGSSAIFISHGNCMVVDADMLPSIKSNCIYSVSFTGIQLDSIHILYDLSDGKKIRFSGPLMHGDSCRRESGIIHEGPLSLAQVLLNPYPRLKAQLGRIQQI >SECCE5Rv1G0322090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:416301585:416303411:1 gene:SECCE5Rv1G0322090 transcript:SECCE5Rv1G0322090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGLRPRRQPPPPAKETRAAGSGPNTSKKRKLAGHQRREEVPTAAVGLDIHEEVPAPPPGAPDPKSTDKPPAGGEADGGAVDRISNLHDDNLRHIVSLLPVKDGARTQILASRWRHLWRSAPLNLDYREFPSGCLPPYIDTRIAVISRILSSHPGPGRRFCIEPCYLHAPEATVDAWLRSAALDKLQELETGSTICIDPPPASTFRFSATLCVATLGKCSLPDDVVQGLHFPLLKQLGLDSVRITEFSLHRLIAGCTPALECLLIDRCSGFRSVRINSLSLVSIGVRPECYCAIPFRELIIENTPCLERLLHLDFSIGIHVSIVSAPKLQTLGCLSDVTLPTFAESLSGISGGFRNSDQDRLPMFVFGSTVIKGPRVDKLTTAVRTVKTLAVQMGTLSLDTVIELMRCFPSLEKIYIQPRSEGENNVWRRKHRNFIRSFDIPIKTIALECYEGSKSEVDFVTFFVLNARLLELMTFHIQSHVCTEDFFAEQRRELQLEKKASKGAQFHFTVGRCVRSVWTMRHVRDLDLIDPFAC >SECCE7Rv1G0491610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:529900352:529906336:1 gene:SECCE7Rv1G0491610 transcript:SECCE7Rv1G0491610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT3G52200) UniProtKB/TrEMBL;Acc:F4J5T2] MLLRTRRCLPAALSRAAVHPRVSPALPSPGAPRQHGPVAVANQGSALEPRSGPIDWVRSSTMARANPATLFPASTGGHYKVGMQARWFSSAGLPPHMVIGMPALSPTMNQGNITKWRKQEGDKIEVGDVICEIETDKATLEFETLEEGYLAKILVLEGSKDVQVGQPIYVTVEESDDIAKIPADTSFGGDHKEDESSESAAQTVEVDAAEQSPVMSRISPAAKMLIKEHGLDASLLKASGPRGTLLKGDVLAALKSGTALSSAKEKTAPAAPSPQSARDSQVQSLTTSPKSDTFEDIANTQIRKVIAKRLLESKQTTPHLYLSKDVILDPLLAFRNELKEQHGVKVSVNDIVIKAVALALRNVPEANAYWDNAKEEAQKCDSVDISIAVATDKGLMTPIIRNADQKTISAISSEVKQLAEKARAGKLAPNEFQGGTFSISNLGMYPVDHFCAIINPPQAGILAVGRGNKVVEPVMDNDGTEKAAVVTKMMLTLSADHRIFDGQVGGKFFTELALNFSDIRRLLL >SECCE7Rv1G0506730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:752610531:752613874:-1 gene:SECCE7Rv1G0506730 transcript:SECCE7Rv1G0506730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLLLAIKKIGYALANGAVNQASVQFAKYKTQLIELQGSMGHVARELRVMHDVLCQMDIRNRLYDGWMEEVQKVAHVMEDMVDEYLYLVGREQHIGCFYLKNGFRKPNSLLSMNQIAFKLKEIEKDLMHLSETKKRWVPMIETGDTSSSNYIVKRAQDRANISRSLDEDDLIGVDKNREKLEQWLAGGDLECSVIVLLGMGGLGKTTLAANVYKNEKNKFQCHAWVSVSRTYSREDVLRNISKELFKDNVSVLSTTEAMDITCLEETLKNFLEQHKYLIILDDVWTPETFDDLSRTDNPTREGDVAALASQEHILTLEALPEDEAWGLFCKKVFPKYTNHECPAELKPLSEQIVRKCKGLPLVIVLVGSLLYVREKTVEEWKRINDQLSWELNNNLRLDHIRNVLHLSFIYLPTHLKCCFLYCSLFPEDYLFKRKQLVRLWTAEGFIEETGESTLEEVAEGYLKELVDRNMLQLVRRNISGRLKEFRMHDILRELAVDLCKKNCFGVSYEDKCGVSLKMDGRRLVLHKLKKDIQQLPCSTHQLRTVITLEYSMSPFTLLPQLCKESRYMTVLELSGLPIKKIPDAIGDLFNLRHLGLLDSKVKMLPKSVEKLLNLLTLDLFRSDIHELPRGIVKLKKLRHLFSEKIINPDWREFQCGSGISITNGLGNLTNLQTLQSLKVEDESVRHIGELRQLRSLRLLNVKGIYCGLISESLVQMRYLSNLDVNACDEDEVLFLDVCLPSLQKLYLRGQLAEGGALDPFQAVGGQNLYSLGLFWSQLRVDPLPSLSRLSNLTYLQFRRAYNGEQLRFQTGWFPKLKTLYLRELPNLSQLEIQQGAMASLERLFLVSLYNMTEVPPGIEFLLPLQNLGVHGITRDFLTQLHQCSAIRGRQWQHTLRH >SECCE1Rv1G0036010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:503836665:503839814:-1 gene:SECCE1Rv1G0036010 transcript:SECCE1Rv1G0036010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDGGGGVDWDSLAEAASGAVGALVSTTVLYPLDTCKTKFQADVQTDQGAPKYRNLSDVFWEAIKKKQFLSLYQGLKTKNVQSFISQFVYFYGYSYFKRLYLEKSGAKSIGTKANLLIAAAAGACTVVVTQPLDTASSRMQTSPFGKSKGLRATLAEGTWLEAFDGLGISLMLTCNPSIQYTVFDQLKQKLILRQTRRNAESAGDSSPVALSAFSAFLLGAISKSVATVLTYPLIRCKVMIQAADPDEDDDDEPERPGKSRPPKTMLGAMHAMWNKEGIPGFFKGLHAQILKTVLSSALLLMIKEKISKFTWISLLALRRYLFVSRKRVKSA >SECCE7Rv1G0510630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:791368594:791369854:1 gene:SECCE7Rv1G0510630 transcript:SECCE7Rv1G0510630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKKVLMLCGDYMEDYEAAVPFYALAGLGVAVHCAAPGKAPGDPCVTAVHDFVGYELYTELPGHRFRITADFAAAAADPSSYDALVVPGGRFVEQLSVDAGAVSLVKAFAGELRRPVVLTCHSQVVLAAAGAMGGVRCTAFFSLRPVVELSGGTWVEPDPVSLCVANGHLLTAVGWPAHGEIIGKLLRALGGRVLGGRGQGVLFLCGDYVDDYEANVPFRALAGVGCRVEAACPTKRKGEPCVTAIYEDVAAAPGAVSDEKRGHNFVMTVDWANIDVDDYECVVVPGGRSPELLVTNEKAVALVGQFAAKGKVVASIDQGHLVLAAAGLLKGKRCASGVPMRVISNLAGAAAVEPEGAVADGKLVTAASWPDLAEFIAHLVDLLGITVSF >SECCE4Rv1G0220460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:39709832:39714996:1 gene:SECCE4Rv1G0220460 transcript:SECCE4Rv1G0220460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT4G34430) TAIR;Acc:AT4G34430] MEPKPQPAAHGDGAPTEAPRRRGGGGKRKASGSSFTPSKRHAKERNAAFHAPSHMLHSGPLTRAARQSPHKLSTAPADAAPAAAVPGGLGPGEGAAIRPDEVQTPAEETPLVDEGFEAVRSRGAGVHVVPTFAGWFSWKEIHQVEKQTLPSFFNGKSEKRTPEIYSGIRNSIMMKFHANPQLQLEPKDLAELSVGEVDARQEVFDFLDHWGLINFHPFPPAGLEESKPEESQSDSCNEEKASLIEKLFKFETVQSYMIPLPNKGEGEIPVPLPTLLPDPVLVEDVIAAAEPSVEYHCNSCSVDCSGKRYHCRTQADFDLCSNCYNEEKFDSGMSKTDFILMDSTEVSGARGTSWTDEETLLLLEALEIFGGKWTEIAEHVATKTKTQCMLHFLQMQIEDRFHDGDDIHQNIHESTEQALVEKGTPEVPEKMKTEEKVEGKDTEDEKPSEKTEGNHTEEKTEEGSVVENKDTKNSGGADSVTTPNVDEPKPSSDTDLAKENSVNLDTSDKNAPDVAIDISGENASNDAIDILKSAFEAVGNFPGYEGSFADAGNPVMALAAFLAGLVEDDNATTSCRSSLKAISEDSPALQLASRHCYILEDPPSDLKDIFVTVSNTNKDDDQAKDEDMVIDSTGTEKKDINEKEDNAVSVEKQNSPSTSPKDHKESDNKNVSCDDEAPIVEPKSNKAKETDDPIPLVDKSASNDTEVPSSSTKDSVAPENNANGRGLSASQEVVAVSTTCATNPELLKDKPSSEVEPDDDSPSQVKIELNKTEDAIATPTAIQEDEKTHTLGNGKLEEPNSAENVPANGEMGSRVTAEHNDSLTRLKRAAATAVSAAAAKAKLLAEQEEDQIRRLAALVVEKLLQKTEAKMSLFADVEHVALRTREYTEKTRKKLLMERNAIIAARMGALPSRPNQQGAAGNRLPPGYGAPAVRPPNAMPRPSS >SECCE7Rv1G0486170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:399412748:399438189:-1 gene:SECCE7Rv1G0486170 transcript:SECCE7Rv1G0486170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRPIAVLAWGSGEDGQLGMGRCDEKDWARCIGALDAYTVSAVVAGSRNSLAICNDGSLFTWGWNQRGTLGHPPETKTESSPSHVDALVGVKIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEPERKEDGTRALRRDIPIPQRCALKLKVRQVAAGGTHSVVLTQEGHVWTWGQPWPPGDIKKISTPVRVQGLEQVSMIAVGAFHNLALSVDGILWAWGNNEYGQLGIGDTQPRSQPIRVEGLSNLSLVDIAAGGWHSAALTKEGEVYAWGRGEHGRLGFGDDKSSHMVPLKVQLLAGEDIVQVSCGGTHSVVLTSDGRIFSYGRGDHGRLGDGRKVTTGHPMEVPINLPPPKTSTSSEGLWQANYVACGGRHTLAIVTWTDM >SECCE2Rv1G0081310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:138078086:138079944:1 gene:SECCE2Rv1G0081310 transcript:SECCE2Rv1G0081310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTLEYLFGLLGGGGGHGHDHGHGHGNRRRRRKQMQTVELKVSMDCEGCERKVKNALSSMKGVRSVNINRKQQKVTVAGYVEPTKVLRKAQSTGKKAEIWPYVPYTQVSQPYVAGTYDKRAPAGHVRSQEPGYGNVSGQASRQDDQLTDMFNDDNANSCAVM >SECCEUnv1G0559830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:341787461:341788636:-1 gene:SECCEUnv1G0559830 transcript:SECCEUnv1G0559830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITASASPAMQEAAKMPSTSPPRDMAAPTASPARYRPSLLVIFSACLVLIGAGGPLLLRVYFVHGGQRLWLSALLQISGWPLLLPPLCVSLFRGRRHGITNLLLPLRLVGAAAVLGSLYAVSCFVYAMGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVMLLIIGPAVLGVGPGSGKPAGEPSKTYWTGFCEGIAAAALAGLVLPLVEVAMERYGRRTGPATRTPPPYSTVMQMQAVMGAAGTMVCLLGMAIKSDFGALRSEAAAFGLGETNYYLVLVWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSEILAVLFLHEKFDGPKGIALVLSLWGFASYMYGERVQQKKAEAQRSELLQQQVARKTGDLELAAP >SECCE7Rv1G0524160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885033711:885034964:-1 gene:SECCE7Rv1G0524160 transcript:SECCE7Rv1G0524160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVLLDREVELCDEEAGINEGPIRLSWGGLPARRSGREKLSDAVTEYMQTFKAQPFVADPPELSSLNIRPPKSALVPPTSGLDSGRISSADKHLVALYAGGYRPGYSLPGSYLIYDASKDSISAIPCIPPDTRTRAMGYQSAVVMCTGEGSYLLAELVWVCPQDSQAALWLWESSAAKQWVFKIRDLPLPPGTTAFNFSVHSCFSYGGSFLCWVDLHRGMLLCDLHKGCNFSFIELPQGRPNYDASDYPDGLRAEEFRSVACVRGSIKFLGFNKFVERKPGETFGLTVWTLSPDHSGWSISYKCSVGDIWANTNYQTTGLGQLTPSFPVLSILEDGVVYLVVNDTSVVGRRLVFKAQYLLRVDMGNNNDVQIYQQKTTRIYSQLFASEFSAHRRQEHPVLLPPPIELDLNSDSV >SECCE3Rv1G0154940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62932425:62938765:-1 gene:SECCE3Rv1G0154940 transcript:SECCE3Rv1G0154940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKAVPRPCSPCSGTGRHGAPTARLWSLSVAGGPRRGGAGGRLVAADAGERMTGSEAVPVMAVAAGGKQPVNGSAMAGIDKLVTSTVGKSTNILWHDCPIGQFERQKLLNQKGCVVWITGLSGSGKSTLACALSRELHSRGHLTYILDGDNLRHGLNRDLCFEAKDRAENIRRVGEVAKLFADAGLICIASLISPYRSERSACRKLLHNSTFIEVFLNVPLEVCEARDPKGLYKLARAGKIKGLTGIDDPYEPPSDCEIVIQCKSGDCATPKSMADQVVSYLEANEFLQD >SECCE7Rv1G0494180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:579979599:579980426:-1 gene:SECCE7Rv1G0494180 transcript:SECCE7Rv1G0494180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNSEVQQFMNRAIAMSAMDVKESPSEISIDPSRSVNIANEDDDWVIVKKQRITILIPPLSPAAASPQTGTPKVSSRQVSLPRMSRRNCNAATKKHPKHVSTKKSLESLVLDASIKKARTCPSESIVHQDDVKMRGESSRSAAALVVKSEWTKHTDHAVEGLSHQATEKATSPLGKMYDPGMPIISSNVTNKVLRARLLQRRVSRFGGLKNWLLTCGLGWFVKILDSEGIGMYQMVSLTMNQLKEMGLIAVGPRRKLIHAIDSLCKPSQFEMFS >SECCE1Rv1G0037560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:526437114:526440446:1 gene:SECCE1Rv1G0037560 transcript:SECCE1Rv1G0037560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVAGWLVCPVIRIVVDKAKSCASDRIRWLNGGVPDALQQLDGALTQLRAVASAVERSRGARGSGGDLDRWLLQLKDAVYEADEVVDEFEYRTLGPPRSPLVKIGKQLVGTDESLNKLKGVIKKLDGINDSSGRLMQAAGLQPSWSGELSGHPPTPVGPATGSLLEDSEVFGRDAERKDMVSWLITASPPDRADPRAAAIPVAAIIGLGGMGKTTLARVLLHDDLVKAAFDLVMWVCPAAAYHKVGLVKQILQSAGAEVPDSMNNFDCLQRRLEDAVSSKRFLLVLDNVWNKGGMDEDNWSEVLAPLRCGQPGSKIMVTTRKRIVANLLKASKLVPLDGLAFADVWSLFTRIAFSNDSADKHPALQAIGEQLVQKLKGLPLAARVVGGMLKASRSISHWKRISEMESYANVTSTLELCYRNLQEHLQPCFAICSIFPKNWRFKRDKLVKLWMALDFIGTADGKKLEDVGKEYFDQLVEGSFFHERKEGHQNYYYIHDLMHDMAESVSRVDCARVESAEEKQIPRTVRHLSVTADAITQLKGRCELKRLRTFIILKHSSSSLSQLPDDILKELKGVRVLGLDGCDMVDLSDKIGQLMHLRYLALCKTITRLPQSVTKLFLLQTLSIPKRCHLEQFPKDMRNLKYLRHLDMDRASTSKVVGIGELIHLQGSIEFHVKREKGHTLEDLHDMNGLCRKLHIKNLDVVSSKQEASKAGLRKKQGIKVLELEWNSTGKIVPSVDAEVLEGLEPHPHVEEVRIRRYHGDKSPCWLDMSLKEGNKLCLLKSLYLTNCRKWEVLPPLGQLPCLKVLHLKEMCSLRKIGSEFYGTKLIAFPCLAELEFDDMPQWVEWTKEESTTNVFPKLRKLNLLNCPKLVKVPTFSQSIRKVTVRNTAFVSHMKLSFSSSLRACSVALETCSTTILTIGLLHPQQVDAVAVLTLRRCQGVNFEDLRALTSLKKLHISHLDITDEQLGTCLRGLRSLTSLEIDNCSDITSLPHVENTSGLTTLHIRQCSKLSSLHSLPSFAALESMSIDNCSKLTLESFPADFSSLGSLRKLNIMCCMGLDSLPSGFPSSLQVLDLIGCMPVLLNQLQLKDGPEWDKIAHIPIKRIH >SECCE2Rv1G0073440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:68317443:68317916:1 gene:SECCE2Rv1G0073440 transcript:SECCE2Rv1G0073440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAPAFAPAPAGPSWQHVGGPLSGAGATGLTAAGTVLLFLYLAGRFVWVYNKEVAAANGPGARADSATLVHVVVVPRHLGRAAPPVSLLPAFVRGEEGHRGAPEECAVCLSEFGEREAGRLLPGCGHGFHEACIAAWLRLSSTCPLCRAAVAWPE >SECCE7Rv1G0469420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:108940405:108942179:1 gene:SECCE7Rv1G0469420 transcript:SECCE7Rv1G0469420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTACDTKPPQSKKVCVIGAGMAGLAAARELRREGHEVTVLEQSNDVGGQWLYDPRTDAADPLGVAAPVKVHSSIYASLRLISPRQNTGFTDFPFCPKSGRDNRRFPGHREVHLYLKDFCDAFGLMEVVRLNTRVLRAAMMPARRWAVRSMDLGLGESDDTDEKKLDAYVDEVFDALVVATGHYSQPRLPSIKGMETWMGRQMHSHSYRVPEPFRGEVVVVVGCGESGKDIAMEIRGVAKEVYLVAGSMEAVTPGLSKVLAKHSANLHLRLEVERLCEDGRVAFKDVGGSSSSVAADTVIYCTGYSYSFPFLETGGAVAVDDNRVGPLFEHVFPPSLAPWLSFVGVPRKIFVPRFFEAQARWVAQVLSGRRALPAEEEMLRSVEEFYRAREAAGVPRKYTHEIGGRERTYMDDFGEKYCDFPRVEGWKYELLGSSVEDMIENLETFRDDFLDRDSIRRAMHEWHLSCLQSSPTTSCCSYTESQAAVPAQLVSIDKHND >SECCE4Rv1G0269940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:750729729:750731591:1 gene:SECCE4Rv1G0269940 transcript:SECCE4Rv1G0269940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAALRRLRSPRLRLASTFYHHNAAATDAFIHVQDPNRRIAELAAAGRVPDARMLFDRTPDRDVVSWTAMVAAYARQGQLREASSLFHRPDARRNVVTWTALLSGYAQARRVDEARALFDRMPERNVVSWNTMLEAYASAGRTGAACALFDGMPVRDAGSWNILLAALVRSGTMDEARNLFERMPERNVMSWTTMVAGLARGGSVDEARALFDGMPERNVVSWNAMISGYARNLRIDEALDLFMNMPERDVASWNIMITGFIQSKDLKKAQELFDEMPKRNVVSWTTMMNGCLQGNESEMALQVFNGMLVDGIRPNQVTFLGAVDACSNLAGLSEGQQVHQMICKTPFQFDNFIESTLMNLYAKCGEIRLARKVFDLSGEKDVISWNGIIAAYAHHGAGVEAIALYEKMQENGYKPNDVTYVGLLSACSHSGLVDEGLRIFEYMAKDKSIAVRDEHYTCLIDLCSRAGRLDDAKRLINGLKLKPTSSTVWSALLGGCNAHGNESIGDLAARNLLEAEPDNAGTYTLLSNIYASAGKWKEAAKIRTEMNDRGLKKQPGCSWIELANKVHVFVARDKSHSESELIYSLLQDIHHMMRMAGSDPRDHMQLIDEDLVDLQV >SECCE1Rv1G0062830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721473475:721477387:1 gene:SECCE1Rv1G0062830 transcript:SECCE1Rv1G0062830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Carboxy-lyase [Source: Projected from Oryza sativa (Os05g0591600)] MSITASAVPVGPPAFAIGAEMETEMGPELAPPEPSRGVGGGIGEDGDGGRGAPEGRASRFRRICVYCGSAKGRKPSYQDAAVDLGKEMVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGIIPRSLMPREVTGDPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDVAVNEGFITQEARQIIISAPTAKELVMKLEEYVPEYEVGLVWDDQNQMPSNSLVPEPLETPAITSS >SECCE6Rv1G0395790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:341313009:341321907:1 gene:SECCE6Rv1G0395790 transcript:SECCE6Rv1G0395790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPSRPTPTYILLVLLFFSVTASAAQPKGVCVSPGGRFPAFSSEGKPPGKAAKGRRDLALCRIFRQNTCCDVTQTFPALLSVRKLSSTGEGSGECLHLWELLECSVCDPRVGVRPGPPVICASFCDMVYEACSEAYFSIDTKTQALSPCGLGDILCGKAHKWVSNGTELCRLAGFSVQVSDASPSEVVETFCYGGKASLDSISDTWASSKDRPVLHGMPSWDLEDFQRWAKEMPVGERISWAVGGMVLTAGLIFISKREGYSRRRKQASVAQTVRKKWTRELTRSN >SECCE7Rv1G0497630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:628488361:628489629:1 gene:SECCE7Rv1G0497630 transcript:SECCE7Rv1G0497630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPALLLFLQLLCLLLGARAALHERDAAALRDVRAGLRDLPGSRFFESWDDDASAPCAYAGVVCAPDEDDPSGALLRVSVLTLGTGLSDSPGLAGSVPASLASLTALTDLVLYPGRVGGSIPEDIGSGLRRLRLLSLSGNQLTGPVPESLAGLPELHTLDLGNNRLEGTIPSGLLLPSSPSLKVLILANNVGLSGQIPDKFPSSQLFHVDLSRNAITGTLPPLPPTLRYFSVAGNSMEGSLDRAFAGNGQGPADLAFLDLSMNDFSGSIPPEVFALPSASSLLLSRNNFTGSLAVPAAPASSTPPWSVVDVSHNGLSGEVPEALAAVGSLYVNNNKISGEVPEAVARSVFDGRMTTFYAQHNFLTGFPVPPTPLPDSAALCLSYNCMDLPSASAADGCPTIGGPLESRPADQCRSSGGDG >SECCE4Rv1G0219690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33333578:33334582:-1 gene:SECCE4Rv1G0219690 transcript:SECCE4Rv1G0219690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERRLPQLHLTLDAPTWAFRCPAPAPVAAATPSTSAARPDGEFRLSDFDKLSVLGRGNGGTVHKVSHRRTSALYALKIIHRGHPGADEEVDVVRRVDSPHIVRCHSVLPTASGDSALLLELMDGGSLDSLVRAGQGGFPEAAVAEVAAQALSGLAYLRARRVVHRDIKPANLLVNRAGQVKIGDFGIAEVVSRAGKYRAAYEGTTAYMSPERFDTERLHDGEEGPVDPYAADVWGLGVTVLELLMGRYPLLPAGQELSWAALMCAVCFRELPALPDGAASPELRSFVAACLQKDHRKRASVVELLAHPFVAGRDVAASRHALREVIEQRV >SECCE2Rv1G0117740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:775519852:775521381:1 gene:SECCE2Rv1G0117740 transcript:SECCE2Rv1G0117740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVPWEYQLAVADYWKGRPAAMEPAATAWVPGLPLEMTVAAPAASHGELTVSSSGRQQLAMVESTTDHHHQYEWSGPVEAFEQAARAFEDKLGRTETKIHLFPASMEDLAERYSTPRAVSIGPYHHGRSPAVLQMESTKHVAAWHFVRAPGRPVEEVYAAVCAVADEARGCYDEDMVRGLAADDFKPMMFFDGCFLLQYLLFVCRDGDEEEEVAVDPALRSAFSSDYDRIFSDVVLLENQLPWVAVETLMSFVPAPGLDLPRLVGRVKASLQARQALDEKPPAWDGSYTPPHLLGLLRYYIVGSSIELSSESSRSRGLSDKAKKVSISVSAVELAEMGIQLTATKTGAELKEMGVKKGLLSGELFLAPLLLDDANARFLVNMAALELCTTPDFSEAGEERSTVCSYLCMLGMVTDRVEDVQELRTNHILQGGAGLTNEDALRLFISLEKHLRPGSCYLRTMLDIENYRVHRPLRTMVYRFVYKNMKTIVTVVSVVTGLVGLLEAVKPK >SECCE2Rv1G0115540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:751934436:751939855:1 gene:SECCE2Rv1G0115540 transcript:SECCE2Rv1G0115540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAAAVFFGMRDGDQQDQMKPLISQQQQQHQQQQHQQQLAAVALSGVGSAAPAASSQGAPAAAPPAKKKRTLPDPDAEVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPNQVQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPTSLSSLTSHLYGATNAGNMALSLSQVGSHLNSTLQHDGHHHHPSPELLRLGGAAGGGSSSIAARLDHLLSPSGASAFRPPQQQPQSAFFLNAAPGQDFGDDGGNGPHSYLQSKPFHGLMQLPDLQGNGAGGPASSGHGLFNLGFFSNNGNSSGSSHEHASQGMMSNDQFSGGAGGGGGGGGSDVSAAGIFGGNFVGGDHISQAGMYNDQAPMLPQMSATALLQKAAQMGATSSPNGAASMFRGFVGSSPHGRQATPQQHMDQNDANLNELMNSLAAGGGVNAAAMFGGANGGPAGMFDPRMCDMDQHEVKFSQGGGGVGGNGGGGGGGGDMTRDFLGVGGGGIVRGISTPRGDHHQSSSDMSSLEAEMKSASSFNGGRMP >SECCE7Rv1G0506640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:750941492:750943901:-1 gene:SECCE7Rv1G0506640 transcript:SECCE7Rv1G0506640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRSKARRKGFRSQLEQEVLRLQEVLREETALHAILDSALDHAAVTLADMSYLPTHAQELLSNISAMEAAVSKLEEEMVALHFQLIQERNERRLVEYRANAKQRPLPLCSHRSAAKPDPESDDAASDASNSTRGEKAHPSAAAHHDSAIKLHRQFSIKSLGGGANPNHLSEDIVRCMRNIFISLSDSCRGVSRANNPSAAGESQRAGPSPSGIAAFWSLSEPSSISSWVQSPQVDLNQNNNLLASETVFDPYKAREKLSWADIGGYGAASEVSWMSAGKKQLEYAAESLRKFRLLIEQLAEVNPVHLSDDARLAFWINLYNALLMHAYLAYGVPRSDMKLFSLMQKAAYTIGGNSFSAAFIEYVILKMKPPNHRPQMALLLALQKIKAPEDQKKFCISTPEPLLTFALSCGMYSSPAVKIYTASNVREELQDAQRDFIRASVGVSRKGKLLIPKMLHCFARGFVDDDSFPIWISHFLPQQQATFVEHCVSQRRQSLLGTRTFGIIPFDSRFRYLFLPDMGSLN >SECCE4Rv1G0240710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448132803:448133372:-1 gene:SECCE4Rv1G0240710 transcript:SECCE4Rv1G0240710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEFNRSSLAERRIFLAHYQTITYSQTSCGTNRFHLPSHGKPFSFRLALSGILVIGSVGTGRSYLVKYLTKNYDFPFIKVRGLLIPQERKHLFILSYTRGFYLEKTMFRTKGFGSITTSSSALDLVALSNEALSISIPHKKSILEKNTIRLALHGQTWGLRAKVRSARDHGTLFYQIGGTLVQNRLTK >SECCE4Rv1G0248430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:571827147:571828403:1 gene:SECCE4Rv1G0248430 transcript:SECCE4Rv1G0248430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADSSPMRIVLFPWLAFGHMLPFLELAKRLAARGHRVSFVSTPRNISRLPPAADVHLVALPLPRVDGLPEGAEATTDLLPGKGELLQKASDGLAGPFSALLDGDKKPDWVIVDTLHYLAAAAAVDRGVPSVMFATCSSASTALWGVPCMSTTVDPELGASLGQRFMLTYQSCKMVAQRCCMEFDPDSVALLPGIYGKPFVPLGLLPPPLSNGGDALVSWLNRQPAKSVVYVALGSEAPLSTELVHELAIGLELAGTAFLWSLRKPSGVPDDEVLPPGFQERTEHRGLVTMGMVPQTRVLAHDSVGAFLTHCGWSSAIEGMQYGRPLVMLPFFGDQGPIARLMEGKKVGLPVSRNGKDGTSFDREGVALAVRAVVVEEEGRRVFAANAKQVQQIVADTACHETCIDNFVQQLRFYKG >SECCE5Rv1G0360440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:769970367:769971797:-1 gene:SECCE5Rv1G0360440 transcript:SECCE5Rv1G0360440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARESEEETAMGTEVAPEPEEETVVFPDWVMLDRSGRTHSHADLDAAREAANKKKTAVEVVTATGHRCCLSFALSVPPEVSYLDLEWPRESSVEPVASSRRPAYPYVRATDEDLVLFDISIPSQPYDLPSDLFVYTAGPTPSAQQLPLYTEPMERQFLMSKFTTGILRLPDNSYIVSDLQVYQKKKGPDNYSMFVELYVFNSKTKEWKPFLEMPAPQPQDQSNTEFPILWSTKEVLAFGSRFLCCVDYLSGVLLCDFSNLESPVLHFVPFPGGDEYSEKVQIMPFLGGEENSEKAQMARSLVSRFQRVSVSLGMMHFVRIDGWRPRLERSQGQQQPLQKITVWTLDIGDGNKFKWKIHWELNLGLLWAKGGFHALDIPRCLPQFPVVSANNPDALCCLLRKEELSGQPWMIMVAMNQEYPPRYTKYINQQPYNAARVNEKKAMEPHQNFFSNVPLLPTVFSKYLVRPTGKLS >SECCE3Rv1G0144300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3470256:3470807:1 gene:SECCE3Rv1G0144300 transcript:SECCE3Rv1G0144300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFICPRCRAGVDRRVSHITRNQNRPFYVCSENGVACFFLWVDALAKTLMNELQEEHEEWLHMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLQKKVRKLEDQAQIAIPICNYFWAVVGMVLALVIMLKMYGKS >SECCE3Rv1G0174840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:408274799:408299915:1 gene:SECCE3Rv1G0174840 transcript:SECCE3Rv1G0174840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGSIGRDQGWQQHGNGRAVAIADGDVIEMEAAGASTVASSSGQQGDDAVGGVQVQGPRWKRFLAYVGPGFLISMAYLDPSNLQTDLQAGYSHRYELLWVLLFGFIFVLIIQSLAAKLGIVTGRHLAELCMSEYPKPVRYGLWFFAELGVIAATIPGVLGTALAYNMVLDLPFWAGVLICAATVILLLGLQSYGVRKLEFMIAVFMLIMAACFFIELSHVNPPMNELIEGLFIPRLKGHYAISDAVALISALVVPHNLFLHSSLVSSRKLPSSSEAIKDASVFFLLENAFALFLALVINVAIVSLSGAICADNLSLDDTNTCSSLTLKSASVLFKNILGRSRSIVYGLALLASGQSCAVVTTYSGQYIMQGFSGMRVCIIYLFAPCLTMVPTLIICGIGGALRVRQLINIAAIILSFVLPFALVPLLKFSSCCSMIGPYKNSTWITRISWILSLVIIGINTYFFCTSFVSWLVYCDLPRFAKAIISTLVFPFMAAYIAAVIYLAFRKVSTNAVLPSSSVSCEIEVAEVPRQDNKDEVLALDC >SECCE7Rv1G0515570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:840133035:840135315:1 gene:SECCE7Rv1G0515570 transcript:SECCE7Rv1G0515570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTAMKKRRRHGQPHHLHLLVSLLLLLPLLLDRTCAALPSSPRMARIQQHLDRANKPALRTIQSMDGDTIDCVPRHKQHALDHPLLKNHRIQSAPPRRPASAAATFSASGAASANSTSRARAAWQTWHHAGHCPRGTVPVRRTTAEDVLRSGQSLFRFGRKRHRRDRAPRAANAPDVVTGNGHEHAIAYTAVGQQEVYGAKATINVWDPAIQEHNGFSLSQLWLLSGSFNGSDLNSIEAGWQVSPELYGDNRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRVAIGAAISPVSSLAGEQYDMTLLIWKDPKLGNWWLSYGDGGASQLVGYWPAELFTHLSGHASMVEWGGEVVNTSPGGAHTATQMGSGRFAAEGFGRASYFRNLETVDAGNSLTPVSLDAVQTLAEDGGCYDIRKAYDERDGWGTHFYYGGPGHNPACP >SECCE6Rv1G0380990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:28494523:28497360:-1 gene:SECCE6Rv1G0380990 transcript:SECCE6Rv1G0380990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVALGAIELVVNLIRAEARLHGEMEEHARFVADEMESMISHLRHLVELGVGAGNHQARTWTKQVMEFAIDSKQCVNEFSQSRSRADRLAPWRLADRRQLADRMKNLKARARDIAERLQRYAVTAQVQTGRVSEVPDFVSNTPMPNDAYRRRILTGPYMVTEGTEELTCWLKKEASIEERGQKPRVIAITDGANGDALAEQVYNRSSDEKLFDLKAHVIIQRPPHHNQVLLDMLRQLVGMDLVDTYFFSGKDKPWPLSALYRWMYRAKGVDIDDLPDYLKGKKLLVVLSGLDYPEIWTQHIKVALEKFRCSDGSAVVFSTKVREVAQECSAKEFSLVDFHLKKAMPHPAGPVPANNISDHLPIIEDILQLCNNPDVDCANMFLRALCSKPNWTERYLKSLLTTLEDPQQSTKAKQDRMIDFSYEGLPKDYRICLWYSAFCIPLSYQAGAGRAGLVRRWRAEGLITTEDRSSELEEAEHCFDTLITHKLLFPCKIGGTGKIKSFAVHHSAVEIMTNKIPALEDLVLTNQLPIDSDSMLFSLRNACQLHQSNSAVLLQNESQLHQSNSTVPLQNESLSNSIRRKKTMDFLKCLNASPTSQLVSVLDLEDCKGLKGHHLDNICETRKLKYLSLRNTGVARLPSEMRRLSLLETLDIRQTRVRALNAVLPKLKHLLAGCTNHPREDTNVNSKESFSTVRMLEPEQVRKMEDLEILSHVKVSDSAKQLVNVGEKLKKLRKLGVVFSGGKAILEDVFRLIDTCNIDLRSLSIKMDKPGDWATVDSTSLTLSGFLESLNICNITGGLPHQIKELHKLAKITLRNTLLKDNALDILGNLTALRCLKLRFHSFAEGTLTFGNGQFSSLMELVVQDEIITSITFSTETAPKLVEMFWTFSSMKFLSGVQKLPNLSYLEFNGGSCDSVGLKELQGHIQGTGIKLKLNLPENGPAH >SECCE6Rv1G0423140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:694159011:694161641:-1 gene:SECCE6Rv1G0423140 transcript:SECCE6Rv1G0423140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMCEKDQNFHWAYDLFHDPFAAPNSYYGHPNGYSDNSYCDYNYARDASHPDETYLHSSALTCDMYNPQVGMYHPGNVGGQEHEAVYVDPSSSSSYPESDDCFEMEEEVGKRFYPMVPVPHVPKINGEIPSLDEASMDHERLSDRLRLYELTEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIISQLKSNREAYDGYVPMAYDEYLDKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIFPQNDAPRLHHTAKKRRWWPFSQHHH >SECCE4Rv1G0293440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885797905:885804528:-1 gene:SECCE4Rv1G0293440 transcript:SECCE4Rv1G0293440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLTDADFFDRLVDDDDEAGPAPAPAPGPAVGEELARAVSGLGLAGDDGPGPVAQGGSPSGPGRGAAVHTTVKQVQWAAFGADGDDAGLDPFGDLAGGAAQEEAFLGTTAGNQTSAVGGGVDHGFLGGSQGPAAADQPSVVASSDQSTATQLGGAAVDSTDPRYLESIYPGWKFDEVTQQWYQVDTVQTAGENAHQQHQFGASYLQNSAQAGLETIAEESAAAGSVAGWGQGAASEYPANMLFYAEYPGWYFDTDKQEWLSLEAYQQAIAQASAASAAVQVGANHGVVSPSGGINYGVNQAEVPAVNNQVAQHTYGQQSQWQPDAFANSIQPESATNSLAGSFYGADQHAHAESISPSTSHQVPFNTAETSTSHYGNLQNDYNTIGSQQAGYKGFEPSTVYQTSPKVLQSSTGNQGSYKAFEPTAAHHIGENKGSTPSTGFQAGYKGFAPSTVHQAGYKGSGTSTKAFEPSSGHQAGYMGSQPSTGQHAGYMGSQPSTDHQSSYMGFGTSTNQGYGGANGFVNTQGFVPAGSMYNSQKQAHANTQAHLSNSYLGTENSMNFSQQQFSGTNASHMQFGYSPHEEMSSAGRPPHALVAFGFGGKLIVMKETSSMATNFNSGNQGSSSGTVSVLNLSEVVADKVDASRITDGSALSYFHVLCRQPVPGPLVGGSAASKDVNKWLDEMITWYASSTNEYQKGDPRKLLISLLKILCQHYGKLRAPFGSDPSQEDTDGPEMAVTKLFSSCKRSSDHMGDFGSNVRFMQNIPSESQMQAVAQEVQALLVSGRRKEALLHAQEGQLWGPAVILALQLGDNFYVDTVKKMAQCHFISGSPLRTLCLLIAGQPADVFNVENSNINYDTLGASPQSMQPNPNGMLDDWEENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLVAELNIDPYSESARVCLIGADHLKCPRTFASPEAIQRTEVYEYTKVLGNSQYILLPFQPYKLIYAYMLAEVGRLADSLRYCQASMKVLKASGRAPGLEAWKQLFSSLEDRIRTHQQGGYGTNLAPAKLVGKLFTSLDKSISRMMGTPSATLPPVPQGSVGDKETYSAPAAAKFVNSQSVMTMSSLTASPSVHSITEMAENSGGAGRKIAHNRSVSEPDFGKTPKQGARSDNTQSSASGSRFGWIGSTLQKTMGFVSKSRQAKLGQQNKFYYDEKLKRWVEEGAEIPAEEPPLAPPPTKSSSYQNGMPSYNLNGPTSGMHTPNGVAERRSPKHSDHGLGMPPIPPSQNQFSARGRTGVRSRYVDTFNKAGATGAAQSYNRPAAPSVTPPTGARFFVPTAGAVAAEQMSSQSVETHGETFQRDGRSSSPPAETSFSSPPPAAQFSSPMSSTIQRYPSMDNISTPNQAPWMSPGSNSSSFASRSRAASWSGTYSDQFSSTAGARSPDGPTVPSPQMPGRPPSHSRSNSNSSVQFTGLTEDLHEVEL >SECCE6Rv1G0438550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:790944338:790946381:1 gene:SECCE6Rv1G0438550 transcript:SECCE6Rv1G0438550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLSSELLSLSQQWQLLLLVALASLVLFTRRLSNKGLRLPPGPAQAPILGNLHQLGLLPHQSLRDLARQHGPVMQLQLGTVPTVVVSSAEAAREVMKTHDEDCCTRPVSPGMKRLSYGLNNVGFAPYGPYWSAMRKFLLVELFGVRHVKAAWQARQYQVEKLMGTLSGLAGEPVALKEHIFRLADGIIGMLGFGDMYNSDKFPHHKNLEHVLEEATHAQASFSAEDYFPNIIGRLVDQITGLAARRERIFKKLDTFFEIIIEQHLDPQRVKPQNGDLVDRLIGLWRDNNGTLSITRDHVKGIIFGTFIGGSDTASVTILWAMAELIRKPRLMKKVQDEIRSVVGGNERVQPDDLVKLGYLKMVVKETLRLHPPATMLLPREAMRDIQVGGYDVPAKTRIYVNAWAIGRDPTSWPDDPEEFKPERFKISEIDFKGTHFELIPFGAGRRICPALSMSTATVEFTLANLLYCFDWALPKGAVVSMEEEGKLIPLLKTPLVLVPTPYRRM >SECCE6Rv1G0413330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:622976708:622977760:-1 gene:SECCE6Rv1G0413330 transcript:SECCE6Rv1G0413330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRLVASYNGLSISAVRDAFEKSLGFRLQKMNPNTEYHCLKTFGSYFKEDIRIPAGTKIDFHQKCDGQLITEVDGKQIGAVQSKDLCRAFFDMYIGDPPISVETKQDIAQNVGGLIRRC >SECCE4Rv1G0248210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:569171589:569173246:-1 gene:SECCE4Rv1G0248210 transcript:SECCE4Rv1G0248210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDQLCLMALATILLTLILRQVLGGKGTGARLPPGPWNLPVIGSLHHLVATKLPPHRALLRLSRRHGPLMLLRLGEVPNVIVSTPEAAMLVLKTNDLNFATRTSGPTLDVVGSASEGIIFAPYGEHWRQMRKICVVELLSAMQVRRIQSIMQAEIAHLLESVVAASSASPSGSAVVDVGKGLARLTNSVIARAVFGGKSRQQEAYLRELDVMAILGGGFSLVDLFPSSRLVRWLSSSGRAMRRLHSRMQRILGDIIQDRKEKKVPNGASGAPATARDNEDLLDVLLRLGKEDTLSFPLTSEIISAVIFDIFSAATDTTAATLEWAMAELVRSPEAMARAKLEVRQMLGHRRSSSITSADLAGLHYLRMVIKETLRLHPSAPFIHRASQENCRVMGYDIPKGTAVMINAFAVGRDTAHWGADAAEFRPERFEGVSVEYSSQGPHMEFIPFGAGRRQCPGALFATTMLELILANLLYHFDWAIPGGAGPEALDMGEVFGIIVHTRSSLHLQASSACHLQDQTTGELS >SECCE5Rv1G0356060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:736687877:736688728:1 gene:SECCE5Rv1G0356060 transcript:SECCE5Rv1G0356060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSPSKRADLSLTLAPAAQSVAEADGAAGDGACVDGKDVRLFPCLFCNKKFLKSQALGGHQNAHKKERSIGWNPYFYMPPTSSAHLHANATPPAGATPSGPYGGGGAGGAVGSTATAVPGVAAGLPAHAYASRAYAALPSTFPIASHSSIMVGSDRLQYYAPPQGASASSAAAAAGELYSSGMQASRFAAHQQQLLGGAAVSSSSSSERAMMAAAEQPGAGRDELIDMLNWRRGCHGPTASAAATTPSPASTTTTLTSGGGSNYNNNGEEAEEELDLNLSL >SECCE4Rv1G0259380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:685458666:685462756:-1 gene:SECCE4Rv1G0259380 transcript:SECCE4Rv1G0259380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAFGLVLLGCLQSLAAPDFQVIALHEMRMMLTDSHGVLKDWNDNQVSPCYFNNVRCDQDGSVIGITLSSSGLSGILSPSIATLTTLQQLLLDGNSITGEIPQELGNLSNLMTLKLGRNSLNGSIPESFGFLSELQILDLSENLLIGKIPNSLSNLSSLNNINLAYNSLSGEIPEQLLQVSQYNYTGNHLNCGQHLISCNGSTNKTGGSSNSTVKVILGSIGGAVVLIVSVVLFLLWWQRMRHRPDIYIDVAGQHDHNLEFGQIKRFSWRELQTATNNFSEQNVLGKGGFGKVYKGVLPGPDSKKVAIKRLFEVGSPEGEMAFLREVELISIAVHKNILRLIGFCTTPTERLLIYPFMENLSVASRLRDIGLNEPILDWTTRMTIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVIGDFGLAKMMDMGRNTVTTGVRGTMGHIAPEYFKTGRPSVKTDIFGYGVMLLEIVTGERAIFPDFLEGAGEVMLIDQVKLLMQEGRLEEIVDRNIGCGYDFQELVKIIKVALLCTNIDPCQRPAMSEVVHMLEDKIVPEDQWEEWQRAELTRRQQYENKQHHKLFTFSEESLNIYEAVELSGGR >SECCE5Rv1G0336430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:584028295:584031260:-1 gene:SECCE5Rv1G0336430 transcript:SECCE5Rv1G0336430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKPGVAAMAQHQEGSAEEVEEHRMRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDLKLLLCANLAVVINAVFPVISCRKPANSILVPNRQGKRKPGANHSHYKRLLGVARLLSQMAEPVMKGAVQISFLLARSFFVELCTAVLALLARVRVLTQQMLLDVVSVYNKITDLTDKKQAVKISIDKVQAFREYYPSSNDPITILECVWVKDKFVLHEKTKASSQKTQDEDLKSCTPDSSSIQYETLGLVSEEMENLDGANTPAKQQHTSLADHPDEATHCGDAGDSHSRRQLPSDQNAPGSLLGTPGAASAPRRDVKPDSRKRVAFIAARKTKVTVTPPETSSSVVVKKQRLDTIPQNTADPAL >SECCE7Rv1G0492690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:555209564:555212701:1 gene:SECCE7Rv1G0492690 transcript:SECCE7Rv1G0492690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase iron-protein subunit (SDHB) [Source: Projected from Oryza sativa (Os08g0120000)] MAAAALLRRSPAARALLSPALSSRLVASKSHSSSPAPPPPSSKPASTKTFSIYRWDPDSPSTKPHLKDYKVDLSDCGPMVLDALLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISSEAAGASTISPLPHMFVVKDLVVDMTNFYNQYKSVEPWLKRKDPPAAGGKEIYQSKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRWIQDSRDEFTKERLDSINDEFKLYRCHTIKNCTHACPKGLNPAKQIDTIKKLQLGA >SECCE1Rv1G0055050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678133567:678134082:1 gene:SECCE1Rv1G0055050 transcript:SECCE1Rv1G0055050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGSARGGDRGHHDHHRQVLLLRPAAAWSYGSAGGGVSWPAQRSPSSSYTCGYCKREFRSAQALGGHMNVHRRERARIRHYYCSAYPAAPAPAPAAAAKAALVVDLELGVGGGGGGLDLELRLGCS >SECCE1Rv1G0059740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707634776:707636004:-1 gene:SECCE1Rv1G0059740 transcript:SECCE1Rv1G0059740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAPLHPAHPVPFGPRLPGFRDRRLCFPTGDGCAPFVPSPWPSPRGSPPFTPSPRASSPGTPWSSSSGSGVDAAATEHGLRMARLALQYQDFANRYQLCLSHLADAAREAAAIRHDNSGLRLVNNGLARRAAMLAGNQASAVALADQLRHLHLGQMQAHAVPAPPVLPMPMPMPRLASSAEKFRPIHLGQMQAQVVPAPPAMPMSRPASPAEKHAAMPKSISIRSNGYMKMVRDGKHRVTTPANVGSQRVFVGVDGAKAEEHQGGAGGGGEKGGQVARAAGGLQFEVFSQGMFKTELCNKWEETGACPYGDQCQFAHGIAELRPIIRHPRYKTQACRMVIGGAFCPYGHRCHFRHSITPADHLPVFHP >SECCE5Rv1G0339810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611279905:611281836:1 gene:SECCE5Rv1G0339810 transcript:SECCE5Rv1G0339810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36980, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G36980) UniProtKB/Swiss-Prot;Acc:Q9SJK9] MSLNPQAARAAARAAEHGAPAAIAGLAAATSRIASHGRAGDAAAARAVFDAMPRRDAVAWNAMLTAYARAGQPRAALALFACMGSPDAFSLTAALSAAAALRSPGAGAQLHARLLRTGLRAPLPVGNALVAMYARCARADDAARAFREMRDRNALSWCSLLHAYVASDRMALARELFDEMPAGSISSVAWNTLLTGYSRSGNAKQCLLLFNEMRVSGLSCDDATLCILIDACTELRYPSTGNAVHKIVVQSGWNAIPEVNNSLISFYSKFSLLDNAVKIFESMESRTVVSWNSLIDAYTRLGHIEQAAALFQSAPENNDISWTSMIGGFARNGYTDEALALFVKMLVHEHIRPDDFTFGAVLHACAAAASLASGRMIHACAFQSGFASYLYVANSLMDMYAKCGDVEGAGNVFHAVVQKDSVSWNTMLFGFAINGWAKEAFTVYERMLSHDVCPDEVTFTGLLTACSHSGLLEQGRTFFESMVSVHGLKPTPEHLACILDMYARSGNISKAIEMLDQYSDTVHTHSSDMRESLLSAYSSVDLDARIGRKVGSSMVSTEPVRDTGYVVLSNLLCATGQWKEAEGVRRAMAEHGVKKSPGCSWIHVKGAAKVFASGGQELDPSDRICDTIQLLAGEMRSTVCSGA >SECCE5Rv1G0319600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:377131209:377138544:-1 gene:SECCE5Rv1G0319600 transcript:SECCE5Rv1G0319600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKSSWSQVVSGRPTNLFVPARNLPLQDLGAVIFGCTNNTIAECHSRQLFGLPKSHLSYVHNIKEGLPLFLFNYDDRRLHGVYEAASNGKFCPESNAWTNNGYEKTSYPAQVSMRIRMWCVPLEESKFRNAIIGNYYQKTPTVYGQKPHFFRFELDHAQTRALMAMFTPSPSPIKFWTPPVSQPGAQHVSEPTPPPVWVQKIEGNNELKAEKVLVSYADAVKQSKFEVEGVGLRDVDDGRNNEWNNEWNNELKPEKGLVSYADMVKQNKFEVEGVGMADVDNGHASSSKESSNGFDDLDCKETPPEWEDHALFSKGVEVQQQQQSAQQENELSFTLVLEKLKALSVQQLSSEFYANGAGTEGIDAYGCKDMQEVKGIFLEGHCGLPENLDTEVDQLAWEHSSLLLQGLDYESYSEAKLIDVVKGLSERIETMEKKQIISNKEVKCLQGVNDRLLKRVVELKNTVKNLNSKIDPLSLDDSLNQFVEECLGSEDVIYLIGGFDGVSFLSSLDSFSPSLDILTPLKPMTAGKSYTSAVALDGKIFVLGGGDGACWFDTVDCYDRRRDDWTPCPALTHGKGSLAGVGLHGKIYAFGGGDGIGCFSDMEVFDPAQGKWTKSHPMLEKRFSLAGAELNGVIYAVGGFNGVRYLSSAERLDPREPNWKMLPAMTTVRGCHTVAVLDEKIYVMGGYDADAGAMASTVEFYEPRMPSWLTVESMNYTRGYHSSAVLGGSIFTLGGVKGEADTILDVVERYKEGCGWVNTGMKSIGSRCYCSAIVL >SECCE6Rv1G0386700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:99710990:99711430:1 gene:SECCE6Rv1G0386700 transcript:SECCE6Rv1G0386700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIFSFGLATGKHAMGSGEPLGSPMPDFPGTPDVEVLDGPDKPAAKPFDKPFDPVHDRKRKRGGLMEEEINVFCSMTEAAKEVATAIRECKPLDVHPYLYDAVMTQCGFSDEALMAALSHLLDNKAQGVGSVGMADAHRVLWLRT >SECCE6Rv1G0406260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:542887658:542888593:-1 gene:SECCE6Rv1G0406260 transcript:SECCE6Rv1G0406260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCEKVGLKKGPWTAEEDQKLVAFLLSHGHCCWRLVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDDEEKLVIDLHSQLGNRWSKIAARLPGRTDNEIKNHWNTHIRKKLKKMGLDPVTHQPVADPLEDPERAAHCDGKASSQESAGSGTGAEDEAPTSAEPKQGAACSASTASAVSPSPCSSSSASASVATPGGADVDWPESLDLFQVDAILDMDWASILSGSGGDIGVDLFDQCSDVGFDQEVWM >SECCE4Rv1G0289520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:865947229:865947474:-1 gene:SECCE4Rv1G0289520 transcript:SECCE4Rv1G0289520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSAVSHPSLSGQVIEKLSRMNYVLWRTQIMPQLRGAGVFGYIDNTSPELAKLLAAKDKDGKKNFEPNPLHPLWVREDQ >SECCE1Rv1G0005900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28575749:28581794:1 gene:SECCE1Rv1G0005900 transcript:SECCE1Rv1G0005900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALISDTDQWKALQAHVGAIHKTHLRDLMTDADRCKAMTAEFEGVFLDYSRQQATTETVDKLFKLAEAAKLKEKIDKMFKGEKINSTENRSVLHVALRAPRDAVINSDGVNVVPEVWAVKDKIKQFSETFRSGSWVGATGKPLTNVVSVGIGGSFLGPLFVHTALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPATTLVVVVSKTFTTAETMLNARTIKEWIVSSLGPQAVSKHMIAVSTNLKLVKEFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGASSIDNHFQTSSFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKLAPHIQQLSMESNGKGVSIDGVRLPYEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVIKSQQPVYLKGETVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPENLIPHKTFQGNRPSLSFLLSSLSAYEIGQLLSIYEHRIAVQGFIWGINSFDQWGVELGKSLASTVRKQLHASRMEGKPVEGFNPSSASLLTRFLAVKPSTPYDTTVLPKV >SECCE6Rv1G0405080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:526760384:526762054:-1 gene:SECCE6Rv1G0405080 transcript:SECCE6Rv1G0405080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRNATQQAIRALEPSLARSLHASPGSKKIVGVFYKGGEYAGQNPNFVGCVENALGIRSWLESQGHQYIVTDDKDGPNCELEKHIADAHVLITTPFHPAYVGADRIRRGKNLELLLTAGIGSDHIELPAAAAAGLTVAEVTGSNTVSVAEDQLMRILVLMRNFLPGHHQAISGEWDLAGIAHRAYDLEGKTVGTVGAGRIGKLLLQRLKPFGCNLLYHDRLRIDAALEKELGAAFEADLDAMLPKCDVVVLNMPLTEKTKGMFNKEKIAKMKKGVIIVNNARGAIMDTQAVADACKSGHIAGYGGDVWYPQPAPKEHPWRYMPNNAMTPHISGTTIDGQLRYAAGVKDMLERYFKGQDFPEPNYIVKEGKLASQYQ >SECCE5Rv1G0354410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:723342040:723346140:1 gene:SECCE5Rv1G0354410 transcript:SECCE5Rv1G0354410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGAIRSGGGGGGGLMRTRLRLPVVLLSCSLFFLAGFLGSLLFTQDPQGEEDVERPLRRERLMEAVWPEMAYGESGEPAPSLIPYQILSWQPRALYFSQFATAEQCENVVKTAKARLRPSTLALRKGESEETTKGIRTSSGTFLSAEEDPTGALAEIETKIAKATMMPKSHGEPFNVLRYEIGQKYASHYDAFDPAQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGDNMNIGYDYEQCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVVRGEKWVATKWIRDKTL >SECCEUnv1G0560570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:348262103:348262408:-1 gene:SECCEUnv1G0560570 transcript:SECCEUnv1G0560570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQVVLLAVPAVGGFMQAFKFSVLLWPFNLTLPLLRHLPRVCLTLMAAAAHYDAELRAYLTGRRTVPLQEPRYSTLRGVQGRMGEQLTAHGMIALVDISY >SECCE5Rv1G0311030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:184874640:184877897:-1 gene:SECCE5Rv1G0311030 transcript:SECCE5Rv1G0311030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12860) UniProtKB/Swiss-Prot;Acc:Q9LXV3] MASSASAASPLTCHHLGIRHRPHLPSFSLRRRSTSSLSSKPISLSLSHSHSYSLPKPLTPSTARHLLPPVAAAPASPPAPVSPPAKPALQGAAIKPLLASIATGVIIWFIPAPAGVAQNAWQLLAVFLATIVGIITQPLPLGAVALLGLGAAVLTRTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRVAYQFVSAFGGSTLGLGYSLVFAEAFLAPAIPSVSARAGGIFLPLVKSLCEACGSRTDDGTERKLGSWLMLTCFQTSVISSAMFLTAMAANPLAANLTLTTIGQGIGWTLWAKAAIVPGLLSLLIVPLVLYVIYPPEVKTSPDAPRLAKERLAKMGPMSTEEKIMAGTLLLTVGLWIFGGMLSVDAVSAAILGLSVLLITGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLISWFSETVVKFVGGLGLSWQLSFGVLVLMYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPLFAAMVMSFLSNLMGGLTHYGIGSAPVFYGAGYVPLAEWWGYGFVISVVNIIIWLGAGGFWWKMIGLW >SECCE5Rv1G0327010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:488880429:488882073:-1 gene:SECCE5Rv1G0327010 transcript:SECCE5Rv1G0327010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWAPFLGVALATMLFLKAVFRRSRQAYNFPPGPKPWPIIGNLNLMGALPHRSIHALSKRYGPLMYLRFGSFPVVIGSSVEMAKFFLKTHDMVFLDRPKMAAAKYTTYNYRDMTWSPYGAYWRQARKVCLTELFSVKRLESYEYIRSEEMRALLRDLHEASRSRRVVVLKDYLSTLSLNVITRMVLGKKYLHREAADEGGSATTLEKFKRMVDEWFVLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSKMFDQFLEHVVDEHSERRSVEGESFVSKDMVDVLLEIARDPNLEVQIDRDSVKAFIQDLLVGGTESSAVAVEWAISELLKKPEVFAKATEELDRVVGRGRWVTEKDIPSLPYVEAIVKETLRLHPVAPMLTPRLSREEASVGGYDIPVGTRVFVNVWSIGRDPAVWNAPEEFTPERFLDSKMDVKGQDFELLPFGSGRRMCPGYSLGLKVIQASLANLLHGFEWRLPDGVSTDELSMEETFGLSTPRKFPLEVVLKPKLLDHLYTADH >SECCE5Rv1G0335620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:576374921:576377989:-1 gene:SECCE5Rv1G0335620 transcript:SECCE5Rv1G0335620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPRQVLAGFLTLSMFVMLGNMIKHDHFTSVSELAVEATGVELTAMKIADNTEITKAGVELQTEAAEEIKPCWTKPSPKDDQSNGFVTLSLTIGPEYHASQIADAVVIARYLGATLVLPEIRGNELGKSRKFQDMYDVEKFKKNLDGVVKVVDKLPAEWTTKKPAVIRVPNRVTEDFILDTIQPAFQKNSYLRLAIIFSSVSLKPKGTNNKDLDSTACHAMFTGLNLKPEYSEVAEQMLGRLKELSQKSDGRVLAVDMRTDLLEKKTCKTSAGARRKGCYNPQEVLNFLKKVGFSANTTIYLTETWWHKGLNNLKKAFPHTYTKDDIMPAEKKGEFLNSGHSDLARALDLEICSQSDVFVPAIPGMFYGNVAGKRIASGLTQILVPAPVGGTSARASDFVSTYITKKSHFAYSCYC >SECCE1Rv1G0009600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:55828578:55829065:1 gene:SECCE1Rv1G0009600 transcript:SECCE1Rv1G0009600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMCLCFIILTIAVVVSADECEGDRQEMIKQCAKYQQWPANPKVNPSDACCAVWQKANIPCLCAGVTKEKEKIWCMEKVAYVANFCKKPFPHGYKCGSYTFPPLA >SECCE7Rv1G0468820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:103858386:103859588:1 gene:SECCE7Rv1G0468820 transcript:SECCE7Rv1G0468820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) UniProtKB/TrEMBL;Acc:F4I890] MMAAASFLPLHLPASPRPATVAARAASGVASTVPAATQTSQLEEAFGRKGLRFGTDATGAPTAELSVRNGSSLQLRLNDGLVTSYRPKVSWEGGDGCRELLHTVAGAGAVKGGVGLVLNEASSSSSGGASLLGASEWSVADVDSDSYDAVQVELGCSAKGAKLEVSYVVTLYPLSMATAVIVKNNGAKPVELTGAVLSHIKFDKRRGTAVEGLRGCPYCSHPPPASGFALLTHAEAMKREESGWFGGGGGEEPRQGAWTVEENLYTILKKKVSRVYAAPPEERKKRIYSTAPSKFTTIDQSSGLGFRLVRMGFEDMYLSSPGGLYEKFGSDYFLCTGPASILVPVVVGPGEEWRGAQVIEHDNL >SECCE3Rv1G0186140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:680894140:680894999:1 gene:SECCE3Rv1G0186140 transcript:SECCE3Rv1G0186140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKTPGTQPHRLKPWAPPQPPRGHCVPSLSSVSGGSRAIRDRRRSSTSHRRGGADAVDEAPYDGGLEDLRAKLMGHVHEAADRLRLAPAMPQRSPEPETPPAPLPPPAQDAAAVVAASMPWTLRERKRRPSGRGSTGAASPTTPWSTTAAATARHDGMRGPFAVALDAEEIEEDVYALTGARPRRRPRKRARVVQRQLDSLFPGLWLTEITADAYRVPDDQ >SECCE4Rv1G0286810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850800899:850813283:-1 gene:SECCE4Rv1G0286810 transcript:SECCE4Rv1G0286810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAEAAMAVLRVSRPIFRGAHDGLAFAANAAFLADGYSLCAVGPSALNAPLPAEEEEVGIDGWNSMDNCYAFLYSKQEEEGKKCILVKCVVIADFLAIDAMDLDAQHKEPCNVQINVKDFFFEVQTKNYKDLYKNFTDFINILNLSLLAELNAKDAAASQNPNVESSSSINSSGNLMLENPTTRTIEPACSEYVPWENPSTRAIELAGSENVMWENPIIRTTEPSCLIYPPVVLFGHDDTFPTPGAGFYPHSDETGGSMHVGPNDPWFFPSTPSTPLGDPGSVPPGGRYDPIGPPDVPGFEPSRFVRRSRHSGGSTHPDLEFFQPGPGFF >SECCE6Rv1G0408260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:569754228:569759836:1 gene:SECCE6Rv1G0408260 transcript:SECCE6Rv1G0408260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPGSGLDFLVWAWLGPARGPARGLARYSEDYHLCTDHCCASAQPVGRLADPTHCLSWVVLVLPVISLLPASPLIRNTADSARQPRRFDALARIPSRPRPGSRCARLPEAGATMSASDDDHASLLRSHPAASPSLSCPSPRAAAGHRHADIEAADEAALAASPRRAGGVRGLLRHLDRRIHARGSARRQQQQQQLDRSAALPEEPSAAPSQLQRERAGEELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHDWAWAGTPNEGAAWLRLQRLSDTWHRILLIPVSGGVIVGMMHGLLEIFEQLKLAKQPQNQGFSLLAAIFPTVKAVQAAVTLGTGCSLGPEGPSVDIGKSCALGCSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENAPPFTTAMIILASVISSTVSNVLLGERPAFTVPAYELKSAAELPLYLILGMLCGAVSVVFGRLVVWFSRFFAYMKERFDFPIVVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGATFGGSASYLINAAIPGSAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPNDGESSGSRRGYSLVSLQNKNSSVWTRSDPVDDLELTISQSGLRNYGTYQEEVLLDDLKVSQAMSKRYVKVSPSATVTETLNLLSDKQQMCALVVDHEDFLEGLITLGDISRMGFELHGESYLSEDQLNSDEACSTISSCLTRGLQNQGSECGLLTCFPDTDLTTAKNLMEARGIKQLPVVKRGVGHRTERRRKLIALLYYDSIGHCLREEIENWKAIYQRKEDFHVLATGHTLQ >SECCE5Rv1G0320170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:382414391:382418771:1 gene:SECCE5Rv1G0320170 transcript:SECCE5Rv1G0320170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRNNMSPNRLEAVLMLLMLMVYNASGAFVGINIGTNVSDLPSASDIVSILKAKKIQHVRLVDSNHEMLVALANTGIEVMVGVPNNQLLRVGQSRPTAADWINKNVAAYIPATNITYIAVGDEIFTTVPNAALVLVPALQFLQSALLAANLNTQVKLSSPHSMDIISKAFPPSTATFNSTWSSIMPQYLRFLKTTGSSFMLNAQPYYGYVKGQGVFPLEYALFRSLNPNSQIADPNTNLFYTNMFDAMVDATYNSMKAMNFNDIPVMVTASGWPWHSARKEPAADVDNALAYNTNLIRHVLNNSGTPSQPNNQVSTYLFELFSEDLRSGSASGESWGIMFSNASAVYSLTFEDVATTSTDSPALHGMFCVANSSAPHSALKRSLDWACGPGSANCSAIQPGQPCYKSDDIVAVASYAFNDYYHRTQASGGTCNFNSTATISSTDPSHGSCKFAGSTGTNGSSSGAASGPASQDSSASQSQSFWLTWLIAMLLPVLLLM >SECCE3Rv1G0180330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:572965485:572969352:1 gene:SECCE3Rv1G0180330 transcript:SECCE3Rv1G0180330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAQAARLAASALVAGVLAAAVAMPVPAAGGVCFDRIFSFGDSLTDTGNFLLSVPDDFPDPARSLPYGQTFFGRPSGRYSDGRNLLDFFAEAFGMPFVPPYLGGGDFLNGANFAVGGATALNNSVFRELGVEPTWTPHSLDEQMQWFKRLLPSISSTESEHSDIMSKSLFLVGEVGGNDYNHLMVRGKSLAELRGLVPQVVGAISLAITELINLGAKKFVVPGNFPIGCVPLYLAILPSEEKDYYNEETGCIEWLNEFTEYHNRLLQEELEKLRNLHPDVSVIYADYYGATLNIYRAPLQFGFTVPLNSCCGSDAPHNCSLSVLCGNPGSFVCPDPSKYVSWDGLHFTEATYKVIIQGVLGSYAVPPLSEICRDGEYKVSQLHQCTDSNPMNTVTYDAMSSFI >SECCE6Rv1G0406770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:550484447:550497826:1 gene:SECCE6Rv1G0406770 transcript:SECCE6Rv1G0406770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGHGQRQPLSPAISASAVLPHQRQMQLLQHPARPAIADLFTLYLGMNSKQRAEDPARETSNKLQKRVSAHNSDLPPCDEQFISDFEQLCTSFPDQEQLQAVTEQVLISFVLQCSSHAPQSQFLLFATRCLCARGHLRWDSLIPSLLSAVSSAEAPMGQGSSVTVGGPVSSSSAIAVPNAPSFHASNPTSPLSAMNTIGSPTQSGIDQPVGANVSPIKLAEFSTLGQPGTTSRGDQSRRGAQVSYLHHLSCRIILAGLESDLKPATHAVIFHHMVNWLVNWDQRPHGVDESDAMSTRRIGRPVHEWMHLCLDVIWILVDEDKCRIPFYELIRSNLQFLENIPDDDALICIIMEIHRRRDMVCMHMQMLDQHLHCPTFGTHRFLSQSYPSIAGESVANLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRSHSQTSTPGAVFSPDMIGEAVADRTIELLKLTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNGDQHILRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKSLDPNNISPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQMTKASGERMIDFMNLDERAMGMFWVLSFTMAQPACDAVMTWFTSAGGTDVMQGPNMQPNERTTIMHETYPLSMALLSGLSINLCLKLAFQLEETIFLGQAVPSIAMVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKGKRGEHRLFRLAENLCMNLILSLRDFFLVKKELKGPTEFTETLNRITIISLAITIKTRGIAEVEHMVYLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLTVRADKRGQAIQAWQQAETTVINQCNQLLSPSAEPNYVMTYLSHSFPQHRRYLCAGAWMLMNGHPEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQLELQRGHLVQDLLSKAITNLAFFVWTHELVPLDIVLLALIDRDDDPYALRLVISLLERPELQHRIKAFCSSRSPEHWLKNQPPKRAELQKALGNHLSWKDRYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAFYSTFLAFHPLRFTFVRDILAYFYGHLPSKLIVRILNVLGVSTKTPFSESFAQYLGSSNSSICPPPEYFANLLLGLVNNVIPPLSSKSKSNPGDASGARTNFSKPHASAQAGGNSNADAQRAFYQNQDPGSYTQLVLETAAIEILSLSVPASQIVSSLVQLIAHVQAMLIQSNTGQGMSGGLGQNSGLPTSPSGAGAESAGASRASTSASGISANFVSRSGYSCQQLSVLMIQACGFLLAQLPPEFHMQLYSEAARIIKDCRWLSDSSRPVKELNSAVGYALLDPTWASQDSTSTAIGNIVALLHSFFSNLPQEWLESSHTVIKHLRPVTSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSQASPHVPASEIGDIIDFVHHAVMYEGQGGPVQSTSKPKVEILTLCGKVVDMLRPDVQHLLSHLKTDPTSSIYAATHPKLVQQHPS >SECCE4Rv1G0219040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:27575205:27577556:1 gene:SECCE4Rv1G0219040 transcript:SECCE4Rv1G0219040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAPASCALPLHPRVATAAAAAAGPSCRVLLAFTAPRSAASVRRAGILAPLRCSPLEDPGAAGREGGGKERVDVSKRVRGRPMWRRILFASKKTRSIMILNALTVIYASDIPVLKEVEALTEPAVFNMVRFVIAAIPFLPFVIRAFGDRRTRNGGLELGLWVSLAYLAQAIGLITSEAGRASFIAAFTVIVVPLIDGIFGASIPMLTWFGAIVSIIGVGLLECGGSPPCVGDVLNFLSAVFFGIHMLRTEQISRSTDKKKFIALLSFEVLVVAFTSILWFLLKDIFTEVHDSSFESWTFGTLWDSAASFPWIPALYTGVFSTGLCMWAEMVAMAHVSATETAIVYGLEPVWGAAFAWFLLGERWDNAAWIGAALVLCGSLTVQLFGSAPEKSQKVESHSGNTFESPLKRQDRLSLSAIPVDSRKNIGSQLERKDKTL >SECCE4Rv1G0229570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:151069024:151070816:-1 gene:SECCE4Rv1G0229570 transcript:SECCE4Rv1G0229570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVPPLPMDPAMAACFRLDGLDPDEMAALFARVLSYTHYALPDPPVSVDARLCALLPYHSVDGVSRLPDLLLRNIVSRLPVKEAARTAVLSRRWRGVWRSAPLVLVDSHILPAAAAAAAGTAAARADARRITSAVSRILAAHPGPFRCVHLTSSHMEEFHGLLTRWLQILANKGVQELVLVNRPWPLDLLLPSTFLGMTTLTRLYLGLWKFPDTAGIPSATCLPNLLELGLCSLIMESKDLDFILDRSPVLETLFIKGNLFKLSLRLVSQSLRCLKILMSFFEEIAVVDAPRLERLILTGSWTRGGVCTKVKIGHAPKLHSLGYLDLGNHVLEFGNTIIKAGTKVSPSTMVPSVRILALEVRCGVRNDVKMIPTVLGCFPNVETLHIMSGKTGQPSGKHNLKFWNESGTIECVSSRIKLLVFHDFRGDRSELAFLKFFFESALVLKHVVIVLANGWFTSTEDMHSKVNPLRSMKRASAGSKVMVTGYSDPEDGGMGNFKRASGSSLGDPFVNY >SECCE7Rv1G0482900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:314912602:314949893:-1 gene:SECCE7Rv1G0482900 transcript:SECCE7Rv1G0482900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAASTSSGDWLVEDDILLKNAIETGASLESLAKGAVCFSRKFTLQEIQDRWTSLLYDPEISTQASARMVEYETELSTSNPAKLHKLVNSKAKDFSFQKRKTESVKNLYYAMRKRICNEPCNTNDLGFLIAPCSCMAIGGECVCAGIPKPSHDQHAVQNIEPGMSTVGCYGQAGGSHSGAQQTHPEINGHPSHGQHPGSMIKDEDATNNAPYVYSDVQMYDAYTQKVPEPSEVNNVSLRGITDFQDSMQFQQLASNKQCGNGVAESKEMLITDQVGVEHVHFPANNSGEAIWNGVDETDTLTLADGKKIKTANRDPLASQADGGICMPGLDDAAMPEGDYMDFPFFSNSDEFDLLNGENFLNSPHDTNQEDLDDPDPKDVLGADSVMQNMLHPDEANICYDQVNSGHVQHNVEGVSEMILVPTSPEACHPGQYVECMLNTEDPEIPCNDDASTHGEFSPLRPTASFAPNSESPFPPATSSPLKAEHSNACDLIQIMKPSPSTSEQKEGSVALNKGCILGAMPSEGPSTSSALNHGSIGTNDESTCMLALPAIHPSGFGEGPSCSLGQNKFFDNSQSLMLYNSVQVPDHMNYNSHDNQSELQDASALQNCMSSHALSDLGLQGPVAAAPASAPAPAPPEECLDIENDIPNYYDLEALILDQDLIPWDQADSSHPAVSRFDHPENRKSLIRLEQGARSYLNRGIMSRGAFAVIYGLHLKYYIKDPEVILGRETEDVKVDIDLAKEGRANKISRRQAVIKMDKSGSFHIKNIGKCSIFVNSKEVPSCKGINLSSDSLIEIKDMRLIFHSNQDAVRQYIAHTPKLQYSSYQPGF >SECCE6Rv1G0384300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:61922616:61924759:-1 gene:SECCE6Rv1G0384300 transcript:SECCE6Rv1G0384300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAVPLPVMLLVGVAVMLVGAGAGAAGVRGGRRQQQQLRMGFYDKSCPAAERIVGDYVRLHVRRVPTVAPALLRTHYHDCFVRGCDGSILLNSTAAGAAEKDAPPNLTLRGFDLIDRVKGLVEEACPGVVSCADVLALAARDAVAAIGGPSWRVPTGRRDGTVSTMQEAVRELPSPSMTFPQLVALFAGKGLDVRDLVWLSGAHTIGIAHCSSFADRLYSYPTAGNGTGAIPPLDAAYAANLRQRKCRMGGRDMAVEMDPGSYLTFDLGYYHTVLKHRALFRSDAALVTDPAARADIAGVVSSPPEVFFQVFARSMARLGAVQVKTGSQGEIRKHCAVVNS >SECCE5Rv1G0315060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:284266810:284267865:-1 gene:SECCE5Rv1G0315060 transcript:SECCE5Rv1G0315060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAHIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTDEEDELIIKLHEFLGNKWSLIAGRLPGRTDNEIKNYWNTHVKRKLLARGVDPQTHRLLNTGTAAVQGMHTPSSPAVASGASPHQLAGGSSCSPDASGHSSDADDSVSLPPPLAGHLGGGMIDLKLSISPPCQPPLSREADGVLRTGYSERERICLCLNRLGLHGGDGCSCVGSSSSSSLHPASSGVLRFVDASLFRDPKVQ >SECCE5Rv1G0355220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:730658845:730659858:1 gene:SECCE5Rv1G0355220 transcript:SECCE5Rv1G0355220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALFVSLLLALCSALGEGVSALRSRGNGDAVKFDFTPFLIQYQSGRVQRLMGTSVVPPSVDARTGVASTDVVVDQGTGLAVRLYRPSSRRGRLPVLLYFHGGAFVVESAFGPVYHNYLNVLAARAGVIAVSVNYRLAPEHTLPAAYEDSWTALQWVLSSARNGSGYGPSWLHKHGDMSRLFVGGDSAGGNIAHNLAMRAVQQGLGQDDSKGRIIKGLALLDPYFLGGHAGAWAERAWGFICAGRYGTEHPYVNPMALPADAWRRLGAARVLVTKSGQDGLGPWQRAYVDALRGSGWGGQARLYETPGEGHCYFLNNLQSPKAAAHMATVAAFLS >SECCE7Rv1G0504790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:726853982:726856468:1 gene:SECCE7Rv1G0504790 transcript:SECCE7Rv1G0504790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGTFPSSGLHLAPLLIVLLMHRPHLLVVVADNLMAGSSLRPPQYITCPSGDFAFGFRALDHDPSHFLLAVWFNLNLDPAADPGQKKVVWYAKDPVSDSAIMATGQAVFSITPHGELLLTDSTTGGNIWTNPSPGQSGNVLRLQDSGNLQFIAIGGSTVEETFRHPTDTLLPGQTMVSGASLQSKQSDTDFSAGRFSLYVQADGNIVLYLMNLAGDNMDSYNSYWRTGTNQPGQTQDGNTTLFFDSPGHLHYQIKDGTMHDLTPPMPNSTVRYYQHATLDPDGIVRVYTLQKNATGRRNVPWTIFGLFPRNGCSRRTTGWEGMCGPNSYCVYGPNSKLDCECPSGYSFIDPRLKYRGCTPVFMPQSCDGNNRPSEFSLVKLPNTTWLLSPYRGYSYTTEEQCDNSCLRDCFCTATLFDGKVCFKMALLVGGGWQEGSLNMTASIKVGTSSLPMPTSPRRPLPYLLLICSVLLMLAAASSLMLHCCLRKKNTNHDFLRVFTGKELYKATNGFRKLLGKGGFGEVYHGVIMSPHTSDVAVKKLINSNEYSEREFANEVQSIGRIHHRNLVHMVGYCKEQEQRMLVFEFMPGGSLRSFLFHPERPSWRWRAGAAVGIAKGLEYLHEGCDSSIIHCDIKPDNILLDGKNNPKITDFGIAKLLNDQQMHTTVTNIRGTRGYIAPEWFQNDRRIDTKVDVYSFGVVLLEMICCRKCQDPVTGQDGDDSVTLFWWAGQLVCHGRIEMLLHSDDDAMEDLVRVERFSRVAFWCIESNPLLRPTMHQVVQMLEGVVEVGVLPDPPSSTDSPPLISSVDRSALLSSDTPLTPLQVE >SECCE3Rv1G0155930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69886416:69887975:1 gene:SECCE3Rv1G0155930 transcript:SECCE3Rv1G0155930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPAVLGLTFLLLLVLNPNGAEARPAPTGGHQKKASSATFFVFGDDFGDNGNLPLTDPVTEMSRQWGYPYGSNYVDADGFPRPNTPSGRFSNYKIQSDFIAIIMGLEEAPPAHARTAEKTCDPSGMTFAIGGAGVLDSTSHEVPALAKQVDTFRKMVKDGTITANQLSHSVALVAFSGNDYAGTDVIGLSSPNDINAYIGKVTKEIATNVDRLLKLGVTKVLVNNLHPIGCTPSHTRINNYTTCDIFGNLGASIHNDNLKQVMASKKNVYIVDVYTAFANIVDHAAGSELSKQFKRKLSPCCESLDSKGYCGQQGESSSELLYTVCDKSNRFFYWDDMHPTHTGWEAVMKQLEKPLREFVNQA >SECCE3Rv1G0203770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:885126621:885127685:-1 gene:SECCE3Rv1G0203770 transcript:SECCE3Rv1G0203770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQRGQEKHATCACKRSRPEPDRKHLYLVLDDWKDGFSIYKIDAGDDDADLGQPPVLRLVSPAPAYPMQFAVLGSNILIVTDPYCGQAPTLVYDTKRAGLAVGPPLPPPLVGDFHTSVTTGEMLYALSFRQWDQQHAFEVMSRAPPSPRTMGWSWRSVPSPPPFEEHEWVTSYAVHPDEHTIFMSVLNNHNMKRRTFSFEIGRSEWSFHGEQALPFQGQGYYDSTLDAWVGLREDGYVCACQVAPRSGAMRPEWKIVKEKMFHKVPERKQAAPYATLTYMGNARFCLVESVVREEVEYEDAFGDCDGCMLHMTRFGLRYSHKGELQTTSDCTTSSYPLSKNFTIFSPVAFWM >SECCE5Rv1G0320440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:388262972:388269366:1 gene:SECCE5Rv1G0320440 transcript:SECCE5Rv1G0320440.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTPRRAPAADAGAASSYVSMSPSPSHTPRSSTKAKRPLNNGAAITASSPSPSPSLAKAGGGGGGVNIQVLLRCRPLSKEELSINTPVVITCNEQRREVSAAQNIANKQIDRTFVFDKVFGPKSKQQDVFNHAVVPLVGEVLDGYNCTIFAYGQTGTGKTYTMEGGGGKTQNGELPSDAGVIPRAVKRIFDILEAQSAEYSMKVSFLELYNEELTDLLAPEESKFSDDKSKKPMALMEDGKGGVFVRGLEEEVVSSASEIYKILERGSGKRKTAETFLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINTLVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSVHCLDETLSTLDYAHRAKNIKNKPEVNQKMMKSALIKDLYFEMDRLKQELYAAREKNGIYIPGEQYLADEAEKKAMSEKLDRLELGLESKDKQLDELQGLYDSQKVLSADLSDKLQTLQKKMQETECALAVLEEKYMQANNTIKEKEYLIENLLKTEKVLVHEAHTLRSELENTTDDLSGLFSKLERKGKIEDANKNIVGHFHSQLTQDMNVLHRNISTSVSQQESQLKVLEEEMQSFITSKGKVAGGLQNQVREMKESFSSRIAELHGFANELNLKSQLSSEKLNAQVSAHTSDLEDCLKGLLADADQLLIGLQNGLSQQEESLTTLVEQQHEGLTRNVERTKSISATTMNFYRTIDAHALELKRILEESQASHQKQLLQLQTKFEVCAADEEKYLMEKVAGLLAESNARKKNMVRDDISSLAKTASERSNSLQTETTKFHDFTSSMSVQWEAYVETTEEAFHKNISSVEQKKCCLVENLQQCKTRTQLCSEQWSNAQNSVLSLGRSNAETIGSVISDGNEANSQLHMRFSSAVSTALEDNDISCEALVCSIDDSLRLDRGICETVRPIITASQTQLGDLQRSHHEKTLGISGNANRSLGDEYKVDEPTCSTPTRRQINIPSSQSIEGLVTPLEDLVKSFRDSRTPSKLVTGNAKRLDLAIEMERVPLTTIN >SECCE1Rv1G0051300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:652682714:652687063:-1 gene:SECCE1Rv1G0051300 transcript:SECCE1Rv1G0051300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAEMTGSNMLRLQSFPSNMGKQRSSFISTRHPPLRRSRVVRHCLNLRHLCHLPNQRATVPNIRPMPALAAAVSRGVSSPLIEASVDDMELVSRIIHFYRKPFLQESEAKELLRKVQAKVSSNIIDIKTEQCFNVELEDALGSTKLATLQWLLAETYEPDNLQTGSFLEEEVSRSPYCFLVEVGPRMTFSTAFSTNAVSICKALSLMEVTRLERSRRYLLCLQPGSDPLDESQLNSFAALIHDRMTECVYPSKLTSFRSDVVPEPVSVIPVMEKGREALEEINLKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLEIDGETMPSTLFQLVKSPLKANPNNSIIGFKDNSSAIKGTLVNHLRPTLPGSTSPLSLMMRELGILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLQIEESYAPWENSSFAYPSNLASPLQILIDASDGASDYGNKFGEPLIQGFTRNFGSRLPNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVIRACVEMGEKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRNLLQSLCERERVSMAVLGEIDGSGKIVLIDSAAVEHAMLSGLPPPPPAVDLELEKVLGDMPQKTFDFKRVPRSSEPLDIAPEITLMDVLKRVLKLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVVAQTYTDLTGGACAIGEQPIKGLLNPEAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKIDGEGADMYDAAVAMADCMIQLGIAIDGGKDSLSMAAQCDGELVKAPGNLVISAYVTCPDITLTVTPDLKLLKDGVLLHVDLAKGKRRLGCSALAQAFDQIGNDCPDIEDVPYLKKVFEVVQELLSERLISAGHDISDGGLIVTILEMAFAGNCGVNLNIELKDNDLLQTLFAEELGLVIEVHLDDLDVVKQKLHAAGVSANVIGEVTAAPEIELLVDGEVCLKETTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKSRTSPSWHLSFTPKFTDKKLLSASSKPKVAIIREEGSNSDREMSAAFHAAGFEPWDITMSDLLNQKTSLTEFRGLAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPDIGGSLGAGGDMSQPRFTHNESGRFECRFISVAIGDSPSIMFKGMEGSTLGIWSAHGEGRAFFPNENVLSDVVNSNLAPLRYCDDANNITEVYPFNPNGSPLGIAALCSPNGRHLALMPHPERSFMMWQYPWYPKEWQVEKGGPSPWLRMFQNAREWCS >SECCE3Rv1G0193030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:765105366:765110038:-1 gene:SECCE3Rv1G0193030 transcript:SECCE3Rv1G0193030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTTRVIPLLVAAASICFLSAAAASNSTSSRPGHHHLLAQLSQWREHYLSDASSHAGGVRRHTVLAWVLAFLAASVSSAGGVGGGSLFLPILNLVAGLTLKRATTYSSFMVTGGAASNVLYNLWRARGRPALIDYDIALLFQPCLLLGVSLGVVCNVMFPEWLITALFSLFLAFCTAKTWRAGVKIWRSESPAAAARHDHKEPLLLGGLPLPGQDDGGQAARNGGAGAGFPWKDVAVLLVVWLCFFLLHVFIGDKHGKGVIRIKPCGIAYWLATVSQVPFAVAFTAYIIYAKRKKEVAHHHEDGKAHSSVHAKTETLPALALPLAAFVTGSLSGLFGIGGGLLLNPVLLQIGIPPQTAAATSSFMVLFCASMSMVQFILLGMNGIGEATVYAGICFVASIAGVILIERVVRKSGRVSMIVFLVTAIMALSTVIVTCFGALDVWTQYTGGAYMGFKLPC >SECCE6Rv1G0430560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:742708809:742711351:-1 gene:SECCE6Rv1G0430560 transcript:SECCE6Rv1G0430560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase [Source:Projected from Arabidopsis thaliana (AT5G14800) UniProtKB/Swiss-Prot;Acc:P54904] MAAAPPQPTAPAPAPANGGGDAFRLGFVGAGNLAESIARGVAASGVLPASAVRTAPHRRPERAAAFASLGATILASNAQVVDDSDVIVISVKPQIVKQVLVELKPLLSEEKLLVSIAAGIKMKDLQDWSGQRRIIRVMPNTPSAVGQAASVMCLGETATENDENRVKSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLAAQTVLGAATMVSETGKHPGQLKDQVTSPAGTTIAGVHELEKGSFRGTLINAVVAATTRCRELSKN >SECCE5Rv1G0340420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:614739020:614739658:1 gene:SECCE5Rv1G0340420 transcript:SECCE5Rv1G0340420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGQEGQRHRTVRSEPPKRPAGRTKFHETRHPLYRGVRQRGPAGRWVCEVRVLGMRGSRLWLGTFVTAEMAARAHDAAVLALSGRKACLNFADSAWRMLPVLAAGSFGFGSAREIKTAVAVAVLAFQRQQIILPVARPAEEPADVPSGALFSMSSGDLLELDEEQWFGGMVAGSYYESLAQGMLVEPPDAGAWREDSEHSGVAETQTPLWS >SECCE2Rv1G0065970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10949728:10950804:1 gene:SECCE2Rv1G0065970 transcript:SECCE2Rv1G0065970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPSHTQAVSGWAAMEPSGKVVPFAFKRRENGVDDVTIKVHYCGMCHTDLHFINNDWGITMYPVVPGHEITGVVTRVGANVSGFRPGDRVGVGCIAASCLDCDHCRRSEENYCDKVALTYNGIFWDGSVTYGGYSNMLVAHKRFLVRIPDALPLDAAAPLLCAGITVYSPMKQHGMLHAGRRLGVVGLGGLGHVAVKFGKAFGLKVTVISTSPAKEREARESLKADDFVLSTDERQMQAMARSLDYVIDTVSAQHSLGPILELLKVNGKLVLVAAPDKPVELPSFPLIFGKRTVSGSMTGGMKETQEMMDLCGEHGITADIELVSTDGINDALARLARNDVRYRFVVDVAGNGSRL >SECCE2Rv1G0090620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:282099894:282104438:-1 gene:SECCE2Rv1G0090620 transcript:SECCE2Rv1G0090620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TPX2 [Source:Projected from Arabidopsis thaliana (AT1G03780) UniProtKB/Swiss-Prot;Acc:F4I2H7] MAAETKPSSGTAAAAAAAVQFQVDEAYEYRAPKYFDFVVDETEADIRAAERWFEAGASHAPSPFAPRIKESRTEVKIDALCDFADAEDPAPSPKNQDTEEAAGDVTNSAGDIDGTSACPDAMSESPPTKEKEEESPKPFEFPLSKDLAAKSADVGSSTPKIQRPPPPAEVTTAATTRVKSTVKAEACTPKVQGSSSTRSKTVQPSNLKQSSLALKKKSVIKGARDAVAGKAATAANDIRQENQAVKRQKLDDGSTRQILNVKSRVLPHKGRGSLSGSTEVVPSSMRHRQDDAHSLKEVTATPYISAAEMVKKFESGTRDLGSHYSRSMSNEDAATASQRRPKLVLTRPKEPEFQTTNRVRAVRMKSSSELEEEMLAKIPKFRARPFNKKIAEAPSFPPLPRTAPQLPEFNEFHLKTMERASRHADTCSEASSVGTMRSQGSKPLKLSEAKPPQLETALRARPPRVKSSQELELEELEKAPKFKAKPLNKKILESKGDIGVFAHPKPQATEPKEFHFRTDDRLGPPAVADLLDKLSLYSESSSYHDKKDMPRLTIPNPFNLHTDERGHDKERQLEAQLLQKKLEEEKARKFKANPYPYTTDYPVVPPKPEPKPCTRPEGFQLESLVRHEVEQQRLMEERERMATEEAQRRIVKAQPILKEDPIPLPQKERKPLTEVQQFELHVDERAVQRSEFDNMVKEKEITYKRLREENEFAQKIEEEKALKQLRRTLVPQARPLPKFDRPFRPQRSMKQVTRPKSPQLQVNERGARRHPFMR >SECCE7Rv1G0476770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:210733961:210742674:-1 gene:SECCE7Rv1G0476770 transcript:SECCE7Rv1G0476770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:p-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04730) UniProtKB/TrEMBL;Acc:F4I5S3] MAADMEMPDPEELEWMESNGLLPEEEEYAYFDDPDEGFLPAAGDTCKPHAPPQETATSPAKPADEVSEANLKRPPPPPPPEQEEERSKRRNVDRVDSVDEDWLRYSPPPAAEVVAEKIVSRFASEIQGDCMPVTAPNGERVYAKLATEKLVSEVIEGTRRRTSISNHDGLLSESFHSLTMQAEQDAVAKALLQSTETQYVEGCPLTPIVTEQLWVEKYAPHSFTELLSDEHTNREVLLWLKQWDSCVFGSHIRGTSDDTLSALRRHSCTIQKKSSSRSFFSKSRGGYVMGQDSMPQSTPGSNSEDLKSTFHKKPSVDNAPEQKVLLLCGPAGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVVQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEVILKMINADKNNNSDRSNGAEETQVQKASSRKGHRTAKLLRPVICICNDLYAPALRKLRQVAKVHIFVQPTISRVVNRLKYICKKEGFKTSSIALSALADYTECDIRSCLNTLQFLNKKREALNISGFDSQVVGRKDMSKSILDVWKQVLQKKKLKRAEMADCNVTGDKDIGSLFSLISNRGDYDVTMDGIHENFLKLSYHDPMLHKTVKCLDVLGVSDYLMQYVYRTQHMSLQAYQPPIAITISRIVAQVEKPNIEWPKALQRCRTMLLEKKDTLKTWQNRMSPLISRHLSVESFVGDIASPFLHILSPLSLRPVALNLMSEREKNELVQLVDTMVSYSVTYKNTKFEPQERANGSIVPMDIPSLSFDPPINDIISFKDYQSEHIGLSLAMKQVLVHEVEKQKIIKDSAGKLVNQINEGVRSEVPTTTCQKTATGTACDTSQGSSKGKSATLPMRLNSASSLSGKGLAPAKRPSCRPTDFFQSFRKERPGGAKTHIDAGQQGATVQRDLRPLIFKYNEGYTNAVKRPVKVRDLLL >SECCE2Rv1G0139750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:928886172:928887757:1 gene:SECCE2Rv1G0139750 transcript:SECCE2Rv1G0139750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMRKKTACVTGGDGYIASALVKMLLQKGYTVKTTVRKSEDMENHPHLKNLQALGPLEVFRADLEDEGSFDEAVAGSDYAFLVAAPMNLNTENPEKEVIELAVSGTLNVMRSCVRAGTVKRVILTSSVAAVAGRPLLLGDSHVLDEESWTDVEYLRVTKAGGWAYHVSKVLMEKAAWTFAQDSGISLVTVCPSVTIGEAPATTVHTSVPLVLSLLTGDDDKIRSLELIESESGSIPMVHVHDLCRAKIFVAEEEAASGRYICSCPGLDTTLAELSTFLAAKYPQYNVNTDRFGGRPEKLGVCISSAKLIGEGFEFRYKALDEIYNDVINYGRSLGILPY >SECCE2Rv1G0082310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:149990787:149991335:-1 gene:SECCE2Rv1G0082310 transcript:SECCE2Rv1G0082310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLLILAIMLAWSAPAFLVACAGAGAGDHGLKHIHIYVHETFAGPNATAMVVVPSPLGGNATFGVVGMLDDELRDGPDPKSTSLVGRYQGVFAGAGLVSPPGMQSAINFVFTAGEHNGSTLAMMGPVLSFTGAIERSVVGGTGAFRMARGYCVMTAVGNPTPESIVYEVELFVKMDHA >SECCE6Rv1G0429830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:738403469:738406401:1 gene:SECCE6Rv1G0429830 transcript:SECCE6Rv1G0429830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDTAAGKMAESDAADGKMANGEVYDDGRAVEVAGGEDTLPAVLRGFVDGVWPPGDGGDPLLRRLRAATCEAAPRLRDASRNSARDLLAWTKQGSGLRAILVISVGTITMISLTGLLIFMSFLLVATANAIIVSVLMSLAAAGGFLALFFACLVAVYVGAVSIAIFVISATVISAIVGVLIATGWIGFFWTIWFAARKSLDLTKHSIGMTTSAVQSYSASRQVRQKPTD >SECCEUnv1G0530930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:14882366:14884250:-1 gene:SECCEUnv1G0530930 transcript:SECCEUnv1G0530930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLRFLAAGRRLIHQSRNLADVVRGSGFSSSFPMGGNKLPASIVTVGTLSSALAGATLYLKKDTEQPTASPWALTDKDAATLEEVIAEEQDLVKEATLKARFEEWMVEYGRRYKSEEEKAMRYREFKRHCKNAERANMLSRGSATFGPNNLADLTEEECLRRCRGECEPTFAHRLGYPYRRALEWWVLGK >SECCE4Rv1G0244360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:514433275:514437560:-1 gene:SECCE4Rv1G0244360 transcript:SECCE4Rv1G0244360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQPPADDVVVQMSAAAVAAVDESSSTNHDGDNAGAGDGGRARRTFSQYYKMEHRKAPDFKWWQIGVLSYQSLGIIYGDLGTSPLYVFSSVTLPDPGEEDFLGILSLILWTLTLIALVKYIFVVLHADDHGEGGTFALYSLLRQHVNFSGSMPMPVTRLASDINLKFHSKKKKLPSKMREFLERSTTAQSVITYVVLIATSMVMGDGALTPALSVLSAVQGIQSRSPKITQDHVVILSVIILIILFLFENYGTSKVSFAFSPIMLLWFAFVSLIGLYNIIKYYPPVLKAVSPLHIITFFARNKRKAWEQLGAIVLSITGAEAMFADLGHFNKSSIQMAFSAVVYPSMILAYSGQAAFLIKNPSKLSTTFYSSTPEPLFWPMFIIATLSAIVASQALISASFSIIRQSIALGCFPRVTMKHTSEKYEGQVYSPEVNYFLMLTCILITYGFKGGPQIGQAFGTVVIWVMLFTTTLMTVVMVVIWQTNIIAVGLFFVVFFSIEGIYMTSLLNKVLQGGWVPFANTAFFLVITISWTYGRRKKNEYDASNLVGKQEFIKIVTGSSQVPGICIFCTDLMNGIPPIVRHYVKHTGSIREVMVFVTVRILPVRSVLPEERFLVDKLDHVGVYRCILQYGYMDNHSMDDDDFVVLVVASLKQIADNDEILLLDSAFTNGTSFVIGRTILKMSITRNCFKRFVINNLYRFLQKNFRSNMSSLKIAPGKTLQVGMHYEI >SECCE4Rv1G0279930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817855731:817860606:1 gene:SECCE4Rv1G0279930 transcript:SECCE4Rv1G0279930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAGGGVAAEITLERTPTWIVASVCSVIVLISLLFERLLHRLGKRLTKGRRKPLYEALLKVKEELMLLGFISLLLTVFQGATQKICVRESLMHHLLPCPRSDAGAAKTAQHYAAAVFTGVVGSTRRLLTGGGASSDYCLKKGKVPILSIQAIHQLHIFIFVLAATHVVLSAVTIILGITQTTKWKHWEDKIQQSNDNGPQMIRHVQEFKFIKSHFKGHGRRWEIFGWLSPRRTTQPCDLASSWGHLKFNFYNYMNRALEGDFKKVVGISWYLWAMLMIFLLLNVHGWYVYIWISIAPFVLLLLVGSKLEHIITELAIDVAKKNTAIEGELVVALSDEFFWFHQPKLILLLIHIILFQNAFEIAFFFWLLVTYGFKSCIMGKPAYAIARLVISVIGQLLCGYSTLPLYALISQMGSSFKKAMFDESISEGLANWAQKARNRNRNPVASVVDNSPVGEEIQMTNARIESAI >SECCEUnv1G0530070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:10559603:10561107:-1 gene:SECCEUnv1G0530070 transcript:SECCEUnv1G0530070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGIVVATTVAAVMTVIFGVISAVFLGMRSYQDDHSVCKYERSPATVCGVVAAVLALTTQILASVAIGCCGAWQNPKRANRIAAVVFYAVSWILAIITVLAFLGGALLGIAGSMEKTIGNSSCVGGVGGVGVFVNTIFLFLVVVALEVSSYLLIQKDDHQQAAAGNRPPLVPTATSPSAPTVTSKDDAYASAPATANQV >SECCE2Rv1G0113130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:719527293:719527922:1 gene:SECCE2Rv1G0113130 transcript:SECCE2Rv1G0113130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLEAGASPHAPIVSAKFEVLVLVGLVGLVMLTAGLLAAFMALLQAVIPEGDQYKILRVPTFSIDLAGFDGLDGQTLAPGLSPAFNLTLHGVSNQSSGSSSEVCQEHGTVAVSYAGAVLAWGRVPGFCFGEHAHERVGMVALGAGVALSDELSDRMASERRSRSAEVDVDIVLGWNTVDYQKRYLSCRVKLDEPSPQPSPCNVLVSY >SECCE5Rv1G0330760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:533057014:533061552:-1 gene:SECCE5Rv1G0330760 transcript:SECCE5Rv1G0330760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVDVELGGAGGLAIAGGGGGGGGGWVWGVAGAVGRSVSFRCVFVLALAVGVLVPALFLLLPSRPKGYLSSDPDVLAAEIQVGFTLEKPVSFLAAHMDRIGSDIFEEIGVPNSKVSIVSMHSLASKYSTCVVFGVLPYPKDASISLPALSVLRSSLIGMMLKQLNLSLTPSIFGYPSSIELLRFPGGITVVPVQSGSIWASTDPLFNFVLNNSIGQILGNLTELKNQLEFGLNLRSYERIYLQFRNEIGSSVEAPATIEASVLDGTSMLLPYRLKQLAELIKEPDARNLGLNHSVFGKVKGVQLSSYLQHSISDLSPSPAPSPSPYPAPSPSPSTSVPPSLSPSGTVPYLTPPTSSPSPRASPPLPNHPPCFPCYDCKPFPPAGRPMLKPACFGSGPKLPPFVHSPQPSAVPSPPVHKPYLPPAFPPIPGRVDPPHPLPSPNHLPNAVPGPTYHMMPIPSPPVPHFRHSIPPRKKQSGTTTKSPPIAPSPYSLLHS >SECCE6Rv1G0401700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:473058737:473059924:-1 gene:SECCE6Rv1G0401700 transcript:SECCE6Rv1G0401700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFTLLALLSALVVLSLLVSPIACSRKLPKPAIKAHKNHTGSTPSSFAAYGSGGWSAGGATYYGAPNGDGSDGGACGYQSAVGNRPFSSMIAAGNPSLYRDGKGCGACYEVKCTGNQACSGQPATVVITDECPAGAACLGEAAHFDMSGTSMGAMAKPGMADKLRASGIVKIQYKRVPCKYPGMNIAFKVDQGSNPYYLEVLIEFEDDDGDLNAVDLMEANCGTWTPMAQNWGALYRLNSNTGKPLRGPFSLRLTSDSGRKLVVNNVIPASWKAGATYRSLVNYP >SECCE2Rv1G0108300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:660395272:660398421:-1 gene:SECCE2Rv1G0108300 transcript:SECCE2Rv1G0108300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 3 [Source:Projected from Arabidopsis thaliana (AT5G50320) UniProtKB/TrEMBL;Acc:A0A178UGS1] MAAAVAAAAADQPRRRKPTPGRGGVVLPAGLSEEEARVRAIAEIVSEMGELSRRGEDVDLNALKSAACRRYGLARAPKLVEMIAAVPEADRAALLPRLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSADKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVCYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFSLAKDAGFKVVAHMMPDLPNVGVERDMESFREFFENPAFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPELLVDIVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHKIRPDEVELVRRDYAANDSWETFLSYEDTRQDILIGLLRLRKCGRNVTGPELVGRCSIVRELHVYGTAVPVHGRDAEKLQHQGYGTLLMEEAERIARKEHRSKKLAVISGVGTRHYYRKLGYELEGPYMVKCLA >SECCE4Rv1G0250960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:598104066:598106556:1 gene:SECCE4Rv1G0250960 transcript:SECCE4Rv1G0250960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCCSAGSRRLLLPRLFLGQRLHARRPLHLRTTATAAAASSSATSLSPQQQRQVSLYVYALLDWNQRMNLTAVTDESEVMTRHVVDSLAVLPPLERAYTSRGGDISGIRLVDVGSGAGLPGLILAVARPSWKFTLLESMRKRCTFLEHAVEVMELSNVDVVCDRAENAGQSHDLRESFDVAAARAVAELKILAEYCLPLVRVGGLFIAAKGHDPHEEIRSAKAAVGKLGASMLDLCNVASMGPHGQRTAVVYLKERTTPKKYPRQPGTPSKTPL >SECCE6Rv1G0394930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:311615676:311643320:1 gene:SECCE6Rv1G0394930 transcript:SECCE6Rv1G0394930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASKPSPSAAAPSRRKVALCLTLLTLQYGAQPLISKRCIGQGVIVTSLVLAIELLKVICAVILLVAEGSLKAQFSNWSLVGSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGQKQSPKQILALALLITAAVLLSIGESSRKGVSGGSSDYVLLYGIIPVTVASVLSGLASSLCQWASQVKKHTSCMMTIEMSFIGSMCLLASTFQSPDGEALRIYGFFHEWTLWTVVPVLMNAVGGILVGLVTSYAGGVKKGFVIVSALLVTALLQFIFDGKLPSLHCLVALPLVMTSIFIYQKYPYVDGKKKD >SECCE5Rv1G0315310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:289261963:289270042:-1 gene:SECCE5Rv1G0315310 transcript:SECCE5Rv1G0315310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRS1 [Source:Projected from Arabidopsis thaliana (AT1G31970) UniProtKB/TrEMBL;Acc:A0A178W5E1] MDPKLLSMQEEPSNDRKKDKKSKKEKKPKPAAEAEAEEAAAEEEELYNKRKKDKKSKKNKKRKLAAEAEEAAAEEEAKSSKKRGVATEEPDQGGAVEKSVAVTGKGFADPKYAPLKSFAAAALPSQVLDCCKGFDRPSPIQALAWPYLLDGRDFIGIAATGSGKTIAFGVPALMHVRKKVSEKGAKKGLPRCLMLAPTRELAQQIADVLTEAGAPCGINSVCLYGGTSKGPQISSLKSGVEIVIGTPGRMKDLIEMGVCRLNEVSFVVLDEADRMLDMGFEPEVRAILSQTSSVRQMVMFSATWPFAVHQLAQEFMDPNPIKVVVGSEDLAANHDVMQIVEVLDDRARDSRLVALLDKYHRAQSNRVLVFVLYKKEAGRVEAMLNKRGWKAVSVHGDKAQHDRTKALSLFKEGKCPLMIATDVASRGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTQADKGLAGELVNVLREADQVVPPALTKFGTHVKKKESKIYGSHFKEITADAPKSTKITFGDSDEE >SECCE3Rv1G0157480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:82008577:82012307:-1 gene:SECCE3Rv1G0157480 transcript:SECCE3Rv1G0157480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIWVRQAEEAKLKSEADTAAAAKAAFDATFKALSATAHADQSPQDADPERHDRPSSPAEPAFRPDPESDSDDDDRPRAPPGPVDPSKSSAAGPGIAGGSAGAPATFTVVGKDRDGRRVSVGGARVRVRICPAAGVGGDDLDGGVRDNGDGSYAVTYAVPKRGNYMVHIELDGSPIMGSPFPVFFSAATAASAAAAFPTTSSAYPAASSAYPNMLNQTMPNMPNYAGSMPGSFPGLLGLIPGASSGASGGVVLPGVGSSLGEICREHINGKCTKGTDCKFSHPPQQLLMSVMAATTSMGALSHAPMAPSAAAMAAAQAIMAAQALQAHAAKMQADSKAAEQASSGMTEAEKAEALKKIVQISNLSPILTVETIKQLFGYCGKVVDCTITDSKHIAYVEYSKPEEATAALALSNVDVGGRPLNVEMAKSLPQKTSIANSNLPMMMQQAVQMQQMQFQQSLMMQTSIAAQQAAVRAATMKNATDAAAARAAEISRKLKAEGFGGESAEEKDAKEKSRSPSPPSRRSKSRSRSPIKYRRSRRDRSYSPPVRRTRDHRSRSPSRSHYSKYGSDRSYRDDRDKYSRSGRKETDRSRDHYSSSSRRNRSRSISPRSKKPSRADSRSPKRQREGSLSPSKSRRSARAGSRSPRHHKGSKSSPTRDQRSSRRSRHSRSRSQERKHRNSDKKDVKKSEVQDDKKRSDRGNRGGKDERSVKDAVEDKNADTSVVAHKRSSPVSEDELLDIDNGNHKKSRHDAAASVGYDKRKNEDSIEDVDSPRSKYEKRSLVEDDDNIQKHDTSRNTKMKTDGKKNDRDDSSRKDRKHREDESKHSRDKSSRHSSSRSHRSSRHSREKYHRDGIDKHESKKSEDGAKARKDNSSLDDPLSSDKKKVHKGSSPDRIINQSEAGSDSDGKHDTEVRLRNASLGEADLVIQEDQKFADETGTDKSSADAPLTASKQKGKEDICSTVSDINGQHGLEGDGALGGTEESAM >SECCE7Rv1G0477690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:225224176:225229366:1 gene:SECCE7Rv1G0477690 transcript:SECCE7Rv1G0477690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLASELHRLRRSPWEVLCSALVSCGLVLFSQLAVAIVPRLLPSISLLAMLPVAGLVFLVAILVGRFWRRFIGVAASAPLFVLFNVLFLWGVYLFVIRRDTSSLLDMLINAECALLLWGLYRILSGDPGIVAYESSFLEEAGCKDFVDAICSSEKYPSLSRVRHCNCCKANVRGYDHHCPAFGNCIGQKNHRLFMALLTGFVVAESTYTMCSTKYITRCITSGTLRTENHLSLNMVIGTMLFSVLQVVWQVVFLIWHVYGICFNIRTDEWINWKKYPEFQMKEQPQSDFEVKFVNPYDKGMLCNIREFLKPK >SECCEUnv1G0530050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:10488331:10492284:-1 gene:SECCEUnv1G0530050 transcript:SECCEUnv1G0530050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISALMTSAGINIGICVLLWSLYSILRKQPAFVRVYFGRRIAEENRLLREAFILERFVPSTGWIVKALQCTEEELLAAAGLDAVAFNRMLVFSIRIFSLAAILCLFGILPVHYFGRKMHRLEIASEQLHMFTVGNVEIQSRWLWVHSLVLYIISGVACFLLYVEYRHIARLRLIHLKRATINPGQFTVLVRGIPKTTNESCSTDVDDFFTKYHPSSYLFHQVVYKAGKVQKIITGAKKACGMSDPSTDTTLDQSCEAITYPCCLCGASSDSFRLLPTAEVAKNIDNEECAAAFVFFKTRYGALVASEALQTSNPTNWVTDLAPEPDDVYWSNIWLPYKQLWIRRIATIIGSLVFLVSFVLPVAFIQGLSQLDQLQKKLPFLDGLLKKPYMSQIITGYLPSVILLLFLYTVSPIMIRFSTMEGPTSHSERKKSACSKVLYFYIWNVFFVSLASGAVINQLNSSSSTKAIAVRLAHVIPEQTTFFITYVLTSGWASLSSELMQLFGLIYNFIIKYVLRMKEDRAFVPTFPYHTEIPKVMLFGLLGFSCSVLAPLILPFLLVYFFLGYVVYRNQLLNVYRMRYDSGGLYWPIAHNTVIFSLVLTQVICFGVFGLKESPVAAGFTIPPIFFILSFNQYCRTRFLPLFKTFPSQDLIDLDREDERSGRMEHIHHRLRSAYRQFPDNEDIKLEKILTVGNDEDQVEGPSSGEPNGEETCEEQEPSRRDLSHPTLKGLPVSRLRDSVRSITLLWTAEKKSGDSALISASIT >SECCE3Rv1G0150230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31371619:31372067:1 gene:SECCE3Rv1G0150230 transcript:SECCE3Rv1G0150230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKRRSIPSILVMLSLVAALLVAGHPSAADDVGAILLPSQDKDQEVVMAAPRPWKCCDVTSCTRSIPPTCSCKDVVDNCAPTCEDCNGYVHPPRRVCKDRYTGDPGPRCTAAGAGGN >SECCE6Rv1G0389120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:146008978:146009865:-1 gene:SECCE6Rv1G0389120 transcript:SECCE6Rv1G0389120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAECDRIKGPWSPEEDEALRRLVERHGARNWTAIGRGIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDAAILRAHARLGNRWAAIARLLPGRTDNAVKNHWNSSLKRKLATTTGGAAWEDASDSGDSPRPCKRASPGPESPTGSDRSELSHGSGHVFRPVPRPGGFDAISADVRPPPPRQDEDPITSLSLSLPGLDHGFHHDSARSHFQELSPSPRSPSPPPAPQPSSSYPFSGDLVAAMQEMIRAEVCKYMSGVGLPAGCGAGAVGEACIPQLVEGVMRAAAERVGVMTRQ >SECCE3Rv1G0196130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:806150163:806150474:1 gene:SECCE3Rv1G0196130 transcript:SECCE3Rv1G0196130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE7Rv1G0474140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:167808118:167814010:-1 gene:SECCE7Rv1G0474140 transcript:SECCE7Rv1G0474140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSPVTPAAAEPRRSTRLLSNTKPADADADAEAEVHAELERDRSTSRGGKRRREAAGRSAGTRKRAAKGKRKEPESAAAAGAMEVEDAASGINGDMCPEEPDSEEMRLIAEAEEEEEEEEEEAEEQTDHGGEGSAETPGAGKRVAPPRAQRRPDASVDHFVGEPIPDDKARRRWPARYATKDSDSRSRRSNDPEEEIGARCHYISACVDDAIFNLNDDVYVKAGPNEENYIGRITEFFEGTDHVLYFTCRWFFRAEDTVISPNLLEVHDHEHDQKRVFLSEETNDNMIESIISKLNIIYVDPSKTPQERDQLISNSDLYYDMSYSVAYSTFANIPAENDGATDSEVASDISCEEGKPVANPVASSGARRETATLLDLYSGCGAMSTGLCLGAALSGIKLNTKWAVDMNEHACNSLKHNHPSTQVRNEKAEDFLALLQHWNALCKKYVVHSSNSSGSDLAQMSNDDKDDENEALPNDLFEVERLLDICYGDPNKTGKDGLWFKVRWKTYDPSHDSWEPIDGLSDSPECIKEFVQRGYRESILPLPGSVDVICGGPPCQGISGLNRFRNYNEPLEDDRNKQLVVFMDVVNYLRPKYVLMENVVDILKFADGFLGRYALSRLVSMRYQARLGLMVAGCYGLPQFRMRAFLWGALPSGVLPKFPLPTHDVVKRGVVPHAFSQCLVAYDEIDVKRLKKALVLQDALSDLPKVSNYQPNDLMENRINPKTEFQRYIRLSRKDMEDYSFGDATPSPKTCQLFDHQPLELSNDDYQRVKQIPFRKGANFRNLKGVQVGENNTVEFNPHIPRVFLPSGKPLVPFYAMTYIKGKSPKPFGRLWWDETVPTVVTRAEPHNQIILHPNQHRVLTIRENARLQGFPDYYRLFGPIKQKYIQVGNAVAVPVARALGYSLGQAYRGALVGGQPLFELPENFASVGQAAATASPVGVVDK >SECCE1Rv1G0006120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:30250861:30254733:1 gene:SECCE1Rv1G0006120 transcript:SECCE1Rv1G0006120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 8 [Source:Projected from Arabidopsis thaliana (AT2G37440) UniProtKB/Swiss-Prot;Acc:Q0WT19] MTTDNARIPKSSSWPRRTRTAVRRWLSLKSNAESSSHSDCTGDRFGIRGQEQRRRKSCSDRDGSRRDLSGEAGWLVEEGRENLMPPLRHGPGSSWQPPKELRVLVGTWNVGGRSPHQGLDLSDWLLNQNSSSSPPHIYVLGFQEIVPLNAGNVLGTEDRGPASKWLDLIGQALNPSSLERRSNYHQSHSHRCTAAEEVNPECSQKAEVIFSDIPVMDDAVSELEEEEEEEEDSESSTSNPESSSEEEASEFAKMLQERARHGYRLAASKQMVGIFLCVWVRADVMAHVTGLRVSCVGRGIMGYMGNKGSISISLTLQGGSSAAASTSLCFVCTHLASGEKDGDEVRRNSDVAEILKRTRFQQSHRFSRLPTAPETILEHDKVIWLGDLNYRLSSTGGGGVETRGLLERNEWRALLERDQLRAEQRAGRVFGGGWEEGEIRFPPTYKYLAESDTYAMAALSSSGAGRPSREKKKRTPAWCDRILWRGEGVEQAWYERGESRFSDHRPVNSLFSIRLHHADDAARSLPATANSKPCCRQGRRRPPGSRATTATTAREAGTRGGAVIQAEEMLAVPPRDCRCLQSSRF >SECCE4Rv1G0231020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:175336999:175338525:-1 gene:SECCE4Rv1G0231020 transcript:SECCE4Rv1G0231020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSATFRVAKIPRTALPPKSCKATPSSVSASPAPASGDPPPKAAKKKKEAAPWCVYLIASSRVPRTYVGVTTDFPRRLRQHNGEIKGGAKASSAGRPWNLACLVEGFTNRSEACEFESKWKNISKKMTRKRSEPGLNAVLQHREVALSRVKTFLDCNHLNINWHSS >SECCE2Rv1G0134750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:903006205:903007613:1 gene:SECCE2Rv1G0134750 transcript:SECCE2Rv1G0134750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHGGTWPVIPTVVLLMGGLFAAFPAASGDRVDAGDMLMMDRFRQWQATHNRSYLSAEERLRRFEVYRSNVEYIDATNRRGDLTYELGENQFADLTGEEFVARYASSHDAMGHTDSVITTTAEADGLWSSGDGDDSLEAPPPASVDWRAKGAVTPVKNQGSECFSCWAFSAVAMMESLYFIKTGKLVPLSEQQLVDCDKYDGGCNRGYYHRAFQWIMENGGLTTAAKYPYKAVRGACTRAKPAVTITGHAAVAKNELALQSAVARQPIGVAIEVPSSMQFYKSGVFSAACGIQMSHAVVTVGYGTDASSGLKYWLVKNSWGQTWGEAGYIRMRRDVGGSGLCGIALDTAYPTM >SECCE7Rv1G0508970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:776296792:776297301:1 gene:SECCE7Rv1G0508970 transcript:SECCE7Rv1G0508970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALAKLWDNYEQSKAARTNDNLQSSFTIHNLTEEKNKLQENYEKLVVDVNALLDAQEQRAVQLSYQQEQKDNAAINIGELENEVGNLKSKLSKKDEENKKLQEKIVTLKNLTSAQANVIRNLKFNHLKEKERLTEERHKLEFHISELKKSEEKIKQKLQGMKAILDE >SECCE6Rv1G0404690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:522926322:522928959:1 gene:SECCE6Rv1G0404690 transcript:SECCE6Rv1G0404690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRGQRATTSLAVLLLLYAISVCSLLPAARAQTTIFTTIVNGTEFTTFSFPSFDNSLRQLPGNLTVLGNATVNGNALQITPDTRNDPERFLINQTGRVMFPKAYVLWASDASNSSADGRRVASFSTVFKVNLFRANASVKGEGLAFVIASDGDAPPPPGSHGGYLGLTNASTDGSAANGFAAVELDTVKQPYDPDDNHVGLDVNGVRSKVAASLTPFGIDLATNNTNDDGSHMVWIEYNGTARHVWVYMAKNGSRPGTPVLDAPLDLSRVLLGKTAYFGFSASTGVLYQLNCLHSWNMTVEVLPGGGGKQPLSGWKLGLAIGVPCAFALALGLFAGLYIKNRRRRIGDDSSSMVRSTINFASIPGVPKEFDYKELRKGTGNFDEKMKLGQGGYGVVYRATVLGEHGQSMQVAVKQFSGANTKGQEDFLAELSIINLLRHRNLVKLLGWCHQDGVLLLVYDFMPNGSLDRHLFGGPEAPVLTWGHRYNIVAGVASALNYLHHEYDQRVIHRDIKPSNIMLDGAFNARLGDFGLARALETDKTSYTDKLGVPGTLGYIAPECFHTGRATRESDVFGFGAVILEIVSGRRVSCSNAAGCSQLLEGVWQLHGAGEGRILEAVDPRLAAGEFDEGDAERLLLLGLACSHPNPGERPRARAIVQILARSAPPPDVPASKPAFMWPALPVALGDDDGEPPVSGTSTALTSSSSYYASSAGWTTQNYLLTREHDVTDRDMSTA >SECCE4Rv1G0214440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:524069:527708:1 gene:SECCE4Rv1G0214440 transcript:SECCE4Rv1G0214440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLAEMAKEEVAMLIGVSDGINDLSIKLGDLKNFLADADRRNITDESVRGWVGELKRAMYLATDIVDLCQLKAMEQGQTKDRGCLNPLLFCMRNPLHVHDIGTRIKLLNQNLDDICKRGNSLKFIKLESYEDKNTTRSLATNRKTDSLIERSGAVGEKIEEDTRVLVEVLTREGASDKSERFMVVAIVGVGGIGKTTLSKKVFNDDNIKGKFTKKIWLSITQDFNDVELLNKAIIAVGGDLPVAGGARDRDLLVGALNNAIRDKKFFLVLDDMWGVDAWDKLLMAPFSYGGPGSRVLITTRHDTVARSMKAFHPYHHVDKLAPQDAWSLLKNQVLTSEENEPEVDILEDIGFQIVAKCDGLPLAIKVMGGLLCKKEKTRRDWQDVLNDDIWSVSQMSKELNYAIYLSYQDLSPYIKQCFLHFSLKPKKTVINDTEIVSMWIGEGLVEGDTYSRSLEEGNKYYKELIVRNLIEVDTDYPSQLICNMHDVIRSFAQFVARDETLVGHNGDTIKTNLRSPNYLRLSIETKGMGSDEFEWRYLREQKLLRSLILTGSLKSQSGDSLTKFPSLRLLHIESANIAALVESMYQLKHLRYLALKRTDMCRLPENIHEMKFLQHISLEGCESFMKLPDSIIKLQGLRYLDIDDTLVNSIPRGFRALTNLSALFGFPSYTDGDWCSLEELGSLSQLNELSLESLENVSSALLAAKARVNAKKQLIYLGLKCGGRVGDGLVQGEVSDSAEQIIEAVFDVLCPQPCIEYIKIERYFGRRLPGWMTSTAMVPLEGLKILVLEDLPCCTELPDGLCRLPYLEWIKVEKAPVIKRIGPEFVQQYNQLHRSSSQLAASFPKLQKLSFFSMDEWQEWVWEAEVKSMPLLEDLRITSCKLGRMPPGLMSHAISLKKLTIWSVQGLHSLENFVSVVELDLYDIPELVKISNLPKLQKLTIKYCPELEKLKDMDALRRLQLSIFNYESQLPVYLQTVKPSHLLLTCNLEVLTSMAEGESSSDWDKFSHIKHVEAYAEDGEDEKKWHVFYTSESCNIQTNIHQDRLVEEED >SECCE3Rv1G0165270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:171549670:171552295:-1 gene:SECCE3Rv1G0165270 transcript:SECCE3Rv1G0165270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGMQRGDPLVVGRVIGDVVDPFARRVALRVGYASRDVANGCELRPSAIADPPRVEVGGPDMRTFYTLVMVDPDAPSPSDPSLREYLHWLVTDIPGTTGVSFGTEVVCYEGPRPVLGIHRLVFLLFQQLGRQTVYAPGWRQNFSTRDFAELYNLGLPVAAVYFNCQRETGTGGRRM >SECCE4Rv1G0261060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700221029:700223248:-1 gene:SECCE4Rv1G0261060 transcript:SECCE4Rv1G0261060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFRHLSVQLLLLATLFPILALCYINPATTSIHQNATKSSAYRAYIVLVQPPPSNAGEDAHHQWHESFLSRSLAGDSRKSRLLYSYTEVFNGFAVRLTDAELDMVSKKPGFVRAFPDQTLQLMTTHTPEFLGLRNDTGFWSQADYGKGVIIGLLDSGIYAAHASFNDHGVPPPPARWKGSCDTARCNNKLIGAKSLIADHDSNDEQGHGTHTSSTAAGNFVTGASYNGVGTGTAVGIAPSAHIAMYKVCDAHGCQASAILAGLEEAIKDGVDVISLSLGSSTSASFDQDPISIGAFSAVSKGILVVCAAGNNGPIQRSVVNESPWLLTVAASSVDRSFGANIHLGNGKSIDGEALTQTGNPRSRLHSLLYSKEWRYCNYDYDSSFTGKILVCKDDNSRAQRSKIRNIVGAGAAGVVLFNNEISGYTITVSDYNSSVVQVTAADGEALIAYIASTHNSSMASLAYNGTQFGVRPAPVVASFSSRGPSSLAPNILKPDILAPGLNVLAAWPSKTGHGQGSFAILSGTSMATPHVSGVAALIKGIHPDWSPATIKSAILTTSDIINGTGGSILDEQHRKASVYDTGAGHVNPARAADPGLVYDLSVIDYAGYICWLLGDIGLATIVHNSSLSCAKLPKVQDVQLNYPTITVPLTSTQLTVNRTVTNVGPAESTFKAKVDVPRSLTVRVIPDTMSFSKTREKKTFSVSVSSLDLGKELYVHGTLSWVSEKHVVRSPIIVVAA >SECCE6Rv1G0400260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:449101218:449101592:1 gene:SECCE6Rv1G0400260 transcript:SECCE6Rv1G0400260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVKIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVVVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE3Rv1G0158800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:90881621:90883072:1 gene:SECCE3Rv1G0158800 transcript:SECCE3Rv1G0158800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEELFAEFLKRITRTSDLNSVSLVSKRLYKIEADQRGAIHVGCGLFPAIEALASLCTRFPNLCKVEIDYSGWTAGHGNQLGNQGLSVLSSHCPSLTSLGLSFCSYIDDSGLGYLACSKKLASLRLTSTPNITSRGLLTVAVRCKTLSALHIIDCHKVGSKDWLEYLGSVGSLEELVVKKCEGISQDDLLKFGPGWINLQKFVFEIKKRFFRNDGAGPNEGYDPSYDPHSLNMYDYSCENMKDLRLASIEIATGEGLRFLLGRCKALEKLCLEYVRGLNDNDMIALSQSCNNLKSISLWLDPQNYYDTFRTAFTDNSLKALSLSCPMLESVDLTFSGCSAMYPSEIGFTRKGLVALIKSCPIRVLVLRGANFFNDKGMKAVSSAPLLETLELVDCKAISNAGLRFIVRAPCLINLTLRLCDRVTNSGVSKLARSRKLESLIIERCSRVSEQAVRGAARSVQYSDSVPLSELEKLFTSSCS >SECCE5Rv1G0366930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:817188078:817190368:-1 gene:SECCE5Rv1G0366930 transcript:SECCE5Rv1G0366930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVQPMRAGSGGGVDHMDSMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLTEHCGIGYEEQSEWYFFSFKDRKYPTGTRTNRATMAGFWKATGRDKAVHERSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQEEGWVVCRAFKKRTAYPNRGMMERWGSNYSYNEVNAMSGSPFPDPNMASTYAAAAQMGRGASFKEEAEQLDGAAALLRYTSSHLVELPQLESPSAPLPRKKARAPEEEDAASGGSRRRPRKHAQADNAATTDWRALDKFVASQLSPGGECAALEATAATTAAAAAGASSQAQAQLDRDEDDMAALLFLNSDGRDEMERWTGLLGSAGTSVDGELGICVFDK >SECCE7Rv1G0496160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:609016344:609018752:1 gene:SECCE7Rv1G0496160 transcript:SECCE7Rv1G0496160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSTSLLLLTLIHLLLGISAREFLLPGSSLSVEDSSGALHSPNGAFTCGFNNISPNASVFAIWFADTAEKTVVWSANHLHPVYSWGSRVMLHTDGRMVVEDYNGQPAWENNVTSSSKAEQAQLLDTGNLVVRGQGDIILWQSFDSPTDTLLPNQNITAATKLVSTHRLLVPGHYSFHFDDAHLLSLFDDQKDISFIYWPKPDLTTWARQRNPFSTTTVGLLDSWGYFHGSDNLTFKSTDWGLGIMRRLTLDYDGNLRLYSLENREWSVTWIAFQTCFVHGLCGMNGICVYTPRPACACAPGHKIIDPSDRSKGCQPKFNLSCHGQEMKFVKLPSTDFIAYDQSKLSLVSFDTCKKICMNDCSCKGFSYWQGGGSCYPKSSLVGGVTSPGLPGSIYLKLPKTLQVSGSSIPQSQPFGLRYAPNCSANNKYFTAGFLDMPKSSGSGSKYLYFYGFLSAIFCVEVMFVALGCWFMLRLEGKQLTGVWPAEVGYEMITNHFRRYTYKELQRATRKFKYQIGRGASGLVYRGVLKDKRAVAVKRLADINQGEEEFQHELSVIGKIYHMNLVRVWGFCSDGPHRILVLEYVENGSLDKTLFSGKGSQILLEWNERFKIALGVAKGLAYLHHECLEWVIHCDLKPENILLDENLEPKITDFGLAKLLNRGGPNKNVSRIHGTRGYIAPEWVSSLPITAKVDVYSFGVVLLELLKGGRVSDWASNADEEVEMVLRRVVRMLAENLMLEGSKQLWIADFIDSRLNRQFNDLQARTMIKLAVSCVEEDSRKRPTMENAVQMLLSVDEASG >SECCE5Rv1G0338400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597887729:597889418:1 gene:SECCE5Rv1G0338400 transcript:SECCE5Rv1G0338400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPQLFGNGMPVPFHGEMFVLARDGVEFHVDKIPSAPGGHAKTKGTIYLSNIRMVFVAAKPVGNFFAFDMPLLYVHGEKFNQPIFHCNNISGFVEPVVPESQNRALYSTHTFKILFKEGGCGTFVPLFLNLITSVRRYNQFEAQPATVPRVDPMQAAQTPVDDMMRHAYVDPHDPTKIFLQQPAPESQLRRRNYHGPADNAY >SECCE5Rv1G0359830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:765555060:765557206:-1 gene:SECCE5Rv1G0359830 transcript:SECCE5Rv1G0359830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDVDPEVAAAGPKKRTFRKFSYRGVDLDALLDMSSEDLVGLFPARARRRFSRGLKRKPMALVKKLRKAKSEAPAGEKPEQVRTHLRNMIIMPEMIGSVVGIYNGKCFNQVEIKPEMIGHYLAEFSLSYKPVKHGRPGIGATHSSRFIPLK >SECCE5Rv1G0318250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:359051844:359053009:-1 gene:SECCE5Rv1G0318250 transcript:SECCE5Rv1G0318250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHHLMKLSVALLLLCVGACVARNSDFSIVGYSEEDLSSNDRLIELFEKWLAKHQKAYASFEEKLHKFEVFKDNLKHIDKINREVTSYWLGLNEFADLTNDEFKAAYLGLNAAPARRGTSRSFRYEDVSASDLPKSVDWRKKGAVTEVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTALSEQELIDCSVDGNSGCNGGLMDYAFSYIASSGGLHTEEAYPYLMEEGSCGDGKKAESEAVTISGFEDVPANDEQALIKALAHQPVSVAIEASGRHFQFYSGGVFDGPCGAQLDHGVAAVGYGSDKGKGHDYIIVRNSWGAEWGEKGYIRMKRGTSNGEGLCGINKMASYPTKDK >SECCE4Rv1G0285220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843268467:843268877:-1 gene:SECCE4Rv1G0285220 transcript:SECCE4Rv1G0285220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE4Rv1G0252840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:619280080:619284033:1 gene:SECCE4Rv1G0252840 transcript:SECCE4Rv1G0252840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSLGGKAAHLVSDLATVILNPVSGHEREPSSHLPEATEGQENIYGDEESEIPNGPDTSSFRAFLMSFMSASSSSDDSMEIVPEQDLDMEYPTLTPVGRGIKERKGLLSRGKHSIGRIINKAGRLGGFRQKSGHIIDNEIANQIESMSSGFDLKLSKGSASNHKLPPMSEPSMLLSEMMRNVLYPSLPVLVQGKNWMLVYSTWRHGVSLSTLYRRSMLCAGDSLLIIGDKRGAVFGGLVEAPLRPIMQRKYQGTKNCFVFTNVTGRPVVYRQTGANNYFTFCSPEYLAMGGGGHFALYLGEDLLNGSSSTSETFNNPCLSLSQDFEVKHVELWGFVNASKYDEMLTVCRTERPGIWNL >SECCE6Rv1G0391360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:218065599:218069781:1 gene:SECCE6Rv1G0391360 transcript:SECCE6Rv1G0391360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEDNQHAMNGYEDEVEEVEEVDEEGRPGRRGRRDGGDGGGYGDAGGDDGRTGGGDSSGKIFVGGVAWETTEESFSKHFGKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHNIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGLPSTLTEDDLRDHFSSYGNVVEHQIMVDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSSHSGGGGYRSSYRSGGAAGGGGSSSGGGGGGGYGYGAGHRSAAAGSYYDSTGYGYGRGGYGAAAAAAAYGGNAGYGSGFAGGYGGSMYGGAYGAYGAYGGGAYGAGAYGGGPYGVGAYGGGAYGGGAPGGYGASGYGSYGGGAGAGAGGAAGGGGSAGGRGSSRYHPYGK >SECCE1Rv1G0043930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:597800247:597800726:1 gene:SECCE1Rv1G0043930 transcript:SECCE1Rv1G0043930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTQCGSKGIAHRASGASGSGKHKRKGGGGGEGKPIKVVYISNPVRVSTSAAGFRALVQELTGRNADPSKYSGGGAVDVGDSSAGSPAGPQMGPAPSPGSTAESSEGAAACSHNVPAPTAPAGYKYDEEEDSLAPQLIDNRYSVFSPPTFLYGSHNEW >SECCE2Rv1G0122270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:814996040:814997969:1 gene:SECCE2Rv1G0122270 transcript:SECCE2Rv1G0122270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTPTGETMTLEVESCAAVDIVKAKIHDKEGILPDQQRLIFAGKQLDDGRTLADYSIHKESTLHLALRLVGGGKGGRYPRIEPNLLVLALKYRQHMLVCRKCYARLPLRAANCRKKKCGHSNDIRPKEKLRYH >SECCE6Rv1G0407720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:563300269:563305511:-1 gene:SECCE6Rv1G0407720 transcript:SECCE6Rv1G0407720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYMAYSPSPSTTPHSPRISGLRASSAAVADQEKYLAELLAERHKLNPFVPVLPHSIRLLNQEILRVSTLLENASLLNQSGFEHGSPLTSGGLYSNGAATDMNGWTSAFQSESSSAYSWLGGSQGSSSGLIVKKTMRVDIPVDKYPTYNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPAREDMMRGKPGYEHLNEPLHILVEAELPVEIIDARLMQAREILEDLLRPMDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >SECCE4Rv1G0228960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:141094371:141095567:-1 gene:SECCE4Rv1G0228960 transcript:SECCE4Rv1G0228960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCGGDRVVIVGGGIAGALLAKTLQHHADVVLIDPKEYFEIPWANVRAKVDPTVVERTVIPHADYLTDAKVVTASAVGVDDSVVLTSIGRTVGYDFLVIATGRTCTRPQTRSERLQMFHLDKDRITAASSVLIIGGGPIGVELAAEIIMDSPDKRVTLVHGGPRLLMVMSPRASAKALEWLRSKNVTVLLDQTIDVDHAGTGDGHDGQRDFTTSAGETVSADCHFVCTGRPVASGWLRDTFLGEYIDAEGRLVVDEHLRVGRLKNVFAIGDITDVPEAKQGYLAQRHAMVVSRNLRLLLRCAGPEHKLHRYKASKAAITVTLGRRDAVSELPFMSLIGHIPGVVKPRDLYVSRTRRMMGIKNRNGES >SECCE2Rv1G0119400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:790971464:790973748:1 gene:SECCE2Rv1G0119400 transcript:SECCE2Rv1G0119400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRAEQPKEKAPTFGSNVMPYTHGDLKKSGEFGKMFDLHADKSRKSGPLGNMPSGNTLFGGGASNSGPVSDTGGRSNYSGSISSSVPGAGGSARAKYNSGPFNKHREQAKWSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPHSSGLLNSSGAPRRKVSGSLDSAASMKLQATSLAHNQAVTTLTTESGFSVKGSISKLVLWSVITLLLIGFAIGLFILVVHNVVVLIVVVAMIGSFAALVSWNVFRGRRGVLGFVNSYPDTDLRTAKDGEYVKVTGVVTCGNFPLESSFQRIPRCVYTSTRLYEYRGWDSKTSNPKHRRFTWGLQTEERFAVDFYISDFQSGLRALVRTGSGARVTPCVDEPVVIDINPDNKDMSPEFLGWLRARNLSSDGRIMRLKEGYIKEGSTVSVMGVVQKSGSMLMIVPPSEPVSSGCMWGKCLFPSKLDGLVLRCEDTSDMDVIPV >SECCE6Rv1G0406350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:543244622:543245978:-1 gene:SECCE6Rv1G0406350 transcript:SECCE6Rv1G0406350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQEARADAGRRGGVIRSLLGVARLAEDGRAGAGAEEGGSKKEDDGAAERKAVVRVVAADMPPALQRRAFRCARDELAGMPHFPRRLEPKRLALALKKEFDTAYGAAWHCIVGTSFGSYVTHARGGFLYFSVDKVYILLFRTAVDPSPH >SECCE3Rv1G0144020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3006406:3012505:-1 gene:SECCE3Rv1G0144020 transcript:SECCE3Rv1G0144020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREMDVDSIVEYFRGKSIVITGSTGFLGKVLVEKILRVQPDVKKLFLLIRASDFESAKLRIQNEVIGREIFQVLKEKHGVGFHNFVKEKIFPLVGDIMHEDFGLDNCKLREVSKDIDIIINGAATTKFSERYDVAFNVNVMGAKHVCALSTECTNLKMLLHISTAYVVGEQEGLIAEKPFFMGETLRAGTHLDIESELNLIKETKRELRDDGSTEKDEMKVMKKLGLKRARNFGWPNTYVFTKAMGEMMMGLLGGDFPVIIIRPSIITSTLKEPLPGWMEGIRTVDAVIMGYAKQTLPFFLANLDSILDMIPGDMVVNAMMAVMAAHSDDQPGQIIYNVTSSLRNPAPSALVIESMHRYFFENPPCKGNGEHVQLKKMRIFSTLTQLRLYMAIKYKLPLEMLRLMSIALCGIFSKFYNELSGKYIFIMRLIDLYAPYTLFKGCFEDTNLEKLRMAMNKDDQINNEGYYFDFDPKSINWVDYLYDVHIPGVLQYCT >SECCEUnv1G0539320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75568592:75569933:-1 gene:SECCEUnv1G0539320 transcript:SECCEUnv1G0539320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDVYESLAVKVGQIVSSEIWVENYQKEKPNDVNVVQVGWNIQPSYYGDNKTHFLIGWTANGNKTKGCFDLKCDGFVPVKNPPITPGGTLEGKTKISIKIFKSKKNGDWWLHFAHVGEKFAPVGFWPQSLFESLSDHANYVTWGGYTSSLVRTPSPPMGNGRWPGGDSASFQNVQYVNNDGHGYLPTPNLRSRVTDTACYRVSEFVTSKFSYGGPGGCVN >SECCE3Rv1G0201540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:865402626:865404559:1 gene:SECCE3Rv1G0201540 transcript:SECCE3Rv1G0201540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPPELYHRILDVPRGTSSQGLRAAYKGLARKWHPDKHPPASKPEAEARFKAITEAYEALLDQQENRAVFGVCNDDRAAEMFGTFGAGGGGGGARMARTRSDDFCMRSAPATPAREFTKVYSSGNTGGRRAFAEFSSSIMRKAPPLERALECTLEELCRGCKKQVRFTRDVVTKNGSIVKKEVTQTIMVKPGWRKGHKVTLDGMGDERPGCLPADAVFTVAEKKHSTFKRVGDDLVLKAKVPLVNALTGWSFSFRLLSGKKVSCAFDDEVICPGYEKVIKGEGMPIAEQRGARGDLRVKLEIVFPEQLTDEQRAGLAEILKDCT >SECCE3Rv1G0185760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:677917837:677921271:-1 gene:SECCE3Rv1G0185760 transcript:SECCE3Rv1G0185760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKPAHHAPRSVTNLHFSTPMAARLCICMAALLVSLMPCGAAQPASSNATDLAALLAFKAQVEDPLGILAGNWTAAASPCSWVGVSCDRRGQRVTGLEFDGVPLHGSIAPQLGNLSFLSSLVLSNTSLAGPVPSELGGLPRLQNLVLPHNSLSGAIPSTLGNLTGLQSLYLDSNNFFGAIPYEFQNLRKLQSLRLSNNDLSGPIPPGLFNNTPDLRVVRLGFNRLTGAIPDSIGSLSKLEWLVLEGNLLSGPMPPAIFNMSQLQVIAITRNNLSGPIPGNESFYLPMLEVLSLNENQFEGPIPPGLSACRNLDALSLAVNNFTGPVPSWLATMPNLTRIYLSTNGLTGKIPVELSNHTELLGLDLSQNKLEGGIPQEFGQLTNLRFMSFANNRITGPIPDSIGNLSNLTTIDFYGNGLTGSVPISFGNLLNLRRIWLDRNQLSGNLDFLSAFSNCRSLNTISMANNTFTGSLPAYIGNLSTVLETFIADNNRITGSIPGTLANLTNLLTLSLSGNQLNGTIPTPITAMSNLQELNLANNSLSGTIPAEISGLTSLAKLHLDSNRLVGPIPSSISNLSQIQIMTVSRNSLSSTIPTSLWRHPKLIELDLSQNSFSGSLPEDVGKLTAITEMDLSSNQLSGDIPASVGELQMMINLNLSRNLLEGSIPDSLGKLLSIEELDFSSNALSGVIPRSLANLTYLANLNLSFNRLDGQIPEGGVFSNITLESLMGNSALCGLPREGITPCQNNSHSRSKQLLLKVILPAVVTLFILSACVYMLVRRRMNKQGKTPLPSNTDLTNYQLISYHELVRATSNFSNDNLLGAGGFGKVFKGQLDDESVIAIKVLNMQDEQASKSFDTECRALRMARHRNLVRIISTCSNLDFKALVLEYMPNGSLDDWLHSSERRHISFLQRLGIMLDVAMAMEYLHHRHFEVVLHFDLKPSNILLDMDMTAHVADFGISKLLVGDDNSMVLTSMPGTVGYMAPEFGSTGKASRRSDVYSFGIVLLEVFTRKKPTDSMFADELGLRQWVNKAFPYELSSVTDRGLLQDEPKFGIDKMSDSSMDSSTILNACLASIIELGLVCSRTAPDERMPMDDVVVRLNKIKSNYCSQVRK >SECCE1Rv1G0023550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:307303610:307307633:1 gene:SECCE1Rv1G0023550 transcript:SECCE1Rv1G0023550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEQQQRALMLFDSRTKKAEMFRPLVEGKVSMYVCGVTPYDFSHVGHGRAYVAFDVLYRYLKYLGYEVEYVRNFTDIDDKIIRRANEAGETATSLSSRFIDEFLRDMVELQCLPPTREPRVTEHIKQIIDLITKIVVKGKAYTIEGDGVYFSVDNFPEYLSLSGRSLDHNLPGSRVAVDTRKRNPADFALWKSAKEGEPFWESPWGRGRPGWHIECSAMSGHYLGQAFDIHGGGKDLIFPHHENELAQSRAANPESEVKCWMHNGFVNNNGQKMAKADKNFFTIRDIIALYHPMALRLFLMRTHYRSDVNHSDAGLEFASGRVYYIYQTLQDSEDVISLYREDKLDVPVPAGDRKVVDDSHESFLRHMSNDLHTTGALDELMKPVRAMNNNLRDLKKLQQKLEQQKKKEAGKKQQQKKKQHEVEEKQQEHYVQALVALHGEVTNKLSILGLMTSSSLAEVVKQLKEKALKRAGMSEEELQQVIEQRSAARKKKEFAESDRIRAELSARGIALMDEPAGTLWKPSEPGLAEEA >SECCEUnv1G0527760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1852745:1856438:1 gene:SECCEUnv1G0527760 transcript:SECCEUnv1G0527760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLAEMAKEEVAMLIGVSDGINDLSIKLGDLKNFLADADRRNITDESVRGWVGELKRAMYLATDIVDLCQLKAMEQGQTKDRGCLNPLLFCMRNPLHAHDIGTRIKLLNQNLDDICKRGSSFNFIKLEAYQNQKPTRSPVTHRKTDSLIERFGVVGEKIEEDTRALVEVLTKEVVGDKSGHLIVVAIVGTGGIGKTTLGKKVFNDETIEGKFTKKIWLSITQDFTDVELLSTTITAIGADLPRGGGTNDKALLVDALKNAIKDKKFFLVLDDLWDVDAWKKHLMTPFSYGGPGSRVLITTRHDTVARSMKAFHPYHHVEKLAPQDAWSLLKKQVLTSEENEPEVDMLEDIGFQIVAKCDGLPLAIKVMGGLLCKKEKTRRDWQDVLNDDIWSVSQMSKELNYAIYLSYEDLSPYIKQCFLHFSLKPKKTVINDTEIVSMWVGEGLVEGDTYSRSLEEGNKYYKELIVRNLIEVDPDYPSQLICNMHDVIRSFAQFVARDETLVGHNGDTIKTNLRSPNYLRLSIETKGVGSDEFEWRYLREQKLLRSLILTGNLKSQPGDSLTIFPNLRLLHIDSANIAALVESMYQLKHLRYLALKRTDMCRLPENIHEMKFLQHISLDGCESFTKLPDSIIKLQGLRYLDIDDTRVSTIPRGFRALTNLSSLFGFPAYTDGDWCSLEELGSLSQLSCLSLESLENVSSALLAAKARIHAKKQLTYLGLKCGGRVGNGFVPGEVSDSEEQIIEAVFDVLCPQPCIEHINIKRYFGRRLPGWMTSTAMVPLESLKLLVLEDLPCCTELPDGLCRLPYLEWLKVDRAPVIKCVGPEFVQQYNQLHRPSYQLAATFPKLQKLQFHGMEEWEEWVWETEVKAMPLLEYLLISHCKLGRMPPGLMSHAMALKKLTIWRVQCLHSLENFVSVVDLHLYGIPELAKISNLPKLQKLEISYCPKLKTLKEMDALRRLQLTVPFWENQLPVYLQTVNPSHLLLTCNLEVLTSMADGESSSDWDKFSHIKHVQAYAEDGADEKKWHVFYTSESCNIQTNIHQDRLVEEED >SECCE1Rv1G0036530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:510357226:510358455:-1 gene:SECCE1Rv1G0036530 transcript:SECCE1Rv1G0036530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSETSSLLHGPVAFKDADDGTIPVHPPTEYAAAVASLPTNQNHASNSKLKRRCYQGVWVREEWAPGIMAMQRSFAARPGDVVLASVPKSGTTWLKALTFATMARAACPPASPAHPLRRLNPHDCVPLVDRLFAVGRDAVLDALPSPRLMCTHMPLSVLPPSISGGPYCKIVYICRDPKDMVVSLWHFVNRAQPDISLQEMFETVCEGTSNGGPFWDHILRYWRASNGEPSRVLFLTYEQMLQDPLDKVRKLAQFLGRPFSDTEEEAGAVAEIVELCSLENLKNLEVNKKGSQGVFFKFSHDSYFRKGVVGDWVNHLTPEMAKRLDAICEEKFCGSGFTF >SECCE1Rv1G0041880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:574962732:574964911:1 gene:SECCE1Rv1G0041880 transcript:SECCE1Rv1G0041880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLHRLSGPLRRSLSTAASRPAWAMVYRISTAEESARGASLSLAPPPLPSRVTIPARAFALDALPAADRRFINVFGSSVLAASGHGLLLLGAYKNRANAFEMFEGQAPTAAPFEVLDQVYERWCCSDPMRLARFVCNPVTGEMARLPDFDGTEDTFAASTGLLTQADGGHGPPKRYAAAQLSMVDRGRRFLLRRLTSEMGKWDELVLPSPLPPGRRMHMNHEVLDFGGRLWWVDVSWGAVNVDPFSDRPELCPVELPGGSMLPNQQSAIEMWQLLLHRRMGVSDGRLRFVEVSQEEPFRVKSFTLDGESGRWTLEHQVPWRTLYSDAKATPLIAAIDPLDPDSLYFTVLVGKASCLSVDLSVPVAKALCLGVDMCSKRPTESTALGGGGIHPSKCNSSFVLPCVLPSFLGSSSIPGKNDLTKNKTLADILVRSDKQPNA >SECCE5Rv1G0371010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845286613:845288452:1 gene:SECCE5Rv1G0371010 transcript:SECCE5Rv1G0371010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHMTVPSDAQLIKAQADLQRHSLTYLTSMALRCAIELGIPTAIHRLGGTASLPDLMAALSLPAPKAPFLSRVLRLLAKSEALACTEDGVYSLTPLSYILVDGVLIDGEARQIAFPLAVTSRYHMESGLGLADWFKNDRALPVPSPFEHVHAAAPFDESMTLLDHETDKLFYEALAAHDHMGIGTVVRECHGLFNGLESLTDCCGGDGTTARAIVKAFPHIKCNVLDLPKVIEKVPSDGIVNYVAGDLFHTVPPAQAVMLKLVLHFWSDEDCINILAQCKKAIPSREMGGKVIIIDIVLGSSLETITETELLMDMLMFICTRGRQRDEKEWSTIFKKAGFSDYKIVKKLGHRGVIEVYP >SECCE6Rv1G0392030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:246504646:246506873:1 gene:SECCE6Rv1G0392030 transcript:SECCE6Rv1G0392030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWAPEIKVYSRRNPRKNPKPPPEDPTPDPNPPLEPSPDPNPHPEPCPIPNSDPLEQTLASFRRSIRRSEAEDAVPPLSDPAAPTSHPPLSPTSSPHGQPAAASGDFSSGLARGGAAVPNGHADIDIRAAADDKARKRRVRSELRRQLASELDQVRVLSKRLKAAGEALAVEASQPVPRPPPLLTAGYVHPQFSGSDAVTSVPAPVTASVPPVRSFLARGPLIVPEVHTESLDKEKRTPKANQLYQNSEFLLAKDRIPPSDSHGRKKTKHHKKKHRSSSAHGACYNAEQRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFAIIKHPMDLGTIKGQLTRGHYRDPKEFANDVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIEAEVDYLASCPPLPKKFPPPPIDLRLLERSDSLKHHMALDSKSRPISHTPISVRTPSLKKPKAKDLDKRDMTIDEKRKLSNNLQNLPPEKLDIVVQIIKNKNLSVRQHEDEIEVEIDSMDAETLWELDRFVANFKKNLSKQKRKAERAMLARQDVELRALHAAQQTSQQPNIGEKSPKLNLMVGEQLATSVPDQNNNNGPSASRSSSSSSSSSDSDSSSSDSDSDSSSTDGSNAANSS >SECCE2Rv1G0065250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:7592026:7592589:1 gene:SECCE2Rv1G0065250 transcript:SECCE2Rv1G0065250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVLLLLILAPLCVSVTARLKCPGVPHNGVVATCYHGCGVKLIYDLCIHTMQQGHIDMSPSHKEETTVYAILVLSSAVESMYTTSNMLTYQLQKNASISVQERMFYGTCLTDYVAALNSLYHSHDVMLPNCFFKGINGDYLSALANLNSCRDRFIGPVMFTSLVYPLVLADRNKAVLAYSIGKLLL >SECCE5Rv1G0368670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:830424165:830427166:1 gene:SECCE5Rv1G0368670 transcript:SECCE5Rv1G0368670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMDYFRSCWGARSRAGRRGKKGSDAAGRQDGLLWYKDAGQAATGDFSMAVVQANNLLEDQSQVESGSLSMADPGPQGTFVGVYDGHGGPETSRFINDNMFHHLRRFATEHKCMSADVIRKAFQATEDGFLSVVSKEWSMKPQIAAVGSCCLVGVICSGTLYIANAGDSRAVLGRLVKATGQVVAMQLSAEHNACYEEVRQELQSSHPHDPQIVVLKHNVWRVKGLIQISRSIGDVYLKRPEYNRSPLHSKFRLRETFKKPILSSEPAIAVHQIQPSDQFVIFASDGLWEHLSNQEAVDLVQSNPRNGIARKLVKAAMQEAAKKREMRYSDLKKIERGVRRHFHDDITVVVVFLDASAGSRAGWSKSPSVSVRGGGVSVPANSLAPFSAPAMVSSTY >SECCE2Rv1G0116190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:761821883:761822516:1 gene:SECCE2Rv1G0116190 transcript:SECCE2Rv1G0116190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMAKPAASEPKPKKPSAAKPKAAAVGASHPTYFEMIKEAIAALKDRTGSSSVAIAKYIEEKHGKSLPANFKKMLSVQLRSAASKGKLVKVKASYKLSDAAKKGAPKPKPAAKPVKDAAKTKKAAVKPKKAAAAGTKRKAPEKKKLVSKAKKSPAAKAKAKPKTVRSPAAKKARKVAAA >SECCE6Rv1G0436810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:779344007:779345455:1 gene:SECCE6Rv1G0436810 transcript:SECCE6Rv1G0436810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACKKARAEATAVVSSDRLSSLPPELKGDILSRLNVEEAVKTSTLSSTWRDAWTNMPKIFLRDGNLARTKFVTLVDMMLSLHNGTVEMFDISGSKTYHDEFGRWMRMLSRIRPRSVTIRLNSGPGYRIPSCLFSIVDLRVLHLQNCVISLPRVFQGFKRLTHLDLKNFSSTDMDIQNLVSFCPVLSYLKLASFEGINYLNVQAPKLKRLHVFGDFEDINLDAPNLEAATLSLAHEAKAHQSVPIAHDKESHVKKSLGDLSGIKTLGISGIFMKYLPKWCILTKFPAVFHRLEHIYLVICFWDQRQVLATCLLFQNAPNLKKLDMWDHSSSTLDQDQASIHELNMQVQLDHLVRASVKDFRGLDCEVNFLAKLLSWAPALEEVKIEWTGKTECSMVLAKLLALPRVSPRAKVIVTFL >SECCE6Rv1G0418230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:661804688:661805191:1 gene:SECCE6Rv1G0418230 transcript:SECCE6Rv1G0418230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASRPSGPVLSIPCYRSASPARVKLASGGATRSPAKSVSVSSSSSSSPAGAASKSRRSCMCSPTNHPGSFRCGLHKERKPAAPTGNSKPRSPSSMRSAGSQCTGSALVRLVPMESGHWARRALTPSTSAQQSLQRRRASRSRPRPSRLSAVSMAGDRAGDNHQ >SECCE3Rv1G0167840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:209016710:209034553:1 gene:SECCE3Rv1G0167840 transcript:SECCE3Rv1G0167840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQIRVWFPCFNPYSMATPPPLLRLHPHRILPRLRRFTSGRLLLRLHPKSLYATASSSQAAPTPPPQPPPHGPSLRRGRPTTGQPPEAPLSFSRTFDLAALRVPAAACAALERRLRGHLLNWPRVRNIARLPNDDGDGLLSPPTPPRSSSALPPTAVARREKLAREFNCRGFVEFPILAKMSRPTGRTRRETKGRAAGGKETKYEKDKVYVVEVLEQGGENDEDEWKGLVGEEGFGKGAWRGEPTRLLLLDEGHAKRRVDELPEAVKVVLQHEDKQDGPFAYELVQCQLTLFYDYWPMNEVLEALLPEGIIIPAGFETVGHIAHLNLRDEHLPYKTLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEILAGHDSLVTTVIENGLRFQVDLATVYWNSRLSTERQRLVNNIFQNSDVVCDVFSGVGPIAISAAKKVKYVYANDLNPAAVEYLEQNIVLNKLERKIEVFNMDARRFVSAMYSSQHVHPVTQVVMNLPKDAAEFLDVFRGILRNRPTGHVVMPKIHVYGFSKAEDPEYDFHERINLALCDNVTGVEMQRVRLVAPGKWMLCASFTLPESVASAKKNYITC >SECCE2Rv1G0090010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:266595489:266599098:1 gene:SECCE2Rv1G0090010 transcript:SECCE2Rv1G0090010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTYQPPMNGQHAPQPQASGAPPPPLQQAPPPQQYYQAPPQYYQQGPPPAMWGHPQQHMPPQYAPPPQQYAPQPPQQYAPPQQHYAPPPPQYGAQMAGGPPPGGEDIRSLWIGDLQYWMDETYLYNAFAPVGPQQVTSVKIIRNKHTGQPEGYGFIEFQSRAAAEYALGTFNGQMMPNVEQVFKLNWASCSAGDKRGDDGSDHTIFVGDLAADVTDAMLEEIFKASYPSVKGANVVTDRATGRSKGYGFVRFGDINEQTRAMTEMNGVQLSTRQMRIGPAANKKNMGTQQTYSTNGYQSSQVNEAANDPNNTTIFVGGLDSNIDENYLRQVFTPYGEVGYVKIPVGKRCGFVQFTSRACAEQAISALNGSQIGSSNVRLSWGRSQNKQPPQQDANQGNGNSYYGYQQGPDAYYGAPNAQDPSMQNYGYSGYGSYEQQQQPSQQQQPPQQQPPQQQQQQPPQQ >SECCE2Rv1G0089300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:255468614:255472128:-1 gene:SECCE2Rv1G0089300 transcript:SECCE2Rv1G0089300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHRGPSPSYLAIAAALLLAFLLPPLAASQWQNCGKNDNFNQNSTYQANIRALSVTLPKNASSSRTLFAFDSVGTVPDIVYALALCRGDANASACGACVSNGFKDAQQLCPYNKVAAVYYDLCYLGFSNKNILSATDGDNNALLLMNSNNVTGRVKVFDAAVGMLINATADYAATNSSRRFATGEEGFETIDKVKPKIYGLAQCRPDMSPADCRSCLADIITYIPQYYTGRQGARTVGLRCNYRYEQYSFFTGPSMLQLPAPSVGAGPAPAPLPVGGGSTRNGTVRILAIALPIVAAILAAVVICFCLWRRKSKPARKALPSYPKNPEDIQSIDSLILDLSTLRAATDNFDERNKLGEGGFGVVYKGILPDNEEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLLGVCLEEQEKLLAYEYMPNKSLDTILFDPDRSSQLDWGMRFRIVNGIARGLQYLHEDSQLKIIHRDLKASNVLLDSEFNPKISDFGLARLFGSDQSHDVTNRVVGTYGYMAPEYAMRGNYSIKSDVFSFGVLILEIVTGKRNSVAYDSEHAVDLLSLVWEHWTMGTIVEIMDSSMTNHSPGDQMLKCMHIGLLCVQEDPADRPMMSVVTVMLSSSTVSLQAPSRPAFCIQKSGMNYSGMHTDPYPGVSHSTSRSPMSPNEVSITELEPR >SECCE7Rv1G0498270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:637523924:637526942:-1 gene:SECCE7Rv1G0498270 transcript:SECCE7Rv1G0498270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWSLHEAPPNTPAQGLRASAPLSSKVPVPSAQLNLYRAAVALRAVLLALFLRFRVIHPVPDAYGLWLTGVACESWLALSWLAAQLPKLSPTNRATHLHRLDKDDCTGGERRLAGVDVFVSAADAAREPPLATANTVLSVLAADYPAGRLACYVSDDGADMLLFEALFETARLARRWVPFCRRHGVEPRAPEPYFARNLDYLRDKVAPSFVKERRAMKREYEEFKVRINYLAAKTSKVPEEGWLMSDGTPWPGNNSRDHPAMIQVLLAHSADDRDADGVELPRLFYVSREKKPSFQHHKKAGAMNALLRVSAVLTNGAYVLNLDYDHYVNNSGALREAMCFLMDPEAGNRTCFVQFPLRPAASAADAEGDRWGSRERDSVFFDIDMKCLDGIQGPVYVGSGCCFNRKALYGFEPAVADDASDLETSRRRWCCFGGRERRKLRLRRNMSVVPLLDSADDAEETVGARRRRLRSYHAALERHFGHSSLFIASAFEEKGPSAMAVPATPLLKEAIHVVSCAYEERTRWGKEVGWIYGAGAGLATGFRMHARGWASAYCVPARPAFRSYAGAGPSDMLAGASRRASAAMGILLSRHCPVWAGGGSMRPLQRLAYVNCVAYPLTSIPLTVYCALSAVCLLTGKFIFPEDVDDYDGFLLVLLLSSVIASVALELKWSGVSLRAWWRNEKLWLVIGTSAGLAAVFQGILRACTGIDVGFSTDTAAAVSDRPAEDDDDEAMTPDSSRLLLWTNLLVPPISVLLGNLAGVLVAVSYGMDHGYELWGPLGWKLVFAAWVVTHLQGFLRGLLSRRERAPTLAVLWSVLFISILSLMWVNTQTYYGPSAPSATHQPIF >SECCE3Rv1G0184880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:667795871:667803200:1 gene:SECCE3Rv1G0184880 transcript:SECCE3Rv1G0184880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGDGGGGGGVEEATDFEVGIVVPKLSRAAAGEDCVARLVRELEGAGLLVERVRGVPAEFIKLSAPMGILGRAASEMQMKKLTYIGMELQFEWEQVAAFVRQPDGSLFSWRERFTCFRYLIYGIVNKTNSEISLKFDDEEFYWKPNESLLRRLEDEEVVKLVFPLHEEVKRKQLLRNWALNWHDFTWQPIDEVYSYFGTKIATYFAFLGMYTRWLFFPAVSGLATQLIDFGSLQWLVLPAFFVFVISWAVFFLQFWKRKNSALLARWGINYSFAEYKASANELEPIRHYLSIERVEEKNFDDAPADKRRLQRNEWSGVLLRIRNNAIIVLGIICLQLPFELAYAHLYEKTETEALRYVLTAIYLVAIQYYTRIGGKVSVILIKYENNQGEQSSADSLIYKVFGLYFMQSYIGLFYHASLYRDILTLRKVLIQRLVVSQVLENLIENSIPYLKYSYKKYRAVHKKRQERESPSGKSVRLSTRVEKEYLKPSYTASIGEELEDGLFDDFLELALQFGMIMMFACAFPLIFCFAALNNATEIRADALKLLVMLKRPVPRAAATIGAWLNIFQFLIVMAICTNCLLLVCLYDEEGKWRIEPGLAAILIMEHALLLVKFGFSHFVPEEPAWVRANRVRYVAQAQTVCSQQLLRSISKLDRKWE >SECCE3Rv1G0151740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:41142397:41142786:-1 gene:SECCE3Rv1G0151740 transcript:SECCE3Rv1G0151740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEFGSCFGGGRRDDYGGRARSRGGGYWSAPVPAPVYQQEQPPAVVHEAYHDGAGKADHTDAAGHAAYLQDEAGSETPPRHPAWHHNKVADDAYTPRRHEAAADDHRDNNAAMDYHHHHPRQVLATSR >SECCE2Rv1G0130770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:878409950:878411533:1 gene:SECCE2Rv1G0130770 transcript:SECCE2Rv1G0130770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMRREIDSYWSQYDSSYSLGNCYSIHKVPQHIFVLDRISYEPIILSIGPYHHGKECLQSMEKEKWHCLNFILRSNCSRNLKDYLKVIARCEKRARRCYSEEIKMDKKSFVQMLLLDGCFVMFSLNGIMGSETQNPGEHEQCNTGQEIRSETSCSRVDLSCERKEEYTHRISIEECQRSSSNNLELPEVSIGRPSEEKRLKQSEDQNVYTGIWGWCNSSLAHDFLLVENQIPFYIVEEIYGLFIGKEVETRFTDRIAECMESILRHYPTSIYEFDRPKQFHHLLHLCHMYFRPSQKFEVNHESASPRQKPEENEQLLQSQQLDCMTSENISNPWRRWRRATQYHEAGITLKKREYDQHNRHSLLDVKFSNGVIEIPLLPIDENTEALFKNLIVLEQTDPRYGSDLNYYIFFISQLVSTPDDAALLVKEGIIVHMMDSDEELSSLFTRLVKKVIINTESSYLKSLCQTLESHYQSRLNRWMAWLWLNYFSNPWVVLAVLAAVITLVCTVVQTIFTVLVYIKPPEQL >SECCE1Rv1G0006200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:31119535:31121034:-1 gene:SECCE1Rv1G0006200 transcript:SECCE1Rv1G0006200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLHRVRPRTSGGEDRLSVLHDDLILLIVSRLDTRTVLSMAVLARRWVRIARELSVLDIRVSDILPPEYERTVALRQRNLPRDDSLAGTLDGLKARCEIDTIRSFTNGIMNFLEADSGHDRHVKTLRLEFFQTYNSMCVDRLINVAVGAWGVEDLEVIVRESSGYDQTRTYSFPHNCLNLRSCLRSLTLGKYCALPPLHSYNTLTKLILRDMSASTPVAMYERVFKDCTGLQVLHLTFCCCAHTTLVVDAPCSHIKELVLEECSCLVIELRDLPMLVRLACCLTHTSNILFGSVPSLMDTNLSFSLEDDSKVAARWIDEFDSFLGMSPTMTNLVIRFIGRRTWIRPSYLERKLPHLKNLLVADLPSNWDISWTRGLLMASTFLEVLHIHVPHSEMEPDFLRGMNWSKSRNELRHHHLKKLVVIGFTQRNIWLLKYVVRVCTSLQRIVLLKDGHVRYIGLWDWRMVGEQTCPWSDDEKMVVRKMMKFFGLRPLPELILG >SECCE1Rv1G0035040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:495000454:495002448:-1 gene:SECCE1Rv1G0035040 transcript:SECCE1Rv1G0035040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRRTSAEFQGNGSLMPPSAHVIASPRGSGAPNREEHANGGGPALRGAKPRGGSSVFSASKLTGRRRPAGRMPLWRVAIFASLALNVAALALLLHPYIVTDPQHPGVVTLHHQPHACALQPGTGGEAGRAPSTGKPAVTSDSVIHLDHGDPTMFEAFWRETGDAAELVIQGWQTMGYFSDVSSVCWFMEPDFDQQVRRLHRTVGNAAVDGYHVLVGTGSTQLFTAALYALSPADAGEPTSVVSAAPCYSWYPAVTDFLRSGLFRWAGDANSFVGDAYIELVCSPNNPDGAIRDDVLGSGGAGKAVHDLGYYWPQYTPITRRADHDIMLFTMSKSTGHAGTRIGWALVKDREVARRMTKFVELNTVSVSKDSQLRAAKVLRAVSDGYDGDASASRHRLFDFGRRKMVERWRMLREAAAASGIFSLPEETSGHCNFANETAANNHAFAWLRCYREDVEDCAGFLRGHKILTRSGNQFGADPRYVRVSMLGMDDAYDMFIRRLTSLK >SECCE7Rv1G0525830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:892767631:892768913:1 gene:SECCE7Rv1G0525830 transcript:SECCE7Rv1G0525830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRASTVHEIRRERRAEGPAVVLAIGTANPINCVSQEDYPDYYFRVTKSEHLTDLKDTFKKLCKITGMGKRFFYHTEELLNVHPDLLHRASPSIDARLEIAATAAPQLAASAAVKAIAEWGRPATDITHLVVSTNSAAHAPSIDFRLASLLGLRPSVCRTMLHLNGCSGGSAALRLAKDLAENNRGARVLVACVELTVTSFRGPDKEDCFDTLISQGLFSDGAGAVIVGADAVHPVEHPLFEMVSVSQSVIPESEHLLNMRLGNSGISGNVSTGLPRLTGDNIEQCLLEALEPLGICVKWNDLFWVVHPGSRGVLDHIDVALQLDPKKLAASRTVMREHGNMLGATVIFVLDEQRRLMDKEAVGAHGWGVMVGFGPGFTAETMVLRATAGDQNKNYY >SECCE2Rv1G0108970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:671071467:671086285:-1 gene:SECCE2Rv1G0108970 transcript:SECCE2Rv1G0108970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G59410) UniProtKB/TrEMBL;Acc:F4J8A5] MGHSARKKKKKGGAGRKAAKDHTAQLEGDQTALTDELTALAAIFLEDFKITSQSPHTRFSICIRPYSDGMGFGDLNVSAILDVICFAGYPHKCPKLRIIPEKNLCKEDADRLLSLLSDQANIYSREGRVMIFDLVEAAQEFLSEIAPATDSTSTAPHLGSSTIQEITDRDVKASLDSGPYHGIFYIYNSFDLYSQLYEDNSWQRQGFDPTTDNARKNIGSQVNSNVRSKKKTVDEKSRFSADKVNAAKSLSQDNAEQQHAMKHGVVREVVPSLPAVAEETDNDSKTLSTSNGGGMADTPERSFSSVHESEDSDLADEGWNDADSAPDSGSSNAPSHVSDMFDDASQNKKRDLILVHLLRLACASKDSLSAALPVISSELCNIGVLSEWAKQLISESPAVFGETFDHVFGQQMISSECSLFWRADNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDKSPQVNEKILREVATLSRLQHQHVVRYYQAWVETEYGQRHVVNTGGSRTAESSMYSFDEISLSDAGAGNKQESTYLYIQMEYCPRTLRQDFETYSSSFNVDHAWHLFRQIVEGLAHVHSQGIIHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYIPTEGMGVSMDGTGQVGTYFYTAPEVEQKWPQINEKVDMYSAGVIFFELWHPFSTAMERHLVLTDLKQKGESPLSWSTQFPGQSILLKRLLCPSPSERPSAIELLQNDLPPRMEDEWLNDVLRMIQTPEDTYVYDRVISTIFNEDRLVAKMQCQHESSKKSTYKNDNSELLDSIIEVSKEVFKRHCAKRFQISPLHTLDGKFTENSGKTVKILTQGGEMLELCYEQRTPFVMNVAANQLSSCKRYEISWVHRRAVGHSTPYRFLQGDFDIIGGSSPITQAEVIKVALDLVRRFYNSKAIVIRLNHSKLAEAVCSWAGVPQERRQNVAEFLSSTLVQNCPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADLVLARLRGTLFYDKSACKALDDLSTFLKYLRIWSVEEHIAIDVLMPPSDYYYTDMFFQIYSKEGNPALSSHEKLLAVGGRYDMLMETAWDKTHKTKPPGAVGVSIALEKFLPNNPSSDLGLPRIENSISVLVCSKGGGGLLNERMELVAELWEANIKAQFVPQEDPSLQEQYEYASDHDIKCLVFITEAGLSQTDLVKVRHLDARKEKDVEKEEIVKFLSEAISLQFKNPTIWS >SECCE4Rv1G0271150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:759673961:759674434:1 gene:SECCE4Rv1G0271150 transcript:SECCE4Rv1G0271150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKNIAAVLFLLAALLCHLRTGDAQRSCGKSDITISAHKTGKVVGRQPEYEVAIGTSCSCPMKDVRVWCGGLEDSAVPLDPGMVEVDEGMCVLKQPVVRGSPLIFRYSSVVPVHFRVFNAAPYC >SECCE5Rv1G0360160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:767809204:767810067:-1 gene:SECCE5Rv1G0360160 transcript:SECCE5Rv1G0360160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDGATAFEIPSESDRPSTVCHFKGPEVPAAATAVRGLAHAPFPDSGVKLYFNGDPDSPGVHYARRIAYACITPPCRAADDPGPFIRLVFRTLALDLPQNFELLPPAPGCRGVPVLFRTPRDREAAARRQPFRLDGATVTLVPVRTVLDVSGGCMAHVALHRYPVEQRTGAHATDNCRRFGVVREIDPACVGAPDLSAVRVVLQLEHPREIPRELRVYYRDGSASVVPVEIVGVWACSHSYDHHGEYVPLFKQPAATSNSDVAEAPLVMAGLAECNGIGTRSSTLN >SECCE6Rv1G0398970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:429009008:429030088:1 gene:SECCE6Rv1G0398970 transcript:SECCE6Rv1G0398970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13490) UniProtKB/Swiss-Prot;Acc:Q9LJE2] MEALRVWRASSNLLGFAASRAAAAASAGRAHRFHISCCSPSAAATTKPPQDRRRRSASSSSTSDRDSIRAIRLKKVEELRGKGYEPYAYKWDRTHTTKELQERYTHLENGEVCTEASVSIAGRIVARRAFGKLVFMTIRDDSGTIQLYCEKDSLKEEQFEQLKAFIDVGDILGASGSIKKTEKGELSVYVSFFEILTKSLLPLPDKYHGLTDVDKRYRQRYIDMIANPEVADVFRTRAKAVSEIRKTMESFGFIEVETPVLQGDAGGAEARPFITHHNSLQRDLYLRIATELHLKRMLVGGLEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNLAEEIVTRCAMATTGKLKIDYQGTEISLERPWRRETMHRLVEEATGVDFNSFEDVESAKNAAKVLLGFKTESSENTSLQACSSVGHVLNEVFETVVESTLVQPTFVLDYPVEISPLAKPHRRYAGLTERFELFVCGREIGNAFSELTDPIDQRSRFENQIKQHNAKRDAMDKKVKSDESKGDDEYSYEVSLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKLQQ >SECCE4Rv1G0242770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:489191501:489222669:1 gene:SECCE4Rv1G0242770 transcript:SECCE4Rv1G0242770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPQAILPLRALPPDAPLPFPPPFHHQTPATPAATATASASVTPTPPPATPPNPTPPPVTHPHSSSSTRPPHPWEIAARAWLESFPDGRPPTEPEVDAYIDAHRPELPSLPRSQLHQRLLALRGDQVLDPDQSAFPYRFQRTDLWKPVYQWLETLEMDSLVTSKQISDWLASNPQVMDRLVEKHSKYHLIHYSQRMHLKLLKKRGKLPKTLQLSAARATFQPSAVPVAPPESVATLPKSLPPATGGFPGGSASISPGGSANRSPGVSASRLPGGSTPIKDKNMSLSKKKEALFKYELLTELQNQLTSVMLKHRRTVAIKDTDSLYMDIQKPETNILCIQEGAATASASNPADVPKIYVNEQPNAGGVVESEFGQKRKRNPIIVTPAWCYSEAATGTSEREQNSSSYSDGARSFNIWKGHVNPSSPQRSIKKNLLFCLEGREIGSNWSQVCSYGGYAGRNRERWTPFLEGWNSPAVQFEGPAVQAVRKSYLSWNATSCAYTSSAPSAQPHDRQGVRKVLDVKFHPEGLPQLVSCSNEAPNELLLFNLLSGRAIQLRGHNTKIQSTSFAVKGASVVSCGSNLLKVWDCITGSCLYTLGGDDQNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSIDTMEFCSENLLACGSDCDYGGSAVVQLWDIESPESYLSFSASDSYITSLKINPAGNTIITGSGDGTIGLFDIRACSAINHLSVGSGSEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPLSHTRDVLRSKDMRFFRPLHCLSHGKQMPTAEYTSQLPGHVDEGDQGVNATQWLHNQPVLVTASGDGSVGMWDVTLGKPCVRHIVTHNRCANAVAVAPNDEYISTGGSDQKVVLYHNRNGCAHLNWRLTYPLPGTD >SECCE1Rv1G0013680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:107325142:107325816:-1 gene:SECCE1Rv1G0013680 transcript:SECCE1Rv1G0013680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAEPPIPPSPTSPRDRVRRRQCVLALAAAAAACLAPVAVLLAVLVLAPSLLPRLLLRPHHVVPYASSAELRLLAFDPASSAVSYNLSATLRFDSPCLYCTWRYTELKATPSYAGQQLGAAAALPELTQRRGSDARDVACAGTQKVPPGRRARAVAAALAREEAEGRLSVKVAVSTVQNGARSDFACVLSFPAVSASRRGGTGSPAAAVFDGGRCVDAVPGEI >SECCE3Rv1G0212880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956948228:956953213:-1 gene:SECCE3Rv1G0212880 transcript:SECCE3Rv1G0212880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPSAGTPPPPPPFSLSSPAPTPFLPSLPPPRHRRRPRCLPVAKAAAFPPRRAPAPLPAPEQTPSTSTPASTSTPAFAAGGYRGAGPGGEDPLVSKLRTQLGVIHPLPAPPPLPSLPRSVLGLFALFFFVGAAFDKLLTLRRRRRAEREVRVNGSWPQVPTSGFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRPGIEGWIVGLLQPVVDNLQKPDYVNRVEIRQFHLGEEPLCVRNVERRTSRRANDLQYQIGIRYAGDARMALALSLKFSAVPIVVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSLFRLFNLMAIPVLSIFLTKLLTEDLPRLFVRPKKIVLDFEKGRAMGPVAGDVASDIIQNVASGIMQGVASDLVQDVQDGNKDFVGELSVTLVDARKLSFVLFGKTDPYVAMILGDQVIKSKKNSQTTVTGLPGEPIWNQDFHMLVVNPRKQKLCIQVKDTVGFTDITIGTGEVDLSSLKDTVPTDKIVTLYGGWGFFGKRSSGEVLLRLTYKAYVEDEEDETVKTEYATGYISDEDTLDFVQLNGTRRGEINGNERETFMDLLAALLVSEEFQGIVSSAETRSSRDAEQAEEPKSGDDVTAASVAVDAGPVSSNPEDRALVWLAAITSVMLLVSSNIGGSGYFNP >SECCE3Rv1G0186540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:686576271:686579213:1 gene:SECCE3Rv1G0186540 transcript:SECCE3Rv1G0186540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRGSALRKRPPAIDATRAERGGGSETGEEGREEPVSPTGRLFREPHFSCYIVSVFGLGARVDLAAIRAGLEATLARHPRFCSVQVMDELEEDARPKWVRTTVNLDDHVIVPDLEPTATSADPDRALEDYVSSLSTLPMDHSRPLWELHVLDFPTSDAAAAVVLRVHHSVGDGVSLLSLFIACTRRAADPDALPELPTTGAARRAGPVYALPSRPRHWPSSWRALAVLAAWVASLLVLAWHTLVDVARFSATATSMLRDPPTLFKGAEGVEFRPKRFVNRTLSLDDVKYVKNTMNCTVNDVLLGVTSAALSRFYFRKTGKSGRKSVKVRSTLLVNLRKTPGLHALATMMESGKDNGAEWGNRLGYMILPFHIAMHDDDPLEYVRKATKVARRKKSSMESIFTYWSASMIMKIFGIKAAASLCYGMMRNTTLSFSNMAGPTEQVVFYGHPIVYIAPSVYGHPHALTMHYQSYMNIIKLVLATEEEQFPDAHELLDDFGESLRLIREAASGKDTRHT >SECCE2Rv1G0068570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:23740035:23742592:1 gene:SECCE2Rv1G0068570 transcript:SECCE2Rv1G0068570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTPEHRLQKLSVAAGVGGGGGVDRLGALPDDVLIHILLKLCDAALAARTSVLARRWRRVWALLPELHFPAGADPDRIRAALIAHDAPALHHLFVAAIEVTPESAAAWLPIAARRLSGALHFKNRGGMSEASAGERGALNLPCFEKATKVVLDLHSIGLTLPPSGEFARLTDLELVQIQLQGPCSLSDVVSSPRCPSLLRLSVCRVRGLDKLAIQSESLLQLELSSLRTLQQLNIVALSLQKLKVHLCFTDAPNQSQSVADISAPQLVTLDWRTAYDPSSVLFGEMPHLQQLIANPLIVYGGDIFSARNRHSLMLPHHFHHISKLILILLYLPICGDERFLMEEMTKLPNITILGLVVLACGHSFGAGSFHVLKMSSGIRELTLQLVTRSDCKAFSVCKSGCICVEPSNWETEDLVLPCLKELAINDLRGTERELALVKRLFKWATMLEKVTVIFHDSIAESNCEEFRRLLLSFSRPAICMKFAHGEGLSFD >SECCE6Rv1G0417410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:657626735:657630572:1 gene:SECCE6Rv1G0417410 transcript:SECCE6Rv1G0417410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:Projected from Arabidopsis thaliana (AT4G31990) UniProtKB/TrEMBL;Acc:B9DG21] MASALSAPAASAVAAARCKVFGGGRKDGRAGCRVGVARKNTGHITMAIAVDASRFEGVPMAPPDPILGVSEAFKADTSDLKLNLGVGAYRTEELQPAVLNVVKKAEKLMLEKGENKEYLPIEGFAAFNKATADLLLGADNPVIKQGRVATLQSLSGTGSLRLAAAFIQRYFPDAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIQAAPEGSFVLLHGCAHNPTGIDPTPEQWEKLADVIEEKKHMPFFDVAYQGFASGSLDEDASSVRLFVKRGLEVFVAQSYSKNLGLYAERIGAINVICSAPEVADRVKSQLKRLARPMYSNPPIHGAKIVANVVGDPTMFGEWKEEMEQMAGRIKNVRQKLYDSLTAKDQSGKDWSFILRQIGMFSFTGLNRPQSDNMTDKWHIYMTKDGRISLAGLNLAKCEYLADAIIDSFHNVN >SECCE4Rv1G0263330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:715783196:715786332:-1 gene:SECCE4Rv1G0263330 transcript:SECCE4Rv1G0263330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGAMGPVIRKLGELLVRECNLKKRVKKGVQSLITELEMMHAVLRKVGEVPSEQLEEPVRIWAGKVRDLSCDMEDSVDDFMVRVDEGSSSKPTDMRSRVKKFLKKTTTLFGKGKALHQISDAIEEAQDLAKELGDLRKRYELNMCSTSNGATIDPRVLALQKDTGELVGLDHTRDELIKTLISEEGSSKERLETISIVGVGGLGKTTLTKAIYEKIKDKFQCAAFVPVGQNPDIRKIFKDLLYGLDKEKFKDIHNTTMDQKLLMEEISEFLVDKRYLIVIDDIWEKDIWKYIDGALYKNKLQSRVITTTRNVSVSKACLSSTDGTIHRMKPLSDEDSRILFHKRIFQSEEKCPEDLQIVSRDILKKCGGVPLAIITIASLLVSNQRVKPNHEWMDVYNSMGHGVTQSDIAKDMNRILSLSYYDLPSHLKPCLLYLSIFPEDFEIERDCLIWRWVAEGFIQCDQEETGLFEIRQSYFNELMNRSLIQPAEINDEGMVVTCRIHDMVLDLICSLSREENFISILDNAEWHAPNLQRKLRRLSLHNIKAKCQNHLFDSTRLSKVRTFAVFSPVTCDWLPSLSSFQFLRVLDLGNCGSKESSSGISLKYVGNLIHLRYLGLRKADVHELPMDIGKLQLLQTLDVRYTSIKELPASVVQLRNLICLFVNVGLRLPKGMGSLMSLEVLEQVGLSSSPHIVKELRHLTEVRTFSINCERMGVDLIDILIKSLGNLHKLQNLSISYGGRLIDHMRESWVPPPNLRRFYLWDPLYFVTLLCRLPKWVNSMSLPHLSSLTIHVEELQGDEVQIIGMLPALQFLRLYAKRVMGRLVVRADAFLSARCCMFTGFPMPPCLFPPGAMPRVQRLQFRVSVRSIASGEVDCGMGHLPSLEHVVVYLEDENSRDEEMETAKAWLRRAAEAHPKRPTIEIYDI >SECCE5Rv1G0334860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:568483361:568484265:-1 gene:SECCE5Rv1G0334860 transcript:SECCE5Rv1G0334860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCVSMNPRNLSGKLPAGDSGVLPEESQSQPQPQQHEPEHGGGGDDGEMVHFSHPEHRLARFDFPYLFLCMGCKEYGAGKRFMCQICGFQLHEFCAMAPPSLHDHPFHPKHQHLLFFEKPGGFLRCKCDICGKSVKGFSFRCACCSFDVHPCCAAMTRRMELPAHGHPLLLAPDSGAGVATSFVCQVCRRRRSGRVYQCMPCGYYLHAKCAKDMVNGLYEHGVVPPEKRNPLVAVAKVTINALFSVIGGLVEGIGEGIGEGFVENIGRSRGRSLR >SECCE1Rv1G0038610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:541459418:541470098:-1 gene:SECCE1Rv1G0038610 transcript:SECCE1Rv1G0038610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel AKT2/3 [Source:Projected from Arabidopsis thaliana (AT4G22200) UniProtKB/Swiss-Prot;Acc:Q38898] MKISSFQSTSSGGTSGASGSSTGFGSGSFNLRNLSKLMLPPLGSSLSQSTSDSDKWVVSPLDSRYRCWETFMVILVAYSAWVYPFEVAFMDARPKGGLEVADMVVDIFFAVDIVLTFFVAYIDSRTQLLVRNRRRITFRYLSTFFVMDVASTIPYQGIAYLVNGEVREGMVYSLLGLLRLWRLRKVKQFFTRLEKDIRFSYFWVRCARLIAVTLFLVHCAGCLYYLLADRYPDREKTWIGAVIPNFRQESLWIRYISSIYWSITTMTTVGYGDLHAQNNLEMIFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASNFVCRNHLPPRLQQQILAYMCLKFRAESLNQQQLMDQLPKSICKSICEHLFLPVVKDVYLFKGISRDAQLLLVTKTKPEYIPPKEDVIVQNEAADDVYIIVSGEVEIIYFNGEREEVVGKLGTMDIFGEVSALSDRPQTFTFRTRTLSQLLRLKQASLREVMQSKPDDSALIVRNFLKHQIEVHDMKDLMGESIGAGGSGNIVPCNLLTVAATGNAGFLEDLLKVGMDPDVGDSKGRTALHIAASKGYEGCVQALLMHGCNVHIKDTQGHTALWQAIAARHHKVFSHLYHVARVSNPRAGGDLLCLAARRGDVDTLRELLKHGLDVDSEDHDGATALRVALSEGQADMARFLVMNGASVDKVDLDGDGSAPRQTTVPAVELRELVKRREVGHPITIYDSAANSVTTASSSSGELRQGRYPGSTRSDSAHWPRVSIYKGHPFLRNHSSEAGKLINLPATMGGFKTIIGEKLKVDTEKALILNYEGAEIDSVDVIRDNDKLFIVTEEHMRMLASMDSSVALSHTSSVGSAVSVAHVI >SECCE7Rv1G0470230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:117698651:117702841:-1 gene:SECCE7Rv1G0470230 transcript:SECCE7Rv1G0470230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MSLPTQCPYCRSPAPARCATTHAPLSRSVSECSSCARLVLERHLHTHPFFPLLPSLHPLPLVTPDLAAAAPSSPPPNDDDDPFLPAGFVSAFSAFSLERHPVLARSASAFSGQLAELERALAVDAAASSTPDPAGPMVSVDSLRAYLQIVDVASILRLDRDIADHAFDLFKECSTATCLRNRSVEALATAALVQAIREAREPRTLQEISTASNLPQKEIGKYIKILGESLKLSQPLNSNSIAVHMPRFCNLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPATPPEKAFPTTNIYSARSSSGKDLYQDKLLDNIKQKSSEAAEPDHMVIVREDEDKKTAPPGWPPSKHEPHDLNQAGWQPNVPFSASPKLDRDNMETNIRGFNLNEESCPMDCEKPDVSMKPPFADRWPTEPKVLPSPPPSRQPLPWQIKQAAPATGSSSYPRGRETQLGLGMDFLSGRGKRSAGDGGGDGRDKEGK >SECCE6Rv1G0449190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:860404575:860405300:1 gene:SECCE6Rv1G0449190 transcript:SECCE6Rv1G0449190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYMQNVGKNIPLSKQVRYFTSTKAEMEAAWGRHEISKLLARSFFLLSIGNNDLLQSTPKSHADVVALYTTLVSNYSAAMTDLYGIGARKFGIINAGPVGCFPGVRLLNATWACHDGLNRLTSGLAAAFKSGLAAALAPSRLPGLTYSLADSFARSRAIFDNPQASGLQNGDSVYCGSGRVDAEGDCNRNAMLCSDRDAYAFWDYVHPSQRASELGAQALFDDGPTQITAPISFKQVAHEK >SECCE6Rv1G0407120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:554701282:554702612:1 gene:SECCE6Rv1G0407120 transcript:SECCE6Rv1G0407120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSNQNQVVRRAASTSGLLLILLLVAFTASNYSSLYTEQLLVAVPASSSAAAGTGSRASDSNAGAACDVARGEWVPDPAAPYYTNATCPLIDGRQDCMKYGKPGIESILRWRWQPHGCDLPRFDAAAFLRLVRGKSMAFVGDSVARNHMQSLMCLLAEVEFPEEIEPKECVHCTRKYHYREHDFTVCVFWTPFLVRWNLTRAGGQQFMDPHNVYLDEPDPEWSRDVAGYDYVVLNGAKWFTRPTVLYEGGHLLGCANVDGCEAKHNATAVAPEYAVRASFRTALRALAGFRGERVVVRTVAPPHYENGKWYDGGNCERTGPMRSNETSLPETEAAFHAAQVEEFRAASAEAGSASEGRLALMDVSEMMQMRGDAHPGQYGHWPHEKVGFGIDCVHWCLPGPVDAWSELLLHLLT >SECCE1Rv1G0018560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:200801615:200802463:1 gene:SECCE1Rv1G0018560 transcript:SECCE1Rv1G0018560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPTPPPPMANGGGGQAAPKPQMYQRPIYRPQGPAKSRRGRSCRCSFCCCFCWALLVVVLLALVAAAAGGAFYLLYRPQRPSFTVSSVRLSTFNLSSSTTTPVLTDSIQLTVTAKNPNKKLLYFYDDFSFSAATAANAVPLGAATVPGFAHDAGNTTVFTATITAAGVTVDPSGAGSDLKKSGAFSVAIDADTRAGVMVGSLKTKKMGIQVHCEGIKVTPPSPPPPPPKKVKGKNGTALAPAPALDNAETTATVSTAAHSCKVRVRVKIWKWTF >SECCE2Rv1G0088960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:250702598:250704853:1 gene:SECCE2Rv1G0088960 transcript:SECCE2Rv1G0088960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFAFVRRARRTSAAAMTAEPVPVPVAPVSAAEKRRRRPSSGSSSWKPTLVAISEDAVVAAAKADAEKAKAKPKGKPAAAKAKARASPRAVRPDYDDFRRFGAPTVLPAFAPTAFLF >SECCE4Rv1G0286840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:851068639:851080418:-1 gene:SECCE4Rv1G0286840 transcript:SECCE4Rv1G0286840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAEAAMAVLRVSRPIFRGAHDGLAFAANAAFLADGYSLCAVGPSALNAPLPADEEEVGIDGWNSMDNCYAFLYSKQEEEGKKCILVKCVVIADFLAIDAMDLEAQYKEPCNVQINVKDFFFEVQTKNYKDLYKNFTDFINILNLSLLAELNAKDAAAAQNPNVESSSSINSSGNLMLENLTTRSIEPAGSEYVVWENPSTRAIELAGSENFMWENPIIRTMEPACLIYPPVVLFGHDDTFPAPGSGFYPHSGETGGSMHVGPNDPWFFPSTPSTPLGDPGSVPPGGRYDPIGPPDVPGFEPSRFVRRSRHSGGSTHPDLEFFEPGPGFF >SECCE3Rv1G0159510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:96761426:96761953:-1 gene:SECCE3Rv1G0159510 transcript:SECCE3Rv1G0159510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLMAVGFWAAVGAAAIAGKHRSLTRRQQDELLNRELESKKELYELIGGPRTDMGRGQSSRSRGGQPSNLERIKLKADLKMEQFFEQLRQAEANRPNPVLKDPATHWPFD >SECCE1Rv1G0008680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:48624649:48628815:1 gene:SECCE1Rv1G0008680 transcript:SECCE1Rv1G0008680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVLGLSKTVVEGTLVKVKAAIDEEAKLKLAVQSDLVFITGEFEMMQSFLNVADAERIKNNAVKTWVRQLRDLAYDTEDCIELVVHLDPKPRWWRRLLVLPCLPAVSLPMDDAAAEIKELKDRVEFVSQRNMRYNLITDFGGAKSAVQQQLDRAAGTAAALDIAVEAAARKGRSLVDLTELIPKMEDRPELGVISVWGTGGDLGVASIVRKAYDDPEIRKNFQSRGWAKLTHPFDPRKILRGLLIQFCTSTAAPQKQGEILDVDSLLRMEKTVVEEGELVKQFVTHVSTHRFLVVLEGLSTMAEWDALRMYLPDMGNGSQIIVSTQHFDIASLCTGQLHKVSELRKFTADHSVCVFFKEVSGGDDPGNAMLEGHDEPELIGRNTEKGKLIEQIDEASRVISVWGIAGVGKSALVRSAYHNYLQDCPNLSGLNLSFIHGRVNVSQPFNLREFCRSLLLNLHSVPAKTKEDAVAELANMTNPVDVCRRLLSDDQQQNQCLIVIDDLQSTEEWDRIKQALSFGERCCVIIVTNDSSVAKYCSGQDQQLMFNVKGLGDEHALHLFNTVYDSVPNHITRDEDMIKQSKLMLNKCGGLPKVIVAIGRFLAKSLNWEAMNTNFIHQLENNPELASIHSLFSWLDSYFHNCPDELKPCIFYLSIFPRRHGIRRRRLVRRWIAEGYSRDTDGNLAEVNGENYFSRLVNLSMLTDAERGVNVAGTGTGTGTGRRMAMCNVNDFFREYIVSRRMEENHVFALEGRCSQTTRRTGRHLVIDQSWDGDENVFNRIEFSRLRSVTVFGPWKPFLASDKMRVLRVLDLEGTEGLTDDDIKNIVKRLPRLKFLSLRKCKNIFRLPKSLGRLRQLQTLDVRHTAIAELPATVVKLQKLQYVRAGNTPEECAGSDNMESSTSVSACFSCGPVGGHIVGVEVPCGIEKLTNLHTLGVIKATVAGLKELKKLTQLRKLGVSGINRRNHKELCDIVSGHGHLESLSIWLDKDTERIADPCSPSNDDFKPPEKLRRLKLHGHTDNLPAWVSTLKMLPDLVGPLSDAEDQ >SECCE6Rv1G0428010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:723188257:723195177:1 gene:SECCE6Rv1G0428010 transcript:SECCE6Rv1G0428010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGEKAAASAAAVGAGEAEQEREEQSVKLFVGQVPKHMTEAELAAMFKEVALVDEVTVIKDKATKASRGCCFLICPSRDEADKAVNAYHNKHTLPGAASPLQVKYADGELERLEHKLFIGMLPKNVADTELTDLFSKYGNIKDLQILRGSQQTSKAGCAFIKYEMKEQAVAAIEDLNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLQSPNMPNGRPMPQSSVFGALQMGYMPQYNGFSYQPPGTYGLMQYPLSPMQNQGPFQNMGQPVNQGSSIRGVNPELSPNSGPRSFNPMHSGSPYPAVPGMQYPGSYPGGPMNNRPFGNPHNPLKVPSANVNSIAYSPRSNGGGQTQTEGPPGANLFIYHIPQEFGDQELSDAFQRFGRVVSAKVFVDKTTGSSKCFGFVSYDNPVSAQSAIAMMNGFQLGGKKLKVQLKRDNNKHSKPF >SECCE2Rv1G0095070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:412816859:412823457:-1 gene:SECCE2Rv1G0095070 transcript:SECCE2Rv1G0095070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTVGNIVPRHQAGCTKADTSKRYLEGWHKKQSSTDDVRKSKSGYFTAFGVDLSPDNMAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPIYGFISDSIPLYGYRRRSYLILSGFLGTLSWSLMATVVNSKYGAGFSILLGSLSVAFSDVVVDSMVVERARGESQSASGSLQSLCWGSSAFGGIVSAYFSGSLVDAYGVRFVFGVTAFLPLMTSAVAVLVNEHRLTPGEHAMSVSGSGFIESSIQHIKQLWTSVKQPNIFLPTLFIFLWQATPHSESAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGIYNYFLKAVHLRKIFLVTTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLGQAAFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVTGGLVGAGLTKVFGVTRESFGNLPLLIIVCNLSSLLPLPLLGLLPEETGDSDNEETKHS >SECCE5Rv1G0376810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873948899:873951035:1 gene:SECCE5Rv1G0376810 transcript:SECCE5Rv1G0376810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATSAAGRSMEATRSSSLLPAALLLALGLLLSHRAGSSPPPDSVSCARGTSDCTLANVYGSFPDRTACRAADAAFPSTEAELVAVVAAAAAAKRKVKAAPRHSHSFPKLACPGGRDGTIISTARLNRTVSIDAATGLMTVEGGMVLRDLIRDAAAAGLALPHSPYWYGVTIGGLLATGAHGSSLWGKGSAVHEYVVGMRIVTPAPARQGFAVVRELGADHPDLDAAKVSLGVLGVVSQVTLQLQPMFKRSVTFLERDDTDLAAQVAVWGDLHEFGDMTWLPRQGKVIYREDDRVHVSSPGDGLNDYLGFRSFPTLGLIVARVAEEHVEESNDMARCLAAGLLPATFPAQAYGFTNDGSSFNGYPVVGYQHRIQASGSCIDAKDNLLRSSCPWDPRVRSLFFYNTGFSVALSKAPALIADMQRLRDLDPRALCGLDAKMGVLIRYVGASSAYLGKTEESVNFDLTYYRSYTHGRPRAHSDVIDELEQMALRKYGAVPQWGKNRNFAFDGAIAKYAKAGEFLQVKERYDPDGVFSSEWSDQVLGIGGSPNIVSKGCAIEGLCVCSHDLHCAPEHGYFCRPGKVYVEARVCSFQPVSRSEL >SECCE7Rv1G0505320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:733121742:733128031:-1 gene:SECCE7Rv1G0505320 transcript:SECCE7Rv1G0505320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADTPPLPPPAPPLGALDPEPEPAQPLEPPEVMHKTRAVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVISLNPDAGEVSQQKLLSLVADRLIDSNSSAQGKDEEYARNWEHNISDAIDLLPRLTTGIDVNVMFRKVDDFEFTPERAIFDLLDIPLYHGWIVDPQDTDTASAIGSKSYNALASGLAEYKSEKPAEEDKHVAEEETVDFAAATAAALGVPSPTVSLGKSFDESTLSDSAELQMRRGDREEDEELRRVLSLSKVESTNVVDGSVSFSTSQGPSSSNIEDTPRSESFVLEAPEVVGLSNKEEGSHDSTLQNTISDADVSVVASSESEQALTSKETEEDGKRDAALVEHLDIPVQSSESTVACPSHKSSVLDGEAPAPAPAPDLAEASKETCREHSTMQIHDTQSSDTAPQVSDTENSCDSATVTSQATPMGDTPQAYDTSPQVADTENSCDSATVTSKATPMGAIPEQDDKVVSLDTAVLASSSIQGNEPIYQGEEHVLGSGNMAFQTEEPVYEGEVVLAEQADKIAESSQCLENRAADHQWDLIDNFLQSTANQLTVYGLFCLQEGLKERELCVFFRNNHFNSMFKYNGSLYLLATDQGFFSQTDLVWQKLDEVNGDGVFVTSNFTPFTAETPRNDSWNQQQAMTTTADYIAQFDNSTLPNSSGDSDLELAIALQQQEFERQQPTPQQQQPQQQQQQQQQTQTHQTPNQSSGRPGLVVGPRQRSNVPPPARTEPKKEKCIVM >SECCE1Rv1G0060730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712025786:712027774:1 gene:SECCE1Rv1G0060730 transcript:SECCE1Rv1G0060730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRSCLLITYSPEIVDGVPLYVSSNCLPVKASKYEPAGHSFHAVALKLRGLDEKEDTETDDRSVSSDDKSQDFSAGSDNFSSKGKKKSGSGSQQQDHYALLGLGNLRFLATEDQIRKSYRDMALKHHPDKQAALLLHETTEAAKQAKKDEIESHFKAIQEAYEVLMDPTKRRIFDSTDEFDDDIPTDCAPQDFFKVFGPAFMRNGRWSVTQPIPSLGDDATPVVDVDQFYNFWYNFKSWREFPHEDEYDLEQAESREHKRWMERQNAKIQEKAKKVEYTRVRNLVDNAYRKDPRIQRRKEQEKAEKQRRKEAKYMAKKLQEEEAARAAEEERKRKEEEAKIAAAAADIRKKLKEKEKKLLRKEKSRLRTLVDPVVKESHFSLSQEDVETVCTSHDVQQLKKLCDIMEDKDTAEKARLLRGALNKEDNSSNTSKEEKIHANGVAGSAPKSTVPQGPPKSTAPQVITLGNCEKKEKQWGKEEIELLRKATLKFPKGTNKRWEVISEFLGTGRSTEEILKATKTVLLQKPDSAKAFDSFLEKRKAAPSIVSPLSTRDETAGGSTVGAGTEPSKAAEQPAASSSQTANEKTGADPVPEEAPSATDPDAWSEAQVLALVQALKAFPKDANQRWERVAASVPGKTVVQCKKKVTSMRSNFRTKKAE >SECCE2Rv1G0114900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:741461544:741463436:1 gene:SECCE2Rv1G0114900 transcript:SECCE2Rv1G0114900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 8 [Source:Projected from Arabidopsis thaliana (AT5G52510) UniProtKB/Swiss-Prot;Acc:Q9FYR7] MEPGGPWRDPRQAYVYGLGSPMQMPAQQRSDPAAAGGVLKRSLGELERWQNQRQFAMQQELYLRAVRQRTAATSPAVSPLTSADIAAVLGGVPAQPFFSGSSLGAGLASPSSTLSSLTTASRAAMPLIQQHVHRQAPFVPSSAHAQPQAHALAVARVPAPSAAGSELSILQDLEKQLLDDDDDEAEPAMSGTGSTVTSSEWEETIQRLNSITAAPSPPLYAEATPNNKNNYSAAMTGSPSNSSTSTASSSASCSPPISATTSRQLLNEAAAAIADGNLETAAANLATLKRAANPRGDAEQRLVAVMVAALSSRIVPTASASSQHLADLCGAEHHTGSQLLHDITPCFRLALDAANIAIVEAVAGHRAMHLVDFDINAPQHAALIQRLADRRVPGTSLQVTAVTDPTSPFTQSLAATLPGVGERLKKLAERAGIEYHFRMISCRAAEIEASKLGCEPGEALAVNLAFALSHVPDESVSPANPRDELLRRVRALGPQVVTLVEQELNSNTAPLATRFSDAYLHYGAILDSLDSTVSRDSADRARAEEAVANMSANAVGREGADRLERCEVFGKWRARFGMAGFRPVALAPGIADQVMARAGAPPPGLVVKPENGVLRLGWKQRVVAVASAWR >SECCE6Rv1G0425190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:705324490:705326815:1 gene:SECCE6Rv1G0425190 transcript:SECCE6Rv1G0425190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSRRRRAAKLMAKPSVKAESSLHKLKVNPDDRISKLPNDILVNILDRLGFRDVTRTSVLSRRWSQLPANLSRLRISARDFLSPQTSISNEELDEKLLRTNALLRINAVNAANAAVVKATKSTLARRDPGGCTIRLLSTTFYLRGDTPISVGHTVGNAMATLKVEKAEFTVLTQHKGRKISIDEMLKFGTRFVSFFNECHNAFAGLTRLYLENLRFRESDFVSNIPVTCKQLNYLGFYNCDTEDWITLRVEHAQLSELSIVDCRFHMVELTWVPKLTWLAFLYWLCVPELPLSLGYVPLLGVLRLSNTACNFHKNVKLSTFLHETSVRDLTLGFKCEKIWVQPECLTRRQAYVFQQLRILNLVKIPEGYDLTWTMFFLEAAPSLEELYMMVWDHPCEMEMNQEIRRQSYSENKGVEWESPTSNFKHHCLAKFILVGFQANKDYMVTHVRRVLKAAVNLQDVYLYDKLACAKCYKKVKNAHDYDVLVRSMCPGVNLPIKFPYTNEDQRSVQKRMPRGIGSLAKIHFMSSNEIKAEHGPRIGASSMVAMEDKWMLSKLGLRI >SECCE3Rv1G0208540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:933026401:933029305:-1 gene:SECCE3Rv1G0208540 transcript:SECCE3Rv1G0208540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box only protein 6 [Source:Projected from Arabidopsis thaliana (AT1G27340) UniProtKB/Swiss-Prot;Acc:Q9FZK1] MGEVAALRQLVGQVQELWDLYGAVHAHAHGPIPRWYLLDFEHGSVKDDYCGERTGYNSELLKIMEANQSPRKRPRRDRNREKSSFLNSAEAMKLDIWSEFPEDLFETVIARLPVAAIFRFRSVCRKWCSLVGSDNFSQQYSEVPQGMPWFYTITHENGNNNVAMYDPSLNKWHHPSVPLAPANIVMPVASAGGLVCLLDLSHRNFYICNPLTQSLKEIPPRSVQAWSRVSVGMVLNGRTSNEGYKVMWLRNDGNHEVYDSMQNMWSQPGEFPPSIKLPLALNFRSQPVAVGSTLYFMCSEPEGVLSYDVSTGIWIHFIIPLPLHLTDHTLAEFQGKIMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMRMTCLGNSGLLMLSLKAKRMNRLVMYNLVSKEWQKVPDCMLPCSRKKQWIACGTAFGPCPSASP >SECCE5Rv1G0329330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:515397211:515402289:1 gene:SECCE5Rv1G0329330 transcript:SECCE5Rv1G0329330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSGGADVRLVVSDLATDVIVHIGEVRFYLHKFPLLSKSSKLQKLVLKATEKGTDDVHIDDLPGGAKGFEICAKFCYGMVVTLSPHNVVAARCAAEFLGMTEDMDKGNLIFKIEVFINSSILRSWKDSIIVLQNTKALLPWSEELKVVGRCIDAIASKTSVDPANVSWSYSYNKKGVACTEIIESAGKTSVAPKDWWVEDLCELDVDLYKRVMVAIKSKGRMSPDLIGEALKAYAVRWLPDSYDALVADDYMRRNQCLVETIIWLLPSDKSSGCSCRFLLKLLKVAILVGSGDHVKEELMRRISFQLHKASVKDLLLPAASPSEGMHDVRLVHNLVQRFVARTALSHNGDFVEKSDEKMIELNFEQESTLALGELVDGYLSEVAADPDLEFSTFVDLATAVPEAARPVHDGLYYAVDAYIKEHPDINKADKKKICGLIDVKKLSTDACVHATQNDRLPLRVVVQVLFFQQLRAGSSSTVALTDSGEHACAKPAQEQSEHCERRIPRHPNKLDKQVTSLIARGEGEDRHVELRGGRNSFKDQVVGRTSFKDQVVGRNSFKDQLGGLLLQSRSRRIFDKLWSSKGHGENGKGSETSGSSQSPPSTAKPTEVKPSPLPPLRNRRYSVS >SECCEUnv1G0535680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:59738161:59739981:-1 gene:SECCEUnv1G0535680 transcript:SECCEUnv1G0535680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTGSGDGQSGSMRAHFVLVPMLAQGHTIPMTDMARLLAEHGAQVSFITTPVNASRLAGFAADVDAAGLAVQLVELHFPSAEFGLPDGCENLDMIQSTDLLSNFIYAIAALQEPLKAYLREQQRSPPSCIISDLVHWWTGDIARELGIPRLTFSGFCGFSSLVRYITFHNNVFEHVKDENELITITGFPTPLELTKAKCPGSHSIPGMEQIRKKFLEEELRSDGEVINSFQELETLYIESFEQTTRKKVWAVGPMCLCHRDNNTMVARGDKASMDETQCLQWLDSRKLSSVIFVSFGSLACTTPQQLVELGLGLEASKKPFIWVIKAGPKFPEVEEWLADGFEERVKDRGMIIRGWAPQVMILWHQAIGGFVTHCGWNSTIEGICAGVPMITWPHCAEQFLNEKLVVDVLKIGVEVGVKGVTQWGSEKQEVMVTRDAVETALNTLMDEGEVAEELRVRAKDCAIKARRAFDKEGSSYNNVRLLIQEMGNKTNACG >SECCE6Rv1G0379180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:12634085:12634831:-1 gene:SECCE6Rv1G0379180 transcript:SECCE6Rv1G0379180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMISEDGDISVLLSEPNLDEEQAVTSGSDDFLPAILESIKSNEKEVELSPEEAAWADSCFVQTSDLSDVDWGAMTQALLDTLQEPMEGSRDTTEVMLDQGTHVLSEAESHTLHVDKDTQDDNVDMEQQGNSDDDKDATEVGDVTNVIRGADENGRQMDGYTADELVSSEVIEQAESMDSIFKVWDLDVSFSEDESELELIKDLKKLLKGKPQDATYPPPGDAAKALGEITVDELVAGLSNLSLQRNQ >SECCE7Rv1G0502760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:700327836:700331883:-1 gene:SECCE7Rv1G0502760 transcript:SECCE7Rv1G0502760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQRSPAMVGGGPGSAVGSPSPATVPVRRRCEGTAMGAVTLDLRPGVGVGPFTLGMPISDAFAQIEHQPNIYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQLRYATSLIGGPSTLATFVSVYALFGPTFPGIYDKERGMYTLFYPGLSFAFPIPSQYTNFFNNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDKAVIPALPSGSLYMEEVHAKLGEELWFTIGGQHIPFGASPQDIWTELGRPCGIHQKQVDQMVIHSASDLRPRTTLCGDYFYNYFSRGIDILFDGQTHKIKKFVLHTNFPGHSDFNSYMKCNFVIYDAEAEGAQQPGNTSKNCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >SECCE4Rv1G0224120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:77328491:77329676:-1 gene:SECCE4Rv1G0224120 transcript:SECCE4Rv1G0224120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLAAFVVVVAVLAGPAACEGASICFNGWLRSSRFCPRGSRLNRRQRGASPSGLGLGYGYYNNRRSASYCPRAEGVVRGAVSEAVAANPGIGAGLIRLFFHDCFVRGCDASVLLTTTNSKNSDTEREGPPNKNSLRGFEVIDTAKAAIEAACPGTVSCADIVAFAARDASYFLSNRRINIRMPGGRYDGRESFANETDQLPGPFSNVTELQASFAAKGLTSDEMVTLSGAHTIGRARCTFFSSRFSEMDPAFAAKLRAQCNGNDNTNVNQDDVTPDVLDKQYYRNVIDRKVLFTSDAVLNSTETMRQVRENANRAGAWERKFERAMENMGKIGVKTKSDQGAEIRKVCSRVNN >SECCE5Rv1G0298340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10993936:10994334:-1 gene:SECCE5Rv1G0298340 transcript:SECCE5Rv1G0298340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLHHGHDMRRPSVPGHEAALRAVQKPPAKPWRAGGGLTPAPTPPKVYRVEPREFRDLVQRLTGAPAAAAMARQQHQHGQQLQRAPTQPVPVRPGEDAVAAGQMYAPWCSFPLMGPPASMHPGLDGHHLI >SECCE7Rv1G0484700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344680878:344681657:1 gene:SECCE7Rv1G0484700 transcript:SECCE7Rv1G0484700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTMALSSPAIKLAGASYALGGGRITMRKAAAKPAASNSPWYGPDRVLYLGPLSGEPPSYLSGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGYFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >SECCE5Rv1G0310180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:165087058:165089690:-1 gene:SECCE5Rv1G0310180 transcript:SECCE5Rv1G0310180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLAAPLLLLVLLQLPVPSQVRASPLAAAHSGRCPRPEERPPPFLQGLRRTCRTSTEGHPAEEVNGEELIRELGGKEYTAVLFYASWCPFSHRMRPIFDDLSSMYPHIKHLAVEQSNVMPTVLSRYGVRSLPSILIAHESYAFWPLVAKDLNSLVNFYSAVTGQEPVAYLGPRNWNTTERSTQYAKLWNGSVSESVKGEPYLAFSIFFLCLRMFSFFFPKFFACIKGLWTQYFRHANFGVLAKLTQLLECVPHAVDVRKMWSKWRLMVGAINMRVWASSLASVSLGGQSSPRAARLD >SECCE6Rv1G0441260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810009880:810011626:1 gene:SECCE6Rv1G0441260 transcript:SECCE6Rv1G0441260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTTTTTTKTALLRHRGCCGHAPAPTAEEDDDLAALSSAAAEGLGKEAARWAGGLPRLKRFEELPEYLRDNEFIRGGYRCEWSVRDALRSAFAWHNETLNVWTHLGGFFLFLGLAVAGQTEWRPAAAATAPGFMTMVMTSANASSWAINNSSSTAIQSAVASGMGGAVARWPRTVFVVGAMTCLSVSAAAHLLASHSRRFNRLFWQLDYAGIAVMIVSSFFPPVYYTFLGSRVAQLAYLSAITLLGVLVVAALLVPARSSPRLRHLRAGLFVSMGLSGVVPALHALWLNWGHPECYLALSLELAMGLVYATGAGFYVARVPERWRPGMFDCVGHSHQIFHVLVLVGAVTHYAATAILIGWRDALAAAALL >SECCE7Rv1G0461560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:38569628:38571052:1 gene:SECCE7Rv1G0461560 transcript:SECCE7Rv1G0461560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGKAQAARDVCAASAAFASCPHRRRSPRRAIFVDWYLVLAIGEAASEDAIKRRYRHLALQLHPDKNRHPKAEVAFKLVSEAHACLTDKTRRRAFDAERAMDFCTACHDRARAATTPCAPTRRRASDKRTPPSAAASKQQHQARVAKQSSGGRRQPTQALREVQNRLREECRVIDGCLRANAAGARRRQSFPLFDPSDRQRFPDYPHARPPPSFAQCRPFEEDELSAGQDHQSWCRDGSCESPVYQVSTTPERARTKRPW >SECCE1Rv1G0006720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:35300309:35304554:1 gene:SECCE1Rv1G0006720 transcript:SECCE1Rv1G0006720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTVIERIENTTSRQVTFSKRKSGLFKKARELGVLCDAQVGVLLFSNTGRLYHYSNTNSGMKSIIERYEHVKEGQQFMSASAEAKFWQAEGERLRQQLHNLQENHRQLLGQHLSGLGLEDLRGLENKLETSIHNIRLTKDQLMIDEIEELNEKERLVHQENIELHKKLNIIRQENIYLQNKLNGEAEVNGTITSSSSQYSTAAREDPLRLELSHPDHAERDDQKESPTLGLNISTTRTAR >SECCE6Rv1G0401600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:471259060:471259920:1 gene:SECCE6Rv1G0401600 transcript:SECCE6Rv1G0401600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKLPPLGSRPTAATSWVRSLHCKSMAADDVAATVAALPKKSHLLLRSSCASSGDTHSHRDASSCKPKLKSSSKTSSATKKSKAAKPTSVPPSPPPGPLGPLPALTELPAGHSSRQVVEIIFLSSWSPLPPAPGAAAGGAFAGEVEMLFRVHNQARAVARFEDYRAAVRARAGGASRSAADGNEMMRFSPAPPHGCSSSDSASLRVVRTFDGSGGAHASGRGPETGRRAMFLCRVIAGRVAEGPEAAGGPEAAAKEYDSVRGGKGELVVFDRRAVLPCFLIIYKL >SECCE5Rv1G0324650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:449109183:449110698:1 gene:SECCE5Rv1G0324650 transcript:SECCE5Rv1G0324650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLGLVQVDQSTVAIKETFGKFNEVLEPGCHFLPWCIGQRIVGYLSLRVKQLDVRCETKTKDNVFVTVVASVQYRALVDKASDAFYKLSNTKQQIQSYVFDVIRATVPKLELDDAFVQKDDIAKAVEEELEKAMSMYGYEIVQTLIVDIEPDVHVKRAMNEINAAARMRSAANDKAEAEKILQIKRAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDVASQIRDGLLQANTL >SECCE2Rv1G0089130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:253278792:253281395:-1 gene:SECCE2Rv1G0089130 transcript:SECCE2Rv1G0089130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMLANYVQVYVMLPLDVVSVDNKFVKGDEIRAQLKKLTEAGVDGVMIDVWWGLVEGKGPKAYDWSAYKQVFDLVHEAGLKLQAIMSFHQCGGNVGDVVNIPIPQWVRDVGATDPDIFYTNRSGTRNIEYLTLGVDDHSLFHGRTAVQMYADYMASFRENMKKFLDAGTIVDIEVGLGPAGEMRYPSYPQSQGWVFPGIGEFICYDKYLEADFKEAAAKAGHPEWELPDDAGEYNDTPEKTQFFKENGTYLTEKGKFFLSWYSNKLIKHGDKILDEANKVFLGCRVQLAIKVSGIHWWYRVPNHAAELTAGYYNLDDRDGYRTIARMLTRHHASMNFTCAEMRDSEQSEEAKSAPEELVQQVLSAGWREGLHVACENALGRYDATAYNTILRNARPKGINENGPPEHKLYGFTYLRLSNELLEGQNYATFQTFVEKMHANLGHDPTVDPVAPLERSKTEMTIEMILKAARPKLEPFPFDKNTDLPVKDHTGVGDEEFLAPV >SECCE5Rv1G0300050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:21708310:21709110:-1 gene:SECCE5Rv1G0300050 transcript:SECCE5Rv1G0300050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDVDWRPIFDRVKGSIFFVQFVPKQARLRQLQSIADTVNTVTNDELRHQTTVKGHASTGFAFYSRQTGPLIMTTAQGLSHLFNPSQPLTQETLEMMDITVLCDHQEEAIQEAKLVNADRKYATARVAGVNSSEGIIILSVENNRLKNYSDGVCTQAHPPLQISPNTPINGRECMLISWPSYMPRVVSMGETVSTSQIGVPNPFGYNMAILEVDMRTEESSSGGPLFNSNGEIIGMLHGRLSRTRSTFVAGSHLGKWLQAAHDA >SECCE6Rv1G0430010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739628858:739629766:1 gene:SECCE6Rv1G0430010 transcript:SECCE6Rv1G0430010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNNLPPASTVVRMLRANGITSVRLYAPDSAALAALGGTGISVMVGIPNNVFAGLATSAPAAAAWVGANIKAHPAVSFRYLVVGNEVAGSDTRYLVPAMENVRRALAAAGLDGAIKVTTAISQATIAVHVPPSAGEFTNASKPFLLPVLQFLKRTGAPVLANLYPYFVYTSNPRNMNLSFALFTAPGTVVRDGKYSYQNMFDASVDAVHAAAERLGVSGVDVVVSETGWPSAGGGAASVQNARMYNQNLVNHVGKGTPRRPRKVETYLFAMFNENLKENGVEQNWGLFYPNTNRVYPITFG >SECCEUnv1G0559530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:338980107:338980379:1 gene:SECCEUnv1G0559530 transcript:SECCEUnv1G0559530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGRKIFGFMVKEEKEENRGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRRRLLAYLAKKNRVRYKKLIGQLNIREQ >SECCE2Rv1G0069830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31267246:31269500:1 gene:SECCE2Rv1G0069830 transcript:SECCE2Rv1G0069830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAGLASDPKKLFSRVVDGVLAVAEQIPTESTAEYAALTLEERWDKEVQWITLMWQRRLNGILGDQMGSEGIMPTLAFLADLKRNGHHGPYMIVSSMDYHGIWAWSKAIRECTNLEEVFYLGNRQHRAQKRKEFVRKTVGPDFPIIVTTYNMVMVERKWLAKYDWKYVVVDEGPRLKKWEREMLEEVKRQPLGPMGHKLLLMREPFQNNLAELWSLLNFALPDAFASREGFDSWFDKSGKEGEDQQTEEERSALLSKLRAILRPYILRDMEEDVENTVPKKTGAKCYKTEAVQNSDAISTTCSNDHAARKGARTGGGTCQVVAAEVVGETGGEIGQDMEGNEVIKRPRKNGASEQVGGSNPTVRVQVPKPTSSELIFTALKGIPDLARTDILRAYSCLIRDDRRFESLMALPMDMRKDWLLMEIGNK >SECCE7Rv1G0484460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343883389:343884099:1 gene:SECCE7Rv1G0484460 transcript:SECCE7Rv1G0484460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALRSISLPSRHHTSEAEVQEDLHSLETSISSFITIETMCDGLRWLGDIYGAVEEIIQLPSNQVCSSQQRKILEGETECSLKLLDLCNNMQEIFVELKAIIQELQVALRKGDDATIQAKIQSYIRLVKKAGKQFKKTTRKVTSDKMDRGMVSLLINTREMSISLLESAVHLLSKQIQVPKQSLVSKAFHKKKAVVCEEQLQDLKCSIRDLESGAGHLFRKLIQSRVSLLNILSS >SECCE6Rv1G0450860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:870038768:870040416:-1 gene:SECCE6Rv1G0450860 transcript:SECCE6Rv1G0450860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMTTVFCLLLVVLALDASHVDARGTPSAARGSNNQWSSMFVFGDGFVDNGNLQKTESSRQWNYPYGSYLNSRGSATPVPTGRFSNYQIQSDFIARILGLNEAPPSYIATPRLSCDSSGMTFAFAGAGVYDVADKQVPTLAAQVNAFTTLVNAGVISRQQLHRSVALVAISGNDYMKGADVNNAFLSSFDDIDTYIGNVTTEIAKNVVSLQRLGVRKVLVNNLHPIGCWPLRTSSNNYTACDLLANYAATVHNNNIEHLMGNKNNAHILDLYTAFTDIVNHTPGEGSEQSNNFKRKLTPCCEASTKLGYCGEVSPSGERLYSLCKNPDRRFYWDMTHPTSAGWEAVTEALEEPLREFLDRDFVP >SECCE4Rv1G0249480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:583700211:583714949:-1 gene:SECCE4Rv1G0249480 transcript:SECCE4Rv1G0249480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAKVVAFVGADGLSVALAASFLRSGAIVRFYIDPKADGSAATALAEQGGGVRCASPAEATRDSALVVVLSDADGVDELFFGAQGIVKGLCKEAIVLIRSTLVPSHLEKLGQKLGDEKKGIFLLDAYIFSGLSDELKQNTVVVASGRKDVAERAGQFFSGLDKTIYFVEGEFGCSSKIRLVNDLLESIHFVASTEAMFIGVRAGIHPSIIYDIISNAAGSSRIFVEVVPKFLSEDPLLIDFLKSLKKHASYVMDTAKAVTFPLPLLAVAYQQLIHGSSAVIGCESASPLKVWEQLFGVNIIDAASQQIYDASKLADQLVMASKAAKRIGFIGLGAMGFGMASHLLKSGFSVTAYDVYKPTLARFAALGGLTKDSPEEVSRDVEILIIMVANEVQAESVLYGNAGAVSVLPAGTSIILSSTVSPGFVTQLKGRLEAECRDIKLVDAPVSGGVKRAADGTLTVIVSGTDEALHCTGRVLSALSEKLYLIKGGCGAASSVKMVNQLLAGVHIASASEAMAFGARLNLRTRRVFEIIQHARGYSWMFGNRVPHMLDNDYTPLSAVDIFVKDLGIVSHESSNLRIPLHVSSVAHQLFVSGSASGWGRYDDSAVVKVYETLTGVKVEGRPPMLNKEDVLRSLPAEWPEVPMDGLVSSASHDSKKVLVVLDDDPTGTQTVHDIEVLTEWPVEALTEQFLKLPTCFFILTNSRSMIADKAALLVKDICRNLEAAAKTVPGISYTVVLRGDSTLRGHFPEEADAVVSVLGDMDAWIICPFFLQGGRYTVDDIHYVADSERLIPAGETEFAKDAAFGYTSSNLKQWVEEKTKGRILENQVSTISISLLRKGGPDAVCQLLCSLEKGSVCIVNAASERDMNVFAAGMIQAELQGKRFLCRTAASFVSARIGIKPKPPIRPNDLGLKRNLAGGLIVVGSYVPKTTKQVDELRSQCTQSLRVIEVSVEMISLKSTEERDQEISRIVELGNAYIQSGRDTLVVTSRQLITGKTPEESLEINYKVSSALVEIVRRIDSRPRYILAKGGITSSDLATKALEARRAKVMGQALAGVPLWQLGPESRHPGVPYIVFPGNVGGNSALAEVVQNWACPSRSSTKELLLNAEKSSYAVGAFNVYNLEGIEAVIAAAEAEESPAILQVHPSALKQGGVPLVACCIAAAERASVPITVHYDHGADKHDLLGALEMGFDSVMVDGSHLTLEENILYTKNISSLAHAKGMLVEAELGRLSGTEDGLTVEEYEARFTDIAQAEQFIDETGIDALAVCIGNVHGKYPPSGPNLTLDLLKELRALTMKKGVSLVLHGASGLPQELVKECIDLGVRKFNVNTEVRNSYLQSLKKPETDLVQVMASAKEAMKAVVAEKMRLFGSAGKA >SECCE1Rv1G0016720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:141246591:141246878:-1 gene:SECCE1Rv1G0016720 transcript:SECCE1Rv1G0016720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVKILLLLSLIPLALRGASLLVVPSPSADPASRAGVLPPAQAEQWRHRRRRTVGQEAQGGRATIAPFAPRRFGGFFRDDKRFAPTGSNPLHNL >SECCE4Rv1G0272040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:765648440:765648700:1 gene:SECCE4Rv1G0272040 transcript:SECCE4Rv1G0272040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRFFTALVFCEVPLDGYGTSVLTAGAINRLVPGSGGVTKPAVASKADAQKEQGLFNGMPTAQRRPAFELAFDGVNCFDTLVMH >SECCE3Rv1G0159380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:95681984:95686797:-1 gene:SECCE3Rv1G0159380 transcript:SECCE3Rv1G0159380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPWLVLFGVLVQASVILADTNAQDTAGLTGIAASWDAKPSNWDGNDPCGDKWIGILCIQDRVTSIRLSSQSLSGTLSGDIQSLSELQYLDLSYNKDLGGSLPSSIGSLSNLQNLILVGCSFAGEIPKEIGQLSKLIFLSLNSNRFTGRIPPSLGGLSKLYWFDLADNKLTGGLPVFDGTNPGLDNLTNTKHFHFGGNQLSGTIPSQIFNSHMKLIHFLVDNNNFSGRIPPTLGLLNVLEVLRFDNNKQLSGPVPTNINNLTKLAELHLENNRLTGPLPDLTGMTALSFVDMSNNTFNASDAPAWFTALPSLTSLYLENLQIGGQLPQELFALSSIQTLKLRGNRFNGTLNIGSDFGSQLQTIDLQDNQIDELTVVGTQYNKKLILLGNPICNQGNNEQYCKTATQANPAAPPYSTSKNCSGLPSTCLPSQLLSPSCTCAVPYKGTLFFRAPSFSDLSNESYYLLLEKDMKTKFLSYKAAVDSIALDNPFFDANNNLQIGLQVFPGGKVQFGEQDISDIGFILSNQTYKPPPVFGPYYFIAQSYRVATGVPASKKSKANKLPLIVGVAAGGAVVIAVLLVVICFITRKKKKTKKTEERSQSFASLDMKSTSSSVPQLRGARTFTFAELKKITNNFSEANDIGNGGFGKVYRGTLPTGQLVAVKRSQEGSLQGSLEFRTEIELLSRVHHKNVVSLMGFCLDQGEQMLVYEYIPNGTLKESLTGKSGVRLDWKRRLRVILGTAKGIAYLHELADPPIVHRDIKSSNVLLDERLNAKVSDFGLSKLLGEDGRGQVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLLLEVITAKKPLERGRYIVREVLAALDRSKDLYGLHDLLDPVLGASPTSLGGLEQYVDLALRCVEEAGADRPSMGEAVSEIERITRMAGGVPESASESMSYASRTPRHPYGGDSPAEYSGGGLPSSRVEPK >SECCE5Rv1G0372700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:854349922:854355178:-1 gene:SECCE5Rv1G0372700 transcript:SECCE5Rv1G0372700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDMDMSLDFSWESMVLELGDADSMHLPAEDSLSGLYNDSTSSPDGATSRQTKASSLERKNIINERHRRRMLNEKLYALRRVVPNITKMDKASIIQDAIAYIEELQEQERQILADLRTDSCTAVVKADDAASTGSNAADHGVGSSPRKKMRRTTSASSINGAFSSPATHPVQILELEVTQVAEELTMVSMRHDNAHDAIAKVYEALESLCIKVISTSITAVASGIVHNMFVEVTSMISNISHPINVSYCSIDFVNENNFSDLSLTYS >SECCE4Rv1G0254930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:642933387:642933797:1 gene:SECCE4Rv1G0254930 transcript:SECCE4Rv1G0254930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPDAAVLAFYLADGCAKILVPLLCFLGSGGPSARSPAVDEAAAFLLVLLPTVYLAGVILAYFAGVVLHLATTTPTTTAAAAVSSAGPARLVAPLFALLSMLLLLIAVPFATVSIFLGADKGEIGPGFSENVLS >SECCE3Rv1G0146400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11396899:11397471:-1 gene:SECCE3Rv1G0146400 transcript:SECCE3Rv1G0146400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSNEVLPAVLAPKVTAARHQVDELIDLIAEVLAGVGFRCCAIGERNAGQIMGAGHLMAEIEEAHRVLVPAFAFVTGLLMVYESQFGDMMGTEQEVEMKNNLSEAKLAVQVMNRSLFLLGSSVSEFDLVVSNYSLLDPAACADAERSLCKAVNMCRLIQTFAGTLLFHLEAFEGIYSSGLLFRTPSNQD >SECCE5Rv1G0354120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:721960036:721962836:-1 gene:SECCE5Rv1G0354120 transcript:SECCE5Rv1G0354120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like protein [Source:Projected from Arabidopsis thaliana (AT4G34270) UniProtKB/Swiss-Prot;Acc:Q8VXY4] MATAAAWDGPTAGELKAAGAEAIPGGVRVKGWVIQSHKGPILNSASVSLFEDKLQTTHLPEMVFGESFVSIQHAQTGVKLHFNALDALKAWKQEALPPVQVPAAAKWKFRSNPADQVVLDYDYTFTTPYCGSDAVVLNQDAMQTSLDECSSLCWEDTDDRIDLVALSAKEPILFYDEVILYEDELADSGISFLTARVRVMPTGWFLLLRFWLRVDGALMRLRDTRLYCSFGSKEEAKPVVLRELCWREATFAAMSAEGYPSDSAAYADPNLVARKLPIVMQKTQKLKIPG >SECCE2Rv1G0118730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785376061:785377567:1 gene:SECCE2Rv1G0118730 transcript:SECCE2Rv1G0118730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERRETKKATMAADGQADRLSSLPDDLLHHVLSFLPVHEVVPTCVLARRWRYLWKSSPALRITGVKGCTNAEWFVNFVHNVLLLRDHRTQLDSFEIDLDERDFDFGEFLPANEEHVDRWFRHAVMCGPRVLLALRTTDGIYMDEDDYLPMLLSNVPLISQHLTRLELQMVDVYNSTLDFSGCPALVHLKIDDSDTMGDITSPSLQHLSISLSYFQTGAFRTRIRTPNLVSLELIDVMRRAPVLVEKMPLLVSASVVLCSHCFDSCSKNDCGDCDDLECYGCHSSQSGADDRRGEFVLLEGLSEVTHLELSVDPKVFIVNRDFKLSPTFRKLKTLLLSKWCPDIASDLNILSCFLKHSPILEKLTLQLSEVHKVPVETQRSYTPPDQPLECSHLKIVEIKCHEADERANKVYNILSTHGIPLEKVNIHQASGS >SECCE4Rv1G0256520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:657391484:657393255:1 gene:SECCE4Rv1G0256520 transcript:SECCE4Rv1G0256520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCIARSSATAAVRVGVVLDLTSDLGRKSHTCISMAVDDFYHKHPNYATRVELRLKNSRGDLVKVAHAAEELVDKNAQVQAIISSQTTPEAAELFAGIEEHSNIPILSSSATTSPASSSSVWSRFLVRTAPHFSSQAAPIAAALEAFAWRAVVLLHEDSPYGIAILPALVHAFQGSYELTDSVAVSGDATDSRLDAALLALKAMPTKVYVMHMPPALAARLFRRAVVAGMMSEGYVWIATAGVGNEADSLPNPGDIDYMQGVVSVRPYVQATEQVRSFWRRFKARFRQENPGLEHDDPTVPVTLLWLYDTTWAAAAAAEVTFRTAQPMAFIDALLVTKFDGLAGKFGLVNGQLQVSAYEIVNIVGNGARTVGFWTQELGISTSLYHTSARKEPLKQILWPGETAAVPIGWNKSPAGRSLRVGVPVRRGFSEFVEISTDPSISADRVSGYCIDVFNAVMARLDYPVAYYVLPASQRQHSVRCTSEPGA >SECCE6Rv1G0423810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697496960:697498402:-1 gene:SECCE6Rv1G0423810 transcript:SECCE6Rv1G0423810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERLPLRPIRPTKGKGGLTSTIQPARLTTILRLLSLLLVSCGLASVRCSSSTTTVHDNSTDMISLLDFKRAITNDSRQPLRSWHVGVPLCSWKGVVCSGRKHPGRVTQLNLDDLGLSGPISPSLGNLTFLRSLVLSINGFTGVIPPLNRLQRLEYLDLRSNSLRGIIPDTLTNCSNLRNLFLYSNLLIGEVPSGIGHLSNLVSLLLGENNLTGEIPPSLKNISRLGYILLAENKLTGTIPDELGKLPNLSELDLAENRLSGGIPETLYKYNQSSLRYIFLDSNMLGKTLPPNFGDALLNLRQLRLEDNNFEGHLPASLGNISGLFLLDMSFNNFVGQVPSSFGNLGLLKQLSLRQNNLSGFIPRELGGLQHLTNLYLSDNNLEGRVP >SECCE4Rv1G0271420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:760883575:760891163:1 gene:SECCE4Rv1G0271420 transcript:SECCE4Rv1G0271420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFAKPENALKRAEELIHVGQKQAALQALHDLITSRRYKSWQKPLEKIMMKYVELCVDLRQGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMQLSNEKAEEARNQAQALEDALDVVDLEADKRPEDLMLSYVRGEKGKERSDREFVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRATEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPETCQLYLDTRVEQLKIATELSLWQEAFRSVEDIHGLMSMVKRTPKPSVLVIYYAKLTEIFWISESHLYHAYAWLKLFNLQKSFNKKLTQKDLQLLASSVLLAALSVTPYDHKYGASHLELENEKDRSLRMANLFNFSFDSKRENREMVSRASLLSELAAKGVISCASQEVKDLYNLMEHEFLPLDLASKVQPLLSKISTIGGKLSAASSVPEIQLSQYQSSLEKLTTLRVLQQASRIFQSMKIDMLSRMIPFFDFNVVEKMAVDAVKHNFVAMKVNHLSGAVHFGKMDIESDALGSHLCVFTDSLNKARSLIHPPVHNKQSKLENFSLAGVVEKEHKRLLARKSIIEKRKEDLERQILEKEKVEESKRLSIQKKSADEERERLLKDQRLRQQQRISQEIKDKDKRAAEKIIEDFQKINKKGEKIHIEGDMTKQSAMEVVRTRQMRERDEMEKKLQKLAKKMDHLERAKRQEEAPLIEEAFQKRLQDEKILHEQEQLREIELSKQHHVGDLQEKNRLSRLLEHKNAFQERIVQRREAEFSSLRKEREERISQLISSRKRERETVRKLMYYLNMEEQRIQRVREEEEARKREEEERKKREEAEMKLKLDAIAAIQREKEREVEEKKEKERREALLRAVDPPDARPAAAIAPPAAAPGSNKFVPRHRRGAEGSSQRTAIAPEAADRWDARQEAPHARDVRPIRQDALPLPEADRWSRRPLRQDAPPARQEAPPARQPDGAPPAGSTTDRWRPGGGSRPSANSSSASSSSSGWRKN >SECCE6Rv1G0420770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:679945933:679946298:1 gene:SECCE6Rv1G0420770 transcript:SECCE6Rv1G0420770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMHMLLLAIVFLVIASDVLMEASADISINPIACMSNITPSPTPCDNQSCNKTCVERLGYFAKGECVARGCMCRFCTYWPPRESTNGH >SECCE2Rv1G0088430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:244938523:244939725:-1 gene:SECCE2Rv1G0088430 transcript:SECCE2Rv1G0088430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPVTDDLLAEIFLLLPTPADLVRASVACIAFRRLVTDRAFLRRFRSLHARPFLGFINHNGFHPARPPHASAPAARAVSLAADFTYSFLPSHDSWIVRDVRDGRVLLDRTREDDAGEDSPVFTELAVCDPLHRRCLQLPPIPDDLAASVEHPLRVELERWCEPFLAPPGEDEAEETSFRVIWMAQCKTKLVAFVFSSSIGEWRAVASQGWGDLLVGTGVSTASSKSPVFFGRQYACGCFYWVMDWRQKLLMLDTRRMEFSIADLPPGCRRPPIAVVDAGEGRPGMFAVREHDADGTFDLYYTIRQNEGQSFNQWQMKKTIALESGYRYYLRGATERYLLLLRSEDDSASPSSLEMSDLECFSLDVKTLQLESICRLKHHILSAHIYTNFPPSLSSPTI >SECCE2Rv1G0082370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:150477331:150477885:-1 gene:SECCE2Rv1G0082370 transcript:SECCE2Rv1G0082370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPALQLALVIALFLSAPAPAVLAAGGSKAIRIRLYIHERFEGANATVASPLLRSPLGGNATFGEPGVIDDELRAGPRPGSELVGRFQGFFVGTDRAGPSYLSTVTLVFTAGERRGSTLTVQGQYHFDFDGAPVERAVVGGTGEFRMARGYSFMKVVSAPTPETVVFRIDLFVLLPRSGHYF >SECCE6Rv1G0421680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:685256876:685258384:1 gene:SECCE6Rv1G0421680 transcript:SECCE6Rv1G0421680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLVLLLTSPLVLSLLAFLLFNILVSKKRSNVSARTVLLLPPSPPSLPVFGHLRLLGNLPHRSLRSLAASYGPVMYLRLGRVPTVVACSAAAAEEAMKTRDLAFASRPKLFMVDRFYYGTGGIGFAPYGEHWRQARRVCVTHMLNPHRLLSFRRVRGEEVAALVHRVRRACSIVNLSDNLIVYSNTVISRATFGDTENGIGSDEEGARLRKVFAEIEELLGTVPMGEKVPWLRLVDVVTGLERKTRRAFAEMDGLLERVITNHRQRRGGGDFVDVLLGADELDTNAIKAIILDMLAAATDSTFTLLEWAMAELINHPHEMRKLQEEVRTAVGDAGGVTEDHLHDLRYLKAVVKETLRLHPPTPLLLPRETLEDTQLLGYHVPAGTRVIIHAWAIGRDPATWGDRAEEFLPQRFLEYTHEMGQDFAFLPFGAGRRGCPAIRFAMPSNELALASLVYHFDWELPGGREPPVDMTELHGLSVRLKTALLLVAKPWSGSCGAVE >SECCE1Rv1G0039780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:552900860:552903380:1 gene:SECCE1Rv1G0039780 transcript:SECCE1Rv1G0039780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATTAMTIDFLRARLLSERSVSRAAKDRADQLANRVAELEEQLRAVTAQRREAERAAAEVLAILDSQGFSDAADSGSDDDAGGPPEAPDHGGGGGARGEADDALSGSELGGPAGAPRPGGLSWKGRAASPGADRRHPHLKARQLRQRHGHGHGHRRSYFYLLAADSSPKYQPGQSCRKIRRKEPRLQTEGGEGKGNAAEGLEEDQGKSDCTDEQHDLDGEAGRDGRGSCGGSEYEKGGEMERVLENQAALIGQYEAQENAQREWEKKFNGSRDSTSDDVGRDNKLNQNEKAWGQRGRAAQIMKKDLSGINSRSECLLNESAFGFSTNAPNVSAIGKCEGPDNDFERATAVVASVDDELRARKDELVHKSYTETIEASGNNLGRSASSPQKSYHSSPNAVHNKGQGDGNSDSGSSYHGNARSYEHYITTPSLGSPLSDTPKSKVSEWSSSCFHNHTDNQIDMQSPASDDVGGVLAALQRAKMSLREKLGRASPPRQDMLALPAPEDHYTDDDLQVNNTELPLCISQRLSQEILALPASEDYLSRISLPGDDAKVPVAPPGLFRLPTDSFPQNEVCSADGYGSKFSLTSSQQTVFSRNPASHIMLTSSRPQYGSGFSPNPYYDLHNSM >SECCE2Rv1G0132450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:891940916:891944964:1 gene:SECCE2Rv1G0132450 transcript:SECCE2Rv1G0132450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKIAVGAANWLVGKVLTKLFDPLVSAYMDSSELGGNFLTAKNQLQYTQGLLSASVGRDVGDDPGLRGLLGTLSKKADEAEDVLDELHYFMIQDEVDGTKEAAAEVGGGIKGHSVHGRHVARYTLGNCLPCFSSPRTLGDDPPAVAAAEVGSAIKGHSIHGRHVARYTLGNCLSCFSSPRTQGDDPPAVFDAATTSEPHSEDMPSTDSDDDDVDDHVDIKLPFNRVAMSKKIKSVIEEMNSICLLVSDLLKVKTANHSSIALSTHVTIERPPTGSIVPQDRKLYGRNDIFEQTVNALTSGTYHDKTLSVLPFVGPGGIGKTTFTQHLYNDERIKGHFPVRVWVCVSTNFDVIRLTREILGCISETNANTYETQNLDQLQRAIAEKLDSKRFLVVLDDMWTCKSERDWENLLAPFTIGGTKGSMVLVSTRLPSVAHMVKTTDPVELHGLEPDAFFALFQSCIFGQTKPRLYDDDLVNVARDIAKKLKGSPLAANTVGRLLKKNISWQYWMGILEKNEWQSAKNDDDILPSLQISYNYLPFHLQKCFFYCALFPEDYKFYDLEKITNFWIAMGIIDSNCQNEKNYLEELVDNGFLIKDSDVRGQYYVMHDLLHELSRNVSSQECLNISSLSFRVNNIPQSIQHLSITVEGKYDENFGQEICKLKSVIDIGNLRTLMIFGSHNLETPNILNDAFEGIKSLRVLFIPRFPVNLPKSFSSLIHLQYLTLMSAPMHFRYSPRTVPRFYHLKFLELYSWEDCMSFFPKSISRLVSLRRIYSPREFHSRIPEVGKMKWLQVVREFHVKKENVGFELSQLGELTELVGQLAIYNLETVASKKEATDAKLKDKRNLKELKLVWGAGQQITDGDVLEGLQPPPNLRGLGIINYGCAAGPSWLCSDISIKRLESLHLRAISWDTLPPFEKLPHLTNLKLENIAGMRVFGPGFGGLTERSFMQLKAVHIGNMPELEEWIGGPNSPLFSRLESIRCFCCPLLSSFSFLECCTNLCELHIAACPELLSQLPPLPHISTLTEFSVGSWSGSLSCGGKEVRASCYEGALAFQNMDRVENIYIHVVPHVSLSVLDLQKMKSLRKLFATGCGSMFSAEWFDPVVLEELAAHDRSLDAEVAAGLIPEVAMSNSLHSFQLEQMEVDNISAVLIAPICRRLAATLNTLWFYRDEVTETVTEEQEQALNLLTSLQSLHFISCKLRSLPRLYGLSSLREFSISYCDGIQSLPPKEDLPASLLVLDVSGCSREIKEQAEKLKEANPCLSVEVMSVKGY >SECCE6Rv1G0384290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:61919486:61922122:1 gene:SECCE6Rv1G0384290 transcript:SECCE6Rv1G0384290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQKLFYISLFLLVCTAKEINTEAEALLRWKSSLIRANSLSSWSISSPTCSWFGVTCDTAGHVTELRLPIVGLHGTLHALYSPVLQNLNVLDLSTNNLGGVVPANISLFRTLTVLNLSSNNLVGAIPYQLSKLPMIIKLDLGNNNLTNPEYAKFSAMSTLKLLSLANNNLSGTFPQFILNCTHARMWFLDLSGNAFTGPLPDSLPEIVPRLRYLNLSINLFSGSIPCSFSRLQKLEILTLKRNNLTGGIPEMLGMIPGLRYLSLSVNPLGGSIPASLGQLQLLETLGIGYASLVSAIPPELGNLTSLRDMVLAHNRLFGSLPPSFAMMRKLQTFIIEGNSISGTIPQEMFTNWTKLKHFDVSDNLLAGSIPSQISKWKELLCLLLSHNNFTGSIPVEVGSMPNLQALDLSKNRLTGIMPSNIGNASSLKLLRVSFNRLEGEIPATISLLVNLVALFLSDNRFTGIGPNLDSKQLPVVKVAKDSGFLEKSLCVFCQLTLLQILDLSNNQLFGELPACLWNLKDLQFLDLSSNAFAGVVPTSAYYNSSLSLLHLSNNKFTGCFPAVLKNFKSLVILDLGNNNMSGALPTWIGESNPLLRILRLRSNMFHGSIPRQLLKLSHLQLLDLAENTFVGSITENFISFSSMSQASMMQPVTTTYIELRPFRFAGDGSIDILWKRREYTFEETDLFVTGIDLSGNSLSGEIPSELTNLRGIQLLNVSRNNLSGNIPKDIGNLKLLESLDLSWNKLSGSIPPSMSNLKFLSSLNLSNNRLSGEIPTGSQLQTLDDPSIYSDNLGLCGPPLNFACTNSSGTTTPADGAKEHHHELDTLWLYYSVIAGTVFGFWVWFGALFFCKTWRFAFCSCIDALHQKFILKMKRT >SECCE2Rv1G0065740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:9923732:9926992:1 gene:SECCE2Rv1G0065740 transcript:SECCE2Rv1G0065740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNGKAKSGSGAAYTINLETFSKRLKVFYDHWNGNKSDLWASSDAIAIATPPPSEDLRYLKSTALDVWLLGYEFPETIIVFMQKQIHFLCSQKKANLIGTLKDAASEAVGSDIVLHVKSKNGDGIDLMDDILRAVSAQSKSDTPVVGHIAKEAPEGKLLETWAEKLAGGSVQLADVTHGFSELFAVKDATEIICVKKAAYLTSSVMKNFVVPTMEKVIDEERKVSHSSLMDDTEKVILDPLKAKVKLKPENIDICYPPVFQSGGKFDLRPGASSNDDYLYYDPASIIICAIGSRYSNYCSNVARTFLIDATPAQSKAYETLLKAQEAALAACKPGNQMSAVFKAAVAVFEKNAPELLPHLTKSAGTGIGLEFRESGLNLNAKNDRLIKEGMIFNVNLGLSNIQAETNNEKTKQFSLLLADTALVNDKAAEILTNCSKAVKDVAYSFNEDEEEVPKPKRAKVEPNGVEALPSKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGGGSGNGDGRGPSRNSNELVAYKNVNDVPYSRELVIQVDQRNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDKDLKSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQQASNKTKQMRLNDVWIRPPFGGRGRKLTGTLEAHVNGFRYSTSRADERVDIMYGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTVGGSRRSALDPDEIEEEQRERDRKNRINMEFQNYINKVNDHWSQPQFKGLDLEFDIPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVTLGEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFVDDGGWEFLNMEASDSEAEETEESDQGYEPSDAEPESESEEEDSDSASLVESDEDEEEDSDEDSEEEKGKTWDELEREATNADRDHGAESDSEEERRRRKVKTFSKSGAPPQRGPSSSSKHAPPPHRGGSSSGNKSRPLPSSSKGGPPSKKPKFR >SECCE7Rv1G0515540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839874833:839876506:1 gene:SECCE7Rv1G0515540 transcript:SECCE7Rv1G0515540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADASFPQWGGCSLQGLNIEVRPGLEKDALPSGGGGGGIRILRHFWGEGPVAEAEHGIPVYTGYSEARALIGEGGTADLTRLSRQAQGMVAEMFASITVDGMDELEEAAAPSPPRPRVVQLRLSPELALWKSTQHAIGNVLPPKGKALRQASPQVLAELGATDWPAALTTNNALFGGCMSNMLIGAADVRTMFSNYVTDMAVNYEYGYSHVFPTLHGLVQDALADTHALSTPYGRQRREAVAVGLPYIQDKIALEVVHKTRLKDRSAQMDRRAAQVIYLFDSSVLGIAADAIARGFDAGAVMSDLVLSINSHDVIDVGSDLVNSEIMNSFLNVADIAASGVVSEPALRAIYDAYAATAARAFTQRWHEPSARMVDNEIAWQIGNDRHMLFRRALLGWPKARKSPARPQREADFDEVFDADFRTTGFSRPLDPEYACDGEETCNHVRRFLRQRQDEDQQQLGALWWSLVTGPLEYVRQGEVDEQREEKLAESVRLQLFQLFSKGLVDEMAWLLAHASHHAWQVNYLYEAAMFGSILDGGALTGKLDRAEEGEDVHLG >SECCE7Rv1G0500490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:668146192:668153006:-1 gene:SECCE7Rv1G0500490 transcript:SECCE7Rv1G0500490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSMAAAHDRDDPSLRLRRADGGSSGVHGEANPQEQPQRQHEMPCYRASAPAHRRVKESPLSSDAIFRQSHAGLLNLCIVVLIAVNSRLIIENLMKYGLLIRAGFWFSARSLRDWPLLMCCLTLPIFPVAALMTEKWAQRNLIRDHVSILLHIIITTTVLIYPVVVILKCESAVLSGFVLMFIASITWLKLVSFAHTNYDIRVLSQNIEKGATHGSSIDEENIKGPTINSVVYFMLAPTLCYQPSYPRTAFIRKGWVTRQLIKCIVFTGLMGFIIEQYINPIVQNSKHPLKGNFLDAIERVLKLSVPTLYVWLCMFYSFFHLWLNILAELLRFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIVRHIYFPCIRNGLSKGCAILIAFLVSAVFHELCIAVPCHIFKLWAFSGIMFQIPLLFLTKYLQDKFKNTMVGNMIFWFFFSIVGQPMCVLLYYHDVMNRHAQTNG >SECCE6Rv1G0398600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:423421947:423422963:1 gene:SECCE6Rv1G0398600 transcript:SECCE6Rv1G0398600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGKAKNSSASGGQLPPQISHLVPVQGETYELLSSYTTTHPARVDTEQPVGQSATPAKEPEQLGPSTRRLVFSLADALDDLVNSAICLEKLKDTGVAVTPDGRIKFVKAVFVERKDLPSLTEFKIRVAENYYDLAVIITRYLSPVSHCIPAEVTHLLYLMRDKAWEMRYLITHHSATLPLENRLDLFTIMHSYVWDVLRKTDPGGYEYVMANLEYDPDWRNAVVNNEHLQKYYAGRGASYESNAQLQGSARGTMNEHRQVMRFIHDGATHPLEHAADSSAPNGMLYNSMELGHMYHANFARLAYSMQAALHAIDRLRCVEIRSLFLNKYKEYQEIR >SECCE7Rv1G0499320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:653122614:653123744:-1 gene:SECCE7Rv1G0499320 transcript:SECCE7Rv1G0499320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLLNVVVKNTSTSVHSVRRIDPYKHLFYESGGEPAASIANKAKDCAMQILSLPEPAVSFSPSPTTLPNMGGMDLFALMGGGEGRIVGANVAGESMLYDADERLFLHLPWINEPKGWNPVCLPIPIPGAAENSLYVMERYLGASMDAYGSSEDYTVSCFEVLEHGPRTGGLEVREMHRGWRWRVLPPPPFILEPDYQPSPVTSYTSMLNKTNRCSTIYMSCEDARAGTYCFDTSRNVEQWRHVGEWTLPFHGEAKYIPEFNLWFGFSADSHKHLCALDLSAMEEDKQPPPILQHFEDPNPPKEEDWCATSSELVYLGDGKFCVAKTMEGDDTSGEEVAVLTGIQILRSDGNGLRMIKHKSACYSFVEDVIDWVL >SECCE1Rv1G0050340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:645886472:645888589:1 gene:SECCE1Rv1G0050340 transcript:SECCE1Rv1G0050340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAEPAAAPRLLLGPPLIRAARPSPNSAAAPGDASHPFLDLLDAAFNAPSEAALKAALKPRRVLTENCSATYANSGNPCLDFFFQVVPDTPADRVRQLLAAAWAQDALTALRLACNLRGVRGTGKSDKEGFYAAALWMHEHHPRTLACNVAALAEFGYLKDFPELLFRLLRGADVRAVAKAGAEADKARRKAKGLATQREDLRARLASRKRARELAPVPAKATFGDFLSAALSKAGKGSKPVEVVPVQEPAEVQKPAAMEVDQKKTTAAGKPRRMSKKVRTVAKLAVQSLETYYGDRAYRFLFDAVADFFAALLTSDLQQLASGGKKKKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSDPELTLLPDEHYAYRVLHRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKFLFKKHDEERFDKYLEDVEAGKAKIAAGALLPHEIAAAAYRGQDDNVSELQWRRMVDDLRSKGSLRNCISVCDVSGSMSGTPMEVCIALGVLTSELSEEPWAGKVITFSENPEIHLIKGKTLRQKMDFVRRMHWGGSTNFQGVFDQILRTAVEASLAPEKMVRTVFVYSDMEFNMASGSAYAGFYSRRESVPWETDYEVICKKFRDAGYGDVVPQIIFWNLRDSQSTPVTSTQPGVAMVSGFSKNFLKIFLKNDGVVNPEAIMMEAIAGEEYQKLVVYD >SECCE3Rv1G0204440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:894697979:894699596:1 gene:SECCE3Rv1G0204440 transcript:SECCE3Rv1G0204440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVVATYYYPPMEVAAAELGHTAGSKLDDDGRNKRTGTMWTASSHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYFTSSLLADCYRSGDQSTGKRNYTYMDAVNANLSGIKVKICGVLQYANIVGVAIGYTIAASISMLAIKRANCFHGNGHADPCKVSSVPYMIIFGVAQVFFSQIPDFDQISWLSMLAAAMSFTYSSIGLGLGIVQVIANGGMKGSLTGISIGTVTPMQKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESTVMKRATMVSVAVTTVFYMLCGCMGYAAFGDAAPGNLLTGFGFYEPFWLLDVANVAIVIHLVGAYQVYCQPLFAFVEKWAAKRWPESTYVTGEVEVPLFRTYKVNLFRATWRTAFVVATTVVSMMLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPRWSTRWVCLQMLSLGCLAISLAAAAGSIAGIKSDLKVYHPFKT >SECCE7Rv1G0464260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59854264:59854629:-1 gene:SECCE7Rv1G0464260 transcript:SECCE7Rv1G0464260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRLLAAAVLAALLAGAMCAVRVTFTVEKGSDAKKLVLKIGYARPGDSLAEVELRQHGSEEWQPLTKKGGLWEVSCSQDLVGPFNFRFMSKNGMRNVFDDVFSTDFKIGKTYFPEY >SECCE6Rv1G0429500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736123444:736125015:-1 gene:SECCE6Rv1G0429500 transcript:SECCE6Rv1G0429500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMVKSAIFGEGVSWIFSSIAAASKTEDKQDLEETRGGGLDRLEMARIKMQAALQTSKKWQITDTSLLHWRKKLKCTAQDCDEAAHRCRQLSREEDEAEQVVRKSSFPRRVAHATKAFISSRVGRKNDPCSVGSATVQRFERLADSAGEFMRYVQLGGTPRHHLFFDPLIGHIFAGKSLLYHVFHPGGQFHLFSIRSMSFEDRGIEAMVTFIYRDCKAPENNFRVGFMLRVSESTDIIGTTIKCLRLVTPHFKSTAEIVINKITQLPTQDFFSVPKPLEVANSYIEHWDHIQTTLTRWFRPDPLCCQGYKLHHDMPLCGGGGSGNKLRLSTMFPEPVYQVYLQRQISVSEYNNLILTSTTQTGNADTCTSLENFPPLKLGILFIPHHSLEDPESTSKGSAIEAIDGEKQHLTHVNVHPDQLDEILLPKAIDYLYHNTKATNYQICWTSNHGSAHLFVENTRLAGPCIAIRSIRRDIHNMLGQKKQDQQIKKVQLKQIAKDFLKLWAVRSSERVRSLFLPWIG >SECCE4Rv1G0252670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:617019203:617019976:-1 gene:SECCE4Rv1G0252670 transcript:SECCE4Rv1G0252670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGVLPPAPLPLPQVVAHGVFQINTKVAALRHLCDALGTPKDTPALRVRLRSTRAEAARLARITSHNLKQAAAAAGDGGTEGSTSPCSKLAMDFEAALSELRKVQQRIVAAERQVTSCAAAAGGTFAGHEQCAGQTQQLLQLLSHGTEVEELEAVIDERERGIRETEQVITEINGMFRDLYALVDEQACSVDHVVCDIESAAATTSQAEEEVSALAVETDRSSSTSSSSTKYLLMFVVGIFLFIFLLLLVG >SECCE2Rv1G0121840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:811579544:811580843:-1 gene:SECCE2Rv1G0121840 transcript:SECCE2Rv1G0121840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRALRRMSIPTRRSRSADFHNFSERRRRDKINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITTDPAAQLMQMPPSEPPRPFQITHANPPQRESDFLSQMQNHLHPSDQPQINFLRPPKLQLYTPEQRGGLGSSSGHNGGWIPERNSSYNFME >SECCE1Rv1G0053480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:669867849:669869837:-1 gene:SECCE1Rv1G0053480 transcript:SECCE1Rv1G0053480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARVRTARGYAGGGNESVSVGDGMSIFGQSQSQSIDVRRRGKPRRRATLPKVVKNVSSDVKQVARSGSGTDRLPHRRWAVSPEKEKARVDPELFAGKTLEQLVEPDKERARAVAAAEPELPAVVAKKQLERQGSRRSELLKGPRANVAGLAVDVGGARPMEPSGGATYAEVMRELGRVKRELRELQREVKAAREAKAGTAMAEEDAASASSRSPGSIVAHGAKERPDANHEANQARVAFELAGAGRSSARDTEEEATRWTPPTMGLQRGAAMSRASVSSELEAWLTAASSDDRRLRHGFGHSSDSEITDEGHDSSPSLQAAEAKLDMARAELESAKGESLQFTASMERTRTEAARLAEEIGRLEEQEKKAGAQVRQLEAALRDAKCRLGAVTAADEMAGEILADLEATLRQLEEETEAAEKEKALMEQESLRVQDDADSVDAEIAAAEQRIRGSIRELEAARASEAAATERLRAAVESAAMERASVAPRRSGNVTLPRFEYEYLAGRAEVVRAVADKKVAAAEAWVDARRAGEKEMIMRAEAIERELGLGEPEAEDDESAAGQQRPRDGLQRAHTSRRAVMVKGSSAATSRRKKMPPSPLARHPQAQSLAIRSYLKLVGGKCTRP >SECCE4Rv1G0271310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:760287018:760287489:1 gene:SECCE4Rv1G0271310 transcript:SECCE4Rv1G0271310.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKNIAAVLFLLAALLCHLRTGDAQRSCGKSDITISARKTGKVVGRQPEYEVAIGTSCSCPMKDVRVWCGGLEDSAVPLDPGMVEVDEGMCVLKQPVVRGSPLILRYSSVVAVHFRVFNAAPYC >SECCEUnv1G0531010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:15657159:15659271:-1 gene:SECCEUnv1G0531010 transcript:SECCEUnv1G0531010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPNPGSEPALRIYGPRHASGYPSPEEDSESRSYLLHKIGSFYRKARRRLRGQAHGLCLGLLDPVSNVVINSTVILHHPHKRDQEDLQRRSLDGLVVFLTRMFPNLAEGLVVRYLRLAKADALIAACVVASDHGIKRFHACEAAPALVNTALKCAGLAAGHPDADRLVRAWRNVSSRVDDALSLLDLLRQSQSPRGRSRVVRELASMSMVTEGPPQAREVAVRCAWQLAEASLLRRPRSMPQRHVGLLNRTLQDMIHGHYLEALARLPAGPRFHRSLIKAGHCYGPLDPVSNIIVNTVWHHAAFPPSMKLEQNVIGTRSLHRVENRSLYGLASFLCTRYHGFGFHQAVRCLLEADASLVLTDPSIDPAAATLRHVRDAHNPPNAGLEEAFLAAAIAACHPNPDAQAKLLTSCKEMLGPALSLLRPGSGKLSSHDLQRLAKLLSPESIYDHESEAALPPVSLKSYPLADLADLYTVVFKGVNVLLNKYRRMPNGDPSYELHTICGVNDRVGGPAACPPHERDRCHVNFLATLKSPCGGDGDPTLFFAEIHSDGTYDSFCCPVPPPPPCAAQIRCAYCEAMGTRIVHPVGIDFRGRGSEFEVMACEKDPYRREDATLRIINHRRLMAENVHGKEKEDILYDGVDGHKNKYDNVSSLSVEEAMLLMPLCRYL >SECCE1Rv1G0014120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:110329616:110336383:-1 gene:SECCE1Rv1G0014120 transcript:SECCE1Rv1G0014120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHERKTVDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYISSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPALREVGLSCFRDLVYQEIKGKVKSAVISLIDQEREGEQIDRALLKNVLDIFVEIGLGSMECYENDFEDFLLKDTADYYSIKAQTWIVEDSCPDYMLKAEECLKREKERVAHYLHSSSEPKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVEDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKDIVGLQEQVFVRKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFISTHPELNPGIDLAVTVLTTGFWPTYKSFDINLPAEMVRCVEVFKEFYQTRTKHRKLTWIYSLGICHITAKFEVKTIELIVTTYQAALLLLFNGADKLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILTKEPSNRSISPNDVFEFNSKFTDKMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQTLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKENPNVYRYLA >SECCE2Rv1G0135400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:906692542:906696810:1 gene:SECCE2Rv1G0135400 transcript:SECCE2Rv1G0135400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DJ-1 homolog D [Source:Projected from Arabidopsis thaliana (AT3G02720) UniProtKB/Swiss-Prot;Acc:Q9M8R4] MTLSWHIAPAARSVPRRGFQSPLRPGLVGFDFGEIRSVSRAPWGVSAAMAARRVLLLCGDYMEDYEAMVPFQALQAYGVSVDAVCPGKKAGDACPTAVHKPIGHQTYAESKGHNFALNASFDEIDAVGYDGLVIPGGRAPEYLAMDEKVLALVRKFSDAKKAIASVCHGQLILAAAGVVRDRTCTAYPAVKPVLVAAGAKWVEADTMKKCVVDGNLVTAAAYDGHPEFISLFVKALGGSVAGSDKRILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGPGDKCPTAIHDFEGDQTYSEKPGHDFALNASFDSVDAESYDALVIPGGRAPEYLALNEKVLSLAKGFMDKGKPVASICHGQQILAAAGVLQGRKCTAYPAVKLNVVLGGGTWLEPEPIHRCFTDGNLVTGAAWPAHPEFVAQLMALLGIKVSFA >SECCE7Rv1G0525900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893260059:893261345:-1 gene:SECCE7Rv1G0525900 transcript:SECCE7Rv1G0525900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRASTVHEIRRERRAEGPAVVLAIGTANPINCVSQEDYPDYYFRVTKSEHLTDLKDTFKKLCKITGMGKRFFYHTEELLKVHPDLLHRASPSIDARLEIAVTAAPQLAASAAVKAIAEWGRPATDITHLVVSTNSAAHAPSVDFRLASLLGLRPSVCRTMLHLNGCSGGSAAMRLAKDLAENNRGARVLVVCVELTVTSFRGPDKEDCFDTLISQGLFSDGAGAVIVGADAVHPVEHPLFEMVSVSQAMIPESDHLLNMRLGNSGISGNVSTGLPRLTGDNIEQCLLEALEPLGIRVKWNDLFWVVHPGSRGVLDHIDVALQLDPKKLAASRTVMREHGNMLGATVIFVLDEQRRLMEKEAVGAHEWGVMVGFGPGFTAETMVLRATAGDQNKNYY >SECCE1Rv1G0038940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:543948426:543954418:-1 gene:SECCE1Rv1G0038940 transcript:SECCE1Rv1G0038940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGEDSATELLLRAAALVPAERYALAALLLASAFLYRFLELHVLGDLLRGLRGGRVALTFHPDSQVYHRVAAKCRSLHGRYLATPWLASPHLQTLFLGIWGRPPSVTYRRQLYTVRDGGTIALDWLLASDWKAADVSSCDGTISSDDSTPIVVVVPGLTSDSTAAYVKHLVFSMANNGWNVVVGNHRGLGGISITSDCFYNGGWTEDIREVVNYLHQKYPEAPLFTVGTSLGANILVKYLGEEGESTPVAGAASICSPWDLLVTSRFISRKLVQRCYDKALAIGLKGYAKLHQPVLARLANWEAITSSRSTREFDHHATCVVAKYETVDTFYRKCSCANYIGNVSVPLLCISALDDPLCTREAIPWDECRANKNIVLATTPNGGHLGFFQGLTAGRLWWVEPVSEFFSALHDSPCMHQQKPQEHGLQSPLESSIDKGPYVNLMEDGMVAAVTNEDTNIHESLDKHKQIVSEMEGSDVNVQQNGITSVLQDESHSAVKNTGGTEDNVPSAQGPVGSQEQREELSTDKIRDAIAPVKKSINQLIRSQGRSVWWLAYIAVVTSWPLLGTLGFFLYRKKFRNSSPAKRLLRG >SECCE4Rv1G0272580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:770712234:770724836:1 gene:SECCE4Rv1G0272580 transcript:SECCE4Rv1G0272580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELAAVSSLLLRVIRDEALLLGGVRKDVQFIWEEMESMQGFLSHLERTVPTGGEYKEQIIPWKNQVRQLAEDCNYCIDLYMSRGNPEIHHARGGVLSYVWWLPWFVQKMAAQHRAAVQLRELKDRARDIGDRRLRYAAEIPAKGATLSSSTHAATTSVAYEDSEEDGDDQGVAAMTMADSTWAFLQSSTPEDNVRVQLAKWMIEDVARRDTSKEKLIPSIAIVAQDKKEALAVAKEASAMWGKGYTHRRSVLVDIPAVHIYSELLRTEDILYYILRVLQSTESKPEEQDDQFGLLEDLHTDKMKRSIYSEKYMMFDEIDENIHAMKVDYKISRIMETIEEMKHGLLQLHLNRENMDVLESCKYEPIGVFLRALWMLKIKPYPFEFECSTTQSQARKLTTHCHEDIIKKTAKKLEQHMELICLTKTHYEQILREVFYPVSLQESRQATATRFADQNREMVGKKLHELQENKSGIKLAKPELEYMSLMTADTAACISIPDALIEETMIKIQQLLSKMEHKLKIKRITDKINDCLKTQGCQDQILVILKLDDDYVSGWEETRNEFSLLGRIAGALILTFEVNRAEARQYCCPRREPIDYSLIGLYRDTALELTKMEMAEDSRQILLDILDSCKSNEFCMKIFVHALYANPKRSIEELCELCKVLQQVSDNSMNNIAKKMLKFSYNDLPKDYKSCFLYLAIFPPRQPIRRSTLIGRWVVEGLVTKEDWESSVRHANQCFDVLVTRWLVYPDDTSSTGQVKSCVIGDQVHGFITKIAKKHGILEKRLSHHLARYFSIFNHLRLRSSDRIDKFFTKLYEESSRVSLIKVLDLEGCQCFGGKNRCYLNDICSKMLLLKYLSLRGTNVTQLPSEINNLRELEVLDIRETKVPATATVKVLLLKLKRLLAGRIVLSSGASSTELSSVAIPDKVEKMVNMEVLSNVKARNRQDLKDIGKLWHLRKLGVVIENKEPHLKNFLRAISDLHECLKSLSITLPSTEDNKDFIPYVLEHYKNTPKLLESLSITGTTHTVQLLRLLTRDNDQLQLGKVTLSGTRLSQADLKVLAKLPKLICLRLRDNAYIDSNLNFIEDEFKNLKCFLIEGSNITVLSFGGGAHKLEKIVLCSTDGLSISGVEDLLELKEVELKNCNKLLLFDKAKNISKVTLCHTSVSQYEVEILAKMPNMRNLVLKDIFCVQSQLILYKGDFPKLNLLRVDFSVIPKIIITDGSAPKLEKIIWSFTKDTVGTLSISCIDILPNLKELELNGDFVPREVEEALKKHKNKPKFTHNKPEYQYQEAGNIPEKKDPRRFSLFRKQED >SECCE1Rv1G0005020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:22878762:22883741:-1 gene:SECCE1Rv1G0005020 transcript:SECCE1Rv1G0005020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVTASQGALGPLLVKLTALLADECRRLKGVRREIRSLQSELTCMHGALKKYTKLQDPDDQVKEWISLVRELAYDTEDCFDKFIHHLGKGGHAAGFKEFFHEMARRLKTLGARRGIANQIDDLKFHIKEVKELKTSYKLDDIACSISGHAIVDPRLAALFAEEAHLVGIDGPRDDLAKWMVQEENKHHRKILSIVGFGGLGKTTLANEVYHKIQGHFYCHAFVSVSQKPDTKKIIKDVISQVSKNEAFTKDIDIWDEKKSIAKLRELLKDKRYLIIIDDIWSIMAWNAIKCAFPENNKSSRIVATTRIFEVARSCCPGPDDQIYEMKPLSNDHSKILFFKRIFGTEDCYIDMLKEVSNAILKKCGGLPLAIISISGLLANKPCVKEEWEKVKWSIGTDLNKKQSLEGMKNILSLSYNDLPPNLKTCLLYLCNFPEDYVIDRERLVRRWIAEGFISEERGQSRQEVAENYFYELINKSMVQPVDNGYDGKVRACRLHDMMLELIISKSIEENFITIVSGRQTVWENSHCFIRRLSIQHIDQELAYELAKKDLSHVRSLTVTSPSCIKHLPGLVEFESLRVLDLQDCLEIDEYVRNGVEKLFQLKYMSLRNTDLSRVPSGITVLHDLETLDLWDTNIKQLPPGIVQLIKLQHLLIGNKGLIEMEIPVGIGNMTKLREIAGCFTITKSSVSALEELGKLINLEVLQVQVIMYVLKDDESQKYKSHAEMFLSSLCKLGSCKLQTICISGNNLYLFDLIDSWSPPPSGLQKFEMTVDYYLSRLLSWIAPAVLTSLAYLDIKLSEVTVEDLRVLGELPALLSLGLRTKRVQKDKLLLQRGGFRCLKELFVFGVDAGTSLFEEGALPMLEKLDLSFFVSVTKGGGFFLGIEHLQCLKFFNVRFKYKWATCPECKAAAIAIRNEACLHPKHPRVILYMETSANAVMAFDVLSLDTSSGWWC >SECCEUnv1G0543650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:117692179:117692816:-1 gene:SECCEUnv1G0543650 transcript:SECCEUnv1G0543650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSTMRPRCADQEDMPNKWVSARLDKTKEKEVKTPSCWCGDECKVKSPPPLCKYFTWIDHEVPEDVKKDQLQDCLRRQRLFEESLQRAEDEERRERERKERKKREEERARKEKAAREEERARKLARARDAQEEDEARDKKGKWPRVTQ >SECCE7Rv1G0456750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11431697:11432614:-1 gene:SECCE7Rv1G0456750 transcript:SECCE7Rv1G0456750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLAPPAGYAFDPAEHELITKFLRPRIAAGPDAFDASSLVHEADAYSAAPGDLVQMYQHATGTDKRDGKGGVWYFFTQARRHETKCGRGRGTRKRAVADAGEGYYWHSEKGGIRVLDNQGNLVGHRRNLSFVKKVPGEKDKIRIGWCMTEYSLHADDKQDDLVLCKVCVSRHKRETMYDKVISVPGSRKRKAADVQHPDAPRPQTPRREEPPMVQQPEMLHEYGRWFMSDEGETILPPGAVDDGSVDPGGFFTEDMLQDFPKLHDAVVAAPGNLDGKVQEGTSAAADEDTTFQCTFEELLGF >SECCE4Rv1G0250540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:593607692:593608492:-1 gene:SECCE4Rv1G0250540 transcript:SECCE4Rv1G0250540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMSLSSPAFSGKAVKNVSLSTLFGEARVTMRKTAAKAKQVASSSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGFRIAGGPLGEIVDPLYPGGSFDPLGLAEDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHITDPVNNNAWAFATNFVPGK >SECCE6Rv1G0389050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:145170915:145172866:1 gene:SECCE6Rv1G0389050 transcript:SECCE6Rv1G0389050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAATRSPSMGAADIEFALDLEDIAARAATADCIGALACGRASFSYHRLPEPPLRLTVRKLDDSYFGVQIARSAAVWELKAAIQGVFIALYNDMENAVTWQHVWSHFCLCFKDEKMTDDRATLRAFGIKDGDELHFAQHLSVDYSPCKSSKSQRATSHRRSRTSVEYSSVRPRRLMDDLIEEDEGEKKLAATRHSTSILDEDFCVYEHHEQHVEEDRKKESSVRGWFSYSRLRGNRRTHSENIEQPSCGKGSRPKLGKWLSSKMSKARSK >SECCE5Rv1G0364770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802897722:802898240:-1 gene:SECCE5Rv1G0364770 transcript:SECCE5Rv1G0364770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMKRAREEEPTVSLALSLRTDSTASAGTSADSGAGGPAKRRRGAVVATSGEGEFVCKTCGRAFATFQALGGHRTSHLRGRHGLELGVGVARAIKERKRREEKQHKCHICGLGFEMGQALGGHMRRHREEMTLGGADDRWVTLTLLPDQQEVGHRQAAADQPPVLLDLFI >SECCE1Rv1G0003330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13581601:13582839:-1 gene:SECCE1Rv1G0003330 transcript:SECCE1Rv1G0003330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDKVEELRPGTYGHNLQLRVLSAKPVVLHRPQGGRAGGNMRIAECIVGDDTGVVVFTARNEQVDIMKPGAVVEARKARVDMYKGSMRLAVDKWGTLKAAESPADFKVKEDNNVSLVEFELITVMQ >SECCE2Rv1G0121770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:811214438:811222517:-1 gene:SECCE2Rv1G0121770 transcript:SECCE2Rv1G0121770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLYQLLLPLLAIVLPLICLSSTARRSRRREGARLLPPSRWALLVIGHLHHLAGDLPYRAMRDLARRHGPLLLLRLGRLPIVVASSADAAREVMVARDVDFATRPISRMLRLSIPDGTEGIAFAPYGDKLRQIRKICTIELFSARRVQSFRTVREEEAGRLLLAVAVAAASSTPVNLSKQLSAYAADSSVRAIMGSRFKDRDTFLMILQRGIKLFAGMSLPDLYPSSRLAMLVSRVPGQMKWYREERDAFMDAAVREHRENRAADDDKEGLLDVLLRIQREGRLQFPMSIDNIKSAVGVRNEAPASSNPHIFFHETCSPGGSDTSATTLEWTMAELVKNPRVMGKAQDEVRRALAGQPKVTEDSLGGLNYMRQVIKEVLRLHPPAPLLLPRECMNDCRVLGFDVPKGTMVLVNAWAISRDPAHWDAAEEFMPERFERGEIDFKGADMEYTPFGAGRRMCPGMSFGLANVALALAGLLYHFDWELPGGAEAGELDMTEKNGVTVRLRHDLLLVPVVRGSERPYH >SECCE6Rv1G0430980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745365570:745368768:-1 gene:SECCE6Rv1G0430980 transcript:SECCE6Rv1G0430980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAGGHRPAAMLAEALAVAVDRMAVSFPPAVAAFLRLLLRAWRALSVPLLRGAVMLCMAMSVMVLAEKVLLGSVSAAAKLHRRRRPAIPPQDEEASGGPGACFPMVLVQIPMYNEREVYQLSIGAACRLTWPAHRLIVQVLDDSTDAAIKELVREECERWAGAGVDVRYEARKDRAGHKAGNLTEGMRHAYARGCEFVAIFDADFQPAPDFLASTVPLLLRDPGLALVQARWEFVNADECLLTRMQEMSMDYHFKVEQQAGSSLCNFFGYNGSAGVWRRQAIEESGGWDDRTTAEDMDLALRAALLGWQFVYVGDIRVKSELPSSLGAYRSQQHRWSCGPALLFRKMLREVLAAERVPAWKKLYIVYNFFVTRRVVSTFFTFFFFSVLLPAKTLFPQVRIPAWQMVCVPTATTLLNSAGTPWRRSAHLVVPWIAFENAMALHRFKAILIGLFEAGRANEWIVTRKSGLQRPGGAEEGGRGAKRRRRRALHWLELMVGALLLASGCYDRVNGHGYFYLFALPQAAMYFAIGFELLGVHHAS >SECCE5Rv1G0376090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871111731:871112813:-1 gene:SECCE5Rv1G0376090 transcript:SECCE5Rv1G0376090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILTSSVNKPIPETSSRCLTECTTAAHNFEVIKYSLLKGMGAGKFVSSSTFRVSGYDWNIRIYPDGNREGHKAAYMSVFLCFCTGATMDVKVKFTLSLLEKDGKFSNLIKTATTNFKPVVGYTWGWDKFIDKSKLQELLSRNDDCFTIRCVLTVIEDHHTEDVSTVVAPVPRSDLHAHFANMLKDGQGMDVTFSVGDQLFSAHRYVLAARSSVFKAELFGQMKETTMECIKIEEMEPATFEALLHFIYTDDLPNNCDVGQNVALQHLLVAADRYGLDRLKAICEEKLCQKIDVQTVATTLALAEQHHTVQLKNACLRYLSLQDVLRAVKKTDGFKHLATSCPSLMMDILDKVAPSSEV >SECCE5Rv1G0310500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:170221044:170221355:-1 gene:SECCE5Rv1G0310500 transcript:SECCE5Rv1G0310500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASERAVVIFTLSSCCMCHTVARLFCDLGVNALVHELDQDPRGKEMERALLKMLGKGPSVPVVFIGGKLVGGTNRVMSMHLSGELVPMLKNAGALWL >SECCE2Rv1G0128490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:861487054:861487500:-1 gene:SECCE2Rv1G0128490 transcript:SECCE2Rv1G0128490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSKIRDIVWLRQTLRRWRSRAAARVAEGGAASVTVPAGHVAVCVGGASRRFVVRAAHLNHPVFLELLLQAEEEYGFRTGACGPIALPCDEDRFRDVLRRVSSEDRRVSSEDRRGCSFGCRAPAASTRDVATRPLLRRAAAEELVW >SECCE6Rv1G0415880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:644900615:644908706:1 gene:SECCE6Rv1G0415880 transcript:SECCE6Rv1G0415880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGSKHAAGGSGGGGGGERRKYPIRAEDYELYEEIGQGVSAIVYRALCRPLGETVAVKVLDFERTNSNLNNIMREAQTMILIDHPNVVKALCSFANNQTLWVVMPYMAGGSCLHIMKSVYPDGFEEAVIATLLREVLRGLEYLHHHGHIHRDVKAGNILVDSRGGVKLGDFGVSACLFESGDRQRARNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSRHFKQMVAMCLVKEPSKRPTATKLLKQSFFKQARSHDYIARKLLEGLPGLGARYQALKEKDEHLLAQKKMPDGRKEEISQDEYKRGISSWNFDIDDLKSQASLISECEDTISSKDTDISSIYDFDTSLQEQAHEGSLFSMKYDTDIENDVMANDKSGVSSPEQSVCLSRASLCGTSNGVLVNGYVRKHNSMESCDLDLQEKDLDAIPTSSFQERKCSFSSCSSDGFLSSKESSKPQINIHNRDKGSGGVLQVADEPSPEAISRAPKSLVSNVDEHDDRSKPPLIQQRGRFKVTPGNVELDKAHSPGLQKSHSMQTISHLSALSIPSSAEAASSIIGGSLYIQLYNVLQTNMLQREQILHAMKQLYISDSSPVRMHSLSRSPSPSSALSVDRSMLEAAQEKEKELVNEVLELQWRLLCAQDEVQRLKAKAAQI >SECCE6Rv1G0418300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:662053206:662053613:1 gene:SECCE6Rv1G0418300 transcript:SECCE6Rv1G0418300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSATATASASTSRASRAAPSTGKLTCLCSPTNHPGSFRCTRHRNPRGRPSPTSSGRASHQSAASSASATVRGEGIFRSGGVSTGGRTAKGRSVLRAHLLRLVSAPSSAGRDHRRCRDFLPRPSRLGRLAVTA >SECCE7Rv1G0503220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:708591826:708593164:-1 gene:SECCE7Rv1G0503220 transcript:SECCE7Rv1G0503220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSCSRLCGGGSSSTAFHRRRSRATVVTCRRFSAVVRAAAAASVTAAAPVSAVPQTKEVKLPTWAEFELGKAPVYWKTTNGLPPAPGEGLKIFYNPGTTKMTPNEQFGIAFNGGFNQPIMCGGEPRQMTLQERGKACPPIYTIRIRVPQHAMTLVFSFTNGSEWDGAYTLKFKVPKPWLNKPLSFFNEGLADELNMEGACDRAIFPDENIVISSCDIGGFYEEGGDRCKLDIVSGCMDRTSHMFDPLATIDDGSCPLDSDSEE >SECCE2Rv1G0087050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:220268952:220273510:1 gene:SECCE2Rv1G0087050 transcript:SECCE2Rv1G0087050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRGSAVALAAVVAAAMWAGMASAAVYEVGDKLGWTIMGNPDYGAWAASKKFSVGDTVVFTYNKQFHNVIAVSKADYKNCNVTKPTATWATGKDSVVLNTTGHHYFLCGFPGHCAIGQKVDVRVLSSAAPSTAPAMTPAPAAAGGGSAGRAAAAPSPHANAASPVSSSFAVTIAASVMSLAVAGLNLL >SECCE7Rv1G0500750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:673376143:673378840:1 gene:SECCE7Rv1G0500750 transcript:SECCE7Rv1G0500750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHILLVLAAAAAVVVAVAGAEPVLRQVTDVPTAVSSGVSDADALFCDSWRLSVETANAGPWRTVPARCGAFVRAYMEGERYASDSAVAAAESLAFAAQAFASGEGGAMPAWVFDVDETLLSNAPYYAVSGWGLQEFNETSFDAWVDVAKAPALPSSLKLYSELQGLGFHIILLTGRSELQRNATEENLLFAGYQSWEKLILRQISDIGKTAVQYKSERRAAMEAEGFKILGNSGDQWSDLIGLPMATRSFKLPNPMYFIS >SECCE5Rv1G0335060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:570337018:570338705:-1 gene:SECCE5Rv1G0335060 transcript:SECCE5Rv1G0335060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWICIKSQLHHFRFEKMVSSTKGKVCVTGASGFLASWLIKRLLECGYHVIGTVRDPGNRKKVGHLWKLPGANERLQLVRADLLEEGSFDDAVMACEGVFHIASPVLGKSDSNCKEATLGPAINGTLNVLRSCKKSPFLKRVVLTSSSSAVRIRDETQQPELLWDETTWSSVPLCEKLQLWYALAKIFAEKAALDFAKENNIDLVTVLPSFVIGPSLSHELCTTASDILGLLQGDTDRFTSYGRMGYVHIDDVARSHILVYETPEARGRYLCSSVVLDNNELVGLLTKQFPVFPIPRRLSNPYGKQAYQLNTSKLQGLGLKFKGVQEMFNDCIESLKAQGHLLECPL >SECCE4Rv1G0285690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:846050459:846050770:1 gene:SECCE4Rv1G0285690 transcript:SECCE4Rv1G0285690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE1Rv1G0022690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:287939164:287942133:1 gene:SECCE1Rv1G0022690 transcript:SECCE1Rv1G0022690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIRRLVCEEGCLANGTGGLGNINNENSATDSKNLKVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTIGASFLSQTLALEDSTTVKFEIWDTAGQERYAALAPLYYRGAGAAIVVYDITSAESFNKAQYWVKELQKHGSQDMIMALVGNKADLHEKRTVSSQEAQEHADMNNMFFVETSAKTADNINQLFEEIAKRLPRPTPPS >SECCE5Rv1G0327160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:490283592:490284767:1 gene:SECCE5Rv1G0327160 transcript:SECCE5Rv1G0327160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLPALCRGRAATRVRKRVQRLSYCSPSPSSSSKLPPSVTSKVRSDGGAGNGRCYGGGNGASMVDVVGGKKDGGGRRVMVVADGRAEAMGALEWALSQTVRSNDAVVLLAVVKPAPADAEDSSCVKMSRTRCYEHLSAMRSLCESTRPEVKVEVCVTEAEERAPAVVDAARRHGASLLVLGQRRRAATARWILGLWPAAERRCGRRWQRGLVEYCIEHAPCEALGVRRRNSGGYLVSSRRHRDFWLLA >SECCEUnv1G0569560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:445067927:445068223:1 gene:SECCEUnv1G0569560 transcript:SECCEUnv1G0569560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTDRESPLRGLSPSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE7Rv1G0481100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:279621138:279625010:-1 gene:SECCE7Rv1G0481100 transcript:SECCE7Rv1G0481100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTAEEDDILANYIAKHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRDGVRRGNISKEEDDLIVKLHATLGNRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTFRRIYTAVSDTAITVDINKLSAAGKRRGGRTPGQSPRSSTKKKPVPEHITKAKDESSPAGTTSSVSSPPQSDEARSAVVDPDQNQPNNSISGSHTSDGPCSEDGTWPMVMDPVDRTDVLEANCTVDHQMGLWEVNSTMNQIGILEDESGEMEALLSSSSVPAENALVGIDSGGLSQVDDLLDMDWEGFASHLWDQPAQNGLLQAAEPQVATGSESDELESFVSWLLSDAC >SECCE1Rv1G0038000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:534032810:534035700:1 gene:SECCE1Rv1G0038000 transcript:SECCE1Rv1G0038000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTSTAVALLLVLLACGGATAQVFPRPLFPRAPWNFTFPNGPGDAAGASGTGATGGGGGGPSVPAMFVFGDSLTDNGNNNDLTSLAKANYLPYGIDFAGGPTGRFSNGYTMVDAIAELLGLPLLPSNNEASNADSDAGALQGVNYASAAAGILDNTGQNFVGRIPFNQQIKNFQTTLNQIKGKIGAGKLASSLGRSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLVQHYTKQLTSLYNLGARRFVIAGVGSMACIPNMRARNPTNMCSPDVDELIAPFNSKVKGMVDTLNTNLPRAKLIYIDNFEMISEVLRSPWNYGFSVVDRGCCGIGRNRGVITCLPFLRPCPNRNTYIFWDAFHPTERVNVLLGKAAYSGGTDLAYPMNIQQLAAWQP >SECCE5Rv1G0363620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:794893014:794894585:1 gene:SECCE5Rv1G0363620 transcript:SECCE5Rv1G0363620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFIRSDSQVFLFSNSKCKRYFHNRLKPAKLSWTAMYRKQHKKDIHAEAAKKRRRTTKRPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKVEVTKSQKTAGRGNAPKPGKAPKLGGGGGKR >SECCE3Rv1G0193440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:769940650:769941802:-1 gene:SECCE3Rv1G0193440 transcript:SECCE3Rv1G0193440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQVPTAFDPFAEANAEDSGAGAGSKNYVHVRVQQRNGRKSLTTVQGLKKDYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGLAKKESIKIHGF >SECCE4Rv1G0265520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726126755:726129001:-1 gene:SECCE4Rv1G0265520 transcript:SECCE4Rv1G0265520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMIEDSFPWEQQRLVYVYAVAGAVLSWCAVRALEWAWWRPRRLERELRAQGLRGRAYHSVAGDAPLMERLHKEARSRTMPLGSHDVVPRAMPLFHQTIKEHGKLSITWFGPVPRVTITEPELVREVLSNKLGHLEKLNLGRLQRMLHHGVGSHEGEKWVKHRKIITPAFHLEKLKRMLPAFAACCTELVQRWEVLAAGDAPCEVDVWPDMKNLTGDVISRAAFGSSYHEGRKVFQLQGEQIELIVQAMDKMHIPGYLFLPTKGNRRMNQIVAEIERVLKGIIAKREKALKAGEATSGDDLLGLLLESNMAHCRTGDTNASITTEDLIGECKLFYFAGMETTSLLLTWTMIVFCMHPDWQDRAREEVLHVFAHRTTPDYDGLTRLKVVTMVLYEVLRLYTPLTSVHRKTCKPMDLGGVSYPAGMLFMVPFLCLHHDKNVWGADADEFRPERFANGISKAGASDDAPPFFPFGWGQRTCVGQNFAMLEAKMGIAMILQRFSFELSPSYTHAPFPVGLLHPEHGAQLRLTRRP >SECCE6Rv1G0441180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:809602234:809605592:1 gene:SECCE6Rv1G0441180 transcript:SECCE6Rv1G0441180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIEECSRGSSHGRRQQQQLMLGSRILVGVPNNSRGCSELLSWAIRVVAKPNDSVVAVHVLGGRGRKNRLQKANAFVIYMLGELVETCEAKQVNLEAKVICSSSIPRALAREAEQTDAKFLVVGRSRSKYHRNHFEVANYCFMHAPNNCSVIAVGREGLAQTQSSNRLKSQSFDGSSNISFSSTWSRTLTKLLRSSSIRKQVDGDEEDKSSPRAVLDGPEGGEHVQVTEECYNSTCSNDTMSRRGHSGIWRRLSDMKLWLPFLRTVDDEGAKAGDVGSTFSEDQKPAWKCYSYQEISVATDDFSPDNIVGRGGYAEVYKGILSDGQCIAVKRLAKGKPSEQKEKEFLSELGIQVHVCHPNTADLLGCCVENGLYLVFELCANGTLASALHGNSGKVLEWPLRQKIAVGVARGLQYLHMFCRHRIIHRDIKAANILLGDDSEPQISDFGLAKWLPKQWTHHSVVPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKQSLLQWAKPLLEAGQAIELADPDLGDDYDKDQLKRMVTVASRCIMRPAMWRPSISEVLHLLSTNDECLEEPEKWNIPEDEVNDMDDCTLFSESCSL >SECCE2Rv1G0097550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:463088011:463090460:1 gene:SECCE2Rv1G0097550 transcript:SECCE2Rv1G0097550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVECGGGDWPFSAEEAYADSSALLAEIGWAAGFVDDDCAVELLPPLDPPPATPAGSMEGGGASSSSTDDGATREAADADGRPAAATEAVSKPAPAPAPGKTTKKQKRARQPRFAFMTKTEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNNKCTVKKRVERSSDDPSVVITTYEGQHCHHTVTFPRGAGAATLASQMAFSAHHHHLMYNDLPALHSPTTQNPLFSVPAMSSSLFHPLHCNRQELQVASYTTQASSISSPGSLPAVDKGLLDDMVPPAMRHG >SECCE5Rv1G0370880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:844380117:844382878:1 gene:SECCE5Rv1G0370880 transcript:SECCE5Rv1G0370880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFKLEWGPAICMVLIELFTTGQMLLTKVVVDAGLFVFALLTYRFFLGAALVVPLALIFEPGKLQELKLKAFIWIFTSALVGFTIPGLYYIGLGDTSPGYAINFYNIIPIATFILAVLFRKESLDMRSLVGNIKVIGTLVCVGGTLVISLYKGKMLHLWPTNIIGYHPKQAGAAFGHHHVRGTVYLITSCLSLAVWYTVQAQMLKVFPYKYWSTVATCFVGSVQMAVVGVAMNREKATWALKWNMSLLTIVYSAILNTAAKFVMISWVVTQRGPTYPAMFCAVSVFFTTILDSLLLGHDLSVGSVLGMFMILAGLYLFLWGKRKESVPPSEENPTEQMLFQSGDKNDKSVANV >SECCE4Rv1G0282450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829244127:829247348:-1 gene:SECCE4Rv1G0282450 transcript:SECCE4Rv1G0282450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLIPLVRGVAGKAADALVEKVTRMCGLDDDRRMLERHLLAIECKLANAEERSETNSYVKNWMKELKSVAYEADDVLDDFQYEVVRRQSKIGRSTTRKVLSYITHHSPLLFRFEMSKKLKNVLEKINKLVEEMDKFGLENSVHREERRHPWRQTHSKLDESTKIFGRDGDKEGVVKLLLNQQDQRKVQVLPIIGMGGLGKTTLAKMVYNDQRVQQHFPMKMWHCVSDNFDPIVLLKSIIQLAGNKRCGKHDTIELLRKQLEEVIGQNRFMLILDDVWNEDEEKWEDILKPLMCSVGGPGSIIVITTRSQKVASIMQTLRPHKLACLSEQDSCELFARKAYSNGVEKKEELVNIGRSIVKKCRGVPLALKTMGGLLSSYQQVQEWKAIEESNIGDNSRGQNEIMSILKLSYRHLSSEMKQCFAFLAVFPKDYKMDKDMLIQLWIANGFIQVKGTMDLTSRGELIFDELVWRSFLQDKEKCTWIFGIRRSYVRITYKMHDLMHDLAKEITDDCASVEELTRHKALLKDVCHVKVGQVEPENINWLCKGKTYIRTLLATYSASDKDLKKLLHVSKSLRALDCSHSSTLICKAINAKHLRYLDLSISSMVTLPDSICMLYNLHTLRLIGCVHLRQLPEDMARLRKLIHLYLFGCFRLICMSPNFGLLNNLQILTTFVVDTRDGFGIEQLKDLQHLSNRLELLNLRRIKSGENAKEANLSQKENLSELLLSWDQEIDDVSKDMACNVEEVLQCLEPHSNIQKLEIREYHGLEISQWMRKPQMFDCLRELKMSDCPKCKSIPVIWLSVSLEILVLEKMDNLATLCNNLDVEGGERITPLEFFPRLKKMRLINLPSLEIWAENITGEASDILVTFPMLEKLIIENCPKLTSIPVIPIVRKLKIVGVHNSAVALVFMSIRLGSWPFLISLTVGSSEDMAMLPIDSQQSQSQRPAEKLKCLTLQGPISLVTSSGLSRSHLMLWKCFSLVEELTIDGCSKLVCWPTEELRCLDRLRILCIKNCDDLEGDTSSSEEETLPLSLEHLWIEDCLSVVTLPPNLGNLAKLRSLCGSLLSRESAA >SECCE5Rv1G0375790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869631054:869631569:1 gene:SECCE5Rv1G0375790 transcript:SECCE5Rv1G0375790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLGFPKDHTRGLGKTQRYKSLGNSFQVDTVAYHLSVLRDMFPNGITVLSLLTGIGGGEVALHRLGIHMRAVVSVEICKANRKILRSWWNQTQTGTLIEIADVKSLKDDEIASYVRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEQSSLFYDYFRILNSVKSAMATM >SECCE3Rv1G0185930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679403905:679404351:1 gene:SECCE3Rv1G0185930 transcript:SECCE3Rv1G0185930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADQEADAGGPRKKPAAGAGKRWDGEGAARGRDASPAAPREDAGKDGALAECAASCCVFCACLPVAVLCCVARAPVRAARRCWRLRRRRRPARRLAPGGSSSFSDAELGDPRQGRVRTMADEDGRAGSPASSPSPRQPPPREDRRR >SECCE1Rv1G0037920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:532302097:532308757:1 gene:SECCE1Rv1G0037920 transcript:SECCE1Rv1G0037920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAGAGAPYASRTAEEVFRDFRGRRAGMIKALTQEVDKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVSFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGAAKKQIKEKGPNSTHKSNNKPSMKTHSRPESQSKAPKMAAPPKDEDESGEDYGEEEEEERDNTLCGTCGTNDGKDEFWICCDNCERWYHGKCVKITPARAEHIKHYKCPDCSNKRARA >SECCE3Rv1G0179160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:530076835:530083958:-1 gene:SECCE3Rv1G0179160 transcript:SECCE3Rv1G0179160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MESSRSRKRTRQEWDGAGDPPPEREVVARGGASPPWRDDDRDGHYVFDLGENLTRRYKILSKMGEGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENERYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEYIKVPSSKKNSQDEIHFKCLPKSSAIKLIDFGSTAFDNQEHNSIVSTRHYRAPEIILGLGWSLPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPVPEHMIRKASSSAQKYFRRGTRLNWPEGAVTRESIRAVRKLHRLKDLVARNADHSKASLADLLYGLLRFEPSERLSAQEALDHPFFRIPGPT >SECCE6Rv1G0394830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:306822326:306826978:-1 gene:SECCE6Rv1G0394830 transcript:SECCE6Rv1G0394830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGVLVSDPWLQNQFTQVQLRTLRTKFTSAKRSDAEHVTIKDLPPMMEKLRGIQEVLTEEEITNFLSESYPDMNQSIEFEPFLREYLSLQAKGSSKSGGKRKLKGSVSFLKASTTTLLHVINESEKTSYINHINNFLGEDPFLKNFLPLDPTSNDLFNLISDGVLLCKLINVAVPGTIDERAINTKKDPNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLLELVAGDNSKEAEELVTLAPDKMLLKWMNFHIKKAGYKKTVTNFSTDVKDGEAYAYLLNALAPEHSSTTMIETTDPKERAKKVLETAEKLHCTRYVTSKDINEGSANLNLAFVAQIFQQRNGLSLNKVPTIVQDTPDDVEASREERAFRLWINSLGIATYVNNLFEDVRTGWVLLEVLEKISPGSVIWKQASKPPIIMPFRKVENCNQVIRIGKELNFSLVNVAGNDIVQGNKKLILAFLWQLMRTSILQLLKNLRSHSKDKEITDANILIWANNKVKESGKTSHIESFKDKSLSDGMFFLDLLSAVQSRVVDWNMVKKGEDDDEKKMNATYIITVARKLGCTVFWLPEDIMEVNPKMILTLTASIMYWSLQKHGPYEGPEPAGSAVSQEALLEEEEEEEAMEEEEEEALEAEEGKGGEETLEDSA >SECCE2Rv1G0141760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:936630332:936631213:1 gene:SECCE2Rv1G0141760 transcript:SECCE2Rv1G0141760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMFGVGSRGRGDHGHGGGEQHGGADGTLLCYFHPRELLVGVCAHCLRERLLLLLAAKQGGGTGRARPPADGASYLSARPYCRALRRRTGSLSSSLPKVFALGSFLQRLDSSRHHHPNEVVHDHDGDGRCEDKNAEDNDDDTASVASLDDSFISIKFEDNGKATWVDSQQASLKPVRETPAPATTKALVVEQASRRGGVTRWRKQVVGRLLQLARWKRASGKQSSSSSAAADQRSKARGGGGGGRGWIRSLTRRRAAHGERAWS >SECCEUnv1G0568910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:433024424:433024864:1 gene:SECCEUnv1G0568910 transcript:SECCEUnv1G0568910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNQPAPNKNKFLSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSDPPISDDVLAKAFQVEKKTIDYLQAQFWENNHY >SECCE1Rv1G0002410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8993895:8994809:1 gene:SECCE1Rv1G0002410 transcript:SECCE1Rv1G0002410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLILTILAMATTITTANMQVGPNGQVEWPQQQPLPQPQQPVYQQPQQIFPQPQQTFPLEPQQTFPQPQQTFPHQPPQQFPQAQQPQQQFPQPQQTFPQQPQLPFPQQPQQPLPQPQQPQQTFPQSQQPQQPFPQPQQQFPQPQQPQQSIPQQQQPLIQSSLQQQMNPCKNFLLQQCNVVSLVSSIVSLILPRSDCQVMQQQCCQQLAQIPQHLQCAAIHSVVHSIIMQQQQQQQQQGLQILRPLFQIIQGQSIIQQQSTQLEVIRSLVLKTLPTMCNVYVRPDCSTIRTPFASTVDGIGGQ >SECCE7Rv1G0497980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:633931180:633931449:-1 gene:SECCE7Rv1G0497980 transcript:SECCE7Rv1G0497980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDKARSASEEEASRRRDIERSRAEARRKVEQMADTVQFNDPWIHHSDVTKSPEELLQARQQAWRYQAQLIEMARRRDYAQAMQIHG >SECCE7Rv1G0497730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:629901653:629906325:-1 gene:SECCE7Rv1G0497730 transcript:SECCE7Rv1G0497730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARVSSSSRLLLIPSSTANSSLLHSFLRPFSTSSVSARRLSHPRSLPIDASLSQASPLPPATREEGEVAEAPRSTSRRPWKPTCLYYTQGKCTMMDDALHLEKFNHNLSMDLPVNASAADKVKPQKLDYFLVLDLEGKVEILEFPVVMIDAHSMEFIDSFHRFVRPTAMSEQRIKEYIEGKYGKFGVDRVWHDTAIPFVEVLQEFEDWIGSHKLWKQKQGESLNSSAFVTCGNWDLKTKVPEQCKVSKIKLPSYFMEWINLKDIYLNFYNRRATGMMTMMRELQIPIVGSHHLGMDDAKNITRVVQRMLADGAVIQITARRQPDTSDVKFLFKNRIR >SECCE4Rv1G0264180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:719584935:719586768:1 gene:SECCE4Rv1G0264180 transcript:SECCE4Rv1G0264180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDDLARRIAAFFPLPPPPQKQQLSNVAAAVLSAGGRLGRAVGDVFRRLRIDDGLDIAYARRHRRAVPGRGGATADDDGIARSKQEGIAVGGDRPAAGGSGRFARSQGSMVLSAAFDSRTKDVESSVAARGDLWRAEASHSSSSNSRGGGDGGAAPLFLVQLGPVLFLRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWTARRRCFIMSMICLNPFACSFMDMQFPNGQLRYVAGDGFTARGFLPLGGGGIVQAHGKFPGEKRLSFSYKNGSGGSVTPTVQWPDKSLSLGLTQVLSWRRCGLMLQPALQLSICPTFGGSRPGVSTELVHSISEEASVACGYSRTASPSAYASMSLGRSKLNGGATSSGLVLRVDAPLQGFGRPWFSIQMNSGLEF >SECCE6Rv1G0452440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:877428184:877433972:1 gene:SECCE6Rv1G0452440 transcript:SECCE6Rv1G0452440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGAGGAGQELRLLSAVAPPAEKSWRLNFDGFCPPEGQQERPARGLHHHCLSVIGQGPEDGVAEYYQQQVEKLEGFSEMDVLTDNGFLPGMSKEEREQVPRSEKIAIQLSIIATMILFAAKVYVALRSGSLAIIASTLDYLLDLFSRFILRFTAFSMQTRNPYKYPIGKKRMQPLGILVFSSVMATLGLEIIIESTRSLLSDGGKSSLTKELEIWVVVIMVLLTLAKLALLLYRRTFTNEIVKAYAQYDIFDVITDIIGLVAALLGNYFEGWLDPVGAIILAIYTIRTWSKMVLENVHSLVGQSASPEYLQRLTYLCWNHHKAVTHIDTVCAYTFGSHYFVEVNIVLPADMPLPEAHDIGEALQEKLECLPEIERAFVHIDYEFTHRPNHALSNE >SECCE2Rv1G0128760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:864702499:864707605:-1 gene:SECCE2Rv1G0128760 transcript:SECCE2Rv1G0128760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLSAFFGFQQDNVRNQREHLLLLLANAQMRLSAADSLDTLEPGVARSLRRKLLRNYTSWCGFLGRQPNIFVADGDLRADLLFTGLHLLVWGEAANLRFVPECLCYIYHHMALELHRILEDDVDDKGRPANPAVHGENAFLARVVAPIYGVIRAEVESSRKGTAAHADWRNYDDINEHFWRRDVFDHLGWPIDQSREFFHTPPDTERSRVRKTGFVEVRSFCNIFRSFDRLWVMLVLYLQAAVIVGRGMGRSHRGVQVHALTIFITWAGLRFLQALLEIGTQFRRASRDRDGRMLAVRMGLKAIVAAGWVLTFSLLYKGIWSQRDMDQSWSPATDARIVRFLYAAAVFVLPAALAMVLLVFPCLRTALVNTNWRICYALTWWFHSRIYVGRGLRESTFDSVKYSAFWILVLAVKFSFSYFLQIRPLVAPTKEIYGLSTAAYASWHEFFSRRNPFAVLLLWFPVLLIYLMDIQIWYVIFSSLAGAWMGLFLHLGEIRDMGQLRLRFQFFASAMSFNIMPEEQHESGPNSILRKLRNCCHRLRLRHGLFQGRSLRRISLESNKVEERRFALVWNEIIAKFREEDIVSDREVELLTLLPAQPNVRVIRWPCFLLFNELSLALRQVKEFRGSDRRLWSKICKKDYRRCAVIEAYDSTKYLLLKIIKNRTEERAIVTRLFRDLDESMEKELFTVEHKMSVLQNIHAELVALLSLLLEPNKDASKIVNALQTLYDVVVHDFRTEIRSMEQLRKSRPTNLLFVGNIVLPHEENGTFYRQVRRIHTILTSRDHMMDVPKNLGARRRIAFFSNSLFMDMPRATKVDKMMAFSVLTPYFDEEVLYTKDQLYKENEDGISILYYLQQIYPDEWEYFIERMKREGMSDTKELYIEKQRLRHLRQWVSYRGQTLSRTVRGMMYYCEALEMLSFLESASENRLIAGSRKISSRPDGASSSSASSSRAPPLTLSRASSGVGVSSLFKGIEYQTALMKYTYVVACQLYGQQKARNDPRAVEILELMKKYEALRVAYVDEKHSSGGETEYFSVLVKYDQLFQREVEIYRVKLPGPMKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNRYSKPNILGVREHVFTGSVSSLAWFMSAQETCFVTLSQRVLANLLKVRMHYGHPDVFDRLWFLGRGGISKASKAINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVAGGNGEQTLSRDVYRLGHGLDFFRMLSFFYTTIGFYLNTMMVVLTVYAYVWGRFYLALSGLEDYITNNTSSTDNAALWAVLNQQFVIQFGLFTALPMITENSLEHGFLVAVWDFLIMQLQCASVFYTFCMGTKTHYYGRTLLHGGAKYRPTGRGFVVEHKKFAENYRLYARSHFTKAIELGVILCLYSSYSNIAGDTLVYILLTLSSWFLVCSWILAPFIFNPSGLDWQKNSDDFEDFFSWIWFPDGISVKSDQSWEKWWEEETDHLRTTGLWGRTVEFLLSLRYFFFQYAIVYRLHIAGGSTSILVYLLSWICILLPPVLLVTVTYFRDKYSAKKHIRYRLVQAVIVCASLAAIIVLLTLTKFQFIDIFTSLLAFLPTGWGIISIALVFKKYLKKSDTVWKTVVVVARFYDIMWGLIVMAPIVVLSWLPGLRELQTRILFNEAFSKGLHISQMITRRKTHRA >SECCE3Rv1G0183280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:647552321:647552566:-1 gene:SECCE3Rv1G0183280 transcript:SECCE3Rv1G0183280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSSSRGNADAVWSERENKLFEEALAYYGEGTTDRWLKVSRAMGGTKTADEVRRHYEILVDDINLIESGRVPFPKYKTK >SECCE2Rv1G0077450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:99827093:99829645:-1 gene:SECCE2Rv1G0077450 transcript:SECCE2Rv1G0077450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWKLNKRRSPTRHPPPRDTSTVHAITVQRPPVSGQLLLERACCTVVALATSALCHWAWNCYQSRKCLRTYGRDMTDEGDPVIGRDDEIDRVVDILCRRTKNCAALVGAAGVGKTAVAEGLAQRIASGAVPDALVGARVVELDLAAMVAGTQYRGMFEERMKNVIKRAEASNGKIILFVDEMHMLYSAGSSRTNCTSASNMLKPALARGRIRCVGATTFDEYRQYVEKDPALERRFQKVHVGEPTIEATIAILRGLKQRWQHHHGLEIQDAALVAAARLGARYITGRQFPDKAIDLIDEACTFIARKMKQIDNQVVSRWTGIPVATLCEEEKDKLIHIGDRLHERVIGQDEAVNLVAQAVLRSRAGLDQPSQPTGSFLFLGPSGVGKTELAKALAEQLFDSEKMLVRVDMSEYATAGSVTRLIGAPPSYIGYEDSGQLTEKVRRHPYSLILFDEVEKAHPSVFNIFLQILDDGMLTDGKGRTVDFKNTIIIMTSNLGSEYLTTKMTGEKPLEVARNLVMEKVQKFFKPELLNRISEIVIFDSLSYEQMKEVAKIQIKNVVARVANKGISLSTTDALLDVIMSESHNPMYGARPIRRWVERNVITMLSGMLVKGEAGEGSTIFIDATDDKKGLKYEVVKKQVLDLSSA >SECCE6Rv1G0435890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:773402837:773403915:-1 gene:SECCE6Rv1G0435890 transcript:SECCE6Rv1G0435890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSAARALLLVLAAAALVLSSVSAAAGSPPLCPQAEAIVFAFLKDAIRKDVGLAAALLRIHFHDCFVQGCDGSVLLDKTNGVDSEKVSPPNVTLRPSAFKAINDIRAYAVPLGRRDGLAPASLDTILGALPPPTSKVPVLLSFLAKIGLDADDLVALSGAHTLGIAHCGSFEERLFPKEDPSMNKWFAGHLKLTCPRLKVDNSTANDIRTPDVFDNKYYVDLLNRQGLFTSDQDLHTDGRTKAVVTRFAVDQAAFFDQFVKSMVKMGQINVLTGNQGQIRTDCSMPNAARSAAGDELPWSVVEAAESFVL >SECCE3Rv1G0188060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:708926561:708928030:-1 gene:SECCE3Rv1G0188060 transcript:SECCE3Rv1G0188060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPHVKFLMMVSRLVVDNFLGVFAVAVAAAVVGRAWPIRPEEILDQMLALPPAYVFMAVILAAALEKMRRMRRLRDVYLVEYGCFRPKSCYRTPFATCLEHAHLMPYLVDEESVAFAMRLLERSGLGEETCVPDAYHYMPPDRSLKASRDEAELVIFAAVDDVFAKTAAIKPADIDVLVVNCSIFTPVPVFADMVVNKYKLRSDVKIMNLSGMGCSAGLVSVGLARKILQVAAAGTRVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSPSEARFRLRRVVRTVTAARDADYRCVFQEEDDKGNTGVRLSKDLATTAGYALKNNIAAFGPLVLPATEQLLVGLSLLKRRLLRGRAKVRLYRPDFRTAFEHICIHAGGRGVIDEVQDGLGLSDDDVEASRMTLHRFGNTSSSSVLYELAYLEAKGRMKKDDRVWMISFGAGFDCNSVSWECLKPADDVDGPWAGCIARYPVQLPEIDKDM >SECCE6Rv1G0426310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712567232:712568290:1 gene:SECCE6Rv1G0426310 transcript:SECCE6Rv1G0426310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIKPYTVMLLKLLYTPVLEERSSAANRAFASSCAAVLKYASQSQAQKPIEDTASLHLGWQSVDEYGVRKRFMALFHSRFCCSSLCSSIQAVFSIIGEHKD >SECCE2Rv1G0105580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:622707359:622711620:-1 gene:SECCE2Rv1G0105580 transcript:SECCE2Rv1G0105580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRLRWSAAALVVLFLAAGAAAEAARREVVTSPHGAVAADDGRCSRIGRDALRRGGTAVDSAVATSLCLGVVSPASSGIGGGAFMLVRLADGTAVIYDSRETAPLAATKDMYGGNATLKASGALSIGVPGEIAGLYEAWKRHGKLPWKELVMPAAKLARAFTVSPYLQMQMKATEAGILGNAGMRAVYASNGEILKVGDVCRNGKLGTTLKAVAERGPGAFYRGSVADLLVKDVKEVGGIMTKEDLEKYQVKVRRPLSQSVMGLTVLSMPPPSAGGAGLMLVLNILAQYGVSGISGSLGTHRLVESLKHYMAVKMNLGDPDFVDVGAVVSDMLSPKFAAELKRTIYDNMTFSPQHYGGRWNILQDHGTSHLSIVDGERNAVSMTSTVNAYFGSLILSPSTGVLLNNEMDDFSMPANTSANSPPPAPNNFVAPLKRPLSSMCPTIVLKDGKLKAAVGASGGSMIPAGTIEVLLNHFAKNMDPLSSVMAPRVYHQLIPNEVKYENWTTVTGDVFLLDAATRGDLLKKGHVLEPLAGGTISQLVVHNVEGRGDLTAVSDPRKGGVPSGY >SECCE4Rv1G0258940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:681386363:681387954:-1 gene:SECCE4Rv1G0258940 transcript:SECCE4Rv1G0258940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSQASSTPSVVDISDTDSDDGAAPALSRPIADRLASSFRTQDAVNALCKKHGVPTAYTASPAGHRRASTPPPERTVCLYAHALEAGARVPLHGFFSEALTHFGIAPGQLAPSGWRVLVGFVVLCHDAGVQPSTAVFRHFFSLAAFMFKGWYCFRPKDAAGELFTGLSKPDEAWKGRFFFLTSPEPWPCPVRWGEPLPCQNSVADPVLTGQQKKIVGKLLSAHGTTVDLRTYLGDAKKLAAAFASPPLPSHGVKGMDSSAAKVKTERDGDTPSLSLKKRKHEEAASAKDGLCRSEQSTPHAAPPGFVPKPPYSPVPDAHDGDGAAWKEAKKVLESITTSSRERAFATAKPSDVVASSYSAVLQAANYASFSLDYTVELKEKLAERDAEVATLLEQLESAKADLTAAEAQVESAKTTAVQQFLASQEHKRRLAEHTLAGYERGAEEMKRAVLRIYPHLDAARLVVPLD >SECCE4Rv1G0248230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:569359581:569362529:-1 gene:SECCE4Rv1G0248230 transcript:SECCE4Rv1G0248230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRLGQSNPSPGPDPGEAKGEEGGGRKPEPRREVKDLGGGSEVVHIPRFMARETAWELFEHLDKRIPWTRPTIRVFGRSVVQPRDTCYVADEGLTDLRYSGHQPHAHSWDEFPVLKDILKEVHEALPGSHFNSLLLNRYKGGSDYVSWHADDEPLYGPTPEITSVTFGCEREFLLRKKPTNTKSQAAAESGETARKRLKVGAPQQHSFLLKHGSLLVMRGYTQRDWQHAVPKRARAASTRINLTFRCVLP >SECCE2Rv1G0115970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:759330957:759331325:-1 gene:SECCE2Rv1G0115970 transcript:SECCE2Rv1G0115970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATSLRRAELCAAAVSAADAASWWCAVALVALVLLGALSAETADDGGEGGAAAYFRGPRLGGAAARPCDEVYVVGEGETLHTISDKCGDPFIVERNPHVHDPDDVFPGLVIALRPTKNT >SECCE6Rv1G0383440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:52663192:52663413:1 gene:SECCE6Rv1G0383440 transcript:SECCE6Rv1G0383440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGKSSWPELLGWPVRPAMLTVKNERPDVSIDQLPEGTTPTPPGFRSDRVVVFYHTQDELGRRVATTPVIG >SECCE5Rv1G0341920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:628953496:628959464:1 gene:SECCE5Rv1G0341920 transcript:SECCE5Rv1G0341920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSVSPSIASVPTSRLLPIRTPRSAPAEWTTTDNSSAATEEEPVTPTARLMEGIYIVVTIGLGSPVNLPVFSAGVAAELARFPRFRCIQVTDGSKDGNNPRWARTAVNVDDHMIVPTLDPAAVAADPDRAVEDYVASVYTLPMDRSRPLWEFHFLDFPTSEAASTVVLRVHHSLGDGMSLITLLLACARSSADSTRLPAMPEQPARTGAIYAPQRRKPSSASALAAVITWIWPYLVLAWNTMVDLSLFVATTVFLRDPCTPFRRAEGDVTFNPRRRFVHRSFSLDDVKFIKNAMSCTVNDVLVGATSAALSRYYFRKSGGTDTYRTWLRSVLLVNTRPTASLQTYANMIESGRSDDVAWGNQLGYILLPFHLAMHDDPLAYVRKAKMVVDRKKSSLEAIFTCKTSEIFVKMFGLKAGTFIFCRMFANTTISFSNLVGPTEKIELYGHPVVFIAPSVYGVPQALIVHYQSYNNTIKIVLSVDEEIIPDYSQLLDDFVVSFGLIKDSASRLSELIKKE >SECCE5Rv1G0333800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:561627767:561628144:-1 gene:SECCE5Rv1G0333800 transcript:SECCE5Rv1G0333800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVLRPHNILQPQPQRIRAAHRRPSNPGTTRRSPPPSTTAASTTGRRHHGRPSSSSSSSSYGKVTSVAAPARRPAVEVYAGPAFSAASPEPSSLPLPQFPLQKPAAAVNDAATRDLRRMLRLE >SECCE5Rv1G0364940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803571779:803572192:1 gene:SECCE5Rv1G0364940 transcript:SECCE5Rv1G0364940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMKQHRDQADAPSSLSLSLSLGVVTDRSKKMRRGGADGEFVCKTCSRSFPSFQALGGHRTSHLRGRNGLALGLAAGSDQPVTKKTTDKKQAHQCHVCGLEFAMGQALGGHMRRHREQESANTAQAPPVLLQLFV >SECCE6Rv1G0444320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827436186:827437574:1 gene:SECCE6Rv1G0444320 transcript:SECCE6Rv1G0444320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVTHSFVFLAHWPSAGSFGLNTDILATNLINLTVVVGVLIFFGKGVYLLDNRKQRILSTIRNSEELRRGTIEQLEKARIRLQKVELEADEYRMNGYSEIEREKANLINATSISLEQLEKAMNQVRQRVFQQAVQGALGTLNSCLNTELHFRTIRANIGILGSLEWKR >SECCE5Rv1G0367220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819832823:819835203:1 gene:SECCE5Rv1G0367220 transcript:SECCE5Rv1G0367220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVAQISALTAAAAHALCLAGLAAAHSLAGRGALVSDPAHALRLLVVCEAPLVIVVFSLLRRDPKRSSFLKAAARGLLGLPIGAFLNAFGAIVLGAPVGIKYWIATTYWSSLMSLFTFVPAACVFGASKVDWQNVLSHSIYCTSSNVVDYMISAPSHGAVIGAWLGAWPMPLDWERPWQEWPICVTYGAIAGHVIGMLVSLILVLAHKRRVRVKAD >SECCE7Rv1G0466300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:78066284:78070812:-1 gene:SECCE7Rv1G0466300 transcript:SECCE7Rv1G0466300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAWRSLFGCFSSHAEAAAAAAAAAGGGKGEKKGKASKKKKSKKKVAAAGKAPRPSRSLHGRMSFSDLSMSGGMVSPEDLSLSLAGSDLHVFTIAELRAVTRDFSMTNFIGEGGFGPVYKGYVDEKVKPGLRAQPVAVKLLDLEGNQGHNEWLTEVIFLGQLRHPHLVKLIGYCYEDEHRLLVYEFMTRGSLEKLLFKKYAASLQWSTRLKIALGAAKGLAFLHEAENPVIYRDFKTSNILLDSDYKAKLSDFGLAKDGPEEDETHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYGFGVVLLELLTGRKSVDKSRPPREQNLVDWARPYLNDSRRLDRVMDPNLAGQYAGGAAQRAAALAYKCVSLNPKSRPHMSAVVSALEPLLALEEDSLAGPFVYVAPPESGNSGGGGGREGRHRRRAGRRKSGDAAESVAVVVERE >SECCE5Rv1G0373510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:859366678:859373857:-1 gene:SECCE5Rv1G0373510 transcript:SECCE5Rv1G0373510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPETTAEATLAAAEDGGDSKALGDAWDYRGRPAVRASSGGWSSAAMILGVELNERLTTLGIAVNLVTYLTATMHLGNAASANAVTNFLGTSFLLCLLGGFVADTYLGRYLTIAISTAVQAAGMAVLTVSTTAPGLRPAPCADPTGDGSAPGCAPPTGTQLGVLYLGLYLTAVGTGGLKSSVSGFGSDQFDESHAGERRRMARFFGWFFFFISLGSLLAVTVLVYVQDNVGRRWGYGACVVAILAGLGVFLAGTPRYRFKKLAGSPLTQIAAVTAAAWRKRALPLPSDPAMLYDVDDAAAAGEDVGGKRKLPHSKQCRFLDQAAIVEVELEPSSPPSGKKKKKKKWAVCTVTEVEEVKQVVRMLPTWATTIIFWTVYAQMTTFSVSQAQALDRRLGPSFVIPAGSLTVFFVGSILLTVPIYDRLIAPLARRLTGNPQGLSPLQRIFVGLFLSILAMAVAGLTERHRLSASTAGIQLSVFLIVPQFLLVGAGEAFTYIGQLDFFLRECPRDMKTMSTGLFLTTLSLGFFLSSGLVSVVHAVTTSGGRRPWLANDIDKGRLDYFYWLLAAISTANLGIFVAAAKGYVYKEKRLADAGFIELHVEEVVIHA >SECCE5Rv1G0346140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660416830:660417279:-1 gene:SECCE5Rv1G0346140 transcript:SECCE5Rv1G0346140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLARKCQRMLAAGAGARCRQASDMADNECCSTASSVVADEGHCVVYAADGARFKVPLVYLGTTVFAELLRMSEEEFGFASGSEGGRITLPCDSTVMEYVLCLVRREASEEVEKAFLSSISGHCHSYNASCMAPSHQFALCT >SECCE3Rv1G0186210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:681982402:681985565:1 gene:SECCE3Rv1G0186210 transcript:SECCE3Rv1G0186210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSLFNRSSPFGTKCKTCLNLIISRIKLLRNRREMQLINMRKEMVQYLQTGQESIARIRVEHIIREQNILAAYEIVELFCEFVLARVPIVEAQKECPIELREAIASIIFASGRCSDLPELMHLRNLFTTKYGKEFVAAAMELRPDCGVNRTIIEKLSVKAPSAESKLKVLKAIAHEYNLDWDSSNTEAEFNKKYEDLLDDSGSSVRQGQTPTIESSPASPISRDKPSIIPVNDTSKNKTPESPKSPAVSSRAYAATKSNVASQEHQPPAAERSSCAGPGSSDVLEKARAAIAAATRASAAARAAAELVNKVKVTTQ >SECCE7Rv1G0491420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:525344027:525347148:-1 gene:SECCE7Rv1G0491420 transcript:SECCE7Rv1G0491420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAWPVCTICYEDLRPLSDQHIYCLPSCGHVFHALCVEQWLEYCPSAGGKKKGKCPICKQACGASHPPTRLFFQSTGACPTQAPPSSSQDADPEELAAEVARLEQKASSLSRALEDQRDGIEKLNAEVVRWKEQAATAETMRESARKDKESVQRLLNAKTEELSRKTSECSRLQERSLALAKELAALKLSSDMNLQEEEILKLASLGNHGNLENAVDVLKRSLALRNKSYKDLMVQCNHLGRSESRAQQRIEKDKELIKKLRAKVLDLQKELEEKENSVIRDLRSSKKFKADQNQTIPVNANANNGFSSRSAGYGNQTVKLDDVMQDGCNEKAQLNQVTPEAKKDPYLEDNLDIKTADVIDLDADDNDTIKCPAKPFGYNDYTLDTQNLSSRCEHDKKEPTAFGCEPSFYVPEETSFLKHTAATGNSTFQEILMKTKLQNVQELPVLRSMNVTASTWKKESLTIGGISKQATRLASGTGPQTFHNLNSLSDDDFQTLPGCTVEGARKGIGKWSKGMAAPGYLGANTNRGNLIAVGHDGRGGKVKVLRDHGRFPDSRTPALWPKAQQKAGGKSGQSQIEHFFGKR >SECCE5Rv1G0327920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:498542425:498543679:1 gene:SECCE5Rv1G0327920 transcript:SECCE5Rv1G0327920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSNFRTAPCGALMEYNELIFCDLYLHHPITDKDEEKRTILRVNGVSGFGATAVNNWEIYDGAGYDAEVVARGQGLHISAGNWHNTFSMVFDADRFTGSTLQVMGISIEDGEWAIVGGTGEFAMATGVIVKKLREKREEYSIIELTIRAFCPLLKGSWSLPAKIGPWGGDGGTAQNMIKSSRRLESITISSGDVIDSIAFSYIDEADQKCTTDRLGGPGGKSITIELAPSEFLTQVSGTISDGRCEVVESLQFVTNIQTYGPFGTEDEDGMPFTFSVPRYKKVVGFFGRGGLFLDAIGVYLQPV >SECCE2Rv1G0134660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:902850133:902851137:-1 gene:SECCE2Rv1G0134660 transcript:SECCE2Rv1G0134660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQRPTTTTTASMCLPDTARGTHVVTVAGYRLHKGLGVGNFIRSATFAVGGYDWCIRYYPDGFSPEYKDCVSVCVELQSKNSVVRALYDLRLTNRATSLSSLIFSRPSSFPAFDSCKNDHVRGAYMFMKRDLLEASPYLQDDCIVIQCDVTVLLKKISAVATTTTKTPEEIQVPPSDLLNNLAMLLEGKKGADLVIKVGEETFYAHKTVLAMRSPVFDAELYGPMAMCDTGKQCIQIVDMQPAVFGALLHFIYTDSLPAMDGLLDSHDKREVIRHLLVAADRYAMDRLKLMCENILCKGLDAESVAATLALADQHGCSKLRDACIKYIKLFC >SECCE7Rv1G0506130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:746193210:746194721:-1 gene:SECCE7Rv1G0506130 transcript:SECCE7Rv1G0506130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERTVMICMAAAALLVSLTGGAGVAAASAKELRRGFSAAHDTSYSHFQPVLSDPTGVFAFGFLRVNSSTLDLAVLHLPSAFPLWRAMPDRPAPWSAAASLSFNGSLVLTDGATNQVLWSTAASAGDRAVLLNTSNLQIQSSSSSVAVWQSFDYPSDTIVQGQNLTSSAALHSVDQRFAMRLGSNYFALYVEPPPMSSGGVAAAMYLKHTALEAKAQIVAGGGPIYARVEPDGFLGMYQKEGPPAEVMSFDTFNHGVRALRRMTLEPDGNLRAYYWDVSRWVLDYTTITDSCELPTTCGAYSVCIPPGGRCACLANATDGRGCVAPSVGSGLCGTTGGEVGGLYSEVRRHGVEPVNKELLGFEHAPSAGDCEALCARNCNCWGAVYSNGTGYCYLMEYPAQLMVTADEKKVGYFKVRSVEEASGRGGRAAGVKAALLAVGVAVVAAAAAFGAYRVWDRRHRAAAETRQQLGADGDGLSPGPYKNLGSFSSVELSSSFNSSRR >SECCE5Rv1G0368120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826548704:826549566:-1 gene:SECCE5Rv1G0368120 transcript:SECCE5Rv1G0368120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLQVFGQPASTDVARVLTCLFEKNLEFDLVRIDTFKKQHKLPEFVRLRDPSGQVTFKHGDNTLVDPRAICRYLCTQFPNQGNRRLYGTGSLERASIEQWLQAEAQNFNPPSSALVFQLAFAPLLGIPQDHMVIAENERKLQQVLNVYDEILSKNEYLAGDEFTLADLSHLPDSQYIVSSERGRKLFTSRRNVARWFDQISRRKAWEQVVKMQMEHPGAFE >SECCEUnv1G0551420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:233354219:233355510:-1 gene:SECCEUnv1G0551420 transcript:SECCEUnv1G0551420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSKB [Source:Projected from Arabidopsis thaliana (AT4G34850) UniProtKB/TrEMBL;Acc:A0A178UTW2] MVSARDADTTPAAPNPGKATILALGHAFPQQLVMQDYVVEGFMRNTNCNDPELKEKLTRLCKTTTVKTRYVVMSDEILKTYPELAQEGLPTMKQRLDISNKAVTQMATEASLACVKAWGGDLSAITHLVYVSSSEARFPGGDLHLARALGLSPDVRRVMLAFTGCSGGVAGLRVAKGLAESCPGARVLLATSETTVAGFRPPSPDRPYDLVGVALFGDGAGAAVVGTDPTPLERPLFELHSALQRFLPDTEKTIDGRLTEEGIKFQLGRELPHIIEAHVESFCQKLIKEHPATAAEGDNMLTYDKMFWAVHPGGPAILTKMEGRLGLDGGKLRASRSALRDFGNASSNTIVYVLENMVEESRQRTEAPEPEPECEWGLILAFGPGITFEGILARNLQARLGANN >SECCE4Rv1G0274360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783873378:783876805:1 gene:SECCE4Rv1G0274360 transcript:SECCE4Rv1G0274360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSMARSMLRGAITVAASAAAAEVGLLMGVRKDIWFIKDELETMQAFLEIAEKMRMKDVLLKVWAKQVRDLSYNIEDCLNEFTMHVGSQSLCRRLMKLKDRHRIAIQIRDLKTRVEEVSIRNTRYNLIKTEASNGIGEVDSYTEDVRNHSASNIDEAELVGFSKPKCELIKLMDVNTKDGIARVAFVVGMGGLGKTTLARKAYESKKDIVNNFSYRAWITVSQTFSKLEMLKDMIRQLFGNEELKKRLKELEGKTVQVDDLASYLREMLQERRYFIVLDDLWSIDAWNWIKGIAIPSGNIKGSRIIVTTRDIGLAQECTHETLIYHHKPLQIDEATNLLLKKIGKTNTEVENDEKMRNVITKIVYKCGGLPLAILTIGGLLASKAIELWENIYKQIPSELESNPSLEAMRKMVTLSYNHLPSHLKSCFLYLSIFPGDFEIKRRCLVDRWIAEGFVRARDGVNVEDVGIHYFTELINRGMIQPSRVNMQGIVKTCWVHDIMRDVMVSISRDECFVRLMGDSEAGVVEGNFRHVAYHGRKFLNIGMESIHVRSLTMFGKRSMELSPSICSTNMRMLRALDLNNTWSVTQTDINHIGSLCHLKYVSIGKYSKIYALPKCIGKLRGLQNLDIRHSYITSLPTGIIELHSLRSLRCSRKPFFEHFDIDHPMQCLRNTLRLPVLCTPLVDPDYHADLTAELHMAYSSCLSETCGVRVPRGIGNLKELQILEVVDIKQTSKKTVKELGELIQLRKLSVVTGRFSKQKQKILHLCAAIAKLSSLCSLTVDACYGGTLEWLHTVSSPCPLLKNLKLSGRLGEKTSEWVGSLKHLVKIQLESSRLKDDEITEMLGELPKLIFLSLEMGAHASKELVFREKSFLNLRKLCISFLRKLRELRFQKGALPQMETLEFSYGELESGIIGIKHLPVLKRISLGIEGKAAKLYMLQAEVDAHPNHPVLQLKQDRSEHDLGDVIKGCDDMEAGEEPSLFSEPATVGESSSQAMNLSLDHDIGDDDFLSCISDDVDAA >SECCE7Rv1G0484070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:339710985:339712946:1 gene:SECCE7Rv1G0484070 transcript:SECCE7Rv1G0484070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKAILARPIQVAEQVIKWAEEAQTCRQECLELKTKVERLASLLRQAARADLYERPARRILDDTGKALDKAAALLDRCRARGIVHRVFTIIPAGSFKRTSNQLDNSLGDLSWILRVSNYANAGDDLDDHIGLPPIAQNEPILFLIWEQIAVLYTGTSDARADAAASVVSLARDNDRYGRLIIEEDGVPPLLRLIKEGRPEGQENAALAIGLLGRDPECVELMVLAGVCTAFSKILKDAPMKVQGMVAWAVSELATNHPKCQDAFMQSNVIRLLVSHLAFETVQEHSKYAVASRMSLHSVVMDKKGSGKYSSHQDTFDTAEHTTTNSLSAKPTIGGSTAAGGNAAAAAGAAAAAASAAAGGTSSIGVTGAATASIGSVAGTKQHNVSLSGTSTRGKEYEDEETKAYMKSNAARALCQLATGNAAVCKNITESRALLCFSILLEKGAPDVQYNSALALMEICRVAEQNADLRRSAFKPTSPAARAVVDQLLRVVTKAEYDDLLIPCIMSLGCLSRTFRATETRIIGPLVNLLDEREADVSREAAVALTKFACTENYLHVDHSKAIINASGAKHLVQLVYFGEQVVQVAALLLVCYIAHNVPDSEDLAQAEILTVLEWASKQAYMVQDTLIENLLPEAKIRMELYQSRGAKGYH >SECCE5Rv1G0376180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871720390:871722579:1 gene:SECCE5Rv1G0376180 transcript:SECCE5Rv1G0376180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPFSMAKKQNLWQRLFSAALGARPLISIRATATAVTGSESTPHPTTLHRHGRSSHPSMAEKLVAGQTLVVDVDAGLLLPSSSSPFPYFMLVALEAGGYLRGLVLLLLYPIIFCMRSDSDAAVRMMVMAAFCGLRAGPFLAGRAVLPKWFMEDVAAEGFETMRMSGAAGGRRVCVARKLPRLMVEWFLKEFLGAEAVVGKEMKVLWGFYTGLMEDEDKKMLEEEDKIMLDGNDAVGFSGSLEFLQHPLARCCKNVYLATPDDRATWQALPRSRYPKALVFHDGRLAFRPTAGSTLAMFMWLPFGVALGAARLAIALTVPYRYSTPILAATGMSWRLKADERPKLLAADGERGRRRGQLYVCNHRTLIDPVYISVALDRPVRAVSYSLSRFSDLLSPIGCTVRLARDRDVDAGIMGRLLDSGDLLVVSPEGTTCREPYLLRFSPLFAELSDNVVPVGLATETSMFYATTAGGLKFLDSLYYMVNPRMCYTVQFLGRVSTSAVRERKVPSADVANLVQRKMGDALGYGCTMFNRKDKYLMLAGNDGKCSIAPAVMS >SECCE2Rv1G0067910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20781092:20784533:-1 gene:SECCE2Rv1G0067910 transcript:SECCE2Rv1G0067910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPAPTSPASIPASGGFLLARARVDPGAGGRWAVRAQPAAAELVERSVNTIRFLAVDAVEKAKSGHPGLPMGCAPLGHVLFDEFLRFNPANHAWFDRDRFVLSAGHGCMLHYALLHLAGYQGVTIDDLKDFRQWGSRTPGHPENFETDGVEVTTGPLGQGFANAVGLALAEKHLAARFNKPDLTVVDHYTYVILGDGCQMEGVANEAASLAGHWGLGKLIAFYDDNHISIDGSTAIAFTEDVIARYEALGWHTIWVQNGNTGYDDIRAAIKEAKEVKDKPTLIKVTTTIGYGSPNKESTHSVHGSALGSKEVEATRKNLSWAHEPFHVPDEVKRHWAHHLDEGASLEAEWNAKFAEYEKKYHQEAVELNSIISGKLPAGWEDALPKYTPESPADATRNLSQQCLNALAKVLPGFLGGSADLASSNMTLLKMFGDFQKDTPEERNIRFGVREHAMGAICNGIAVHSPGLIPYCSTFFVFTDYMRAPIRLSALSESGVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPGILVLRPADGTETSAAYRIAVINRKRPSILALSRQKLPQLEGTSVEGVAKGGYVISDNSSGNKPDLILISTGSELEIAEKAADQLRKEGKSVRVVSLVCWELFEEQSEEYKESVLPSEVTSRISIEAGVTLGWEKYIGQKGKAIGIDRFGSSAPAGRIYKELGLTVENLIATAKSL >SECCE7Rv1G0470110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:116021940:116025983:-1 gene:SECCE7Rv1G0470110 transcript:SECCE7Rv1G0470110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSTAAVETAVTVPSSTFDVSRRPDTAGLVLNSPRPPSLREELVGVVGKAFRPHGSGLGAGGDRRPPRWAWVLTALQAVFPVLQWGRSYTLKSFRSDVMAGLTLASLGIPQSIGYANLAKLDPQYGLYTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMVQKVVDPAVDPVTYRTLVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLSRFTNSTDVVAVAKAVFSALHDPWHPGNFFIGCSFLIFILATRFIGRRYKKFFWLSAISPLLSVILSTAAVYATKADKHGVKIIREVHAGLNPSSVRQIQLNGPYTTECAKIAIICAVIALTEAIAVGRSFATIRGYKLDGNKEMIAMGFSNVAGSMSSCYVATGSFSRTAVNFSAGARSTVSNIVMAATVFIALEFFMKLLYYTPMAVLASIILSALPGLIDIREACNIWRVDKMDFLICLGAFVGVLFGSVEIGLGVALAISFAKIIIQSLRPQVEVLGRLQGTNIFCSVRQYPVACRTAAVQVIRIDTSFLCFINATFIKERIMEWVRAEVDTSNEKVRERVHSVVLDMSNVVNIDTSGLVGLEEIHKELASLGIQMAIASPGWQAIQKMKLAHVVDRIGEDWIFLTVGEAVEGCLTAHKGSAMEC >SECCE2Rv1G0106210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:631625059:631629655:-1 gene:SECCE2Rv1G0106210 transcript:SECCE2Rv1G0106210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAIPRRGLFIGGGWREPTLGRRIPVINPATEDTIGDIPAATAEDVELAVAAARSAFLLDGGSRWARASGATRAKYLNAIAAKIKEKISYLALLETVDSGKPKDEAVADMDDVAACFEYYAGLAEALDGKQHAPISLPMEEFKTYVLKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASLTCLELGAICEEIGLPSGVLNIITGLGPDAGAPIASHPHVDKIAFTGSTATGKTIMTAAAQMVKPVSLELGGKSPLVIFDDVADIDKAVEWAMFGCFFNGGQVCSATSRLLLHEKIADRFLDRLVEWAKNIKISDPLEEGCRLGSVISKGQYEKIKKFISTARSEGATILHGGDRPKHLGKGFFIEPTIITNVSTSMQIWREEVFGPVICVKVFKTESEAVELANDTHYGLAGGVISDDLERCERIAKVIHSGIVWINCSQPTLVQAPWGGNKRSGFGRELGEWGLENYLSVKQVTRYCKDELYGWYQRPSKL >SECCE2Rv1G0119850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:794606709:794610086:1 gene:SECCE2Rv1G0119850 transcript:SECCE2Rv1G0119850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAWWRKKVVFPARRALAAVSTRVRSRKTGSGGSILKLHEDVQTCGYKDVQVMFEMLTSELEDPKRRKQQPAAWPAWPSRSSSSIAAAQ >SECCE4Rv1G0290180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:870802244:870802498:-1 gene:SECCE4Rv1G0290180 transcript:SECCE4Rv1G0290180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWFFYMNPRPGYKVTFLPALRPEETCGSGGRSAVDVANHVQAVIAKELGYRCTTLTKKDKYMKLAGNDGTVAAAGDDGKKFA >SECCE1Rv1G0023210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:300202072:300210300:1 gene:SECCE1Rv1G0023210 transcript:SECCE1Rv1G0023210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPTESIYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEFCEKYAKPEDAGITPEDKSSDEEELSEDEDHSGDEDIVGKPDP >SECCE7Rv1G0476670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:208836397:208837881:-1 gene:SECCE7Rv1G0476670 transcript:SECCE7Rv1G0476670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKPRRQGAAVRDGIVQYPHLFIAALALALVLMDPFHLGPLAGIDYRPVKHELAPYREVMQRWPRDNGSRLRLGRLEFVNEVFGPESIEFDRQGRGPYAGLADGRVVRWMGDKVGWETFAVVNPDWSEKICANGVESTTKKQHGKEKWCGRPLGLRFHRETGELFIADAYHGLMVVGESGGVATSLAREAGGDPVHFANDLDIHMNGSIFFTDTSTRYSRKDHLNILLEGEGTGRLLRYDRETGAVHVVLSGLVFPNGVQISQDQRFLLFSETTNCRIMRYWLEGSRAGQVEVFANLPGFPDNVRLNSKGHFWVAIDCCRTPTQEVFARWPWLRTAYFKIPVSMKTLGKMVSMKMYTLLALLDGEGNVVEVLEDRGGEVMKLVSEVREVDRRLWIGTVAHNHIATIPYPLD >SECCE4Rv1G0268320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:741459619:741459897:-1 gene:SECCE4Rv1G0268320 transcript:SECCE4Rv1G0268320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDETVAGPRPDHGFGRLRKYSSFSRSTAPATDVAPTVTRSITIARPPSLSHPSAESSSVPSSPASAPDSPFAAGGPPPLFLGLSL >SECCE6Rv1G0407500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:558789694:558792286:-1 gene:SECCE6Rv1G0407500 transcript:SECCE6Rv1G0407500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAESGQAAVVAGGNHPQLSKSAKKKLLKQERQAARKAERKVAEKERRREDIERRRREWEESLAAAPSEEAREQMLAARRETRLERVGKRVEERGARAERLRRAADGAGQKVVLDLEFADLMRPNEIHSLTQQIMYCYAVNGRSATPAHLWLTGCSGEMGTQVQRIPGFDKWIIEKEAKSYLEAFADCKENLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITQKKAVDQGIQSAKLPIGNYLKMSSSQVLTVNQVFEIMQKFVETKDWKTAFFHVIPPRKRGEAGAADDDDAPEGAANGDLDKCSDEEVNDDDDGGGDGDEEADVANKRHCVRSESGKS >SECCE1Rv1G0056220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:685690943:685692040:1 gene:SECCE1Rv1G0056220 transcript:SECCE1Rv1G0056220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGAHREMPAGAGAAGPKLKATPRALFSCGIFSTCTHPALSPTATPNNNALAGGNGGVKGGGAGVSATPCGDASASPALVEAAAAASAVPSPVQWHRQKQVVHGGNANGSNGAGPSSSSSSSSSSASQSFTQWRLPVHHPPHAASSASAAGAGAASHAVAGSTEEKFAAGDVVGALRAVERDMEATETSKTVPAGVVAGVVAAVREPATARLAAKVLLVMLLEEGNREAAVEAGAASAAVEAVAASGPAGATAERALAALELLCTAAGGTAAVRREALAAPVLAHAVEGMSGRGRECAIGVLAAIYGGEEAAGASPPPPEVVKAVVGAMQGECSARGRRKGAQLLRALQEGGRLGLAWDGVGGS >SECCE1Rv1G0060620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710955267:710955614:1 gene:SECCE1Rv1G0060620 transcript:SECCE1Rv1G0060620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGWWKRARATLAKLPEMARVINDMRVGGCINEFDMATEQCNREQEAMGVSCAKATAALRKCMEGKDVLAKHLVALDEGIMEDEWRRWDPYVKKKLKRDARYRWWTGMKKSEEE >SECCE3Rv1G0195550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:798729258:798731448:-1 gene:SECCE3Rv1G0195550 transcript:SECCE3Rv1G0195550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVFVEKLLVGLLAAVVGAIVVSKIRGRKLRLPPGPIPVPIFGNWLQVGDDLNHRNLAAMARKFGEVFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGEGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRPGWEAEAAFVVDNVRADPKAATEGVVLRRHLQLMMYNNMYRIMFDRRFESMDDPLFLRLRALNGERSRLAQSFEYNYGDFIPILRPFLRGYLRLCKEVKETRLKLFKDYFLDERKKLVSSKSVDNNGGLKCAIDHILEAEQKGEINEDNVLYIIENINVAAIETTLWSIEWGLAELVNHPEIQQKLRDEMDAVLGVGHQITEPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLAGYNIPAESKILVNAWFLANNPEQWRRPDEFRPERFLEEEKHVEANGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFVLTPPPGQDKLDTTEKGGQFSLHILKHSTIVAKPRVF >SECCE7Rv1G0507200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:759440077:759443414:1 gene:SECCE7Rv1G0507200 transcript:SECCE7Rv1G0507200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTADRTRVAPEIEKDFDDVAMEGDMESDPALARERQLEPVQPWQEQLTVRGMVAALLIGFIYTVIVMKIALTTGLVPTLNVSTALVAFLALRGWTRGLARLGIKSRPFTRQENTVIQACADSCYTIASAGGFGSTLLGLNKKTYELAGDSPGNVPGSYKEPGIGWMTGFLLAICFGGLLSLIPLRKVLVVDYKLTYPSGTATAVLINGFHTPKGDKNAKKQLHGFLKYFGISFMWSFFQWFYTGGPACGFVQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSTLLGAVLSWGIMWPLISKQKGVWYPADVPASSMKSLYGYKAFFCVALIIGDGLYQFLKVTYVTSKSLHQRLNNKVVTNKVADRDGMVSPEELQRDEIFKRDNIPSWMAYAGYAVLSIISSISIPLMFRQIKWYYVIVAYLLAPVLGFSNSYGAGLTDINMAYNYGKIALFIFAAWAGKKNGVIAGLVGGTLVKQLVLMSAELMHDLKTSYLTSTSPRSMLVAQAIGTGMGCIVSPLTFMLFYKAFDAPYALIYRSMAILGVEGFSALPKHCLSISAGIFAFAMLLSIARDILPRRYGKYVPLPMAMAVPFLVGGSFAIDMCIGSLVVFVWEKMNKKEAAIQVPAVASGLICGDGIWVFPSSLLALAKINPPICMKFTPAS >SECCE7Rv1G0481350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:282744869:282745861:1 gene:SECCE7Rv1G0481350 transcript:SECCE7Rv1G0481350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQNKEVDALVHKITGLYAAIAKLPSLSPSPDVDALFTDLVTACVPPSPVDVTKLDPEAQEMREGLIRLCSEAEGKLEAHYSDMLAAFDNPLDHLGMFPYYSNYINLSKLEYELLARYVPGGIAPARVAFIGSGPLPFSSYVLAARHLPDTMFDNYDLCGAANDRASKLFRADKEVGARMSFHTADVADLADELAAYDVVFLAALVGMAAEDKAKVIAHLGAHMADGAALVVRSAHGARGFLYPIVDPQDIGRGGFEVLAVCHPDDDVVNSVIIAQKSKDMHANEHRNVRGGQYARGTVPVVSPPCRFGEMVADVTQKREEFANAEVAF >SECCE7Rv1G0496130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:607909491:607910819:-1 gene:SECCE7Rv1G0496130 transcript:SECCE7Rv1G0496130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLCMSELLGPRTIEQLRPVRAAELAGVMSAAAEAAAKGETLDVSRQLIRMSNNAIMRMVASALPGDMADTARDCAKKVAELVGAFNLEDYVALCRGWDLQGLDRKTRDVRDRFDALLESMIKAKEKERRQEADETKTKDLLDILMDAAADPAAEVKLTRENIKAFILDIFTAGSDTTATTVEWMLAELLNHPDCLQKLRAELDAVVGRSRVVGEPDVAQMPYLQAVLKETLRLRPPAVFAQREAIEPIHVRGYTIPVKTSVFFNIFSIGRDAAYWEEPLEFRPERFMPGGAGEACFDWEVPNPPLDMEEEAGLVTARKQPLVLLPTQRLHPLPLP >SECCE1Rv1G0058610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701125647:701129600:1 gene:SECCE1Rv1G0058610 transcript:SECCE1Rv1G0058610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSSGRAGGGGGGGGGYESGSDHDTRKQPLLVNTGSWYRMGSRQSSLTAGTSSMAIMRESHVSAFLCTMIVALGPIQFGFTGGFSSPTQAAIIQDLGLSISEFSVFGSLSNVGAMVGAIASGQMAEHIGRKGSLMIAAIPNIIGWLAISFAKDTSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTIGIVLAYILGMFVPWRMLAVIGILPCTILIPGLFFIPESPRWLAKMNKMDDFETSLQVLRGFETDITSEVNDIKRAVTSANKRAAIRFQELNQKKFRMPLILGIGLLVLQQLSGINAILFYASSIFKAAGITNSDLATCGLGGIQVLATLVTTWLLDRAGRRILLIISSAGMTISLLAVAVIFFIKDTVSQDSHMYYILSMVSLLAIVAYVIAFSFGMGAIPWVIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLLLSWSAGGTFVSYMLVSAFTLVFVILWVPETKGRTLEEIQWSFR >SECCE1Rv1G0051930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:657589510:657591806:1 gene:SECCE1Rv1G0051930 transcript:SECCE1Rv1G0051930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGARAPAASVLRGLVALAGAAVLWFSGVAEARVLLTLDDFGAVGDGIANDTQAFVDAWTAACGSEEQAVLAVPVARSYRIWPVQLSGPCKKKLKLLIAGTIVAPASPDEWAGRDPMKWLYIYGVDGLSVSGGGTIDGVGQEWWARSCKRKKTQPCNTRPPPRALHFEECRGVSVQGVTLQNGPQFHLSFTRCMDVKANFLRVAAPADSPNTDGIHLNDSSRVQIMDNLISTGDDCVSMVGNCSDVRVRDISCGPGHGISIGSLGKNRTTDRVENVRVDTCLLTNTTNGVRIKSWQGGMGSARDLRFESILMKNVSNPIIIDQYYCDQPTPCANQTEAVEVRKVEFVDVRGTSATAQAIKIACSDTVPCTELELRNVNLTMAGGGAATASCYRASGKSSSVVVPASCLAKGDP >SECCE6Rv1G0388300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:132385506:132390264:-1 gene:SECCE6Rv1G0388300 transcript:SECCE6Rv1G0388300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3B [Source:Projected from Arabidopsis thaliana (AT2G33610) UniProtKB/Swiss-Prot;Acc:Q84JG2] MATPPAPVPQATANAAPTPVQSPFKAPPFSQPPSTAGTLKTEIPHASTSAATAAGVPAVGAAAEDSSHVITVPSYSGWFSYDSISDTERRLLPEFFEGEVAAVSGSRGPDAYKYYRNTLVKRFRARPARRLTLTEARRGLIGDVGSVRRVFDFLEEWGLINHGAPLPGAKQGKDKREEAATSQSSLPAGPTIPKKLCVGCRSVCGSAYFTCEKADISICCRCFVRGNYRPGLTPADFKKVEISEDAKSDWTDKETLHLLEAVLHYGEDWKKVSEHVGSRSEKDCIARLIRLSFGEQFMGSKEQKMEFEIDDDVTNESRAEIPKRLRLTPLADASNPIMAQVAFLSAIVGSGVAAAAAQAAISAQSQVDMNDSQTDSPISSMKEEESSYTNGLSANDLLKEASTNAQVQLQKDQKDIEQSLSAIVDVQMKEIQDKISRFEQKELLMEKEREQLHHLRELLFVDQLAVVQHQRRPHAVAAENKEEEKPRPIITTS >SECCE4Rv1G0287130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853163990:853168406:1 gene:SECCE4Rv1G0287130 transcript:SECCE4Rv1G0287130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVLDAFACYVQNMLTEMVKEEVYMLLGLRDLKNFLADADKRNITDKTVQEWVAQLKRAMYEAVDILDLCQLKAMERGPSTKDVGCFIPLLFCMRNPSHAHDIGTRIKALNKRFDTIKERSAAFSFINLDSRENHGSKVHNSHSVNTRRETSGEFDRSGLVGEKIEQDTRKLVEIMLSEKEGSSNIMVVAIVGVGGIGKTTLAQKVELLRTAITLTGGVHRGEKALAALHPILKTALTGKKLLLVLDDVWSQGAWSDVLKTPLANVVARGSRVLVTTRDERIARGMKAVLPYHHVDKLEAEDAWSLLKRQENRSLLTLRFNCLQIISSETDEREIDMLKDIGMQIMVLDDSIWSISSMPEELNNAVYLSYEDLSSCAKQCFLHYSLLPKTAVFGSNEIIAMWVSEGFLHGTSDDLEEVGSKYYKELILRNLIEPNTKYVDQSVCGMHDVVRSFAQFVARDEALAAQGEETNIVSKLESDGLGWSSLQAQKSLRALISVGYISIKPGDSLVHFPCLRTLHICSADVVALLESLHELKHLRYLSLENTDISSLPDSIGMIKFLQYISLDGCEQFVKVPHSILKLGQLRHLNFNMTSIEGIPRGFCALTNLRVLKGFPAQVDGDWCSLEELGPLSQLRELGIHGLENVILSSSTTKAKLANKVHLTCLFLSCGPNSILANGDLIKEEDSVSKEEQQQIEKVFDELCPRPRLENLDIKGYYGRWLPKWMMSSSVVPLKSLRFLFITDVLCRQLPDGLCQLPYLEFIQIYRAPAIKRIGPDFQQPYHHHSASSSQKVAGFPKLREMILIGMVGLEEWEWEEQVQAFPVLQELFLSQCKLKCLPPGLASQARALNKLSVRYVQGLISLENFPSLVELDLYEDLDLERITNPPRLQKLTIEECPDLEVLEGVPALQRLILVEEDMESLPEYIQGVNPRHLELYCSLDLLISIAAGQSGPEWDMFSHVEHVKAYAREGDNRKKWYVLYTANPFKLETNVSRSFMYRGNLALLVHLNLCISTGSLNCSLCFLPVIDMF >SECCE7Rv1G0460420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:31665645:31666948:1 gene:SECCE7Rv1G0460420 transcript:SECCE7Rv1G0460420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPTRLLSLAFPSKSRNVGVAGLKPPSTEAEELRRSNLVKLDLSDGQTLYSKLVVGADGSRSNVRQIAGIKTTGWSYPQSAIICTVEHTAENDTAWQRFLPSGPIALLPIGDNFSNIVWTMSPEEASRHKSMSSEDFVMSVNRALDFGYGPHPNSSSLDHYVERLFSGVGGTAASTKECFEVPPRAIGLISERMAFPLSLMHSHDYVSKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVISEGVSVGADIGDLSLLNRYETDRKAANIAMAAVLDGFQKMYSVDFGPLNVLRAAAFHGAQYISPLKKNIISYAMGDRKSVLFP >SECCE7Rv1G0462690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:47859884:47873624:-1 gene:SECCE7Rv1G0462690 transcript:SECCE7Rv1G0462690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQATSVEVDSILFGFYTDDEVRRLSVKRLTRSERLDAKNCPVPGGLLDPAMGPVNANDTCNTCAQQPGNCPGHFGHIELSKPLFNPLLFDTLRDLLRSTCFTCRKFRLGAQLVDRYAHELELLARGDVVQAKNLQDSAVSEESVEMDEDGSVDKPASPSDRGNKTWTSIQLKEAHSIFSKLMKKRVNKCANCGMKNPPIKCQIYGWLTIQGTDGSAVRRKAITDYNLEGDGHNPNPNGTGVSGLTDDRVKASSNQTKDFSDDRPLLTTEVESILKDLWEKEARFCMLLCDFQQNLCVSEKRRGYEMFFLKSMLVPPNRFRPSTSSSLGIMEHPQNVMLSKVQEANLAIQHDSAHPNHMDILTRWRDLQSSVNLFYDSSKGLGKSGKEGHGIRQLVDKKTGIVRQKIMGKRVNHSCRTVISPDPFLAVNEIGIPPAAALKLTYPEKVTPWNAKKLQGAISNGSEIHPGATHFKVNNHFYKLQDDCTKRHGIAKTLAASRGSISQPGKDPKCEFESKVVYRHIQDGDIVLVNRQPTLHKPSMMAHVVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRSGEAVRGLIQDHIVGAVLLTKVDTFLSREEYSQLVYGSCVSSSRGPCQSGKRVSIVKDDDTLELLPPAFVKPERWTGKQVITTILNHLTKGHPPFTVEMKGKISVQYLTAKVRHVAEGEKLRDPEELVLYIRHNELLKGMIDKNQFGNHGIVHTVHELYGADTAGRLLSIFSRLFTLFLQFHGFTCGIDDLLLSQESNNERMDFLSRSEEHSEEAHKKFLCKKDVDTDLVELQMNVEKVVRRIGESANVALDKAMLSELNGLTTKVNKNMFPYGLQKPFPKNCLTLMTATGAKGGDVNMTQISSLLGSQDLEGARVPRMISGKSLPCFPPWDSSSRAGGYVSDRFLTGLRPQEYYFHCMAGRNGLIDTAVKTSRSGYLQRCLIKSLESLFVSYDHSVRNVDGSIIQFCYGEDGVDVHKSSFLKKFKELADNRKAVSDRLAGYKGELEMYKGDPLSFKSKYITKLPQGLTKSATDFHKENKCCCRKLKKKCRCRYDIKEKELMKLLKVKYVASLVDPGEAVGVILAQSLGEPSTQMTLNTFHLAGRGDMNVTLGMPRIQEILMKASANIGTPYMKCPVHEGKKRKDAARLAEKLRGVTLADVVEGIEVCTVPFHNSNGHISSLYKLHLKLFSPDCYPPESELTVDECQASLRTVFVDAMEYAIEKHLNLLHKVSGIQETRVKDTESLPSEGPEESEGRPTNGDESDASDGDDENEDDLGADAEKRKRQENDEMEYEDDIENADGMTSDPDEETKHELDNEDDPAESGGESEENDEGHLSDSSNKTAKLEGRLAASKLKKERNETVENLEEQKQAQITQKRSKKLKLTVHVESADLNFEVHYILHKEPHILLSQIAQKTLRSLFVSGSHNKNIGLCNVIWPEKKKKGETETKQKETERKDDFRGLQTAGLDFEVFWDLQDFLDVSKLTSNDIHAMLRTYGVEAARATIINEVKAVFEPFGIKVDPRHLSLAADFMTSGGSYRPMNSLGTARFCTSPFGKMSFEQATRFIMEAAYHGEVDNLTGPSATVSLGKPAKTGTGAFGLMQNITLEERAVM >SECCE3Rv1G0169340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:237045379:237049143:1 gene:SECCE3Rv1G0169340 transcript:SECCE3Rv1G0169340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPGRGRDPELFAELWRACAGPLVEVPQRSERVFYFLQGHLEQLQEPTDSALLAEQIKMFQVPYKILCKVVNVELKAETETDEVYAQITLQPEADQDNLPLICDPTLPETPRPVVHTFCKILTPSDTSTHGGFSVLRRHANECLPPLDMTMPTPTQEIISKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLMAGDAFVYLRSETGEQRVGVRRLVQKQSTMPASVISSQSMHLGVLASASHALKTNSIFVVYYRPRLSQSQYIVSVNKYLQASKTGFTVGMRFRMNFEAEDVPVKKFFGTIVGDGDLSPQWSGSEWKSLKVQWDDSVAICNGPERVSPWEIDSSDGSSPAISALLQSSAKNKRPRETNEILDLPSQEPTQEFWLSGMTQQHERTYVGSSDPNRISGYHQILWPSDAGYGAMSSSSVCQTPLGLGDGWPKDFNPSSQGISPTLSEITQKLNRVASSEGRAPPPWATALCGGYRAEEPTSKLSCNTALPLTEQVAPYLPKVAEKTKEPGVVRLFGVNLMESTNNAAATTATAGNASDGAGETSARVAGSVEVSGQLSAFSKVTKVVNESPKEIQSQQSSIGRNRVKVQMHGNAVGRAVDLASLDGYEGLTSELEQMFEIKGIKQNFKVAFTDNEGDTMKVGDDPWMEFCRMVRKIVIYPIEDDKNMDPRQTSILAAAPDQDPKANL >SECCE6Rv1G0399340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:436208388:436211546:-1 gene:SECCE6Rv1G0399340 transcript:SECCE6Rv1G0399340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFLMQRTTRWPSCFILWCLSVQLLFLLPVYSLNQSSCNPDDYGALEGFLRGVSGGISGWTLSNTTTEVANCCAWVGVTCDAGGRVIRLDLHGRKLKGELALSLARLDHLQWLNLSDNNLRGAIPAPLLQLHGLQRLDVSNNELSGTFPANVSLPLIEVLNISFNSFSGTHPTLHGSSQLMVFDAGYNMFTGRIDSSICEPSRVIRVIRFTSNLFAGELPEGFGNCIKLEELYAELNSISGSLPDDLFKLQFLKNLSLQENQLTGRMSPRFGNLSSLAQLDISFNSFSGHLPDVFGRLGKLEYFSAQSNLFRGPLPASLSQSPSLKMLYLRNNSLSGRINFNCSEMTQLSSLDLGTNKFIGTIDSLSDCHHLRSLNLGTNNLSGEIPPDFRKLQFLSYISLSNNSFTNVSSALSVLQDCPSLTSLVLTKNFHDGKALPMTGIHGFHKIQVFVIANSHLSGAIPPWLANFRELKVLDLSWNQLSGDIPAWIGDLEFLFYVDLSNNSITGVIPNSFSSMKGLLTCNSSQQSTETDYFPFFIKRNKTGKGLQYNQVSSFPPSLILSHNKLIGAILPGFGSLKNLYVLDLSNNNISGIIPDELSGMSSLESLDLSHNNLTGSIPYSLTKLNFLSSFSVAYNNLMGTVPLRGQFSTFTGSDYEGNPNLCGTRFGLSLCQSNHAPIMSATENRKNKGLILGIVIGISTGAAMVLSVAVVLALKRSFRRQDHIVKAVVDTDVAFELAPASLVLLFQNEDDDKALTISDILKSTNYFDQANIIGCGGFGLVYKGTLPDGAKIAIKRLSGDFGQMEREFKAEVETLSKAKHPNLVLLQGYCRNGSDRLLIYSYMANGSLDHWLHEKTDGPSRLNWQRRLQIAKGAARGLAYLHLSCEPHILHRDIKSSNILLDENFEAQLADFGLARLICPYDTHVTTDLVGTLGYIPPEYGQSSVATFKGDVYSFGIVLLELLTGKRPVDMCKRKGARELVSWVMHMKGEQREADVLDRTMYDKKFEVQMMKMIDIACLCISESPKLRPLTHELVLWLDNICASSEATK >SECCE4Rv1G0269910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:750546070:750549360:1 gene:SECCE4Rv1G0269910 transcript:SECCE4Rv1G0269910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGNDLRLDLDKLPIKRLEAIDEAGNEHYPPDTSSEEQRLAAIRRVDFSWVIERDAKKAKKAAAEDAAQKAWPWQGLHESLQLAQQELTVVLDLISTVEANDTVAVATIAKPRPLPDEVLVDMAVSAATKLQRLRHLGRYFKQSAKTMEQQFQKEARFYSSLIRLQQNWKVKRQRGNAPGINSFMFDVVDTSQLDTAAMPRMSSLSLVPIDQDSSGTLSVQVPQKSCRFLSLQFRRDSANGPDSYACRTKGASSTTSSAVEDDVLGDDDVNKSVKQAHSILRNIHKSIFEEQVLDMVIRETFVQTQGVNVTGMREDFLQLAIGEESLLSLSLVDSGQDSDSEMAGHEEHNNLEANLVLATTNGKQEPLKRDTSGFLNPKSLEIYLLHLFHENILRKVREKYRNIVRYQSPAQTAESAGEDCGLLSHFCMTVAHKTFSKKVQLELESVVSRVPYLQLRSLPTWHSRTSSWSLCLRVPQPILAADRPTKPSDNGEPKYKSSRTQFSTKIVLKDGQLSLLGEGSPSIAGSLTRKPSDGYLINSYNCDLEDLPTMVLQQVASQIINWLHEEALVLGMSVTRDFLCLYFDLEHGDTLGLVAHVDPDDEYGCISWYLTVDHPAEEDGKAPAADDPWAEKRRFLGHLSLEVLYSTLLDLINLCGTGARPLR >SECCE5Rv1G0315960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:302823304:302828777:1 gene:SECCE5Rv1G0315960 transcript:SECCE5Rv1G0315960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRMKCLVGGAVDQGSPRGAARRVSPASGRVHNAAAAVGPGDKRAIRFRPPDVMETVHEVAVYIHRFHNLDLFQQGWYQMKISAMWEEGESGSKTPASPARVVQYEAPDVGADDALGIWRIDDADNSFYTQPFRIKYARQDIYLSVMVSFNILNGEQEGPAASAVILKYELIYAPTLENGSDIQSSSATSSAAVHEFRIPHKALLGLHSYCPVHFDAFHAVLVDLTLHIVYLKAGANKSSLKIPDQGLRPTSHHIIKALLASREMLLEELKKISGAVGKTIEDLDDADLSLGKYESLQPSKPAHSDSGKVFPVTTKGVGHLAGILHDFLERPNDVVDGTSDGMLYTLSSEELLELFVTVSSQLSLLWNAFLKFHRINKTKILDYLRDIWAVDRKAEWSIWTNHSKIEIPHRYLRSMSDDPSHRHSLLRVSGSRKFHEDPVQNSASRAELHRKSIAQMKINTRSVQDMHIYADPSRVPVVLIEQHVMVVPQHGSTKDFASSSSEQKDTIVLPKLQGESLALKNINGKKGGRVLRAVIFVHGFQGHHLDLRLVRNQWLLLDPGAECLMSEANEDKTSGDFKEMGGRLAGEAVAFLKKKVDKLARHGGCKELKLSFVGHSIGNIIIRTALAEPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTFFYRLCKSKTLENFKNIILLSSPQDGYVPYHSARIELCPAASADNSRKGQVFTEMLNNCLDQIRAPSSETRIFMRCDVNFDQSAHGRNLNTMIGRAAHIEFLETDIYARFIMWSFPELFR >SECCE6Rv1G0453610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:883998546:884000772:-1 gene:SECCE6Rv1G0453610 transcript:SECCE6Rv1G0453610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSIQHSWAFAFGLLGNVISFMTYLAPMPTFYRIYKSKSTQGFQSVPYVVALFSAMLWIYYALLKPDECLLITINSAGCFIETTYIIVYLSYAPKQAKLFTGKILLLLNVGVFGLIVLLTLLLSEGEKRVVMLGWVCVCFSVSVFVAPLSIIRLVVRTRSVEFMPFSLSLSLTVSAVIWFLYGLLIEDKYVALPNILGFAFGVIQMGLYALYRDATPRQAPKEVDATVSNDGAVKAPEHVVSIDQLGPAAAIELNTHYPVELPPMMKEGANDVASASDETKGGVEKVDKATHIEQV >SECCE4Rv1G0219200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:29004202:29009380:1 gene:SECCE4Rv1G0219200 transcript:SECCE4Rv1G0219200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLTTAVSSSIRRFYRLASSPLFPPPAPRRLPVPLLSARFCSAAAAATAAAPAPVDAAYSALAAATAAAPAPVDAASSAVAAVSDGHPWPEWADFLEKLRAKGYFVRPPLASGAPVGEEVAADAVGKPDYPFRDQNRVKNACLKFARERFDLLSSLPKKDIQAIVECGCPNIFRKAVSSAKRLREFVQVDEGDACSVCKLRGSCDKAYVIPKAEEAPRTVDVVRILLTYAIEPANLSGENSVGGGVQESARKLLSDLTMLCDTTIDPSIPKPVIQTYSKQDSSTKPDKGKQSSRVSAGKGRETAVTEMKKGDWLCSNCNFLNFARNRQCLECKVDGPKMIEAAISEMKMGDWICPGCAFMNFSRNKMCFKCEGQRPKRQLNPGEWECPSCDFVNFRRNQECKKCSHDRPEDDTQDNKLGYDVWRNTKGANKDRSFDSVHQEDNDSDEEGLPYKGEERRHVASRRESPARREFAGKSRNHGDEDDALPYEGGDKRVSSRRASPARKGYAKNDGNDEDVEFDVSPYDGARKHGSSRRAAPGRRGFTKDEDDVLPYEGARKHVVSRRAAPSQRRFTAARGE >SECCE7Rv1G0520260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:868121859:868123553:-1 gene:SECCE7Rv1G0520260 transcript:SECCE7Rv1G0520260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLLAEVSPQELLQALAELQNHMLGYVKSMSLRCVVDLGIPEAIHCRGGTATLADIATDAKVHPCKVPDLQRVMELLTTSGIFTATANTGGGDTVVYGLTTACRILVGWCNLSPMVPFLVNPLVVSSFFSMPEWFRSEPEAAGAGSLFELAHGCSQWEMVRKNAGFNNVLNDSMAADSQLFLEVIIVDKGRICRGLRSLVDIGGGNGAGTQVIAKAFPRIKCTVMDLPHVVGQAAASDDNLSFVAGDMFESVPSADAILLKNILHDWGHDDCVKILQRCKEAIPARNAGGKVIIIDMVRGSANGDRKINEMEAIQNLFMMYITGVERDEIEWKRIFSDAGFSDDYKILPVLGPYSVIEIYP >SECCE5Rv1G0352440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:709567082:709568437:1 gene:SECCE5Rv1G0352440 transcript:SECCE5Rv1G0352440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGRAATPMARRPASPSTTPTTVTPGSTASSCSSNSDPAARTPPPAYLVPWAEGGGGRGYYPGCRKDANCACEICLASINATRDLLPPEAASARRCFAAAARDRRPGTRSLFLARDGAATPGSWTPPLRSAAKTRRHWRDASAAAAKARRSSSPDWALYALTVLGFLLLLWVDTGLVPEVAARGFGPKLSPEAVARMGQEARLAPAGLGHRLRSLERGVGQLVGADRISNCSSKDSVWRLQQNDQHLFHWRCSLYKSAAEEVSIWGSPLRSSGLLPSTLSTRHITILSGKVTEWSDGSVLPKVRASNGSSWSYRGRSAAAVLLEPETWVLEYQRSVLFEGTRLLPATVELLVSRCSTMAKRARQKLAKKRFYGGTGGIQAKPT >SECCE5Rv1G0362800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:789088112:789088915:1 gene:SECCE5Rv1G0362800 transcript:SECCE5Rv1G0362800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGADRTLPPGATALEIPAPSDRPAFVTCPSFGNVPPAATAVHLPPEMLREPRARRTRASFNFTADPEAEHHARRLAYAYITPPEAPCRAYPGPFIRRAFRTLALDLPQNFEVLPPARRADATVRFRTPDFREAALRRQPLVLDGVTVKLLREQAPAVRRVSSDRIVHAALRDYPIEQRTRKGIESNCFRFGFVLEIDPACFTAPDLATVRVVLELEDPGEIPHEIRIDYCDGHGSANVVPVEIVRVWHRSHSYHANGQYMPLFG >SECCE7Rv1G0505670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:739697365:739698492:-1 gene:SECCE7Rv1G0505670 transcript:SECCE7Rv1G0505670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSPARLHLRPSCRRPAALTSLQSVDRSAATCRRQGRLLPVAAAPLRLRAVRATAAAFDNGSGVIEAEEEEGEEDDDEHPRLKIAIVGFGNFGQFLARTLVRQGHTVLAHSRSDHSAAAADLGASFYADPHDLCECQPDVVLLATSILSTEAVLRSLPVHRFRRNTLFADVLSVKEFPRKQFLSYLPDDFDIICTHPMFGPESARDGWAGLPFVFDRVRVGDCPARRARAEAFLAVFAREGCRMVEMPCAEHDAHAAETQLVAHTVGRMLATLGLRPTPIDTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLHRLEAALDTVKRRLFHNLHDVLRKQLFEGSPPLNRDSPPGPGSSSSTAESLSNDRP >SECCE2Rv1G0098270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:479794574:479795733:1 gene:SECCE2Rv1G0098270 transcript:SECCE2Rv1G0098270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKKPTAATGSSIVDDLFGPKDGATSSSAGYFSTVFPTPSGATGKDASRRGAAATGNKSSSAGHQQQGKQHGGSPESPYFGSSSVHYGGRDFYAGAESQRQYPATTAAPAPKNKDDGDTSAATRGDWWQGSLYY >SECCE7Rv1G0493260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:566033353:566034898:-1 gene:SECCE7Rv1G0493260 transcript:SECCE7Rv1G0493260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSVLCDADIGIIIFSAHGKLYDLATTGTMDGLIERYKSASGEGMTADGCGDQRVDPKQEAMVLKQEIDLLQKGLRYIYGNRANEHMNVDELNALERYLEIWMFNIRSAKMQIMIQEIQALKSKEGMLKAANEILQEKIVEQHGLIDVGMTIADQQNGHFSTVPLLEEITNPLTILSGYSTCRGSEMGYSF >SECCE6Rv1G0378210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:6988553:6993097:-1 gene:SECCE6Rv1G0378210 transcript:SECCE6Rv1G0378210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSACSLNVGFVAGVVFVLLVYFLVQQQEAISSLSVATTAAVSQWMTDKQLIKAPGEIQQIKAPGEILVASDVHRIADKQPIQDPAKDACELELKVCLEARARAEKGEVVCTTESESHGHSETCEVDGDVRTNGTALSVTLVPATSRKERREWTISPYSKAEIVVVKNVTVTQLQDRAAAAAPPCTVTHSMPAVLFAIAGHAGNYWHDYTDILVPLFVASRRYRGEVTFLVSNIQYRPEWVVKYKTLLRGLSKHAWVDMDGDTEVRCFPHVTVGLRVDKELTIVPELVPGGPLSMVDFTRFLRETYGLPRGAATREPDKKPRLLLIHRGHYRLLLNEPEIAQAAEAAGFETVVMELRGNASEVEQARLVNSFDVVLGMHGAGLTNSLYLPPGGVLIQVVPYGNIEFIARGEFSEPVTDMGLKYLDYSVSVEESSLLETLGPEHPAIKDPDSIHRSGWANMFDFYLAKQNVRINTTRFAPTLAQALDHLRRQ >SECCE5Rv1G0321270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:399856850:399858313:-1 gene:SECCE5Rv1G0321270 transcript:SECCE5Rv1G0321270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHRCLPWLILLLVLRGGTAVAVAAAGKVPAIIVFGDSSVDTGNNNFIPTIARSNFWPYGLDFADGHPTGRFSNGRLATDFISEAFGLPASIPAYLDTTLTIDDLAAGVSFASASTGLDNATAGILSVITMAEQLDYFREYKQRLKLAKGDARGEEIIREALYIWSIGTNDFIENYYNLPERRMQYTAAEYEAYLLGLAEASIRAVHALGGRKMDFTGLTPMGCLPAERMGNRGDPGQCNEEYNAVARSFNAKLQQAVVPKLNKELPGLHLVYADTYDVLDAVVRKPADYGFENAERGCCGTGMFEAGYFCSLSTSLLCTNANKYVFFDAIHPTERMYNMLADKVMNTTLHVFL >SECCE6Rv1G0430530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:742579367:742580077:-1 gene:SECCE6Rv1G0430530 transcript:SECCE6Rv1G0430530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRYWNINLKEMIEAGVHFGHGIKKWNPKMAPYISAKRKGTHIINLARTARFLSEACDLVFDAASQGKSFLIVGTKKRATDLVASAAIRARCHYVNKKWFSGMLTNWSITKTRLSQFRDLRAEEKKGKFHHLPKRDVAILKRKLSTLQRYLGGIKYMTILPDIVIVLDQQKEYIALRECAILGIPTISLVDTNCDPDLANISIPANDDTMTSIRLILNKLVFSICEGRSLYIRNR >SECCE5Rv1G0366790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815999586:816000014:-1 gene:SECCE5Rv1G0366790 transcript:SECCE5Rv1G0366790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLAGEQDELNLELTLRSPVAPEPGGGFFLCVYCDRKFRSSQALGGHQNAHKHERSIAKRQRLIAAATRAHGAGAPAAAQDEGQTGYGGRGGCDFLPADGKARRTEPRKSAAAGKACERGTTPSGYGAMERADDVDFTLRL >SECCE5Rv1G0369680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:837208255:837209333:1 gene:SECCE5Rv1G0369680 transcript:SECCE5Rv1G0369680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSASTPVLGALHATSGGGAHSPAVHFADSSPTAAYHPPAISCSLSAAGGSASDHERSRGGLRRACSDGNLAALGGRADDHHHHLPPRPRPALETIQSFTARNGARDEEEEDDHDDDQEMIFGAFGFGGGSTYSQEHPLFLARGLGIDRLGSGLLSADSGGGIDGGSGGGYLVASGGGGGDRSGMEIHYKRLIEEDPCNGLFLRNYAQFLYQVKGDRRRAEEYYSRAILAEPNDGELLSEYARLVWEVHGDEERASSYFDRAAMADPHNSHVLAAQAAFLWDTDDGAGPEDDAMSYAGFPAAHPSMASATS >SECCEUnv1G0532670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:22965600:22967180:-1 gene:SECCEUnv1G0532670 transcript:SECCEUnv1G0532670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGASTTTTRKRKAAALEGHPAPAPAGAAADPGMGEDDRGGGSGEDERDLDRISSLPDAILGEIISLLPTNQGARTTILASRWRHLWRSAPLNFDCRHLACEWDELSDLMPRIISAHQGPCRRFCTPEQLYTINDIDAVEGCLLSPALARLQELKLNSWRGQPLPELVFRFSDTLRVFRIGQCSLPDATVQRFHFPRLERLEIVHSSITERSLHSMIAGCPSLECLLISRCTGPRCLRINSLVLRSVTVRNYSQLEDIVIESAPCLERISHLNQNQGLRVSVHFAPKLETLGCTNSTRVVFGYTNIQGSRTIKCLALSMPTLSLDMVIGLMGSFPCLEKLYIQCNTSRKINNVWRRKYRNLLTSLDIRLKFMVLNYYSGRKADVDFVTFFALNASQLESMTLVVETDDEDFLAKHHHKLQLDNMASNDARVHFTTERRYEFGYRLSF >SECCE4Rv1G0229390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:147853991:147855325:1 gene:SECCE4Rv1G0229390 transcript:SECCE4Rv1G0229390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMSKRKKMVRGAAFFVALAAMAVIVRAIVGKRRPRITYGPMHERDRIRYDYLNQKIWQSDVLCKNMLRFERAAFFNLCAIMRDRKLLEDSPHVSVEQQLAMFLHTVGHNLRNRVVSANFCRSYGTTSIYFRKTLHAIGELLNDYIRPPSLENPAKIEGNHQIDPYFKDCIGAIDGTHVRAGVTKDVEHSFRGRKAFTTQNVMAAVDFDLRFTYVLAGWEGSAHDATVLADALTRERGLQVPRGKFYLVDAGYGAKPGFLPPFRGVRYHLNEWGNNPVQNDRELFNLRHSSLRVTVERAFGSLKRRFKILDDAKPFVTFPVQVDIVIACCVLHNYALSQGIDEFIIPEVTWTTQPIRTSRQQASDTRAVVDRRLQMAAQMWEDRQLMYANV >SECCE7Rv1G0510510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:790371508:790371861:-1 gene:SECCE7Rv1G0510510 transcript:SECCE7Rv1G0510510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSQMLLLAIAFLVLASDVVTKASAGIEGIPCRTSFIPLPKPCDSKSCYDACIDRTWVGGFGQCVAEGCKCEQCTYIPPKNGN >SECCE4Rv1G0255080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:643733980:643735427:-1 gene:SECCE4Rv1G0255080 transcript:SECCE4Rv1G0255080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGRGGGEHWYGEPATAHGNGDDDNSSRSSPRPPLPLLPGLAIIPLASEKEQKKKQKHGQQEEEEQPAASFPEDILNDILSRVPYMSLCRFKCVSKEWLALCSDPDIRKRSPQTLSGFFYFDHGWKNSRPGWRFQNLSGKGSPMVDLDDLPFLGSTYKHFAVTQCSTSLLLCQCWKWKSPPPRDCGWNYRIDLDPWLFIRWPEVAKFDYVVCNPATQEWTVLPPIELPGHLSCIRPSKYILGFDPATPSRFVVFVRLNTNYGMPVEMIYSSETGGWTSVQSRRDGIGVDSVSSFGSRQSTLLNGTLHFPDYDSIVTPDMKRNAWREIRMPPGMTNNYVRAFIGQSKGHLYAWHIENRYDGQLSVWVLENYDSGQWTLKWVVNYFELFGKDHLENGKSYSMFAIHPECNLIFLTDGREKILSYNMDNQKVICTSVELSEGLPYTPCFAEWTIDG >SECCE4Rv1G0225890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:101307726:101309108:-1 gene:SECCE4Rv1G0225890 transcript:SECCE4Rv1G0225890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWARTRLSTRLMNVCLAALCRGGGLARAESVLVDAIRLGMPPDVVTYNTLLAAHCRASGLDAGFAVLRRMREAGVSPDAVTYNSLIAGAARGGLTMRALDLFDEMLQAGVAPDAWSYNALMHCLFRSGHPEDAYRVFADMAEKGVAPCATTYNTLLDGLFRFGHATNAYRMFRYLQRTGLPVGIVTYNTMINGLCKSGKVGYARMVLRELGRTEHAPNVVTYTTVMKCCFKYGRFDQGLETFLSLLDGGYIPDLFPYCTVISALVKKGRMEEANTYSELMIRSGFRLDSACYNTLIYLRFQEGKLDDAFELLSMMEEGGLESDEYTFSVLVNGLCKMGHFEAAHKQLCYMEIRDMESNIVAYNCLVDALCKSDEVDAAIKLLHNMKLKDDFTYTSLVHGLCKVGRYHMASKFLRICLREGNSVLASAKRAVIAGLRSAGFQNDVRKVRAALRMARLLKP >SECCE6Rv1G0381730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:34901385:34906702:1 gene:SECCE6Rv1G0381730 transcript:SECCE6Rv1G0381730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAMGGGEGSEEARGREREWEEAAEAVAYDSCTWPPPVVVVCGPGNSGKSAFSRLLLNTLLARYKRVAYLDTDVGQPEFTPPGFVSLHVLEEQTKDLTMLYLRAPKRCFFFGDVAAHTNPKLLLSYIFGLYDYFLKELYRFNEADNPHKSAIPIVINTSGWVKGIGLHVLSEILRYVSPTDVIRLNTTAEGKNLPGGAFWLDAHKGDSQVNLVEIRAAQNSPRHLLVKKEARMIRDLRLIAYFRQCLPRDFPIFSSEDLVQGIAAIDPFQLPLSKIQVIDLHSQISGDSVYDFLAGTIVGIGSSSSVPLSTECSSPWCMGLGFIKAIDIPGDCIHLITPVSHQLLENVDIIFPSCIAVPDGLFQVPDTVDDITARLRDLQMSTNNYDRRG >SECCE4Rv1G0288700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861483736:861484354:-1 gene:SECCE4Rv1G0288700 transcript:SECCE4Rv1G0288700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSDCPKRRPFIWDIIRMLNSIENTNEKINIASNSPVALISPFPWELLEFDMMELNFAFEINKQIPCSILLTNVINHYVAFNIERTGVLEYDIEPEKGIVPPQSKCNVTVTLQAQKKVPHATLCKEEFLIVRCAAVNEGLTAEGISQDMLDKKSVEGVDVVTLKVVILPVTSA >SECCE6Rv1G0413520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:624294117:624299390:1 gene:SECCE6Rv1G0413520 transcript:SECCE6Rv1G0413520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTSDSDDSDKFEWESVGEAAPSSAPALRNLDAPGPSTLSSNGWANGEATSTYLVEEYVTMGFPKEMVVKGIKEIGHNDANALLELLLTYKTLGDEGAFGDCSTSGCACPNVQDDDDDDLNFDNWDNDNDTGGREHNSDSSGDEDFLQEMSVKDEKINSLVDMGFSEDEANMAMTTCGVDADLCVLVDSISASRVAGDCHSSNLFDQQVVDRCFDSFGERKKARLMEERKKKRKRYGGGAHRNRSSLDGSDDEPMLFPNPMVGFNLPGYRQTSVMRMLPQQAIGPPFFYYENVARTPRGVWETISRFLYDIEPEFVDSMHLSPAARKRGYIHNLPIEKRSPLLPLPPKTIFEAFPHYKKWWPSWDLRRQLNCLQTTVASAKTTERIQSLLARSGNPPPPSVQKYVIDECRKWNLVWVGKNKVAPLEPNEVEYLLGFPRDHTRGVGKTERYKSLGNSFHVDTVAYHLSVLRDMFPNGVSVLSLFTGIGGGEVALHRLGIHMRAVVSVEIGEANRRILRGWWDQTQTGTLIEIADVKSLTPDIIASYVGRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEQSSLFYHYFRILDVVKSAMSRM >SECCE1Rv1G0039490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:548831413:548831820:-1 gene:SECCE1Rv1G0039490 transcript:SECCE1Rv1G0039490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKGPKLDLKLSFSRSRGGGGGGGGGGGGPSAAPPGGSNSPRRMSSSSSSSASPPSSCVSSEGSPEAGGGSGGSAMILAGCPRCMMYVMLSREDPKCPKCHSTVLLDFNDVGADHRNPGFGSGKVGKGKRG >SECCE5Rv1G0318040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:357216925:357219635:1 gene:SECCE5Rv1G0318040 transcript:SECCE5Rv1G0318040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFMYVPRMSSLEEPLGLGDLPKLSINRLGRFCQSACRSTADDRNTGNYTPSNSGNNQTLFHSTSHSWHLQGQYTDSSRNGVDMEFRALPQKVSWDLPRFVKVVEVGPRDGLQNEKNIVPTSVKIELIHKLVASGLSVVEATSFVSPRWVPQLADAKDVLEGIRHAPDVRFPVLTPNLRGFEAAVAAGANEVAVFASASESFSKSNINCTIEESLARYRDVTCAAKKHGIRVRGYVSCVVGCPVEGAVHPSKVAYIAKELYDMGCAEISLGDTIGVGTPGRVVPMLEAVMAVVPADKLAVHFHDTYGQALANILVSLQMGIGIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVNLGKLMDAGDYICKHLDRPSGSKTATALCRLTA >SECCE4Rv1G0296770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904472411:904473980:-1 gene:SECCE4Rv1G0296770 transcript:SECCE4Rv1G0296770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAFCGLRPSQFLVGCAVLPKWLMEDVAAESFETMRMSSAAGGRRVCVTRKLPRVIVEGFLREYLGAEAVVGKEMKVLWGFYTGLMDDGDEGMLDEQQKIMQDGDDAVGFSGSLEFLQHPLSRYCKNVYIQTRDDIAAWQALPRNQYPKAMVFHDGRLAFRPTAGSTVAMFMWLPLGVALGATRLAVTLTMPYRYATMILAATGMSWHLKAGEQPTLMGADDNRGRRRGQMYVCNHRTLIDPVYVSVTLDRPVRAVSYSLSRLSDLISPISRMVHLARDRVIDGNTMTHLLDLGDHVVVCPEGTTCREPYLLRFSPLFAELSDEVVPVGIAVETAMFYATTAGGLKFLGPLYYLANPRMCYTVQFLERVSTSAVREGKVSSAEMANLVQRKIGDALGYGCTMLNRKDKYLMLAGNDGVVHKVDGN >SECCE3Rv1G0144840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5454869:5458129:-1 gene:SECCE3Rv1G0144840 transcript:SECCE3Rv1G0144840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCVDPLVVGRVIGEVVDMFVPSVAVAVAYGARDLSNGCHVKPSLAADQPLVRISGRRNDLYTLVMTDPDAPSPSEPTMREYLHWIVVNIPGGTDATKGEVVVPYMGPRPPVGIHRYVLVLFEQKTRFPYVAAAPPEERAYFNTRAFAANHELGLPVAVVYFNSQKEPSGHRRR >SECCE6Rv1G0430990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745373762:745374756:-1 gene:SECCE6Rv1G0430990 transcript:SECCE6Rv1G0430990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEDVRGLAASLGELHVEASPSPEEGTNGHGNGAAAAAADDDVWGDASDSPGHDSDLKREWDHRKEQFHKMGYRDGITEGQKDIAQEGFNIGHRQSADVGFKWGLVRGITSALASLPDRLKEKLLLDAQRRGKLEDLHNSVQEISAQGALQLFHESTLQDNRQPEESKLQTIPKDLLLLLQECPDVHVSEELKRVP >SECCE4Rv1G0245240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:527140512:527148989:1 gene:SECCE4Rv1G0245240 transcript:SECCE4Rv1G0245240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33520) UniProtKB/Swiss-Prot;Acc:Q9SZC9] MDPATPLLAISRAISSRSRSFPASSPHNILLRGRPLTAPLGRAPVFTASAHRSFAVNGDVLLRSLARLALRGPASPRAGPRRWFASVSASPLASTGPPGGGCGRGNGDGGGGGDGGGDGWKRPRASQGTAVAEEAAGLEADTIILDVGGMSCGGCAASVKRILENEPQVVSATVNLATEMAVVWAVPEDRAVQDWKLQLGEKLASQLTTCGYKSSQRDSSKVSSQNVFERKMGEKLQNLKQSGRELAVSWALCAVCLLGHISHLFGVNAPLMHLFHSTGFHLSLSIFTFIGPGRRLIIDGIKSLFKGSPNMNTLVGLGALSSFAVSSVAAFIPKLGWKTFFEEPIMLIAFVLLGKNLEQRAKLKAASDMTGLLNILPSKARLMVDNDAEQSSFTEVPCGTLAVGDYILVLPGDRIPADGLVKAGRSTVDESSLTGEPMPVTKIAGAEVSAGSINLNGKLTVEVRRPGGETVMSDILQLVEEAQTREAPVQRLADKVAGKFTYGVMALSSATFMFWSIFGSQFVPAAIQQGSAMSLALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDVLEKFAEVDAIVFDKTGTLTIGKPVVTKVIASHSEGGVNTKDYWNNEWTEGDILSLAAGVESNTNHPLGKAIMEAAQAANCINMKAKDGSFMEEPGSGAVATIGEKQVSVGTLDWIRRHGVVRDPFPEAESFGQSVAYVAVDGTLAGLICFEDKIREDSHQVISALSKQGISVYMLSGDKESAAMNVASVVGIQLDKVISEVKPHEKKKFISELQKEHKLVAMVGDGINDAAALALADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETMRTVKQNLWWAFLYNIVGLPVAAGALLPVTGTMLTPSIAGALMGFSSVSVMANSLLLRARMSSRHHVQSRQKPHNTISGVSDGADEVEQSYPSKWRST >SECCE3Rv1G0201980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:868499282:868499779:-1 gene:SECCE3Rv1G0201980 transcript:SECCE3Rv1G0201980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSRSTVGIGGGVDMCAMVAEHERIEWEVRPGGMLVQKRRGADDDGCDDAVEAILVRVSAGCGGWQHDVSIDATATFGDLKVLLSLATGLWPREQRLLYRGRERDDGDHLHMAGVQDKDKVLLLEDPAVTERKLRSTTLAQLMGVPCHSFIQV >SECCE7Rv1G0455100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5318345:5321263:1 gene:SECCE7Rv1G0455100 transcript:SECCE7Rv1G0455100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLLSIHIVIFGALLLFTRGKSFVQPSSSHRHPNHTVTSCVASERSALLGFKAGLSDPGYLLSSWKGDDCCKWKGVRCSTRNSHVVKLDLPGHGCDPSSDAWMLGGNISSSLLGLRNLQYLDLSCNGFYGVQVPEFLGSLHKLRYIDLSSLSFIGRIPPQLGNLSNLQYLNLNSEYFNATYSMDITWLSQLTSLVHLDLSGTNLGTIVHWLPVVNMLPSLKVLHLINCNLRTSSASLQLSNLTFLETLDLSANGFHTHIARNWFWDLTSLKYLYISSNGFYGQFPDEIGNMTSIVQLDLSSNSLVGMIPSNLKNVCSLEEFFVDETNINGSITKFFQRLPSCSWNKLTYLSLASSNLTGRLPTKLEPLNNLIHLDISRNKLIGPMPLWIGELTKLTYLDLGYNNLDGFIHEGHLSGLESLEWLWLSDNSLTLTVNSTWVPPSSLTNIELRSCLLGPKFPPWLRWLTSLDNLDISNTSISDTVPDWFWITASTLYTLKMGHNKISGSLPSTIEFMGATAIDLGSNQFNGTIPKLPISLSDLDLSRNKLDGPLPLDFGTPNLMKLVLFDNSISGTIPSSFCKMRSLILLDISRNKLNGPIPDCSTNTSTTNMTSMSILNLSLRNNNLSGEFPSFLQHCQELVFLDLAHNHFVGTLPTWIREKLPQLAFLRLRSNMFCGHIPEELTKLVKLQYLDLAYNNITGSIPKSIVNCKGMVATRDNYDDGGDALHRAFGFNDEWEHGPNELVTYTENFTVVTKGQERLYTGEVIYMVNLDLSCNNLIGDIPKDISALVELKSLNLSWNIFSGKIPENIGGLAQVESLDLSHNRLSGEIPASLSALTSLSRLNLSYNNLTGEVPSGDQLRTLEDPEYIYIGNPGLCGPPLTHKCSQPEPIPSTPEHHDDLTDMASFFIAMGSGYVMGIWAVFCTFLFKRKWTVYWYLVCDSLYDRVYVQVVLTWASWTREKGAEAETNH >SECCE5Rv1G0333150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:554716375:554718249:1 gene:SECCE5Rv1G0333150 transcript:SECCE5Rv1G0333150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] MRKPHKLLPNYLLLYRHTRPYTSTAANPIPAASAVLRDLDLLDAGELAPTPRVYNSFITACAQSKNLEDARKIHAHLAGSRFTGDAFLDNSLIHLYCKCGSVLEARKVFDEMRRKDMVSWTSLIAGYAQNDMPAEAIALLPGMLKGRFKPNGFTFASLLKAAGAYADSGTGRQIHALAVKCDWHGDVYVGSALLDMYARCGMMDMATAVFDKLDSKNGVSWNALISGFARKGDGETVLMAFAEMLRNGFEATHFTYSSVFSSIARLGALEQGKWVHAHVIKSQQKLTAFVGNTLLDMYAKSGSMIDARKVFDRVDNKDLVTWNTMLTAFAQYGLGKEAVSHFEEMRKSGIYLNQITFLCILTACSHGGLVKEGKRYFEMMKGYDLEPEIDHYVTVVTLLGRAGLLNDALVFIFKMPMEPTAAVWGALLAACRMHKNAKVGQFAADHVFELDPDDSGPPVLLYNIYASTGQWDAAARVRKMMKTTGVKKEPACSWVEMENSVHMFVANDDTHPRAEEIYKMWGDISNKIRKEGYVPDMDYVLLRIDDQEREANLQYHSEKLALAFALIEMPAGATIRIMKNIRICGDCHSAFKYISKVFRREIVVRDTNRFHHFNNGSCSCGDYW >SECCE1Rv1G0028680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:405388304:405388747:-1 gene:SECCE1Rv1G0028680 transcript:SECCE1Rv1G0028680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGASSPSGRAGAGRRWCARGDLLTVAVAAMLCSATYCFSIWHNGRNAADKIVIGPAPFVVAGAALCAGDAGEFDFEAHHTAERAGLSVSSSSPTVTGRRALRAAAVPMDGTGRRGVVLAGNLADGKEWARVNGGKLRSTHAGAVRA >SECCE4Rv1G0264140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:719378601:719382713:-1 gene:SECCE4Rv1G0264140 transcript:SECCE4Rv1G0264140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGAETGKAASGWEWRTPASMVLVQLFITGMILLSKVSIGGGIFIFALLAYRSLFGAVFILPLALIFERGKWKEMGWHATGWIFLNAFIGYAVPMSLYCYGLRDTTPSYAVIFLNLIPLATFILSLSFRMETLRIWSAIGSLKIAGVLFSVGGTMLISLYKGRALHLWDPILKNHPKEQIVEGAGNQLRGTLFLVGSSFAFACWYLIQSKVLKVYPYKYWSSMATCLVGGFQTALVGIILNADKNAWKLGWNLDLVTILYSGALATAGKYCLNSWVVAKRGPTYPPMFNPLSVVFTILLGSIFIGDEITVGSLVGTTMVLVGLYTFLWAKSKEVHD >SECCE4Rv1G0231890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:191357847:191358557:1 gene:SECCE4Rv1G0231890 transcript:SECCE4Rv1G0231890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLAKRRGIPAWAAAYTSDPSSSSWEEQAFARDAAADLLVWPPRSYSCTFCRREFRSAQALGGHMNVHRRDRARLRHGDDDQAQQEQADEPHEDDSTSAMYKQLELFRNPSTTTPPSQLSTIIKERNNNKVVVSIAVRDQEAADHQYDDRQDFELSGRRKRRRVDQAPVALASPYEQGAVLDHSKVIMKTIANPSSSSLNPHVDQGEVDLFLKKQGLVDLELRLGTNPNVASHAT >SECCE5Rv1G0331450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538855147:538857277:1 gene:SECCE5Rv1G0331450 transcript:SECCE5Rv1G0331450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIRSGVDDRDVAPPEHRLTVLAMQLAVLEKAVSRLGTLAFIWATVGARIQGRSHEVQWQQRATPWRCSAAVNVFHWLQLLSASVCAAVSLVRLVTQRCRYGGDPWTNSRAALDIFYGLALAESLLFLAEKALWHWRMGRHRLLERVAKECHLATACGLVAVRRFFYCSYSRSLHGSIFDGLQMDLVSYADGMLTAGSHDDQSLGAGILVALAESHRFADSTPLKIGMSASTIERLIQMLSWKSTSERDMRRSAAVVVSMLTGRKLVALRVTSIPGAMESVASLLYADLDELNLLGLSILNKLAHDHDNCDKIGKTRNLVDKIISYCSIAGGGQAAAPTGMRLKAMKQSLLVVKRLTGMTGTTGKLLRRALSDIVFTVSNLREVLEQRDGKVQSELHQLAIEVLTSLAIDKEAREKIGGTGGVVRELVAIFLPGKDQAKGNWQTDAIRVESGEALAMLALESRTNCGAIIMACGGGVERLVEALSDAVVIIGVARILLNLCTYAGDEWQLRLRGVTTGATKVLSAIMVEKTKILNIFLGLAVQMLRFMEPGELRACLATASVTEKALVQTLLHILREYSRPCMAIPWIRRYAIELTVAMMQLDPRYMALFAEHGMEGDLRRIAGTTSELECFSAFSGSVGLSRHAVSVPSLVTSALELMKIS >SECCE1Rv1G0010920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:67415080:67417443:1 gene:SECCE1Rv1G0010920 transcript:SECCE1Rv1G0010920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKARLLLLLLVCTCGAVSPSSEADAKALLTWKSTLTISDANASSPLSSWSPASSTCGSWSGVACNAAGRISALRIPGAGAAGTLDAFDFSAFPALASLNLSGNHLAGAIPVNVSLLASLASLDLSRNNLTGGIPAALGTLRGLRALVLRNNPLGGRIPGSLAKLAALQRLDLQAVRLVGTIPAELGRLRALSFLDLSRNNLSGELPPSFAGMTKMKELYLSRNNLSGLIPPELFTSWPKVTLFFLHYNSFTGSIPPEIGKAVKLRFLALEANNLTGVIPAEIGSLTGLEFLDLGRNSLSGPIPPSIRNLKLLVVMSLYFNGLAGLVPPEIGSSMPLLQNLDLNHNQLEGELPATISSLKDLYNLDFSNNKFTGTIPSIGSKKLLSAAFANNNFSGSFPQTFCEITSLEMLDLSSNQLSGELPNCLWELEGLLSVDLSSNGFSGKVPSAGSANLSSLESLHLANNNFTGGFPAILKKCKQLIILDIGENYFSSQIPSWIGSNLPFLRILRLLSNLFSGGIPWQLSQLSHLQLLDLAANHFSGPIPQGLLANLTSMMKPQTEFNMTSLVHYQVLHLDTQMYFVDRIDVNWKMKSYTFQGTIALMVGIDLSGNSFSGEIPTEVANLQGLRFLNLSRNHLSGHVPENIGDLKLLESLDCSWNGLSGAIPLSISKLASLSSLNLSNNNISGEIPTGNQLQTLDDPSIYNNNSGLCGFPLVACSKGSSGTVETLDTELETVYFYYSIIAGLVLGFWLWLGSLVFFKTWRTYVFCCVDRLQDKVMKRCRAFR >SECCE3Rv1G0163080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:143879502:143881545:1 gene:SECCE3Rv1G0163080 transcript:SECCE3Rv1G0163080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPILTVKTLYINSAILAARSRFFLKLFSNGMNESDQMQPRIRIADSEENAFMELLSFMYSGKLTTTEPTLLLDILMAADKFEVLSCMRYCSQLLRSLPMTTESAMLYLDHPCSLSMAAEVQSVVGAAREFLAEKYKILDTFEEVMNISLSGIEAIFSSTDIHVASEDAVYNFLLEWVRARYLEPEERREILSSRLLPLVRFSHMTCAGLQEILECTDDDIDREQVTKCINEVLLHKAYPTQMEGALAADASTLNWQSAERTYWSKHVKAVAFDRPCQQVIVYMDLTRDECSRFFPSGAILSDWFHLAGQKFYLLANSVLDEQTGLYRSFGLWLGIYGNSISDSLCFDIEFAARTRSSGKFLSKYGGRHTFNGHLLEVRDDLFGVPWSTFIADDSLFIDGVLHLRVDLTVVEQPELQT >SECCE2Rv1G0107920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:655995553:655999887:1 gene:SECCE2Rv1G0107920 transcript:SECCE2Rv1G0107920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAGKASFLEMVRYADAHDLSLMMLGVLGSFGDGMMQPLLMLVLGDIINSYGAAGSAGNTGTAFSSSTVDKFALRLLCLAVAVGACSFLEGVCWTRTAERQASRMRRLYLEAVLRQEVHFFDAAPSSQSTTFGIITTISDDADTIQDFLSEKLPMVLANVTLFFGSMSVCFVFAWRLALAGLPLTFLFFVPSVVLGKRMVAAAGESRAAYESAGGIADQAVSSIRTVASYNGERHTLERFRSALARSTALGIKQGLIKGAVIGSMGAIYAVWSFMSWFASLLVIHQHAQGGHVFVAAICIVLAGISIMAALPNLRYFVDASAAAARMRGMIEKLPPLKEAGKKGATKDVVRGRIVFKDVHFTYPSRPDTRVLNGVNLTMSEGATIGLVGGSGSGKSTVIALLQRFYRPDSGEILLDGDDIGSLNAEWLRSKIGLVSQEPVLFATSIRENILFGNETASPEQIIDAAKMANAHEFITKLPNGYDTHVGQFGTQMSGGQKQRIAIARALIRDPKILLLDEATSALDSESERTVQDALDRASVGRTTVIVAHRLSTLRKADTIAVLDEGRVVEFGTHDELVDMDGGEGGVYAKMVHLQSSSVARADGPRVAEEEEEKFHSVDITSPAGELRPSPVPSFRCVEPTVEISQLAVPVAHAARPQKSLHLRLLKMNRPEWKQALLGCAGAIIFGAVLPLYSYSMGSLPAVYFLSDHDLIRAKTRAYSLIFLAIAIVCIAANIVEHYNFAVMGERLTERVRDQMLAKILSFEVGWFDEDENSSAAVCARLATQATKVRSLVGDRMCLLVQAGATASLGFALALYVSWRLAAVMMAMQPLVIASFYFKKVLMTAGSRKAKKAQVQGSQLASEAVVNHRTITAFSSQRRMLQLYEAAQVGPRKDTMTQSWFSGFCLCLCQFNATASTALALWYGGKLMASGQINTTQLFQVFFILMSMGRVIADAGSLTSDLAQGGDAVQSILDTLDREPAIKSGTSDGASESDDDKEKLQKGIKGAIEFREVHFSYPTRPEVTVLAGLSLEIGAGKTVALVGPSGSGKSTVIGLIERFYDVRSGSILIDGKDIRGYGLTHLRSHVALVSQEPTLFSGTIRDNIMYGDEHATEEEVRSAAALANAHEFISGMEGGYETQIGERGAQLSGGQRQRIALARAVLKNARILLLDEATSALDTVSERLVQDAVDRMLQGTRTCVVVAHRLSTVHNSDVIAVVKDGRVAERGTHRDLVALGRAGMYYNLIKLQHGASPCHSPMRAVNN >SECCE7Rv1G0471660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:132702207:132704747:1 gene:SECCE7Rv1G0471660 transcript:SECCE7Rv1G0471660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLERRNSFGTTSPTSSASASDSSKKNEKTVSRYLRPSTGSCHDLCKHGHRNPSEEKLLLLGGRRKKLPTHPNNLTLHGSVILDTPKDVRNRRNISLVKSSISLGEADRVVHKIKSANLRGTASSEHLVPRIALSADHKNVNSDGKKKHPMVAQRTLANPRYSSGVPNFDKKAAMPVKDSKDSKLPEKTLQEKARTVEKATTVKQPLVKKPASLPTKLNLIKKVPVSSQASNNLVSSRDKSTLKGKLPSSPAIITGKRTSNTGKTGRSSMRSSNASINGKERSDVPRTPFSIEDEFIASVELQEDDVQDSCVTSYNVESTVVELFPDATEYGDISQTAPEEESRINSDDDLDMSITSSSVQSDLTPVETDEDDVQGSSVTGHLVESALAELSSHATEYVEESRPAPKETSRFSLEDDVVGSNERSEPLVSELPVAVELQRSFDNQELKTMLSKPDLEHMQPENNSADNRASTDEDIRADDAALCELPKQLTAVQNAGVYDSVLAESTSEVEADGVKVNASVESVITESREGMGAHEDLQGPPELHALDEKHAEDPESCLDCTTGNVAGNVEAAEIDDIENINSTSHCQPILEASSDGELMEQSKSVLTDSNLQNDELTSVHNNGSFEQDELKPMIVAQQLVEELSDDENYEEYDYELIELDEFDAEDEGETINPNDESSKSKGQRLQRISSLHPDDASTTPYKLKFKRGKIVELTPDSNGPRRLVFRRRAANEVANGEGQLVRRIFKRNTRNNGVPTEPDLESPSVKLRHQDTQDKKDAQGLFNNVIEETASKLVESRKSKVKALVGAFETVILLQDGNPTTPQAGNSPYLAQDEDEKAQDEPL >SECCE1Rv1G0026110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:365621060:365621983:1 gene:SECCE1Rv1G0026110 transcript:SECCE1Rv1G0026110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSFLKAAAVAVVVAVLAATAGANSFVSAAPLPTVFLFSPHCVLWIAANVIVVSLWLLSSYRHRGTAVAAGLSSTASDVSAAMGGMLFPSSEHDVFAAAPDAVVAPPAPVSTSRPREGRTAKRPVDRPRVRKKPAGEGKVGGAAAEAKPEGKKERSEEETPTASAAATEAEAVGLDDVSMETAWQSIVRSGAARPVAVRKSETWGGEALPRMRRAADKAVVARREMRKSATMVPASPPHPAAASSPVAARQGWRTRDVLGMAQDELLRRAESFIRRQHEHLRMQRQESEQRQAMEHDRRRPAPIRV >SECCE4Rv1G0255130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:643918366:643924330:1 gene:SECCE4Rv1G0255130 transcript:SECCE4Rv1G0255130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g18110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18110) UniProtKB/Swiss-Prot;Acc:Q5G1S8] MPLMAVSSPLPFPLPSARHPRRATAGATAASSATASDDFDYPLADPSVRWPSLRFPHLPAPRFPAADAAPPPPPAPARPDPAEDDSSAPSASGPSAVAVEPLDARAHRGRLKKLSKLALRRARDWRERVSDLADRLLALSPGAPVAEVLDDAWVSPDELAYVVRAVGAASWRRALDAFEWLVASGDGAPGPRVVAVVLGVLGRARQDGLAEEVFLRFAGEGATVQVFNAMMGVYARSGRFDDVRQLLDAMRGQGIEPDLVSFNTLINASAKSGCLAPGAAFDLLHEVRQAGLRPDVITYNTLISACSQGSILDDAVAVFQEMIDSECRPDLWTYNAMVSVHGRCGTAQDAEQMFMELLEKGFKPDAVTYNSLLHAFAKEGDVDAVERVCQELVKAGFRKDGITYNTMIHMYGKMGRLDLALGLYDEMRAIGCTPDAVTYTVLIDSLGKVDRISDAGKVLEEMVDAGLKPTLVTFSALICAYAKGGRRDEAEQTFDRMVASGVKPDRLAYLVMLDIIARSGETKKLMVLYHTMMKDGYMPDDTLYQVMLAALSKGNEDEEIEGVMQDMEVVCQMDRQLVYSVLIKAGCISQGAMLLKQACLQGHEPDSKSLLSILDAYETMGKHEEGQSLLQCIREHVPNSHNLISECSIMLLCKNQKIAAALQEYSSMQTLMCGSFGQDCNLYECLITCLEEAEFLPEASQVFCDMQFIGVEPSQKIYESMISTYCKLGFPETAHQLMDEAVQSGVWLNTLSSRVTIIEAYGKTKLWQHAENFVKGLNQEAGVDRRIWNALIHAYAESGLYEQARAVFDIMIKKGPLPTVDSINGMMRALITDGRLDELFVVVEELQDMGFKISKSTVILMLEAFAKAGDVFEVMKIYNGMKEAGYLPNMHIYRSMVSLLCRNKRFRDVELIVAEMEGAGFKADLAILNTLLLMYTGNGDFDKTVEVYHSILEAGLEPDEDTYNTLIVMYSRNLMPAEGYTLLNEMGKRGLTPRLESYKSLLVASGKAKLWEQGEQLFEEIKSKGYRLNRSIYHVMMKMYRDACNHSKAEQLLAAMKEDGIEPTIATMHILMTSYGTAGHPVEAEKVLNSLKSSSLEVNSLPYSTVFDAYLKNGDYSLGITKLLEMKRDGIKPDHQIWTCFIRAASLCERTDDAILLLNSLQDCGFGLPIRLLTERTSSLLDEVDNFLEELEALEDSAALNFVNALEDLLWAFERRATASYIFQLAVKRSIYRHSIFRVIEKDWGADFRKLSAGAALVALTLWLDQMQDASLQGSPESPKSIVLVTGEGEYNMVSLRKTIRAYLLEMGSPFLPCRARTGRFVAKFYSLKMWLKDSPFCMDLELKDAPALPKMNSMKLTEGYFMRAGLVSTFKDIHEQLGDIRPKKFSRLAMLSEESRAKFIEADIKGRKHKLERMKEKGLVIPRKSKKGPRRAKFVREQGTQEVLK >SECCE2Rv1G0076450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:91053385:91058060:-1 gene:SECCE2Rv1G0076450 transcript:SECCE2Rv1G0076450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDKAVAGGGEAQQPEPEPAAEASTFAELGICRELVEACDAMGWKQPTKIQAGAIPHALQGRDVIGLGQTGSGKTGAFALPIIQALLEHRQPFFACIMSPTRELAIQIAEQFEALGSAIGLVCSVLVGGVDRMQQVLSIAKRPHIVVGTPGRLLDHLKDTKGFSLTKVKYLVLDEADKLLNLEFKESLDDILKVIPKERRTYLFSATMTKKVSKLQRACLRNPVKVEVSSKYSTVDTLKQEWYFVPADYKDCYLVHVLNELPGSMIMIFVRTCESTRLLALTLRNLGFKALSISGQMSQDKRLGALNKFKAKDFNILICTDVASRGLDIQGVDVVMNYDIPMNSKDYVHRVGRTARAGQSGYAVSFVNQYEAEWFKLIEQLLGREIPDRKVDMDEILILREHISDSKRIALTKLREDGGHKKRRKARDEDEEEEEEAPRGHRQKPRSFKKSSRR >SECCEUnv1G0539380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75632912:75634728:-1 gene:SECCEUnv1G0539380 transcript:SECCEUnv1G0539380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARVAGCRKRGAEAFMEDPLAALPPLSAKRGRCSPSAAADVGELGVSMDFDPVDALQLIFPGADPQLLRGYFEASGNVLDAAIRGFKDYLASGSAPTNADAASSGVASDVAAMNTPTAGTDWAELIVKEMSAASDLVDAKNRAFRILELFDKSAADCNTPGEKQKILEEHKILKQMLGGLLHQNGVLKRAFLIQHNRLRDYQDMVRERSRFKEIVGKYQQQIKALEDRNYVLSLHLAQSDHRNGISGHRNPDVF >SECCE7Rv1G0516560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:846634880:846638003:-1 gene:SECCE7Rv1G0516560 transcript:SECCE7Rv1G0516560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPARGGRGGRGGFGGRSDGGGRGGRGFGGRSDGGGRGGRGGRGGRGGRGRGGGGRGGPGMKGGSKVVVVPHKHDGVFIAKAKEDALCTKNMVAGESVYGEKRVSVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGARVLYLGAASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTQSPEAVFASEVEKLKLEQFKPSEQVTLEPFERDHACVVGGYRMPKKPKCYP >SECCEUnv1G0567920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:420006250:420007128:-1 gene:SECCEUnv1G0567920 transcript:SECCEUnv1G0567920.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRSAVSAVSVGAVVLILALSPAAAVASGGWMDAHATFYGDETGAETMQGACGYGNLFEQGYGLDTTALSVALFSGGWSCGGCYEIQCHGDPHCKPGGVPVTVTATNLCPANYSKPYENWCNPPLKHFDLSKPMFLRLVTDFHVGIIPVQYRRVPCAKKGGIRIEMTGNQYWVGVLVFNVAGPGEVKSLAVKGAKDGQWRNMKRNWGQIWDGYVQNLVGQGLSFRVVASDGRSVALDGVVPASWTIGQSFEGKGQF >SECCE4Rv1G0228590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:137255800:137258017:-1 gene:SECCE4Rv1G0228590 transcript:SECCE4Rv1G0228590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAQDPFYIVKDEIQDSIDKVQDTFNQWKQAPENTGEYVHLTRELLTTCESVQWQVDELEKAISVAERDPAYYGLNEVEIGKRRNWTSTARNQVVSIRRNVEAGKHKTAFGRSVNPSELGRSKQHIAQDNDDFIASESDQQMLLMKRQDDELDALSASVQRIGGVGLTIHEELVGQEKLLGELSLDMETTSNRLDFVQKRVAMVLKKASVKGQIMMIAFLVVLFIILFVLVFLT >SECCEUnv1G0548670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:190675270:190675470:-1 gene:SECCEUnv1G0548670 transcript:SECCEUnv1G0548670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVAVSALIPEALGKSEERALILGWAYYLYAFSSYPLRTWLPSVYRRHDNWYTRGASFPVLSY >SECCE4Rv1G0263250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:715310123:715314218:-1 gene:SECCE4Rv1G0263250 transcript:SECCE4Rv1G0263250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVGGRRRRPPVDERYTAPQGLYPHPDIDLKKLRRLILEAKLAPCHPGADDPRPDLDECPICFLFYPSLNRSKCCAKGICTECFLQMKSPTSCRPTQCPYCKMLNYAVEYRGVKTKEEKGVEQIEEQRVIEAQIRMRHQELQDDAERLKNKQVAASTDEVTTAQVESCDTGGTSTPAASGAQGNDAVSGQVQHSELLLKNAERLRQMRDNNFDMDLEEVMLMEAIWLSVQDQEALGNPGSIGAVPPTLPPRCYDASGAASAEAAPPGGFACAVAALAEQQHMLGDPSSAATCQTSRHDILLSRSQRSFAEDLSIAGSSTASATRVEEPPNGRTPQTRDGADYSNNDGWSEAAEASTSCAGSDVTVEAGAASLAAADASSVGLGNVPDSFEEQMMLAMALSLVDARGVGGSPPGLAWR >SECCEUnv1G0530990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:15601628:15604180:1 gene:SECCEUnv1G0530990 transcript:SECCEUnv1G0530990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRLFDSFFSTKEMRVVMLGLDSAGKTTILYRLHLGEVLQTVPTVGFNVEKVQYKNVAFTVWDVGGQEKLRQLWRMYLSNSDALIYVVDSLDRERIEDARQEFQSIIKDPLMANSIILVLANKQDLKGSMSPEEVVEGLGLHDLKNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQETGHATSIAGPSI >SECCE3Rv1G0181740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:617599154:617601148:-1 gene:SECCE3Rv1G0181740 transcript:SECCE3Rv1G0181740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAQKPLLHFLIRRAGLRQHTVDVDGAGTVLTFWVPKDKLPKDKSTVCEITPEATAETNKAPAAKNKKQSKTKAKASRPSVVLVHGFAAEGIVTWQFQAGVLAKHYDVYIPDLLYFGGSTSPSTDRSPGFQAECLVAALGKLGVERCTVVGFSYGGMVAFKMAESHPGLVRSLVVSGSVVAMTDSISETTLERIGVKSSAELLLPDSVKGLKALLSIATHRKLWFPERLHRDYLQVMFTNRKERAELLEGLVVSNKDATVPVLPQKILLLWGQNDNIFNIELAKTMKEQLGEETMLQSIDKAGHLVHLERPCVYNRRLLEFLAYVSVEASRE >SECCE1Rv1G0041090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568475448:568478517:1 gene:SECCE1Rv1G0041090 transcript:SECCE1Rv1G0041090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGEGEELFLLRSAEEGGGDGDGLCVGERPWRLNFDGFRRQGPQQENPPSRGLQDCLGVLAQGPGDVVAEYYQQQLKMLEGFNEMDTLTDRGCLPGLSKEEREKIAQSETLAIRLSNIANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKRRMQPLGILVFASVMATLGLQIILESTRSLVSSNGADFRLTKEQEMWVVNIMLAVTLVKLLLVIYCRSFTNEIVKAYAQDHFFDVITNIIGLVAALLANYFEGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEFLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPCDMPLQEAHDIGEALQEKLESLPEIERAFVHLDYEFTHQPEHARSYDT >SECCE2Rv1G0123430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:823773045:823773386:1 gene:SECCE2Rv1G0123430 transcript:SECCE2Rv1G0123430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKVKDAVSSAKEKAKDGTAKAQGKTGKATATTHGEKEMAKEETRANKAQAKADMHQEKAEHRAEAAAGRHGATHVPLTGPHGHHRPAGAAPDPAYPGTGAYPATDKYV >SECCE6Rv1G0424940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702926562:702928399:-1 gene:SECCE6Rv1G0424940 transcript:SECCE6Rv1G0424940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGRDDRLSQLPDDILLNIVDRLGIVDVARTSSLSKRWRRIPAMLSKIDIAVGSFQLAQDRSNVTIDDVVRASSTTVEATRRMLGNRPPGPYPIHHLSVQLFLGDDSISIGQAVASTMATQKVGSSEFTILTHKDGRKCTYDEVAAYGRQLMSFVDACPVAFGGLTRLKLEHLTLAQSDFPEIFGICKRLEFLHLFNCDVEISSSLQVEHPQLSQLEIINCRFEGGVDLKWLPKLALLTFKGWLSQVDPFCVGHAPLLQSVSITNIGLSYHKMLKLSEILGKATISDLHLNFRCEKIWVKPEGPRQLWQVFRSLKVVTLDNISEECDLAWTLFILQGAPFLKELCIQVWDNVCDMVRDEDERKKHAFSEEKKDKGAEWEGLASDFKHHNLAVLRIYGFQAECKFFEFITSVMEAAVDLEGIYLHNRVLCKRRNIMVPSTSRYPKTYKQKMSVRNKIYKGNCWMVGIHFPG >SECCE3Rv1G0206300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:906959837:906960121:-1 gene:SECCE3Rv1G0206300 transcript:SECCE3Rv1G0206300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFQEVDYCSEEVRAVGNPARRGGGMQDHIVKETFVQEFDNAGRRHGHHGHHGHHGRGSGHFEVRESRLEEDINTRTGEFHERKENFVVRADD >SECCE6Rv1G0391170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:209157076:209162384:1 gene:SECCE6Rv1G0391170 transcript:SECCE6Rv1G0391170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHYSSKRKYDDSPPPRRTGFSSGPPPASPPVAGAPVISSYNTVPPPPDEIQLAKQRAQEIAARLFSAAEAKRPRVDNGDDDVGTGGGGGSLGGGGRIGGGGLGFSSSAGGGHGSSIPPLSSQGNSHQYSSYGGGYQSGSTTKKIDIPNGRVGVIIGKAGETIKHLQAQSGAKIQVTRDMDVQPGSQTRSVDLSGTPDQINRAEQLIIDVLAEADAGSSGTISNRKYNAPQPGAEQFQMQIANNKVGLVIGKGGETIKSMQAKSQARIQVIPLHLPPGDTSTERTLYIDGTAEQIEIAKQLVSEVTSENRARNPMSGGYSQQGYRPPRPQANWGAPGAPTTQQLGYGYMQPGAYSGAPPQYGQQPYGSYPPASGGYQTGWDQSSNQQSQQPPPGTGYDYYNQQQQPQQQQSATGTAAPADASNYNYSQPPASYASQGYGDSTYSQQSGGQQAYDYSGYQTQGQQQSYSQQPGYDQQSYGASGYGSAPNSTQDGTAPSYGGPGGAGQASPGQQASTPSSGGQPGYPSQPPTSAAASSYPAQGSAPPSGYVAPQTQPGYGTQPPPQGAYGQGAYGPPQAQKVPSAAPTYGQAPPAQAGYGQYGYSQPGYGAPPPYPGAPTGSQPGYGQQQSYGDPYATGSYGQPTAYSTEAAAPAASQDQSAAPAPSTATAAPSANSGAPQTSPS >SECCEUnv1G0537210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67799563:67800348:1 gene:SECCEUnv1G0537210 transcript:SECCEUnv1G0537210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLGCSYGYFIFYSFEQCFLVDVYTSTIMKPPKFRHSDNSEIYYGIFTAPLSSPNSYLLFFSRNSMFRWQLGANSWTKHPLITERRIHQIVSFKGKMFAMDSLQKLNIISLAPQLGMTEVPVAVCGQDTVKPWLVVCGDMLLMVDLFIRVHEFCFQAYRLDLTEPAKWMKLDKLENWALFISLDTRSSTFSCMNPERWGGKSNCMYIPSGSKNSDKPWIEVELGQFVPTSSHPFTNILGWKSKQLESFWVLPSLVYGVSE >SECCE1Rv1G0051260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:652265923:652266234:-1 gene:SECCE1Rv1G0051260 transcript:SECCE1Rv1G0051260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE2Rv1G0069970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:32226901:32229383:-1 gene:SECCE2Rv1G0069970 transcript:SECCE2Rv1G0069970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDDPPPSSRHRLPVPPPPLLLLLLLLVSVSCPLAAAIGGLGAEEWTASTDAPLDLRNSHPRRLLQVGGGNHLPPQFLQPRHRHHRRAPSPAPSPSPFTAPSPSPSAFRPSLAPPPLVRPLPSTPQPSHDPQVGAPVNPLHKHSWRAYGLVTAGGAAFLVMAAAFAVYCRAKKVGTVRPWVTGLSGQLQRAFVTGVPSLKRSELEAACEDFSNIIGSTANCMLYKGTLSSGVEIAVVSSLISSKNDWSKECESQYRKKISSLSKVGHKNFINLLGYCEEENPFTRAMVFEYAPNGTLFEHLHVREAENLDWMARLRISMGIAYCLEQMHKLNPPVVPRSFSSTTIHLTDDFAAKVSDLDFWNGTKGSDSVTDDCTMLDTESIVHQYGIILLEILTGRAPFPEQDLPLENWASIYFEGKMPLAELIDSSLGSFPEETARALCDVARSCIDPDPSKRPRMAQVAAQMKEITAVGPEGATPKVSPLWWAELEIMSAEAT >SECCE1Rv1G0047530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:626145723:626146769:-1 gene:SECCE1Rv1G0047530 transcript:SECCE1Rv1G0047530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALMDGELGRVLKVSAAVWVAMSYARMAAARISPGVGRLAALLPAVVLFYGVPFAFSTTAFRGCSAFFLSWLGSFKLLLLAAGQGPLDPSLPLLHFVCSASLPIKLRQNMSGKAKNQDRASAPTAKTLISGAVIPFIIYAYQFKEAMSRSQLLLMTAANIYLALEFLLASVRAMIHGVLGMEMEPQVDRPYLASSLRDFWGRRWNLMVPAILRPSVYRPVRARFGDAAGVLASFLVSGLMHDLIFYYMMRRPPTGDITAFFVLHGACTAAEAWWGRHAGWWRPPRVVAVPLTLVFVSGTGFWLYIPAMWMEGLHELVLQDFQGMLVLMEQAGRWLASAAGLVFPNR >SECCE6Rv1G0382380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:42204191:42205759:-1 gene:SECCE6Rv1G0382380 transcript:SECCE6Rv1G0382380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYSLERRAPSAAGGAPALQRSASAKQAPSPSPLSGSSSTQYQSARASTSTTNAPAFPRHKPPSINCMAATSTDTPPATPRGTKQQQPKSASYSSIFSPRKLMQRASRAFRGRSSRRRKNLAAAADVSEVDSPGSVASKGSDAESSAFSLEDQITDDVVDAGAASKQEEIVPEKIIHEANPSSPVIHQLAPVAEEQEEARNNTPKKEDAAAEKEEEEEEPKKEEEEPKKEAPPTPEEPKKEAAPPAPEEPKKEAAPPAPEDTVAEVGKIAAAKKLQREEDIKVEVVRRFQGCRVRTSMEKRSFDDETPRRRESARSNEAVEEARIKLLELRQVNKVKALVGAFETVMDDSQSPVTARKPRLNLRV >SECCE5Rv1G0363070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:790719240:790722978:1 gene:SECCE5Rv1G0363070 transcript:SECCE5Rv1G0363070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDVEFVDYERDDGDDDGMEEEEEDGEVSARALPVPHIAAPAVPRRGRFVGRSRSVIASTRDRFDSIPFAGTSAHGGPQRSIEGWILIVSGVKEDAEESDLYDAFAEFGDVKDLHFNLERRTGYGKGYALVEYGIFEEAQTAIRRMNGTELLTKTIHVDWAFNRGPIQNITIAGPAQRRSRTPPRRLAAMTY >SECCE5Rv1G0323210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:431078841:431083891:-1 gene:SECCE5Rv1G0323210 transcript:SECCE5Rv1G0323210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCGGEGTLARWRRAAAKRIGLSCASFFSSHAASPSPPPPKTISCSAVNAPADSSDGEQEKLEEPTSTRMADKNFCAICLESLSTSSSGIDNGDRPAIFTAQCSHSFHFLCIASNIRHGNVTCPICRAQWSQLPRDLKVPPLLHNQSDPILRILDDNIATSRVNRRSSTRATRYNDDDPVEPYTLTEHVDPCLRFALIPSPVAAHHHALGHYPCGRMMPPQQHCQYSYSGSSMVSPPQIASPSVQRRAYLSVSLAPQPAMDLVLVASPNGPHLRLLKQAVALVVFSMRAIDRLAIVTNATTATRAFPLRRMSSHGKRMALQVIEHLCSVGGIDPVGALQKGLKILEDRAHQNPSNCILHLSDHPIRSYAGVDMNRASIPVHQFHVGLGFGVQNSFVMHEFEELLARLLGGVIGDTHLRIGEHGGVVRLGELRGGEERRIPLDLVADCGFILVGYSYLEGGREDRSRTGEVAVGFEEKSDSRYCGGRDMGTSIGGERRSSCCAESWDHLDPFMARRWAKHFNVYRS >SECCE2Rv1G0129540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:868892913:868894089:1 gene:SECCE2Rv1G0129540 transcript:SECCE2Rv1G0129540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFGDVDDLDFDLGFMDGLECFDFDLDLPDLDLTEFCAGVGDGECFLPAVADKEGGLGLDLGSRDDDGGEGGREGSPDSVVTDDGAPPSESAGGREVGEMSAYACELERFLMEDEDGGDAGGPLDPKELAADDSFFGDLDDGYAEPATPRKDLVLDDYFLGDLVPYDYLYYHLPVAGDGCAVGASVDDAASPATEEEDDDAAREEDDDDDDEATSRKRARQSKEAELRATPRMHSTPSISAGNGCRPLGHHWLHPCTAVCLLA >SECCE7Rv1G0482360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:303782344:303784297:-1 gene:SECCE7Rv1G0482360 transcript:SECCE7Rv1G0482360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAMPSGGAISRQGSLCSLTLSDVEGQLHGVNLDDLLRTAGSSRRTADEVWRDIQGADGGTSRPRSQMTLEDFLSRPGGDAGGAHWAEQYNPPAPQRHNHNTVGRPLPRPLGVGAGPVLDALYHDHDHDGATMSGRKRAAVGGPGEKTVERRKKRMIKNRESAARSRARKQAYTNELENKISRLEEENEQLRSYKAFEPVVHCAPQQEPKNQLRRRNSASF >SECCE5Rv1G0352660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711116453:711117768:-1 gene:SECCE5Rv1G0352660 transcript:SECCE5Rv1G0352660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDF5 [Source:Projected from Arabidopsis thaliana (AT1G55370) UniProtKB/TrEMBL;Acc:A0A178W3N4] MAFRAPTAATAHGHATPAPLPSSWKHSTVTFLSSSRLIGGGGGGQRARLAAAAHALSSATAAAPFPPPNAEYLAAEFSGHGVTFEAVGDSCAVKMTVRNGSAAHLLLPSGLVTSYKPAMWHGAATEVLHTTVGEGPGGRPVIRGGVSMDFRCARPVAGEAPSSSWSPGRAWSLRDVRGGPTGSISVELVSVEPPGSGDGAEARCVVTLQPEALASEYTVTNAASSPSVVALSGAVCNHLRVSTPDATYAVGLQGSDYRGREPLLSEFSILPPDYYATPSSPQPRWVSKGLDMLLSGGGGGGQSAPRGAPEPDGEEDDNYKHLTAELCRVYRHAPREFTVIDRGRRNSVCLSRRGFEELYVFSPGSKYEWYGKFAYVCIGPAMLEPVVLAPGATWQGAQCIRNPNL >SECCE1Rv1G0018050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:174605218:174610099:-1 gene:SECCE1Rv1G0018050 transcript:SECCE1Rv1G0018050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTAAPAPTSPTAPPANATAPPPATPSAPPPAIPSPSPPAPANPPPASVPPPAAPAASPPAPSSTPATPSAPSPSPPGAPATPSPPSDTPSPPSPEGRSPPSSGGGGRSPSAPGHNNPSPRSPPHSSGGGGSGVSTSVVVGVAVGGFVLLLLATFICLCCLRKKRRRQPPPPHYGYPPPPPPQYKEDHYGATYQNWQNNAPPPPPDHVVKMHPSPPPAYANRPPQAQPPPAPPMINSSGGSGSNYSGGEILPPPSPGAALGFSNSKSTFTYDELVRATDGFSDANLLGQGGFGYVHKGVLPNGKEIAVKQLKLGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVTNNTLEFHLHGKGRPTLEWPIRLRIALGAAKGLAYIHEDCHPKIIHRDIKSSNILLDFKFEAKVADFGLAKFTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVDSTQTYMDDSLVDWARPLLMRALEDGNYDELVDARLGKDFNPNEIARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRFFGSYSSSDYDSGQYNEDMKKFKKMAFTTNDYTSSQYSAPTSEYGQIPSASSSEGQQTQEIETGTMKKGGHSAYTGYSGPS >SECCE5Rv1G0354780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:726779099:726784025:-1 gene:SECCE5Rv1G0354780 transcript:SECCE5Rv1G0354780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFHFLNCAVLTFGPHVVYYSATPLSEYDTIGTSVKAAVVYLGAALVKLVCLATFLKVPDANDSFDPYQELMKILIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLVMTLSLAALGSLMWLRKNKPRTLIPIIYACALLLATMPSITSYLRRSLEWQTPKVVGFELFSSLVMAFISWQLFSACQRPM >SECCE1Rv1G0036550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:510370032:510370323:-1 gene:SECCE1Rv1G0036550 transcript:SECCE1Rv1G0036550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDAMYRVVMRRNGVYVTFVVAGAFAGERLVDYGVNKVWEMNNIGVIFSLRVLPIVCSFDLS >SECCE1Rv1G0036850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:512945496:512947262:-1 gene:SECCE1Rv1G0036850 transcript:SECCE1Rv1G0036850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGEQAQRRAMESLAAVLLVGILLQLAGCRPPPDPVTCTHGTSNCTVTNTYGSFMDRTICHAANVVYPRTEQELVAAVAAAASAKRKMKVATKHSHSGPKLACHGGSEGTIISTARLNRTVRIDAERQLITVESGMVLRDLLQVAAAAGLSLPHSPYWYGLTIGGLLATGAHGSGLWGKGGAVHEYVVGLRIVTPAPACHGFAMVRELGADHPDLDAAKVSLGVLGVVSQVTLALQPLFKRSVTFVKRNDSDFTARVAEWGRLHEFADMIWQPQNGTVTYRQDDRVDVSTPGNGLNDLFLFRSVPTALLVGGRAMEEQLQENSTDTARCAAAQEGSTRQLRAFGFTNDGVLFTGYPVVGYQHRIQASGSCIDGPEDDLRSSCAWDPRIRGLFMYNSGFSVALSKAPAFVADMQQLRDLNPDAFCSALDGRVGLVLRYVRASSAYLGKPEDCVDVDILFYRSHTDGMPRAHADVVDEIEQMALGKYGGLPHWGKNRNFAFDGAIARYPKAHKFLKVKNRYDPDGLFSSEWTDQVLGINGTPNIIKNRCAIEGLCVCSDDSHCAPEQGYFCRPGKVYKKARVCSSEEDY >SECCE7Rv1G0502580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:697999182:698004176:1 gene:SECCE7Rv1G0502580 transcript:SECCE7Rv1G0502580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAFRSAARRDAFGAAEGDGGGGSATRGRTPDGAGGGGSLRRSRSLNRFPPPSPSPEDAPTPSSRFVTKVRGGGPSGGFPEISLDDLADEFFRARVESEDDEEEVVVQRGEDDSRGRLRFPAPAEKGRGGRRSSTARYARETASSRQRERSVSRPPPERRGGAAAAENGAAASRQRYASVDRRASIGRQRYASVDRRASTDRQRWCESDNDMDFSRRSGSRGTNTKSSSGQSLQNSFNKSKVNQTLTRSTSQNDFVHLRDSGSSHSSLTDDESRDAHSFHSRNHSGNQDVYSQEKPIENNDSNVLYDVMRKEVRQAVEEIRTQLEKAVTKSEPSEKARSGDAQPTQVIGELRRNYTSKLEESEKRKQELLAQLAAEEQRGHELTKIVKELLPTTKKNVKPERPPPRRRRSNDRARMSKCLTKEAELYFEDFLSNVEDTDFSSFDGERSDTSSTRRDVVLRAMAETHVVLPKVAPPVVSDGVVLPWLQWETSNDLHASPTTIETQDASTACSTTSHTMSSRGSWSPGDHDSSAGLKDGLLSRFDEAATRLSSCSDNKRSTSFHMDDYLHLRRSEDFLFERLSQKQRIDYGGLTVCRRSTIM >SECCE1Rv1G0024020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:318130553:318132091:1 gene:SECCE1Rv1G0024020 transcript:SECCE1Rv1G0024020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWETTEEKLQEHFSNFGEVSQAAVMRDKLTGRPRGFGFVVYADPAAVDAALQEPHTLDGRTVDVKRALSREEQQATKAVNPTAGRNTGGGGGGGGGDAGGARTKKIFVGGLPSSLTDEEFRQYFQTFGAVTDVVVMYDQTTQRPRGFGFITFDSEDAVDRVLHKTFHDLGGKMVEVKRALPREANPGSGGGGRSMGGGGFHSNNGPNSNASSYDGRGDASRYGQAQQGSGGYPGYGAGAYGSAPTGYGYGHTNPGTTYGNYGSAGYGGVPAAYAGAYGNPSAAASGYQGGPPGANRGPWGSQAPSGYGTGGYAANAGYGAWNSSSAGGNAPTSQAPGAAAGYGNQGYGYGGYGGDASYGNHGGYGAYGGRGDGAGNPATGAASGYGAAGYGSGNGNSGYPNAWTDPSQGGGFGGAVNGASEGQSNYGSGYGGMQPRVAQ >SECCE6Rv1G0415020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:638565221:638566330:1 gene:SECCE6Rv1G0415020 transcript:SECCE6Rv1G0415020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISKALIFGILGCVCFCSSVLAARELSDGLSMVARHESWMVQYGRVYKDHAEKAQRFRVFNANVGFIESFNAENHKFYLGVNQFADLTNEEFKATKANKGYKPSLERIPTRFRYENVSFDALPATVDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKLKTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESNYPYTAADDKCKSGTNGAATIKSYEDVPANNEGALMQAVASQPVSVAVDGGVMTFQFYKGGVMTGSCGTDLDHGIAAIGYGKTSDGTKYWLLKNSWGTTWGENGYLRMEKDIPDKKGMCGLAMEPSYPTA >SECCE4Rv1G0258280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:676932739:676934629:1 gene:SECCE4Rv1G0258280 transcript:SECCE4Rv1G0258280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDEVKSKLQGLAFGNVLAAAARDYKKEVIAKEKAQAAPAIHDEVDLDELLDDPELEKLHAERLAALKREVEKREVLKRQGHGEYREITEGDFLGEVTRGDKVICHFYHREFYRCKIMDKHLKALAPVYLGTKFVKLDAENAPFFVAKLAIKTLPCVILFKRGIAVDRLVGFDDLGSKDDFSTRALENILKRKGIIEEKKKDDDEEDDETDMSKDRRVRSSTAYDSDSD >SECCE7Rv1G0494710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:587971660:587975035:1 gene:SECCE7Rv1G0494710 transcript:SECCE7Rv1G0494710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGSPDADDPSCGGDGAEPSRTLQESDDRYSAPASPLSTRPSGQPPGTSVVANEGSASSSAWGSDEDDEPADRYKNPASASFLLGPSSSTMGASSSSSFSSDSWIGSDRTCGGASTSSSLDPSNYMDFCRNPPSEWMYNQILEAPFWSHEACDAGRASWRDEYEACDDFSMVLQTSQNGCRKILQKEPLSSLPHEFENEIMKDKAKKLLANYSEYRKVPGDESCFYRSFIYSYLEQLVKVSHEEELRLLGALEPMWEKFQRLHLPGSYSYLHDAFVGFILECMEQRQKLSVSGYQEWLFQESQNEQKFANSENIQKKS >SECCE2Rv1G0085590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:193895435:193896748:-1 gene:SECCE2Rv1G0085590 transcript:SECCE2Rv1G0085590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQQQQQQQMEAVSAPAAANGGGELIGYVDVHVRSARDIQNICIYHKQDVYARLSLPGEGAPAASTQVINGGGRNPVFDQSVRVGVRAGDVDAALRCEVWMLSRVKNYLQDQLLGFALVPLPDVVAAEGGTLAREFPLSTNDLFHSPAGFLELELSYIGVVPDVIPVSPTPKPALADPDESENAGARAGKDYENMEFPDMNLVEENQIMLSEYVGLPCTAMETQSSESLLTSEDVDGAATESQDAGVRVVQSFSTDYSTADSAGAFRSETAVSSVSTTESPAAAVPATPQSNPSEPSGNGLSSAGQKEKASDAAEVDSSHTVQESPAVNSPSTVSENAVDKPPAMSFNFAEEVQVNQKEIMDMYMKSMQQFTDSLAKMKLPLDMDNGSDKSGSGPAAASPTDSSGTDSSAAAKKPTAGGAQEKSPKVFYGSRAFF >SECCE5Rv1G0314920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:280480394:280490053:1 gene:SECCE5Rv1G0314920 transcript:SECCE5Rv1G0314920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDRTGWLWRRKSSDNSPGASESSVPVSSHPQCCSGDQEVLRPVSNNASAHHGQSPKVSSRVRHDETQETGVPKLSSEKLASRVNLNDVSPQHGQSLESYLSSNGDEETKETMKSLNEKLAAALLAISDKEDLVKQHAKITEEAVAGWEQAEVEATAIKKLLEAASHRNDYLEGQVSHLDKALKECVRQLRLVREEQEEIIRDALTKRSQELESENSKLQNHIAELKKQLAATKSEASTVSAQPDLQEKLQTIEKENLDLKAKLLVQSKDLKILSLEKDLSNQAAETASKQHLESIKKIARLEAECRRLHHLAQKTALVNDSRPPPSAESLTDSHSDSAECMVAVDSELRNSDSWASALISELDQFRNAKASATNIMNSPVEIDLMDDFLEMERLAALPESDQTSSTFDMETDSDKAVTRNNSSKIENEELRRHVADLHSRVEIVESDKKELEIALIEARNQLDISCDALVAARNRLVEMKMQLDLANDSKYAALGDVDRLDSEKKDLEFQLESKSVEAEELHAIVASLGENAEKKEFESQSELVSAEAAELRVTVASLEERIETETALSVQHKEKSDAACNAKELLETQLYSANAEVQKLHGIIKSLENQVEEEKALRDELMTQSLLKIEAAVEAVKEPLEVQLCSANTEVEKLRGIIEALESEIEKERAVHEELTEQLDMKIEAERTHSEAVKESLEGQLCSANSEVVKLRDIIKALENEVVEKEKALHEKLAEAEKSLSEESVKESLEAELQLVNSEVVKLRDMVTALEDEVAKEKEFSDELQLQLEALEAIKRVLESEVESAHQDVRKLTEKVELFEAKLQEQMLSAAEFIAKEESVQSQRTAVEHQLEASKADVVKLTNMVSFLQGEVVQERLLSEDYQQKCRKLEAQLSRDIRDAKLWRLANSNGDLKTKQEKELASAAGKLAECQKTIASLGRQLESLTEIDNVVLEPGLGLLLEPRDIALDLRASDPGLLQKRSTGSNFAVFADELYDLDLPDGDVGCFSPLPSMIRPSSPPPSEMSVFAGGLSSLSSYRGKRRK >SECCE3Rv1G0170120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:247643794:247645805:-1 gene:SECCE3Rv1G0170120 transcript:SECCE3Rv1G0170120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRFVAVVLAGILQSALVAAEDRHVFLNWEVSYSLRSPLGVSKRVITINSRLPGPLLNLTTNEVAHVNVVNTLDEPFLLTWNGLQMRRNSWNDGVAGTNCAIQPGENWTYVFQAKDEIGSFFYRPSLGLHAAAGGHGPIRVNNRPVIDVPFPQPDGEFDVLIGDWYNMDAKEMTERLDRGRGLPPPDGILINGLGPYEAEVTFKAGGTYRLRVSNVGARTSLNFRLQGHKLLLVEAEGTYTLQKHYASLDVHPGQSVSVLVAADQAPKAYYMVVSSLFVLPEIFGVATVLYAGSGEQPPSGDAPLDDRSSHNNYNRSMEQAMSIRMNLTSGAARPNPQGSFHYGEINVTRTVLLRNDESDIDGRRRCTVNGVAFANAGTPLKLADYFRIAGVFKVVSGRPERRNPSLGTAVIDTSYRDYVQIVFENRLPSMQTWHLDGYSFFVVGMAWGQWSPNARSTYNLVDAVYRSTVQVYPTSWTAVLVYLDNEGMWNLRSQDVEKRYLGQELYLRVSQGDSEVPDPRDELPMPSNALLCGKATRLKSWYSYKLGLGPGPGPA >SECCE5Rv1G0362200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:784297723:784299438:-1 gene:SECCE5Rv1G0362200 transcript:SECCE5Rv1G0362200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPLVHKVLAMATTTLSSKKASRKGDKDGASGRVGILSFELANAMSRAASLHRSLSAAEAARLLGPLCLGSHAVRALVPGDDARLLALALAEKLDALNRVAAVAARLGRRCAAPALQGFDHVYADLLAGRSPASAYPFFAPASQSEAALLRQLDRLAAATAALYAELDALADLEESARKLPTDEARRALLQRARWRRQDARRLRDASLWCWTYDKAVLLLARAVCAVYHRIRLVFGDPMRGLDLLLISDDHKDREHHQSSQCHDQSSRQLFSGPVTSAKSGPIIDRVAADADPTHPSRLRSNCGGNMFMECLSLSSSAAWKDDDGLDEDDESFFSGDASSCISAIRSGMLVAPPSSGEEHEAAKNGAGSRRRRSHSHRRFGPKSTVTSLAPASTVGGSALASHYANIIMIVEKLLQYPHLVGSEARDDLYGMLPSSLRSSLRKHLPRNMGIYDAFLAHDWREALEKTLAWLAPMAHNMVRWQADRSFEQQQQMEVQLKGGGNGNGNVLLLQTLYFADRDKTEAVLCELLVGLNYICRYEQQQSALLDCSSSIDLDDCTAMDQWHTTLHH >SECCE2Rv1G0086040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:201918812:201921871:1 gene:SECCE2Rv1G0086040 transcript:SECCE2Rv1G0086040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAQFLAVILLHLCTTSSSLYLGPPRQPHDYLRFADVNRHCQSVLSSATELAYEANRPYQVRHQLSFEKGDWRQDAGHAPLVPFDAGDAPNKGARRLPDPLSLATFVVTHVSNEEEHRARVAVNVSGVLVLVLTRKSAAQEFGPEMSAVSPEFNLSAGSTRLKIVFEGVYTERAESNGDDDGERVLCMVGSALLPKRSTGGVDPWDWAKNSGRSSFQPPVTADNNILLVLRYPKRLTLTTRAVFGQMGSTSAASDVSYFDTVQLVSRLSYCTYHYRPQELAAGAGHALPSSGADDVVSKRARDVYNGSYPCLVLDRYAYGQVSTVLPGWQCNSTAATGGSCHGVGPFEMDQAADADAFAGVGIIMQDLQCQEQYDIAGPTGTAMVSVVFRALSPWEDWSTARSRSGLSGKTLSAEGAWNASTGQACMVACRGITDKKACNFRACLFFPTTMSITRLDTMLGQITGVDGAADGVAHPPLLSFRQHMSPPRLWGYYPDDGIPLVSYKYNYTKVNQAGELRRRSELPSDFRKIVAKSLSLSYPKSDGTVNERRSLSSLADRLTLWFMAMPDLFRQEWIEHPILHLEVFSLEQVIDRYSPPRHGDGMPTKEPGTEGRILLNVSAELTIFKDRWPQKSVMSLEGVYNPDDGRMHLIGCRNVRLPWQNSSTSRDLGLKEGMDCSIEVKVEYPPTTMHLFVMSTAKVHIASTRTAVDPLHFKAVKLRAMPSYPQQRPSGFYRGVVNGVLCVVLLSATIAAVLSQLRYIRTHTDVAPYISMVMLSIQALGYGIPLVTGVEAILARVTLRSSGDLAKATSSERTPYYMFDTGRLCETIDQAVKVLTVCALILTVHLGQKVRCSRARMVERSPLDPARAPSDGRVFVYHCGVHLVLFVLILALNREAMTVEQQVALMQDLFLFPQAIGNAVWRVNCRPLKGSFYVGVTFLRLLPHAYEYAGPPAVFRSRSVDVSAGSDGRFFAKAGDMAIPLAAVALALVVHAQQRWNYAIVGRMGKPEQKKLHHIF >SECCE7Rv1G0493450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:567687422:567688843:1 gene:SECCE7Rv1G0493450 transcript:SECCE7Rv1G0493450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRPLLGRGAFLSSSAHAAAAIILIAFLVLTLLRLPLAPSISIHTPPPLASTPHHHLQHQDHEDQEQEDASSCDLSSPLDCADPRLFHLMMRSAIDAFPAVHFARFGRPVPGDPPSASCDMAWRARTNASASASPTATTKDYRRFAIARDPHTCAYSVTSIGDYHSGPNARKPRPGASNATAAPPPPPLSRSQFAAATYLSYHGGGDRCKPMPHYMRSLLCALAEASYLNRTLVLDLTLCLAASYTAAGMPEEGKRLAFYFDVDHLRSSVVDIIEERQFWEDWDRWGAQGQLGLRLIEDTRVAPTKFSKAKDTLIVRKFGDVEPGNYWYHVCEGEAERVLPPPRHPIRLAPSLMSIVDDIILSMQQDFDSVHVGGSVEDLVQGIEDGVDVGRQVYIAGEGINTVSMEVLKAKYNNLRYLDEFQRLWRKDSKWFLEMKRLNGGVPVKFDGYMRELVDREVFLKGKKKVEVLR >SECCE3Rv1G0194900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:787977034:787982181:1 gene:SECCE3Rv1G0194900 transcript:SECCE3Rv1G0194900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein VAR3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17790) UniProtKB/Swiss-Prot;Acc:Q8S9K3] MGSASKLLSSLLLTSSPLRLRPSAAAFALILSSRTAASRRQHLLSSPSPLRTLSTSAAAAASPLPYSSSSSSASSTPPLHAPFPEWSRLVDRLAAAGYAARAPSPADELAVASGSGLSAEAESAVSSFMAFARDRPDLLRSMPRKDVEVVVSNVAPSLFKGGEESAQRLQQYLAGEEDNAIQSVRAKTVDIVRYLLSYTYSSSNNYLEDKELTDSAVRNILAELVNSSGLPHTFSYLESTVESQPERFSRHPGHNVEMKRGDWICTRCSFMNFARNARCLECNEHRPKKMLTGGEWECPQCEFYNYGRNMSCLRCACKRPATTASAGAGLGGVAELLSGTNAGRSEIERKLAESDEKAERWLSKVSQLDDSADLSSLATDEDFPEIMPMRKGVNKFVVSTRKTPLERRLASAQYSSNNSPQATASDSKISQTLDRILGRSASTSAPNSQSDNGGVNAETPRKLTGRLGDIDPVPFVPLSADLFTKPQNAKSNEQADRDCQINKETGSSTPDITQASTERKDVDKSLDTAEKWSKKVAELDSVNDLSSAISDEDFPDIMPMRKGENRFVISKKKDRSLTSPQYQRRSVLEQADNSDFVPFVPFPPDYFAKKDTPAESTPDTGMVSESSPSADKLPETNASSRHSGNSQNTSQVIGPQRSSVMNNENWNRNYSQQSSSPGGYTRGGSSSQQYQQQPYEMGDRSTGAPNSGAWNPNYSQRTSTDVRGGSTNYQRQQQPHEVGDRSREASNTGDLNPNYSQGTSTDVRGGSSNYQRQRQPHEAGGRSSGTSNTGALNTNHSQSHGRFNDGRGGSNNYQHQQQPHELGDRSSGTSNTSAWNTNYSQGKFNDSRGGSNNYQHQQQPREVGDQSSETSNTGAWNTNYSQSQGRFNDGRGGSSNYQYQTQPHQAGGPSSDSLNTLNTNYSQGSFNEGRDTSNYNQGSYSTQPSYTPGYSNHSNNAWSSNNNHNWSGSHPDSRVATGGIGSTNPNQATGYSSYGGGGYTGKSLEGSAVKDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTSPQYRRNLPIVSSEPDKDAS >SECCE5Rv1G0301030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28608194:28610009:-1 gene:SECCE5Rv1G0301030 transcript:SECCE5Rv1G0301030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAHAPSGAGSLAPRGAPRARAPAGLGYLGLGPSRPALRPVALARRAAPSGARSPLLRCAAASSPPAAAAARPASAPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLHSRKLKVVDVGGGTGFTTLGIVKHVDPANVTLLDQSPHQLEKARQKEALKGVEIMEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGIKEAYRVLRLGGKACLIGPVHPTFWLSRFFADMWMLFPTEEEYIDWFTKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKRESGDSPLQLGPKAEDVSKPVNPITFFFRFLMGTICAAYYVLVPIYMWIKDQIVPKGMPI >SECCE6Rv1G0448880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:858836533:858837486:-1 gene:SECCE6Rv1G0448880 transcript:SECCE6Rv1G0448880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPQLLLILLPAVLIQLLASVPVARAHASSSSSATYRCGWCPRRSTASLLPPGARALTGAACGYGGPAEMAIDGGFHIAALRCRARSACAKDGVKVVVVADMAETNVTDGGGAGGRFLLTKDAFAAMTTPGHGAELADAAVDVDFRRIPCVYKSKNLALKVEETSSRDRGYLALRFLYQGGQTDIAAVEVAQAVTGPSGTNNDAAPLQTSWQYMTRREASPSVWRTSRVPAGPLQVRLVVTAGSGGKWLRADGAVLPAEWQPGAVYDTGLRVTDVAASTCGAASCSSTDEGDDAEQLR >SECCE7Rv1G0466890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82108518:82111508:-1 gene:SECCE7Rv1G0466890 transcript:SECCE7Rv1G0466890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVSTLRPLLRSPLLPGPKRLPRPRRPPRPFRALSSAAALTASASNPPAPGAVEIREEHLVRCAAAGRAPLRVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFRNFWSECPWDDDLKYAQAVCDKIDVPLEVVHLSDEYWNHVVSHMINEYRNGRTPNPDVLCNTRIKFGAFLEAIENLGFDYIASGHYAHVVHPPVENAEGPSVLQLSKDEVKDQTYFLSHLSQPQLRRLLFPLGCITKDEVRSLAVQMDLPNQARKDSQGICFLGKVKFSEFVQRHIGEMEGILLEAETGDYLGTHRGFWFYTIGQRQGLRLSGGPWYVVEKDVQNNVVFVSRNYYSLDKRRRTFRVGSLNWFTDSGPADNECLKCKVRHSPDFHDCTVTKEQTEENGDVLAVRLSEDDQGLAAGQFAAFYRDRACLGSGIILDSWDEMSFPVCAKALETARMKDKSKLGKPVRIMNLEHLVKPEPEPAKVA >SECCE2Rv1G0083260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:164320465:164320977:-1 gene:SECCE2Rv1G0083260 transcript:SECCE2Rv1G0083260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRDLLFSGLDLVKQQASAVASTVSLAKPYLPAKLTEMNAGDIVAGLGYVKNHTGAAMVSTISLAKPYLPAKMNTGDIVAGLSYVKNYTAAMVSTRDGAVGTAAMVIGGAVGAYFLWPAAAAPAAAGAMMKAPGAAGFLISRTAFLANPQVYYQILRTAGTAAAAAAFA >SECCE4Rv1G0287410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:854731232:854734929:1 gene:SECCE4Rv1G0287410 transcript:SECCE4Rv1G0287410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRLPLLLLLPVIFTISVLLFLSSSPPQPPPQPPIPCGAAPSDATAGRWVPTPSPPPRPLYTQSCPFHRNAWNCLRNGRPRVAALSWAPSRCGAVPRLDPAAFLAAARGRRIGFVGDSLSENLVVALLCALRSGDGGARKWKRRGAWRGGYFPRENVVVGYHRAVLLAKYTWQPVENSKLQSDGITGTYRVDVDIPAEDWANITKFYDVLIFNTGHWWGPDKFPKETPLVFYRGGKPIEPPLGIFDGLKVVLKSMSSYIEREVPSTTMKLWRTQSPRHFDGGEWDHNGSCLSNRLLEEHELDSWFDPRFGGVNKEARLVNSVIQEALVGTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVWGQDCMHWCLPGVPDTWVDILAARILHYFKQGKG >SECCE4Rv1G0215900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8202056:8202493:1 gene:SECCE4Rv1G0215900 transcript:SECCE4Rv1G0215900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin C 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14890) UniProtKB/Swiss-Prot;Acc:O23344] MAAASLLHLTATISSPRLHLGHNGLLRRHHPQVRLRAAAPPRAHRVTIEHGGESRVVEMEEDENILERALEEGLDVPHDCKLGVCMTCPARLVSGKVDQSDGMLSDDVVAQGYALLCAAYPRSDCTIRVIPEDELLQVQLATAND >SECCE7Rv1G0518960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:862897218:862897697:1 gene:SECCE7Rv1G0518960 transcript:SECCE7Rv1G0518960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVPGESDAEGLSSPLCGWVNGPIVLSAGPAGIAVAEMLREQGMPFLLLGRNDNCIASLWQRRVYDRLQLHLPKQPCQRGQLADRPEICPAKLDVEQELRGGRSAAPCAPRPWPAPRSSSRPPRAGRPWSGKLWGRGDRDPRQRAPVRDVRRSSRPA >SECCEUnv1G0561880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:359906169:359907873:1 gene:SECCEUnv1G0561880 transcript:SECCEUnv1G0561880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGAKRKGAKVMQLDGTSFRVKPPAAAADVLRDHPGFQLLEAEEVKLLGARARPLAPDAPLRRGRLYFLVALPRRPAAAPPRRAWSGNLRVGARERLESLMLARRSTSDLSSFQGVASASAPASPLFAGAGGGGGTPVRLRMRLPKAQVEKLMGESRDAAEAAAKIMELCAAVGDGGCSAKVTPERPPGILRSPRFAATPEWGSAFMLPKPAPGAPPKTPLRWPTLPGTKEEKRARFVALPDELIA >SECCE1Rv1G0062950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721730031:721731209:1 gene:SECCE1Rv1G0062950 transcript:SECCE1Rv1G0062950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGTRQQQPAAAAAVTVDPKFEWAEKAGTYVLRLTLPGFRKEDFRVQVDGAGRLTVRGTKPGASLHKVFQLPATASLDDIAGRFEAGVLTLTVPKRAGAAPPTSIEEIKQSKPGVAKEENAKPTPPAEVDAAKPSPPAEVNGAKKMPREEGAAKLTPQAEVDGAKKMSMEDGAAKSPLPTSHQLPSKDSGAGKKETKGAKQPAPLPRDDASEKKEAVIGTEPKESMLGKTTRQVAEHVHRMEEAKRSKQIEHMPAPAAKKEEEVKPKPAAAAATPEKPARKPEVANGEKAKEAADRESLAERVRQRGEEDKAKAAAAATAIETKAEQAKKAVTSTCTAWKERIAGELKGLTDMKWADNAVETARKNKEVIAIGVAAFSIGFLVSQKLFRK >SECCE1Rv1G0038650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:541785566:541785971:-1 gene:SECCE1Rv1G0038650 transcript:SECCE1Rv1G0038650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRNATICLVLILVLFADLGTAEEKCWESTSGSPLCIGFLCKATCFIAGKMFNSVVKEHRCIGSAFNSQCYCYLCDK >SECCE2Rv1G0104540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:608164360:608164849:-1 gene:SECCE2Rv1G0104540 transcript:SECCE2Rv1G0104540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMVGTPIRLNSLRYAPPSPAPRGRFVAARVRASAEAMATEKLGVRVERNPAESRLSELGVRQWPKWGCEKSKFPWTYSAKETCYLLQGKVKVYPDGEEGFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYKFE >SECCE5Rv1G0330210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:526700944:526702488:1 gene:SECCE5Rv1G0330210 transcript:SECCE5Rv1G0330210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSQMWSLLGLLTILQNVLPTQLLSLLHSLWQSLQDSLTPYSYFDVPEFLGSAAVEPNALYRHVQLYLHRSLLLSSPSPPRLTLSLPRSVAGNAGAAAAPPSVSLSPNHSVPDAFNGHRAVWTHHADTLQDSLEERRSFSLRLPKRHAAAVLPAYLAHLAAAADSLERSSRARRLHTNAASPRGSASWSSVPFCHPSTFETLALDPDLKARLLADLTAFADGREFYRRTGRPWKRGYLLHGPPGSGKSSLIAAMANHLRYDVFDLELTRVTTNADLRALLIQTTNRSLIVIEDIDCSLHLTGDRGLASMRRHKRRRAAASDDSSDSDDDVMGADNHRGKVTLSGLLNFTDGLWSCCGEERIIVFTTNHVDGIDPALLRPGRMDVHVRLGPCGAHAMRELVERYVGAGVGDQDMLDAAEGCIRDGAEMTPAEVGEVLLRNREEPETAVTELAAELKARVNAADDLQWEDSAAELSDESPTKKGRKGFGGWEGKVRILGRLRSLTKSDSGRRGV >SECCE2Rv1G0070630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:37210678:37212614:-1 gene:SECCE2Rv1G0070630 transcript:SECCE2Rv1G0070630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVIISRLLRHSAPSSTGTRPDSTRPDTMSKGAIIGASTVLVVAVVAAVCVVSFKGNGGDKGDGELTTSVKSVKAFCQPMDYKETCEAELTKVGGNATSPTELAKAIFEVTSEKIRKAISESATLEELKSDPRTSGALENCKELLEYAIEDLKTTFDRLGGFEMTDFNKAAADLKTWLSAALTYQETCLDGFANTTTNAAAKMRGALNASQELTEDILAVVDQFSASLGSLNIGRKLLGEEDGMPFWMTDGKRRLLEAEPSAPEFKPNVTVAADGSGDFKTIKEALAKVPPKSASMYVMYIKAGTYKEYISVGRPVTNLVIIGDGDDKTIITGNKNFKMNLTTKDTATMEAIGNGFFMKGVRVENTAGAENHQAVALRVQSDQAVFYQCYFDGYQDTLYTHAQRQFFRDCTITGTIDFIFGNSQVVIQNCLILPRKPMDNQLNIITAQGRREKRSVGGTVMHNNTIEPHPDFKDSTSKIKTYLARPWKEYSRTIYIQNEIGAFIDPKGWLEWNGNFGLETLFYAEVENTGPGADMSQRAKWGGIKTVTYADAQKEYTVEAFIQGEQFIPKYGVPYIPGLLPQSEAGRDH >SECCE3Rv1G0145150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5850985:5851527:-1 gene:SECCE3Rv1G0145150 transcript:SECCE3Rv1G0145150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRATYVAMVFIVLGIMSFGISVADADASFVARTCKKTKNALLCVAVLHIDPKSTYASTELELANIALKIASDTANHNGKVINDLAKKKKGTREGCVLAMCLRAYQFTSNDLKVKARTLLHGGDYITTLNLVLDAKGVGDHCENAFKGLKKKSPVTNIDREMTERCGVAGALIALLIHN >SECCE6Rv1G0388960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:144472874:144484986:-1 gene:SECCE6Rv1G0388960 transcript:SECCE6Rv1G0388960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEGVEPAVIHAWSAPRSLSTSLMYSFSQRDGMDVLDEPLYANFLRVTGVDRPYRQELLSKMDPDGNKVVKEVIFGPGEKAYRYCKHIAKQRLPNLTGDLMKKGKHFILIRNPMNILPSFDKVVPPSFLELGVAELVAIYSELCELGSPPPVIDADDLQRDPEAVLSGLCEDLGIPFQPQMLKWKAGPRDFDGIWAPWWYESVHTSTGFSKSRRYPMTFPFAFYDLLEQSLPFYNMLKRQVRRTAGSLLPPPPDPPLPVPENKKILVWVGDELLPRDSARVSVFDSVVQGGDAVWEGLRIYDGKVFKLEEHLDRLFDSTKAMAFSNVPSRDWIKDAIFKTLNANGMFNNAHIRLTLTRGKKVTSGMSPAFNLYGCVLIVLAEWKPPVYDNSHGIKLVTATTRRNSPNSVDSKIHHNNLINNILAKIEGNLAQAEDAIMLDQDGFVSETNATNIFMVKKGIVLTPHADYCLPGITRATVMDLVVKENLVLHERRISLSEFHAADEVWTTGTMGEITPVVMIDGRGIGDGKIGPVTRQIQSAYKVLTAGLGVPIPRNAEA >SECCE1Rv1G0049220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:639442548:639446846:1 gene:SECCE1Rv1G0049220 transcript:SECCE1Rv1G0049220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTPRTMAAMPSLLLLCLAVFAAAGVRQACAQPDSKGFISIDCGLRGEEGYVDNATKLAYATDDGFTDAGTNHNISAEYITPSTARNWYTVRSFAPTAGAGARSCYTLRSLVPGLKYLIRARFKYGNYDGLDRLPIFDLYVGVNLWMTVNITGPDATMITEAIVVVPDDFVHVCLVNTGAGTPFISGIDLRPLKKTLYPQATATEGLVLLARLNFGPIEPTDIIRYPADPHDRVWIPWIDATNWVEISSERKVQNLDDDLFEAPSAVMQTAIRPRNASQNIEFFWDAEPQPNDPSPGYIAIMHFSELQLLPSNVVRQFYVNLNGKPWYPSGFTPDYLYSGATYNSIPSRHSRYNISINATGNSTLPPIINAVEVFSVIPTTSIGTDSQDVSAIVAIKMKYQVKKNWMGDPCVPKTMAWDTLTCSYAIASSPRIVSVNLSSTGLNGDISSSFATLKAVQYLDLSNNNLTGSIPDALSQLTSLTVLDLSGNMLNGSIPSGLLKRIHDGSLSLRYGNNPNLCSNGDSCRPAKTTRNSKLAIYIAVPVAVILVILLVVLVLLFCFLRRKRPGSMSNSVKPQNETTSYELSNGVGEDGLLKLESRRFTYKELEMITNNFQRVLGRGGFGYVYDGFLEDGTQVAVKLRSHSSSQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIEGSNRNGACLPWTQRLRIALESAQGLEYLHKGCNPPLIHRDVKATNILLNTRMEARIADFGLSKAFSGNNDTHVSTNTLVGTPGYVDPEYHATMQPTSKSDVYSFGVVMLELVTGKPAILREPVPISIIQWVRQGLARGNIEGVVDGRMRGGYDVNAVWKVADIALKCTAQASTQRPTMTDVVAQLQECVELQNGRTECNANNGFYTGTGGNDPNLSYDSYTTDQSTSGSQNNPSFEMEHNFMRVPTMSKGPAAR >SECCE6Rv1G0451710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873286480:873286713:-1 gene:SECCE6Rv1G0451710 transcript:SECCE6Rv1G0451710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRNDLVEHHWQLDGRRIGP >SECCE5Rv1G0339820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611300430:611306027:1 gene:SECCE5Rv1G0339820 transcript:SECCE5Rv1G0339820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDNRHKKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDRPLEHVQFPTTIEELEGRRRVDVEAQLRKQDIAKNKILQRQDAPAAILQANKLNDPEAVTRRSKLMLPPPQISDHELEEIAKMGNAGDPALAEELGEGSAATRTLLANYSQTPRLGMTPLRTPQRTPGGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEIQTPNPMATPLASPGPGVTPRIGLTPSRDGNSFGLTPKGTPFRDELHINEEVEIQDSAQLELRRQAELRRGLRSGFASIPQPKNEYQIVMPPITEEKEEAEERIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEILRQSLIKGGESRSTFVPPTSLEQADELINEELLRLLEHDNAKYPLDEQTQRERKKGSKRQATGAAFVPEIEGFDEHELKEASSMVEEEIQYLRVAMGHENESFEDFVKSHDACQEDLMFFPTNNSYGLASVAGNADKISALQHEFEMVKKRMDDEAKKASRLEQKIKLLTQGYQARAAKLGSQIQDTFKQMNTAATELECFQELQKQEQMAGAYRVKNLAEEVNKQKALEQTLQSRYGDLLSGYQGIHEQLAEHKRLLKLQEEAIEAENRAKEEALEAENRAKVEALEAENRAKEEAIEAENRAKEEEAAAQNRAAEEENERKHHAIEEESGQTTTVTDGEAAGSKEVNGDQMDMDNADVAGELVGPIPPLPDTQVDNDGASVEQSTSNAQSGDSVTVNEGAIDKVDSSKSDGQDNTSCSMDIDAGSQEEGKNVLAAAATSVDVGNTPVSSDQAVSNEGSDAVHAPVSSDQAVSNEGSDAVHAPVSSDQAASNEESGAVPK >SECCE5Rv1G0366110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:811190987:811191904:-1 gene:SECCE5Rv1G0366110 transcript:SECCE5Rv1G0366110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHQDVVHDIAMDYYGKRLATASSDNTIKIIGVSGTSQQQLATLSGHQGPVWQVAWAHPKYGSMLASCSYDGRVIIWKEGGKPDEWTQAHTFTEHKSSVNSIAWAPHELGICLACGSSDGNISVFTARSDGGWETTRIDQAHPVGVTSVSWAPAMAPGALISPGPSGQFEYVQKLASGGCDNTVKVWKLTNGSWRMDCFPALQMHRDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTAPKEGEQWEGRVLNDFRTPVWRVSWSLTGNILAVSDGNNNVTLWKEAVDGEWQQVTTVEP >SECCE3Rv1G0179620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:545446345:545447808:-1 gene:SECCE3Rv1G0179620 transcript:SECCE3Rv1G0179620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLLPLSVLLLLLAASSDASAKPVQTQALLANPLSPDRVSAPAELAGDYDDNVFAGNLAAAEEAAASTVRFRVVHRDDFSKNATAAELLAYRLERDDKRAARLSAAANGTRRAGGGVVAPVVSGLAQGSGEYFTKIGVGTPATPALMVLDTGSDVVWLQCAPCRRCYQQSGQVFDPRRSRSYSAVGCAAPLCRRLDSGGCDLRRSACLYQVAYGDGSVTAGDFATETLTFAGGARVARVALGCGHDNEGLFVAAAGLLGLGRGSLSFPTQISRRYGRSFSYCLVDRTSSANSASRSSTVTFGSGALGSTVASSFTPMVKNPRMETFYYVQLIGISVGGARVPGVANSDLRLDPSSGRGGVIVDSGTSVTRLARPAYSALRDAFRGAAAGLRLSPGGFSLFDTCYDLSGRKVVKVPTVSMHFAGGAEAALPPENYLIPVDSKGTFCFAFAGTDGGVSIIGNIQQQGFRVVFDGDGQRVGFVPKGC >SECCEUnv1G0527770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1880933:1883500:-1 gene:SECCEUnv1G0527770 transcript:SECCEUnv1G0527770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEELIEKAHKTIESANLQSNNGSDKQIRTTTEKKLQGPTLSVPTGKVTGRDEDLKKITHMLRRPAEDNTCLSVIGIIGIPGSGKTTLAQCVCTSERNDNYFDLVMWIHVSEYFTVQTIYGEMFEQAWQKFGEEHKKCPEYNSLDMLRAELEKVLNGKRFFLVLDDIWFNNELAIEEKLQQLLSPLKVGKEGTKILVTSRHDSLSCLGPDVRTTTYQIPDLDDEAFLKLFMHYALGNKLVHKADEGKLQSIGEEIAKKLKKSPLLARVVGGILFQKRMVKVTDWRSVKDQNLLDKHMGALWWSYNHLGEQVRRCFAYCSIFPRRHPLRRDELINLWVAEGFINTTTEGQEAEDVGQDYFDELLSTSFLIPGWKDHMHGHEYFVIHDLLHDLAVRVAGSDCFKIENEWTGNISIDVRHLYIQRCNAFMLTEHIIKLKNLRSLIIYEIDYDISKQVLEEMFRKLSKLRVLILTARSHPSQLIFPESIGSLKYLRYLGIQRFADNITMPSTFAKLYLLQVLDLGDACNVHSSFGENIIKLSDLRHVISTYIVCFPNIGRLTSLRTMPTLYIYPEEGPEGYELHQLKHLNKLQGRLSIGSLECVHSKEEALEAKLADKEQLTELKMSWYDESMYFPDIEEAEAAVDVFEGLCPPENIKTLTISGYSGSRYPSWMVSTQKSTMHLHKLVLDVCHHQPASSPGLFFIPVSSLELHGCWWDTLPYNMEDLESLYLDGSNIPSNMQHLTSLKKLILRYEYHSYYVTLPTLPNSLEYIVIIGWNGIASNKIWEKIKDVPNKVIDGLTVHGPQDFPWTEDSSDEGSEATG >SECCE5Rv1G0350080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:688232436:688234820:-1 gene:SECCE5Rv1G0350080 transcript:SECCE5Rv1G0350080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEVVVGESFEFAFDKEAFSDKKLRVEVVGSDDAATRKRRREDDKSDEGECVDSSSIVMATPILRVTTMHINSAILAAKSPFFFKLFSNGMKESDKGQATLRIADSEENAFMELLYFMYSGKLTPTTEPTHVVDILMAADKFEVVSCIKLCGQLLTSLPMTPESAVLCLDLPYSISMAPALAEAAKKFFAERYKDFLSAKFQDELMRIPLAGIVAILSRNDLGVLSEEAVYDFMLRWADSQYPNPEERRKILSSQLLPLVPLVRSRVRAILID >SECCE2Rv1G0103040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:586359549:586360061:-1 gene:SECCE2Rv1G0103040 transcript:SECCE2Rv1G0103040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSSRKLAEQGLLLVFLMLLQGGVEQAAAEPREWYVGDQKGWTFGVMGWPNFKPFREGDVLVFKYDPAKQNVIRVDDFGFGTCTRHPSYSTVYNSGHDRIRLPAGQISFISGVSDNCYKGGVKISLTVWP >SECCE5Rv1G0354020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:721119107:721120711:1 gene:SECCE5Rv1G0354020 transcript:SECCE5Rv1G0354020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLMGKLRSNSADDLDRFDLIRSKDMMDLDEIDWAKEEHRRRIAACLVKGVYVHENDRAKRRAVKLAPAWWESFGFRLEDEIRDDKCGSTIYGAIFEYTASQPCAPRYVVAFRGTTRNIRDYYHDLKLVMNKLKKRTRCVQACDRIEGLTKEEGQDGRVWLAGHSLGAAVALVVGRHMVEREEPLSLPAFLFNPPYLASITSINLLNLLKADPVAKRHFYFASSILKAAAGLVLVSHRERREISFRRVSAWVPNLYVHEDDWICRGFIGYFEAREEFKESLARVGVWFKDHFGGRDRFKKIFTRVGIWSMALSHRDVLSWALLGKDKEQPHLLPSVTLWKSRFDPKHAHDLRHWWKPDSELKLGAGTSFSCPVHEAQTTSSYMPASSTQWAALAS >SECCE5Rv1G0345410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:653126832:653127206:-1 gene:SECCE5Rv1G0345410 transcript:SECCE5Rv1G0345410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE7Rv1G0480800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:274036600:274043496:-1 gene:SECCE7Rv1G0480800 transcript:SECCE7Rv1G0480800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHTVANEYSLGGRDLFKRADQHDPEAVLDGVATAGLVGLLRQLGDLAEFAAEVFHGLYDEVMTASARGHGLVLRVQQLEAELPLLEKDICQRDYLYVASNRGVDWHANLRVDHGLVTTGDTPRFIMDSIKQCHGPPRLFMLDKYDIGGEGTCMKRYSDPAFFKTDSACSRMLQEGMRTERRPIRTMEIRPDLQNAEIFRPSDGANYDSRFRTDLSGEALDEFPTRRQRLKHRQLNGSVFRSFRPHMQDLCEKASPEEKTFSMDRSEVQISFTDSPDTNAEERDIMVDTSSSTEKGKEGYYGTARKRRSTSEETPSSYSDNRSAGSSKGYSSEVDIYVDALTTMGSEVETDSEHRDHGGQGAFALARSGKTCSDALGAAVSRSSTFGTKEDLPLPCSSYVGSANRDKAGRSEEDVSVCVPEAKLVGGEHERTSSLEELFSQEKPVSCEHERTVSLEELLTGDILLSEPDTRELATESNSNAIVSNATSDGTVDVTKRARGNNVSAISFKKTASKRCVESMELFASKVGILPRKISKKHDPFSDSLRNMAKELLELKCDGTQDTDLYEFEANGDGFNVECRKMAHPPTEIMGESFRKSISFDSPQHEVGSGECQLEVMDQDSDHDVSTADSPQDSVPDENGFQHTDVYLTDITSPSPKEEEKEEEEKEIEEEEEEEEEEGWAVAAPDEHSSAGMLNHASELIQEHTVDIHTQGASENASDMGEDLKEVCICEERVNAEDADRCSESDEYASEEEITENIGEHLAADDVISSPISSKQSDDPCQVTPFTLKDADIAVASEGPDNYSTEMEHVTLPETVVETGLAKVVTEPVVSSEAAVPDDERCCLHPETSLPQDTVLGSCELLDQNEQLQLHSSSMMSATPGPTVNTGEIHELHEEPPNPCDSISTDIFAEQLAPDSKDLPLPSISSFDWMLNGLMKNPLNVLPAPSSNINLQEISSSEDTEEAPPLPPLPPVQWRATKLQTGSTSLFAKLGRPPRPKPPVKHLENENNPALGETNQESENVQENGWNNGFTSQKEMAQSTVCSETPTDLLPESDSQENNVQEGYTECDVKSSNLFCSSEVKCNTDVTSVGDDVHTMKPPELIVIPEEAWSELVDMEPILKQEEERKQHLINGVSDCSSIHTSGLPIEKTTVEHERSDQKEELSAADSNTTTDSEENKPNGLPCQDDIQSPDFSVQQENGSSDMVREFSSVLEEELAKLPPDSVPEPPRYPLLQVISHDRSMLRKAPTLVQPSSKLSGETNTVLDQIKNKTFNLKPVVAKRPNVMGGPRTNLQVAAILERANAIRQAVADDDDEDSWSE >SECCE4Rv1G0255060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:643698584:643701743:1 gene:SECCE4Rv1G0255060 transcript:SECCE4Rv1G0255060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNKVVPNNGDARSMLPNKVTALNPNAAEFVPSCVIRPSYGNGTASDASKSELRGSPGKKILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFTSFEKIEQEPEELSLAGLSLNAPPFYGTKASRLSREHQELSSSASNLELGHTNLFYEDNSQATFSTVGSSNWEQNYVGDLHFANENQDLQYDPTTGFTESFASEYVAASDGLFDPLEYLASQFPGFSAESLGELYYANGCDFNHTIEILTQLEMQVDPTSNQTMNLTPSSPNFSTGDFPALPTAEDQNGFSKGDMDILGFFSGRNSSTISSGTGDFVSAVRKLASQNSGHNKFKKGPDYGNGVSTVSVPKQYSFGSKTSSGNKYQSVSSVRATATPWLDTGDAVANMYSESREEARDFARVRNACFEQARQAYLIGNKALAKELSIKGQAYNSQMKAAHEKAREAIYRQRNPGSLQRGSDRLIDLHGLHVSEAIHILKVELGSLRGMARASGERMQVMVCVGTGHHTKGSRTARLPIAVEQFLLDEGLHYTQPQPGLLRVAVY >SECCE1Rv1G0054870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:676629870:676631935:1 gene:SECCE1Rv1G0054870 transcript:SECCE1Rv1G0054870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQFLSKLPRTKSARDGGGGDSGQGSGGNGHPIQRTSSVPAGGGGGGGGRSASTIKRMSSAVFPSSVVAGIEPLVAFKDVPGSERHNLFVSKVNLCCAVFDFSDPAKSSAEKDIKRQTLLDLIDFVDSTTGRFPEAVIAACSRMLAVNLFRVFPPSYRSVGGSSSGGGEGDEDEPMFDPAWCHLQLVYELLLKFIGSNSLDAKIGKKYFDHSFIVRLLNLLDSEDPRERDSLKTILHRIYGKFMVHRPFIRKAVSNIFYHFVFETQRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLIPLHKPKSVGVYLQQLTYCVTQFLEKDPKLAGSIIIGLLRYWPVTNSQKEVMFLSEIEEILEATSMVEFQKCMVPLFRRIAQCINSSHFQVAERALFMWNNDHIISLVAQNRQVIMPIIVPALEQNGQNHWNHAVLNLTANVMKMFSEMDEELFSACLTKCKEDEENQASMEEKRRLKWAKLESAAALQPVTGHTAVLVG >SECCE5Rv1G0351590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:701267549:701268064:-1 gene:SECCE5Rv1G0351590 transcript:SECCE5Rv1G0351590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACNSCEATAVAAVTGASAVGEATAARVVLADGQLQRFPGGTRASQAMKAAVASAAAPAGACFLCSADGLELGAAVAAVALDEELQPGQLYFVLPAAMRRRPLQAEEMAALAIRASSALAGDHDGPLVFPDSASGAPAARSGVKGGSRRRSRRTASLGRDFVPDLGAIAE >SECCE2Rv1G0102840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:584490398:584491723:1 gene:SECCE2Rv1G0102840 transcript:SECCE2Rv1G0102840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKEKTTSGMRLPPQHQGLEVKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLPSTDLTPNLTLRSLISHWSASAASCSPVAGSAAFFAGPSPAALVRQVATSGADPSAALRELAAYLSDDDVDEFEKNALVGAGSAAETVASVLRRKGEQISVAGVEAAVRVLAAIVALDGIEDANKRRVAAGLAVDAAASSASLARVLRGGSGLEARIDAARLVNFLLANAGAEAKVAVAESSELVAELVRLVGPVDEKGGLDKKAMDTGLSCLAAIAGSRRAARADMVRLGAVPAAARALQATTEPSSSAKALRILESAVGCAEGRVALCKDAEQTVPAVLDKMMKSGRDGAEAAVAVLWAVCHKYKDRRAADAAAASEGGLTRLILLLQSGCSAAARQMALELLKIYKVNAKSCLAGYDSKTAHIMPF >SECCEUnv1G0569340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:440645144:440646370:-1 gene:SECCEUnv1G0569340 transcript:SECCEUnv1G0569340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGALSLPDDILLEILVRVKDPAALFRCTMACNHWRCLVIDPSFLRRRWPEDASSSFIGFFTGERRRPYLRQDPPPEPWFIPVRRSPMGPCRRTLASIVSTTRADIFKYAVPIVSRHNLLLVRLEVRSRTVRPNQTILQLAVCDVLVGTCDRLPPLKFSSNFIDYNSNGYAILTAADCHEQSPWSNTWPFFKVVIIGSSHGFLKYDLHVFSLDKGSWSVSTNCLGGNAPPKGYWSFSDAIVRRGTAHWLLYYGECSYIINLNAQTDHISLTKLPSTMNTQDRCLSLAMNGKLSLLCMSMIPPGLNIWEQQENQENMSSASEWLCTKTIKLKQPEKETGRTELCSLREKCGTLLIGDNDKCVFTADLETGTMKEVEGWPREHDIFPWEVNALEISWPGIFVSRLTRYS >SECCEUnv1G0562570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:366947036:366948095:1 gene:SECCEUnv1G0562570 transcript:SECCEUnv1G0562570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVALLIAKLGFALAKEAATFGASLLCKEASALKGLFGEIREAKEELESMQAYLQGVERFKDTDETTRIFVNKIRGFAFEIEDVVDEFTYKLEDKHSGFAKKMKKRVKNIKVWRRLTLKLQDIKGRLHGADRRKVRYDMRGMEREGLNNGHSGSAGHSLNLPREEDLVGIKENKDKLVRWLADDLEEPGSKIATIWGMGGVGKTTLVDHVYKAVKTNFSISAWITVSSSYQVEDLLKQIASGLGVAIGVANANRNLVEKALVDLSSPPEFRRLHCWQQKPVQSNWNHYRDIMHGSYFAMKPFGTMRTKHAQRNWKI >SECCE1Rv1G0009820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:57684423:57685053:-1 gene:SECCE1Rv1G0009820 transcript:SECCE1Rv1G0009820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKLTYFSQFFWLCLLLFTFCILLFNNNNGILGINISRKGFSTGLSYMYSSLSEVSQWCKTVDYLGKRRKITLISDFGEISGSRGRERQIIYLISKSSYNTSSSRITCWKNIMLTHVPHGQGSIIS >SECCE7Rv1G0477180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:215778043:215780175:1 gene:SECCE7Rv1G0477180 transcript:SECCE7Rv1G0477180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLLLLRFVPLLLLLLAASLVPPASSAGKNSSVSIDSATLSFSDLTLLGDAFLRNGSVGLTRDTGVPSSSAGTVLCSRPVALRGSGNATASFAARFSFVVANQNAGSTGGDGIAFFISSDRATLGATGGYLGLFNSSVSAAAVPNDDDADPVGPAIVAVEFDTMVNAELGDPSDNHVGLDLGSPASVSTVDLADSGIVLKSGNLTTAWIDYRGADHLLEVSLSYSGVRPKRPVFSVAVDLSPYLKEAMYVGFSASTEGSTQQHTIKEWTFQTFGLPPATNASSFTNATSNLSEPAVPGVAASGGANRKKRFGRAITILGPVALAVAFMFFACVSVKKLAELSSKKDAVFLPGLLKGPRKFSHKELSIATRGFHASRVIGRGAFGTVYKAAMPGDATTYAVKRSTQAHQSRSEFVAELSVIACLRHKNLVQLEGWCDEKGELLLVYEYMPNGSLDKALYGDPCTLSWPERHNVAAGIASVLAYLHQECEQRVIHRDIKTGNILLDGNLSPRLGDFGLARLMDHNKSPVSTLTAGTMGYLAPEYLQSGKATDQTDVFSYGVVVLEVCCGRRPIDREETDKDGAGSKNNVNLVDWVWRLHGEDRLIEAADARLNGEFDREGMLRLLLVGLSCANPNCEERPAMRRVVQILNREADPVPVPRKKPLLVFSSSASIMLQEMAFSCGDDVRGGYPAATTTNPASPRSEGADIER >SECCE3Rv1G0212200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954784416:954785645:1 gene:SECCE3Rv1G0212200 transcript:SECCE3Rv1G0212200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRRLSAAAALTSVAAVLGNDDLLREILLRLGFPTCLFRAALVSKRWLHHASEPAFLRRFRERNPPRILGVFASYPETPYKFLPLPQPPELAALSRRVASTCNDAFAAHIYQWVEHCRNSRLINVFFQDGKFMHSLLAPLHAGESEAVLAQVPLPRRLMRARTQGLFSQTFLPEDGGRDGITLVTLWKVRREVRAEVRVLGSGGWGVPTTAVTEVDLPYAETIFIKMLPPVHGKVFMVTGFGYTLGLDLARASFFTLELPPVGVRSNYLLSCAEGSGIYLVSANGFQLSVWLHPMTGDDNDADWLLVDTFCVRKAYARSAGHDCVWMAQDGDFLGVAAVGDNAEFVILDYPRYGVVLYVHLGSRVVEKLYEHQALLDHCFCDIPISPFMMIWPPVFPALKGGHDQEQ >SECCE7Rv1G0501570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:684555464:684555814:-1 gene:SECCE7Rv1G0501570 transcript:SECCE7Rv1G0501570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEKPITPPPSPPAPPQPPPPEGVPRIRGFGGGAAGGGYPNPPDAALPDAATLRDQWRFAVRQYSRWYSQAWGTAILAGGAFFALGWLVKGSNPLPSRADPRPPSDASADEDKK >SECCE1Rv1G0028790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:407481672:407482265:1 gene:SECCE1Rv1G0028790 transcript:SECCE1Rv1G0028790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGRDGMMGSMCGRRPRAETRHPVYRGVRFRAGKWVSEIRELRKHTRIWLGTYPTPEMAAAAYDAAALALRGAGTALNFPDAARSRPAPASMSADDVRAAAAAAAASAMGSIWTPHRSDQCYGDQLRGGESDRRDDVIGVVDEDDVFEMPRLMVSMAEGLMISPPVLGTAAADGCSAASYYAEADDEGAVSLWDHS >SECCE1Rv1G0035490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:499186175:499187827:-1 gene:SECCE1Rv1G0035490 transcript:SECCE1Rv1G0035490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPHTCSNFKMMALMASPQLHSTVAVLCLVFVVSCIFLVARSRKDGACGSPPPSPPALPVIGNLHQLGRGRHHRRLQALARSYGPLFLLRLGSVPTVVVSSPSLAEAVLKTQDHVFCSRPQPRTARGTLYGCRDMAFSPYGERWRQLRRIAVLHLLSAKRVDSFRSLRQREVMGFVERVRAGAREGVVNVTELVISLTNTVISKAAFGNRLGGVDPGVVRHMMKELTELLGTIAVSDVFPSLRWVDWATGLDARISRTAAELDSVIEKTLAEHEGSRANDGEVHDLLDGLLSIFRDGDHGFRLDRIDVKALILDMFIGGTDTIYKAIEWTMAELVKNPTEMEKVQAEVRQVAAGTQGGVLEEELETMSHLHAAMKEALRLHPPIPLFPHESIQNTRLHGYDIPANTRIMINAWAIGRDSESWDDADEFRPERFMDRAMDYNGKDPRFIPFGAGRRGCPGIAFALRLAELTLANMMYNFDWVLPDGQDLESFEVVESNGFAPALKCPLILVAKPL >SECCE2Rv1G0106670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:638148992:638149664:-1 gene:SECCE2Rv1G0106670 transcript:SECCE2Rv1G0106670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGSDKYHKRALKVAAAVSGVESITVTGRDRDLLVVIGDGVDESHLTKKLKKEVGEAEIVQLRTLPEGTSASGYLPGTSRDVVGGESRSSYHLHPPNTPGRVTYPAYAPSPVANPGASRWSGDHRQAEAGYYPSASSPSFYHASPAAGYGGYGGSSYASAVARSHPANYSPMIERHRHDDGSWRRHHDEGKPSCCSIQ >SECCE5Rv1G0362050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782445716:782446069:1 gene:SECCE5Rv1G0362050 transcript:SECCE5Rv1G0362050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLRSLFSPLRKIIVRAHSARKYRRGMRILYKDVKSCEDEDVHVLWSILVDSHRHPGMVKLKL >SECCE5Rv1G0353320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:716380449:716383235:-1 gene:SECCE5Rv1G0353320 transcript:SECCE5Rv1G0353320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGGVAGSSRSAACCAVLLAAVLFFSAPATTEAYDSLDPNGNITIKWDIISWTPDGYVATVTMFNYQQFRHIPAPGWQLGWSWAKKEVIWSMVGAQATEQGDCSKFKSAPPHCCKRDPTIVDLLPGTPFNQQIANCCKAGVIKTFNQDPGNAASSFQISVGLAGTTNKTVKMPKNFTLRAPGPGYTCGRALVGRPTKYYSTDGRRVTQALMSWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCSCGCQNNITRPGSCVNDNSPYLQSAINGPGKLTGQPLVQCTSHMCPIRIHWHVKLNYKDYWRVKVTITNFNYRMNYTDWNMVAQHPNFDNITKLFSFNYKPLTPYGGRINDTAMFWGMKFYNDLLNQAGPLGNAQSELLMRKDSETFTFQKGWAFPRRVYFNGDNCVMPSPDDYPWLPSASPLTKQPWTLPLLVFWTVFATLLAYYV >SECCE2Rv1G0104850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:611960843:611961802:-1 gene:SECCE2Rv1G0104850 transcript:SECCE2Rv1G0104850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPRHRNLSSRQLRSSPPDAPSHYQKATRAAKENKLKLTSEKKDWKHATCPICLERPHDAVLLLCSSHTKGCRPYMCGTNYHQSNCLEQFKNAYVKEKPANEVSIAVAAGSKKPKDVELACPICRGEVKGWTVVEPARQFLNRKKRTCIHEDCSFIGSYKKLCKHVKARHPSSKPREVNPARLAEWKELESAKERQDAISIVTGLNPGSMIIGDYLIDPNSGSSDSFMDNSDRSDDSDSYTFPDGGDIMFSGAPDILSLRRVVRRAYRTSGARPRQNVQRRALTISRSSGRRRWPQVGSALSARMPRGRQARSTNDS >SECCE6Rv1G0437010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:780595593:780596731:-1 gene:SECCE6Rv1G0437010 transcript:SECCE6Rv1G0437010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSMGVIAAVAVLVVLCAAVPAVAQGTKGPPAPKLSSNFKTIHPGRFGKRAQVLTCDDEKDKKNPCVATCDKLRCPNECIVMCPGCKTYCMCDFYPGVSCGDPRFTGADGNNFYFHGKKDQSFCVVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFAGHRLYMGAQKTVEWDSDVDRLELAFDGMPVDIPTEMDAEWQSAIVPTLTVTRTSATNGVRVQLQGVFDILANVVPITEKDSRIHNYGATEEDSLAHFDIGFKFHALTDDVHGVLGQTYRTDYVNKLSVSANMPIMGGAASYVSSDIFSTDCKVARFGRGHNRISMVTTKAN >SECCE3Rv1G0167560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:204653330:204653617:-1 gene:SECCE3Rv1G0167560 transcript:SECCE3Rv1G0167560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVAVPTEFKLRNNTGCSWKVTVKLMNGRVTLDQGWATYAPVHQIKIGYMVTFKLLTPDTLKVIIFDEDGIEVVNKCGKHDEAFAAKE >SECCE7Rv1G0512920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:817090106:817107662:-1 gene:SECCE7Rv1G0512920 transcript:SECCE7Rv1G0512920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRYAAKGKKKRKLQDGTASRADDAEELQPRENDEGKGEDVTEGDENVEVAEGIPVLPRPVDGRKRPRAIFVLERACLEVGKVGKTMQILNSDDHAIYLRKQNRNPADYRPDIIYQALLEIFDSPLTKAGRLQAVYVRTEKGVLFEVKPNVRLPRTPKRFCGLMSQLLQKLSITAVGRREKLLNVIKNPVTQYLRVGSRKIGLSYSADKSVKLNDYVAECSDDEALVFVVGTMAHGKINNEYTDEYIRISSHPLSAACCLARICTALEQKWNIQ >SECCE1Rv1G0039870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:554288253:554295108:1 gene:SECCE1Rv1G0039870 transcript:SECCE1Rv1G0039870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGMAAPRFRPIEECATEGRSRQTVAADLDGTLLLSRSAFPYYLLIALEAGGLLRALALLASVPLVYLTYISVSEPLAVRTFVYIAVAGLRVSDIEAVARSVLPRFYAGDVHPEGWRVFRSFGRRCIVTASPRVMAEPFARAFLGADRVIGTELEVDGSGRATGFVAQPGVLVSEHKRQAVMREFGDALPDVGMGDRESDFDFMSVCKEGYIVTRSKYSPVPKNQLESPVILHNGRLVQRPTDINALLTFLWLPIGFALALLRVHVKPLLPDRVAFYAYKLMGVKLAVRGSPPPPPTKGRPGVLFVCNHRTALDPTMVSVALGRKVTRVTHDTASGVPTDHTSPVKAVALSGERDGDAARIRRLLEEGDLVVFLEGTACREPLLLRFGALFAELTDRIVPVAIATKESMFHGSTVRGRKAMDPYFFFMNPRPTYEITFLDQLPRELTCGDGKSPVEVANYIQKLLARALGFECTSITREEKYGVVGAACRPTTMDLERPTT >SECCE5Rv1G0304040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:53293585:53296384:1 gene:SECCE5Rv1G0304040 transcript:SECCE5Rv1G0304040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLFHYSSLLMLCFLSRSSAPMAASPRLPVRAVNLGGWLVTEGWILPSLFDGIPNNDLLDGTQLQFKSVTQNAYLAAENGGGAGLVANRPQASGWETFKLWRINKVTFNFRVFGNQFVGVKSDGSLVATAVSPGRSETFRLVRSPSDQYRIRIMAPNGLFLQAKKDGSLTADYGQSTSWSNDDPSVFTVTRVSGLQGEYQICNGYGTAKATPVLRNHWSTYIVEADFRFISESGLTAVRIPVGWWIANDPRPPAPYVGGSLKTLDKAFKWAEKYNLGIIIDLHAAPGSQNPFEHSSSKDGSQDWGTTDTNIAQTVQVIDFLASRYAKSPSLLAVELMNEPLAPGVSLESLKTYYRDGYNAIRKHSSDAYVIMSNRLSSPDPTELLELAGGLPGSVIDVHYYALFNNMFDTFTVQQNIDFIKTNYSSDLSTVTRQNGPLTFVGEWVAEWKVPNAAKQEFQMFANAQMDVYGRATFGWAYWTLKNVNNHWSMEWMINNGYISLKS >SECCE4Rv1G0273630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:778485968:778488587:-1 gene:SECCE4Rv1G0273630 transcript:SECCE4Rv1G0273630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRPTKQKQSAEDAAEEPMETCEIARLPEELMSAVLSRTSPRDACRAAAVSPAFRAAADSDALWACFLPPLADLPPLANGELLPPHRKKDLFLRLSGSPVLLPGGLMSMWLDRETGAKCYMVSSRALSIRWGEWSWSPLADSRFSENALLRSACWLVICGNIHSKMLSKGSAYAAYLVYALAQDSCGLDSLDQDAYVSIRGTDITRQVCLQPNPQTGYAENIVFPRERDDGWMELELGEFVIEGDEDGDVSLDLMEKNFLNIKSGLIMQGIEVRRKS >SECCE1Rv1G0026450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:371240235:371246837:1 gene:SECCE1Rv1G0026450 transcript:SECCE1Rv1G0026450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLVSAKASPRAAAAAVSSSPLLPSAPRSRPRGRRFRCVAAASKGEDVFGGRKELTGVQPLVESLPPAGRAVLELAVVAAAAAGGYSLGTRYGGSRTTAVAGAAVLGAATLAGAAAVNSVVPEVAAVGLHNYVAGCDDPTALEPSEVEAIASKYGVSTQDAAFKSELCDLYASFVYSVLPPGHGDLKGTEVEAIKKFKKALGLDDVDAANMHLAIGRRLYRERLDAFQKLIFVSNLVFGDASDFILPWKHLFGITDYQIDIAMRENAKRLYALELKSIGRGLDIGTLIEVRRVQLAYKLFDEVAADMFKEHAKKLIQENISSALSILKSNSSAGNIPTEVINEVNSILAFNRLLTVLSKFPQGDRFARGLGPISLAGDFDHDKMVGDLKILYAAYTTEVLSDGHLDDEKLGPLNELRNIFGLGKREAEAIIEGVLSDVKSQVPA >SECCE2Rv1G0064630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4597211:4597627:-1 gene:SECCE2Rv1G0064630 transcript:SECCE2Rv1G0064630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVAEKAEKTTAAKKTKAEKRPPASKEGGDKKGKKKSKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >SECCEUnv1G0555970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:306891806:306892030:1 gene:SECCEUnv1G0555970 transcript:SECCEUnv1G0555970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCCGPSDVKVLPKNTSCLSSASSASSSPSKDSGDGGKKKQQQGVKKEKKKSNLDRAAMATPRFPFHSRPGLM >SECCE5Rv1G0298800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14072553:14073080:1 gene:SECCE5Rv1G0298800 transcript:SECCE5Rv1G0298800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAALVVVAAVVLVLSGVDATVETTCKAAADKDAHVNYDFCVSELRKHHRSSNADTWGLAKIAANMGVNNAYGAIHDIERLLAKPDTDAKTKVALGQCQRFYDNMKFAFAGAYDEINGRNYTAGKEEAAKAVSLAHECDDAFVKADVPSPLKQRSLYTVQIAIVCTAITNLIK >SECCE4Rv1G0285630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:845798491:845800788:-1 gene:SECCE4Rv1G0285630 transcript:SECCE4Rv1G0285630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLVPSSSSHLFCRSLAAAVAAAGYSSSSSSSSSVKMARSALDEVTDTGAFDRTPSTFRSFVSRDAAARFPAVAGRYHLYVSYACPWASRCLAYLKLKGLDHAISFSSVKPIFERTRESDDHMGWVFPAAADPDPFNGARSVRDLYEIASTNYTGKPTVPVLWDKQLKTVVNNESSEIIRMLNAEFNDIAENPGLDLSPAHLQASIDEVNGLVYDAINNGVYKCGFAKKQGPYDEAVTKLYEALDKCEEILSKQRYMCGNQLTEADVRLFVTLIRFDEVYAVHFKCNKRLLREYPNLFNYTKDIYQIPGISSTVNMEHIRKHYYGSHPSINPYGIIPQGPNIDYNAPHDRERLFL >SECCE4Rv1G0283350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:835194584:835200749:-1 gene:SECCE4Rv1G0283350 transcript:SECCE4Rv1G0283350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.6 [Source:Projected from Arabidopsis thaliana (AT4G16570) UniProtKB/Swiss-Prot;Acc:Q944R7] MPGCLAGLTPPPAALHHLLRGRRRMAFSAAAPSRAFQLRLNPLTGDSEWLVVDEEGEEDAAPPQTQRQLLAATSYLDMLNDAARNRAYRRAIDAAVTDPSSRVLDIGAGTGLLSMMSARALGAVGGEGMGSVSACESHLPMGKLTRKVLRANGMENKVKLFHKRSDELQVGVELDSPADVLVSEILDSELLGEGLIPTLQQAHDSLLVKNPKTVPYRATTYGQLVESPFLWKMHDLHSNEANAADGVWLTPDGMENIVSVKLQQHAMQCDPLEDEIRLLSEPFKVFEFDFSERPESQRENKITIKTTGDGCVHAIISWWVLQLDSAGSIFYSTAPKWVRQSSIKDLPQCADDTKDWCDHWKHCVWFTQGRGAPVMKDQTLSLRASHNLTSISYQLLNLNDEACNGNLKADNLTLLPERIALYGDIYWRSALITAIKNALSDRSPQTCIVADDSIFLALLVSSLSPSSKVIAMFPGLWDKGATYLQAVAKANNFSMDQIQVIGKRAASLSMDDLEHKKINLLVGEPFYCGSEGMLPWQNLRFWNARTLFDSMLSEDALIMPCKGVLKLCAMSLPDLWKSRRSLKDVEGFDHLVVNETLGACGDLPGEQQGPCLPYYVWQCGYTKKLSKVYSLMNFNFSEPIHSCFGKTKIEFADSGTCHGFAVWIDWVLDEKNSVVISTGPDSRYWKQGVQLLSKPVEVNPADNSAALVEASFDPASGDIVFTSSFS >SECCE4Rv1G0277770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:805679403:805681781:-1 gene:SECCE4Rv1G0277770 transcript:SECCE4Rv1G0277770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMNGTRGLLLTTCPHSIDHYVQNLTSSYSNKSNESSVVATSVFMLVLAAVFFNLNLFSRVSNTSAVLNPTARAVLNSALNLFLPVMSYLFSEAKNNPDAGADLPVRARLILTWMLLVELLRKKVEAILVTAGMQQGYSSIITHANAVAWLGYLVFFNLKVAGRVAVFGTLWLLCAAKFVQRVAFTEIGKRSLAYGKNARLLSSYMAEMVKDPRHAGTPVQDQHLSPSQRMKMCKYVVMGEENLMLRPGPHGYELDLDRLNTTYDGGDHIVTVGKIWELVEQEKKPRLKRLQRLSLSFALFKLLRPRFELLPAMTKQETDHCRDLIFQGLCKVEDGDVDPGVALFQVLKDEISFLSEYYHSVHPVVLASPYFFVINYITFPVVVLVLCFMTIALAGNGDMLLAFHSVGEDNYAISSGIFTLTKCLWTNFLRKPAAFFAIIDISITYLLFIAFVYEEVWEYMVFVFSNWFMVSLLCTYTARPRWQRSKTYRGTLRRIAWISSKLSHPSRVTLKQFSVLRVSWLSMTLPTTSLPTQAKSSIMERFRSAAYGRDGFPAPLGNGKNILYSMQRYLHLAWFCETESVAEVIITWHIATSLLETTYPGLRKGGTSPTEHHRAVATKLSKYCSYLVVFHPELLPDDREYTERVYEDMKAGLKEALGSWNYYLGSENARYTKMMATNQDKLRSDCWDNTMTIVQKGIVLGNVLVGEANGDYGAVWKLLADLWVELIVYVAPSSGDEHVKRHEEALVLGGELITLLWALATHTGVTRTPSTPLAVTLVGDDVEEGVRPCV >SECCE6Rv1G0379030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11729262:11733357:1 gene:SECCE6Rv1G0379030 transcript:SECCE6Rv1G0379030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFCYEEKVPVQFPHGLEGCSSHDERRSYERRSDFEISEDEKKTRMGSLKKKAIDASSKLRHSLKKKNRRKSGSRVLSVSIEDVRDLGELEEVEAFRQALILDELLPSRHDDYHMLLRFLKARKFDIEKAKQMWTDMLQWRKEYGTDTIVEDFDYTELDTVLEYYPHGYHGVDKEGRPVYIERLGKVDPNKLMNVTTMDRYVRYHVKEFERSFLIKFPACSLAAKRHINSSTTILDVQGVGLKNFSKTARELIMRLQKVDNDNYPETLHQMFIVNAGPGFRMLWSTVKSFLDPKTTSKIHVLGNKCQSKLLEIIDASELPEFLGGTCTCPEYGGCLKAEKGPWKDPNILKKVLNGEAQCARQIVTVSNGTETIISYAKSKHQTLRGSDTSTAESGSEAEDVTSPKALRSYISQPKLTPVREEVKMIRATSFSTRMPEYDIPVVDKAVDATWKRELPRKAPFPSKDSSSLATTTKAPARSLDQIIPALMAFVIAIVTLLRSVKDVATKRLPDKNESDEQSSAIYQDCIPKEEFRPPSPGPGFAESDLFSLVLQRLAELEGKVQALERKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYVDRKTIAKAQKKKKKPMSCY >SECCE2Rv1G0097690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:466809456:466811660:-1 gene:SECCE2Rv1G0097690 transcript:SECCE2Rv1G0097690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRQRRLCFTTIATLFLLHHIAVASSSLVQEHDRSALLQLMNGLSSGCGDVPDYWSPGSGVQHCSWKEVRCDMRSRVVAISLPSRPSRRLAGVLSPAVVGLTELKVLSLPSRGLRGEIPGELWRLQKLEVLNLAGNSLRGPLPAIFPEGLQSLDLSGNQFYGRIPPGLGDCSHLRRLRMSSNSLDGFIPPQIGKLAELRVLELSGNRLAGGVPPELRQCSYLVKMDLSRNLLHGQLPSSILKELKKLRFLSLAGNNFSGEIPPGLGQLRSLRVLNLSSNSLSGVVPIDLVVLRNHTVLLLDSNLLPAKVSAPMPSPQKVEISQVTADSSGVGVGVGPPPHSAEVFTVIPQFKSTWVLTEANRGTPPDGSSNVGHLQTIEIVAIALVLVVIIALLVVATIYIFKRRRRTPRQARRSGTGTGTGTRREREVKVFDGVDIGASLTYDAIVRATGNFNASNCIGFGGFGATYRAEVAPGVLVAVKRLSIGRQHGAKQFQAEVETLGRRRHPNLVTLMGYHISDQETFLIYNYLPGGNLERFIQERTKRQIGWRVLHKIALDIAHALAFMHDECSPRILHRDVKPSNILLDNDFNAYLSDFGLAKLLRNSQTHTTTSVAGTFGYVAPEYAMTCRVSDKADVYSYGVLLLELISDKKVLDPSFSPYGNGFNIISWANKLIQSGRVCEFFVEGLWNKAPHDDLVEIMNLGVLCTVESLSSRPKMKHVVRRLRELRPPSY >SECCE1Rv1G0059020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703594096:703597864:-1 gene:SECCE1Rv1G0059020 transcript:SECCE1Rv1G0059020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGVGTGAMNSLLGKLTALLSDEYNLLKRVRKEIQFLERELSGMQALLERLADMEEKLDIMARGWRDRVRDLSYDIEDCIDRFMDRLGSGDAKPKFMKRTARRLKTLWARHDIATQIKELKARVMEESERRDRYKFDESYYSAMKTVEIDPRITAIHEEVKGLVAMDGPMKHVIALLMDESKELKVVPIVGSGGLGKTTLAMEVYRKIGSGGDFQCQACVSVSRTLDLVNLLKDILSQIDKDAYKESQSWEKEQLIREIKQILTGKRYFIVIDDVWKEQDWKLIKAAFPDNNNGSRIIATTRITGVANLCCSISNEQPYQMAPLDDVDSRRLFFKRIFYSNDSCPSELEEVSARILKKCGGLPLAIITVASLLASKTHNKDEWERLQDSIGTGSSFDKDGDLKGMKDILLHSYLDLPHHLKTCLLYLCLYPEDSMINIKRLKWKWIAEGFIATQWGSLDQVAENYFNELVNRNMIQPVYGNYGSSVKYCRVHDMVLDLIISLSDEENFATVLNGRICNSFPNKIRRLSMQSSGKEHKGVVGAITETKIHLRSLTVFKLHKQIPHLVDFHALRVLDLEKCYWLENKHVKHIGSSCQLRYLRIGRSKITELPGEIGKLQHLETLDLRDCWSLLRVPSTVVQLRKLVRLFVSRFIQLPASGIQSLQALEELSLEKTDDPVRFAEEVNESGKCNLRYLRTRGKIAERLFCNPCYAYPCLQVLKIRFVKHLMGMASLKNLVKLCITVQKFDMEGLQVLMGMPSLAHLELCLAEVIEEKLTVGSNNGFKLLKVFHFQYTASSPFVLEQTSSGGLCWILIGRSNHDRS >SECCEUnv1G0558480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:332921387:332923000:1 gene:SECCEUnv1G0558480 transcript:SECCEUnv1G0558480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHKFIFPNFLSLFFHGGCGAASPPPHPSDVLVHKRAPPSARLTDATALVVDVDGGLLLSSGSLFPYFMLVAIEAGGLLRGLLLLLLYPLIVCLGGDLAVRAMAFIAFCGLRATRFRAGRAVLPRWLLEDVGLEAFHAVRRRPSGAAGRRAPVVWASRMPRVMVEPFLKEYLEADVADVVAAREMKVLWGLYTGLMEEGDGEAASEARKKIMEGAVGDDVVGFSGGSMEFLCNNTLSSLCKEVYVVSAEEKSKWRPLPRHEYPRPLVFHDGRLAFLPTPLATVAMLVWFPLGAALAVARIAVAMALPYKCATPILAATGQSWRLRGAPPPPPSSRGQLYVCNHRTLIDPVYVSIALDRPVRAVSYSLSRLSELISPIGRTVHLVRDRAHDGAAMARLLAGGAHVVVCPEGTTCREPYLLRFSPLFAELSGDGVVPVALAVETAMFHGTTAGGWKSMDALYYLANPRMCYTVEFLDRVDTAPVRDGGAASTDVANRVQRLMAASLGYECTMLTRKDKYLMLAGNDGVVRTKGAACQ >SECCE2Rv1G0124540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833155333:833156457:1 gene:SECCE2Rv1G0124540 transcript:SECCE2Rv1G0124540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSANYDEYPVETSSSRSLTDAVTVAHNFEITGYSLLDGFRPGEYICSTRFNVGGCDWCIKFYPDGQTEEDASYTSAFLCLCRGDPWPGVRAKYTLSLLDKDGNATILRSALRHIFVSPGCYYGYPRFFSKKHTDDDCFTIRCVLTVITHHTEDRSAVVVPRSNMRDHLIDMLEGGEGADVTFGVGDQLFHAHRCMLASRSRVFKAELFSGMEENATRHIKVDDMEPAIFRALLHFIYTDRFPYNCGVDKNVPLQHLFVAADRYGLDRLTAMCEQNLCQSIDVHTVATTLAFAEQHQCVQLKQACLGFLSSHGVLSDIQETDAFKHLVSSCPSLVGDIINSVAVAGGRAAKRARVEATHSDSSSSYTGD >SECCE2Rv1G0139520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:927763294:927765289:1 gene:SECCE2Rv1G0139520 transcript:SECCE2Rv1G0139520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKDLISPADLPPFYGQQQPQQQQHLRMLAGTGGGGQQHSPSSLAGMHSVIRPMPNMSMSPTAILQSIGGSSLAGMQFNMDATTSPSSLMQHSSMGGSVSGSGATMPVASPPPEPVKRKRGRPRKYGPDGAMKHHMSSSSSSAHHQQHQHQHQMMGAPQQRMGSMSGQSMAGGLDDAAQKKKRGRPPGTGKKLSSPTSKPSGNAFPGSAGTSFTPHIITASPSEDVAGKIAAFASQSPRAVCVLSAMGSVSRAVLRHPADHPPSYNNPTIYEGLYEILSLSGSYNLNEGQQNQTDGISVTLCSQERHVIGGVLGGPLVAASTVQVVLGTFVQGGSKSKSKKAVKPPAAFGPDSLTGAGPDVASPSSGHNQNLTPPSVVSTGGWPSSGIFDTRSSNIDINSSRG >SECCE7Rv1G0478120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:232947011:232947583:-1 gene:SECCE7Rv1G0478120 transcript:SECCE7Rv1G0478120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGKRSRQQAETTSVSLMDLDGGDMARVLMLFSGHHNIHGHHGVAGPASPERVFECKTCNRRFPSFQALGGHRASHKKPRLADGEPPKPKVHGCSICGLQFAVGQALGGHMRRHRAVAAAGARVDRGLSLDLDLGPRGDGKKKTTAVAAERMIDLNMPALAPEEEEPADRAKLGLAVEMQFPLVVDFRR >SECCE4Rv1G0231310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:181373554:181378758:1 gene:SECCE4Rv1G0231310 transcript:SECCE4Rv1G0231310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVAAARQCLSPAAVTALDAAVVSARRRAHAQTTSLHLISALLAPPAPPLLRDALARARSAAYAPRVQLKALELCFAVSLDRLPSASSSSSASGADDAEPPVSNSLMAAVKRSQANQRRNPDTFHFYHQAATAQAPAAVKVELSQLVLAILDDPVVSRVFGDAGFRSGDIKLAILRPAPPMPMLGRLPTRSRPPPLFLCSFAAADDADVPSPAAGSLAGGTGEENFRRIGEVLARGRNPMLVGVGAASAAADFAALSPYRVLPVGPSSIDQTELSVASATSGLIISIGDLKELVPDDGELQEMGRRVVAEVTRVLETHRAGRVWVLGWSATYETYLTFLSKFPLLDKDWELQLLPITAVRAGGSPAALIPQATTAAAFSKPASFMDSLIPFGGLVSDTYEANSLTANSCPQALRCQQCNDRYEQEVATIIRGSGITAEDHHQGGLPSLLQNGSMIGANNGFDAVKVRDQMVLNSKISNLQKKWNEYCLRLHQGCHRINSVPYQLFPHYIGVPANGERAENLSKGSESVALQREVIRPSVVSVPHTNATTKSISPPSISNQRNDNLLLELQAGFSKSDEKQSRRETLSYCHDREDHVSPSSTACVATDLVLGTPHESSSKGTNSASCKHVADAEISVPKKVDDLNLKPPQIFAQPFACSKSSTNMGQTSPSARHSAASGGLSAFGHWQKPSHLAAQGSDLSNYKLLVERLFQVVGRQEEALSAICASIVRCKSMERRRGASRKNDMWFSFHGSDCMAKRRVALALAELVHGSKENMIYLDLSLQDWADSSYRGKTGTDYIVDELSKKRRSVIFLDNVDKADCLVQDTLTHASKTGRFRDLRGKEVDINDSIVVLSTRTTRGPKSVSVGMEEGHIFTEDKILAASGHQLKILVESCTAITSDKVIVSPGHPLAKIRASMYCGGSVSKRKFSISDDQEKLQEESPSISKRLHRTSSVPFDLNLPIDEDGSSDADDHSGSNDNSYGTPERSMDSLLCLVDESIDFKPFDFDKAADYVLQELSNTLRKVLGSGCTLEIDVGAMEQIIAAAWASEGKRPLQAWLDQVFAGSLGELKVKCGKHASSSTLILVACEDMAAAKEDNGFGGLLPSRIILEW >SECCE6Rv1G0431640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:747075864:747076530:1 gene:SECCE6Rv1G0431640 transcript:SECCE6Rv1G0431640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQLPAVLLAACATLLALATPLLAGDPDMLQDFCNVTVDDFFSSALALPGNTGNPVGSAVTAANVEKLPCLHTLGVSMSRVDYAPWGVNPPHTHPRATEIIYVLEGSLDVGFVTTAGKLFARTVCKGELFVFPRGLVHYQKNNGGAPAAAISAFNSQLPGTQSLALALFAASPPVPTDVLARALQIDGGLVEAIKSKFLPM >SECCE6Rv1G0436480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:777521570:777524926:1 gene:SECCE6Rv1G0436480 transcript:SECCE6Rv1G0436480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVSPSALLRLRLPSPQPAASLPSSSPALLQRRALLSLTAAPSRASSVVAAAAADSSNGSGNGSYSGNGSQASLMPQLSGLMLDESSRSKRPYKWQRVLLKVSGEALAGDHTENIDPKVTMAIAREVASVTKLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMMATVMNAIFLQATMESIGIPTRVQTAFRISEVAEPYIRRRAIRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDANPKHNPNARILDTVSYNEVISRDLSVMDMTAVTLCQENNIPVVVFNLQNTGNIAKAIVGEKVGTFIGCTRNLEYRESTEGSLDQEDKVLVSEW >SECCE5Rv1G0365130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:804731540:804732270:1 gene:SECCE5Rv1G0365130 transcript:SECCE5Rv1G0365130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASSGAGIHDDCKLRFVELKSKRMHRFITYRLENQKEVIVDQTGQREATYEDFTKTLPENDCRFAVFDFDFTTPEDVPKSRIFYIFWSPDTAKVRSKMTYASTNEKFKRTLDGIQIEMQATDPSEISLDVIKERAH >SECCE1Rv1G0031940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:448526556:448526897:1 gene:SECCE1Rv1G0031940 transcript:SECCE1Rv1G0031940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVEEFRACHDIASLARKMVELERNIMFPTVYRLIELTLLLPVATATVERAFSAMKIIKAELHSKMSGCWLNDLMVCYIERGIFESLDLGEIKESFQKKGRALPLPGSSRRH >SECCE3Rv1G0143560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:1066822:1068357:-1 gene:SECCE3Rv1G0143560 transcript:SECCE3Rv1G0143560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSRNIIQRELDGVRRPSRLFYLGLLYTVLWALVFFHHFSTSVQSESGKKATVLQFKPAAFFRRDPCAGRYVYMYVLPPRFNADLARDCRRLSGSPDACKDVSNDGFGPPITGGGEGGSLPESGAYDTDQYMLGIIFHARMRRHECLTADPATASAVYVPFYAGLDAAMHLDNADLAVRDALSRDLVEWLARRPEWRAMGGRDHFLVSGRGTWDFLRRPDADGWGNALMTYPAIRNATFLTTEASPWHGHDFAVPFPSHFHPSSDADVAGWQDRMRRAQRRVLWCFAGGPRGGDTGTVRAQIFEQCGWSSRCSLLGKSAVTKPGHYAAGHAMRLLESAEFCMQPRGDGYTRKSTFDAILAGCIPVFFHPVSAYLQYTWHLPRDYRSYSVFIPAGDVGRNGSIEEVLSKIPSEKVARMREQVIRLIPTVMYRHPAAKGFTFKDAFDVALERVIHRVAKRRRAAAEGREHADSVDGGDSWKYDLLQDGQEKIGPHEFDRYLYMHVTKDFPG >SECCE6Rv1G0432520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:752449216:752450839:-1 gene:SECCE6Rv1G0432520 transcript:SECCE6Rv1G0432520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLALLLLLLPVVFLVVIARERSMFSKLNLPPGPAMLPVVGNLHQVGGLPHRRLRELSRRHGPVMLLRLGRVRTVVVSSAEAAKAVMKTQDVECCSRPASPGPKRLSYDLKNLGFAPYGDYWREMRKLFMVELLSMRRVKAAAYAREQQVAKLVSNLSRLAGQPVALNDHIFGLTDGIIGTVAFGNVYGADQFPHKGRFQEVLDEAMEMMASFSAEDFFPGAGGRLVDRLTGLFGRRERVFKELDAFFEVIIEQHLAEPARHNGGDLVDVLIGLWKEQHGTLSFTKDHVKAIILDTFIGAIDTSSVTMLWAMSELIRKPRLLSKLQDEIRATVGSNHLGRVQEHHVPSLTYLKMVVKETLRLHPPATLLVPRETMQPVQIGGYDVPAKTRILVNAWAIGRDPASWTSNSEDFNPARFQGSDIDFNGAHFQFLPFGAGRRICPGLAMGVMNVEFTLANLLYCFDWALPDGMTPENVSMEEAGALIFHRKTPLVLVPTPQG >SECCEUnv1G0544550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:130538425:130538753:1 gene:SECCEUnv1G0544550 transcript:SECCEUnv1G0544550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQGYPQQGATAYPPPGQQQAYVAPPPAMYQQDQQYPPAGADTTSRGGHGHGGDGFLKGCCAALCCCCLLDACF >SECCE1Rv1G0061800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717442154:717446152:1 gene:SECCE1Rv1G0061800 transcript:SECCE1Rv1G0061800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) UniProtKB/Swiss-Prot;Acc:Q84JF5] MATPVASPLLLPSPAPLPSPTFPPRRLTLSRRLILSAPRHAGRPRLRDPPPAPVEEAAAAAAVEEYEAPPLRLLDPPQEDEPYPDEMEAADPDFYRIGYARMMRAYGVEFLEGPDGMGVYASRDVDPLRRARVIMEIPLELMLTITKNHPWMFFPDIIPLGHPIFDIIESTNPETDWDLRLACLLLYAFDVENNFWQLYGDFLPSGDECTSLLLAPKEDLMELEDQDLSSEMLKRQQRAVDFWQKHWHKAIPLKLKRLAPDHERFLWAVSVVQSRSFNLNMRMGAFIQDANVLAPYADMLNHSPDANCFLHWRFKDRMLEVMIKAGHAIKKGDEMTIDYMSAVNSTLMQRYGFSSPTNPWEHINFSSPAKIHLDSFLSVFNIAGLQDELYHNVALTSGESTFVDGGVVAAARTLPTWSDGDLPAIPSVERKSAQALQEECRKMVESFSTTIQQDEEILDSDEPMRKTREIAIKYRLHRKLLLQKIIDSLEIYQDRILF >SECCE6Rv1G0415930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:645523117:645525079:1 gene:SECCE6Rv1G0415930 transcript:SECCE6Rv1G0415930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 55, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24820) UniProtKB/Swiss-Prot;Acc:Q9SK50] MTPPPPTTALPRPSLLLAVSSAALKISAATSAARGVRWQGVGVGRGRSRAVGRCWAAAVEEAGAQEDGVLLPKEGSEAAGRYDWREEWYPLYLSKEVPDDAALPLTVFDRQLVLYRDADGVLRCHEDRCPHRLAKLSEGQLVDGKLECLYHGWQFDGQGKCVKIPQLPSGAKIPRNACARNYEVRDSQGVVWVWMSPATPPDAKKLPWFEPYARPGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQPLAFDVAERTARGFAGHWWRERAPHLRNLLRFEAPCVLTNTLEFVDKDGKEQCFSAQFLCRPAGQGKSMLLVRFGSTARSPLLRVLPKWYFHQNACKVFEQDMGFLSSQNEVLLREKVPTKELYLNLRSSDTWVAEYRRWMDRAGHGMPYYFGHSTISPPPVPAVVEQAPAGAAAGISASFPAKGGAGTQHAPNPTNRYFRHVVHCKGCRDTVNRYTALKKAFVVLAAVAAAGAILAATRQWKAVLLGAAAVLAGASYACGSVVSLITTNFIRTHRRL >SECCE2Rv1G0137300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:917573357:917577677:-1 gene:SECCE2Rv1G0137300 transcript:SECCE2Rv1G0137300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFDGLFSGRRDDGVRMGDAASASTSAQQSGGDISLLSPTAASVVHRCAQIAGVPVEQLVRRPETDEQPPLAYARSIVEYCSYVALRAETRRRHDNLDDREFHSLTYDMMLAWEAPDHDTEAELQKTAFSWGDGGDDDEDDGGSIFYSSPTKTAIQVDGRRTVGPEAFAKIAPACAAMAHPITVRNLFDALTNSTGGRLHFLIYHKYLKSLDNVLRSTKCISGGHKAPALDLSDGEVVLDIFGAATTQPVLQHIGTSTWPGRLTLTNHALYFEAIGVDFSYGDAVVYDLTKDLKQCVKRESTGPWGAHLFDKAVMYKSSSINEPVFFEFPQFKGHSRRDYWFAVIKEVLHAHKFIRRYRLVGFQKAEALAVATLGILRYRAVKEGFHILPAYFKTTLAFNLAEKLPKGDKILEALYGQLQEHCSRFRGGDDLAAQGSSDELALADPFPLSAFTLVRMGLLTLREEDNPEERDFAVGDVQIGRTSSVQMALERSVGYSGRVEAARATLDQVKVEDIDTNVAVLKELVFPLIEIGKRLVFLAEWEDPLKSYVFLLCFLYIVYRGLIWYIFPGFLVGSTAFMLWNKHHGNRQLIEAFEVTTPPRRRTVEQLLALQEAISQLEAHVQAGNIFLLKLRSLMLAAFPQSTNKVAMTMLVAAATFAFMPFRIILVLILLEAYTRHMPLRKKSSEKLVRRLREWWLRIPAAPVQLLRPHDTRRGRSRRR >SECCE4Rv1G0267330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735602640:735603157:-1 gene:SECCE4Rv1G0267330 transcript:SECCE4Rv1G0267330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPPAYHDQKLAAAKRCAREAALAGAKAAAIAAVAAAVPTLLSVRMLPWAKAHINPTGQALIISTVAGMAYFIVADKTIVSMARKHSFENAPEHLKNTSFK >SECCE6Rv1G0388660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:141250400:141250606:1 gene:SECCE6Rv1G0388660 transcript:SECCE6Rv1G0388660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSSSGAYEEAGCRRPQRVRPSDQDGIYYVGERDVDNKAGIYIANFHRYQSEVVPMTPAPSSAAA >SECCE6Rv1G0436440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:777443613:777452485:-1 gene:SECCE6Rv1G0436440 transcript:SECCE6Rv1G0436440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETETVMEAGDDPVFVAEGTVTCIRLAVASNQEIVKAQPMKEEFPVTHPSQLAGNPALGLPLQLGHCDSCGATDLAKCEGHFGFIKLPVSVYHPSHIAELTKILNMICFSCLQFKNCKKRKKAEKESNFTSCSYCQDLPPIHVAKVKKSNGALTLELRVPCRKELEEGFWSFLDQFGFHTNGSSHCRRLFAEEVQKIMEKISEKTRRQIAARGYILQDGFVMSNLPVPPNCLRAYNVLDETLMCSPDASTNLLRKIVLNVHGINNSRVGPPTFQEHEVEADDLQVAIADYIKHAGTARGPQDITFKSLPATKQWQQKMKSLCISKSSSFSCRGVITGDPYIPMNVVGVPDEVARQMSVEERVTDYNIAQLQGMMDRGLCLTHEDANSIMHSLDVGKANKKRTILKVGETVNRRILDGDAVFVNRPPSTDKHSVQAMYVRIHTDHTIKINPLICGPLGADFDGDCVHIFFPRSVSARAEAIELFTVEKQLVSSHNAKLNFQLKNDCLLALKKMSARKYSRREANELINAMFTAGLIPKKRLSGGPEWSFAQILETVLPQENKILIRDLVAGTITISSVLSTKNPREAIEFLNLLQPLLMESLFTDGFSVSLRDFNVQNPIPQTIQHQTLDLDKLRKPIVDFITSSSDIRFLVDQKRDSAMTKVVEQIGFLGHQLQRNGRLYSGSLVEDCLSKSLSNCGSSTNGCHPLEAHGFVRNSLCNGLNPYEDLLHSISAREKTIRTSKGLVEPGVLFKNMMAMLRDVVACYDGTIRNSCGNSVVKFDSTNSSRSVTPGDPVGILAATAVTNAAYKAVLDPNQNNMASWDSMKEVLLTRASSRNENDQKIILYLNKCSCGDNFCMERASLAVQACLKRTKVEDCATEFSVQYQQQNIKATHCLVGHIHLDKKQLDEMNISTEDILQKCQKEIYRCGKKKGQVNQLMKRVVISSECMCYQDADDEEQVSCLQFFLTESVTTRMSESSARVVELMANTISPILLDTIIKGDTRVQEVNIVWVEPQDTRWVHNSGTEQKGELALVVTMDKNSTGESGDVWGTAMDACIPVMDLIDTTRSLPYSIQQVQQGFGISSAFGRVTQHLSKAVGMVTKSVLEEHLTTVASSMTCTGNLHGFNSYGYKATFKSLKIQAPFMKATLARPLQCFEEGAEKVYSDQLDSVVSTCSWGNPAPIGTGSAFKIHWNDENTLAGNGNLGGYGLYEFLTVVESTRSTEDNMCLYDVDNLQEDEIEEEEILCLGGNSPISWTDRPKSNLLLHDLQGWRAEQAHQTVPVPNWQHGKHVATESSGTSGWNQSSSAMKVYQRRQRNSNWSSDATQQEGNPSWNKAYVAGQSNFAITGPSSGTSGWNQSSSTTKVYQRRQFDSNWSSDATQQDGNPSWKKENVAGQGNFAITGPSRSGGRSRKTNNLGRGRGGGRGAVWKSEGSHRGGNSRWKAQRANTTSAPNFNLSGPSNFGGRNMKTGKLGPNRGRGPAWRSNGPNRGGSNSGQRASSNFTLAEQQIHAQVDPIMKEVKRIIRESRDGIKLSGDDERFIVENILMYHPEKEKKMAGNNNYIMVSKHQKFHSSRCLYVASSDGPPTDFSYKKCLENMIRIHYPRDASSFCRKYFQ >SECCE2Rv1G0118060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:779077154:779079211:-1 gene:SECCE2Rv1G0118060 transcript:SECCE2Rv1G0118060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPFRADVLRGKAALVTGGGSGICFEIAAQLARHGAHVAIMGRRREVLDKAVAVLRSEGLRAVGFQGDVRKQEDAARVLASTVEHFGKLDILVNGAAGNFLASPEDLTPKGFRTVLEIDTVGTYTMCYEALKYLKKGGPGRGPSTGGLIINISATLHYTASWYQIHVSAAKAGVDSITRTLALEWGTDYEIRVNGIAPGPIGGTPGLRKLAPDEMGKGKREIMPLFKLGETRDIAMAALYLASDAGKYVNGTTMVVDGGLWLSHPRHIPKEEVKELSKVIEKKVRASGVGVPSSKL >SECCE5Rv1G0322560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:421691285:421695220:-1 gene:SECCE5Rv1G0322560 transcript:SECCE5Rv1G0322560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPFRQLIPKEHSGPSGGLEGRTIDVGNVKVHVREAIAEGGFSCVYLARDLTNPAKQYALKHVIVQDKESLDLVQKEITVMRTLKGHPNVVTLVAHAILDMGRGREALLLMEFCERSLVSALDTRGTAGFYDEEQVALIFRDVCNAVFAMHCQTPPVAHRDLKAENVLLGADGAWKLCDFGSVSTNHKCFDKPEERGIEEDVIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLMYRICYLKSAFDGESKLQILNGNYRIPELPKYSSSITSLIEEMLQSSPDARPDITQVWFRVNELLPLELQKDLPDGSPSGSAFELQTTEDEGPSSSWSRDNVRNTPPKGPSSLRSQVPVESKGSAGAFWSTQHAQELAFADDKGSVLDQEPTYDGVAKQPQAKNQNTTLNAYRQSLSASVDSSPGDFEIRFSPNGSEYGLEKTQNTKPDNKTSAQTATFSSFVADFDNVKVSSEDNAGSLNTTSKLKEQQLEAEVTLLKEQLKIANLEKEEISLKFDRLSAICSSQRREIQELKQALATASAPAPAKELKENLKAEFSSPSTSLDTPPREKIAGTPPELRQGLFTSSPGTPSPDPKPWSAFPEEPKAQAAVKSARPRSVRTLRASQSNKAGLLSQSKANPGADPFAFGQDSFKAVPSGTVPSEMSNLGNASQSLSTPKAEADKDAPYQPAGWTGF >SECCE6Rv1G0421550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:684231887:684232351:1 gene:SECCE6Rv1G0421550 transcript:SECCE6Rv1G0421550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEQNLGRVKDKQAHEKEVGKLKKEIDFLSNNYSQLVEDVSKLFDYQDGKMSHDMDYTSQAINDLNEKKKQLEDQAKIELSMEKLKLAKEQRCILQSQADIIHNMRKAMKEVEGDRDLLKQEKKKLEYLIADLLNAGQASKGKLERIKAIMNE >SECCE4Rv1G0220650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41353074:41354423:1 gene:SECCE4Rv1G0220650 transcript:SECCE4Rv1G0220650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLHLVFVPFLARSHFAPLAAAAADACGDGTTGATMLTTPHFAALAPPSVPVRAAPFSFPGGHEDFSLLPDEASAPAFFFAAEAALAPALAAAVRAHAGAVAVVSDAVLHWAPRVARECGVPHVTFHTIGAFAAASMVAVHLHRRDVLEDPVALPGGFPVPVKLRRAHVNEEALAHLPMFRAAEAGSHAVAFNSFSALEADFADYYRNAVDGSPRKVFLVGPRRAVTVTGCAERDPILRWLDGQAAGSVVYACFGSTCGLSADQLKELGAGLRASGKPFLWVNPAATEQHEEEVASRHGNGMVVAGRWAPQAEILAHGAVGGFVSHCGWNSVLDAVCAGVPLATWPLRAEQFLNEALLVDVLRVGVRVREVGGKADVAAVVPAAAVASAVGKLMGDGADEAAARRARVRELGVAGRAAVAEGGSSWSDWARLVDELKAALRATATMH >SECCE7Rv1G0492180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:545020589:545024090:1 gene:SECCE7Rv1G0492180 transcript:SECCE7Rv1G0492180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MASGHIAQCLGGILARRAGATVAVSGDLRLNGAQLVDGVRSLAAGLLQRGVRPGDVVAVVGFNSIEYMELLMAIPYIGAIVAPLNYRWSFEAAAQALELVRPSAFIFDGAYSSWALRLTESQSFSSICLYVTIGDPVNTGQGANFVSVDHVKRSWGGTTEMEPVSAPRDVALICFTSGTTGQPKGVAISHTSLIIQSLAKIAVVGYGEDDVYLHTAPLCHIGGISSCLAILMAGGCHVLIPKFDAKSAIKAIQEHKVTCFITVPAIMADLLSYARKDKISGCGSVTKILNGGGGLSDELINGASHLFLNAAIFSAYGMTEACSSLTFMPINKPELQETKNQLRNQSEGVCVGKPSPHVEIRIDGDESNSSSSQMGKILTRGLHTMVGYWRNNTVDTSESVRNGWLDTGDTGWIDRTGKLWLMGRQKGRIKSGGENVYPEEVELVLSQHPGVAKAVVFGVPDSRLGEKIVACVSIRDDWRWVDATAEHQGEGKEVSVHILQEHCRIKNMSRFKVPRLYYHWSRPFPVTTTGKIKRQELKAEVLACIQPRSSL >SECCE4Rv1G0245930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:538393945:538395708:-1 gene:SECCE4Rv1G0245930 transcript:SECCE4Rv1G0245930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQRRAMGSTIAFLLVAILLHLAGGSPPPEPVVCTDGTSNCTVTNSVGSFPDRIVCRARSVTYPRSEQELVGAVAAAASAKRKMKVATRYSHSIPKLACPGGLDGAIISTERLNRTVRIDAKKGLMTVESGMVLRDLVEAAGAAGLSLPNSPYWYGLTIGGLLSTGAHGSSLWGKGGAVHEYVVGLRIVTPAPASQGYAVVRELGADHPDLDAAKVSLGVLGVVSQVTLALQPLFKRSVTFVTRDDSDFADQVAVWSRLHEFGDMAWLPQQRKVIYRKDDRVDVSSPGDGLWDSLLGRSIPTRLLINGRVAEERLQEGSTNDTALCAAGRLQADSLERQAYGFKNDGVNFTGYPVVGYQHRIQASGACIDSPEDGLNTSCPWDPRVRGSFSQNTDFSIALSKAPAFVAEMQRLRDLNPDMFCAGIDTRFGVLLRYVRASSAYLGKPEDSVDFDIISYRSRTDGMPRAHADVVDEIEQMALRKYGGLPHWGKNRNFAFDGAIAKYPRADEFLRVKDRYDPDGLFSSEWSDQVLGINGSPNIVKEGCGVEGLCVCSDDSHCAPEQGYFCRPGKVHYEARVCSTSVNP >SECCE7Rv1G0499070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:649984603:649984836:-1 gene:SECCE7Rv1G0499070 transcript:SECCE7Rv1G0499070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE5Rv1G0333450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:557292796:557294418:1 gene:SECCE5Rv1G0333450 transcript:SECCE5Rv1G0333450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPCVHLALLLCLMIPATVASPRSLRKSPANASPSRPTAFFEVDRPPRGGSGRCSTLLLSASFGSTFDKPPATAAYSPPRCLVKAGGRASAISLAVLEWRATCRGDQLGRIFGVWLGGAELLRGSTAAPPPNGIVWSVSKDVTRYASLLAAAGSSTFAVYLGNLVNTTLTGVYHANVTLHLYLRHAPTTKPLPAMAPADLIVPMSRALPRNGGLWFPIQSAADVASKSVALPSNTYRAVLELYVSFHEDDELWYKNQPGYQNGPFREVTARVDGVLAGSVCPFPVIYPGGIQPLLWRPIAPIGSFNLPTYDIELTPFLGKLLDGKAHEFAFAVTNAVDAWYVDANLHLWLDPGGTATTAGLVSYVAPPANTTSSKSADPVDTHYHATANRLVSATGWVKSSYGNITTNATRTFALEYLLTFETLGLTIVTDTGVVATDSAGGVLYSAQTHGNFPLGWVYQQNSLTVTHGLEETTVAAGRWSSAPAYRSLRTTQSSIVEDEGKSWGVRQTYRYNATDGCYFRNVTSSNYSIVSDHSNESC >SECCE4Rv1G0286240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:848971494:848973252:1 gene:SECCE4Rv1G0286240 transcript:SECCE4Rv1G0286240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGFDVWGSQPSTSGPSTHAGLDLNSQVPVSEGFPGLGLYGAFLQSNDDELLPGRARGSGLPPYRPPYARRLNFSGSSTAAAGRGGGNGGVFLGGSSSGAGGGVRQRANSAAAAPGHRNQCTSTAFSGGDVRQRANSAAAAPSRWAPRSAVRAQASGSGAPFDNGNEELEDEVEEFASSGGPSQRAAGTYNGVTMTAEGYQAVMDGLLARRGLVYSRVQVKNQIIVLKNPLLWQYMQVHTGLGRKPDGTIDADSEFWITHTGKKPYLRKLQWGPPANEELLDQLFRGCTVDGSTTFVPGDDYGQNQGQDAGAEEEEEELQGTPTSTSNQRSQRGKRSLSTSSTLTSPLKKTKSPMVKIVKDIANTYKESVATNTKQMQKRANEKAAFSVKRCQELAFECGVEQTVDSVYAMPKMFELEYQREFFCGILTPELRLDCFKKWCRDNNLE >SECCE6Rv1G0405870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:536914386:536916588:1 gene:SECCE6Rv1G0405870 transcript:SECCE6Rv1G0405870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEGGYFDGSRDAILMAGSLIHDSLDSICDSTEIEQGNFHGPSFFIEDICNPTNLTSEPARTINHIHHRAEFDMDQDLHGHLIQETQVETSNWVPAMFSTQNHIISQQSIEQQMDDYDAASYPDGAHTAAPDLLNLLQIPRYSMTTAFPSTEHIFGDPGQNAGNQLDINNDVLGRAIHDSGMMLGDSTLPLQYNGNQSHLFKDLYHSLPQSFGLFSSDDERDRTMGVVGAAGNILQEIDGRQFGSPKLRRSKKGGFGKAKANFATEKERREQINVKYGALRSLLPSPTKNDRASIVGDAIEYINELNRTLKELTSLVEGDTKHRMKRLRLDEAALDNGESSSLQQVKDGQDNQLNGAIRSSWIQRRSKECHVDVRIVGNEINIKFTEKKKTNSLLCAAKVIDEFHLELIHVVGGIIGDQRIFMFNTKISEGSSVYASALASKLLRAMEMEHLAVDIFS >SECCE5Rv1G0310610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:171933372:171935986:1 gene:SECCE5Rv1G0310610 transcript:SECCE5Rv1G0310610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCLAAVASFSPSAVRRRLSSQVANVISSRSSVSFHSQKMSFVSISSRPSSLRFKICCSATGEAQAKKETVDKVCMIVKKQLAVPDGTPVTAESKFSELGADSLDTVEIVMGLEEEFNITVDETSAQDIATVQDAADLIEKLVTEKTA >SECCE1Rv1G0042020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:576101245:576104015:-1 gene:SECCE1Rv1G0042020 transcript:SECCE1Rv1G0042020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDGTEAPDCGTYTTAPGPEPPLPTAPPLMQSPRNTILPTMNNTTRDDQDLQDENNVPRVGKCFQSEEEAYQFYNSYAKINGFSIRKCHLKLRADKTVSARYLVCSKSGVKATHPTHVPKKEQATSRTDCMARVQFSINPEGIWSIQKVILDHNHQLVSPDKRHMLRSQRQLLDADRHMIKQMRTSGIKQAEIYDFCELWYGKDAMPFLQMDCNNYLRSERSKYLETKDAQTLTEYLKNKQAEDPSFFYAMQLNDHDGTIMNIFWTDGQAIMDYSVFGDAVSFDTTFSTNKFQMPFAPFVGVNHHKQTVLFGAALLYNETADTFEWVFRTFLQAMSGKQPETIFTDQCAAIINAIGRVFPKTRHRLCLWHLYQNAAKHLSQVIGDHPKFIKEFKRCVYEDRSVAHFENRWHELLVKYQIEGNSWLNNLYKLREKWATIYRRESFSADMTSTQRSEGMNNVFKKTFRKRLCLSELIEAYDKCTARLRRKEKYEDYKSRHTNPVLCLPNLPLLKTAAESYTRKLYSEFEEEFKKQFSLSCVLLGTDGTVSTYKLTSFEYKNDEALVCLNPTTLEITCSCKLYGCIGILCKHIHKVFTCCNIITLPSQYIMNRWTKHAKQEIFTSKLDTNDSLDSMFAHTYRKMMSLALKCKASKEVLTYHNAGIDKLALEAHELLSNLNLDEDEDSESSSEMTESVAETTVSFRAPERIKGPMKKRSKDVLEGAKKGKKGKGTNHPAKESVFVPSTVGPSEFACVGTIHPPMTMAFGEYPSTFVPPIPGEFTRLLLQAHGDGTTPPTARQLDFSEGASTSRFQ >SECCEUnv1G0565610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:395193214:395193705:-1 gene:SECCEUnv1G0565610 transcript:SECCEUnv1G0565610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMVVLDTWQYGWHRLMHSSSLLYRHVHSWHHRLVAPYAYGAQYSHPLEGLILDTAGGALALAVTGMAPLTAAAFFSFATLKAVDDHSGVLVPGNPLHLLFRNNTAYHDVHHQIRGGRCNYAQLFFVAWDKLMGTYVPYKVVIAQHGGLEAVPLKKKKMTRT >SECCE7Rv1G0486740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:417510738:417513521:-1 gene:SECCE7Rv1G0486740 transcript:SECCE7Rv1G0486740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNMGSRFPSHQLSNGLYVSGRPEQPKEKAPVVCSAAMPYTGGDIKKSGELGKMFDLHAEKSRKSGPLGNQPSRNTSFGGAASNSGPVSSAASRSNYSGSISASVPGTGGSARAKSNSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGHLPPILPTTGLITSGPISSGQLNSSGALRKVSGTLDSTVSMKMRATSSAYNPAVTNLNEQNSYSIKASLPKPILWAAILLFAMGFIAGGFILAAVHNPILLVVVVVIFGFVAALLVWNICWGTRGMTRFVSRYPDADLRNAKDGEYVKVTGVVTCGNFPLESSFQRVPRCVYTSSCLYEYRGWDSKAANTQHRRFTWGLRSIERHAVDFYISDFQSGLRALVKIGYGARLIPYVDEAVVIDINPENKDMSPEFLRWLRERNISSDDRIMRLKEGYIKEGNTVSVIGVVKRNDNVLMIVPPPEPFNTGCQWGKCLLPTSLDGLVLRCEDTSNGDVIPV >SECCEUnv1G0554470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:286987800:286988660:-1 gene:SECCEUnv1G0554470 transcript:SECCEUnv1G0554470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLGILNIKLIVQVAELRKRWLNQKNSFFEVQFLSEDNMNIIPCSIKTLKGLYDISGVEVGQHFYWQIGGFQIHAQVLITSWVVITILLGSVVIAVRNPQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVPFIGTMFLFIFVSNWSGALLPWKIIELPHGELAAPTNDINTTVALALLTSAAYFYAGLSKKGLSYFEKYIKPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVIPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >SECCE7Rv1G0516440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:846357980:846360250:-1 gene:SECCE7Rv1G0516440 transcript:SECCE7Rv1G0516440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVQSSSLERLHHVEKRIVRVLELVGTVMEELGNSQGPRSDAVVAHCREFMLYMKEIQTTLREEIKSACEYRPFEMCDYSTRIANEICCKKLEYVIEKMDAMQLNIEQSTNEV >SECCE6Rv1G0385880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:86524153:86524704:1 gene:SECCE6Rv1G0385880 transcript:SECCE6Rv1G0385880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELEKKGGGEGRPAAALVSDTGGDRPRVVGSGGEGSLRPVELDLIGTVGAEAPLGLPAAVSAEAEQEPRQLFRCHYCRRDFYSSQALGGHQNAHKRERTLARRHAGVPAPLGQHGYAFAIHGGLGALGPGQLPSWFSAFNVRRTAVVASGEDEGWRRRSDVSVGHCSDGDQELPKLDLTLKL >SECCE2Rv1G0139870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929197852:929198211:-1 gene:SECCE2Rv1G0139870 transcript:SECCE2Rv1G0139870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSASVLALTIMMVLVAGARCSTSGKVGFALTKGSTPTNLIVAAKSFGGTADDFSKMQVKGQGSDKWIDMSNAGSSGKGNSVWKAVSSAPLKAPLAIRYQTKQGTTVVNDDAIHSF >SECCEUnv1G0539200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75373374:75374603:1 gene:SECCEUnv1G0539200 transcript:SECCEUnv1G0539200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETGICDLPADCLALIASLTSPGDVCRLAATAQALRVAADFDEVWGSFLPADCTDILARCSTAGDELHREGETKKELFCRLCDCPVLLDGGKLSFSLDRRSGARKYMIPAKALWYGWSGYHYGGLVWSRCHPHSRFREVAVLSYLCWVDVDVILNTKDLPGVGRGYAAYLVYRVHWLHADTAQNQNQEDAGSSSSAATCYHECNHLVPQKHSRSLLWDWGWELDGSPSSSMSAETTEKNRSQKQRLTPDGVGMRSDGQWIEQEINIELGEQGKQSNVSVEFRGFTRSHRCQIVIEGIEIRPKGMGKFKSRLN >SECCEUnv1G0565930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:398169653:398170043:1 gene:SECCEUnv1G0565930 transcript:SECCEUnv1G0565930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCMMNIGKGQLGANKVLICLWALWLVTLLVLSSEEMGTDACDRQISQTWPNTTCIIRGTCNKYCRREKFDRGICKELNYCFCYRNCAFESI >SECCE4Rv1G0276420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798033730:798035451:1 gene:SECCE4Rv1G0276420 transcript:SECCE4Rv1G0276420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAMRCPLLLGRHPAATLRHSFSSSRTRRVRRAAGGGSQGQPPAYGGLLLDAGGTLLQLAQPVAKTYAALGRPYGVMMPEKYIMEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATDCTDNDYFEEVYQYYAHGDAWRLPDGAYRTLRDLKKAGVKLAVVSNFDTRLRKLLKDLNVSDMFDAIVVSSEVGYEKPAPEIFKIALEQIGVEASNAVHVGDDETADKAGANAIGLECWLWGDDVKKFSEIQRRIVAKGSQ >SECCE1Rv1G0043140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:589003936:589006148:-1 gene:SECCE1Rv1G0043140 transcript:SECCE1Rv1G0043140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTGRTRTPSKKRQRAAMAYRRKQGIQRSNTFVEDHRQPTPGDSASPATASPRATRFADDSSRPDRSLAAQTLLASAARGDMQALADRFTASSSSPRAARPSDPAMSSSLRVSPRAARPNDAAASSPLRVSPRAGRPNDPITSSSPRSSPRAGRQNDLFAQDPVTMLCTPTSSGTTDDSKRSPLKKDEAKQGLWGLLAQQAKAMLDENAPAEDARNQPLATSAGSPQAQSRWSYDRVRKSESPTFQNGSEGPKFDMGGHIKNALEEGLHAATMAESRTPAVVGRKLQIRRKTCSVDMRSAHLNLGTPDVMSPMMTDFESPQIKASRDVANVMAAKVKLLQRELKTVNADLAFSKERCAQLEEENRLLRAGNHDHAANEDLIRRQLETLLAEKARLATENTVYARENRFLREIVDLNQLTVVGLQEDIIEEEDDDEEEEYEEEEEDEEEEEAEHDRPANPGTAPPQPPSHHTDNVPVAAAPQSPSRRTDNVPAPAPQSPSRRTENAPVAAAPQSPCRRTDNAPSPCTDNAPELGSTDNSSNAAGSPMHRSPKEDEGSQQVNPIRDDSSPEKASQQELRQD >SECCE1Rv1G0053750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:671598972:671601946:-1 gene:SECCE1Rv1G0053750 transcript:SECCE1Rv1G0053750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSGSTAPAPLGKTHVPDLEWKIHDLSALLETKAILALSPPFHCSGYKWFLQVNPKHEQDDKGNQYVALSLGISPVCLELGHTVHAEFELSIFNHSKGVYCGSKASYNFDVKNTYSNKECLIPLEELLKSSTFLVDDSCVFGAKILKIDVSSPERKVVVVQKKATTVQNLFVQKKGFIKGTYTWTVDNFLELDSQRSVRSPTFEVGGQNWYIGMHPRGNSSSTDCLTLGLYLDSSDELFMESRKVVQISLSILDQNSGKYFTRTTGLLVYTCPEGWALSNFLPLKELKDMSRGYLIGSSCVLKADLTIFGSSNDG >SECCE4Rv1G0216050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8540029:8541248:1 gene:SECCE4Rv1G0216050 transcript:SECCE4Rv1G0216050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQISWTPTMSSYMLANLCAVVTGGHRTGTAFKNVHWNACAMAMNEHFNRTDLIGTHITNHTRTWKRKYKQIVHLKSLSGALWDEENFMIVLDHEHYTNHIKDHKEDEPFLNKPIKHYEEMLVIVGASMATGQYAKGSSDPLGTDVIDLEEPKANKAAAPHEEVAQSPTCGESAAPKLKKAKTNPSAEDKMHATIMASSERLAVAIEKLVSSANPAVDGLWDEMKELSGFDLDSLAHYYAYLVDNPRVATAFKVLGDVQRKVWVSRYVKSTFPEAEA >SECCE3Rv1G0152810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:50382491:50383370:-1 gene:SECCE3Rv1G0152810 transcript:SECCE3Rv1G0152810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREWVRWEEEILLEDDDEPHTECFYLRSAPPQGGGVSERDLAVVGKYCPDPSPGNMVYSADPQFLRFLQEKITCDSVFATGVTVRVEVTQLSWKSRKDVMDWLNSLVSESSGQSSNRGAAAPLNPVSVQETCITNEQAPVPAMDEEQVIDLTMSDD >SECCE2Rv1G0070050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:32898718:32902031:1 gene:SECCE2Rv1G0070050 transcript:SECCE2Rv1G0070050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEPDLPPSPPPPERTASPERGTLRGDDRDWKAEMMSALGESVSFGRFLSEPLEWGRWSAFEHNRYLEEAAGQSRPGSVAQKKAFFEEHYARKRKSADVEDQGRDADADGAYDGGGGGGEAWSADSSCMTDEPAGEEETGGVDSGAPEDCGAVVDAAVPPGEAPQELKAVADAAAPSCRLDSTGEGAHEQRDVQVAEARKGLQLDTVDAVEKQPLQESSIVNQGATDSVEKKRHPMSSLFQKPTEFSSPPSGKKVPSSSAKRRSTLHPAKENSSPFPATDSGKQEETSVAQKGSILGTLNFRRCEIGDPASRSRNLGSRIASRISQLESASRPVKDIHPKVNRQRRTSKGFCKDIPETASITTQQHEQRSPYVSVTRVKEKLFGSTSPPTHPETNTDKENMGKANDEPELKEMHQSVRFKARSLPNFYWRSKESKDSRQGAREAHQNPSNSDHRLNDDASDPHGMSRGASKDKQICCFPLIRR >SECCE2Rv1G0085340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:189385316:189386253:-1 gene:SECCE2Rv1G0085340 transcript:SECCE2Rv1G0085340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGVRDNLPCSTSWRELTHTSWRDDDYRRMVMASLIEAVYLLELERQERRDAAAVAQQWWKPFSYRLAHELVDERDGSVFGAIFEWEDRHLLDRRAEERPTGAPSAVIAFRGTLLRAPTIRRDVEDELRLLACNSLRGSARLHGALQALRATIDRFGSENVCLCGHSLGAGFARQVGRMLMASRQQQQPQPQQQQNPAAALEFHLFNAPYLSLPTGVRRVVRTADCLLKTVRTGVAAVGRWHGKALKNVAYANCVLGYTRLDSDGRRLFE >SECCE1Rv1G0005600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:26769251:26771094:-1 gene:SECCE1Rv1G0005600 transcript:SECCE1Rv1G0005600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQSCDLGSVPAAASDGTGSSSVVAGGGTDISPGKQSALDGASLQGQNADGSLEAGMCAGFSKSTGSGMKKDLQKCATFPSSAVDAEQEDPCCDADDDAPKDAHTYQRSVSLPPTVKLIPAIKGSRKKNGIPLPAEDRHIKWAADVYDPPVSSVSHSVNNNYQRRPKPRKKDKSKQKEKRKARNKKKTNNASQNPAVLQTTGLEDLGTSIGREAPADPDKLETEMLDYGISSQEAKCGSSFLLETAAKMHFSTAEAS >SECCE3Rv1G0193430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:769904334:769906794:1 gene:SECCE3Rv1G0193430 transcript:SECCE3Rv1G0193430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADGSGGGIRSVLPLGSLISPSGNEVELPELDGKIIGLYFAANWYPKCEAFTPALAAAYRQLRERGAGFEVVFVSCDEDRPSFERFHRAMPWPAVPFGDLRCKKSLSDMFQVEGIPRLVVLAPGGAEVVCSDAVELVHRYGDPAFPFTPARVAELEADERSKFASQTLEKLFSVSHVKNGGDEQVPIASLVGKTVGLYFSAHGCEPCIKFTARLAAIYGNLKGKSAEFEVVYIPMDKEEDGYERSRRDMPWLALPYDGGDGAQSRALARYFDVREIPTLVVIGPDGKTVTREGRNLVNLYFDMAFPFTEEQVRLLQEVEDEQAKGYAQSLRHAGHRHELSVVSEKSGGGPYVCCECDEQGFGWAYQCIACGYEIHLRCGRDAEDGGAVGAGQ >SECCE2Rv1G0107190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:647212133:647220468:-1 gene:SECCE2Rv1G0107190 transcript:SECCE2Rv1G0107190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRLLLPFLLVAVASGAYDGAGRQMISRRSFPKGFVFGTASSSYQYEGGAMEGGRGPSIWDNFTHQYPEKIVDGSNGDVAVDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPDGTLRGGVNREGVEYYNNLINELLSRGVQPFVTLFHWDSPQALEDKYGGFLNPNIINDYKDYAEVCFREFGDRVKHWITFNEPWAFSVAGYAMGVLAPGRCSPWEMGKCSAGDSAWEPYTVSHHQLLAHAAAVKLYRKKYKVVQKGKIGITLVSAWYVPFSRSDSDSDAAKRAIDFMLGWFMEPLTRGDYPLSMRRIVRDRLPQFTKQQSKLVKGAFDFIGINYYTANYADNLPPSNGLKSYNTDARANLTSVRNGVPIGSQTSLPWLNVYPQGLRDLLLYVKEKYGNPTIYITENGLGETTTNTSLPLEEALKDDARVEYHQGHLVALLSAIRDGANVKGYFAWSLLDNFEWATGYTVRFGLHFVDYGDGRKRYPKRSAGWFKRFLKR >SECCE1Rv1G0045870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:613130648:613130881:-1 gene:SECCE1Rv1G0045870 transcript:SECCE1Rv1G0045870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDEGGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRIGP >SECCE7Rv1G0492150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:544558700:544563485:1 gene:SECCE7Rv1G0492150 transcript:SECCE7Rv1G0492150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKLGSRADVFRKQGQDWYCTTGLPSDITVVVGEQSFHLHKFPLLSKSGLLERLIREKIEKGEDSCAIDLSDIPGGAKAFELAARFCYGVKFELTSSNVVHLRCASEYLEMTEEIAEGNLIAQTENFLTQTVLKSWKDSIKALHTCDDVIDLAEKLQVVKKCIDSVATKSSTDPDVFGWPVAQYGGPTQSPGGSFLWNGISTGARPRNCSSDWWYDDVSCLSLPLYKKVISAMEYRGVNQDIIVGSLNHYAKRRLPGLNRRKSISDVSNCLSVTTLTAMPSEEEQRYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIFLKASSSCMSNLERRIGLQLDKATLEDLLITNMSESIELLYDVDCVHRILDHFLAMDQETGGASPGIGDDGHLLASPSLLPITMVAKLIDGYLAEVAPDVNLKLPKFRSLAAAIPDYARPIDDGLYRAVDIYLKAHPHLSESEKEELCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMISENLEGGSRQLGMPTSSEQHRGGVGWPLAARENQALREGMDGMKQRVAELEQECSTMREEIARLGRSRSTGKSRLFSLGAKPQICSTSKDAAPAKAATASDDEKLAVVKADITPRLKLSRHKKNLSIEA >SECCE1Rv1G0035810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:501666322:501670527:1 gene:SECCE1Rv1G0035810 transcript:SECCE1Rv1G0035810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAESPSPSLSSLSEDDDFADILDAELELASAADSASRGDPPGPPSDDEEEEEDLVVELDAVGQGSNKRRRVEEHRQDQGTATRPEDDAIGSVKDAQIKICPPHPGFFGGLCFRCGKSQDEEDIPGVAFGYIHKGLRLGTSEMDRLRGSEVKNLLRERKLVLILDLDHTLINSTRLHDISAAEMDLGIQSAASKNDPDGSLFTLQGMHMLTKLRPFVRKFLEEASSMFEMYIYTMGDKAYAVEIAKLLDPGNVYFDSKVISNSDCTQRHQKGLDVVLGADSVAVILDDTEYVWQKHKENLILMERYHYFAGSCRQFGFSNQSLSEMMQDERESDGALATILDVLKRIHTIFFDSGVETALSSRDVRQVIKRVRQEVLQGCKLVFSRVFPSDCQPQDQIMWKMAEQLGAVCCSEVDPSVTHVAAVHAGTEKARWAAVNKKFLLHPRWIEACNYRWHRQPEENFPIPGLKEDKGKEKVAEEATCH >SECCE6Rv1G0410630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:600586129:600589127:-1 gene:SECCE6Rv1G0410630 transcript:SECCE6Rv1G0410630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRASLLLAAVLCALAASSASASRDLRSRRTQFVVRGRVWCDTCRAGFETPASTFIAGAKVRVECKSRSTGAQTCSFEGHTDHTGTYNIPVDDEHEHELCESVLVSSPDTACAKTVAGRERAPVFLNNNNGVASNVRLANALGFQKDTPLAACAQILKMYEEVDDRV >SECCE5Rv1G0370320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841584458:841588554:1 gene:SECCE5Rv1G0370320 transcript:SECCE5Rv1G0370320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAARGGARMRLRLRLVCLVVVMATARAQLQVGYYDTLCPAAEIIVQQEVSKGVSGSPGTAAGLLRLHFHDCFVRGCDGSVLLDSTAGNQAEKDAAPNSSLRGFEVIDAAKTRLEQACYGVVSCADVLAFAARDALALVGGSSYQVPAGRRDGNVSVAGETNGNLPPPTANVNQLNQIFGSKGLSQAQMVALSGAHTVGMAQCSSFSSRLYSYGTNGGKDPSMDPTYLATLSTQCPQSGASQPVAMDPVTPNTFDTNYYANVAANRGLLASDQALLADNSTAAQVLAYTASPGTFQTDFASAMVAMGAIGVLTGNQGTVRTNCRVVG >SECCE1Rv1G0049210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:639418736:639422971:1 gene:SECCE1Rv1G0049210 transcript:SECCE1Rv1G0049210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTQQRTMAATPWLLLLLCIAAGGGVLQACAQPDNKGFITIDCGLSEQTGYVDNTTKLSYATDAGFIDDDTGTNHIISPEYVIPGLAKVWYSLRSFPSGVRNCYTLRSLMPELKYMIRGRFKYGNYDGLDRPPVFDLHIGANYWHTVNITNSTDTVFVEAIVVVPKDFMQVCLVDTGGGTPFISGLDLRPLKRTLYPQVTPEQGLVLFVRLNFGPTDETFYVRYPDDPHDRIWRPWGGKVTLTEISMTSGVQNIKNEESFVAPTAVLKTAISPRNASQNLEFSWPTEPTRNDPSPGYIAILHFTELQVLPSNAVRELNITINDMPWYKSGFRPDYLYDSVMYTTIPFIRRSNYTISIKATANSTLPPIINAAEIFSVIPTTNLGTDSQDVSAIMAIKAKYQVKKNWMGDPCVPKSMAWSRLTCSYPPGSPPRITSVNLSSSGLNGDISSSFANLKTVQYLDLSNNNFTGSIPEALSQLPSLTFLDVSGNQLNGSIPSGLLKRIRDNSLDLRYGNNPNLCTNGNSCQLPAKRNSKLAIYIAVPAILLVVIVSGILLMICLLKRKKQGSTNNASGNNICTDSSLQRLENRRFTYKELEIITNNFQRVLGRGGFGYVYDGFLEDGTQVAVKLRSHSSHQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIEVGSNSNTSRLSWTDRLRIALESAQGLEYLHKGCNPPLIHRDVKATNILLNARLEARIADFGLSKAFDNNNDTHVSTNMLVGTPGYVDPEYQTTMQPTTKSDVYSFGVVLLELVTGKAAILRDPVPMSIIQWTRERLARGNIEDVVDSQMLGDYDVNSVWKVANIALKCTSQMSTHRPTMTDVVMQLQECIELEKAHGGGDIPSDRFYSGSSGENPDIRYDASTTDQSTNVIQNSTTFEMEHNFKRVPTMATGPSAR >SECCE1Rv1G0011290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:75205481:75205963:-1 gene:SECCE1Rv1G0011290 transcript:SECCE1Rv1G0011290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKGARKSLVSRTLERCRSGLNSGGRSSAAVAPGCFSVYVGPERERFVVRADRANHPLFRRLLDDAEQEYGYAAQGPLALPCAVDAFLDVLWHMDHDVQDDDDDDGEAAVAPSTPICGLQRAGSGSIKVRPAGYRVLSPAKSTPASFFFSQTAAGGRR >SECCE2Rv1G0098140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:476809699:476815927:-1 gene:SECCE2Rv1G0098140 transcript:SECCE2Rv1G0098140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQKQQQQQQGGDNELLEAECVLDMPEVVQRQDSLYRDASRAGGASHHGHERWGKTLRLAFQCVGVLYGDIGTSPLYVYSSTFTAGVRHTDDLLGVLSLIIYSFILFTMVKYVYIALRANDDGDGGTFALYSLISRHAKVSLVPNQQAEDELHILEQEDPKSFSRRRALATLQLASPAAYRAQRVKELLETSKPVRISLFLLTILATAMVISDACLTPAISVLSAVGGLKEKAPHLTTDQIVWITVAILVALFSVQRFGTDKVGYFFAPVVILWLLLIGGVGVYNLVKHDIGVLRAFNPKYIADYFRRNKKDAWISLGGILLCFTGTEALFADLGYFSIRSIQLSFGFGLVPSVLLAYAGQAAFLRKYPEEVANTFYRSTPTVLFWPTFILAIAASIIGSQAMISCAFATISHSQALGCFPRVKILHTSKQYQGQLYIPEVNFLLGFAACVVTVAFKTTVVIGEAHGICVVLVMLITTLLLTVVMLLVWRMNAWCVALFFAVFMASESVYLSSVLYKFLHGGYIPVVISAVLMAVMIVWHYVHVQRYKYELERTVSPDKVRELLDGRDLRKVPGVGLFYTDLVQGIPPVFPHLIEKIPSIHAVLLFVSVKHLPVPHVDMSERFLFRQVEPREHKLYRCVARYGYRDPLEEAKDFAANLVERLQYYIRDVNLYGVDVDAKAGKVSYPSSRCDSMARSTRRSSMTMTMQQHYSSASYTESLALARARSTSSGATGRMNMNGMIMVPSASYTERERLGRSIYAEEMMTPAESFSELALQVMPSGRYAASSQQLFQAAKMSLEEMAKIEEEQRYIEREMEKGVVYIMGENEVVARPHSSLLKKVIVNYVYAFLRKNCRQGDKMLAIPRSQLLKVGMSYEI >SECCE1Rv1G0006250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:31388201:31389076:1 gene:SECCE1Rv1G0006250 transcript:SECCE1Rv1G0006250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMPRDSPASGALVPSDSAATAATMAAGKLPFPLLTRTNYAAWAMRMKYLLRANGAWAAVDREDSSKEVDAGKEEMAMTIISQSIDDTTLLRVAEKETAADVWAALRSMHVGVERVREARIQSLRSEFDGLKMGDAESVDDFAARFTTLVGRIRELGDAMEEKYVVKKLLRAVSNKFIHVASSIALFGDTNKMAMEEAIGSLKAHEELVKGRSMGHDEEQLLMARGHDSSRGRGRGRGRGGGRRDKSDVQCYNCRKLGHFAWECPEKKKEDEEEKALLGQYVDDEPALL >SECCE2Rv1G0142890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943095138:943098101:1 gene:SECCE2Rv1G0142890 transcript:SECCE2Rv1G0142890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWVVDMEKKLDDAELPAKVEKWQKHCIFRIPLRFKMVDGRVNNVYKPRTVSLGPFHHDDKGLKSMEEHKLRAVHHLLGRGSCKTTLAELVVAVEEVADEMEDAYMDLGDEWRGEENRGKFLEMMIMDGCFLLEVMRTASTLGKKGSNPTGDYAHSDPIFSRHGIQHVKPFIQRDMLMVENQLPLRLLERIIAVESGTPPGATSINSMVLKFLEGKDAPEGTNLGLHPLDIYRMSLLKAGTRTMGDEQVPRNPQGSDRGVETVTTHLPHPTEPPPRVVPRSAWKLSEAGIRFMPSETSCLDDINMNNGRLNMPKVQLDDSTSYRFHNMMAFEAMHVGTRNDVTAYVLFVKDLVDSAEDVRLLVKKRVLEHDLADNDDAVVRLFNDLSRDVYKNGESQLCRVRDDVEHHYRSNRPRVIMYESWAYLKSKYFRSPWTVLALVTAILLVVGDIVQAVYAVMSYDPNDPDKAKPKTH >SECCE2Rv1G0127120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:850954907:850957488:-1 gene:SECCE2Rv1G0127120 transcript:SECCE2Rv1G0127120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRSDAKEAGMVELAASLEKVRQIEGGGDNVDGQKKLWGLLRLFMACMVSGGIQYGWALQLSLLSPYSQTLGIPHQYVSLTWICGPIAGFVVQPIVGYYSDRCTARMGRRRPFILVGCIIICVSVLLIGFSADIGRALGDTKEHCSVSTGPRWAAAAVYIVGFWFLDFANNTVQGPARAMMADLSAGNYGPNVGQAVFCLWMAIGNILGYTAGANGKWHEWFPWLKSSACCDACANLKGAFLTAVLLIVISMAVTLYLAGEKQLDKADVQASSGRGCISAFGDLFKSLKNLPPPMFKVLAVTGITWLAWFPFFQYDTDWMGREIYHGVPQGPKASVYDAGVREGAIGLLLSSISLGATSFLIPKLCRKLTSKVVWSISNFMVFGIMTAMVVVSLISTKGYNAALTANLTGPDPKLKAIALTLFALIGIPQAVLFSVPWAVASEVVAAEEDDGQGQGLAIGVLNIAIVVPQLIIALTAGPLDKAFGKDNTPAFGIGGAFAFICAILALILLPKTRGTTNAAVMGGGH >SECCE2Rv1G0067250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18317648:18318117:-1 gene:SECCE2Rv1G0067250 transcript:SECCE2Rv1G0067250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLLENHPMIERVYYPGLTTSPWHHIAKSQMTGSGGIISFDVASDLHDVMRFIDALQIPFIATSLGGCESLVQQPAVMSFWGQSDEEKANNGIKDNLVTFSFGIEKFEDLKDDVFQALEKI >SECCE7Rv1G0475760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:192259945:192260571:-1 gene:SECCE7Rv1G0475760 transcript:SECCE7Rv1G0475760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEDSKSARVTDNLNSALTIHQLTEEKNKLDADYDKLVKDVHQLVDMQQDRVVDFSYLQSNLTYQHQCRVELVAGMKAEMAKKDADREKLNQKYELLCNLTSAQATVIQNLKLKNLKEKELLTEARQNLELKNAEFTNFEEKLTQQKLELKFQVADLLKGKEKLDEEKYMLHLKIGEIMKAEEKLKEKIKGIQAILQN >SECCE7Rv1G0504600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:725365200:725368955:1 gene:SECCE7Rv1G0504600 transcript:SECCE7Rv1G0504600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAVSAVGWVVSPIIRRMVSLVQSYLSSQYNWKSGILSDLKNLEATLMDILLVVGAAERQHVVDTNQILLLQQMKDAVSDADDVLDEFDYMLLKEKVEQKGLLRRIGSSSLSVGKRLVNIDKFRSNLRKVLKSLERVRVSAEMFVRVMTLEGFNAIQSLKCVAARTTGSLLHEDAVFGREKEIDELVGQLLSKSDKCLLSVYQKFRTEVHTIVGVGGIGKTTLAQLIYNDDRMVDSFDVRMWVSVSNNFDKTRITKEIIAYTTDCENAELTNFNFSKLQEELRQRLQFKRFLLVLDDVWYNEKYGEHINKQMWMEIMAPIKEMFRMPPGCMAGSKILVTTRTELVAKVLDSRSLFHLQGLGRDDSWLLFRQCAFGSKKPEGYPELKQLGYQIVQKLKGSPLALKVVGGHLNGKYSDAEWENVLQRDVLNPNDILTILHLSYESLPEHLQQCFAYCSLFPKGYHIDSNRLIWMWIAQGLVHLEGNNSRSLEDTGRGYFNDLLARSFFQVLRCGDQTYYVMHDSLNDLALHVSNGECFRVDHGSVGEFPHYIRHLSVSAEQLGDLVNYDGLRRLRTFMILNDSWFCSKVCLSHDILNKLKSVRVLDISGCCFGSFPEAVNDLMHLRYLAIRRTYYPLPTTISRLNHLQSLFVLYHSCYSCSNERKQLKYSKREVNTTGGHFSLPESISRLINLVHVDVEKAYTLMLSGVCQLPCVEGSGEFLVDKKEQSLVQLKDLNKIRGELSVRFLENVKNREEAAKSHLDLKEHISKLELEWGSCDGAHGMDKGFEVLDVLKPHRNLDDLTISGYPGVKSPSWLESDWLRRLKLICLRDCNRWEVLPPLGDLPLLRTLEVRRMEELKALSQEFFGHAGFPSLERLLLERLPKLEWCLVDNDKVLQNLRHLSVAGCPRLRSYPTHPRTLRHIAVLDQETIQVKAQMDSLDLSRSFCRLVSSSLHVLHAHHLECIEDMDIYVNRLVDTSTTVFSNLKSLKQLQIYGINRANTPSVITTLWGENGGTVLPSSLRFLELKRCYLQPSSFSKLMKNLPSLATLYLSECDTVEIPGPPLRLNHLRMLKQLYIYKCDWISSFEGSLLSLEEMNIDQCYDLEYVPYLDDMPCLQKLHLSQCPQVMRLSKAGHQTALKELVVRSCDGLSSLRQLFDLVSLVKLTITYCSDLLWLPDMDGFYSLRVLSINQCPRLRSLPRSGLPVSLETLFLSRCHQALEEQFQRKEGPDWNKFAALPGCKWEASRW >SECCE6Rv1G0414010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:628711534:628714000:-1 gene:SECCE6Rv1G0414010 transcript:SECCE6Rv1G0414010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPADDVFLRLSPELVDEILLRLPPDEPACLVRASAVCKPWRRFFASANFRGRYREFHGAPPVLGLVQEGASFLAIPALPTLPDLSPLAAAALKALDCRHGRYVFDSYDQYWQGDTVHLTVLDPLTGHGRRIPTPIDNRLLWYSVAVLCAAQGCDHHXXXXCHGGHFRVVILTTNHEQRVTLGWLYSSETDLWTALTSVSHPNVTKYSYISGSPSILVGDTVYFHLDGIIKCELGSRRLSMLERPADGTGYLMTAEDGGLGFATMVDVTNLTLWSMQTAPEGAMGWAKVRIIDLTQLLLDGGLSITSAEDGICGVAEGAQIIFFSTRLGTYRIDLKSRGAKIVSGPSSKVFPYQKFYIPVMEAASMDQGHLVGA >SECCE2Rv1G0114140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:731303112:731307774:1 gene:SECCE2Rv1G0114140 transcript:SECCE2Rv1G0114140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADLENPTSRNSSQKSSRRSSSRRSQKTAGQQSSPTVFPEKRGKSRSLRQKHVAVDNKDAKKGKNHERRTDTADERSNFVGFEVYSGKLFYDRKHKSIGVDGHLSSNKKVDTTDARLTSKALIWGSSVLSLEDVISVSYNSGLQHFTLHAYPAKNSLFGKTHRARKDFRFMASTLDEAILWVTCFAEQNIYINVLPHPATSSIKQDTDAPLGGVLFDYPPIKCRTPQRILVILNPRSGHGRSIKVFHEKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFGAFPDGIVCVGGDGIVNEVFNGLLTRSDRTEAVSIPVGIIPAGSDNSLIWTVLGVKDPISASLLIVKGGFTSLDILAVEWLQSGLIHFGTTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGVLKFLCLPSYFYELEYLPLSKDMSGHGKGTGQDKLELSDVYTDVMRSRSKREGFPRASSFSSIDSIMTPSRMSLGDFDTSGGTAASSEPSEYVRGLDPKAKRQSMGRSNIVSEPEEVLRPQQHHASYWPRTRSKTRTDRNSGGVTASNDTRLSWAAPSMHDKEDISSTISDPGPIWDNEPKWDTGPRWDTEPTWEPDHPIELPEPPEDIEIGTSMELVPNLDEKWVVRKGHFLGVLVCNHSCKTVQSSQIVAPNASHDDNSLDLLLVGGRGRWKLLRFFILLQFGRHLSLPYVEYVKVKSVKVKPGANTHNGCGIDGELCRVKGQVVCSMLPEQCRLIGRQCKQSI >SECCE1Rv1G0027030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:377040952:377042552:-1 gene:SECCE1Rv1G0027030 transcript:SECCE1Rv1G0027030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLKKGKWSKEEDNLIKNHIEKYGIGCSWQGLSNTLGLRRCGRSCRSRWLNYLRPGLKHGNFTPAEDRIICEMYKKKGSCWSVIATELPGRTDLAIKNYWNSTLKKRFPRAARSRRRCRRRTGDSSTSSDATSLDLALVVYDEESSSGTARDLSLVVCNEEDSATTEGHLPLLAYNVNEASTAAGSSSSHAGAVSAGSPVQALAQPPPLPAAAANEEPIAAVPVSGPVNMEPRTPPRPSDETSEEMDVGCCPMSPLPLGLMSPLPLELMEPDLPCIAMDLPHIAGFDDIDSLLSYFDH >SECCE5Rv1G0376280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871908758:871911145:-1 gene:SECCE5Rv1G0376280 transcript:SECCE5Rv1G0376280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRRRRVLTLLLLGLLVGLAGSSPPPEPVVCDYPAYGTSVCTVSNAYGSFPDRAVCRATDAAFPRTEQELVAAVAAAAAAKRKVKVATKHSHSFPKLACPGGNDGTIISTARLNRTVSVDAATGYMTVESGMVLRDLIQAASEKDLALPHSPYWSGLTIGGLLATGAHGSSLWGKGGAVHEYVVGMRIVTPAPASQGFAVVRDLRAGDPDLDAAKVSLGVLGVISQVTLALQPMFKRSVTFQAAKWGNLHEFGDMAWLPEQRKVIYRKDDRVAITSAGDGLNDYVAFRSNPTAALIAARVMEELLEKNASAIARCEAAHKMVSSFETTAYGFTNNGHLFTGYPVVGFQNRIQASGACAGSSEDMLLTSCPWDPRTRGIHFYSNAYSVALSKVSAFIADMKQLRDRNPLAFCGIDAKVGMLLRYVKASSAYLGKSEDSIDFDITYYRSYTKGEPNPHSDVLDELQQMALHKYGAIPHWGKNRNFAFQGAIAKYPKADKFLEVKGKYDPNGIFSSEWSDQVLGIRGSPIIIEKGCAIEGLCVCSEYSHCAPEQGYYCRPGKVYKEARVCSFQPI >SECCE2Rv1G0135380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:906491440:906497465:1 gene:SECCE2Rv1G0135380 transcript:SECCE2Rv1G0135380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Regulator for phosphate homeostasi [Source: Projected from Oryza sativa (Os04g0671900)] MSSSSGASLGGQPPPPTAPPEEEKKNLNSELWHACAGPLVCLPTLGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPNLPPQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLWLGIRRANRTQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRACPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWASSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVAGLQDDSNALMWLRGVAGDGGYQSMNFQSPGIGSWGQQRLHPSLLSTDHDQYQAVVAAAAAASQSGGYMKQQFLNLQQPMQSPQEHCNLNPLLQQQILQQASQQQTVSADSQNIQAMLNSSAIQHQLQQLQQMQQVQQAQQAQQVQQAHIDQKQKIQSDQTYHVPTSASLPSPTSLPSHLREKFGFSDPNVSSSSFTTSSSSDNMLESNFLQGNSKAVDLSRFNQPVASDQQQQQQQQQQQQQQQAWKQKFMGSQSLSFGGSGLLNSPTSKDGSLESKIGSDVQNQSLFSPQVDSSSLLYNMVPNMTSNDADNNMSTIPSGSTYLQSPIYGCLDDSSGIFQNTGENDPTGRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIRGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKLGKQGNDPRYLS >SECCE7Rv1G0476450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:204169703:204175943:-1 gene:SECCE7Rv1G0476450 transcript:SECCE7Rv1G0476450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor NCBP [Source:Projected from Arabidopsis thaliana (AT5G18110) UniProtKB/Swiss-Prot;Acc:Q9FK59] MEPAVERKVPEQEEQLQPSHARAEDAPPAAVEEEDEAEAEESERRNRELKAGLHPLRRKLVLWYTRRTPGTRSQSYEDNIKKIVDFSTVESFWVCYCHLARPSSLPSPTDLHLFKEGVRPLWEDPANRNGGKWIIRFKKTVSGRFWEDLVLVLVGDQLDYSDDVCGIVLSCRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHTYLMEYKPHDASLRDNSSYRNTWLRG >SECCE3Rv1G0162500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:132113884:132125453:-1 gene:SECCE3Rv1G0162500 transcript:SECCE3Rv1G0162500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSHELPYARGTPQLGLLCAVINGDLALLERMVRQLDGGRGHLAEAVQAVQHHGGGALHFAAWCKKVEVCEYLVEDVQVDVDAVDQAGKTPLVWAIAADKSGYVNIVRYLLDHGANPDNVDIIGFTPLHEAAKIGHCEIIELLLSRGAYVDPFSTDHGTPLHVAAQHKQDAAMKILLDHHADCNKILGCFLSPLKIAIESHSVKCVKLLVKAGADVKGVRNVTPLQAAARHDLTDVLKCLLDAGADPNVQNEFGHLPIHLAAYFGTRKAVEILYEVTSSRIPAVDDWSVDGIISHVKAEPKFEDLPLSKMSVAELKEGGDKALHKDDYNAALEFYNAAMMLDLENVDAILIGNRGYCRLLLGRDGALNDAHMCRKMRPDCADSCWLEGYSYLQVKEYEKACDSFLDGLKLKPGFVGIEKALREALRLLTESDADKKNGSEGPAAVYRPVYLYH >SECCE2Rv1G0074760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:79466509:79467753:1 gene:SECCE2Rv1G0074760 transcript:SECCE2Rv1G0074760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSKKICLRVDVYLDDGVIADVLARLPAKSVLRFRAACKGWLRIIDSPAFPAAHARCRPLELLVYTRREVVGPDGLPQAAPELDLGAVAVPAHRPAEKQRPVARFPYSFDGGRTLYCSLLASCDGLLLIRHGAQQYLVLNPATWQWSDLPRLSRDALPQGARVAQHESGFYFHEPSGEYRLLCHISYDDFSAQATSTYYCVFSTGAKEPRRLGVQATPMNQTVATDHSMPTSGFFKNLMTPVVLHEHLHWLQHMEAGLTDQMVAFDTAAETFRRMPPPPVICKNHSHLLVANGSLVAAELGHLFMDLWLLEGYDGGAAEGTWERRHRVHIAGLTRRPLLVAGGDGGDVLVGHNQGVVAYNLRSGTVRQVVDADASGDGPLLPSRYVFRESLVRHSFFEAQKHSGLRLFRFCP >SECCE3Rv1G0172150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:285189383:285195699:-1 gene:SECCE3Rv1G0172150 transcript:SECCE3Rv1G0172150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSGTPPPSCPSAPHCAEWAKVYLKYCLCSTKDSVALGLGLASVLSWGIAEVPQIITNYKQKSTEGLSIAFLMTWIVGDLFNLVGCFLEPATLPTQFYMALLYTFTTVILTGQTIYYSHIYHLKVKKTGTTAKSQKHQRGDASLREKLLGHRNEAFKNNIQAGPTIPIPSSPIQVNTEVFRQCHGPVSPGSDYYYASARSLSRSPVPTGTWLGNNRQTTKVPPQMNDEGESLFREFVPAQSAPAAITKNSLSVVPWISVVLGMCVLHILVGTAHRNASNEIIIPVGRKLLVVADHHAGSSLSHGSGSGIGSFLGWAMAVIYMGGRLPQIFLNMQRGHAEGLSPLMFTFALVGNTTYVGSILVNSLDWARLRPNLPWLVDAGGCVFLDSFIILQFLYFHYRKQSGRDELDNLDKA >SECCE6Rv1G0407550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:559014155:559018767:1 gene:SECCE6Rv1G0407550 transcript:SECCE6Rv1G0407550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSHSSPAAGDSVLPPPASDAPEAPLPAAAADLDKEFGFQREELHTEKLAGTVGFHERHVFLCYKGPEEWPSHLEATESDRLPRLLAAAIKARKPDLKKSTKLTICEGEDGTESSLGDVLIFPDMIRYRGLTHFDVDNFVEEVLVKDTEWLPGSPEAIRGSYVFVCCHGSRDKRCGVCGPALITRFKEEIEAEGLDGHVAVSACSHVGGHKYAGNVIIFSPGAKGEVTGNWYGYVAPDDVPELLYKHIGQREGGIVDRLWRGQLGMSEEQQKKALELRHMTNGVAGEESSAKESPEANGTEGAPAGGCCQGNGGGLGCCQSDLPEGKQDKSIPAEQNHRISKTESVKESVAAKGRMKICRMPTWFETWDRSDTYTTLAVVAAAATVFIAFRTYKNMN >SECCE6Rv1G0401520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:467958750:467960864:-1 gene:SECCE6Rv1G0401520 transcript:SECCE6Rv1G0401520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALYGAERSGGVGLAGGGGGKDLCYWPPGKLLVEPRSVLDCSRRLSSPPNSASTLSSSLGGGAADSTGVAAVSESSAGDAEATKWADHGGGGGCELPPIPGALDGGEGWDAMLGSAAAAAAAGQDQTFLNWIMGAAGDLELPVPPLPMHQQPLVDNAGGFGFSAVDPLGFSLDHHLGGASSDLSSSGAMSHTTTGGGGGGGSKASSAFGLFSPEAASLQPPPPPMLFHEGIDTKPPLIGPQSHGLFNQYQHQHQPPPPAAAFFMPLSSFSDHNQRSQLHQPPPKRHHSVPDDLYLTRNHMGTSAAAAFPLLHGSLPFQLQPSPPPLRGAMKTTAAEAAQQQLLDELAAAAKAAEAGNSTGAREILARLNHQLPPLGKPFLRSASYLREALLLALADGHHGAPRITSPLDVALKLAAYKSFSDLSPMLQFANFTATQALLDEIACSAASCIHVIDFDLGVGGQWASFLQELAHRRGTGGVALPLLKLTAFVSDASHHPLELHLTQDNLTQFAADLGIPFEFNAVSLDAFSPAELISPTGDEIVAVSLPVGCSARAPPLAVILRLVKQLGPKIVVAMDYGADRADLPFSQHFLHCFQSCMFLLDSLDAAGIDADSACKIEKFLIQPRVEDAVLGRRKTDKAMSWRNVFAAAGFTPVPLSNLAEAQADCLLKRVQVRGFHVEKRGVALTLYWQRGELVSVSAWRC >SECCE1Rv1G0007350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:40025155:40028150:1 gene:SECCE1Rv1G0007350 transcript:SECCE1Rv1G0007350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALQEQFDAYVDWMVGGREEMMMMKDDEAAERRKRGVAPKKKKSAKKAADLEWARKSLEAERAKVGSSIKPKTMTKEGKAKVDLGFSRGSREGMALTQEQLDACIDLVFEDIDAVIMKGDEEPERRERGLAPKTEKAAALEWARKMLEDESVNDGKCWEPRAMTEEDVAAAAVYRAKAEEARRNHERREQHDAMTKWIATGDPEAVERCNQWMEEDIEAMRLKDIDPTEDLSDWEAVEAKRYREFWEFLWADSFGSWEDTTPILPMRYTDEKPPRGTAYPVRTLQVFSVRVAAIKGGLDWPLDVYGIVAARDSLDHNRNIIFHRTRDNCQTIDKKNPCLRLTGPTRAVVVVDPVYFEVNLRVKGKTESEDRDLSYLAVYYRDSGSSESYAFESVSTSKLSTVALMLGDIVRSVEATISVRVVRGEWPEDCRGLIFANTASIDAQKIELLAFGDDKLPVTANGTIKLSRRVVSVEADGELRVCVMASSLEDQTVERDSEAFKAKKASRSRRVLEVHSCKLEVTIAWSFVPN >SECCE5Rv1G0321760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:409956646:409972064:-1 gene:SECCE5Rv1G0321760 transcript:SECCE5Rv1G0321760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAPTPAAETAISPPSPPLPPPILLPAKALALMWRRLPSRRLASALLSASAPQLPPPPPIHRLLLQAPAADPGILPPARLLWGQPPLRFASSSAAAAEAVSSEEVDEPHHAPEEIVRVGPNTKPLAEGATAGQAGKKERRRGRGQGRQLAELAAEHGIGYSKYVSLRQRQIRIETEAWEQAAKEYRELLADMCEHKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVSERGTRASHAPYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAVEHEVRIHKFLEKTKKKNTKEVDKVVEAVDADIAKEQERLRKKVTDLMKKQKIRQVRHLVKKQDSTRPWGQDAHAKVGSRLIELFIETAHIQPPASQSGDSTPEIRPAFTHEMRTVAREQQKSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPINWTGYDKGAHLFLPSYVMRTHGARQQREAVKKAPKEQMQTIFEALDNLGSTKWRVNKKVLSIVDRIWSSGGRLADLVDRADVSLPEKPDTEDEAELKKWRWSMRSAKKENSERHSQRCDIELKLAVARKMKEEVGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFSEGRPLGESGLRWLKIHLANLYGGGVDKLSYDGRIAFTENHLEDIFDSANRPLEGKRWWLEAEDPFQCLAVCMDLNEALRSPSPEAVISHIPVHQDGSCNGLQHYAALGRDKLGAVAVNLVSGEKPADVYSGIAARVVEIMKRDAQKDPAKDADAARARLLVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGVIADDSELFGASCYAAKVTLTALGEMFEAARSIMTWLGDCAKVIACENEPVRWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKKQGLYFAGVHDSYWTHACDVDTMNKILREKFVELYDAPILENLLESFETSFPKLKFPPLPERGNFDMKDVLQSTYFFN >SECCE6Rv1G0438870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:793078554:793078751:-1 gene:SECCE6Rv1G0438870 transcript:SECCE6Rv1G0438870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >SECCEUnv1G0560340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:346645946:346646296:1 gene:SECCEUnv1G0560340 transcript:SECCEUnv1G0560340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPRGYIARRRRTKMRSFASNFRGAHLRLNRMITQQVKRAFVSSHRDRGRQKRDFRRLWITRINAATRVYKVFDSYSKLIHNLYKKKLILNRKMLAQVAISNPNNLYTISYRNN >SECCE7Rv1G0506890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:755360106:755361152:-1 gene:SECCE7Rv1G0506890 transcript:SECCE7Rv1G0506890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDALLAPSQQAKLPLALFTFESNKKKQLLFDPSTKKIRGIISAAFADATCVFENGGWLLMLQHKQHGFQEQQEQTIFLVHASTGRRLELPACPSVVDGLFVFYVGSREVPLVVACIETISRVPTVHVACPGDTYWSVYKNIEDGSHLLQDPHRRIKFNLIVDVVLLGRQAVCVDYHGKILIFDVTEMSWRTALSQGWSEKDAHFLVASGEKVVLISCRRFRGRFCHFNFFKLDAEALEWSPLDDTELNDSSWFLYRGRSILVREEGKRRVYSFYPNQWGGSTPINAESSRKRKVAHNNSMSSREKSVTNIYMHDLKDGVVRTVLPASIVTEERLWLRSSVFRGPFQ >SECCE5Rv1G0308420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:130024430:130025815:-1 gene:SECCE5Rv1G0308420 transcript:SECCE5Rv1G0308420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM9 [Source:Projected from Arabidopsis thaliana (AT3G46560) UniProtKB/Swiss-Prot;Acc:Q9XGX9] MDKSMLGDLDNLPDEDKMRMSAMIEQLQMRDSLRMYNALVETCFKDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >SECCE3Rv1G0151460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:38891562:38892973:1 gene:SECCE3Rv1G0151460 transcript:SECCE3Rv1G0151460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPDPDVILPRVLIVSRRTIRKNKFVDFVGEYHLDLVVGYGAVPVIVPRVTGMHAMLDSFEPIHGVLLCEGEDIDPSLYDDDGDRDALSPEQLEAVRHLHPSDAAVDHEKDSIELLLARRCIERNVPFLGICRGSQVLNVACGGSLYQDVEHELHPTTADAAVCHMDYANYDGHRHPVRVLPSTPLHDWFSESLLDGDQLMVNSYHHQGVRRLAERFMPMAYAPDGLVEGFYDPDAYNPGEGKFIVGLQFHPERMRKEGSDDFDFPGCAKAYQEFVRAVVAYQAKLAAVHAHVHLQSAVTTPAKLKREMEKQHKVIVRSVSLAKNMYVFGKNTGAQHPVEERDGDLDAGAEFLESNRALSVQQEKRLKQMGATVRNASGYMNRLKVSEEREAAARALMAKMSVAQVASLAAFYRAMGNVCSEVLDAKLQPPSPTLHE >SECCE5Rv1G0298260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10816303:10817181:1 gene:SECCE5Rv1G0298260 transcript:SECCE5Rv1G0298260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVKEGEGKAAPVVLITGCAEGGIGYEYCRAFAALGCRVVATDIPARVRDLTGLDDTVVVDRLPLDITSDESVTDAVSRVLRDHGRIDVLVNNVGIGCTGPLAELSGEAVRRTMDVNFLGQLRLVHAVAPHMVSRRSGRVVNVGSIVGTAATPCAGVYCASKAAVHAATDALRLELVPFGVHVVKVVPGAVRSGLGHANAAQLAGAKQGQQQWGMYREFTAAIEERARASQAAGAIEASVFARHVAARVMRSRPPREIVYGSMTGLFAVLAMSPAWARDAFLARRFGLNNL >SECCE4Rv1G0260140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:693636799:693637116:-1 gene:SECCE4Rv1G0260140 transcript:SECCE4Rv1G0260140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKILLATIEECVEAQRRLAEIRSQLAEAWAIADGVEQDMMVEDRPFHDVPPRLQEIERLMEGIADTVVEDLLPSFDRVVAVSKACTEEENSLGLAPPNAGAAI >SECCE3Rv1G0191550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:749372805:749393237:-1 gene:SECCE3Rv1G0191550 transcript:SECCE3Rv1G0191550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVTPRAPEPPPQDQDEEMLVPQQEVEVFEGPQPMEESMPSVDNESLPDASTSRFTWKIESISKLSGRKTHSDVFMVGGYSWRVLVFPTGNNVNHLSMYLDVADAKSLPTGWSRSAQFSLAVINQLDSKHSVRKEVTHTFNSRESDWGFTSFMPLIDLYDPSKGYIVNDQCIIEAEVAVRKIVDYWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTVDTPSGSIPLALQSLFYRLQHGDNSISTKELTKSFGWDSYESFMQHDVQELNRVLCEKLEDKMKGTIVEGTIQKLFEGHHMNYIECIGVDYKSTRKESFYDLALDVKGCSDVYASFDKYVAVEMLDGDNKYQSEKYGLQDAKKGMLFIDFPPVLQLQLKRFEYDHARDIMVKINDRYEFPLQLDLDRDDGKYLSPEADRSVRNLYTLHSVLVHSGGVSGGHYYAFIRPTLSNQWYKFDDERVTKEDTKRALEEQYGGEEELPHTNPGLNMNPLKFTKYSNAYMLVYIRESDKEKIVCDLEETDINEDLKTRLRKEDEDKENKKKEKAEAHMFTTFKVARDHDLAAQIGRDMFFDLVDYEKIHPIRVLKDMPFNQVKEEFSKEFGIPVHSQRFWSWSKRQNNTYRPTRPLTQHEESYTVGQLKDAAIRSHSSELRLYLEVVQENHLTLASRTKDDILLFFKLYDPEKEELRYVGNLLLKASSKPSDIVPKLNEMAGFQPDEDIELYEEIKFEPNIMCEPVDCDVSFSLNQLADGDILCYQKRCSLDQHRHPNVFSFFEYVHNRQVVHFRLLEKPKQDDFSLELSKRSTYDDVVEKVAQHLGMDDPSKLRLTQHIPHLQQPKHQYIKYRSIDHLSDMLLLRNPNQMSDILYYEILDIPLPELQGLITLRVAFHQATPNEVLFQIIRLPKGSTYSDLIDDLKSKVELSRSDAELRLFQVNNNKIWKVYQPAEKIDAVHDPNVPLHVEEIPEEEKSAGPRDRLVHVVHFFKDNQHIQYYGVPFFFLIREDEALSDIKVRIQKKFEVPDEQFLKWKFAYVAYNRPDYLQDSDIVLSRFQQKNIYGPWEQSLGLEHSDMPTKRANQNRHSFEKPVKIYN >SECCE6Rv1G0391890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:237865891:237882048:-1 gene:SECCE6Rv1G0391890 transcript:SECCE6Rv1G0391890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEEVARALGAGFDLTSDFRLRFAKLRRRLVDLDEAGARDVPVPGGGGAVLRGVPRDVGIDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNALFDLMGAWLTDAKEIKYLAFDGYFISLFNLNLKASPLVLCDEVKKAVPSKWDPVALSWFIRTYGTHIIVEMAVGGQDVICVKQCHSSTISSAELKLHLEDLGDFLFSDGKNLSPIHRKTKDGKSKVPGVCVRIVQQPNNLHLSSYSESSTKDGLTITCSKRGGDARIPSHSKWLQTVPKNPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDLDDLQHFLEFQVPLQWAPVFNVLVLGPQKRKGSYPSLQFRFLGPKLRVNTTQVSSFQKPVVGLRLYLEGRKCNQLAIHVQHLSSVPSMLGDSIASSMSEWRESEDTDPGYIEAIQWNSYSCISTSVVKYNPKWSKRVSGGVFIVTGAQLFTKGTWARKVLHLRLLYTHIPNCTIHKSEWTRAPAASQKGSFFTTISTTLSSPFIHRNAQPAQKHEPAQLNSGVYPDGPPVPLQSRKLLKFVDMSEVMKGPHDVPGHWLVTAAKLVKEAGKIGLHVKFALLNYDDTE >SECCE7Rv1G0526690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:897489528:897526112:-1 gene:SECCE7Rv1G0526690 transcript:SECCE7Rv1G0526690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNSLRYALVEIEMDPDLEARHLPLSLFEDITDNFSDERLIGTGGFGQVYKGLLPNGIVVAVKRLDPSAMVDDKSASSFAAELKCMRRLQHENIVRLLGYCIEEKIPERLLCMEFVPHGPLQIAGPSSGSAWPVCYQIIKGICSGLVHIHEKQYIHLDLKPCNILVGDNNVPKIIDFGISLSCKEKTKAKIPGTDGYRAPELTKGGEISCQADIYSLGAIIFELLTGTKGLEVHEKKEEDRARLQEVAFERWNTKTSWPVSPLVHIARQQVETCLGIAYNCKQEDPCDRRTAKEISHIIDLCLDPYIFDAQNVKTPIYVKRCTTSVLDLDFHPTEPWVVVSLFSGDIEIFHYQSKKLLEKINLSKKPVYSVKFVDEDGWNIVAGDYFGNLHVHNFDGQAKTTRKGGGPGVIKKIEATRGDAIKYMSFQTETRYLLLSTGNNIYIYNTADWTCIAMHIGPNFFKPVTHASFNTNHINQFIIGCCDGTVKLWKHVARTYNWYLDDIFTGRPHFAMYSHLTEVRSIDYFTHNSATFLVTGSMDMTAKVWRYDDSKHTYFTQDIQEITCETITEPEATLLHESGVTTVCCIPKFRIILTGTSDGVVSVWCAQTYRLKRYLCFGLGGILKLSYSLEKSLCKVSIGHKHGWAVMYVDQRQLRMKG >SECCE6Rv1G0449870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:864901917:864903062:1 gene:SECCE6Rv1G0449870 transcript:SECCE6Rv1G0449870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQPAVQLQTIVLRVTIHCHGCKKKVRKVLKSMEGVQDVEVDAQQHKVMVTGTVDADTLVKRLYKSGKQALPWQHTPAAPATSPEAPAPATAADDGTTKDAAAADKKPAEPVKADNSDKKPPEQETAPEKKPETEKEAEPEKEAEKEEAKPSDEAKKDGGESAPTEPKDAEPASESAASTKEAGDDEGGDKKKQSKPKDAPAPDRSLSPTPAPAHAHQEFNPYTAPQPVMSYHTAQPRPSVSYYAPQPEQGYSQQAQPMQQWSPSYLYMPYPHATPESYYHDYYSPPGTHAPPPPPPPPPPLQDSYRLFDDENPNSCSIM >SECCE4Rv1G0284560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:840220368:840222872:-1 gene:SECCE4Rv1G0284560 transcript:SECCE4Rv1G0284560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHQQQQQQRQPPSFACTNCATQRAVVYCSADGARLCLECDGAVHGVNELTALHPRAPLCDACGVARAAIRCQTATGPSTLCGGCADRLGLAPPDGASIVEEYTGCPTPAEILRILSVDAPASSQEDFDAWLAEKLPQIMQEVQDGPQIGDATGTTTIVGDQRGTTSNSFGCDDWNNACSTSGLENTNGLFVGHHSAGPSLTFEQQQQLPPSICHILSSSYYNPSSSSCQPVMTSTTLLQSMAGNDHHPSLLLDGFPTFCPSMPLMSPPPLPENGTGCHDANQPSQMLATDEQAAAAHHQQDANTIAKKREERDRAKQRYNEKKKNRKFCKQIMYASRKARADTRKRVKGRFAKASNEHQHILHSDAA >SECCE6Rv1G0448760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857659536:857660372:-1 gene:SECCE6Rv1G0448760 transcript:SECCE6Rv1G0448760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNFGRSISFPLTPARSFSKPSRHVRSVSLPGTTSSHPLLANLHAHIAAVHSWIQDTESLQAGLANIHALHATLADILLLPASVAALRCTTSNTGDRLLDAFLLLADAHHGFQECLLSLRHAAAESGSAVRRADTGRLVSASRSQRRAEKDLARLAASVSSISTKCVRLNLVAISSEEAEMAYALVEAAAASAAASADVFSAAASMSSAASSCKKTATFIPSFANRKVTAPDTAEVAMERLGALERCFHECDGACDLVFRSVVQTRVSLLNIMTPTI >SECCE5Rv1G0323980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:441203667:441204239:1 gene:SECCE5Rv1G0323980 transcript:SECCE5Rv1G0323980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALTARDGCVLKRCQQHGGQDLKEKKGTKRKAEEAPEGDESPLKICSIKTGIDCSELEQGATPAAAKKMTRLPQEEVDSILAEEMDDDRLPPEYKALKRLNPDLIPSPEEEMDEDVVSFYDVVREFYEIGEDFREFQAWVRTEYAKNGYVEVDDEYLRHQKEMETMNEEARKEALKGFDFSGLLDF >SECCE5Rv1G0330780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:533345447:533350612:-1 gene:SECCE5Rv1G0330780 transcript:SECCE5Rv1G0330780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase 3 [Source:Projected from Arabidopsis thaliana (AT5G04180) UniProtKB/Swiss-Prot;Acc:Q9FYE3] MEMARRRHARAAGPAFTSAILVIFAFSCVESKPARARTPEHASGPASAPAPAPASGPGQAVASHALFNYEEKDPTGPDKWAKLNKNWAACGDGKKQSPIDICKVEIKKDMGPLEQTYKSSACTLENRGHDFILQWKGGNGKLTIEKKDYVLLQVHWHVPSEHTVNGTRFDMEMHMVHEDSSKARAVVSVLYSTKEAGDPDETVTDLAPYFKRLAGKDNEDEDVKEPVDPSVWVDKASGYYRYDGSLTTPPCTEGVLWNILSKVKHVSKEHIKLMESVSEKPEPNDRPAQKINGRVVRYFEGKEAKD >SECCE7Rv1G0473150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:154464587:154466222:-1 gene:SECCE7Rv1G0473150 transcript:SECCE7Rv1G0473150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALAAFLFLIPLFSLGAVFVLRAWRLDKNAAAQRAPRTRPYPLLGHLPQFLANRHRVLDWLTEVLALQPTCTLVFRRPGGVRGVITANPTNLEHIMRASFDNYPKGPRFAALLHDFLGRGIFNADGEAWRAQRKAASYEFNTRSLRVFVAQSVHSELHGRLLPLLRRATGSGRQLDLQDTLERYAFDNICRVAFDHDPGQLPDGDDGCGARPEVESAATTASSSFADAFRDAANLSAGRFQYAVPGFWMIKKALNLGSERRLRESIAMVHGFADRIIRSRREEMDMGCEKHDLLSRFMVSQGESYTETALRDVVISFLLAGRETTSSALTWFFWLLSSRPDVERRIRDEVAAVRARRAIGDLGRAGFDLDELREMHYVHAAITESMRLYPPVPVNFLRAEAADVLPDGTAVGAGWFLAYNSYAMGRMESVWGEDARAYRPERWLDPAEGTFQPDSPFRYIAFHAGPRICLGKEMAYIQMKSIVACVLEEFELAVDGAYRPRQVTSLTLRMADGLPVAVRVKVTV >SECCE7Rv1G0459040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:24129186:24130046:-1 gene:SECCE7Rv1G0459040 transcript:SECCE7Rv1G0459040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCWLLLQFLVFLLPAASATSCHADDLRALQGFARNLSGGGVLLRAAWTGVSCCGWEGVSCDGTSGRVTALRLPGRGLVGPIPEASLAGLAHLEELNLANNKLIGTIPSWVGELDHLCYLDLSNNLLVGEAPKSLIQLNGFATTGPSLGKSFTNMPLYMKRSRRTLQQQQPNIISGTNNKVRSGRTNVVSGNDNTVIFGNDNTVAGSNNTITTGSGNTVTGSNHVVSGTKHIVTDNNNVVSGIDNNVSGSFHTVSGTLNTVSGSNNTVSGSNHVVSGSNKVVKGG >SECCE2Rv1G0120260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:798054958:798055821:-1 gene:SECCE2Rv1G0120260 transcript:SECCE2Rv1G0120260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHHLDIIMPLMCKDNPQVQQVGSPLFYNHRAREALNTSDERTIDVDVCAVCANVRLVFDCTRDDCRKVRDSLWRCRGCYFCFPRCEKCGGCISPEDLIEADLACSDLMFLDCWLTVPKCSTCNRPYCERHENLMVSLSMAGQFSCQRCKELGASDESQEDDY >SECCE2Rv1G0114500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:735861140:735864191:-1 gene:SECCE2Rv1G0114500 transcript:SECCE2Rv1G0114500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGGSFLAVRRLSGHHHPSPADVVSGSTAWIGRGFSCVCVQRRDSDARISFDLTPIQEECLQRLQNRIEVPYDSQNREHQEALKALWHASFPGTELLGLVSDQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNYPKSFEELLCKQNGDRALWEYPFAVAGVNITFMLIQMLDLQAAKPRSLIGAVFLNLLIENDRAFDILYCITFKLMDQKWLEMHATYMDFNTVIKSTRRQLERELLLEDIQQIEDMPSYSFLAR >SECCEUnv1G0538820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74323163:74328473:1 gene:SECCEUnv1G0538820 transcript:SECCEUnv1G0538820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLKQLVPPCLCHILILLSIMFFFSHAKAQPCKCHDSDQDRLWSNYTTHGGDFNVMDKEHGALRILNDSDVNNTQVLSSAYRLTQLWQFRPDSQEVDEASFRAAIAYQSPLWNRSTSSRGGFTFFMIPPMSSFSILEQVLSRDVGPLNLTGNTASGSTVSVGIGVLSNLTITKDYDQIVLVDITVDPVSAAVLWIDYDRVGHSLSVYADGLTKPIAEVPLDITNFAPYVYFGLVSTAQQVRSSRVRWNATIEYLPQYNGLPRKVAILSSVLGSLGATGLMAVGLACYFNSRYRRWHKDLDQLARSMERLPGMPTKVEFTDIKKATSNFHEATKLGGGGFGTVYRCTLPAAASKMERPMDVAVKRFTRDVQNHRYDDFLAEVSIINRLRHKNIVPLIGWSYNKGEPLLVFEFMTNGSLDQHLFPRGGGHTRQRDTDAAAIQQWATRYEIVRDVATGLHYVHHEYEPMVLHCDIKASNVMLDSSFRARLGDFGLACTVAVDRNSATVYGGTRGYIAPEYGMFGKATRQTDIYALGVLILEVVTGQQVLIHRAANDDDDDVHITDRVWRLHREGRLLECVDPMLIPYSSPDEDSLLAGGDAERLLLLGLACSSPNPSDRPTMPEVVQVIAKSTPPPEVPLMKPRFVWPPPEGAAWDGDDSGGTCTSMTSKLEGSMASTAERQSQWLTAAAQRRATGVHASFQLEPSVYVTVPSDLGSGETPSRS >SECCE6Rv1G0439530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:796938961:796940198:1 gene:SECCE6Rv1G0439530 transcript:SECCE6Rv1G0439530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAAGADEDACMYALQLVSSSILPMTLKNAIELGLLETLMSAGGKFLTPAEVAAKLPSAANPEAPDMVDRMLRLLASYNVVSCRTEEGKDSRLSRRYGAAPVCKYLTPNEDGVSMSALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMSAFEYHGTDPRFNRVFNEGMKNHSIIITKKLLESYKGFEGLGTLVDVGGGVGATVAAITAHYPSIKGINFDLPHVISEAPPFPGVTHVGGDMFQKVPSGDAILMKWILHDWSDEHCATLLKNCYDALPAHGKVVLVECILPVNPEATPKAQGVFHVDMIMLAHNPGGRERYEREFEALAKGAGFATMKTTYIYANAWAIEFTK >SECCE1Rv1G0037270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:520760902:520762095:1 gene:SECCE1Rv1G0037270 transcript:SECCE1Rv1G0037270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPATLASFNALVASLARSGRPAQALLTFRDMLGRGFPPDHFTLPPVLRSCALTGSDALAASSHALSVKLGAHGNLFVASALVQCYAGMSNLPDARRLFDGMRERDAVLWTSMLSAYAQGGQPEEALRLFQGMVLAEVQLDAVVMVSLLLACGQLGWRRHGRSVHACCVRMFLGMPLSLGNALVDMYVKCGELEYAERVFSVMPRRDVISWSALIVGHGLNGRPDVALRLFDEMVAKGVGQNSVTFLGALSACAHSGMVDKAYAIFEQMKQRGIKRELKHYSCMADALGRAGRVVEAVNLIEEMPCQPDEAILGSVLAACRVHGEMDAAERISKRLMSMSPAKSGYYMSLANIYSDAGRYGDAERIRGFMKEVKVDKLPGYSSVELDVSVSQSKQG >SECCE6Rv1G0447760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:851506218:851507096:1 gene:SECCE6Rv1G0447760 transcript:SECCE6Rv1G0447760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGPRWKKGKDGKDFAALAATQPMSGIVAELQSSLKGAKLVATLSSRGRDAILGVNPQQATLLNRAAFGRALENAGAEKQWFQLGAEEVFYLRHALKCISVESASKEPMSEGELWDHLCSASESFPEMYKAYSHLRSKNWVVRSGLQYGTDFVAYRHHPALVHSEFAVVVVPEGVEFGSRCGRLNVWSDLLCALRASGSVAKTLLVLTISSSNCGLSSPDCLEQLVVHERTITRWIAQQCREQRCEPSREEANKEEQDHTRETVVFNHWGVILGFTVLSSLLVYKLRFRQ >SECCEUnv1G0537770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69956868:69960732:-1 gene:SECCEUnv1G0537770 transcript:SECCEUnv1G0537770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLLPHAARLCFCFWAVAVLSLAGSSAAANGTSTGTAGEAVVVDARAAVAETDAAFVCATLDWWPPEKCDYGTCAWGRAGLLNLDLSSKILQNAVKAFSPLRLRLGGSLQDLVVYGTGDTGAPCSPFTKNTSVMFGFTQGCLPMHRWDELNAFFKKSGAQIVFGLNALNGRVPMPDGSMGGPWDYTNAASFIQYTVSKGYDIYGWELGNELSGSGVGTRVGADQYAADVINLNQVVDKAYQGSKPLVIAPGGFFDAGWFTELVAKTKPNQMDVITHHIYNLGPGVDTHLVEKILNPTYLDNMVSTFSNLQGILKSAGTSTTAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSAKYDTKSYCRQTLVGGNYGLLNTTTFEPNPDYYSALLWHRLMGTKVLSTTFNGTNKIRAYTHCAKDSEGITLLLINLSGNNTNHIYVTSEGAQEAAHPHGAKEHRRSIPKLGETAGLTRQEYHLTAKDGNLQSQHMLLNGNVLATGANGAIPKLEPVQVEGTQPITVGPYSIVFAHIPDFYAPACR >SECCE4Rv1G0280120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:818517692:818519976:-1 gene:SECCE4Rv1G0280120 transcript:SECCE4Rv1G0280120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMAAPTADLPAADQLEPPMAASRTLIRAILAATTDALAGERVVVGGWVRSGRVQCGGTMAFLAVNDGSCHASLQLVVEAARVAHPPLARLAATGTSVLVSGVLRVPPGKSKERIELGVEAVIDAGEVHDPAAYPLPKTRQLKLDHLREFLHLRPRNDTIAAVARMRSELTFATHSFFREMGFLCVHTPIITTNDCEGAGEMFQVTTLFSQAQKADRELKLKLAPHHEHDADDEEEATVSFENDFFRRQAFLTVSGQLQAEAYACALSGVYTFGPTFRAENSHTWRHLAEFWMVEPEMAFANLQDIMNYAESYVQYLCKWLLEHCIEDMEFMAKTHDKSAIQRLELVSSTPFERLSYTKAVEMLMGSAGSKKFQTKVEWGIDLASEHERYLTDVIFKKPVIVYNYPRGIKAFYMRLNDDQKTVAAMDVLVPKVGELIGGSQREERFDILKQRILDAGLPMESYEFYLDLRRYGSVKHSGFGLGLERMLLFATGLDNIRDVIPFPRYPGKADL >SECCE2Rv1G0118830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785896820:785897383:-1 gene:SECCE2Rv1G0118830 transcript:SECCE2Rv1G0118830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLLGASATAALTATPAGKALPRPCFLAARPRTVSGGRLCLQNAPRATPAYNDAADATDKAIDGVKGVADGLKKGVAEAAEAVSGNTEKAAEEAGKGASEVDDKAKDFGEQAKKATEEAWDGAKDAAQGITDKVADAAKKEAN >SECCE6Rv1G0416090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:646660957:646664466:-1 gene:SECCE6Rv1G0416090 transcript:SECCE6Rv1G0416090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitous nuclear protein, Regulation of photoperiodic flowerin [Source: Projected from Oryza sativa (Os02g0793900)] MEEPSMADPPRIFWKSRRRPSSANGRSLQAQEHNNEAAATEEAAAGNLPAQGEAMKIDDVNAASTTTEDDAHQADPMANLSEKRKALFEPLEPINGKRSADMLLPPPDFEPTSYPKGWLVGKKRKLVNVDVVESMRRIAILEMNRKDREIGGLNEQLEEDSRVLELLQKQLTDERRKRSEIEKENSMLQEQVSMLMSMLDENEAFDEEGEEAPPPDSSFD >SECCE5Rv1G0297240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:946892:950746:1 gene:SECCE5Rv1G0297240 transcript:SECCE5Rv1G0297240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIDAELARAQDERRRLEEALAAGAPMAVSSVTFDKDLYGGDGRFAGYDTSIPASEDDDAPEDAGPARRLASYTGHAVAAADIPDGGAPASKPRRIIDREDDYRRRRLDRALSPDRHDAFAAGEATPAPFVRTYGDAMREANALQEKERTLREIARKKKDEEEEGRAKGKKAAPKPPPAAPAKRRNRWDQSQEGDSAAADAGAKKKAKTASDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGITPVAGATPSGAWDATPKLPSGLATPTPKKQRSRWDETPVSMGNATPSGTAATPFGAENLFTPTPGHLAAGPMTPEHYHLLRMERDIEERNRPLTDEELDAMFPPQGYKILEPPALYQPIRTPARKLLATPTPLSTPLYAIPEENRGQQFDVPKDLGPGLPLMKPEDLQFFGKLLNEDDEEELTPEEQKERKIMKLLLKVKNGTPPQRKASLRQLTDKAREFGAGPLFNGILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARAEGREIISNLSKAAGLASMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKNLVEIIEHGLTDENQKVRTITALSLAALAEAAAPYGIESFDSVLRPLWKGTRSHSGKVLAAFLKAIGFIIPLMDAEYASFYTKGVMPVLIREFKSPDEEMKKIVLKVVKQCVSTEGVQADYIRSQCIISAQCLDRVCIGSHTVLSAFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVTDIVGKIVEDLKDESEPYRRMVMETIEKVVANLGASDIDPRLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPVLKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVAPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHLINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAFYPALGDLGNNDIFSRPELTMFV >SECCE5Rv1G0355960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:735477769:735478371:-1 gene:SECCE5Rv1G0355960 transcript:SECCE5Rv1G0355960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGGPPPPNRFTPGDGNSVGMFSSDRIGGFGYGVGVSVGILLLITTITLASYFCTRAPPPGPEADAEGSARRRRRRRRLEGGGDNDGGGAAGDDVDVELGIDEATLKGYPEVVYGEARRNKNKKLGTTCTCCSVCLDNYGDGDVLRMLPDCGHLFHRECVDPWLRKHPTCPVCRTSPLPSPLPTPLAEVTPLAMTRLSS >SECCE7Rv1G0498740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:645133599:645136082:1 gene:SECCE7Rv1G0498740 transcript:SECCE7Rv1G0498740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 18 [Source:Projected from Arabidopsis thaliana (AT4G01730) UniProtKB/Swiss-Prot;Acc:Q9M115] MAWPRRHGWQLPLHPLQLVGAGVFAVLVTAFYVVLGPYLGSTVAGNTLLALFSLSAAATAALYVRCTAVDPSDRTHAKKVKRQRNLARGGGRKLPRLRYGYILWRYAARLLKRVEVRVMNRWVRRSYLEQWNSSVQLDPMLPFAFTSLEDIVSPHATDDQDISYCPICDCEVKLRSKHCKTCDRCVDGFDHHCRWLNNCIGRRNYAAFIMLMLFVLLMLVIEGGTAVAIFIRCFIDSKGLKTEMEHRLHVRLPKGAHAALSMVFVIFTLYSTAALGQLFFFHVLLIRKGMRTYDYILAMREAAQAYDPFDDSDFSSDESIDFDSPERPSFLSRILCRKDEVNESTRKLSIRIDEKEANDATRRKDDVPINPWTLITMNKDKAMAAAERARERIRQKLPSTTISPMKPLPLETKRGPLNQERRSIMTGKENQERRTSMTGKEIVPVLTKSWLSGSPTARLSSPRRRFSGSSSPKPQRCRANFDLRLTEVSRELDTHVSKQVMCSVVMTGAEDEGSSS >SECCE6Rv1G0382020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:37596425:37604930:1 gene:SECCE6Rv1G0382020 transcript:SECCE6Rv1G0382020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSERAEQVAAVRAVLGEETPEMDIIRALHMAGDDPTKTINILLDLHHNLPPSPSPSPPPQAPPVQPIKAPSKSIPPPKTPAQPKPAAAAAGKPRPKSNPVPTGGGEHWWLVGSAEMAGLSTCKGRRIAAGEPVTFSFPNSATAAASGKGRPGRFALASCTSEIMRFSTPTHGEVGRIPNEWARCLLPLLKEGKVKVEALCKFAPEVLSIMDTVLLSASIYINSSMFRDQKQSPPKATRAATEDSTFHPLPALFKVIGITPFMKAAFTPEDLYSRKRPIERKSSNGEPATKLTSEKVKLSSSGNEDDHAEGTVSDSDLDVIIGISDSSALKERDPPEALQCDLRPYQKQALHWMLQLEKGSCSQDAATTLHPCWEAYKLDDKREFVLYLNVFSGDATTEFPSTLQLARGGILADAMGLGKTIMTIGLLLSDSSKGRVTIQNSTQISGEASGLGETPVQSLDSVKNLASPFSFSKLRKPKAPLIGGGNLVICPMTLLSQWKAEIEAHTKPNSLNIYVHYGQSRPKEASFIGQNDIVLTTYGVVASEFSTESSTENGGLYSVHWFRIVLDEAHMIKSSKSLISQAAAALTADRRWCLTGTPIQNNLEDLYSLFRFLKVEPWRNWALWNKLVQKPFEEGDERGLKLVQTILKPVMLRRTKNSTDKEGRPILTLPPANIEVKYCDLSESEKDFYEALFRRSKVKFDQFVEQGKVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFADLNKLAKRFLHGGNSTVNGDSSSLPSKAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECILSSWQSPAAGLCPVCRKSMSKQDLITAPTNSRFQIDVEKNWVESSKISFLLEELESLRSSGAKSIVFSQWTAFLDLLEIPLSRHGFSFTRLDGTLNLQQREKVIREFSEDKRILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEFRTARLEELKMLFS >SECCE1Rv1G0043470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:593121574:593124447:1 gene:SECCE1Rv1G0043470 transcript:SECCE1Rv1G0043470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQGQSTETTVAVAVAVVAVAAGAGFLLLRSKKPKGCLDAEKFKEFKLVQKRQISHNVAKFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDIGYFELVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFKYQPGQVRAFGMIAGGSGITPMFQVTRAILENPNDNTKVHLIYANVTYDDILLLEELDNMAKNYPDRFKIYYVLNQPPEVWDGGVGFVSKEMIQAHCPAPAADIQVLRCGPPPMNKAMAANLDDLGYTKEMQFQF >SECCE4Rv1G0261540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:702464635:702469378:-1 gene:SECCE4Rv1G0261540 transcript:SECCE4Rv1G0261540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSQQEEPRRLSSGDHESLKDTKKRKGGWITFPFLAVAILCLGLASGGAMSNMVVYLITEYHVPSVDAAQISTIISGSISVAPVAGAIVADAFFGCYPVVAIAMATSVLSLVMFTLTASLPGLRPAACQPGAGPCEEASTGQMAALYAAVFLLCLSAAGARFNQATMGANQFDAAADRDVFFNWYFILLYASSVLGATVIVYVQDTVSWTLGFGISCATSVVGLAALLLGARYYRHPAAQGSPFTGLARVVVAAARKRKVGLVAPGELKFYYGLRGGDDDVKTGGDDVLPPSDSFSFLNRAAVITDGDVDAASGSVLRPWRVCTVQQVEDFKTVLRILPIWSAAIVLSVAIGVQINFTVLQALVMDRAVGPFTVPAGSMIVGSLISVVIFLGLLDRVLLPLWRRVTGHTPTPLQCVGTGHALTVLSMAASALVERERTATVRAHGQAGDPAWVSPLSAMWLVLPFAVAGAGEALHFPAQVTLYYQEFPPSLKNTATGMMAMIVALGFYLSTALINVVQRATTWLPDNMNASKLENLYWLLTLLVALNFGYFLTCAKLYTYQNIGK >SECCE7Rv1G0511140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:801707708:801708202:1 gene:SECCE7Rv1G0511140 transcript:SECCE7Rv1G0511140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVALTLKLAVLALLVIPSLARCPSLGPAPPPPPPPAQAPPPPPEAIPPTPVPDPPRPCNICSIECYPACNTAKASLPESKACDESCYNEKSRCDQCRTPKIEECKANCSGSCDCLTESYKSCSDDCLFRECSSCMTMRQFQTKDCRHQCNVDYCRGNKCW >SECCE6Rv1G0453270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:882175025:882175699:1 gene:SECCE6Rv1G0453270 transcript:SECCE6Rv1G0453270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRPPPPRLMLNAVSCMRNAQTVLRDMNVSVHDGTALVLTGANGSGKTTFLRMLAGFSRPSAGEILWNGHDVTSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGRSAPAIDLMGLGRLINDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDSEGVKLLEYIIAEHRNKGGIIIVATHLPIEIEDSMNLRLPQRFPRRKTLVDLVR >SECCE5Rv1G0346090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660143306:660144471:-1 gene:SECCE5Rv1G0346090 transcript:SECCE5Rv1G0346090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRGPPSPAPVATTTAITAIGDDLLCEIFLRLPSLPSLVRAALACRAFLHAVQSSPSFRPRFRAVHPPQILVFFNAGIHSLIPLDGRSDHDLAAAVHGSDFRFIRLPKGRDDSRWNILNGCRCRCGYVFLCNENTDQIAAYNPLKRALYIFPYSPQETCDDPHCLDFSIIFSEDDQRSFRVVSVQPKLARFSVFSSDSREWQSISWVDTSTPQRVDNGGDNSVLPSYTDTVMMDEFDRLTYWKDKNTGYIVVLNTATLQLSRMDLPQPLKDMDSTQFELGRTNAGKLCMVSVDGFGAENTMISVWIWRADGDGVDKWMQHKVFPLNKFIDVTMCSAEECKRVLQSYISQ >SECCE1Rv1G0058960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703330336:703331941:1 gene:SECCE1Rv1G0058960 transcript:SECCE1Rv1G0058960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAEAEVIRAPKRHRSVGIVDQQEPPRVNNKERGSLDDLDLISRLPNFLLGTIISLLPTKDGARTQAISRRWCPLWRSSNAPLNLGADRNLCDSNSRVALVSRILSDHPGPARRISLHLIFFPNILGEVDGWFRSRALTGLQDLEVTNLERTNHYPLPPHSLTRFAPTLCVLRLGSCQFPGLFALPRFSHLKQLILFDVGISEDSLQNMIFQCVVLESVSLHNMGFGRLCISSPTLKSIGFYAPRVKGAITFQELVIDDAPCLERLLPIYPDDGPVTIRVIRAPKLEVLGFLSKGISTLHLGTTVFQKMIAVTMTTKMRSVKILVLESSPNLDLVIDFLMCFPCLVKLYVILNTGKNMNIVRKYDQLDAIECLELHLKEVVLKNKCGGFRTYFDFAKFFLLNAKVLNKMEIRGCYYRNDDFYLRQLQAVNGASQDVRIEVNRNIFTRHVHTHDLSMADPFD >SECCE3Rv1G0152120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:44398574:44400859:1 gene:SECCE3Rv1G0152120 transcript:SECCE3Rv1G0152120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLEYTPFDCVNIFLDQLNLGDCTIRGSLEAFSCKHAGNDRRLSISLEHEILDYLGKSSDSDPPSPVEHLSSRSSRKTLIYLVLTLGHMYPDYDFSAVRAHLFFKEEDMESFKQMVDNYLSEASRLWAARNEGSSLLDSMTKAIDEVIKIRECDIYSYNPDSDGDPFLEKGAIWSVNFFFYNRKLKRVVSFRCCCTSKFAGDDFLAGALSDGEEEDALIDMDI >SECCE5Rv1G0322610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:422010904:422012176:1 gene:SECCE5Rv1G0322610 transcript:SECCE5Rv1G0322610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGLSTAFSPPRGSWIAVRLRNGATGRSSGGLSLRRSAAAVRAEVSFVDGDEAKRLVAEEGYTVLDVRDRRQYERAHVRASAHVPLYIENEDNDIGTIIKRQAHNNFAGLFYGLSFTKLNRDFTKTVRSKFSPESKLLVVCQEGLRSTAAADALEREGFQNLACITSGLQTLKPGTFETVGEAELQNAGKAGLVTIQGKISVVLGTILITLLLLITVFPDQAEQIFESAGIKL >SECCE2Rv1G0076620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:92644016:92644750:-1 gene:SECCE2Rv1G0076620 transcript:SECCE2Rv1G0076620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVLRWLCVVPGRTQRRRRRAVKLVLWGGETRAAEPGSTAGEVMVEHGAGGRVVCRADRFRIGRPAPVLAVEDRLEAGRTYLVVPVDRLPAQGQGAVTAASLAALSYDSHKGRAGGGHSSAPSLAGGGRSPFEYVKDGAGRTVISVTEEFIVKTITGRRPPAGAGGGRGDEEESGSPICSTPELRKHYELLVGAARGRPWSPRLETIEERKARRGLDIVISPRRLSPARLLGLVKGSSELAR >SECCE6Rv1G0379100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:12252596:12255716:1 gene:SECCE6Rv1G0379100 transcript:SECCE6Rv1G0379100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPQGRLFQVEYAMEAVKQGSACVGLRSATHAVLAAANKSANELSSHQRKVFRVADHAGVALAGLTADGRVLSRFLRNECINHAFVYDAPLPVSRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFEKFNAYTPDELIKDALSAIKETLQGEKLTSSNCTLAIVGRKEDGTVEPFSMIDSKRIQEIIDSMEAADEAPAADAPAESSSMQEDRGDAPAAGDAPAAADEPAAPDAPAPMDI >SECCE3Rv1G0213530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961347668:961348009:1 gene:SECCE3Rv1G0213530 transcript:SECCE3Rv1G0213530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEPSDDPSKIRGEILSSGDPLTLPLTYIWGADTPARITGDGYKNGGEVKSTRRHSGMNVDPSGGDNHSGPGRGGDTSLS >SECCE2Rv1G0120370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:798554114:798556062:1 gene:SECCE2Rv1G0120370 transcript:SECCE2Rv1G0120370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homodimeric diiron-carboxylate protein, Cyanide-resistant respiration in mitochondria, Reactive oxygen species (ROS) scavengin [Source: Projected from Oryza sativa (Os04g0600200)] MSSRMAGSVLLRHAGAGASRLFATTATASPAARTALAGGDGAWVRLMSTSAASQAKDQAAKVAAAAEAAKGDGEKKEVAINSYWGIEQSKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPNTMLDKIAYYTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAQPRWYERALVIAVQGVFFNAYFFGYLISPKFAHRVVGYLEEEAVHSYTEFLKDLDDGKIDNVPAPAIAIDYWRLPANATLKDVVTVVRADEAHHRDVNHFASDVYYQGMQLKATPAPIGYH >SECCE2Rv1G0128540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:862458071:862458478:-1 gene:SECCE2Rv1G0128540 transcript:SECCE2Rv1G0128540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCGKIQSIVRLQQTLRRWRSRAAAAPVPSGHVAVCVGGESRRFLVRAAHLNHPVFRELLRQSEEEYGFPSTPGPVALPCCDEDRFLDVLRRVSSEDRLLRRGRSFCCRVPVVTTRDVAARPLLQGMAVEKLVW >SECCE5Rv1G0310570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:171824014:171829243:-1 gene:SECCE5Rv1G0310570 transcript:SECCE5Rv1G0310570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMAGHFKMASVLKLMMENHATPDEVINEKSSSHILHKHLLSAHEPNLLDEDDMHIFGSKPMADPLDLVRCDTCQKPVKASHYAPHAERCSSGKVNPNDSMGVEDDVHPMKPPKKGRKIKLTSNGNQKVHIKVKLKSQPENKNIVNDFELTNGNESKHLNSIADQRFKPPAINAPESHYRDVTAPLATKMYHSRGNYQLRLELARLYRESCAEQSHSYASDTTPNSSQNNGLVPCDNSTLHGSDDSVLHGSDNSVLHAARKSSIPQKKLLDQLPASTSGLCSGISPQLSSSGPSRLQATKAQRADTPVSAARNELGRSRCNKVAVPSSKNTGKKKTQKQSKALPAI >SECCE4Rv1G0234760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:300982915:300985001:1 gene:SECCE4Rv1G0234760 transcript:SECCE4Rv1G0234760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVTVPGSSVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKVIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYVFTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQMVKPRERNSRYVDAVLTIPKGTLFPMCGMNLAFDRQLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHFSLGVKTGLPYLWHSKASNPFVNLKKEYKGIFWQEDIIPFFQNATLSKDCDTVQKCYISLSEQVKEKLGKIDPYFVKLADAMVTWIEAWDELNPSDDVVAVGNGKAAAK >SECCE3Rv1G0169830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:243100213:243102471:1 gene:SECCE3Rv1G0169830 transcript:SECCE3Rv1G0169830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATIACLLLFAPLLTADSTTIQQSNGSALAAAAANHQIVRAEMAPASPSWVILGRVPRVAAADADLPLGADLSLALPPPPRVALLTIPPRIFADRTTSDNFPSVLAADPSGLLLLHANQGRATGRTEIGTPDVPGFRWFTFVPGYFVLDATTASALPLPKPELIMNRGHLGLISSPGGGGHYMVAELQQILGHGQAILLRFSSEAGKWVTNFIGYPLPARQFAPNGVVSHAGRLWWVDLSWCLLTCDPFADEPVLTVVPLPEGKQLKSREAWGQIDKYRCVRVSGGELRFVDMYSRTDAAQISVWTLADPDTTEWKLEYEATFKEIWDDASYKATGLPRKVPVLALIHPTNPDVVYFFLDEHLLGVDVRARKVVECEVYELVAPPIEHVATRFIHAWQLPRAVSSGSAKKTEGVMNDEL >SECCEUnv1G0539390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75727544:75728987:-1 gene:SECCEUnv1G0539390 transcript:SECCEUnv1G0539390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTVGPTAASAAQKQKADADVGSLVANFSALVNIARVNQPPGRNSQEAFQMDMRASRMVHSGDSLLKLVSELKRAAIFSGLASWSENVDRRIEVLSHQAEGTDRMLQRIGQEAAASLEELEAHYYSSVVQTLLYN >SECCE7Rv1G0493020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:561828916:561829287:1 gene:SECCE7Rv1G0493020 transcript:SECCE7Rv1G0493020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRLVTDFHVGIIPVQYRRVPCAKKGGIRIEMTGNHYWVGVLVFNVAGPGEVKSLAVKGAKDGQWRNMKRNWGQIWDGYVQNLVGQGLSFRVVASDDRSVALNGVVPASWTIGQSFEGKGQF >SECCEUnv1G0567520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:416004322:416005228:-1 gene:SECCEUnv1G0567520 transcript:SECCEUnv1G0567520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAECSSIWGSLNSICEESEMIAHLQSVLWCSSDSDANFCSSENDSINASFDLQVDDLGSANERNIGIKRKMQVDEQSNRHNEVPAILLAPKKSGQKSRAAMDSQSNYAKRRRHKINERLRVLQHLIPNGTKVDISTMLEEAVQYVKFLHLQIKVCFHFMKHRLINVSILLSSDEMWMYAPLGYDGVNVGLPHLDSLAHE >SECCE2Rv1G0121350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:807207044:807209175:1 gene:SECCE2Rv1G0121350 transcript:SECCE2Rv1G0121350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTAAASLCCRLVRAAPVLRRARRRTLCSAARSTDAVDREYADLNLRPLYPNRGHHLRIRQHVNPLSALFVEPTEPPEWTEVFEDPLLPLMVDIGCGSGRFLVWLAKNSGERRNYLGLEIRQKLVERTQFWVTELGLRNVYFMFANATVSFEQIVSSYPGPLSLVSVLCPDPHFKKRHHKRRVLQTPLVDSISKNLCLGGRVLVQSDVLDVATDMRERFDGYSDVFEHADRIDKDLQCDNEGWLLDNPMGIRTEREIHAELEGATIYRRMYQKTRCFSPDCSIS >SECCE7Rv1G0522290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876707844:876709391:-1 gene:SECCE7Rv1G0522290 transcript:SECCE7Rv1G0522290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAGDGTRRKTACVPCGYGYLASALVKLLLEKGYAVKTTLRNPDDVVINKHLKDLQALGPLEVFRADLDEEGSFDDAVAGCDYVFFNAPPVNLHAENPEKDVIQPAVHGLLNVLRSCVRAGTVKRVVLTSSAAAVSTLPLEGDGHVLDEESWADVEFLTSGKTHAWGFPVSKVRLEKAASAFALENGISLVTVCPGLMVGATPAAKVHSGTLDVLSLLSGDDVRVRTLKFMVRMCGSIPLVHVDDVCRAEIFVAEEEAMSGRYICCSLNTTVLELARFLAAKYPHYNVNTDRFRGLPEKPRVCVSSGKLLGEGFEYKYKNLDEIYDDIIKYGKDLGILPY >SECCE2Rv1G0084560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:176260357:176261649:-1 gene:SECCE2Rv1G0084560 transcript:SECCE2Rv1G0084560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVINKLLPEDVVADILRRLPPRSLARSRCVCKAWHDMIDGGQLLRADLLPHTLGGIFIDFRFLQVSELFRPSTRTAVSGDLTYSSANTAVTEHCNGLVLLSHTVVNPATRQCARLPPLPPLPSRAGMEDFYVVRGDKFLVFDPSVSPHYEVVSIPLVPFEERLGRMPRESEWPPSPFVLPVYSSETKRWEERPFVRQGDAAGTIAGMLEGDGDSLDLDQKRYGVYLRGALYVQIQNDFCCRISMADRKYRVIRPPAGMETATYPELHLGKSGKEVRCALVEDAFRFLRVWGLDESRGRCEWALRHRVDLGQTLARGMCYRQEETDERWILENVNDGGAGSGGEQEDDHAPMVERETKSDYVSFLGFHPFEDVVFLCDTLTRGVAYHLKRSSLQYMGILRPRHYGHIAGSVAGVNAYFPYTPCGMGELS >SECCE2Rv1G0081220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:137073551:137073835:-1 gene:SECCE2Rv1G0081220 transcript:SECCE2Rv1G0081220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEILQFVIAVDCYPNVCVAYRILLTVPVTVASAERSFSKLKLLKNYLRSTMLQDRLNGLAMCCIEKDILDNVDLDCALNDFASRNARRSFF >SECCE3Rv1G0148100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:17785585:17786947:1 gene:SECCE3Rv1G0148100 transcript:SECCE3Rv1G0148100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSSNKRQPEAQRDGDSDSKRHNVTMRLGTLDCSVCSKPLRPPIFQCSLGHFICLSCRSEILDKKCHLCSAVTSFERCFGMEHVVKSVTVACSNAKYGCAEQITYYQKEEHEKMCPNAPCFCPESGCGFAGSTMALLGHMTGKHKCPLSTCDEDSMVNVYLYLEPGLRVLHCHEDDQFFLFNMASEPFGHAISVVCVQPRVKEPQFTCDLYYNSSTTDDSQHSCCKVRSSSLSDGLPTGYDLIVPKGKVSDEGNGIMLRATIRGKRIIFYDSDSDEEDRALGRSKGNGLNSHHSEPNHERPVPLAARLIPDYSESEDERPLAARFSKICGYER >SECCE1Rv1G0059910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:708253707:708254992:-1 gene:SECCE1Rv1G0059910 transcript:SECCE1Rv1G0059910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLYGYKAFICIALILGDGLYQFIKIISITFKGMYRQFSRKHINNRAKNMDNTVSLEDMQRDEVFKRGHLPAWMTYSGYAVLSAVAAITTPIMFRQVKWHYIVIAYVVAPVLGFANSYGTGLTDINMGYNYGKIGLFVFAGWAGRDNGVVAGLVTGTCVKQLVLISADLMHDFKTGYLTKTSPRSMMVAQAIGTVMGCILSPLTFMLFYKAFDIGNPDGYWKAPYALIYRNMAILGMEGFSVLPKYCLALSGGFFAFAALLSIARDVMPHRYSKYVPIPMAMAVPFLVGGSFAIDMCVGSLVVFVWNKINKKEAGFMVPAVASGLICGDGIWTFPSSILALAKIKPPICMQFTPAP >SECCEUnv1G0530210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:11732477:11733055:-1 gene:SECCEUnv1G0530210 transcript:SECCEUnv1G0530210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATKLVLSVALVVLLSIGLSSAIRVVNHAATADGQGSGGGGGSGTGTSQGSGHGSGHGTSEAYDKNSYVYGSGEGRGSGSWEGREKPAAGYGNGGASGSGHGDSSNTDTGGLSTNANGQGGGAGSGGSAGKGSGSGSGDGSGSGIADSYGPDGSSYANSGGGGGGDAGGSDDGFASGSGGGSGSSSGESTP >SECCE5Rv1G0356840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742069418:742070077:-1 gene:SECCE5Rv1G0356840 transcript:SECCE5Rv1G0356840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTSFVAMALVMTVMLSTGHAARLLADVPALPTPTLPPVPVVPTVPAVPGGVVPTVPTVPGVPTVPTVPGVPTVPTIPTVPGVPTVPTVPGVPTVPVPGAAVPTIPAVPTVPGVPTVPVPVVPGAVVPVVPTAPSVPTVPTVPGVPALPIPSVPGVPNVPLPPMPSVPDLPKVPLPPMPSVPGVPKVPLPPMPSVPGVPKVPLPPMPSVPGVPAVP >SECCE7Rv1G0497650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:628582681:628585143:1 gene:SECCE7Rv1G0497650 transcript:SECCE7Rv1G0497650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTCHTPTRDAQGPKLRVDFKLLKPFCLSIGSVRSPAMGVTKAPAIWSVVVVVAAFIVATQGSPPSSVRRRYSFFEDDGPSRLHRHRHYHFVIKESNYTRLCREKTILTVNGEFPGPTIYARKGDVVVVNVFNQGNKNITLHWHGVNQPRSPWWDGPEHITQCPIQPGSKFTYRIIFSEEEGTLWWHAHSAMDRDTVHGAIVIRPRRGTTYPFSKPHREIPIILGEWWNNDIRQVLADATSTGSDFQPSDANTINGQPGDLFACSSNATFRLPVKHGRTYMLRIINAALTNGFFFAVAGHRLTVVGSDASYTKRFRVDHVFVDAGQTVTALLKARRGHSNARYFYMASRPLATNPQATVDNSTATAVLEYVDARSAPVGAAHDLPTLPAVNDSSAAAAFTARLRSLASKEHPADVPRHVDEHMLVTVEVNEIACAPGEACKGPHGNRFASSLNNVSFETPRSDILGAYYRSTVGGVVRTDFPDNPPSPFNFTADDLPPELALTARDTRVKVLKYGTVLEVVFQGTTILGGDSHPMHLHGFSFYVVGRGIGNFDKHTDPAKYNLVDPPYQNTVSIPKNGWVAIRLRAENPGVWFMHCHFERHMVWGMETVFIVKNGKGPNAKIMPPPPNMPRC >SECCE1Rv1G0042420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:580275252:580275920:1 gene:SECCE1Rv1G0042420 transcript:SECCE1Rv1G0042420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTQPPLLPVTNPTAAGSAPASGGGGGGGLDAPIATPAFRLFMSRISESARRSLSDRRPWAEMVDRSAFSRPDSLSDATSRLRRNLTYFRVNYTAVVAFALAASLLAHPFSLLILLGVLAAWCFLYIFRASDQPVALFGRTFSDRETLLGLVVASIVAFFFTPVASLIISGMLVGGAIVAAHGAFRMPEDLFLDDADAASGNSAAQGLLSFLGAPGSRV >SECCE1Rv1G0045410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609661443:609662720:1 gene:SECCE1Rv1G0045410 transcript:SECCE1Rv1G0045410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVMVALLLAAVAATCARAQLHEKFYGETCPSVEEVVRKEMVRALSLAPSLAGPLLRMHFHDCFVRGCDGSVLLDSANKTAEKDALPNQTLRGFGFVDRVKAAVEKACPDTVSCADVLALIARDAVWLSKGPFWEVPLGRRDGSVSISNETDALPPPTANFTVLTQLFAAVNLDAKDLVVLSAAHTIGTSHCFSFTDRLYNFTGMENPSDIDPTLEAQYMMKLKSKCASLNDNTTLVEMDPGSFKTFDTDYFKLVSKRRGLFHSDGALLTDPFTRAYVQRHATGAFKEEFFADFAASMIKMGNANPLTGSQGEIRKKCSVPNH >SECCE1Rv1G0035280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:497563463:497564005:-1 gene:SECCE1Rv1G0035280 transcript:SECCE1Rv1G0035280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGRANGGVDGERGGADAAAALRRQRPARPHPSSSGSAASSSSGGGEGGKKQGRRKSKRREQVVRAIRDRLPPPPACWGNVSVVQERRGRRERPGVGAEEEEGRSGPATAALPAWCCLCPEADCSLEPNPSANGKEDPGLRSLIERNDFYSDDCNPHAAAAAAEDDDDDGAASPAADFD >SECCE4Rv1G0259410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:686230100:686232999:-1 gene:SECCE4Rv1G0259410 transcript:SECCE4Rv1G0259410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRRAASAAAAVLLALTGFFLLALSPAEAATAAVEGGELRMKQSDGGYSYNSTLAHILVEYASAVYTSDLTSLLTWTCPRCQGHTKGFEMIEIIVDVEKCLQAFVGVAPDPRSITIAFRGTQEHSASNWIEDLFWKQLDVTYPGMPDAMVHHGFYSAYYNTTLRHEILKSVQWAWKTYGTLPINVVGHSMGGALASFCALDLSVKWGSHKVQLITFGQPRVGNPAFAEYFNEQVPRTIRVTHENDIVPHLPPYFYYLGEWTYHHFAREVWLRETIVGNVVTRNETVCDSSGEDPTCSRSVYGRSVADHLEYYGVSLHADSRGTCQFVIGASNSAYGDILQVDGTIILSRYPQERYPVESI >SECCE3Rv1G0160380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:104709199:104709798:1 gene:SECCE3Rv1G0160380 transcript:SECCE3Rv1G0160380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWRFLAAGAAGAAAGSMTYVSETNEIAEAITGARQQYGQAAQDCRRFRPGVQPPPNAGQGASAGGPIIDLAIGRLKRLSRFHAVMGNVFSLCVARIRLEGNALARWDSWQLHRADAARHAETALQRLLSAKSHGNAALSVFNVMLRPPSPRAVAHAWAPAAEQLLHRAIGDLAVADAALGQMPQAIAVQYVDALGLL >SECCE3Rv1G0165290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:172667129:172670743:-1 gene:SECCE3Rv1G0165290 transcript:SECCE3Rv1G0165290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGPFSGAVGLHGGFYGGFGGGHGHGHEHGFSQWLGAPPTSSVLLDGGTDELGAAAAAADVPKRKGGGREEKAATALRSHSEAERRRRERINAHLATLRSMVPCTDKMDKAALLAEVINHVKKLKSEAARVGKDCPVPSGADEVTVEVVQQPQAPPHHDRAGAGAAFLVKATLSCGDGCADLFADVRRALRPLAPRVVGSEVTTLGGRVRLTVVMAREGAVTAASVRRALDSVLDRVASAAAAFEFSPRDSLLATKRRRVSTFDSSSSSS >SECCE5Rv1G0346470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660976949:660980035:1 gene:SECCE5Rv1G0346470 transcript:SECCE5Rv1G0346470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADALEWVAYGPCGHREVCSTCVVRLRFVVGDPHCCICKTACPSVFVTKAMGDYTKVISDFSALPAAAAEGKAGDYWYHDDSKAYFDDADHYKMIRAMCRLSCSVCDRIDEGQAAQANRRVSSFRTIGLLRGHLSDKHGLRMCNLCLGGRKMFISEQKLYTQDQLARHTQAGDPEVDGSDEVERGGFAGHPVCEYCKRAFYGDNELYAHMTRDHYSCHICQRRHPGQYDYFRNYDDLEMHFRKDHFLCEDDACLAKKFVVFQSDAEINRHNAMEHGGRMSRAQRNAALQIPTSFMYQRNERDQRYGRGRGRNAHHDRPDRDFSLPADGSATADHGLGSRLDSAGGSAMLADESLFPPLPGSSNKCPASTQQGLQGLPKTTLASRLQQSRKGTVKVLHSGRPQTAEYPEIVPHVSTSTHAYPTPQRERNGSATARHGLGSRVDSVAGPSQSSIVSSASSGQRLGNGRVLKQLSFPHLQGRDIPDARTDAVPDEASFAPLSEHQSSNTLALNQKSSRDSERLGDVFLPLPGSSNKGSTSTQQGLQAFAKNTLASRLEQPRKGTVKLLNSGRPRTAENPEMVPHVSTSTQTCPTPERERDGSATAEHGLGSRVDSVAGFLQSSSVNSASSGQSLGNGGVLEQLSFPPLGNQDTPDARMDAVPEKTSFPPLSEHQAKHALAVNQSSRGSAKHQAKHALAVNQSSGGSAKLGDESLFPPLPGLSNKGSAATQQGLQSRAENTFASRLQQPRKGAMKVLNSCQSQTTENPEIVPPFSTSTEAWPTPDQGLHLSGSSHLRIVPQSARDNGLMPPASSGPAWNSRAPNKMKHSVSTPNLVSGGSTAQASSSTAYGNKNQVPPQSSQPLPVAEDVRQANNALVEKMRAAFGMDEDRFSAFKEIASEYRHGVIDTSEYLSYVEQFGISHLVPEMAILLPDPLKQTELAEAYYANMRSKSLQENGNVGGSNSSSSSSNNGKKSKKTSKFLRARLGDNSLARLSHPDVSPERPVRSVWKNGGAQKLFSGNGKI >SECCE4Rv1G0231870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:190771531:190772459:-1 gene:SECCE4Rv1G0231870 transcript:SECCE4Rv1G0231870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTSDSSQETMSHRWSLADTTALVTGGTKGIGLAIVEELAGLGAKVHTCARHAAGLDKCRRRWQSKGLHQLITASVCDVSARGDREALVATVSDLFHAKLHILVNNAGQSLYKAAADTTPEEYARLMATNLDPCFHLSQLAHPLLRQAGASSVLLISSVTGYIAYPALSVYSLTKGGMHQLARSLAAEWATHGIRVNCVAPGGIDTDISITTLATDPTMARRLADMETSRVPMRRFGKPHEVAAVVAFLCMPGAGYITGQVICVDGGRTIAAKL >SECCE2Rv1G0111140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:698078414:698086124:1 gene:SECCE2Rv1G0111140 transcript:SECCE2Rv1G0111140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIGDGNGDAGHFGEVDKVWVANQTNAEKMLEAENEKASDFVDASTSLPVKLEASCVAVLVHPIGNALRAEEQPLHSTNEETSDEFLDANSSVPIDLEAKNDDASLITEVMTKEEEQLYEARLKLEEEEARKREQAARLALDPNACFSKLDELLTETQLYSEFLLEKMEQITDNFVEVKDEEESVEDKKKGCGRKRKVNSKPQYNDKKAKTAVAAMLKRSREDRSAYDDTLTEEERWEKEQANLVPLMTGGQLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLTHLKGNGMHGPYMIIAPLSTLSNWVNEISRFVPSLTGLIYHGDKVARAEIRRKFRPKTVGPDFPIIITSYEMAMSDAKFLARYKWKYVVVDEGHRLKNSKCKLLRKLKHIPMANKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGDEEQQEEADEKKRVLVVSKLHAILRPFLLRRMKVDVEQMLPRKKEIIIYANMTAHQKQIQTHLIEKTFDNYLFESTDIVLRRPGMKMKLNNLMIQLRKNCAHPDLFNAAFDSTSLYPPTDKLLEQCGKFQLFDRLLESLLKQKHKVLIFSQWTKVLDIIEYYLYMKGLNICRIDGSVKLEDRMRQIAEFNDLNSSMNVFILSTRAGGLGINLASADTCILYDSDWNPQMDLQAMDRCHRIGQARPVHVYRLATSNSVEGRIIKRAFGKLKLEHVVIGKGQFEQNSTKPNVLDEEELLALLLRDEQDEEDRMIQTDISDEDLLKVMDRSDLTGPPAAADAPPLIPLKGPGWEVVMASKSGGGVLSALTS >SECCE7Rv1G0482450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:305956911:305958970:1 gene:SECCE7Rv1G0482450 transcript:SECCE7Rv1G0482450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASASMAAASSCRNPLVWFFSLAAALFFASWYLLLDSAAAPAALDARRQGLRPASPSRKCDPDKALLRVYMYDLPLEFHFGMLDWEPGSGGGDLWPDVRHGVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCNAVRVRDPARADVVFVPFFASLSFNRHSKVVPPARTSEDRALQRKLIEFLAARPEWRRSGGRDHVVLAHHPNGMLDARYKLWPCVFVLCDFGRYPHSVANIDKDVIAPYRHVVDNFLNDSTSYDDRPTLLYFQGAIYRKDGGFIRQELYYLLKDEKDVHFSFGSVAGNGIEQSTRGMRASKFCLNIAGDTPSSNRLFDSIVSHCVPIIISDEIELPFEDILDYSKFCIIVRGADAVKKGFLINLIKGISREEWTSMWNKLREVEGHFEYQYPSQPDDAVQMIWKTIARKVPSIRLKVNRLRRFSRSEANKTNDSPARSSWLENQAR >SECCE5Rv1G0363880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:796852489:796854096:-1 gene:SECCE5Rv1G0363880 transcript:SECCE5Rv1G0363880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHVLLRGSLRYSTSAADMVVSSIRLLSATNPTKSAPTPTPLHPVNPNPDPVAAPPPPPPPTTTLLPLADRLRGVFLQKPPGRAALHRALSSTGLDALSPEVLSEVVSRGNLSGSATVDLLDWAISSAKLPPSVQTCNIVVRALGRRKFFTFIEPALEIMRKNGIFPDLTTLQIIMDTLVAARQVNKAIQLLQSDQFGLGIGQTCHRKEAFSAFIECLCRRSHVGVASSLVQVAHGQPFDLDKQVYNDVLGGWARFGRVDKLEHFWAMMLEDGIVPDDVSHCHLMEALGRAGQAEEALRVFEKMVQEGFGPTTMAYNALVFNFISLGDLDRSMKYYKDMVDNNCPPNSDTYCKMIRAHLKARRVADALQMFDDMLAQGILPDTGVITSFIEPLCTFGPPHAALMIYQKSRKAGCTISLKAYKLLLERLARFGKSGTVLKIWEEMQESGYPSDKEIYEFIVNGLCNVGKVDAAVSVVEESLRKAFCLGRVVYSKLNDRLLEMDKVETAYNLSKKIKRGRTLANSRNYCRANGWHL >SECCE1Rv1G0010620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:64052299:64054128:-1 gene:SECCE1Rv1G0010620 transcript:SECCE1Rv1G0010620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPRKPSTPPPVAAAPKDSVDIASLLLELAAADNVVEFRRVVEEEKACLDAAGSWYGPSAVGLGRLAAESRTPAMVAALYGSTAVLAHALSVAPGEACRASDTDGATALHMAAAGGAANAVAATHLLLAAGASTEALSVSGLRAGDLLPRAAGVSEKPLRLLLKSPAVSPSSSPKKSASPPAMVAAQEPRKEYPPDLTLPDLKSGLFSTDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRRYSYSCVPCPEFRKGGSCRKGDGCEYAHGVFECWLHPAQYRTRLCKDEVGCARRICFFAHRRDELRSVNPSAVSVGMMQPVSPRSSPPNGMDMGMLNPAGWPSSPVSRLKTARELDFDLEMLALDQYQQKLFDKVSNNAHSPRASWGAPNGGLGSPHAAGSPARNMPDYTDLLGSMDPAMLSQLHALSLKQAGDMSPYSSMPDTQLHMPTSPMVGANNSFGGLDHSMAKAIMTSRASAFAKRSQSFIDRGARAPAARSLMSPATTIGEPSMLTDWGSPSGGGNLDWGSPGGKLDWGVQGDELHKFRKSASFGFRGQTAMPAAAAATPAEPDVSWVNSLVKDGHTGDHFPQWLEQEQMVA >SECCE3Rv1G0213960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963307519:963309726:1 gene:SECCE3Rv1G0213960 transcript:SECCE3Rv1G0213960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDDILTEIFSYLPTKHARRFQRLSRSWRATLSSAHFAELHRRRANRPGELKLFFADNKEEEEEESYLYAWQHHGDGAVKRLMPNKFPQFPTPMTRPLHGLIMLRCADDGYHVCNPSTGEVLALPDTKLSSKMIFRYLPEIPYYDFVAYGLGYCSVTHEYKVVRVFSTAYEADDYGDIPVVLCEVFVLDAPSYWRPTAHQPHACIVDEENPGVFLNGFLYFLCCDGGIIAFNVTGETFDSMPPPPYLVDTPVKMMTELDSCLCVCYGGKGYADGMCRVWILRNYEQQKWEQLCCVDPLQLKSCFIAPLGIYNSGNGQRKIMFGTGTCNVVSLDVPNPGAPEILFCPNEAIGCSFEDSREPVLGLYEESVVRVGRTIEEMVWSSPTTKAWFDILKWMPTQSVADLRLVCREWRAMVECDRFICSHAVHANLNKSPRVMIITDCYNGRYMDLKDFTGRGLIRVRVPDLVCSQPCRGLNVGSCHSWSFVCNPAMGYIERMEFQNLNDDTFYAGRIGLGYDCENDEHVLVHMTYKEKNFTTREYQLECGLRYVKEQEWHSLDAPPRPVANIQPTYIDGKIFWMVEPNLGPVSLYCEIIAFDVEKEEFEVLPGPPCGRHGNGHVSILELQGALCVACSDKTMNVISIWMMKEVGFWLMEYHIVLEEFSPEYSSEWTTPLAIDRKDGRILLKTGWSLGYYDPKTASMETICRVGMPGHAFKFCPVVCHESLVSRFGSQP >SECCE4Rv1G0221320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:47933173:47937760:-1 gene:SECCE4Rv1G0221320 transcript:SECCE4Rv1G0221320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIATSLNIPDDSGADDDPDAVAASSPPSARTPPPPHAAAADGVKEDLTELSKTLNRQFWGVANFLAPPPGEGSPSPSPSPSSAGDQSADAGTPPEIAGIRRDFSEISGRFRSGISRISGHKAVSGFSSIASNFFAPEDGEEEELLEAVNDEREDVARLNKPENEEEARHEREDDEGRHYLEKMARLGVADDEVRDEWEEQRVRHQADDDEERDEWEEQRVRHRADDGEVWHEEQEEVDGPELERGRVMQEEEVEEEWDVIGITDEVLTFATNIARHPETWLDFPLLPDEEESDGPFSYFDMSDAQQEHALAIGHLAPTLAALRIELCPIHMSEECFWKIYFVLLHPRLSKHDAELLSTPQIVEARSMLMQHQSKQHATEQLRRHKDDFGTHSEDDSSKDVMETFPSVRQHAASFTPITDFEIEKHPIQVTEVAVVDKTVIKEQLRESGSKASNVMQETFDDDIDDWFDEEADLAGHTTILIGDEEDVSFSDLEDDDDDTK >SECCE4Rv1G0215430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4755981:4758520:1 gene:SECCE4Rv1G0215430 transcript:SECCE4Rv1G0215430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTCSGGCRSRRGLISCLHSLNCRDEEAPLPHDDDLQEATRDLIVGFYDEAFRRLRLPCKAMPDLYGVLSTAGLCLGLLDPVSNIILNTLALLNPKTKAPSAAAAAKTGSKKRRRPGFDPWHEVATRSCHSLMAFLVAYFGCINEEQAFRYLYRARANLPLAVMLVHHDLYHVEEPEALDPESDRTRAALEWAATSAGHHSPATLARVMAIRLKNGDLDLFKKKLFSANGTLTSEDVRAIHRVLHMMMTPMCVANISHTKDGLVVHVRQNGGDGTIWSETKPLVVSSAAADARITSTAFCCDRTAISSLQSGLPSKLLDCLRIVDGQKHILKTPCDGDACDYLQSLKMYLHGMIHNLYVEAFKLLPSPSGSLMRNILMAGHCYGPCDPLSNIILNSIWYDTCGSILSASDRTALNEYNDVLDPLSLLRLVVRSLEGLTNLALFADPQSSIACALEKLCSSKCVVLADMLSSATKNPFHEAAMAARHPMPLKLGEFHQMLLLEHDDRSKLLSHITKAQTSGGVLCINDIGDLMWALWFKFQETSMSETAAKEYAATVQALAPQFCVDADLRWRMISSARSEYEERRSWLRSKIKHVLKDYTGQHFWGPKYKLDFIFGVEEIDQGRPPLSDTCYHVNFMATPDEDVSRTLFYAELWSWPSRKPRTEFCCPLPSANVGRCYYDMICARKIVYPDDPKYIPDDITHEGTGSVDSTLEMDLVHFSSELDVEVARKYSQY >SECCE7Rv1G0511700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804816769:804817906:-1 gene:SECCE7Rv1G0511700 transcript:SECCE7Rv1G0511700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGESSGKRASAGEVKPEPEEGEVPMMQDGGGALVAAESMAPAQIDVRMDLTLLHCQSCLLPLKPPVFKCEAAGHVVCCHCRAVHSTVCSRAGTHCGELDAVVRAAKVPCAYRVFGCDRHVVYHEAAEHQRACRCAPCSCPDPACAFAGSRAALLDHFAAVHRRPAAAVRYGRARDLGLSEDGSVFLVFASLVCVRPDGEAAPQFWCKLSVERLGGCSKDSLVLMASAVSSSALSTGAPAPGQGMFLAVPQELLSGDTLTLTVRIDLIRPAAAAAAPKSTTPQARTSRRMQ >SECCE2Rv1G0142270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:939238243:939239460:-1 gene:SECCE2Rv1G0142270 transcript:SECCE2Rv1G0142270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVQSAVVQEAVSGAVSFMFSSRGEKNLMERLEMAHIKLDLALERTRMMPITIMPLLRLRKKLKDVFNECDDLLGKARDRQQVVPSLRRKIMHTVLPSFIVPNQDVLSSSVVGRFERFVEEADRFVSDVESGCSLSRYRFLSSPTRHLLEGKGLSYRKVQGSKAFSLRIRTDSMEEYGRVAWLYFDYKDRKAPLKNCELFMSLRLYESTNIVGIAAKCLQSLGHQFKSLVEDATGELIQLPTQDVSYFHSVWSQTLVEVTTYLSPDPFCCIANGLNKPCARNITSSELTSRFPEEVMFVRFACCFSASECSSRSSTDEARINAIKAWSPLQMKVYFAPHLSFLFPDGSSLHQKEEGIQTEAIDWFIHQPGMMEYCMAWFSAHGYAVFHVLKPIAKTRRASKRKR >SECCE3Rv1G0167230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:199899310:199903575:1 gene:SECCE3Rv1G0167230 transcript:SECCE3Rv1G0167230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNKAREMTLIAALFVLSCLLKTTMTSPATSAYSRDDALAWRPASSLLHELRHLGVRALLRDDAEATALASADFGNVSDAQPLAAAVLYPSRPEDIASLLRASCTHPSPFPVSARGCGHSIRGQASAPRGVVVDMLSLGCQAGGSDTRLSVSVDGRYVDAGGEQLWVDVLHAALAHGLTPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVQELDVITGLGEMVTCSKEKHADLFDAVLGGLGQFGVITRARIPLIPAPARARWVRLFYTGAAELTGDQERLIGIDLGTAVSGLMDYVEGSVVLADQGRVGSWRSSFFSDADAERVGALAEKAGGILYCLEGALYYGGAGPGGESVDEKLEVLLRELRYARGFAFVQDVSYAGFLDRVRDGELKLRASGLWDVPHPWLNLFLPRSRVLDFAAGVFHGILRRDGSTGAMGPVLVYPMNRNTWDGETSAVFPEEEEVLYTVGILRSAVSEGDLGRLEEQNDEILRFCEEAGILCVQYLPYYADQAGWEKKHFGPAKWARFVERKRKYDPKAILSRGQRIFTAPLA >SECCEUnv1G0529200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6916238:6920885:-1 gene:SECCEUnv1G0529200 transcript:SECCEUnv1G0529200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRLRPSSLRSAPAAAARRRDHILPSFCSIQRNGKGRVSLSIQASKGPTVNHCKKFLDWKYSNHRISHQSISTSANAGQSLQPETEAHDPASFWKPISSSLDAFYRFSRPHTIIGTALSIVSVSLLAVESLSDISPLFLAGLLEAVVAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYSPATGVAIVSVFAAMSFGLGWVVGSPPLFWALFISFVLGTAYSVNLPYFRWKRFAVVAALCILAVRAVIVQLAFFLHIQTFVFRRPAVFSKPLIFATAFMTFFSVVIALFKDIPDIEGDRIFGIQSFSVRLGQSKVFWTCVGLLEVAYGVAILMGATSSSLWSKSLTVVGHAILASILWSCARSVDLTSKAAITSFYMLIWRLFYAEYLLIPLVR >SECCE7Rv1G0503840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:716246381:716248773:1 gene:SECCE7Rv1G0503840 transcript:SECCE7Rv1G0503840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYDERYTPYVREAGLLPFIQLVSRSTPPNNAAALTALIDHWRPETHSFHLRTGEMTVTLQDIAMITGLPVDGVPLCMSTDSDGWRQQMEALIGMVPPEPQEPADEGKKKERVAAGAPYTWITTHFGTCPLEADEDTVRTHARVYMWYVISRTLFADGTGKNAPWMWLKALTVFNSNWSWGSATLAYLYRQLDEASCRLSGGIGGCLLALSVWSWERLPVGRPKTVKYEPWDDKHDPLRRPTWAYKWDVLNEMTDDPSVMYKLYRSEMDAITAEQVVWEPYGSGDSFWNPIEFVLNPMCTRDRELWHMRCPLICNWAVEVHLPHRVYRQFGLFQAFPPEWQDTDQVLHALDRKKQRKIKDWAKHHRKFVVEWQYAVDQARRNKGAPLRQHCPLAFNNYLTWFLARTRVEVCKPAYAEDILDDPTVFDEIARHEYNSLIRKGSSVIPSGPMMNFVRSQIKKAADETDSILETTPAGKDDGEGALRAFLKRQGQKLRRLSNLFGCRDPEYVSPERSGSATPSDPISGDGNPLDDEDVGVVTQEAPDDMVLGKYKPRSAIKLKPRREYKKYTPDDFTQKGKRAVETSRMAALDDYLDDDEDEEAEPQPERVPLPRKVKKTCVKNRGGASKRGRN >SECCE7Rv1G0508570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:773206331:773207279:-1 gene:SECCE7Rv1G0508570 transcript:SECCE7Rv1G0508570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTISRAAAAEAAIGAMIDDHAAGSRKRRRVGSTEDYELTRVLGAGGFGVVVKARHRATGEDVALKFLIRSPDGGGGGKRRRHRAHPLHRDLLREACYLAACRGHPSVVGLHGIARDPRTGQCSLVLEHVGPSLAHVLRARGRPFTEEETRRVMRQLLSGAGRMHERGIVHRDIKPGNILVGGQGAVKICDLGLAVSLASAPGRHALNAVDQLLRVSGVLGGAGQHRLREMFPEERLSRDGFGVLDGLLRCDPGERLPAAVALQCPWFTRTADVPA >SECCE3Rv1G0202110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:870170696:870173067:-1 gene:SECCE3Rv1G0202110 transcript:SECCE3Rv1G0202110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGGRPLSLRGSLKALEADIHHANTLAHAIHRAYGGTCVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDGDASISTIERRASLKEFYAIIYPYLQQIEENVMARDCKEKGWCKGGGDSGGRRKLYADDKDAEREDECGICLETCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVQSRDLWVLTGDEDVIDPVTLEKENVRHFHSFIDSLPLIVPDNLLLVYYDYLV >SECCE5Rv1G0343580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:639137219:639137842:-1 gene:SECCE5Rv1G0343580 transcript:SECCE5Rv1G0343580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASTGILLLLLAAAVAGPRCTGATEYTVGDSDGWTIGANYLAWSQKYNFTTGDTLAFNYVPRQHDVYRVTRDAFQTCEPTAGQTVRKWASGRDVVDLAATGDYYFICNITGHCLGGMKFSIAVGAPPPPPPSPPPPPAFPTTMPPPPSSGTCGRRLALPDLSRISGLAAIGLWISLLS >SECCE1Rv1G0041240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:569854796:569862715:1 gene:SECCE1Rv1G0041240 transcript:SECCE1Rv1G0041240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADIQKGRPGCRAVDAAPMADLKVVWPRYFPEETEHPVPADDPRARLVGDLVKVMELEERAKLLPRVEDDGVVLFLPIDFQQLKNLCGGTNLLDALRENPKEALLCMGAAVHLAKCSGNGLQLSDVDKVNIRLYNHTETIIALKNLKAAYIKKLVTVRGTVVKVSTVKPLVLALDFHCMKCATLIRRVFSDGKFSPPVSCIIQGCKGRTFTPVRSTAKLIDFQKIRIQELSSAENREEGRVPRTIECELTEDLVDCCIPGEVVTVAGIVKVLNNYMDVGGGKSKGRNQGLYYLYLEAISVRNSKAHVVSENSDASSTDILATGSFSFETFTDKDLKFINEYNSEHGADVFRQILHSFCPSIYGHELVKAGITLALFGAVQKHSMDQNKVPIRGDIHVIIVGDPGLGKSQLLKAAASVSPRGIYVCGNTTTNAGLTVAVVKDSMTNDYAFEAGAMVLADRGLCCIDEFDKMSAEYQSLLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRGKTVNENLKMNAALLSRFDLVFILLDQPDLFLDKRVSDHIMALHTNDRSPCSSNKRLRTVPQFNGSMGNGIDEKKLASRLRLHPEKDRDFVPLPEPLLRKYISYARSYVTPRMSEPAAAVLREYYLNLRAHSTCSDGTPITARQLESLVRLAEARARVDLREEVTEQDAKDVVEIMNESLYDKYVDEHGCVDYARSGGMSQQKEAKRLMSALNKQSELQQKDHFSRAEIRSLADKISLQVPDLDDIMERLNSAGYIVHKGQNIYQILTSSCSRTQPTRSRW >SECCE6Rv1G0386470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:96432939:96433451:1 gene:SECCE6Rv1G0386470 transcript:SECCE6Rv1G0386470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAEYPMAHGPAAAPRSTWQTPVPYLFGGLAAMLALIALSLLTLACSYWKLSGGLAGPEEDQPAGSDGEKASAAGPAREWLGHVVVIMAGDEQPSFLATPASMTSSAADGGAESAASATACCAACRSVERKMEDAREAGAQLAGSDDDTRPASPSELPSSSTSSVISS >SECCE3Rv1G0161770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:121694396:121696859:1 gene:SECCE3Rv1G0161770 transcript:SECCE3Rv1G0161770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLSRLFAPSYIAMAAPSPSPSRRLARLTRHLLASSSSSSSGELSSVGAPAAAASTPARAAASKAFAAVLICIFEDTRGDPRVLLTKRASTLSSHSGEVSLPGGKVDPGDVDVKATALREAEEEIGLDPALVSVVTVLEPFLSKNGLDVTPVIGILSDRALFNPVLNKAEVQDIFDAPLEMFLKDDNRTTRQRSWMGKTIPVQFFDYEAEGKKYVIWGLTAHILTRAASVVLQRQPSFAELPNRPENIPITSKH >SECCE2Rv1G0114360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:733817079:733818722:-1 gene:SECCE2Rv1G0114360 transcript:SECCE2Rv1G0114360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVAAGQATLGDPSSSSAAAAVVPKRRDPTPDHPPYSWMIEDAILALGEDGGSAESAISGFIRGRYPDVPAAHDRFLRYYLAKHVAEGLFVCAAPGRYSCCSDDEPQPELALTEVPTAAAGPAPPPTQPKRARGRPRKDDSLVVVKRGRGRPRKDGSQAAAVAAMAVVSAGSLSTGAKRGRGRPRKDGSPPKPASGKKFVSAAPSAMPKRRGRSRLLTEVGAADVPGEALVTDKKDSTEAPSAIDKNHGEPRELALAIVNDGSGAALVTEEDGGESPAAKRPLLAKEPAAFSTPECSTQPCKLPLVAADENSAPALASDKEGDTEAPFVKHKRRRQTCRSEPAKSTCGSSSTSIADKKAGGNAASAPPNCHGWLRKPTLKAAAGDLTPNEARSVPVKPRRKPRKQFLLNFYDEVPNNPKFCVLALPAQVPETPKAK >SECCE3Rv1G0171490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:271720725:271724257:-1 gene:SECCE3Rv1G0171490 transcript:SECCE3Rv1G0171490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSAARYEPGPAFQESGEQDKLEIPASGSTEFWLIQWPLNHVNASDFNGKEVSLELHDNGNLGNLESSSGKSYELVSFAAQQPDATVFIPSGSEMKAVGKISRSVCLVRYPEPEELEKEKPSFGSLTPGSRRSAGSSRKTMSRFSGLSKNRSSQGSALSLGQENVSSQGSALPLGQQSVEPTPKHKQKRRDESSLGSHANVSAKSSEGSHARGAGSNTSSEMPQTPVEKSKKKKKVRIQE >SECCE5Rv1G0337800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:594208170:594212979:1 gene:SECCE5Rv1G0337800 transcript:SECCE5Rv1G0337800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVPAVKEEEPPKLSTCVVSPPCFGGSDNSDLGRLPTGGSNNRAFPYPSISDLKRKAAIDSLNGFDGNFGASHAVNGPAGSQGLKPDSRNPSSRSCPKLRPSVQMPARRVIGFESGFAGFKGDSDTMVADKMHSSLVINTCHSSVEQHGPQARKRVLSPLTNVLHGHFSGDALNIGSADDKMQHSDQVRQLCSSGFQDCKKAHTASLDSFESPTWPAFGHSSWSKTQVVDKFSSNIFTDGPLVEGRESYSSSDHLEAESIVNLKRVAVAVPLPKLAHSPPLSLSPLGPKWMQRGKNVGAQRDLSREIENDFLDLKETEISIGEDGSEHGDRIRARDATGKITILHVNFDTMTPKRCSDRRLQNWVPESAPVSPRIGCLRGLSFFPVRRSLVGSFEESLLSGRYSCGKDKQNIDGFLAVLNVTGGNFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRLPTNNSKSPKLKRNSNNNDSRSSRSRLHIPIKGRIQLVVSNPEKTPLHTFFCNYDLSGMPAGTKTFVRQKVTLSSVPPSNPVKEGSDASHTKVESVQYRSELRECGTLFSECCEQGQNCYLTDESKKGGHTNRTCCSMECDISESNESSPIGSSESRTNANGCCCQIDSEKKSCCRPSKVNDSSAGGVLRYALHLRFLSPFSKKSPRSMQRTKPGMSSEPLNRSTATEEERRFYLYNDIRVVFPQRHSDSDEGQLRVEHDLPADPKYFDISN >SECCE7Rv1G0522710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879044226:879045319:-1 gene:SECCE7Rv1G0522710 transcript:SECCE7Rv1G0522710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSLDLLWGNTQVENDSSGRQTVSLSLDRWTTSAFRSKSTHLFGRFDMDIKLVPRDSAGTITTLYMLTEGPWEEHDEVDLEFLGNSSGEPYTLHTNIYARGRGGREKQYRLWFDPTQDFHTYSILWNPKEILILVDGTPVRQMKNQQRKDIPFPLYQPMRLYSSIWNAEDWATQGGRVKTDWSQAPFTSLFRNYSAVSCVSQKTAWICGRGSSDSSWFTHVLDEEGQRKLKEVDEKHKIYDYCLDSWRYPDGYPPECGSQ >SECCE2Rv1G0127180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:851514578:851517052:1 gene:SECCE2Rv1G0127180 transcript:SECCE2Rv1G0127180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRVFLMLATLPWIALSDGDHRSVMWRGDSFAVEDASSSASSGRHALVSPGGNFSCGFRKVATNAYTLAIWFTASADATVAWAANRDAPVNGRGSRAELRHDGPLVLRDFDGRVVWSTNTSDAGAERAELLDSGNLVVSDASGRALWQSFDWPTDTLLPGQPITRYRRLVSAAARGLPYSGFYNFYFDSNNILNLMYDGPEISSNYWPDPFNKWWDNNRTAYNSSRYGSLSARGRFYASDNLKFNASDLGDAGVMRRLTLDYDGNLRQYSLDVSSGKWRVTWAAMSRQCDVHGICGRYGLCTYGPGGAPVCSCPEGFVVANAGDWSKGCRREFDVRCGEDVYFAPMPAADYWGFDFNYTEALTFDTCRQICLDDCNCEAFGYKQGSGKCYPKIALWNGRRPYSNQVIYLKVPRRVQNLDPSVLRFGGHRCTVREVNASSSASYLLAAGSKLNFVYFYSFLAGLFVMEAIFIAVGYLFVFRADPAARRIRDEGYSLVLNHFRRFTYDELSAATSEFGEEVGRSASGAVYKGVLEDGRSVAVTRLEEVTQADEVFRSDLSVIGRINHMNLVRIFGFCSEHSHRLLVSEHVENGSLDRALFLDGEGGAAALGWHARYGVAVGVAKGLAYLHHECLEWIVHCDVKPENILLGAELEPKINDFGLVKLLSRRDERGRVLSRVQGTRGYVAPEWALNLPITGKADVFSFGVVLLELLRGQRVCDWEVDGGGGEALRMDFPRLVALLREETRDLQEAWLEEFVDARLRGDFSHPQAAAMLEVAVSCVDDDPGRRPSMDAVVQMLLSSQDVVPPSLRHASSPVPEISRMV >SECCE7Rv1G0499260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:652410183:652413757:1 gene:SECCE7Rv1G0499260 transcript:SECCE7Rv1G0499260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGAGALLLLALLAVAAAAGVANAAVSYDHRAVVINGQRRILMSGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPARGQYHFADRYDLVRFVKLAGQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAEMQRFVEKIVSMMKSEGLFESQGGPIILAQVENEYGPMESAMGAGAKPYANWAAKMAVATDAGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNSNSKPTMWTEAWTGWFTAFGGAVPHRPVEDMAFAVARFVQKGGSFVNYYMYHGGTNFDRTAGGPFIATSYDYDAPIDEYGLIRQPKWGHLRDLHKAIKQAEPALVAGDPTVQRIGNYEKAYVFKSSTGACAAFLSNYHTSSAARVVYNGRRYDLPAWSISILPDCKTAVFNTATVKEPSLPARMNPAGGFAWQSYSEDTNALDSSAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSSEQFLKSGQWPQLTINSAGHSVQVFVNGQSFGVAYGGYNSPKLTYSKPVKMWQGSNKISILSSAMGLPNQGTHYEAWNVGVLGPVTLSGLNQGKRDLSNQKWTYQIGLKGESLGVNSISGSSSVEWSSASGAQPLTWHKAYFAAPAGSAPVALDMGSMGKGQIWVNGNNAGRYWSYRASGSCGACSYTGTFSEAKCQTNCGDISQRWYHVPRSWLKPSGNLLVVLEEFGGDLSGVTLMTRTT >SECCE3Rv1G0213730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:962070321:962073322:-1 gene:SECCE3Rv1G0213730 transcript:SECCE3Rv1G0213730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSASIVEVACDSICDSDSEVVAELAPILGVANEVEASNPRVAYLCRFYAFEKAHRLNPLSSGCGVHHFKTTLHQRLERENDPTLKKSDARKVQKFYQQYYKKHIKAVQNAAVEADRAQLTKAYQTAAVLFEVLKAVNGSQNIQVDKPILETHKRNQVDPDSAIIRYPKIHAAYHALRDTRGLPWPKDHEKKADADLLEWLQAMFGFQRRSYVSNQREHLILLLASMHIRQISKHEQQPKQYFQLDDHVLDTTMDKLFKNYKRWCKYLGRKTSLWLPTIQQEAQQCKILYMGLYLLIWGEAASLRFMPECLFYLFHHMAFEMYSVLAGNVSPITGESVRPVYGGEEEAFMKKVVAPICKIVEMEAERSKTIKSKHSHQRNYDDLNTYFWSSDCFRLGWPMRADADFFKKRSNLCELCSSSTPQVCVRLCFILATVRCWVQQSRRRRWWSGRGSPEFLWSSTVSDGAVSDLEYCLLGNK >SECCE2Rv1G0119310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:790331803:790333013:1 gene:SECCE2Rv1G0119310 transcript:SECCE2Rv1G0119310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACAAPPCVRISCSTPSLAALRRPRRRRVSSARCSLAAAPGLRAPAELVDSILSKVKGTDRGVLLPEEGHQEVADVALQLGKYCIDEPVKSPLIFGDWDVVYCSVPTSPGGIYRTPLGRLVFKTDDMVQVVEAPDIVRNKVSFSIFGLDGAVSLKGKLNVLDSKWIQVIFEPPELKVGPLGFQYGGESEVKLEITYVDEKIRLGKGSRGSLFVFLRQD >SECCE5Rv1G0324300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:445367327:445371371:-1 gene:SECCE5Rv1G0324300 transcript:SECCE5Rv1G0324300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSTTRFSPSEIARMEKLVSSDKKEQVLVDSFCQKLVEEFNRSPARVGSRALQATQVQGWFLDKLPASTPKLASLPTTSEEKPLASEPDALVSEIKTSVSEEKALALDTSISNNEDALSPDLPKETTDKVPEFEDLQFEAKSSKDSAWYDVALFLAHRKTSLGEVEVRVRFIGYGAEEDEWVNVRRAVRQQSIPLESSECRSIVKGDLVLCFKESNDEALHFDAHVVDVQRKQHDIRGCRCLFHVEYDHDQSQEMVNLKRISRRPRYL >SECCE6Rv1G0448530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:856759194:856759742:-1 gene:SECCE6Rv1G0448530 transcript:SECCE6Rv1G0448530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGSSSQVFIMPAAGHVNYLLAGGSGGGDDPRYPWIFKSMHEVDAVVPAIARAGKRPVAVPSGPQVEPYGCPICFRIFATAKAVHGHMRSHTDRSWRGMEPPRPPPLGLGEVRYPYMCDRCKMPFQTRQALGGHRASHNGKKGCSWLEREELAVAEEAQKPIVFDVDLNLPAPEAEDQDGE >SECCE5Rv1G0325060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:460226662:460227277:1 gene:SECCE5Rv1G0325060 transcript:SECCE5Rv1G0325060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTSLTEVAPPSVLAVVLEDEDEDEQAKAVVQAEEGGADGGQDWLAALGGGSGVPSTDWLAAYRARAAPARAGLRRNSADYSKVETAAFLRHCGLCRRLLGPGRDTFMYKGEAAFCSLECRQQHITHEEWKDKCTSRSMSEGAAPATSRGRSGKTDTGGTVAAA >SECCE1Rv1G0012350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:91817300:91821893:1 gene:SECCE1Rv1G0012350 transcript:SECCE1Rv1G0012350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAGAEGAAAGCRARAALLGAYELGRTLGEGNFGKVKHARHRATGEHFAVKILDRGRVLSLRGADDQVRREIATLTMLAHPNVVRLHEVAASKTKIYMVLEFVDGGELFDRIAIKRKLSEREGRRLFQQLIDGVSYCHGKGVYHRDLKPENVLIDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYIMLVGHLPFDDRNMVVLYQKIFKGDAQIPEWLSPSAQNLLRRILEPNPRKRINMAEIKIHEWFQKDYIPAAPYDDDDEDVRLAAILPTKQQISEAPGDKSTHQMNAFQLIGMASSLDLSGLFEEEGVSQRKIRFTSAHPPKDLFDKIEVSATQSGFHVQRAHSKLKITGNCNGPNNPTPFLVCAEVFELGPSLHVVELRKSHGDTAVYRQLCDRISSDLGIDKIFGMGSLFDDNLPSFDSRAATPLVAL >SECCE6Rv1G0436590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778567968:778572584:-1 gene:SECCE6Rv1G0436590 transcript:SECCE6Rv1G0436590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISHSSSGPGESAYNTFSSRYARVELPRYRMPENSIPKETAYQMITDELMLDGNPRLDLASFVTTWMEPECDKLIMDSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPINEDEKAIGVSTVGSSEAIMLAGLALKRKWANKRKEEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLTEGYYVMDPSKAVEMVDENTICVAAILGSTLTGEYEDVKLLNDLLVEKNKETGWNVPIHVDAASGGFIAPFLHPELEWDFRLPLVKSINVSGHKYGLVYPGVGWVVWRSKDDLPEELIFHINYLGTDQPTFTLNFSKGASQIIAQYYQLIRLGFEGYKHIMENCQANAAVLREGMEATGRFSIVSKEDGVPLVAASLKDSTKFSVFDVSENLRKFGWIVPAYTMAPDAEHVAVLRVVIREDFSRSLAMRLLADIDKVLHELDAHAAHAIKVTDPTATENGNGVNGDDTVTQKSILGTEKKFVAACMSLVKKNKKTGVC >SECCE1Rv1G0062340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719399788:719404203:1 gene:SECCE1Rv1G0062340 transcript:SECCE1Rv1G0062340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKLCLCFLLLCVHVHATALSFNYDSFISGDFREEDDARITDGRIELVGDEAGGRARGRVLYKQPVQLWDVVTGEAASFTTSFNFSIQSLPGRSSTSGHGMTFFVAPYMPEMPQESYDGCLGLFDEKHAPENGTTAISANASGSASFVAVEFDTHHDAWDPSSRHIGVDVNNVDSRGNFRILPEGSLVDAGVMSSTVNYDSATARLDVVLRVSGAQYALGATVDLRSLLPEQVALGFSAATGDAFGSNHTVLSWSFHSTLPTRNDTPLPSTSSSSTRKATLQLRAGVAAAAVLVLLLTVAVAVLLRRASRRNRQPDDKDMLAGDMTPDSLDADGDEFGSSTGPRPIPYANLAAATRNFAEEGKLGQGGSGSVYRGHMKELGGRDVAVKVFLRGASLEGRKEYRSEVTIISRLRHRNLVQLIGWCHGRRRLLLVYELVHNGSLDGYLYSKCQDHVLTWQVRYQIILGLASAVLYLHQEWDQCVVHGDIKPSNIMLDDSFNTKLGDFGLSRLIDHGMSLQTMTAMAGTPGYLDPECVITGKASTESDMYSDGARDGQVFRLLEWVWDLYGRGAALDAADERLGGVFDRWEMERVVAVGLWSAHPTRTQR >SECCE5Rv1G0343920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:642665312:642666265:-1 gene:SECCE5Rv1G0343920 transcript:SECCE5Rv1G0343920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRRRRRRKNSCPVPEREFDIDAVPGELLELVFMRLPSPAHLIRAASTCRRWRNVIAGDGGGFLRRFGSLHGAASDHVVGHYRVDERYGHPRPPGLNPVFVPSSSSSLPWADAVANRNVSLDFLPRAEFGDCGWELADIRGGLLLLFHSELAPGLLVCDPLRRSYREIPRSAWFHGCHMLGAFLLEGEGEGEEDASISLSNFRVTCALFRFGDRNARACAFSSVGGGWTSGAGRSSTPVCHDRELAPIYFAGSSKRAAYWTVGDNVILALHKENAELFSGIVLGDAQYALLRDKRHAREYAYQLPWPPTIEACVT >SECCE6Rv1G0430070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739695219:739695830:-1 gene:SECCE6Rv1G0430070 transcript:SECCE6Rv1G0430070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPSLHLFIIMLLIPWPFSSVRICAPDSMLVPGMGLTPGKTLISDQGSFALGFFPPSNSTENIYVGIWFNDIPLRTIVWVANRDNSITVASSAVLSMADNSSLVLSKTNGHHILWMANAITGSNSSAKLLNNGNLVVLSPDGAMLWQSFENPSDTILPGMPMRTTHKTHPPWRMISWKGPEDPSKGKFSGGNDLDTPLQFFV >SECCE5Rv1G0337490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:591790134:591790523:-1 gene:SECCE5Rv1G0337490 transcript:SECCE5Rv1G0337490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAEKSSATRKAGLINKTLDRCWSTPVRNKPAEGCLSVYVGAGRQRFVVRTECVNHPLFQTLLEEAEEVFGYAATGPLELPCNAEAFARVLEQIEEEKQMAGGRRCGLARGNSYRLLGTSRAVIIDRS >SECCEUnv1G0529890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9615611:9617699:-1 gene:SECCEUnv1G0529890 transcript:SECCEUnv1G0529890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMAPLGVKKERAAEYKGHMTFAVAMACIVAAIGGSIFGYDIGISGVNTMDPFLQRFFPVVFRQKNSASLDNYCKYNNQALSAFTSILYLAGQVSTLAAAPVTRNYGRRASIICGGISFLIGAALNAAAVNLTTLILGRVMLGVGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFSANMINYGTQKIKPWGWRLSLGLVAAPALLMTIGGILLPETPNSLIERGRVEEGRRVLELIRGTADVDAEFTDMAEASELANTITHPFRNILERRNRPQLVMAVCMPAFQTLTGINSILFYAPVLFRSMGFGANWSLYSSMLTGAVLLFSTLISIATVDRLGRRKLLISGGIQMIVCQVIVAAILGVKFGADKQLSRSCSIVVVFVICLFMLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQVFLSLLCTFKFAIFIFFAGWIAVMTAFVYVFLPETKGVPIEEMVMLWSKHWFWKIIMPAMPTMPLEDGLGPSDIC >SECCE3Rv1G0150750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:34031056:34031289:1 gene:SECCE3Rv1G0150750 transcript:SECCE3Rv1G0150750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE7Rv1G0466380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:78482304:78489987:1 gene:SECCE7Rv1G0466380 transcript:SECCE7Rv1G0466380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARTGFAAAAGATAKQHPAATSSLFALLSLSLLLLRLLLRLRLAAFRDAALTLHLLARLRIRPVTLRLPGPHATTLRVWCPASPSAKPPLLLLHGFGGDAKWTWARNLAALSRSFHLYVPDLVFFGSHSRSASPLRSVAFQARCAADAMRLLGVGRYDVAGISYGGFVAYRMAAVEARDCVARVVVMTSGVAATVQEMGELAAREEMAVEDALLPDSAAGLRRLVHRSMHRPPPWLPDFVLHDFIQLMFVDQRKERTELLRELLRSGAGIDPLPALTQKTLVLWGDKDQVFPVDLGYKLHRHLGKESRLEIIKDAGHALQLEGADKVNSFIKSFFLEERNEPEFGTT >SECCE2Rv1G0073880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:72486868:72487860:-1 gene:SECCE2Rv1G0073880 transcript:SECCE2Rv1G0073880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETKAVAAVPVAASPVEDVRSKTVAAAVPVEVEEGRSKTVTAAVPVEVEEGRSKTAVEASPVEVEEARSKTVVLVAVDDSDASYLALEWAVRHVAATAGMAGAGAVELVVLHAKPPTSLAVNVGGPGVPGDVVGLVEEDLRKKAEGVVDKARRLCAANSVQSVVDVVDGEPKHVLCDAVEKHHADLLVVGSQGYGAIRRALLGSVSDYCAHHADCSVVIVKQPGSKN >SECCE3Rv1G0170510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:254923336:254923725:-1 gene:SECCE3Rv1G0170510 transcript:SECCE3Rv1G0170510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTTMFLMALALALIAVAHATPPGLRRSRFLAGKAPPPPLSYYDCVRKPPSVCLEPGSPGNTCCKGTCTNTLSSVEHCGNCNKKCKYGDTCCDGKCVDLLNDKKNCGECSNQCAKSVKCEFGMCDYAG >SECCE3Rv1G0186690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:689063051:689066299:1 gene:SECCE3Rv1G0186690 transcript:SECCE3Rv1G0186690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAQLLRTIPAATVFPRRLPQPHPAPPARLLPAPGQQPSYFYATQSAAEPAARPPKVPRPLRTVGSLLRLNDIRDNPGATQQKTRKGRGIGSGKGKTAGRGHKGQKARGTARFGFEGGQTPLRRRLPRRGFKNRFSLTFQPCGLGKIAKLINAGKIDSSELITMKTLKDTSAIGKQIKDGIRLMGRGAEEIKWPIHLEVSRATARAKAAVEAAGGTVRLVYYNKLGFRALLKPEWFAKKGRLIPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPDELEFTAKREAAKVIAV >SECCE5Rv1G0361300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:778527617:778528957:-1 gene:SECCE5Rv1G0361300 transcript:SECCE5Rv1G0361300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILHLFLPLLCCLAAARGSVDFRADLNHPYAGSSLSTAHVIGHAARASKARAARINARLARALGQGGAISAAHVPLAPLGDEGHSLTVGIGTPPQPRTLIVDTGSDLIWTQCELSRGRTARQREPPLYDPRKSSSFSFLPCGSRLCQEGQFSFKNCTGNRCMYDELYGSAEADGALASETFTFGVQRSKGKVSLPLGFGCGALSAGSLVGASGLMGLSPGTMSLISQLSVPRFSYCLIPFAERKTSPMLFGAMADLRKYNTTGPIQTTSILRNPAMDTFYYYVPLVGLSLGTKRLGVPAASLAIQPDGSGGTIVDSGSTIAYLAGTAFEAVKRAVLEEVRLPVFNGTVEDYELCFALPSGVPMAAVKTPQLVLHFDGGAAMALPRDNYFQEPRAGLMCLAVDRSPEDLGAPISIIGNVQQQNMHVLFDVRNQKLAFAPTKCHDI >SECCEUnv1G0571320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:502390030:502390275:-1 gene:SECCEUnv1G0571320 transcript:SECCEUnv1G0571320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSVKIYDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMALSY >SECCE3Rv1G0152920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:51257792:51259136:-1 gene:SECCE3Rv1G0152920 transcript:SECCE3Rv1G0152920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAARRASSLPILRRASTLLRPTSSSLTASRWSSSSSLDAAVSSLESSGASLIAALDSYRHSTVTEESARTVLAPLRAFMTETEALGATMFDLELLGAIDSAIKSRNKEGATPTELRCLIAKIEETGDYFPFAISENKCRASITLTRTLKGEKIEVVASKPYLDDDDDENNSSCTSHEDVEESFSSDKNIKEDDGEKNTSSSCINLEVNISKGDGSKLAFTCFACPDNITIHSMCMLSRTAKDDVQATYYDFDKLDENLQKSFMKYLDLRGVTPRTTNLLRGYLSSKVQSKDLFWLTKLQDFVKKD >SECCE2Rv1G0138830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:923569701:923570832:-1 gene:SECCE2Rv1G0138830 transcript:SECCE2Rv1G0138830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYLIELLRDHDVPKYRTQNAWSKEAWTSIVGKFNQRFDVSYTVVQVKQKEQDLKRDFKAVKDLISESGFGWDRDRKMVVAPDNVWAALEARKNKDALTWRGRSFPYYEDLFSLYDGHYAQGRSFHGMDYYARKAAQLPQLPTSHSPQLQGPEPDLHTPTPTIHAPGDSSMQFDIEEDSENTNWFSSNNTLSQVEANFGQGNDLALHAPQDEAIPISSQHVGQTLHEIPQVVHHNPRPSSSAPEVTSTKRAKKQKTTSIDDFHERYLKLRREEIDRYAAIEERKLKDPFSIKKCIRALERLEGLSMADMLKAADIFTANKENREVFLSFSSNELRLGWLTGKVRNT >SECCE7Rv1G0499150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:650370704:650371472:-1 gene:SECCE7Rv1G0499150 transcript:SECCE7Rv1G0499150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGNLEMWLHPADHEHGERNTLSLSQRINVALDVAFALDYLHNQCASPIIHCDLKPSNILLGLDMAAYVIDFGLARFLFSAPNAHQDSSISACHLKGSIGYIPPEYGMSGQISTKGDVYSFGVLLLQLITGCSPTDDKFSDGINLHEFVDRAFTKNIHEVVDPTMLQDDRNAADLMKNCVIPLIAIGLFCSTTSPKDRPDMGQVSTEILRIKHAASHMRAR >SECCE2Rv1G0085700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:196096618:196098454:-1 gene:SECCE2Rv1G0085700 transcript:SECCE2Rv1G0085700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK11 [Source:Projected from Arabidopsis thaliana (AT5G55560) UniProtKB/Swiss-Prot;Acc:Q6ICW6] MPPNPTPQRRAQQQQHHHPVTAGGRGRNSGQEASMASDEEAFQEVDPTGRYGRYAAVLGHGSVKKVYRGFDQEEGIEVAWNRVRVRALAERDPSMVDRLHAEVRLLRSLHHEHIIGFHKVWLDRDAGVLNFITEVCNSGSLREYRDRHKHVSHKALKKWARQILEGLDHLHTHDPCIIHRDLNCSNVFINGNTGQVKIGDLGLAAIVDKDHTAHTILGTPEFMAPELYSETYTESVDIYSYGMCVLEMVTREMPYGECESVMQIYHSVTNGVPPAALRRLRDPGMRAFIQRCIGKPRNRPSAADLLRDPFFDGIDDDTTGTLS >SECCE5Rv1G0303340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:48092464:48094128:1 gene:SECCE5Rv1G0303340 transcript:SECCE5Rv1G0303340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEHGKKPPAIAAAELCSLRDKLVGLRPVLLRAAAILATAVAAVVMGLNRQSYTAVVAIVGTRPLTQTFTAKFNDTPAFVYFVIANAVVSLYNLVVLLTRRCLVQGRAQHLVVHRMDMVIMVLLATGAATAASMAELGKNGNLHTRWNPICNKFGSFCNRGGVSLVSSFVGVALMLALNLLSAAATSPRAVVAGQ >SECCE5Rv1G0349940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:687344911:687347283:-1 gene:SECCE5Rv1G0349940 transcript:SECCE5Rv1G0349940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLATDVRLSIAHQTRFALRLASTISSNPKSVASNAAFSPISLHSALSLLATGAGSATRDQLVATLGTGEVEGLHALAEQVVQFVLADASSAGGPRVTFANGVFVDASLTLKPSFQELAVRNYKAETQSVDFQNKAAEVTTQVNSWVEKVTSGRIKDILPPGSINNTTKLVLANALYFKGAWAEPFSSYGTKNDYFYLLDGSSVQTPFMSSMDDDQYLSSSDGLKVLKLPYKQGGDNRQFSMYILLPEAPGGLSSLAEKLSAEPDFLERHIPRQRVALRQFKLPKFKISFEIEASDLLKPLGLQLPFSDEADFSEMVYSPTPQGLRVSSVFHQAFVEVNEHGTEAAASTAIKMVPQMAKPPSVIDFIADHPFLFLIREDISGVVLFIGHVVNPLLSS >SECCE6Rv1G0407340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555870239:555872823:1 gene:SECCE6Rv1G0407340 transcript:SECCE6Rv1G0407340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFQGEEPAHDFLSLRARGSSAFPHRQRPNQQVLKSLEPARQSDGGGGGAGDAGDGAGSSAPGLGEHVLPGGVGTFSIMQVPHAQPREEAGIGREASVSASHGSRMEVAHEARSGIMVRSAPPTPTMWQDSSTDNKRSRGSRAEGRSSGSSGDQDPSSPRSKHSATEQRRRTKINDRLDILRELLPNCDQKRDKASFLLEVIEYIRLLQEKCQKYESGIPDTNHVDDNCMPWDKVYYRSRWRNTQNISQVQGGGLSATAEDMNKEQYSSKGIASAPAASLFSTQSVRETSTAPSSSQNIAETSMPSNQLPWLSMSTMNQNCDASNRMLGKHDTQRLQDDSQSLSSAYSQGLLHKLKEALQKSGVDPSQTKISVEINMDRQARPNAHIQDSSKANEGKEPVHVAKRLRCD >SECCE1Rv1G0005190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:24603006:24605014:1 gene:SECCE1Rv1G0005190 transcript:SECCE1Rv1G0005190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGEEGGEHGCTEQALPPATGRRDEGALSRLTLPFRAIVSMASLGAWTFRAIVSMASLKKQEQDQQPMASLPGDVIFEILSRVPYKSLYRFKCVSKPWLALCSDPKIQSISPKALTGFFYSDENDGKTLLKKDNPGALHFHSLSRGGPPPAYPCLPFLRGSYKRFMIAQCCSSLLLCRCSKSYKQQRRGQYEYIVCNPLTEQWTVLPPIELPEGMTSHLLDLNQADRFLGTVDQSSFVVFATMIDLLERVTQVAIYSSGTGQWTFMRSGWGPETVFAVGVAHVFLNGIMHFTTIHPSVVTVDMDGRVWREIQVPHGFDVADPYASGFIGNSRGRLHSWRIDYDYQLSVSVLEDYEDGGKWTLKHTVNVLGLFGRHHQEADDSYEMFAIHPECNLIFLTDWKGMIVSYDMDSQEVCVICASGDFFCGLPYSPCSAKWSSDGH >SECCE5Rv1G0334820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:568263315:568266369:-1 gene:SECCE5Rv1G0334820 transcript:SECCE5Rv1G0334820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPCDPKNVHLKQMEEARENLHLFKADVLDYDALTRAFEGCEGVFHLATPVPEDKIVDPEAGSEVLAPAVKGTSNVLKACSAMKVQKVIVLSSNAAVDFNPNWPQDRLKDESSWSDKDFCQENEDWYSVAKIVAEQASLEYSEKHGLNVVTLCPPLVFGPLLQPTVNTSSKFLIYVINGGPDVMSNKLWHIVDVRDVADALLLVYEKPESSGRYICSPNSVCTKDLVDLLKKMYPQYSYVNNIVDVERKAPLSSQKLKGLGWKPRKLEETLADSVDCYEKAGLLQGPCRLPHLFRLAGDQ >SECCE7Rv1G0508800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:774654752:774658457:-1 gene:SECCE7Rv1G0508800 transcript:SECCE7Rv1G0508800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPWDSTSSSLWGTLGQASNVAQLVGVDALGLVSMVVQAALAARRHRDACVRLAQHVELVGGLLRELELAELMRREATRRPLEQLRGALRRCYELVTACQDCGYLRRLLLGARMAGELRAAQHEIDMFIRLIPLISLVDNSTNTRRVKQAEEGVLSVVTDNSSSHIRSVLKPYPAKALEFSEIRVQGATELCIVGENPSVGKVDLQEQKIFNIEELAELCTRIEETCVGFAKFNFFQIVDATDNFSERRILGFGGFGTVYKGQLPNGLMIAIKRLDEHATIFDFDSELQLAKLQHTNLIRLLGWCIHGKERILVYEFMQNSSLDHYISDRTKGQLLDWSRRFKIITGLTQGLIYLHKGSMSCLVHRDLKPHNILLDYNMSPKITDFGSARTLSSDVAEGRTSRVVGTSGYKAPEYASRGVYSTKTDVFSFGVLVLVIISGRKNTILEKQEDTVGDLVRDAWHMWKDQRLHELVDPLLGDRYEVAEITRCAQVALLCAQEDPADRPTMTDVAAMLNSESIICLPMEPKQPAALIHGCADRGTTSTYMVQSSRTIEVTITSSAPMSTRVRIILDPEV >SECCE3Rv1G0207760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:924265235:924272123:-1 gene:SECCE3Rv1G0207760 transcript:SECCE3Rv1G0207760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHYSSSAPSPAAAAAAEAAAVVGSSVIPIVNKLQDIFSQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAERDEWGEFLHHPGRRFYEFTDIRREIQAETDREAGGNKGVSDRQIRLKIYSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMILSYIKHKTCIILAVSPANADLANSDALQMARQADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLRLGYVGVVNRSQQDINSDVSVKQALAREENFFRTQPAYNGLAKHCGIPQLAKKLNQILVQHIRTILPGLKARISSQLTAIAKEHAFYGDPVESKAGQGAKLLNILAKYCDAFSSMVEGKNEDISTIELSGGARIHYIFQSIFVKSLEGVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCADFIYEELVKMSHRCLCNELQQFPILRRSMDEVIGKFLRDGLKPAQDMIAHIIEMEADYINTSHPSFVGGSKAVEQAQQQVRAARLPATVVRRDGVDADRPQASEKTQKARALLGRTTGVNGVITDQIQGVRSAAEAERPGSSGSGSTSFWGSIFTSSEDRAPSSARGSSTNKSYASATPNLEHSFSSIQLKEPPLVLKPSENHSEQEDLEIAITKLLLQSYYNIVRKNVEDFVPKAIMHFLVNHTKRELHNFLITTLYREELFGEILREPDEITTKRRQIRDTLKVLQQAYKTLDEIPLEAETVERGYSLDSDATGLPRVHGVYDGSSPYSTPKQTRPRKSSHSGEQQQPFSGNGF >SECCE7Rv1G0512560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:813156542:813158953:-1 gene:SECCE7Rv1G0512560 transcript:SECCE7Rv1G0512560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRTGFDKFKTEVYDQKPELFDPLKDNQEPTYLLFACADSRVCPSVTLGLEPGEAFTVRNIGAMVPCYCKNKHTGVGSAIEYAVCALKVKVIVVIGHSRCGGIKALLSLKDGEDDSFHFVEDWVRIGFSAKKKVKDECRDLPFEDQCAILEKEAVNVSLQNLSTYPFVKEGVANGTLKLVGGHYDFVSGKFDTWEL >SECCE4Rv1G0283820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836482232:836489745:-1 gene:SECCE4Rv1G0283820 transcript:SECCE4Rv1G0283820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNESTEPRPLPLSVIESITDSFSDDMEIGRGGFAVVYKGVLFNGLIAVKKLLNTHIHEDKFQGEVKCLMKVKHKNVVRFLGYCADTQGRMVDYEGDFVMADVRQRLLCFEYLHKGSLHDYITDAYSGLEWKERYEIIKGICNGLKYLHDNQIVHLDLKPANILLNDNMVPKIADFGLSKCFSEDQSQINISKLAGTPGYLAPEFYGGKITPKVDIYSLGIIIAEMLTGQKGYTKMEKILESWSYRLDKSNGETQLEQVRVCAEVGKECTEYNPAIRPDPGSIIRRLEETESMNGFTEHVVSSSFMKQVGLLTAHDLERMLLDEDAEPKNLPLSLLERITSYFSNDKEIGKGGFAVVYKGLLGDGMVAVKQLFTTIEIDENKFYKQVSCLMNTKHKNIVRFLGCCSDTQQKRISYNGKFVSTNVQQRLLCFQYLPKGSLHDYITDVSRGLEWRKRYQIIKGICEGLYYLHQNSIIHSDLKPTNILMDENMLPKIADFGLSRCFEENQSWTITTKFIGPMAYLAPEFYSGKVTEKSDMYSLGVITMEILTGEKGYTDVENVLESWKGRLDKSQGDIHTQLEQVRVCAEVGKECTDYNPKMRPDPHSIITRLDEVELTDVPTETSEASSSVWHSRTLTADYLLRMLLDENAEPMALPLSLLEEITNYFSDDQEIGRGGFAVVYKGMLGNVTVAVKKLFGDINERSFTREVQCLVKAKHKNIVRFLGYCVDTQGEMLSYKGKLVMSDARQWLLCFEHLPSGSLSHYITDASGGLGWRTRYKIIEGICQGLNYLHQIRILHLDLNPGNILMDDNMVPKIADFGLLMRFTQQQTHTTRVASTMGYMPPEYFNGYFTFKTDIYSLGVIIMEMLTGKKGYCDVEDILESWSNRIDMSLELEQVRVCAEIGIECTDFNPVRRPTIHHIIDRLVEMEIDG >SECCE4Rv1G0273110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:773918749:773924176:1 gene:SECCE4Rv1G0273110 transcript:SECCE4Rv1G0273110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRALADSLSSLLFAPPPMDAEPSAAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDAEAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQDKIAKWQTQVEERLRVLGRRSGAAAPVPKKIIANNQVNRPERPASTSSRKSALQSSPTFNRGGQASSHQKNSVGGTKPVQRAGGKDDDKLVEMINTTIVDRSPSVRWDDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPAKGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVERQPSVIFMDEIDSVMSTRLASENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKNQLKGQAFKLSNHDLERLAVETEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLRYDDFRNAMTAIRPSLQKSKWDELEKWNDEFGAS >SECCEUnv1G0564300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:382216763:382218319:-1 gene:SECCEUnv1G0564300 transcript:SECCEUnv1G0564300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVVERWAGLGSAVATVIFLWSVVQNYVPPTFRLYLTTWAAKLAACFNPYLQITISEYGAERFQRSDFFLAVEAYLSDACARRARKLKAELGKDSKNLRVSVDDHEEVTDDFSGTTIWWYASKRQTKANVISLYPGQDERRFYRVVFHRRHRDLVVDSYLPSVLDEGRVVTIKNRQRRLFTNNASGSSNPYRSKSVWSHVPFEHPATFDTLAMHPDEKEAVIDDLMAFQESKEYYAKVGKAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKSNTELRKLFIETTGKSIIVIEDIDCSVDFTGKRRKDKASSDKDSDNDDKPKLPIEPEKDDATKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCRFDGFKVLAKNYLDVIEHELFGEVQRLLEETDMSPADVAENLMPMSKKKKRDPDVCLIGLIEALKQTKEEAAAAKLKEAEEVQAKKAKEEEEIEVKKAKEEDKEKDNAPEAANEDIKQGDK >SECCE7Rv1G0462000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:41767900:41768298:-1 gene:SECCE7Rv1G0462000 transcript:SECCE7Rv1G0462000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSTIACFLVMLALFKSTSADCQLGHIKLLYCTKPVCKQECSSEWQDMKLYEYWCNRFFFGTCYCKICYD >SECCE6Rv1G0423310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695276856:695278410:1 gene:SECCE6Rv1G0423310 transcript:SECCE6Rv1G0423310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLPGEIQACNGDRLSELPVDVLLNILDRVGTLDAVKTCILSRKMQKLPTMLSQIVIDLSPRDLFQKSDVVADVTKKILSRRPPQITVRKLKLKFVLSPSCCLSIGKSVGLAMATQKFDAAEFEILTPKDSLICTDAHRVLFAKQFNNFFRDYPDAFAGLTRLHLRNMSFGESDIPNILRYCKVLESLSFFMCGAGICSVLHVEHARLTELFISYGQFKRVELNCLPKLQQVTFGNWTCGCSKKSNWPCDESPLVLGFVPQLSKLSLPKACISRKTLNLSQLLANVPTISDLHLEFRSEKVWIQPECPKVLAPVLTQLRSVSLDNLPEECDISWTMFLLEAAPSVEDLRITVWDHKCRQESQKCRSRKMDVNWEPSDPDFKHKNLARLTIYGFQSDDNFIGYVRRVIQAAANIREVSLHDRKVCRLCSAKFPHLGVRPSSYLRTSEEVDFLMKYMTAVTTTATAATSPDIHFCS >SECCE6Rv1G0416080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:646657058:646660070:1 gene:SECCE6Rv1G0416080 transcript:SECCE6Rv1G0416080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPRGRPRTKRPANDSEAAVAASASPADNLEEEGLGDENEATQKRVRTAQPSQLPLPLQDMYVNIINEPLPLGLRLKKSPSFVDLICTCLSKENSTKDSPAMKDVDSKPPLKKDVIKASNFPANFLKIGNWEYTSQYEGDLVAKCYYAKHKLVWEVLNDGLKSKIEIQWLDITALKATCPEEGDGTLELMLSRPPIFFQETDPQPRKHTLWLAAPDFTCGQAIMCRRHVLRCSSSVLSRNFEKLIQCDERLNELSQQPAIMSDSPFIGAISSIFGNPNESAGPSSMFAHYVSPCDASSSVVQRNGVKHHQPLNLGVAASDVQAKIVAQEQKNIDACNHASPEVPKEFREIAESLLSDTHAPPTADEKYLMARVDSLSNLIEKGDAPSTIPVPERNDTIAAGGVGYDAFAEELGFCFEDEEQWAPAGRTVDGGAGPSAISRQDPLADMLENLPRMPSMSEFLFDIAED >SECCE3Rv1G0165540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:175651501:175651853:-1 gene:SECCE3Rv1G0165540 transcript:SECCE3Rv1G0165540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCGGGGDRDREHGCRPLGFLLGLPFALLAVLVSIVGAIIWIIGLPISCICPCCLCVTLLLEAAVELVKAPLHVMTWFTSKIPC >SECCE2Rv1G0120840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:802580572:802587778:-1 gene:SECCE2Rv1G0120840 transcript:SECCE2Rv1G0120840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRERKYIQIIKIWDLLSQHHVARTVQRLEVVFSMYTDDYLDHCRRVQTLGKLEVPMVWNVEHDIIRFKKDCRVDAQEEHDVVDSSHAMENSKVSESFLLMKFYSLSSGVAKHLLTASDGSEIDIPFELTDEEKVIIQFPLTSFILGRSGTGKTTVLTMKLYQREQQSLIASQGLNLDGDDLYGMDDKNIMPLKDIGESFVKQVFITVSPKLCSAINNHISGLKRFGCGDVSNQPSILHMHDIFDDQEEFTEIPESFSNLPHQHFPLIITYRKFLMMLDGTCQTSFFDVFYGELKSSVERGHSKSHALETSIELKEVTYEKFAASYWPRFNADLTKNLDASTVFTEIISHIKGRYQASMPYTGKLGRQDYVMLSDKRFSSLNSEKRDRIYNIYVHYESMKSTAREFDLSDFVNALHINLVSEGYNGDMVDFVYIDEVQDLTMTQIALLKYVCRNFKEGFLFAGDTAQTIARGIDFRFEDIRSLFYTAFLSETEAFNQGLQHGKQVQLSDMFQLTSNFRTHCGILHMAQSIMSLLYFFFPSSVDKLNPETGLVYGEAPVLLESDNDENAIITIFGEIKSKHGNMHGFGAEQVILVRDDATKKQIVDLVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLRNKWRVLYGYMKDKDIIAQSEEISHPGFDISKHHLLCSELKQLYVAITRTRQRLWICENTDDYCRPMFDYWKKLCLVEVRLLDPSLIQAMQTGSSTDDWRLRGIKLFNERQFEMATMCFEKAGDAYKEKWARAAGLVAIAERAASSKLENGKAPMQTASEIYESIGMHEKAAMCYMKLGDHKKALEIYESIGMHVQAATCYIKLGDYKKAGMVFMQKCDASWLEDAGICFATAECWSEAAEVFFKAKCYPECFSMCSKGKLFNLGLQFLQQLEEEHLLENSKSLEVSATRKTYLENCAQHYSECGDIKRMMPFVKAFSSMDHVRAFLKSKFLLEELFSLEVDMGNFLEASEIAKHKGDVLLEVDMLEKADLFEDATRLLLLRIIVDSFWSSNSRGWPPKRYPEKEQLLAKTREMAKKVSDCFYCLVCLQADALSDMNKSLASLNCTYLEGRKCGNFFVEFITSRSILHVHLQSEASRYNLELGPGAEDESSCNDMVAHNQISPQTLAYAWDHWKSIVIKVLSHLRHTDGPELNEYEDLCFKYFGLRKDGEDARYFVVNMNSSWLSSAGRNSLQQDGNRCWLDVLEYHSCAQSFLMNELSSVGFSVLKKLESIAQISPKPSSSYALVRTILIVKEIANFLEEPEVSMPKSTMKLRSFSVLWERRFFELIFLVWRDGARRSLLHILDSPASYGLIADSLGANLQPTNKNLTHGHLGRTTILLLHAARLDDGLISRLLQYLDNDSEWADFYRCLKTFLDTGVLTSLISNFRLALDFTFNCVTWRDELDYISPICYLGLMECLGFLSSAHLLQKGCMYCTKSLLVNMLECRTSKVYLDTCLAATSRSDCDLDHTASESGHSGHFILETIMTILTEKKMLREWVHKTSTPSCSYKAVLLRLVVTLYPLILTHDLEISHYKLTNTLLECGVFKDLPLEFSQKIVHALRMRSRTPSNFIRVVADALAAIGDRLVVMGSPEGPAICENINAYIVSTEDLSDVQKVMALLCSEEASSVKQDTTLPEESDGAKFCNVTGNVPKTVQDNKMENTGEMDLSDESAAFWEKLDAFQVNKEGQKDARFIIEFLRSAVPWLADAQLLEEVRHICSEFEEHTARTEETACLTVEKDLFSMWQDGENKLHTIISYLRSARASMKEDGRRNEAAAGVQPQTDGAGERTRRSDNFPDRGGRNTVEPVKEEAAAAAIPQKAAQKQKGRKKSKKCKGRGRR >SECCE7Rv1G0464670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:63439487:63441513:1 gene:SECCE7Rv1G0464670 transcript:SECCE7Rv1G0464670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVTGATGYLGGRLCAALAGAGHDVRAFVRRTGDASALPDAVELAYGDVGDADSLAAAFDGRDAVFHAAASVEPWLPDPSLFTAVNVRGLENVLKAAKRTPTVKKIIYTSSFFALGPTDGYVADETQMHKGKTFCTEYEKSKVLADRIALQAAAEGVPITIVYPGVIYGPGKLTTGNLVSRILIERFNGRLPGYIGDGYDRESFCHVDDVVSGHIAAMEKGRVGERYLLTGENLSFMHIFNMAANITNTKAPYFHVPLWLIEIYGWISVFISRITGKLPLISYPTVRVIRHQWAYSCDKAKRELGYNPRNLTEGLAEMLLWLKNERLIKF >SECCEUnv1G0550020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:211655495:211656640:1 gene:SECCEUnv1G0550020 transcript:SECCEUnv1G0550020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDAGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVQAKPADGAAAAAGASDAAAPAAPAEDLKNWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >SECCE7Rv1G0486200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:402485467:402489404:-1 gene:SECCE7Rv1G0486200 transcript:SECCE7Rv1G0486200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSKKSSSSSSAAAVPTKAVSKEIERIDQLFYTYADSSSSMIDPEGIETLCCHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRTGMKALRADSISKLKKAFPELVQEVTRSSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLSLQFRPQVEKLISYLKHQNEYKVINMDQWMGFLRFCNEISFPSLDNYDADQAWPLILDNFVEWLRASEN >SECCE4Rv1G0260410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:695839919:695849274:1 gene:SECCE4Rv1G0260410 transcript:SECCE4Rv1G0260410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNGGPPPPLPPMAAAPPPPGTAGAGLPPPPPPPAAAPPQAGQPLTPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRDVKILYHITGAITFVNEIPWVVEPIYLAQWGSMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDPEEDGAVYNWFYDHNPLVKTTFINGPSYRKWNLSLPIMATLYRLAGQLLSDLTDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLCIYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRREKKASEDDDEDDFSLPEGVEPLLNRTPLYTDTTAAGISLLFAPRPFNMRSGRTRRAEDIPLVSEWFKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQSTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDIWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFIVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFLTYTDTKVETRHPIRLYSRYIDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENGFVSVYSKDNPNLLFSMSGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKVHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSYTAFSRLVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETNQVTAQTTRTVNVHGDELIVTTTSPYEQAAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQVAGFLYGLSPQDNPQVKEIRCISIPPQHGTHQMVTLPANLPEHEFLADLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWARGNKDNGSNPQGYLPTHYEKVQMLLSDRFLGFYMVPDNAPWNYNFMGVKHDPQMKYSMKLGTPRDFYHEDHRPTHFLEFSNIEEGEVAEGDREDTFS >SECCE2Rv1G0107150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:646877804:646878381:-1 gene:SECCE2Rv1G0107150 transcript:SECCE2Rv1G0107150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAADARSRCVVAVLFAVAVFVACLPQPAASSSSSSSRAAAAALQRVEMAAMYTPQDLQEKPDVTKDAEEDVSTTGFGAEEEREVPTGPDPIHHHGRGPRRRQSP >SECCE2Rv1G0097650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:466076419:466081126:1 gene:SECCE2Rv1G0097650 transcript:SECCE2Rv1G0097650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLQALGEERRPDLHRQIGCVTGILQAFDRRYPIAVHHSHKRLLPPGNALSSSPSVGEERTRYGPQIVLDKNFSKSWIENQRAPSTMETSSSSCSSFSSLDGNRSTQQDLSSTDRMLFPEKPFKCSPRLKSSPESDNGPDYFLDDTLTNTLSAQSSHPTLGIRNLVKDSIYRDTRDLSARASTMEAVVDHTYNRGDPATCLDESPSSDIQGKSKGTMDINESLRVLAKLRESSWSPSESGHQPRLSYDAPRFSYDGRESASKRREMPRLSLDIKEGPLRTREMDSRPKLNMSDTERSISFSSDKESNAEIQKEQPAASKRLPSVVAKLMGLEELPEPNDNKVISPQAPRSVQERKQEHILLPLSLSSHNEPGRRQHRNLDATIRNVPNSKFPAETAPWKQERIVLPRKFPKGSKGALGKEQPAASVYSEIEKRLNDLDFQHSNKDLRALKQILDSMQAKGLLQNKKREEASMLKLYDDDYNNQEVTDANPKLSCNTNSNQIFEGAPSPSMEEESIAEKFFKSPIVIMKPANSADFLADADSPVVPLRGLSDLPQLRTVNSTDKRKMPKVNRAAVEQRLKSSSASQPLASDRRPNGRNEVMSRKQKSSSQLMTESSSRRQQLPRDNNGMLKHKNSTSPRLPQKKLDMERRSRLPIPSPEANKNQRQSVDKSHLDTVSPRSKVRRKLAQGENGHQNVAKSRTRSLNQQGDDMSLMSDGSMSVVSELDIEVSSADRSAEVNASSIQQGNQTPLGRNPQKVKTSYDANKDLSSMDHAAAIPERPSPVSVLDYSFDQENFFRTSKTSNSPNVDDQRHPSDPKATKLTAQSENNKLANVASLLQKLQQLTVTKDDDEAPPVDHIAFLCETQIPDHRYVSEILLASGLLMKDLGTGLSQIQLHASGYPINPDLFLVLEQRKSGWTSKPEGVHQSRRSDDPKRAHRKLMFDAVNMLLFDKFEKETSVHAASSLTGVSEVSSGQQLVKAICSEIEYLKTERSRMCQEKSSSVIPDAEILHRLEGWTTSFGQQQLPGMILEIERSIFKELVDEVVRGEAADGPRARAGRRGRRRLFA >SECCE4Rv1G0273230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:774862307:774864523:-1 gene:SECCE4Rv1G0273230 transcript:SECCE4Rv1G0273230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVYLLPLFSLLCSVALPLPLHSINTSQPQPETHVYIVHTDGVQPYSRMLALAASQTIIHEYSTVLTGFACRLTAEEASHISTLPGVRALYRSRLLRTQTTRSPAFLGLREESGAWPESDFGDGVIIGLVDTGITPAHPSFNDAGLGPVRASWKGRCVQGHGFDESSCNNKLVGARAFLDELVDGEREFTPLDRVGHGTHVAATAAGSPVRGANLLNFSRGDALGTAPKAKLAIYKVCSGYVPSCPDWATVAAIDAAVSDGVDIISMSLGGEPTPFYDNAMMVATFGAVRAGVFVVVAAGNAGPNASTVSNAAPWMTTVGAATVDRVFPATLKLGNGVQLTGQSLYNIKSQGTTAIGLIGSTCDTEDLTPDRVMGKVVVCSSTAGVGAGTDTVQGAGGAGVVTTDANERRGEAVTAELFSLPGLALTYTAGKTLEQYISSTAYPVASFIFKCDTVTGSGASRAPLVAGFSARGPSKFAPEIIKPDVIAPGVNILAAWNQKPEGGTEEEFYLNIESGTSMACPHVAGVAALIKKRHADWTPAMVRSALMTTATMLDNRKLPIVDSGNDVEATPLVAGAGMVVPTLAMDPGLVYDAGAQDYIDFFCSAGYTTAQIRRFEPGFVKCTSTAATPGAAANLNYPSVVVLLDGSVVARRISRTLTSVSRTEEVYEVTVVAPGGVAVTVKPTQLHFTRYMQQQTYSVEFKLKTEAVKPAGTWEFGDMVWKSNKHQVRSPVAFRY >SECCE4Rv1G0283890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836831569:836833768:1 gene:SECCE4Rv1G0283890 transcript:SECCE4Rv1G0283890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLFICHLNPSALRRLAQKLEESGTDPELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTSMKSIPQWELAGEVMPYMRTTDAAIPSVIADHIGVYLGVMKGRGNVVEVSEKSLVKAMAAASSENGQPASSELALKNKANAAGDSVGDSLARQLGVQIASADEQAKAAEEFKKTLYGVVDAGSSDEDESTSKTKRIQIRIRDKPAAPAVDVNKLKEATKQLGLMAPPISRTRSSGTPHEFSQPAGPAPSAAPAMPSGAVDFFGTNTLVAPPQAPTGGTGPVIGGLGVTAGPIPEDFFQNTVPSQQLANRLPPPGAILQRMANPESGMNVGRPVPTQNMMGNVGLPDGGVPPQGPQQGQFPQQQGQFPQQQGIPMNPIGLPDGGVPPQSQALPSQPQGFQPAAPTPSQPIDLSALEGPGAAKQAAQPPAPKAVRPGQVPRGAPAAECYRMGLAHLEQNQLTDALNCLDEAFLALAKDQSREADIKAQATICAQYKIAVSLLQEIARLQRVQGAGTLSAKEEMGRLSRHLASLPIQAKHRINCIRTAIKRNMEVQNFAYAKQMLDLLYSKAPPTKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCAVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGAGPVASPFG >SECCE4Rv1G0274100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:782803324:782807704:1 gene:SECCE4Rv1G0274100 transcript:SECCE4Rv1G0274100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAETATWEEMLRRMLPPGTTIPEGNLDYSIALEYDGPPVAYEVPRIAPVDMADVPTAEPVSASYGLLGNGGAAVPVAPVFRPPANRARAEPPQVRRGTGPADSAPRDQGFRPASAEPPPVQVQRSSEPAHSGSQNEGYSDSDDDSRRSVSRDSAPSYRGQSDGGRHAMAAPEGRRSHVVTFGLADDSKYDQSSELDDTRSEQFVAVTRTEKRGKTCDRCGKRKWESKESCIVCDKRYCGYCLLRAMGSMPEGRKCITCIGRPIYEGKRSKLGKSSRILSRLLSSLEVRQILKAEKECPANQLRPEQLIVNGFPLCQEEMSDLLSCPRPPQNLKPGRYWYDKESGLWGKEGEKPNRVISTNLNFNGKIQPNASNGNTQVYMNGREITSIERRILKFAQVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWQSPLTRFACTLVSLPVPPANSVEPRDDAPYSARTVPEYLDQKRIQKLLILGSPGAGTSTIFKQAKLLYGSRFTPEELDNIKLMIQSNMFKYLGILLEGRERFEEEALAISNNPNSEDEDTQQDGNESSGLNSCIYSINAKLKKFSDWLLDIIAMGDLDAFFPAATREYAPVVDELWKHPAIQATYKRKDELYFLPDVAEYFLSRAIEVSSNEYEPSEKDVLYAEGVSQGNGLAFIDFTLDERNPMSELYGDSHDPSSQSQNKYQLIRVNAKGLNEGCKWVEMFEDVRAVIFSVALSDYDQLGAPASGSSRRLENKMIQSRDLFEATIRHPSFRDTPFVLVLNKFDIFEEKIGRAPLTACEWFSDFDPLRTHNNQSMAQQAFFYVAMKFKNLYAAHTGDRKLFVWQARARDGPTVDEAFRYIREVLRWEDEKEYGGFCPDESFYSTTELSSSRLVEQDWQQHPAADQRQGST >SECCE7Rv1G0453930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:232854:236674:1 gene:SECCE7Rv1G0453930 transcript:SECCE7Rv1G0453930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATAPPAGKRPATGGREGDQMVITPLGAGSEVGRSCVHMTFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSAIDVLLVTHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYRLLLSDYVKVSKVSVEDMLFDEQDIIRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLKAAEIPQFSPDICIIESTYGVQQHQPRHVREKRFTDAIHNTVSQGGRVLIPAFALGRAQELLLILDEYWSNHPELHKIPIYYASPLAKKCMAVYQTYINSMNERIRNQFAQSNPFHFKHIDPLNSIDNFHDVGPSVVMASPGSLQSGLSRQLFDKWCTDKKNTCVIPGYAVEGSLAKTIINEPREVTLANGLTAPLNMQIFYISFSAHADFPQTSGFLEELRPPNIILVHGEANEMGRLKQKLISQFDGTNTKIVSPKNCQSVEMYFSSEKMAKTIGRLAEKVPEVGEAVSGLLVKKGFTYQIMAPEDLRVYTQLSTANITQRIAVPYSGSFEVIKYRLKQIYESVESSTEEDVPILTVHERVAIRLDSESYVTLQWSSDPISDMVSDSVVAMILNIGREGPKVVPIEEAVKTEEETEKMARKVVYSLMVSLFGDVKVAEEGKLVITVDGDIAHLDGRSGDVECENAGLKERIKTAFRRIQGAVRPIPLSAS >SECCEUnv1G0529650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8799252:8800014:1 gene:SECCEUnv1G0529650 transcript:SECCEUnv1G0529650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLGVGAIRSRLLPTPLPLFTRRAPLHLAPHPHPSRRLLLLLPSRTLAAAATTMAATNGTAAADHVQEQPKAAAAPSPAPMLLKVKRLSANAVLPSRGSALAAGYDLSSAVDAVVPARGKALVATDLSVAVPPGTYARIAPRSGLAWKHSIDVGAGVVDADYRGPVGVVLFNHSDADFAVKPGDRVAQLVVERIATPDVAEVDDLDATVRGEGGFGSTGV >SECCE4Rv1G0259450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:687167213:687170518:1 gene:SECCE4Rv1G0259450 transcript:SECCE4Rv1G0259450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAMSEAAGEATYGADMPKSPLHPQPQPIVGAHEGSASFSAPRSSCPAAGYSVLPVPAMSASSEPPKQKRGRPRKYTPDGTKPPAIFPAPYPIGVVASPTPALPPGFTFGLWGLGVLTPQAPPALPSPLPPPPSENSKQRAKKKRVWPPSSTTSKKQRELAVAEPGATGLVAQVITIQGGEDVAGKVMSYCGNGWAVFILSAEGAVRNVTLKQPASSCGTVIYEGYFDIVSLSGVYRMSISNGLSTLKGGFSISLVGHDGSVFGGGLAGPLIAASPVQVVFGRFAADEKEEMKQDVAIGRFAADEKEEMKQDVASGRLAADEKEEIKQDVASGSFAADEKEEIKQDVASGTPGATTPTAAPNESSGAPGSPSN >SECCE1Rv1G0024260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:322532478:322537375:1 gene:SECCE1Rv1G0024260 transcript:SECCE1Rv1G0024260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAARWRGVVEGEVAQELGVAAASRKLAARLESVAAVLADAEAQAAAGDEATARWLAEVRAAAYLADGAVDRCRVAARRLGGREPKQPPPQQQALPWLLSSCCENAEPHGDIAADLKRVNRNLLAILRKQRRLQLRAAYVADPTVHTRSLLRRQPTDPGIVGTRIEDDARLIVDRLTQTGRPAACEVVAIVGPDGVGKTRLARMVYESARVRCSFGSTSWVRLSRGYTEAGLLSQVIDAFGGDTKGGESVADLQAMLTGLVANKRFLLVVDDVWYGGVWEDVLQNPLERDGGKVLITARRGSTAREMSASLVHRVKRLSADDGWLLLRTAACVANDESQLKGVGERLVEKCGGIPLAIKAVAGVLRTREASADEWGEVLASPAWSVKGLPDDAMRALYLCYDDLPHHLKQCFLYCSLFPPGFAVERRVLVQHWMAERLVQTRSGASVEEVAEGYYDELVGRNLLQTTQEDVRGCTMHEVLHALAQLLLQGEGFTGDLQRLPDGDGDTSFRPRRVSLPGRNMAAVPERILNSERIRTLLLPRNPLATEGNIFTRLHHQLRVLDLSETGIELIPETLGNMVHLRLLNLSRTGIQAVPESIGNLWSLQFLLLRECKSLHALPKGMKHLRGLRDLDLAGTTIDTATFRVGQLRSLTSLRCFAVASKEDRGGWPLAELKHLCQLRILHVHKLERAPGRSEAAEVALASKMSLRELALSCSDDTVSLAQTPTAVRKIEDVLEELNPPPCLESLKIAGYFGAKFPGWLSAISLPNLRHLDIIGCNLCQSLPPLGLMPELRSLSIADSSVITSIDAEFMGKCHHPEVPFPKLENLRLQGLRKLESWMDIEAGALPSLQAMQLESCPELRRLPGGLGQVTSLVELRIVDMASLEAVEDIAALRELSVWNAPDLKKVSGMPSLEDLSISHCPVLQTVENVDSLRAVRIFDHQLQEIPRWIQALASKLRSLDATSTLKLLKRCLVDGPDWPLIKDIVQVHGSTTGSGDYIYYSKSPYIFESNVNAQGNVETAASDSAGEASAENRNDNQDVGVAASGTGYMHISGFFDSKAVKMGAPMAEGNVTHRNTERRNSRRRMHKLAEVIPEEGEAEEDADSAVMFPAHPTKAHLHVEKPRPVVHDDPNGNNDTGLLSKVTPQETGPDATRRRTKMAKDVPTDAGAAGDSSVSESAASVGHNLVREGSRVINSTETDQNSNISLRQSEECTLNKGENFANISKQTRRVHDTSSKVSTPVVGSDSKELGNDKTENSKPAIMARSRQVTFNIRKDDHADAAVHSPNIINQKNAGKVKATTTSASARTNETTMPENPAGREVAPKSVGTIDSSLILEVHHTVSITKDSTCTGVDNIGGHMEDRSINLPANPNHEESKACSATETTCDSEPYMLPASLAWRKQRGLKKQEASFAGAGGGIGASIKKIPRMANKTPEKVTYKSKAGPVEHPSTGASNNTDPQSNAACTPYCTNGTTEATMAYRHHTSSHADDSNAHFSIDIKADDSHQAPKVYTAIWADTDTDTLRARFLSSMQHHHRMASRRRHRRHRAKRGSVNTWSISPVLVVVFLVVSVAQLLFIIWMYRRLLNQK >SECCE6Rv1G0379490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:14369189:14369704:-1 gene:SECCE6Rv1G0379490 transcript:SECCE6Rv1G0379490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSAVGIKRSSPSDHDEQPGVWCKGASSHQAHQSTFKGKQVVDPEDHGGDEVNERKRRRCLAIDINEVPHNEDDDLNNPLHVEGPTAGGRCSQPPHWLLSLINKKQAQENEILELEEQRLRWATEDMMEEMELKKLRLENEKMRLENIRLLAQIKRKELELSVARTKRI >SECCE1Rv1G0049750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642902600:642907803:1 gene:SECCE1Rv1G0049750 transcript:SECCE1Rv1G0049750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPESAAGGSSGEHLRGHAHLTNCIHLRHHHAHSHGSASGRRRSPPAAAESSASLMRDLLAMQRSRSLRDPSTRRSVDSSSRVAAEPPEEDPPAPAPGRGALKTLLDQLAEDPRPASRRPRRRFRRGAARRAAPAVDSGGLGRAGAGAGAFSANSSSQEAVCGNRYFFGAGAGDDDSDASAGLQQPPRPRPQASQDSRNVCGIPWNWSRLHHRSKSILDMAGRSLSCGLSDPKSAAAARRSLAATSCGVYADGSRSHPHFPVTARLTSSTSSDSDSLPLLAEGAQRNGIRAISRSFSGELGIFSNQSSELDSDLMSEARSGQKSRGSQHGRHRSLTQKYAPRTFKDVVGQSLVVQALSNAILKRKIGSVYVFYGPHGTGKTSCARVFAKALNCHSPEHPKPCDTCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPLPAHHRVFIVDDCNTLPPDTWSVISKVVERAPRRVVFVLISPNLDLPHIIMSRCQKFFFPKLKECDIVNTLQWICTSDGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRITMPLVQELAGLVSDDKLVNLLDLALSADTANTVKALRDITETGVEPLSLMSQLATIITDILAGTYTFTQERIRRKFFKRPTLSKEDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYILPSSSTSTSFNQGLPTYPDRDIARNSGPHGLSRASDQENQQYRNANLGICSSHVTANNYPDRDIARNSGPHGLSRASDQENQQYRNANLGICSSHVTANNYHGGRRLREHTPDGHMLSTSATRMNEGSKCSKTDNEMIWQAVLENVESDSLRKMMAKEGRVISVSLGTAPTVQLMFSSRVNKSKAEKSRGQILQAFESVLSSAIILEIRYESKDGGEGVEDTYSNIALTRSFTKHSSISSGGENLVSRLQKGRVAQGTSSNQTRWMQSDPHILTEGEIIEVGPSEMEWYGEPDTGAVASDKRSVWEAALSSQDQENIRPLGGTNEHDRQKNIVRGKVSLAHVINKAEACSQQGGWSRQKGMSITEKLEQENLRLEPRSSLLCCKASSTTRRKLSALKIRTRRSRALSRLVLCGRCISVKSPR >SECCE7Rv1G0486870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:421013684:421016253:1 gene:SECCE7Rv1G0486870 transcript:SECCE7Rv1G0486870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYSVLKVNRSATEDDLKKSYRRLAMKWHPDKNPGDNKAEAEAKFKKISEAYEVLSDPQKRTIYDQYGEEGLKASADTGSSSSSMNGSTNRRFNPRNAEDVFAEFFGSSKPFEGMGRAKSMRFQTEGAGTFGGFGGGNESKFRSYNDPVGASSSQPRKPPPVETKLTCTLQELYSGSTRKMKISRNIVKSNGQLGTDSEILTIDIKPGWKKGTKITFPDKGNEQPNQLAADLVFVIDEKPHDEYTREGNDLLIYQKIDLVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELAIAKEGMPIVKENGRRGNLRIRFDVDFPKRLSSEQRQNIRKVLGGGGQPQQ >SECCE7Rv1G0490680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:502009138:502009371:1 gene:SECCE7Rv1G0490680 transcript:SECCE7Rv1G0490680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSHIHTFLQAHREIEDATTHGRHRDDIVEHHWQLDGRCIGP >SECCE3Rv1G0203640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:884454511:884456129:-1 gene:SECCE3Rv1G0203640 transcript:SECCE3Rv1G0203640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLAHLHAALVFLPSNGGPAWAGPGGAAAVYDVVRYGARPDGMTDATLPFLRAWADACRSPRPATVLVPPGMFLVGSATFMGPCATRAVTFNVAGTLLAPSGYGWDTASPGRWLTFESVEGLAVSGGTLDGRGASLWACKQQQPQQPRLHCPSGASSLTISNSRDVVVDGLRSMNSELFHVVVLQSNGVTLNRVTVDAPEDSPNTDGIHIHMSSHVSVYDANIRTGDDCVSVGPGNSNLWIERVSCGPGHGISIGSLGHQQGLDMEDVQNVTVKTTWFTGTSNGLRIKTWGSSKQGFVRGVTFEDSTMTGVHNPIIIDQNYCPAKVGCSDRSSSIKISEVKYVDIRGWSTTPVAVTFNCSRSHPCSGISMQDVKLMYDRRVAKSSCRNVQGRSVGLVLPPSCL >SECCE2Rv1G0104620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:608772356:608775878:-1 gene:SECCE2Rv1G0104620 transcript:SECCE2Rv1G0104620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHAPHALGLRVPPPTPPRRRARPRRRPAAAVRASASTSAPPQRETDPRKRVVITGMGLASVFGNDVDTFYDRLLAGESGVGPIDRFDASSFPTRFAGQIRGFSSEGYIDGKNDRRLDDCIRYCILSGKKALESAGLGAGSDAHGKLDVGRAGILVGSGMGGLSVFSDGVQNLIEKGYRKISPFFIPYAITNMGSALLAMDVGFMGPNYSISTACATSNYCFYAAANHIRRGEADIIVAGGTEAAIIPIGLGGFVACRALSQRNDDPVTASRPWDKERDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCITMSLRDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFKNPSEIKINSTKSMTGHCLGAAGGLEAIATIKSITTGWVHPTINQFNPEPEVDFDTVANEKKQHEVNVAISNSFGFGGHNSVVVFAPFKP >SECCE5Rv1G0318670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:365457684:365460711:1 gene:SECCE5Rv1G0318670 transcript:SECCE5Rv1G0318670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFARVQALHPDLASKIMGMLLIQDKSEEDMIRLAFGPEHLLQAVVARARADLAHHSKPSSPPAASWGAGLPAEDVPFPGVDHARYEGGGGGEGFYPPEEFGCWSPASGGQHRRSFSLSDAEAAAGGWKPCMYYARGFCKNGSSCRFVHGLPDHVTEQDMDVWTAAMRSELMSSSAFPFSPSPRGLNFLLQQQQQQQQSDSQRAAAAAMLLGGGGGDDMHKFSVRSPRMDRGGGDLASSPAARQIYLTFPADSTFSEEDVSSYFSYYGPVQDVRIPYQQKRMFGFVTFVYAETVRLILAKGNPHFVCDARVLVKPYKEKGKVPDRKFQAPHHGDFPGCTTPTGLLDSRDPFDLQSPQIGPRMMFGNIGSHEAFLRRKLEEQQQAAELQQAIDLQSRRFMGLQLLDLKRGHHHLGSPVAPPLALRQTDGSIGNGNAIHLEDAAIQDHKMSSAMMMTSAAPAAICASIATEGKQEEEGDGSANQGVNSGEDEKRESGPPGAAAAAAASANGFQESGVEEHNLPDSPFASPTKTKDAATASSSAEPALAGGIIGSSSSPHLVASSLFPPTPTALELPPYKSCFFQVPRFSPGHGAIGL >SECCE2Rv1G0082480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:151826318:151826860:-1 gene:SECCE2Rv1G0082480 transcript:SECCE2Rv1G0082480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILCCLRGPDDDEEEAPGCRACLPWPFSSNRAYTQVAPVPLEGSTGSPQDDPLNTFRCPPRPLPFDDPQFRRPTEKSVPLGERSNAGTRSTGDGDDEKADGPSSLEAPAGGGKPGGAQVRVDCDEDDCPICLDEYDYENPKILLQCNHDFHLGCIYEWMERSQSCPVCAAVMMFKEDQ >SECCE4Rv1G0277490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:804579424:804580925:1 gene:SECCE4Rv1G0277490 transcript:SECCE4Rv1G0277490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARAMTVQELANVLSNPEVPAQYVVRAHDDQQLAPAVAAPIPVIDLCRLFTEDGAATDEVSKLQAALQSWGLFLLSNHGVETTMMEGMMIASREFFKRPLEDKKRYTNLIGGEQFQFEGYGNDRVRSPDQILDWSDRLYLKVEPEDERRIALWPTHPKNFRDILHEFTKKCGGVKDDLLRAMAKLLQLDDDDYFVDQLGEKAETNVRCSYVRCSYYPECPRPELVFGLKPHCDGTVLTLLMVDDSVGGLQVLRDGVWWDVPIVPHTLLVIIGDQTEIMSNGFFKSPVHRVMTNAKKERLSVALDYSVDHEREIEPSAQLIDEKRPALYMKVKVKDYIAGLYEHFSQGTMVIDTLQI >SECCEUnv1G0567540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:416158710:416159021:-1 gene:SECCEUnv1G0567540 transcript:SECCEUnv1G0567540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKVFLENVIRDAVTYTEHAKRKTVTAMDVVYALKRQGRTLYGFGG >SECCE3Rv1G0182490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:628943649:628944965:1 gene:SECCE3Rv1G0182490 transcript:SECCE3Rv1G0182490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGDMAERWRELHGSDHWDGLLDPLDVDLRRCLINYGEMIMATYEAFIAERRSPNAGPRTPACWYAVTRYIYATASADVHGKVLLRPLCRNGRARECNWMGYVAVATDEGTAALGRRDIIVAWRGTQRALEWVADLKLAFASAAGILGAECADGSEPSVHRGYLSLYTSADEGSELSKQSARMQVLTEIARLMDKYKDEQTSITVVGHSLGATLATLNAVDIAANYYNKSALCTAECRAPVTAVVFGSPRTGDRDFRDIFHRLPDLRMLRVRNRPDRIPLYPPVGYADVGVELLIDTRRSPFLKPHGNESQSHDLECHLHGIAGWQGEHGEFELVVDRDIALVNKFDDCLTDEHPVPVGWKVHHNKNMVKGPAGRWVLEDHESDYEDGGDNL >SECCE2Rv1G0127030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:850409236:850409511:1 gene:SECCE2Rv1G0127030 transcript:SECCE2Rv1G0127030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFFCLTTPVGILIGFGISRVYNKNSPTALVVEGSLNSVAAGILIYMALVDLLAEDFMNPKVQSRGKLQLGINMSMLVGAGLMSMLAKWA >SECCE7Rv1G0467570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:89335227:89337106:-1 gene:SECCE7Rv1G0467570 transcript:SECCE7Rv1G0467570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGWLRRAASAVALPRMPSGLTLMPTPPPAPLPEAQSLVLPGLGAAIGPAMELMAVPKKKISKYKRGLRNGPRALKPVPVIVRCRCCGRVKLPHFYCCSGEKGNTGDSSS >SECCE5Rv1G0318160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:358290051:358291821:1 gene:SECCE5Rv1G0318160 transcript:SECCE5Rv1G0318160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELSKEQIQEFQEAFKLFDKDGDGTITTKELGTVMRSLGQHPTEEELKDMVEEVDADGSGSIDFNEFLGLVARQMRGDAEAEEELHEAFRVFDKDNNGFISLDELRTVMKNLGEKLSEDELNEMLHEADADGDGQINYKEFAKVMMAKRRANAGEHGGGDHGGSDHSHSGGGGCPCTIL >SECCE3Rv1G0207630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:923117362:923120991:1 gene:SECCE3Rv1G0207630 transcript:SECCE3Rv1G0207630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFTMTLLTCLFLALLYHAVLRALSAAAKQPRPRLPPGPWQLPIIGSLHHLLRGLPHRSMRDLSLRHGPLMQLRVCERVAIVVSSAEAVREIYKGNEAAFSERLSSPGIDELSRHGQGIIFAPYGDHWRLLRRILMTELLSARRVDAFRRIREEEAARLVSSLQAMSDGRLVNIDERLDEFMTDSAVRAIFGDRLPDRVAFMKNVKQGVDLSSLFDLRDLFPSSRLVRLLPRNGGKAERHRQEMFRLMDNILKSHEERRAARDGDDEHDMVDVLLRVQKESNNMRVALTHGVIRALLIDVFGAALDTTTTTLQWAMAELMGNPRVMQRVKSEIDYVLVGQATIQEGSLKGMQYLKAIIKETLRLHPPAPLLPRLCLQDYKIKGYDVPQGVTVLTNIWAISRDLEYWDEPDKFMPERFEGEGVADFNGVDFEFIPFGVGRRICPGMGFAYAQIEIALATLLYHFDWELPPGVEPRVVDMTEVFGATVRRKTELFLCPIPRVPL >SECCE2Rv1G0101570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:567072815:567073676:-1 gene:SECCE2Rv1G0101570 transcript:SECCE2Rv1G0101570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKTDPSRRDLATKPNANHRRKHKHLRPGRTQRPQLNYFLSGIYLRIAKVFLPIKNCKGEFTSSSASAKKMPTLSITVDMGCSRCSAKIQRVLSSIQDRGKFVIEKIVYEDNRVLVSGPFDADKLTCKLWCKASNVIKNIEVVKPPAVAKPKDDPPKPKKKDDKPETKPAPCNQLVPYAYPYPLPYPSPWPCGCGTPCCEGHSTPPPPAPAPTCQCSAYPPYQHPMPMPCTPMVICEESPPACAVM >SECCE1Rv1G0039280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:547265525:547268671:1 gene:SECCE1Rv1G0039280 transcript:SECCE1Rv1G0039280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIKINLAVDESRNRVLFADAGSDLVDVLLSFLTLPLSAIQFCAAPSPGCLSNLCDSVRRLAGGKLLKVEACHGMLLTPSTAHEFGGRHRYNVNFAHDAFVESQPNLKVNGKLCSCWKVMDRLVRAYSGASSSPGKFVRCKERFVISDDWTITPACTSLIHRFSSESEAAFPGFEEVDVCVSWPKVISMLKASLSLDTIFTDAFLPTGTGGRDARATVKPGMNQKIVATPNEDPGTLSEFKTKFFYDAKERKVMYAECKHDFVDLLLGFLTYPLGCVIKNMNDSGLASPLGTGGMANLYASVVELDAAGFIAGGYPTEMLLNPPLSPFCRHPDCSTPKKDAVETKNFMGLVSHSSCVGCRYDLVEDRKYVVDDDLLIHQASAMSVTKHWRGRDKANVVEMDINITKQEAVVLLRAMLTSKTPLTDVFISRLEEHST >SECCE7Rv1G0473790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:161356047:161357774:1 gene:SECCE7Rv1G0473790 transcript:SECCE7Rv1G0473790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPPCSAPGSNGERSFAAGANPLALLDHAMDFDEHVLFPMHNAGMQDGVQFYNPTAGGSGTELSRNMSMEKGLKGGKRKGSGEGSSTMHSQEETGGMSQREVSMERAGEKEGDAASNREDYVHIRAKRGQATNNHSLAERFRREKINERMKHLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSAVSPELNCDLDLQDILCPQDARSAFPGYGQRLGNVHPSNNMYRAELPGLSRPELYGNGVIIPNPASVHMARTAQQLSAFPQRSIVWEEELGNVGPAAFPSDVVGASSLENSESMKVE >SECCE4Rv1G0221420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:48887704:48889300:-1 gene:SECCE4Rv1G0221420 transcript:SECCE4Rv1G0221420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNPLRMVVLVLLAAAVVTTMLSLSSCCASSAGGGGGQLLHPVILIPGSGGNQLEARLTDGYRPSSLTCRVWPLVRGRAGWFRMWFEPSVVVAPLTRCFAERMMLYYDRDADDYRNAPGVHTRVSDFGSTSTLRYLDPTLKVLTGYMDVLATTLEKAGYEEGHDLFGAPYDFRYGLAAPGHPSQVGSAYLERLRLLVVSACAANGGRPAILMAHSLGGLYALQFLARAPPAWRAAHVKRLVTLSAPWGGSVQEMLTFASGNTLGVPFVDPSLIRDEQRSSESNLWLLPTPKVFGNTTLVVSHRHNRTYSAKNVTQFLNDIGFADGVEPYRTRTRPLGEVLPEPGVPVTCLVGTGVDTVESLVFGDEGFDAGPVKVVYGDGDGTVNLASLMGPIKAWSDSPAQVLEVVELPKVSHMGILKDKSALDQILRILDSINLNATITTYQY >SECCE5Rv1G0353390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:716864843:716873121:1 gene:SECCE5Rv1G0353390 transcript:SECCE5Rv1G0353390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) UniProtKB/TrEMBL;Acc:O24527] MAFSPRSPWSRSKKPDIYSTVVVHDDEGDPRGGGAARAEDDDDDDPSALPPLLQRLPKDFGGASFDDDDDPYSSDLDEASLSATVVIKRGAPASTSSSSRSPFLDLRRSSPRAAEADPYSTFVVHGTARSGGASSPRESVSGTFIRHSDGPPSPRESVSGTFIRHTRGSSSPHESFSGTFIHHTSGASSPRDSAGGGFGSSFITPSAGQAEEDRQPSLLMQQQQSRRKASMSSVPDSVTREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAVKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIVMEYCGGGSVADLIGITEEPLDEPQIAYICRETLKGLAYLHTIFKVHRDIKGGNILLTDQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDARLRPPAIEMLKHKFIEKCNTGASKMLAKIKEAKIIRETAVQNQLPDSDDAMDATVRINEDYGETVPTNSQSTHETKNDGSGGDFGTMIVHPEDGDEAAESSIFPRTEFIPGLGSINSFTHDPKRAELISKFWAESTADSDASKERDLYGLPDIQEPKTMPRSTGTVKHHKGVEGTVLRHDITASPGVASTMNKLSSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSDSVAGNGPAGSSTTDALEAVRELFSGDGQAKKGRKGQNEAPLPPGVHDRLTTSPTLMNLAQALAYHKTCYEDMPLQDSQATEEQQTIQNLCDTLRTILRL >SECCE7Rv1G0470770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:123899651:123900677:1 gene:SECCE7Rv1G0470770 transcript:SECCE7Rv1G0470770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase, Strigolactone receptor, Strigolactone perception, Reguration of shoot branchin [Source: Projected from Oryza sativa (Os03g0203200)] MLRSTHPLSPSSSSSGPAPASSSGETMVGGAPSGAKLLQILNVRVVGTGERVVVLSHGFGTDQSAWSRVLPYLTREHRVVLYDLVCAGSVNPDHFDFRRYNNLDAYVDDLLSILDALRIPRCAFVGHSVSAMIGILASIRRPDLFAKLVLIGASPRFLNDSDYHGGFEVEEIQQVFQAMSANYEAWAKGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQSVFKTDLRGVLGMVQAPCVVVQTTRDVSVPANVAAYLKAHLGGRTTIEPLPTEGHLPHLSAPSLLAQVLRRALARF >SECCE2Rv1G0121780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:811433450:811434904:1 gene:SECCE2Rv1G0121780 transcript:SECCE2Rv1G0121780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARESQSDPHHREPGQRRPMCAVCTKPLSVCLCGRLRGPPLDTTVGVTVLQHTMEVRHPLSSIRVARLGLRNLSVTQVTDVNHRASFLLRTLGGAAASNLADGETDVGAAHAGNHDGSVVPGQTGIQDGDGLERSQGKGSNHAKSSDVENMGINPCGGHLGEENATIAISRQSGGEGLDNEEISSGVFSDLDREVGGGIGCNSNGDECFRFEKAKGNEHAGDFERPASAANQLESYVVNAVNAEGQHRSEACVTNKVHADLPHPLVETTSVNGICTENVEVGAVTGEGWTVENMEKYSVAYTEKELRIDIERGVKPKIRWLSRGSVGQGAVSNGFTVTKIQKNKSRRTGEVSEFEEFSITIPPHAALLFPCQRAISLDALDCQVKHLIVLDGTWAKAQRMYHENPWLQLLPHVKLESDKVSLYSEVRQEPKAGCLSTIESIVITMKKLGEDEMGLDDVLSVFESMITDQRRYKEENWKPKLKP >SECCE3Rv1G0197900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:824664785:824665693:1 gene:SECCE3Rv1G0197900 transcript:SECCE3Rv1G0197900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLLEVHLVHAKGLSGTDFLGKIDPYVVVQYRSQERKSSTARDVGRNPSWNEMLRFQINSSAANVQDKLILRIMDHDNFSKDDFLGQATINVTDLISMGMESGVSRLNPAKYRVVTADNSYHGEIKIGVTFTAAKVEEDGAQVGGWVQSSRELKV >SECCE7Rv1G0508490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:772586140:772592335:1 gene:SECCE7Rv1G0508490 transcript:SECCE7Rv1G0508490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSESDGGGSVASGDEMEEGGGEVVLGGGAGGSGSGSEGGEGEGGAGGGGDGVGGMFTFAIEGMLRGAGPYGLVVTDVLEPDCPIIYVNRGFEEATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDDAVVSGIQRCIDNGTQFRGDLLNFRKDGFPLMNRLHLTPIYGDDDVITHYMGIQFFTNANFDLGPVPGSVTREPVRSTRFAPDKFFRPITTGLEQDNFCREYSSLFQLTDEVLCQSIFSRLSPRDVASVSSVCRRLYDLTKNEDLWRMVCRNAWGSETTQALETVPAAKRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHVNVSSAPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFMLDLDAKHPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTFLLDVSMDRPVWREVPASWTPPSRLGHSMSVYDGRKILMFGGLAKSGPLRLRSSDVFTMDLSEEEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEVHELSLASSNSV >SECCE2Rv1G0129890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:871357290:871364136:-1 gene:SECCE2Rv1G0129890 transcript:SECCE2Rv1G0129890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAIAIHGGAGVDPNLPEHRQEEAKRVLARCLQVGVDLLRAGAAALDVVEAVVRELETDPCFNSGRGSALTRAGTVEMEASIMDGNGRRCGAVSGVSTVRNPVSLARRVMDKSPHSYLAFDGAEDFAREQGLEMVDNNYFITEENVGMLKLAKEANSILFDYRIPLGADTCSALAAATENHKNSGMVMNGLPISIYAPETVGCAVVDSNGFTAAATSTGGLMNKMTGRIGDSPLIGAGTYACGHCAVSCTGEGEAIIRSTLARDVAAVMEYKGLPLQEAVDFCVKERLDEGFAGLIAVSGTGEVAYGFNCTGMFRGCATEDGFMEVGIWE >SECCE7Rv1G0521800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874868401:874874058:1 gene:SECCE7Rv1G0521800 transcript:SECCE7Rv1G0521800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAMAMLAALAILVLMPSARGLSRAEFPPGFLFGVATSAYQIEGAYLEDGKGLSNWDFFTHTRSRGIDDGRNGDVADDHYHRYMEDVEIIHGLGVSSYRFSISWARILPRGRLGGVNSAGIAFYDRLIAALVQKGIEPFVTLHHFDLPHELETRHGGWLGTGIREEFDYYADVCFKAFGDRVKFWTTFNEPNLFTRLAYVLGKYPPARCSVPFGTCESGNSHQEPYVAAHNMLLSHAAAVHNYKKNYQATQGGSIGIVIAMKWYEPLANTTEDILAARRALSFEVDWFLEPIFFGDYPREMHELLSSNLPKFTSEEKSLLQKNKVDFIGINHYTTIYVKDCISSPCDLNTYETYEGNALVLATGERDGMAIGKPTAFDGYYDVPEGMEHIVKYVNQRYKNTPVYVTENGYSQHSNNTMDQLINDGERVNYLQGYLTCLSSAVRKGANVRGYFVWSIIDNFEWTFGFTVRFGLYHVDYETQKRTPKMSAKWYRDFLMGSRPTDQVQTLRADS >SECCEUnv1G0560790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:350096185:350098404:-1 gene:SECCEUnv1G0560790 transcript:SECCEUnv1G0560790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTYQYAWVIPLLPLPVIMSMGFGLILIPTATKNLRRIWAFPSVLLLSIAMVFSVQLSIQQINGSSIYQYLWSWTVNNDFSLEFGYLIDPLTSIMLILITTVGILVLIYSDGYMSHDEGYLRFFVYISFFNTSMLGLVTSSNLIQIYFFWELVGMCSYLLIGFWFTRPIAASACQKAFVTNRVGDFGLLLGILGFFWITGSLEFRDLFQIANNWIPNNGINSLLTTLCAFLLFLGAVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLLARLLPLFISLPLIMSFISLVGTITLFLGATLALAQRDIKRSLAYSTMSQLGYMMLALGIGSYQAALFHLITHAYSKALLFLGSGSVIHSMEPLVGYSPDKSQNMVLMGGLRKYIPITRTTFLWGTLSLCGIPPLACFWSKDEILSNSWLYSPFFGIIASFTAGLTAFYMFRIYLLTFGGYLRVHFQNYSSTKESSLYSISLWGKRIPKGVNRDFVLSTTKSGVSFFSQNIPKIQGNTRNRIGSFTTSFGAKNTFAYPHETGNTMLFPLLILLLFTLFIGFIGISFDNGGMDNGIAELTILSKWLTPSKNFTQESSNSFVNSYEFITNAISSVTLAIFGLFIAYIFYGSAYSFFQNLDLINSFVKRNPKKEFLDQVKKNIYSWSYNRGYIDIFYTRVFTLGIRGLTELTEFFDKGVIDGITNGVGLASFCIGEEIKYVGGGRISSYLFFFLCYVSVFLFFFLS >SECCE5Rv1G0311470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:193071648:193074895:1 gene:SECCE5Rv1G0311470 transcript:SECCE5Rv1G0311470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAIRSGELLAFPAALRRGPPVVSASVVAFRLRAPVAGRVAVRVVAAAAEGAGPEAEAEGKPKPKKKRAASGIMKPKPISPELREFVGGAAELPRTEALKIIWAHIKGNNLQDPENKKIIVCDEKLKKIFGGRERVGFLEISGLLNPHFQK >SECCE3Rv1G0170450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:252891641:252895079:1 gene:SECCE3Rv1G0170450 transcript:SECCE3Rv1G0170450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMDDYMDPYEEAEAEAAAEAAGVTGPAAASADEESDGEDDSEAESDYEEESYGLLKSGKHRVRNPDGTFRCPFCPGKKKQDYKLKDLLQHADGIGVSSKHRRHGRERAFHRAFARFVRADPSFAQELSTIVGIPGATVTATANADASDKGKAVANGHTSGSSPSAVAEGPPQDGEEKFAWPWCGILAAGAGFNAENFADRVAMFSPEDIVPLVFDDTEKSECFAIVRFSLGWGGFSDALALENQFSVNKLGKKEWEARSSSSGGAVNGEESEIGEVKVYGWVAREVDYTAGGLVGRYLRKHTDIKTIDEITKNQREPLGKIVAALATQLEAKNQDLQDLETKKNATEFSIARLEEDNRRLHEAYNEEMRKLHRKARDNALRIFQDNENLKLEIENNKREMILRAKQLEKLSVENANDRKKLAELSDEKQKAKDDKSELELASIEQQRNDEDILKLVEDQKREKEDALARMLELEKELHEKRELELEVTRLNGTLQVMKHLEGDDDGDIHDKMEKLSEKLEHERKRLEELSGELVRKERESNDELQEARKELIMGLEDILSGRTAIGIKRMGELDERPFQNACKRKYGNDDYETRAAELVSSWQEEIKKPAWHPYKFVKAEDGSDKEVVNDEDPRLKQLWIEYGDDVCNAVKTALSEVNEYNPSGRYVVSELWNFRKNRKATMKEVLRFIFQQMEVPGKRRRGS >SECCE3Rv1G0143390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:230508:233552:1 gene:SECCE3Rv1G0143390 transcript:SECCE3Rv1G0143390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDPEPPQPHTQTLAAGELVWAKTKGRHHWWPARLHAPSATAESPLVSSLGAEYSDDHRPAVDVKPFLAAPDADALATANKGLTFVASVAHARATAVGILCDALTCPCARDPNPHPHQSAADDDGNKLLITAVADLLDPREFLAALASAAVDAPAVGLLDHARLKSWARALAQGWGPDGPGRYQRRTEAELADKIDLDMLAEDGAAGDQQEEEQEEKQPVVPHKTPKQRKRRAKPPPKEEDKAMAHKTPEQRRRRSKASLMEDDDEEEEKLSAAGEEDNGNGNASSQKKDETLSGRRERKKSKYLSPPYTNIGVFALEDKSDDSPKKSPPTSAAKKHERKNNKGSPDEKQQKKSKVVVPPQLDNVGAQEVLLLVRCFGEDVSHKRHFPKPAKDFLGLLRSSVFAEGADHHSYKDHECPVPKSAAAADGPIAAGLVSDSAAAPKGKRGRKKKDQDGSADSSSINNNKKKKTAALDCAAEDACEEKKKEEDACEKKKKEEDASEKKKRGRKKKEQDGTSPKGSVIKRKKMDKTSPKATPGPGLVITPAIPIRQVSAEDIMSQVQPGAGVPDPKMKNNLLVSKSPISAVMSPGGVKSGEEQDQGDGGSLVNAQVNAGGDQSARKNEEEATQPETDMNVDTLDGDVPVGSGAQTEVTMPMNVDMNVDTLIGDVPARSVAQAQAAMPETGGGIQLDMNVESVVDVPVASVEMEAMELEANIPVVDINEQIAAVEDVPATTGLLPQPSSYGNKDGAGMEVQESYTSLIKAMVPEMYKKVEEVDVAPQDEEAARKVEQTSQKMAVGDGPIFASHSSSPAKGTSAATNGTPCPDAPNSAHKRRHKKPAAYFANPAEIRVKFTDGTMILTKEELLAEFRRFGVLVESECDISEDRREARVVFGKSTEAEAAYTKAEFPGIFGQFGPPYATLGLNYLEAITLAKPKPPLARADMRKNVENMISSLKNGRSLPLSVGAAFPPAAGGGGAPVSESLLAEMQRLLSKLDKTQPAAGPSGSAPPSS >SECCE6Rv1G0377770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3267753:3270141:-1 gene:SECCE6Rv1G0377770 transcript:SECCE6Rv1G0377770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAATAAATNFEVDLGHLMAYDPSHHLAAAAGASSRSELRDECLRKATELAQAVANALFSLPATEGRDGPVVRLPPPANRLPREKHLPRPKPPTKWEQFAKSKGIIKHKKNKRAWDEQTNSWKRTYGYDRVNDDRDVPIIEAKLTDEPGVDPFAQRREEKKGRVDKQEKNRLGNLKNAAKVGALPSHIQLAATSVPITGTKADLPRKAKKEDLENVAGMASAATASGGKFDKKLPGEKPLKKAGKNRKFLPVVEGKGMGNLEKQQNDKILNSLLAKNFEEPLDVSKAITMYKVKKDNKRRKDKQSSSSSGSSKLKPQKKIHKKSSKNSA >SECCE5Rv1G0376600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873276136:873276615:1 gene:SECCE5Rv1G0376600 transcript:SECCE5Rv1G0376600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKDGYAQHFEVVALLAGLFIVGVFITPPPQRSLRPPSVCIAPYAYYARIGMIQPYLLLCILVSGVITSNLKRRTAAADAPPPTRKMRAYGILSLLLSLVSIVLIAVLATYLAQVRSTRFACWSLASKATACVFGVFVGVATLDMYYELAMAFLFDV >SECCE1Rv1G0044670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:603966034:603973604:-1 gene:SECCE1Rv1G0044670 transcript:SECCE1Rv1G0044670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSGAAPLEFPSTEWRRVYDRLMGLLPRVEELAADRARLEEINKLSEAREKSLRARLLQAEASRERWKTAYAELPPGANPKLAELQKRDLVDSEACEALSDPDNSQLKQVTSEDTNEMDLEHCTMQIFVKIKCSNFRKNRKIHRLKTITLEVMRSDTIYDVKDKIRDKEGIPEGQQRLMFGSKLLVDSCTLEDYNIEEESTLTLDLVPPGMRIFVKTLADKIMTIEVGGEDNIYIVKAKVFDETGICPGRQRLIFAGNELEDGCTLADYNVQNESTLHIVFRSACERDGMHIFVKSLTGKIMAIDVEGEDSLYSVKAKIFDEIGIPPGRQRLIFAGKELEDGRTLADYKVHHECSIHLVVFHGLACQRSGMHMHINIRGLTDKIMTAVAEGEDTIYSVKVKVSAETGAAPDRQTLIFAGNVLEDGCTLADYGVQHASTLHVVWRGVTRQRGGMRIFVRTVNGKKVIDRAFKPRQTIDDIKAWIYSELCIPPDHQQLSDRGGAPLAEEISRSCTLVLQIRPPGGQ >SECCE6Rv1G0441330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810362407:810363525:1 gene:SECCE6Rv1G0441330 transcript:SECCE6Rv1G0441330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSAAHAEGGSRASPDKADERAKEARLDLPDGHVKQEAAAAAYSPRVDLTVRIDKQVLHCPLCTLPFKPPVFQCKAGHLACGGCVAQLPFVQCNACVDGGGFLDPCPAVDAIVSSTRIECPNVGCQWNVTYHKVAEHQKACPHAPCQCTVPGCGYFGAPQALASHLNTVHSVPIRIVQYGKVSQLQLSVSTQRVVLLGDDNCVFLLTIGALVAGVTTVSVVCARARAATPPRFTCKMWVNLEPPTAAANCGTEDMLLVAMHIRSSSSPGAVVTAGEPTFLTVPPMYLVPAAGDGTSMEVPLHIRIDKLSPWSDASV >SECCE5Rv1G0339940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611515710:611519470:1 gene:SECCE5Rv1G0339940 transcript:SECCE5Rv1G0339940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRRRDDRRSILVASVCLFLLLLLPSAASSSSSSSSSSFNSPQQQSKIITHLPGFDGPLPFQLQTGYVEVDKSNGVRLFYYFIRSERNPAKDPVMIWLTGGPGCSAFSGLVYEIGPLSFDRHADVNGFPKLLYKTDSWTKVSNIIFIDSPVGTGFSYSKTEQGYKSSDTKVVTQIVIFIKKWFDEHPEFLSNPFYVAGDSYCGITVPGITLGIAKGIEDGSGSALSLKGYLVGNPVTDYWYYDNPAKIPFAHGMGLISDEMYQAYKESCGAGQFSVRCAKSHDAIEECVKDICPNHILEPLCAFASPRPFKLKLSSGPREVLQLQDEDYTAGARTRLQLSEISTECRTAEYAMSMIWANNDSVREALGIHKGTVPSWLRCNFDIRYTNDIFSSVEHHLDVTTRGYRSLINSGDHDMIVPSIGTQAWIRSLNFSVVDEWRPWYVDAQVAGYTRSYSNNLTFATVKGGGHTAPEYMPKQCLAMFARWLSGEPL >SECCE5Rv1G0322260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:418148588:418149877:1 gene:SECCE5Rv1G0322260 transcript:SECCE5Rv1G0322260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSKGKKTRTKRDPPSPTSVPPPPPVEPQATAPDVSIDVLAEFNIFSILRRLCLADLLRAALACHRWRRLAASCLPRAPPLLGHFFHPTQVNPPYPLQETKDPDTPAVFAPLDASSPRLSLDFAPDAFRFYIYDSHQGLLLCEPSDPVPKEVVPRFLVLDPATRRRTLLPPPRRDTVPDDRRWRSSRYYVGSALLSRAHPSKLCFEAVCFAIDGGHPRAWVASVDNGDCSWRALPRDEDVVVDFDPWRFEGRCVHAAGKMYWHICNSERVLVLDPVTLRFSYLPAPRALRIDALAVCKYRVGETPDGRLCLVTDGQQQLHLWVRGEGRSSDNGWLLERRIVDLCALCDMIPGMPSNRMLRTVCIWPTDMDAGRTGKVFIKTWGFGRYTYDLHTGGMERLPRKGGKDYGHPVFAYSLAWPPAFLAPEY >SECCE5Rv1G0315390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:290735051:290744190:-1 gene:SECCE5Rv1G0315390 transcript:SECCE5Rv1G0315390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G20330) UniProtKB/Swiss-Prot;Acc:P49077] MATVRVTLPHPLLPIPSWRPQLKPSSSYPRRAVAAPASLSPSPLTASLQLGDVIEAQQFDRHVLNEIFEVAREMEAVERGSHGFRSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAAATAEIPVINAGDGPGQHPTQALLDVYTIKREIGRLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKEYLTSQGVEWEESSDLLDVASRCDVIYQTRIQKERFGERIDHYEAARGKFIVDKKVLGVLPNHAVIMHPLPRLDEITVDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGH >SECCE4Rv1G0288200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:858979200:858982457:-1 gene:SECCE4Rv1G0288200 transcript:SECCE4Rv1G0288200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRGGALAAVAALLLPVFVLLSSCRGGAAQPSPRCGDGDLAALRGFSAGLDATVDGWPAVSNDDDGCCAWPGVVCGGKGVVGVVLPNRTLRGEVAASLAGLTALRVLNLSGNALRGSLPPGLLRLRRLEVLDVSSNALVGAFFDAAGAGVIDLPAARVFNVSYNAFNGSHPVLAGAVNLTACDASGNGFEGPVDAAAVCASSPGLCVLRLSMNRLSGDFPVGFGQCRSLLELSLDGNGITGALPDDLFAATSLRYLTLHTNSISGEIPLGLRNLTGLVRLDLSFNAFSGALPEVFDALAGTLQEFSAPSNLLTGGLPATLSLCVNLRVLNLRNNTLAGAIGLDFRAVNSLVYLDLGVNKFTGPIPASLPECAGMTALNLGRNLLTGEIPPSFAAFPSLSFLSLTGNGFSNVTSALRILQRLPNLTSLVLTKNFHGSEAMPEDGIDGFAKIEVLVIANCELTGAIPAWLAGLRKLKVLDISWNRLAGPIPPLLGELDRLFYLDISNNSLQGEIPASLTRMPALLAGSGNGRDDDDEKVQDFPFFMRRNVSAKGRQYNQVSSFPASLVLGRNNLTGGVPAALGALARLHIVDLSWNGFSGPIPPELSGMTSLESLDVSHNALSGAIPASLTRLSFLSHFAVASNNLSGEIPIGGQFSTFSRADFAGNPFLCGFHVGRKCDPKINDQAADGTTGSNDGRKNAASAGVVAAICVGTALLVAVVIAATWRAWSRRRHEDNACRVAAGDDEESTDSSAARSSTLVLLFPGDDEEVETTAITLDEVVKATGNFDESRIVGCGGFGMVYRATLAEGRDVAVKRLSGDFHQMEREFRAEVEALSRVRHRNLVALRGYCRLGKDVRLLIYPYMENGSLDQWLHERADAGDALPWPARLRIALGAARGLAHLHDGGGGGGARVMHRDVKSSNILLDAAMEARLGDFGLARLARGSDDTHVTTDLVGTLGYIPPEYGHSPAATYRGDVYSMGVVLVELVTGRRPVDMAARLGARDVTAWAVRLRREGRGHEAVDAAVSGRHREEAARVLELACACVSEDPKARPTAQQLVERLDAIAGAAAAPSEICGDTQHGR >SECCE3Rv1G0211170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:947935583:947937358:-1 gene:SECCE3Rv1G0211170 transcript:SECCE3Rv1G0211170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDRLSALPDQLLRRILHFAPAKEAASTRALSRRWRSPLLRSSGAVHLETHVEYIERLYQSWGEERKSLEATFFSRRDAFVSAAQAALAAADHVTTLTLRVQFESGVDRRLWLSQDEDQSLIATLLSHPAARRIQVLRLTVEGRYDAYHEPEKEIARKVVGDYMLSLGFLPSETLRVLDLTNCNNILPPAATVVFTRLSSMWLRLCTVRLDDLQSLIHAAPVLTTVHLESVVITITPTDDMGPASHHASGDTSPPPPTEVVLRCPAATVLVLERCSWRGNDHAEKHAAVAVEIHAPRLRRFVYMGLLRRFTMSPRPPDLSRADLHFFWPCDEERYVYPHLKHRSDEDPNPNLVTFWRFLHDISSAKELKLRVNNLEDVAVLSEARRVELLPAFSNLERLELQGVHRPKGKTASVAIANLLRCSPVLRNLRIDLTTAQHGAILRDDRIKGYVETKFLSDCDKSIDLINRAEPTVVSLKDDGDVTSAEVLDIPGLSRHSFECLQSSLKRVGLQFRLEESNCLGVKLIKFFTENATVLEEMHIDSGNAKLSEHMNSEVKTWITSSSKRRKSGASSFIVLPLESRELCIERGF >SECCE7Rv1G0470040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:115458256:115460365:1 gene:SECCE7Rv1G0470040 transcript:SECCE7Rv1G0470040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGHSTAWLPLLVLLFAAGAAAQSCLSATFTGGRAFLKCNQLPVLGASLHWTYHAGNGTADIAFRAPSGADGWVAWGINPTGGGMAGSNVFVASQSGGAVSVLTTILRTTNPTLDNTALSFAVPVPASAEYAGGAYTIYATVALPGNTTSQNTVWQAGPASGGGISPHPVSGQNLQSVQNLDFLSGTSTGGSNSRLHRRNLHGVLNGVGWGVLIPLGAMIARYLRVFEAADPAWFYLHIACQISGYVLGVAGWGLGLKLGSESKGLTYSAHRNIGIAIFCLATLQVFALFLRPDKKNKYRVYWNAYHHSVGYSVIVLAAVNIFKGLNILKPVTGWKTSYIVILATLAGVALLLEAITWVVVLRRRKRNKAYGGANGTGVQL >SECCEUnv1G0540270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78721917:78722734:1 gene:SECCEUnv1G0540270 transcript:SECCEUnv1G0540270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFLLLAALLALVSWQGTASDPSPLQDFCVADMNSAVRVNGFVCKNPMEVNADDFFKAANLDKPRVTNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNQPAPNRNKFLSKVLNKGDVFVFPVGLIHFQFNPNPHKPAVAIAALSSQNPGAITIANAVFGSDPQISDDVLAKAFQVEKNTIDWLQAQFWENNHN >SECCE2Rv1G0073710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:70462785:70463138:1 gene:SECCE2Rv1G0073710 transcript:SECCE2Rv1G0073710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASLSAVAAPLSVAGLKKATSFRPLPVVRAGRPAARMTVVRASSASVQEKLTAGLTAAAVAAALVLPEVAEAASPGLSPSLKNFLLSIVSGGVVFAGIAGAVVAVSNFDPVKRT >SECCE7Rv1G0500410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:666800991:666803027:-1 gene:SECCE7Rv1G0500410 transcript:SECCE7Rv1G0500410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHITARVIVPRCLLLFASFFLLLRSPLPAAAAGDVTLLLDRLKPALQGATPNPQLATWNASTPLCLWRGLRWSTPAGQPLRCDTAAARANLSLAGDDTLLLSSIRLPAAALAGRLPPELGAFPSLESIYLAANSLSGAIPLELGNAPALSELDLAGNDLSGALPPSIWNLCDRLAELRLHGNALTGAIPAPAGPNDTCDRLHLLDLSANRFSGSFPAFLTGFRGLQRLDLGGNHLSGDIPESVAAMRGLQMLNLSYNNFSGQLPPGFAGSSFSAESFLGNSPALCGPPLQQPCVSPSGLSSGSVAGMVIGLMAGAVVVASVSIGWAQARWRRNRVRRAAEEGVETEEGGEGDSEGKLVVFQGGEHLTLGEVLNATGQVVEKASYCTVYKAKLADGGGNIELRLLREGSCKDAASCGPAVRRIGRARHENLVPLRAFYQGRRGEKLLVYDYFPHRTLHDLLHGGLESRPALTWPRRHKIALGAARGLAYLHEGRHGDGPVVHGNVRSSNVLVDEYFVARVTEYAVVSRLLVPSAAEAVLSAAKADGYRAPELQTMKRCAPRTDVYAFGILLLELLMGKKPSTAANGGDDLPSLVKAAVLEETTTEVFDPEVAKGVRNPAEEGLVQALKLAMGCCAPVAAARPSMAEVVRQLEENRPKSNRSALYSPAETRSDAGTPLYS >SECCE1Rv1G0039850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:553963265:553964814:-1 gene:SECCE1Rv1G0039850 transcript:SECCE1Rv1G0039850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQVAAAVPSPCAPSTSSRSPFLGGGGGGGGTVARSWAPGGLAARRRAEGRPARCALSASIDGVGGGDMEFLRRIEELAASAGVRTAGCGWPPSLEQSASGVGLPLSLRMLKRKKQQRRQQQVPPRSRWDERLGLGSAGESVGRAFSSMVLIVRELQSFALQQMQEALLCDDLQAVLARAHGEMQDSFVWLFQHIFAGTPALMLSLMLLLANFSVHSMSNSVAAAAVAPTPPAAAAAAVIDTEHTETSNPRFDAASVKTFSAGRTASVGGGSGGSGNVRPVAGASGDDRWDESRYSLNHVAPQQLTPLTGTGALNGVPDAAAVDEQAIWERLVAEASSMQADVRADELTDPDVLGNLVAPVEAEIETEDVGEYARTEQRYELAVSEEPNNSLILANFAQFLYITRKDHKRAEHYFERAVRAEPADAEALSRYATFLWKARDDAEAAEEAYQEAIAADPGNAHYAAAYAHFLWNTGGEDTCFPLD >SECCE6Rv1G0432360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:751603008:751606600:1 gene:SECCE6Rv1G0432360 transcript:SECCE6Rv1G0432360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALSLMLILLLLANARASAVALANVDDDNDEQLLERFKGAVRNRGELRGWSRGDGACRFPGAACVKGRSQDSNIGGPAFASNAGIGRSLVALSLAGVSLDVDFRAVAGTLLQLGDVEVVSLRGVNVSGSLASGGDGGWMCGKKLARLDLSGKGMLRGSVADAHALAGACDGLRELNLSGNALVGGGGRKGDSRDIFVRLDVLDLSNNNITGDGELSWMGGVRRLNLSWNNISGPLPVPVFVNCSRMESLDLSGNLISDEALPGALSGCTALASLNLSSNHLEGAFPPDIVFPASLSYLNLSNNNFSCELPGDAFAGLPRLSSLSLSLNHFSGSVPDSLGALAELRVLDLSSNVLTGAIPPSLCPSTGNKSKLLVLYLQNNYLTDGIPTTISNCESLESLDLSLNYINASIPSSLGSLARLRDLILWENKLEGEIPPSLAGARRLENFILEYNGLIGSIPTEFVNCKNLTWISLGSNRLSGSVPAWLGRLDNLTILKLNNNSFSGQIPPELGDCKSLVWLDLNDNQLSGSIPPELAKQSGKMTVGLIIGRPYVYLRNDELSSKCRGKGSLTEFASIRSEDLNRMASKKLCNFTRMYMGSTEYTFNLNGSMIFLDLSFNKLDSEIPKELGAMYYLMIMNLGHNQLSGAIPTQLAGAKKLAVLDLSHNQLEGPIPTSFSSLSLSEIDLSYNRLNGSIRELGSLATFPKSQYENNSGLCGFPLPLCQPSSRLHPPLVSTASSNEYHLLKILLPTITLVFIIIVVCLYYPLMRNKLKKNMIKASDDPTDPANHQLISHLELVRATNNFSEDNMLGSGGCGKVFKGQLSSGLVVAIKVLDMRSKRAFRSFDAECRVLRMARHRNLIRIINTCSNMDFRALVLEYMPNGNLEALLHFSQGGERLFGFRERLRVMLEVSMAMEYLHHDYHETVLHCDLKPNNVLFDKDMIAHVADFGIARLLQADDSSVFSMSMHGTTGYMSPEYGSYGKASRKSDVFSYGIMLLEVFSGKRPTDAMFVGELSLRRWVHQLFQADQCVHAVDRRLLQCSDMDIGFLAPILEVGLLCSSNSPRDRITMSDVVLRLKNIETKYAKNTTSTSGSASQ >SECCE1Rv1G0047790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:629619779:629621347:1 gene:SECCE1Rv1G0047790 transcript:SECCE1Rv1G0047790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPSSRDASPQPRRPASAAARPVASGTGAGAGTGNGKRGGLLLGRYELGRVLGHGTFAKVYHARHADTGETVAIKVLDKEKALRAGLVPHIKREITILRRVRHPNIVRLFEVMATKSKIYFVMEFVRGGELFARVAKGRLKEDTARRYFQQLISAVGFCHARGVFHRDLKPENLLVDEHGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEMLARRGYDGAKADIWSCGVILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSRDLTSLLNRLLDTNPETRITMAEVMQSRWFQKGFRPVRFYVEDDQLHSLADGESEELGLVEPTEPPPPPPLPPPLPPPPQQEDDDSGWESDSSVASCPATLSSEERQRPAGRLTRPASLNAFDIISFSKGFDLSGLFEERGSEVRFISAQPMETIVTKLEEIAKMKSFSIRRKDWRVSIEGTREGEKGPLTIGAEIFELTPSLLVLEVKKKAGDKAEYDDFCNKELKPGMEPLVHHQPGSARNVPSDTQ >SECCEUnv1G0569060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:435269812:435270189:1 gene:SECCEUnv1G0569060 transcript:SECCEUnv1G0569060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGRADDGHRGHLGPPGFGRRRGRQHSTPRAERRTSKSRSAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWVAFPNNPTR >SECCE1Rv1G0033410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:467419438:467420561:1 gene:SECCE1Rv1G0033410 transcript:SECCE1Rv1G0033410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKALLFAVLGCLCFYSSVHAARELNDDLPMVAKHEGWMARYGRVYKDAAEKAHRLEVFKANLRFIESFNTGDHKFWLGTNQFADLTNDEFRATKTNKGFIPNKVNSPTGFRYENVSLYTLPTTVDWRTKGAVTPIKDQGQCGSCWAFSAVAATEGIVMLSTGKLVSLSEQELVDCDIHGEDHGCYGGDMREAFEFIIKNGGLTMESNYPYMATNGTCKGGYNSSATIKSYEEVPANNEGDLMKAVANQPVSVSVDGGSMTFQLYSGGILTGSCGTDLNHGIAAIGYGTDSNGTKYWLFKNSWGTTWGENGYLRMERDISDKRGMCGIAMNSSYPIV >SECCE1Rv1G0001040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:3542295:3545024:1 gene:SECCE1Rv1G0001040 transcript:SECCE1Rv1G0001040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAILLAVSKIGAVILNQAVTDVVQRVEAARELPAKVKRINVELTTMNNIIHDLGTRHLSNKVIKGWIGNVRDLAYRVEDVIDKYSYEALKLKDQGFLQRYIFFGGSRHIKVFSKIIEEIDEIEKDIMQIKDLPSYWRDTVQPTSNDHAKIDKTRSGSCFPGLFSDEDLVGIDENRSKLTEWLSTDDKESSVITVSGMGGLGKTTLVKNVYDREKVNFPDAHAWIVVSQAYDVVDLLGTLLTKLRLTQGTQSPLSMDAKANVYDLSEAIRKTLQDKKCLIVLDDVWDKDAYTQMCNAFQGLKGSRVMITTRKEDVAALASSRRRLVIQPLGSAESFKLFCSRAFHNSPNHECPPELKTVAADVVKKCHGLPLAIISSGSLLSTKQPTEHVWTQMFEQLRDEFKGDNNVQAILNLSYHDLPGDLRNCFLYCSLFPEDYAMSRESLVRLWVAEGFVTRKGNNTPEEVAELNLMELIGRNMLEVVEWDELFRVSKCKMHDIVRDLALAVAKEERFGSANDPGEMISMETEVRRLSTSSGWTADPRVEFPRLRTIISLAAASSSTNMLSSVFSGSSYLTVLELQDSAITEVPASIGNLFNLRYIGLRRTNVQSLPDTIEKLLNLETLDIKQTRVEKLPPGIVKVEKLRHLFADRFADEKQTEFRYFVGIEAPHLISNFQELQTLETVHASKDMSMELKKMRKLQTVWVDNINASNCDDLFKTLSDMPLLSSLLLSAYDETEKLSFQSLVPVSKKLHRLIVRGGWADGTLKCPIFQGHGRNLKYLALSWCNLGKEDPLQLLASHLPALTYLSLNRVRSAAILVLSAGSFSKLKTLVLKSMPNVQQLDIEEGAIPHIDGIYIVSLAELSMVPRGIESLGTLKKLWMMGLHKDFKAQWNLNQMHNKMKHVPELRS >SECCE2Rv1G0109390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:674967503:674973050:-1 gene:SECCE2Rv1G0109390 transcript:SECCE2Rv1G0109390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGHPPPASAAAQNGSHSSGGGGGGGGGGGGGGGGNPSSGGTAAALRHDPGLSREWTPEEQAILDELLIKYASDAPVIRYAKIAMKLPEKTVRDVALRCRWMSKKESGKRKKEDHSSSKKSKDKKEKVADSSSKPPVHIAGRPSVPPYSLPALPIDDDEISSEAIGGPTGELLETNAQVLSQISTNLGTMQIQDNISLLCQTRDNILRVLKEMNDAPEIMKQMPPLPVKINEELVNSILPRPTVPMQ >SECCE4Rv1G0287910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:857375876:857377054:1 gene:SECCE4Rv1G0287910 transcript:SECCE4Rv1G0287910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKIHRLPPSLADMGDQFVTPMAVAIGPYHHGLPHLEGMEKAKRVAAYTLTRDSGKSREEMYSLVLEVADKGRRMYADDVVSGISTAEFADMMFHDACFLLQYMLSFSYSLSVETDSMSPLQRFFFTNMACINIDIMLLQNQLPWFVIEALMTAMRVDVGGFVAWMRNSMSPYEDYHGYALSNRAPHLLGHLLRHKQGASVVKVRAGLFCALSAPVSAMELEGIGIKLHHSKTGHIDIGIKKGFLFDKLLLPSLQLDRIRASWIANLVALEVCTASYSSESNSSVCSYVAILAMLMDREEDVHKLRSKGFIHGELSDKQILDFFNGLAQQISPGIHYFHILHHINDCKHRRFMRIMVCKFVSDNAKAIAATLSIIGVLVGIFKALYSLKQH >SECCE2Rv1G0137870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920237088:920241645:-1 gene:SECCE2Rv1G0137870 transcript:SECCE2Rv1G0137870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVAAAAATSASNLSLRLPSTLPCTVSLTTRLLRTARAPPGRLRTAAASRTLAPPATPEVSEAEELRMEAESVLEWGGVCARLADFAATAAGRAACGEGRVPVGRSREESERLLEQTAAASLLSAPLDFGGVGDVSAVVAAAARGRLLAVREICGVGRSLRAARGVFDQVKCLAEEMPDGRYTSLLDILQGCDFLTELVKMIEFCLDSNLSMVLDRASERLGTIRKERRMNADMLESLLRDTSVKIFQAGGADSPVVTKRRSRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAIKLNNTEVKLSGDERAEELAVLGLLTSRIADSRTKIRHLMGKILELDLACARGSYALWINGVRPAFTDRDSSSESDPSGAYSVFIEGIRHPLLLEQSLGTVEEATEVRKEQLSEDHHLSEDHHVPSMPVPLDMHVKNDTRIVVISGPNTGGKTATMKTLGLASLMSKAGMFFPAKGRPRLPWFDQVLADIGDHQSLENSLSTFSGNISRLRKIAQVVSTDSLVLIDEIGSGTDPSEGVSLSTSILKYLASRLNLAVVTTHYADLSRLKAVDDRFENAAMEFCLETLKPTYRVLWGSTGNSNALSIAKSIGFDQKVLDRAQEWVEKLLPDKQKERQGLLYGSLLDERNLLESQANEVASVLSEVEDLYNEIRLEADELDTRVAALKAKESQKVQQELKSVKSQMDLLIKNFEVQLKNSKLEQYNSIMRKAESATASLAAAHQPTEFAVSDDENKSLYVPQIGDKVYVEGLGGGSMATVVEILSEDGSCMVQYGKIKVRAKNNKMKLAQRDAKETSASSSAQGKGSRAQKRSPAVTGSVSFAPAVQTSRNTVDLRGRRVGEAWHELEMAIDGCRPYQVLFVVHGMGTGAVKECALDVLRSHPRVVRIEDESPLNYGCTVAYIE >SECCE5Rv1G0305590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:74451327:74453871:-1 gene:SECCE5Rv1G0305590 transcript:SECCE5Rv1G0305590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAMNPASPAPSAKLRTPPYHHPSPAAEEEPMATPPPKPTGQRSPLPSPLQLSGGYSLHELLLLSPSPTSRRTRSGQRAAAGAGVDSSLEMAGTPPRRRRATPAGVSPRNARRARRRLEKEVEPEEEAVRKARRRRSSRVASKVAAPVPVDKAVAVVVAAAAAAPEKEDDMISALVPAPADATHVTETDAPEQSGWESLWERVVELLMWKDVAKSALWFGLGSMFFLSCSFSREITFSPISVFCQLGVMILGLAFFKDSVPQSRQPVRERNFQLTEKDVLRAAGAVLPIANSIISTAQVIFSGNPSMTLKVLPVLLFGAKYGSLITVWRLLATGFFTSFTFPKLYICYSTQIQMIADNLIDRALEAWKSCPRKKFVAGTAVTVCWNLFSVKTRFVAAFISVVILRYNHQAAGPV >SECCE7Rv1G0496840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:617720303:617726938:1 gene:SECCE7Rv1G0496840 transcript:SECCE7Rv1G0496840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPPAPSASAHPCLRTHGKEVARLHLFDWIVLLLLVATTGALGLVQPFHRFVAQDMMSDLRYPMKGSTVPNWAVPVIAIVVPMVFIVGIYIKRRNVYDLHHAILGLLFSVLITAIVTVAIKDAVGRPRPDFFWRCFPDGVPKYNNVTGDVICHGDHGVIKEGYKSFPSGHASGAFAGLGFLSWYLAGKMKAFDRRGHIAKLCIVLLPLLLATMVAISRVTDYWHHWQDVFAGGVLGLVVASFCYLQFFPPPYSEHGVWPHAYLEHIHRPDDEMQAQSTTNSNMHHNSLPIGLSGSNETRTSSHALDSMEEGSRAEMSDI >SECCE1Rv1G0057710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694750241:694757991:1 gene:SECCE1Rv1G0057710 transcript:SECCE1Rv1G0057710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKGANPSCKLHTRLRLWEFADSYIFEPIDGLADLYLSVSRSSGSMNLVEELPPRSSSTNPKVQTVYGVIGVLKLAVGSYFFVITGRDCVGSYLGHAIFKVTGLKVLRCNDSLTTSSEQKKMESEISELLDAAEKTMGLYFSYDINLTLNSQSLYDVDDEFKSRPLWRQAEPRFLWNSYLLEPLIENKLDQYLLPVIQGSFENIQAEVGSEKVNVTLIARRCTGRIGTRMWRRGADSEGYAANFVESEQIMQSKGYTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRQEEAPSVLERHFKDLQKKYGAVLAVDLVNTQGGEGRLHERYAKSIEPILSEDVRYVHFDFHRICGHVHFERLSQLYEQIEDYLKKHKYFLLNEKGEKIQEQLGVVRNNCIDCLDRTNVTQSMIARRILESQLQQIGVFGVNDTITKYPAFDASYKVLWANHGDAISIQYSGTPALKGDFVRYGKRSARGILNDLQYSLARYYLNNFADGTKQDAMDLLQGRYITSVSRDMAPPTKAGFVESYASARLAFALVSGAFMFMMMSLRYARHDARHLLLSLLWAGLCIGITHFVRSNGRVFTNRPRAHKS >SECCE4Rv1G0218980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:26383075:26387749:-1 gene:SECCE4Rv1G0218980 transcript:SECCE4Rv1G0218980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEVQSTSKKQRIATHTHIKGLGLDANGTAIGMSAGFVGQAEAREACGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEESDSSTGGYGKSISHVVIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDAFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITEKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESSLSPIVILATNRGICTVRGTDMTSPHGIPVDLLDRLVIVRTQIYGPIEMIQILAIRAQVEEIEIDEDSLAFLGEVGQQTSLRHAIQLLSPASVVAKANGREKICKADLEEVRGLYLDAKSSAHLLHEQQGRYIT >SECCE2Rv1G0078140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:105609497:105610555:1 gene:SECCE2Rv1G0078140 transcript:SECCE2Rv1G0078140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWVLLAAVLVATHGVAAVSGTRAAADAIRLPSSEPGFREAPAFRNGDGCPPRGAGERVHIAMTLDANYLRGTIAAVFSILQHTACPEDVSFHFLAARRRDADAVRATFPYLEPSVYLFDPARVKGRISRSVRHALDQPLNYARIYLADTLPRAVRRVIYLDSDVVVVDDVRKLWSVDLDAGGHVVAAPEYCHTNFTKYFTDAFWSDPRLSATFRQGPHRRRRPCYFNTGVMVIDVARWRAGGYSRRVEEWMAIQKEEKRIYSLGSLPPFLLVLAGEIMPVDHRWNQHGLGGDNAEGRCRSLHPGPISLLHWSGKGKPWLRLDTRKPCTVDYLWEPYDLYKAAAATAIEE >SECCE7Rv1G0467010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82982951:82984988:1 gene:SECCE7Rv1G0467010 transcript:SECCE7Rv1G0467010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRERRMVFVTVGTTCFDALVKVVDSEEVKRALLQKGYTDLRIQMGRGTYMPSKAAGNSNLQVEHFTFLPSIADSIREASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERNHLLCAHPHMLRETVEAMDLNALQPYVPGEARPVVALINQFLGFPVCG >SECCE4Rv1G0264880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:723670752:723671903:1 gene:SECCE4Rv1G0264880 transcript:SECCE4Rv1G0264880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGRRLGISYVHDDKERDVTFFKRRGGLFKIAADLNAITGARVAIILETGNKKMYSFGTPSASPIVDAFLSAAPLGNQLNEKETSAKIARLQSEVARLDMEHGLEDKRNQLSIHHVKQIQEQFPGMVANLIFSKEQDLNLEDAKKLLNELSRFHEDTRHRLPKLHHSYKTMTDGASVIQNMLPSSVHSMWDHHLPQHHMPPTLLISPPEHNVEPLFPRIPQLLHVASATSAPEFASQLQGIPNQVHDLPPVDLHVEDYISPCDTVHPPQNNASPISTTAHNMEASPLLVYSSSNDFVVDDPFGHDRWGFALPDQQLYNGFLGIDGYIGNNGTNIGQSSMGNGGWVDAPPESSPGKQDGDARIEYGGLP >SECCEUnv1G0536660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:65226573:65226914:-1 gene:SECCEUnv1G0536660 transcript:SECCEUnv1G0536660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILPKLVAVVVLVALMCAVAVAQVPAAGGAPVCEGVDQNVVNACFKSFGEGMKIAIADRIISAGNVIKVRVDCCIAFGGHSCLCKMKKVWKAEGHSAQDNVQCVIREKAC >SECCE6Rv1G0416250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:647752778:647760810:-1 gene:SECCE6Rv1G0416250 transcript:SECCE6Rv1G0416250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPIVSAAAGVMNPLIGKLTALMGDEYKKLKGVRKEVAFLRDELSAMNAALEKLEYMEKLEPDTKNWRDHVREMAYDMENCIDDFMQDIGGADANAGAGFVKRTARRLKTLRVRHRIAGQIQELKALAVEANERRKRYKIDDCNTSCGSVDIDPRISVMYKDAAGLVGTDGPKKEVVSLLTITEKRLKVVSIVGFGGLGKTTLANQLYNDLEGQFDCKAFIPVSQKPDMPVLLNSLRLKLGINESSNICKVQDIIDQLREHLANKRYFIVVDDLWNEEAWDIIRCAFPENGNGSRVIVTTRVEDVASSACSYNCEHIYKMKPLSSEDSRKLFTSRVFGSENKCPSNFEEVSNEILKKCGGLPLAIITIASLLASRRERSRNDWENIRNSLGAQFAINPTLKGMRSILNLSYMNLPLHLRTCFLYLGMYPEDYEIMRDDLVRKWIAEGFVSNSHGTNLEDVGISYFNELVNRSLIHPARNIYKQVCYKVHDMMLDLILSKCAEDNFNSVAYTSEDMTRLSNCTYRIRRLSLISAIDRTTKETIPWTASDSISHVRSLVWFGDCKSTPRPSQLKYIRVLSFECPYLPRGSHLDLTAIIQLFQLRYLKVSANCYAKLPTEIRGLVHLDTLDVPYGSIPSDIEHLPRLSNLTMGVYEMIGLPERIGIMESLHTLRGFELQRSSLEALEGLGKLTNLRSLRLDNSNDDECNLLEKAKFDAFASSICKLRNLKYLQMKGDHEDKDDILGSVSDPPTLIEEMDLISWKILRVPKWIGDLNCLHSLELSVRGTKTDEITILGGLPSLVYLKLRVATCPKEEAVIVSKGLFPVLERLTFISDEGVLAYLDFEAGAMPKLRDLNLQLNKRLWGGATPAGMEHLLDLQQINFTALDNHKASLEHVKLEIESAFRNALQLHPSPPSLDITCYAW >SECCE6Rv1G0395940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:348986042:349002407:-1 gene:SECCE6Rv1G0395940 transcript:SECCE6Rv1G0395940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLGRGRWRPVVVAVLMVVAGVGHVVANTEGDALYNLRQSLKDSNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAQLSGVLVSQLGQLKNLQYLELYSNNISGPIPAELGNLTSLVSLDLYLNKFTGVIPDSLGNLLKLRFLRLNNNSMSGQIPKSLTDITTLQVLDLSNNNLSGAVPSTGSFSLFTPISFANNPLLCGPGTTKPCPGDPPFSPPPPYNPPTPPTQSAGASSTGAIAGGVAAGAALVFAVPAIAFAMWRRRKPEEHFFDVPAEEDPEVHLGQLKKFSLRELQVASDNFNNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPSEPPLDWDTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGITLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQSNYEEAEVESLIQVALLCTQGSPVERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAELAPLRNDWIVDSTYNLRAVELSGPR >SECCE7Rv1G0521130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873093455:873095270:1 gene:SECCE7Rv1G0521130 transcript:SECCE7Rv1G0521130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEDAEKLQLIEVLTEDVDSVQKRVLAEILARNAGTEYLGSFGNPTDRATFRAKVPVVSYDHLKPYIQRIVNGDRSPVLSASPVTDFFTSSGTSGGEPKLIPNIEDEGGRRKLTVGLVTAVINSHFPGADKGKGLYFMFVKPETKTPGGLTAWPALTSVYKSEHFKPVLEHHYTSPRAAVLCGDAFQSMYAQMLCGLCRRHDVLRIGAVFAASLLRAIRFLQLNWEQLADDIEAGVLTPRVTDASVREAVAGILRRPDPELARLIRQECCKGDWSGIVTRVWPNTRYLDVIVTGAMAQYVPALEHYGGGLPMVSTSYASSECYMGINLRPLCDPSEVSYTLMPNVAYFEFLPVDKETTGEADASQLVDLARVEAGRDYEVVITTYTGLNRYRLGDVLRVSGFHNAAPQFQFVRRENVVLSVETDKTDEAELQRAVERAAELLRPHGASVAEYTSRTCTEGIPGHYVIYWELQTAGGAAEVDRETLDGCCLEMEDALSAVYRRSRVVDGSVGALEIRVVRPGTFEEVMDQAITHGASINQYKAPRCVTLPAIIELLDSRVVSTHISPSLPHWTKKNV >SECCE5Rv1G0305480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:72954414:72956341:1 gene:SECCE5Rv1G0305480 transcript:SECCE5Rv1G0305480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRPRRAQPAPARIRPVPIAVTPEGFWCCPSPAALHKSLKNPHHHHGHGGGNSHSQSHSRQQRKIPSAPPSVAPSVQNTPSVTDEPGRREAPDEQNAAAAEAATDKRQEQAGDAEAQQHKICVGFGQPETSDLTVMLYGKEGIAVRMSVHRDVLCGSSAFFTDKLSDGHGGSPVPCVEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAELLGFHACVKSCLDYLEAVPWVGEEEDSVVSSIRHLQSKSYGVSPLLKRVTSDIPYSPTDTLSHIMEMVLKSNDDRGRREMKALVLNLLKDSSRCTDGTSDICSELFYGSCRGCLERLQPLFLEASEAGFPSQVTRQITLETDNILWLVEILVNQRICDDFVIMWASQNELASLHAKLPIASRHTVSSITARLFVGIGRGEMLPSKDTRLLLLQVWLQALIDDYSWLQCSCRSFDRKLVEEGIGQTILTLPLEDQRSILLSWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVNQAR >SECCE1Rv1G0029210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:410865040:410867927:-1 gene:SECCE1Rv1G0029210 transcript:SECCE1Rv1G0029210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPRLGFRGLQPVAMDAPAAPPRKLSPPAAPRRRLSPPAAPPRKLSPPASRARPVNKSPPGHLPHPHPPPHHRPPTPTPQRSHLHGQQQQHKHQTSPWSVGFLSAWLSQRTPVLGLRAWVLVAAGAAAVVLAVLVLTVCLCRCRRRRRRCPRVAPSLHHGGASRSMKHHLHQVMADKDIVEESARWHPPPPCEPPFQPPIEVIKAEQKAPLITVESARTSGETATSIAGSARGWSTESGASDAEADASQRGWGRRYTRRELEEATDGFAAHNVLGEGGYGVVYKGVLRDSTLVAIKNLHNNRGQAEKDFKVEVATIGRVRHKNLVSLLGYCSEGACRMLVYEYMENSNLDKWLHHEEGEISQLNWDTRMHILLGTAKGLAYLHEGLEPKIVHRDVKSSNILLDGQWNARVSDFGLAKLLCSEASYVTTRVMGTFGYVAPEYARTGMLNERSDVYSFGVLVMEMITGRTPVDYTRPTAEVNLVEWLKRMVAERRVEEVLDPMLPEAPPSKVLKRAVLAALRCVDPDGAQRPTMGHVVHMLEDDLRFRDELQLARGLSAHVSASASSGSYEREE >SECCE7Rv1G0478510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:238076720:238078255:1 gene:SECCE7Rv1G0478510 transcript:SECCE7Rv1G0478510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPQQAEMAPPEDAPAGVPANPLPHSVRGVFLNYIDYWRPRFFARPSTDSVVDYGNLDFLPEYSRGYNSILDHCNGLLLYGNAWLYCVVNPATRRWERLPRMDSNDYVPYLVFDPALSHGYEVLLFRREPEKPRKFDLIEFLSLLDDDMSVVEEDVENESQDEPAVEPSPMQSIDDLHRLTEWPPLEWTLPVFSSAAGEWQERSFVREGEAAKTMASMQLDAVQPMEWGPRWRYSVYWRGALYVHCRGAFVARLSLLDGKYRVFNTPVDFEESKHARPYLGKSEKGVYFATIHKYNNLLRVWNLSESSSPIDWILKHTIELDQSTLWAAARFYQHDINGPWILEDDNNDGVENKMASRKQNIEWNSDDDNVMSDEGDSDDQSGYIYFLGFHPYKEVIFLGLSFIGVAYHLNSSKVQYLGKLRPKDYFTAYSNGIYESFMYTPCMFGELSETPP >SECCE1Rv1G0014370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:114182396:114191714:1 gene:SECCE1Rv1G0014370 transcript:SECCE1Rv1G0014370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRPRSPLCPRSMQPLVVVRPAGRRGGLAQPFLLNGRFSRSRTLRCMVASSDPPNRKSRRMVSPQVEVISSRGYTTRLIVEPSTEDTEHNNRDKETLDTYNTLLSTETAEWADTREAETAKVDSSQNALSSSIIGEVDVADEDILAVDLTVNSLRSVANREVDAADKARVDEDVFELDLPETTLRSVIVDVMDHNGTVQETLRSVIVDVTDDVADKAGVEEDVFELDLSRNISSSATTVELDAVDEVGPVQDTFEATSSGNVSNSATVRVVDATDEAGNDQDIFRADFSGNVFSSGTIVEVGAVDEAGSIKDRFETDSSGNVSTSATMLDAIDEAVADQDAFEADLSGNASSCATYGEVDDMVDETRPEEETFAMDLFASDSGHEKHMAVDYVGEATDEEDQQQYPVLSSFSMWDKAIAKTGVSLNPELRLVRVEEQGKVNFSDKKDLSIDDLPGQNQPIIGSYKQDKSIADVAGPTQSIFGSSKQHRSIVAFPKQNQSIVSDPKQKQSIVGFHSQDLSAVSLPKQTVPIVGTSRDGQTKQVPVVDRQDALYVNGLEAKQGDHTSEKTDEDALHVKFNVDNVLRKHQAARTQAVETTTRKKVDEEHLDMTEHQIGAAEGQMVVNEDELSTTEIGMGRGDKIQHVLSEEELSWSEDEVQLIEDDGQYEVDETSVSVNVEQDIQGSPQDVVDPQALRVMLQELAEKNYSMRNKLFVFPEVVKADSVIDLYLNRDLTALANEPDVVIKGAFNGWKWRLFSERLHKSDLGGVWWSCKLYIPKEAYRLDFVFFNGRTIYENNGNNDFCIGIEGTMNEDLFEDFLVKEKQRELEKLAMEEAERRTQTEEQRRRKEAKAADETVRAQAKAEIEVKKNKLHSMLSLARTSVDNLWYIEASTDTRGDTIRLYYNRNSRPLAHSTEIWMHGGYNNWTDGLSIVESFVKCNDKDGDWWYADVIPPEKALVLDWVFADGPAGNARNYDNNARQDFHAILPNNNVTEEGFWVQEEQNIYTRLLQERREKEETMKRKAERSANIKAEMKAKTMRRFLLSQKHIVYTEPLEIRAGSTVDVLYNPSNTVLNGKPEVWFRCSFNLWMHPSGPLPPQKMVKSGDGPLLKATVNVPPDAYMMDFVFSEWEEDGIYDNRNGMDYHIPISGSIETENYMRIIHIAVEMAPVAKVGGLGDVVTSLSRAVQDLGHTVEVILPKYDCLNQNSVKDLHIYQSFSWGGTEIKVWVGLVENLTVYFLEPQNGMFGVGCVYGRNDDRRFGFFCHSALEFILQNGFSPHIIHCHDWSSAPVAWLYKEHYAQSKMASARVVFTIHNLEFGAHYIGKAMTYCDKATTVSPTYSREVAGHGAIAPHREKFCGILNGIDPDIWDPYTDNFIPIPYTYENVVEGKSAAKRALQQKFGLQQTDVPIVGIITRLTAQKGIHLIKHAIHRTLESNGQVVLLGSAPDHRIQGDFCRLADSLHGVYHGRVKLVLTYDEPLSHLIYAGSDFMIVPSIFEPCGLTQLVAMRYGSIPIVRKTGGLHDTVFDVDNDKDRARSLGLEPNGFSFDGADSNGVDYALNRAIAAWFDARDWFHSLCKRVMEQDWSWNRPALDYIELYHAARKF >SECCE2Rv1G0124610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833207137:833208171:1 gene:SECCE2Rv1G0124610 transcript:SECCE2Rv1G0124610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGGECLLKTSSRCITETFTATHDFEVTSYSLLQGMGVGNFVSSSTFSVGGYEWQIRFYPDGWKEENEDAKASAFLVSITDKSAKVKFSLGLLGKQGSVFMYNIHKHTFQSAGRIWGFPKFGDKSKLLQLNSFTIRCNITVIMEPRTEDVRVVVVPPSNLHDHFASMLKSGKGANVKFTVGSQVFNAHACVLAARSPVFMVELFGQKVKESAPTCIKIDDMEPLIFEALLHFIYTDSLPDNLYVGTNTAMQHLLVAADRYGVDRLRAICEDKLCSSISVDTVATTLALAEQHHCVELKDACLGFLSSREVRRTVRGTDGFKYLETSCPSVVMEFFDKVPLLP >SECCE3Rv1G0202180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:871401434:871401991:1 gene:SECCE3Rv1G0202180 transcript:SECCE3Rv1G0202180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTATVTRGHLENKLALAKRCSREATFAGAKAAAIATVASAVPTLASVRMLPWAKANLNPTGQALVICTVAGIAYFVAADKTILSLARRHSYESAPDHLKDTSFHDATAAARPRPPAFFRP >SECCEUnv1G0546170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:151545511:151549113:1 gene:SECCEUnv1G0546170 transcript:SECCEUnv1G0546170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLNKIFKGSVNRVSRGHYDGHWHEGNPSEHTRDAYDESDSEDIARAIALSLAEQDPNKGKAIDPDYSLEEDEQLARALQESLNTESPPRQNAPVENVPSESIPAREPHQPVVPSSGSRTCAGCRKPIGHGRFLSCMDEVWHPQCFKCYACNKPISEYEFAMHEDQPYHKSCYKDFFHPKCDVCKNFIPTNKNGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPTDTKYITLDDGRKLCLECLTSATMDSPECQHLYMDIQEFFEGLNMKVEQQVPLLLVERQALNEALEAEKSGHHLPETRGLCLSEEQIVRTILKRPTIGPGNRIMDMITGPYKLVRRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGYRSLSPQVEEGICQVLSHMWLESEIIAGASGNAASSSASSSSSSAAPTSSKKGAKTEFEKKLGAFIKNQIETDSSVEYGDGFRAGIEAVEQYGLRSTLDHMRLTGSFPY >SECCE4Rv1G0280640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:822561641:822562261:-1 gene:SECCE4Rv1G0280640 transcript:SECCE4Rv1G0280640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARATAAFSFFAVILIFVSMGAHAGGHGDKVKVVDLVVETCKNASSSCRNKNLNVTQEFCVQTLRSDKRSSEAKDIRDLSLVAVDILKIRVAAAGGKVKEALQKAKKGTEEALGLRYCQVDYDAAIGTLGLCDAMLREFHVPTGDADGPWFFELPECVEKATGHVSDCGHDLPMESQTLMNENEELIKLGDLNNVLLGPYDFDG >SECCE3Rv1G0199960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:850161101:850162690:-1 gene:SECCE3Rv1G0199960 transcript:SECCE3Rv1G0199960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAALLQLLCLLLSLHQSPCYGAAATPGNFSSCLVSNGVTNFSLPTSPSYAGLLNSSIFNLRFTLPNVAGPAAVVLPESRDGLRQAILCARASSLAIRVRSGGHSYEGLSYTTENNVPFVVVDLASLNRVRVEPGSATAWAESGATVGELYYAVGLSSRSLAFTAGSESTTGLGGQISGGGFGLLSRKFALAADNVLDAVLISPDGRVLDRSSMGDDVFWAIRGGGGGSWGVVYAWKLRLVPVPGNVTAFTVGRTGPVELIAGLLHRWQYVGPNLPDEFYLSVYAPTGSTDGNVSISFTGQVLASKEHALSVLRQSFPELGLTEQDLSEMSWIESTAKFAGLGTVEDLANRRRQPKQYSKSKSDYVQAPISRQDMVGIVRHLSTGPTGSIQLDPYGGAMARLGSAETPFPHRAGNLYSIQYGVNWNRSQVARAEEFIGWLKLFYKFMAPYVSKDPRAAYVNYLDLDLGVNNWTRAAGGSSAQAVARARSSWGKAYFGENFDRLVRAKTVADPGNVFNNAQSIPPLKI >SECCE4Rv1G0215050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:3018716:3023068:-1 gene:SECCE4Rv1G0215050 transcript:SECCE4Rv1G0215050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRARLETLVTSMFTLGMMDENFQQLQSMEEHGTAAPGYVADMINLFIKDTKRILNDIAGQLNQPVVDYDIVDVLVRQLKGCSYSVGAKKVNLSCMQFRRFYEPRSKERCLMALALAWNEFCEVRSKFEAMMLVTPYLEEQIAAYGPK >SECCE6Rv1G0431860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:748414351:748414704:1 gene:SECCE6Rv1G0431860 transcript:SECCE6Rv1G0431860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKAVCIWLGILALVLLPGPGAKAETPSGGTASVDAARQLMPLSSSSSMRLEDGVAPELAVVSTVVDLGVHRRVLAGIDPGPLNGNKQACRPKCAQPGQPNTGRNCPKIYRCLGGN >SECCE1Rv1G0047490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:625879463:625881141:1 gene:SECCE1Rv1G0047490 transcript:SECCE1Rv1G0047490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEPTGRSTKRMRPAPPDIHQSTPGPAETTDPEATAAGRTRHPLPGAGRDGGEGPPDRISDLPDAVLGEIIFLLPTKEGARTQALASRWRHLWRAAPLNLDCRGIPANLPGAIISAHEGPVHRLCLPSLLLQYRPDVAGTWLRSPSLDRLQELEFYLELPITYRFSSSRLELLQPPPASVFRFSSTLRVATISQCHLPDNTVETLQFPLLRKLALVEVKISEGSLHSIVTSGCPALESLLLRTSFDIRGLRINSPFLKSIGVHSRFVELIIEDAPSLERLLYLRMDMRMWVSVISAPRLATLGYISQGSQDSKIMFGPTVIQRLRVVSLATVVPTIKILAVHMNFNLDMVIELMKCFVCLEKLYMKIQTDSPAGTNFWRHKHRNFLTSQDIHLKTLVLGHYRGIQAQVNFVTFFILNAKLLESIRLEVDSRDYNDGFFAEQCRMLQMEKRVSRVAQLCVTTGSRNDVLSTVDLSDLDLADPFACRC >SECCE4Rv1G0270820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:757587557:757588079:-1 gene:SECCE4Rv1G0270820 transcript:SECCE4Rv1G0270820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVHVPAAVEALLGHPVVALSERSAPRLTPLLVNVTVERSLWPVHVLLGADATVADLVRAAIDAYAAEGRRPPLPADDGATDTAARFELHLSKYALDALDPETKVLDLDSRNFFLCANRSTQRSDHRLLLRSALPCLDV >SECCE5Rv1G0352630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711099635:711103337:1 gene:SECCE5Rv1G0352630 transcript:SECCE5Rv1G0352630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGSANAGNGQGAGLHVDMQKLSLEGKKDAAGAADAAKPSGMQYGSANTGNSQAVEPHVDRSITPLLQEAMDPNFFYQPNGYPSPAYYYPSGYDGSTNEWDSRYAGHEGMEVPQSVYGDMYHGYGYAPYGPYPSGSPVPTVGHDGQSYGTQQYQYPGQYYQQTAPTNAMHGVNSANTQSELPTAAAHQPRATVVSAKVTTGTASGIANAASSLPRKQTHHHVSVTNSGSYGRGPSQGGPSASNFGHTGHRSPAQWYDGPVYSNGHQRSIASSTSYASNSSSAKNQSHRPTTNLMGMHTHVPSSGMGLTSPSYSSRMYPDSRLYGQYGQYGNTLKAGLGFGSNVYNSRNNGQWGVVDTVKYKPRNRASFGFGSENQDGFTELNRGPRSGGFRHQKPFGPTVTIAVKGQVLPSAGKQENSVLPDKSQFNQEGFSATYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSSECPVFLFFSVNTSGQFVGVAEMVGPVDFDKTVDYWQQDKWNGCFSIKWHIVKDIPNNILKHITLDNNDNKPVTNSRDTQEVKLEQGLQMLKIFKEHVSKTSILDDFAFYENRQKLMQEKRAKQQPLQGQGGDEKEKSAVNGNSAAQKQTPSKESTAPAAVEEANASKPTTAESGVASAN >SECCE6Rv1G0403310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:501052276:501054965:1 gene:SECCE6Rv1G0403310 transcript:SECCE6Rv1G0403310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASPARIVPVLLALAALCAAAEAEAAAKVPAMYVFGDSTADVGSNNYLPGSAVPRANFPHNGVDFPTSRPTGRFSNGYNGVDFLALNMGFKRSPPPFLSVANRTNRQITRGLLGANFASAGSGILDTTGDSIVAMSKQVEQFATLRCNISARIGKEAADRVLSRSLFLISTGGNDIFAFFSANSTPTAAQMQLFTANLVSQYKNHVKALFGLGARKLAVIDVPPIGCCPYPRSLHPLGACIDVLNELTRGLNKGVKDAMHGLSVSLGGFKYSIGSSHAVVQSIMKHPQRLGFKEVTTACCGSGKFNGKSGCTPNATLCDNRHEYLFWDMLHPTHATSKLAAVAIYNGSLHFAAPINFRQLAEDQC >SECCE4Rv1G0252740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:617951703:617952414:1 gene:SECCE4Rv1G0252740 transcript:SECCE4Rv1G0252740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSRVVVEYNPLDPRKAAAVELLAQCNGRKAKDSNPTCSVELRRLPSPAAADDPKAQPPPRVLVTYLNGAEEAFVAADGATAQGMREQILARGRLLETEQLFREAGEKWPVVIPEEELGMSFPGIKVGLLPKKLVD >SECCE2Rv1G0111350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:699691574:699693514:-1 gene:SECCE2Rv1G0111350 transcript:SECCE2Rv1G0111350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKSCQKPKAQHRKGLWSPEEDQKLRNYIVRYGHSCWSTVPVKAGLQRNGKSCRLRWINYLRPGLKHGMFSREEEETVMSLHATLGNKWSQIAQHLPGRTDNEVKNYWNSYLKKRVEGARAAPKSAGSDAPRSPTPSANGRERSTMNQPSNSGSSGPPESSSTADDSSSLTGPGAAALIRPHAPVLPKVMFADWLDMDMDYGTGLMDAAFDGNPAQQGVSHSYQGSVQVEGLCSAVDSLHGLGNDGICWEFNADQMHMQGGGGFCDLLSMSEFLGIN >SECCE1Rv1G0059450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:705977963:705980243:1 gene:SECCE1Rv1G0059450 transcript:SECCE1Rv1G0059450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPYTEDEVTRFLKHHPTVPAAEPQPPAAHVDVQADAQPILCDEQMTAESPSVSAMHLELQEAPPMVGVQHPQPEALMPLYDQQMPGESMETMPLHPGDHMVNELMPVVQQRHGESPARVQPLLVDQGQHMVDESPSALPLPHQQMLGHTPVTMPLHPHYHMINELLSVLEQRLGETTVAIPQDDGHGEHMMGDPAVRIPLQYQQMMVDYPPVAYGFTDLDGDLGLHGLFLENEEHLPGGNGVTGMPEPPTVPSVVPLAPAPERQPSCQDCHVVQLVRSHNAAIGMVTTLSLHRASDGTYTHTVLESTGAQGPNSGTRLIYDSLRDCSQEDVARIVESLIGTMQSIVGPVEEVVIFESGAGADAEVAGPSSASETGTLHAAPVVPRPPPTHRQLPARVLSPAEQEDEETRQYLHHIREQAEKEMSSLPLALKRFCRDKKNPDNRNLILRRIKQLNNKIIDSQKERWRVGNELTKIRRWVDRIVIEKTRLYATLRELMEKEGKNCHVVPPHRDDDEVGGSGGAAGAVGS >SECCE6Rv1G0422900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692549473:692550024:-1 gene:SECCE6Rv1G0422900 transcript:SECCE6Rv1G0422900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRFGFQMAPAFKFDPTDADLVAHYLLPRAAGVPDPPFAHAIIDDDPAGLPPADLFAKHGHGGSHHAFFVHTAADAPESRERAVKGGAGGRWRGQKASVESVTLAHPGGGELDIQYRRSELAYERDAGEGEKKGEDAGAAADATGWVMHEYQIVLPPLQATVLSRISKARVKQQPAAAAQS >SECCE7Rv1G0492000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:541794490:541797476:-1 gene:SECCE7Rv1G0492000 transcript:SECCE7Rv1G0492000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSHAPLRTLLLALPLLSLILLLTLHLPPRHHPAPPAPLILPTPLRTTATAAAAQPKPRAYPSSSSPSPTTLSHVVFGVASSRRTLPLRLPLLRLWLRPPARAFLFLDAPPRAASAVPANLHLRVSRDASRFPYSYRKGLPSAVRVARIAKELLLELRQQQHHQSPPPRWLVLADDDTAFVLPNLLHTLSKYDWHEPWYLGAPSESAAQNVWHGFSMAYGGGGIAISWPLAARLARVLDSCIIRYPHLYGSDSRIYACLAELGVELTHEPGFHQIDLHGDISGLLRAHPLAPLVSLHHLDHVYPLYPGMDRAKSIAHLFRAANADPARILQQTVCYDHSRSLTASVAWGYSVQVFKGNVLLPDILAVQKTFVPWRRGRNVTDVFMFSTKHYPRDECKRAALFFLKSISSSKGKTESNYSRQLPRKCLPNLIPLRNMQQVKVRSELLHLVPGKALRRHCCDIVPSSSETTMDIDIRKCKDDELIAMHS >SECCE3Rv1G0164540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:164524905:164529134:1 gene:SECCE3Rv1G0164540 transcript:SECCE3Rv1G0164540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGPRYAPPDPTLPKPWRGLIDGTTGYLYFWNPETKAVTYDRPTGPPPPAPAPAPAPAPAPAPVQQPQYHHDERARHRDPPERHTESAGNRVQNAPFADHKARNDPSFEQRFSAGVNPAPVPSTNPAPEADNGNMSVDAYRKKHEITIICPGREAPPPFMSFQSTCFPSEILREVQQAGFKAPSPIQAQSWPIALKGSDIVAVAKTGSGKTLGYLLPGFILVKNLRHNSRDGPTVLVLSPTRELATQIQDEAIKFGRSSRISSTCLYGGAPKGPQLRDLERGADIVVATPGRLNDILEMGKVSLRQVAYLVLDEADRMLDMGFEPQIRKIVKQVQPKRQTLMFTATWPREVRKIASDLLTNPVQVNIGNTDELVANKSITQHVEVTTSFEKGRRLDQILRQQEPGSKVIIFCSTKRMCDQLSRNLSRQYGASAIHGDKSQAERDSVLSEFRTGRCPILVATDVAARGLDVKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGIAYTFFCDQDSKYASDLVKILEGANQNVSPELRAMVGRGGYGGRGPRRWASSNDSYGGQGAYGSQTRDAPSFQSSFNNSSSGNQYGGAPSFHTSSSNNQTSGAASLPASGGSGEGLSFHDRFYSGNSRGGDRARSRSPPKAVGVSNW >SECCE5Rv1G0334880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:568554737:568555321:1 gene:SECCE5Rv1G0334880 transcript:SECCE5Rv1G0334880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSRSTASAEGSGRAVATAKVVGLDGALAQFAAPVTAGEALGDAARAPSFLCSADELRFDAPARALPAEEALQPGWLYFALPMPMLRRPLSGQEMAALAVKASSALAGASGKGRKAARVAPLVVADEGAGTEDGGWSHQVYGKGGALKAVHGGGETVGKTRKRAGGSYGSGTSRPAGVQRLSAILEVHDSE >SECCE7Rv1G0520060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:867021197:867022811:-1 gene:SECCE7Rv1G0520060 transcript:SECCE7Rv1G0520060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEPSAGTLVFLTLASLVILASLLSRSRLKNQRRPPGPWRLPLVGSLHHVLTSQPQIALRDLAKKHGPVMYLRFGQIDTVVISSAAAAQEVLRDKDLAFASRPSILASEIFCYGGRDIVLAPYGPYWRTTRKLCTVELLSDRKVRQFAPFRDSQTMSLVEKVRAAGGGPMNLSRLLVSCTNTITAKATFGQVCDGDLQEVFIAGVSLALKTAGGSSIGDLFPSLWFVDALTGLRSRLWRAHRQLDAILDKIIADECSQGQRGDHLLGVLLRTRDEGKLEFPIDMTHIKAIILDMFTAGTDTTSAVAEWAMSELIRNPNVMAKAQAEVRRTFENKSPIDHEELIDHLSYIKMVVKETMRLHPVVPLLVPHLCRETCDVGGFEVKEGTRVLVNTWAMARSPEYWENAEDFRPERFDDGTATYKGSRFEYLPFGTGRRSCPGYTFGLATLELVVARLLYYFDWSLPAGTRVDELNMEASVGLTTRRKYPLHLVATRYKGAC >SECCEUnv1G0543750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:118986065:118993914:1 gene:SECCEUnv1G0543750 transcript:SECCEUnv1G0543750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGNGNPLLRTTNGHIGRQVWEFDPAADDPEEVAAVDAARRDFTSRRLQMKNSSDLLMRMQFSKGLKMNLPPVKLDQQHGYATEDDVLVSLKRAIRCYSALQAHDGHWPGDYAGTLFLLPSLIVALHVTGTLTTVLTSEHQKEIRRYLYNHQNEDGGWGLHIEGMSTMFCTVMIYVALRLLGEGLDSCGAMLQARSWILDHGGATLTPSWGKFFLSVLGVYDWCGNNPLPPELWMMPYFLPMHPGRMWCNCRLVYLPMSYLYGKRFVGATTSIVLDLRKELYNIPYNDIDWDKARNGCAKEDLYYPHSPLQNFIWDTLKKIGEPVLMHWPGSNLRKKALDIVMKHIKYEDETTQYICIAPLNKMLNMICCWVEDPNSEAFKLHTERVYDFLWVAEDGMKMKSYNGSQLWDTTLTVQAIFATGLTEEFAQTIKLAHDYVKHSQIRMDCPGDQSKWYRHISKGGWTHSTADQGWPVSDCTAEALKVLLLLSKIHPELVGQPIETSRLDDAVNILLSLMNEDGSFGAYELTRSYEWLEMLNPSESFGGIMIEYPYVECTSSVIQGLVLFREMYPRHYRRKEIDNCIRNASSYIENIQWGDGPWYGCWAICFTYATWYGVRGLVAAGRTYENSESIRKACEFLLSKEILPSGGWGESYLSSQDKAYTNLEGNRAHAVNTSWAMLALIDAGQGKRDPSCLHRAAMVLINFQLEDGEFPQQDIIGATNHNLMLTYAQFRNIFPIWALGEYYRRVLLAT >SECCE2Rv1G0117160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:770556533:770559855:1 gene:SECCE2Rv1G0117160 transcript:SECCE2Rv1G0117160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 29 [Source:Projected from Arabidopsis thaliana (AT4G16650) UniProtKB/Swiss-Prot;Acc:Q8LPF8] MGRKPDPAKPHYGGGSASPKTARRAKPSPVFLGTALFVLGFVSLFTGHIVTDADWSRIRNRWRSNQVRNNEPIDIWKSRYSNLYYGCSGRSPKLRSAVPENSSTGYLLIATSGGLNQQRIGITDAVVVAWILNATLVVPELDHRSFWKDDSEFSDIFDTDWFISYLSKDVTVVKRIPYEVMISMDKLPWTMRAPRKSMPEFYIDEVLPILMRRRALQLTKFDYRLTNDLDEELQKLRCRVNFHALRFKKPIQTLGKKLVRRLRVMSSRYVAIHLRFEPDMLAFSGCYYGGGEKERKELAEIRKRWDTLPDLSAEDERTRGKCPLTPHEIGLMLRALGFGNETYLYVASGEIYGGEETLRPLRELFPNFYTKEMLAGDDLKPFLPFSSRLAAVDFIVCDESDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNTKKLNVLFQTRNQTGWDTFSRKVKKIQRGLMGEPDDPRHGRDDFHEMPSSCICQRVPVNRSATIQTRNLLSQVR >SECCE2Rv1G0108340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:661026372:661030685:1 gene:SECCE2Rv1G0108340 transcript:SECCE2Rv1G0108340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT3G27310) UniProtKB/Swiss-Prot;Acc:Q9LK22] MEAEYPQINPLLLCSRRRKRAEDEGGLELLLSMDLDEEETRSEQQAPPRVPDKLKAVSRELGHEIRIFSSTTFEQMTSNLAKADQEEDDDFYELKPSDYYKLISNRLAEQSKVLKTRKIREAELAAQRARLTKAVARVRFPDGYILEAEFHPSETVHSLVDLLMKVIARPDLPFYLYTVPPKKRIMDTSQDFYTIGFVPGANVFFSYDLPEGSELNTDAVKSGPYLHEEIRTLDGLSLQSEPSDQPDDSKTNSDSAHQSYAFQSDSAPAAAANKKSAKPKWFKR >SECCE1Rv1G0042930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:586092796:586096135:-1 gene:SECCE1Rv1G0042930 transcript:SECCE1Rv1G0042930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVLLAFLCAGLIPCAKGANLDSDKKILLDFSASLPHPRKVNWSLTTQVCTSWVGVTCTPDGKRVRMLRLPAVGLFGPMPSNILGKLDALEVISLRANRLSAGIPPDVASIPHLHSLYLQRNNLSGRIPTSLASKLVFVDLSYNSLSGPIPDLHLPKLRYLNFSFNNLTGPIPASLHKFPASSFLGNAFLCGFPLEPCPGTTPSTPSNAAPPPNTEDGPKNIVIAAAVVGGVTFVIFICLILVCCFKRKGGGDPEEAERNKPRASSSKGKGRAEYSSGIQEGEMNKLLGCSYDFDYEDLLRASGEVLGKGSCGQTYKAGLEDGTTVVVKRLTEVVAGKKEFEQQMQIIGRLGQHQGVVPLRAFYYSKDEKLLVYDYVPLGSLSAALHGKKSAGRALLDWETRVKISLGAARAIAHLHTEGGGKFIHGNIKSSNVLLSRDFSPHVSDFGLAQLMAPPQFHPQLIGYHAPEEWTSEVFAEDLQRQPNTENERVQLLKVAMACVGAPYPDERPTMEEVVRRIEEIRSSGSGSGSGSGTTTRTCPEDKPREEHIQIT >SECCE1Rv1G0002190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8242878:8249442:1 gene:SECCE1Rv1G0002190 transcript:SECCE1Rv1G0002190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPASPRPPIRSANLGNWLVTEGWMLPSLFDGLPNKDLLDGTQLQFKSVTQNAFVAAENGGGAALVTNRPSGSGWETFKLYRINQNTFNFKVFSNQFVTVAGVNVVATASTPVQSFQLVRNDADQNRMRIRAPNGSLLQANKNGSVTADFRERATTWGDDDPSVFVVTIVKELPSLFDNIPNKDLLDGTQLQFRSVTQNAFVAAENGGGATLVANRASASGWETFKLWRIDKNTFNFKVFSNQFVTVAGVNVGATASTPGQSETFQLVRNNDDKDRMRIRAPNGLFLQANKDGTVTADFGESTTWGDDDSSVFVVSIITDGWILRSLFGGIPNNDLMDDTQLQFKSVTQNAFVAAENGGGAALLANRSSASGWETFKVHPINQNNFNLKVFSNQFVTVDRVNVMATASTPGQSETFQLVRNNIDKNRTRIRAPNGFLLQANKDGSMTADFGERTTTWGDDDPSVFVVTIVKDLPSLFDDIPNKDFLDGTQLQLRSVTQNAFVAAENGGGAALVANRASASGWETFKLWRIDQNTFNLKVFSNQSVTVVGVNVVATASMPGPSETFKLVRNKNMMRIKAPNGSFVQANKDGSLTANFGESTTWGDDDPSVFAVTIVKGLPSLFDGIPNKDLLDSTRVQFKSIAQKGFVAAENGGGGALVANRSSASHWETFKLWRIDENTFNFKVFSNQFVTVAGANVVATASMPGQSETFQLVRNDADKNKMRIRAPNGSFLQANKDGSVTADFIKSTTWGDDDPSVFVVTIVEQGMQGEYQICNGYGKDRATQVMNDHWSTYIVERDFAFMAANGLNAVRIPVGWWIASDPNPPAPFVGGSLKALDNAFTWAERHNIGVIIDLHAAPGAQNPWQHGGSRDGLQSWGDSNIAETVQVIDFLAARYARRSGLLAVELMNEPFAPGVSLDSLKRYYQQGYNAVRKHSPTAYVIMSIRIAGEWNELVDFASPFSRTVVDGHHYPVFVNELDKSNVQQNIDFVNNKVASDVSAMTRPDGPLTFVGEWVAEWKVEVASKEDFQRFANAQMAVYRKATFGWAYWSYKHVSNHWSLEWMINNGYISLKNA >SECCE5Rv1G0377010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:875166888:875169845:1 gene:SECCE5Rv1G0377010 transcript:SECCE5Rv1G0377010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFYYLVFGGLAVVVAGLELGKSGKDRVATPTAFNAFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADRQGRKRACITYCITYILSCITKHSPHYRLLLLGRILGGVATSLLFSAFDSWLVAEHNKRGYDPQWLSITFSKAIFLGNGLIAIIAGLFANLLADNLGFGPVAPFDAAACFLAIGMAIIMSSWTENYGDQSEGKDLMSQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDQDIPHGFIFATFMLSSMLGSSIVSRLLARKMKVEGYMQMVFAVSALTLFLPVATSLLVPTSPVKGSGGISFGGSLQLLGFCIFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMLVADLHKLSTKSQEMTAQDEPLNP >SECCE2Rv1G0111130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:698064879:698065961:1 gene:SECCE2Rv1G0111130 transcript:SECCE2Rv1G0111130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKRSFDAALLGKDDDSYPSKMPGSLARTTAPVAKMMRLWNYKEGAGLGARGQGIVDPIKAEKRSSNAGVGHCEKPYDNGLPGAPASPPAEDKWGEWAAASRALRLERDCYEKILALLRDVKLQGDDSVETADALAAIVKSEEVLQGKRALGAWRAALPPSTVQHIVQQILTPRIAMKAREWEPFWNPGCDHWLRPWIPLIGHLPESLYGIVESKISGGSYDIISPWKDYFEPKHWEIFSRRHVLPRLTRWLQQLRITPPKQIDIKFRTVMEWTPLVRTEDVVSILEQEFFGKWESALRHWLQSAKPSLGEADAWCTGWKNLFAPELLDDERVLARLEAGAAMVDRQTEDLNRLVCHS >SECCE6Rv1G0398460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:420674135:420678399:-1 gene:SECCE6Rv1G0398460 transcript:SECCE6Rv1G0398460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQLRNPSSRPLKPPRPPRGSGFQPPPASRPPPELSYPDGRPRKKVRFASEAGSHHIHARQDAANTSKAEKTKPQGSNAKTTELKFFKKLWEQSGCRSRSLRQPHQNIVPSISNQREGDRMEPQNMTSHKKFPAQKVTLYPDEIPATPSNNEISDEQVEVQASHSEYHNHDTPQLKPCDILPMGHVLTPTIQTPFEIAGISRNTDAEPGTGRMFSEKRRKLLKLAAKTVSMESCELLRKRSEYFADILQRLGANDIIRKHHKEPMRQREMVHRRARSDPRGHFENMLDYGGDFKSSSKLILGKESSSYASDESRQFLALPWVDDQGLPSFLDWKEDLSCGDNEAHEGMSLPSAYTTKFSSSDRKRDTVHNQVSNVLLEDVQHLPKGKLVSGNELGCNIQSEPYDHDEWDPMLLLAVTEPIPNRLSSPCQIREQSVVSCAISDTSWQPEFSRSLEHCTSRSLGMEVDGLIEAGLFDDSDAGLQSAFDQSHEKCTSSSFLGPRNRILDNNGFSSISNFHVSESKSIVSNPDRSCVNSICSTSDYPFEQRSKSFGDSVVRISCMDGVVEKHLTEAELFDDPDTGLVQGLDQLPLNFTASSFSKYTPGIPDHHHDPKDSYSILRMDANDTCLDSLSSYSERSCKQNWESLCDFSTELRSSSHHVQSRGGSLEAMFGFSSNGSICNDFEDENSITLVEGNTKSGLFGTSDLALFGSCSNRDSISETPMLSFDGARW >SECCE5Rv1G0367890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:824691883:824787569:1 gene:SECCE5Rv1G0367890 transcript:SECCE5Rv1G0367890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENILHATPAHVSLPDSFVFASDKLPPATKAVISLPIIDLSRNRDEVRQSILEAGKELGFFQVVNHNVSKQVMRDMEDMCEQFFHLPAADKASLYSEERHKPNRLFSGATYDTGGEKYWRDCLRLACPFPINDSINEWPDNPKGLRDVIEKFTIQTRGVGKELLRLLCEGMGLRADYFEGDLSGGNVILNINHYPSCPNPDKALGQPPHCDRNLITLLLPGAVNGLEVSYKGDWITVDPAPNAFVVNFGQQLEVVTNGLLKSIEHRAMTNSSLARTSVATFLMPTPECLIGPAEEFLSEENPPCYRTTMFRDFMRIYNVVKLGSSLNLTTNLKNVQKEI >SECCE1Rv1G0017400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:154171333:154179123:-1 gene:SECCE1Rv1G0017400 transcript:SECCE1Rv1G0017400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHHLLVVSPPAQPPPPRRLSLLSRSPRGAVTAAASPDAARSSSVVAASAATRRRAVLLVGISVLPLLRLRDAATSAAQPSAVDLVTDKMDIQMAEEMQPEEPPQPEVKRPSPGNPLASLLNAIAVIASELLAGLLGTTQREKKALQSTISSMEIKLAEDEAAMSLLRENYEKRLLDEQVAQKKQARVFQDEEASLLDQLASTKRTVENLNEEVMKEKELVEQLKHETHDLEISIAQAEEDRHAFEENLRDKLETLDILHGKVNLLSQEVNDKEENITELSSSLSTKEGDYQSLHLMFNQTKESLEHANSRMEQLEKYVYAAKNDIKSKISSIDSLNEDVQTFYSAKSDAEEKISELMKQYAELEAASKVRASRDSELLSNKDGQFNQLEEQLSTALSASSEDRIIIAELNSELEANRTMLLNEVEARKNLSDLVQSTEDALEESRNELFKLSEELNEVNISNHDLTTQISEFTNESNEVKQVLTNKVEEAQSVSKALSDELASVKEINQKTQEDLEVTSNQLASIREVHDELSKELLDAYKKLEPTTDELVRERRINATLNRELEALVKQSQVESEARRALQADLDEATVSLNEVNESTLFLSNKLDSTVSRISAIKEEKEVLSAALSEQKKSTAEAQKNMVDAQHLIKRLGMERENSEIRSTKLEEELATAKGEMLYLRRQITASGSQNTDVLEASPTPNFSQSPEDRVPNTSSTDAVPPRSAKKIYRRKKDRPST >SECCE2Rv1G0105650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:623487750:623490479:1 gene:SECCE2Rv1G0105650 transcript:SECCE2Rv1G0105650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARAALLPVALLLCLALAGGANAARKSTAGFYELKNKKGDFSIKVTNWGAALVSAIVPDGKGNLADVVLGYDTVAEYANGSASFGATVGRVANRIANARFVLDGKTYHLPRNDGNNTIHGGPKGFGKVIWTVKEHVSHGDSPYITFYYHSLDGEQGFPGALDVYVKYQLSRPYDLSIRMNVTARNKATPVNLANHAYWNLAGHGSGDVLKHELQLFASHYTPVDGSMIPTGEVVPVAGTMYDFGRRIPVGTNMKIVPGGGGGYDMNYAVDGQHGQQNVMRPVARVWDPKSGRAFELWANQPGVQFYTAGGLINEKGKAGKVYGQYGALCLETQAYPDAVNHPNFPSSIVRPGQVYKHDMVFRFSYQATYDA >SECCEUnv1G0560900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:350376455:350378397:1 gene:SECCEUnv1G0560900 transcript:SECCEUnv1G0560900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGSSKRMWVVDVEKKLKEANKSAEVSRWERHSIYRVPPCMTNIKSMAYQPQVVSLGPFHHGDRDLRPMEEHKCRALRQLLQRAERTFDELVDGLEDVAEQLEGAYMDLDREWRADEGGRERFLAMMIFDGCFLLEVMRCTAADGKQVGDYAHNDPIFSPHGILYMVPYIRRDVLMLENQLPLLLLQKLVQVESGKPPNDDFINRMVLKFLAQSSGTLLAGIGLGLHPLDVFRRSMLTGKHHKIRSPQDIEDDNAIIRSAVELYEAGIQFKPSKTLSLHDIRFRRGTLSMPTVSVDDSTEYMFLNMMAFERLHAGAGNDVTGYVFFMDNIIDSAKDVALLSSKGIIQNAIGSDQAVAKLFNTISRDVVLEPNSALDAVQRQVNGYFRQPWNMWRANLIHTYFRSPWAFLSLAAAVFLLGMTIMQTVYTVLQFYGGDSNSPPPSAPSPM >SECCE7Rv1G0505610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:738405566:738406243:-1 gene:SECCE7Rv1G0505610 transcript:SECCE7Rv1G0505610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADQHHHDEVSAEAGHRRHRWKGPRYDDRQRSSPWVWIAVILCTLLAIGVLVVSATMLVVYFIYKPQMPYMEVTNAHLQRLVYNPADGVISDMHVTVDVLARNTNSKVDTSFSGFNIDVKFNGTTLLQLRAKTFTVARESSVPLQYTGGSIAVKLDPAGMQAMDEALKSELVPITLSGKARTRWKKGVFLKVGFWTRLDCPLNFYYRTGVVAPIDHESCRSRSP >SECCE4Rv1G0269960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:750739907:750741029:1 gene:SECCE4Rv1G0269960 transcript:SECCE4Rv1G0269960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKKNAAPAPAPAAVPPQPAPSMPPVKVFRLNDGGGWDDYGTGRVTIDYLEGSTSATETALAVIDAENKETMLLHLITPDDIYRREQGTIISWFDPETGPSISLSFLDAAACSDVWDTIRQVQRKLRPDGW >SECCE6Rv1G0443010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:820338610:820342340:1 gene:SECCE6Rv1G0443010 transcript:SECCE6Rv1G0443010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPVQLARGSTIDDHIEEIFQILQGDRRVIYFHGWDGFGASVVLRSIAEVLTSTRSTPELCFDKIIHIDCSDWKNRRGLQRAIAEELELESSIMAILDKQDEEDDFNGVDESSRNEITSVSREIHNILKYSRFVLIFHNGSDNEIVDLVNFGVPPITSFGDNVMIWTYKRRMLTIKNYEQDELLHELRYTHLLVYDGMKYLASGQLYALLCKEADIIVAGNPCIQGVDQIMAVNCCFYKLFLQCSVSKTNTNWVDVASNYWLCDGILQKDITLEISDALHRAITWECDDNVLTNFKEHFKLSFLIVKEYDVYEEGPYSWISVTSRDREMLGMKTLPAETSSFFLEFVMSDQPTMLPNGLFEHSSNLGVLTLCHCAFSFASPPFLKCHNLIFLGLDHCTNDKTGEGEDHTEWVCLHSLWVLDLRYTQWNEILSPAKMDLMDNLLELSIEGFWCWQYTTCLQGRLPNLQRLRVIKPTHGPNISTDARNSFMDKAKLEILDLSGNNKMEVLPNSLPKASRLQVLILDGCNDLKNVLVPDGLPQLLKSFSFDGYGPALHRAPIVELPPKQERPLTPATKDGASVSKISLKGCSQLENLFVRGLPNLVELDLSGTAFKSLDFRNMVQEVPMLKRLFLLGCEHLRAIIWGSNTDSFRLNLLCIDTRARAGRSRSCIDRNKSFQLEVHATIVDARLARSLQQLLYYRYAAVNVYLNIHVTSAVYSELNQSKVTENEKKIVMYGDQVSLLQPVQADRYSDVQSMVGDAPMMAFPKPPTNNLDRHIEITEGRHILESGLGDIMTDFAESLHVHDVSTSASLPMGYSWRVLRQCRMDRCPKLVEVFPWVSDEFKELESFWASDLLTASWICSKGYASFNDGSFRKLQHLQLRSCPRLQFVLPVWVDSFPSLETLHIIHCGYLRHIFVLDKISTQGVSFPKLTTIHLHDLPVLHQICEFKMVAPELKTIKIRGCWGLRQLPVVGPRSEDMKKPTIEIEKDVWDALEWDREVSPDHFEAPLHSRYYKKKLPRVSVLR >SECCEUnv1G0532820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:24086780:24087031:1 gene:SECCEUnv1G0532820 transcript:SECCEUnv1G0532820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGFSYAQVHVKQERLRRKICDGEKAAATTAMSKSTAGEEEKKESLMGEEEKKAACNSWTAGRVHPFASSPAAAAPKGGHR >SECCE7Rv1G0491860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:540586084:540593813:1 gene:SECCE7Rv1G0491860 transcript:SECCE7Rv1G0491860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAQPLLASALLALLLAATSAADTKNNPADELVSLINSNRTASKASNLADNQGLGCIALQYIKAYEGQCDQVGGNKKPVDSSFIDTFAPNCGVQAASLAKITGRLLACQPSYPPPAQAFDMLIADERSLQVLHSKNHTEVGAAVTGTSGGGPYFWCVLFSNGKPNSSFTVEGGVPKTAHPGCFSGNNDECSGAISTNVSAWTLVSAILFALAGAFTL >SECCE5Rv1G0351210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:697218994:697222807:-1 gene:SECCE5Rv1G0351210 transcript:SECCE5Rv1G0351210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAVRSRALGRWRPPPHLLPRLLSSAGASSARPAELIELSEVEKVLHDVRAGDVRVFPVGEGGLHGGACADYMVVATGRSDWHVRNIAQAILYKIKQKQKGSNRILMPSVEGQQAGKWVVIDSGSIIIHALEERAREYYDLESIWTKAVSPNISVQELETSLVKTRRRDLSQKPMKSI >SECCE6Rv1G0425240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:705502909:705504926:-1 gene:SECCE6Rv1G0425240 transcript:SECCE6Rv1G0425240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSIKGLSSYYNRWSPLANLAEEEDLSDRLSSLPDGVLLDIVDQLDIADAVRTRTLARRWKQIPTMLSRIFITVGSFDNEHKRKLTCDDIARANAVVLGASRSVLESRTTSLCTINLLCLQFFLGDGAVSIGQTVANTMVTETVGSAELTLFTMKDADRCTNDDVLTYGRQFKSFLDNCPNAFSGLARLQLENLRLGGSGLPEIFSICKRLEFLRLFNCDMGMLSLLQVSHPLLRELEIVRCYFERVDLKWLPKLTVLTFSQWTGEHDPLSLDYVPLLQTLSIANRARFRQKVLKLSDFLGKTTTISDLNLNFLCEKIWVKPEEPNQLLPMFHKLRHVTLTHISEECDLNWTMFILQGAPSLQELCIKVWDHLCEMTVDEQERIKYGFSNEKKDAHVLWRAPASDFKHRNLSVLRVFGFQWEAKIVNCIESFMKSTAALEDIYLYERPKCEYCKYTAWERKFLRRNSQPATPVNILDMGISLRVRVHLPGLHYFG >SECCE7Rv1G0459400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:25513773:25515721:1 gene:SECCE7Rv1G0459400 transcript:SECCE7Rv1G0459400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSCSFVSLSQVLIVITLIYLVMSKGKGRSGTCSLPLPPGPWSWPLVGSLPEMVLNKPAFRWIHRVMKDMGIDIACFRLGGVHIVPITCPKIAREVLKKQDKNFLSRPLTFASDAISCGYKDAVLTPFGDQWMKMRKVLTSEIICPSRHKWLHNKRADEADNLTRYIYNLTTGGSSSMSGLANVDVRHVARHYCGNVIRRLVFGQRYFGEPQPDGGPGPMEVEHMDASFNLLGLLFSFCVSDYLPCLLGLDLDGHEKIIKEANTKVDRLHNMVIEERWRQWKDGERRDEVQDLLDVLITLTDDDGQPLLSIDEVKAQCKDIILAAIDNPSNTVEWALAEMVNNPELLAKAVEEMDRVVGRERLVQESDIMQLNYLKACIREAFRLHPVAPFNVPHVATADTVVAGYRVPKGSHVILSRLALGQNPTVWDEPLHFKPERHMGDNINVVLTESELRFISFSTGRRGCIAASLGTTMSVMLFGRLLHGFTWTKPAGVSAINLNESKHDLFIEKPLVLHAEPRLGVHLYPLMHR >SECCE4Rv1G0291190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876088814:876090340:1 gene:SECCE4Rv1G0291190 transcript:SECCE4Rv1G0291190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIGSTGATAASTNFSLPVDSEHKAKSIKIFSFGNPHMRAFHLGWMSFFTCVVSTFAAAPLIPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGAICDLLGPRYGGAFLIMLSAPAVFCMSLIDSPAGYIAVRFLIGVSLATFVSCQYWISTMFNSKIIGTVGGLTAGWGDMGGGATQLIMPFVFDAIKACGATRFTAWRIAYFVPGMMLVVMGLLVLTLGQDLPDGNLRSLQKNGGMNKDKFSKVLRGAVTNYRTWIFVFIYGYCMGVELTSNNVIAGYYYDSFYLDLRKAGIIAACFGLANIFARPMGGYLSDLGARYFGMRARLWNIWILQTAGGVFCLCLGRASTLPTSIVCMVLYSICVEAACGAVYGVIPFVSRRSLGLVSGMTGAGGNVGGGLTQLLFFTSSQYTTGKGLQYMGIMIIACTLPVVLVHFPQWGSMLVPPSADATEEEYYAAEWTEEEKGKGLHMAGLKFAENSISERGRRNAILAVPATPPNSTPQHV >SECCE5Rv1G0319370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:374248502:374248902:1 gene:SECCE5Rv1G0319370 transcript:SECCE5Rv1G0319370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMSSSVQSWADQHKVATVGAMWTTAVGASVACGRRRGKAAGLTVAAALGGAALAHHYYAAKRREEEARCFELDFYSQLPAATGEDGQENERWSY >SECCE7Rv1G0510380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:789306813:789309580:-1 gene:SECCE7Rv1G0510380 transcript:SECCE7Rv1G0510380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRSGPRRRSARLLDPPGGPDLISALPDELLLLILALLPCAGAAARTGVLSRRWRGIWPRLRQIIFSGVPYPSLEAALGQIPLPPPAVSLLQIGVYSQPHVRVPNVYRRDSPRANSLLRAAARLEPEKLVFVLPPEFRRLVLDLPIFHRATSIVLDVSSVIRPVPAGFEFPALETLSLSNCAANLDALLPCCPHLRTLRLISPILDEGNLTVNSPWLQELVVHHKGRGSLGQEIIAVNIVAPVLKQFTMSFRTWSVDISVLAPMVEKVSWDCLHRVGIHFCLWRISNLRLQTAEGEGQLTMLHIDACADATNLHRNPAKFRQEIEQHMVAAFSVLELHLTTNGRAFGELVFHLLGINQVRRVRMLKIFIAEVKKIRRHSPFHCLSESPISWPALEEVEFHGFEGEGHEFDLLKFILRSAPMLKRITVKLSDEASASNDGCTKIYNICKAYSSVDCDVYHSYGLMYDSQNCPLT >SECCE4Rv1G0225830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:100587373:100590530:-1 gene:SECCE4Rv1G0225830 transcript:SECCE4Rv1G0225830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSSHVSIASEAEINLDLSRLLIDKPRFTLERKRSFDEQSWSELSHRNNDGFDSVAHSPAFRTGFESPFSVGTHFGEPSGPHPLVNEAWEALRKSVVHFRGQPVGTVAAVDHASEEVLNYDQVFVRDFVPSALAFLMNNEPEIVKNFLLRTLHLQSSEKMVDRFKLGAGAMPASFKVDRNVNRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTGDASLAESPDCQKCMRLILSLCLSEGFDTFPTLLCTDGCSMVDRRMGIYGYPIEIQALFYMALRCALQLLKPDGEGKDFIEKIGQRLHALTFHMRNYFWLDFPQLNNIYRYKTEEYSHTAVNKFNVIPDSIPEWVFDFMPCKGGYFLGNVSPAMMDFRWFALGNCIAIISSLATPEQSSAIMDLIDERWDELVGEVPLKIVYPALENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELSEARLLKDGWPEYYDGKLGKFVGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >SECCE7Rv1G0515300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839403616:839404056:-1 gene:SECCE7Rv1G0515300 transcript:SECCE7Rv1G0515300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLGHMKETSSQRVEIKDIDAVVFKSLLYFIYTDSVLEFDLQHEAVTILAQHLLAAADKYGLDRLKEICEGKLSDGISVDTAATTLALAEQHNCPKLKVKCINFIVSTPAILDAVLATDGYKHLEASCPMVLPELLKSARGRKS >SECCE1Rv1G0028060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:392834830:392835474:1 gene:SECCE1Rv1G0028060 transcript:SECCE1Rv1G0028060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTFGCLWLLLSCWCGLAVAARPRAPSAAAGVGGGGNASFVRAWCAGTEYPALCHATLSPYAAAVGNSPARLACAALNVTLAGARNATAAMRGMAAAGRLPPVAAEAAGDCVSMLGDAVGMLRQSVEAMAQVGAETEQGHARERTVRFQVDNVRTWASAALTDGDMCMEGFKGKAAGGGGVKEAVRGHVAGFLHLTANALCIVGTMANQTAPP >SECCE2Rv1G0131890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887431456:887433912:-1 gene:SECCE2Rv1G0131890 transcript:SECCE2Rv1G0131890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVHLGLPGPWAEDYREKADHYTTKIGGVPDWPAEDVGTEAELVQCKLCGTRLCLVAQVYAPLAKLNIEERTLYVLVCPTPKCSPKLQSWKVLRVQKCHSGMQANDNGDGSVQMKEKVCSNEPTPSCSAGKHNEEENKSSNINDDDFDLDALAAALEQAATVASSTKKKTKSKRANNVSTKCIAVKEKVNDISIPVLPCFYIYYDKEQSRGKTSVGSSSYEKLLAEEIMDMGNDEEEKWEGEKYEYDKAPGADRTFLKFKKRLDAYPQQCFRYSCAGSPLLATTNSQDVGRCKLCGSQCQYELQLMSPLSYFLDQAGDGSSNCAPNAWTWLTLVIYTCSKSCCPSSCGGKQGNCCWGAVEEEIMMQEDEACNM >SECCEUnv1G0538040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:71222881:71224556:1 gene:SECCEUnv1G0538040 transcript:SECCEUnv1G0538040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLCVQKLAGALGTPDVPTRYVVRGHQDQQLAATVVAPVPVINLGLLSKQDGGAAHEAEKLRSAIDSWGLFLISNHGVDAAVMDGMMAACREFFRQPLEEKQRQSNLIGDDEYEGYGNYEGYGNDQVSSPDQTLDWTDRLYLKVEPEDERRIALWPAHPESFRDVLHEFTKKCGVVKDDLLRAMAKLLELDDNDYFVDQLSEKPLTNARCSYYPVCPRPELVFGLTPHSDGTIVTILMVDDSVGGLQVLRDGVWWDVPIIPHTLMMIMGDQMEIMSNGIFKSPVHRVMTNAKKERLSVVLDYSVDPDTEIKPSAQLVNEKRPALYRKVKVKDYIVAHYTYFSQGKEVVMGKLKI >SECCE2Rv1G0098890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:500601564:500601797:-1 gene:SECCE2Rv1G0098890 transcript:SECCE2Rv1G0098890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE7Rv1G0471110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:127809710:127810646:-1 gene:SECCE7Rv1G0471110 transcript:SECCE7Rv1G0471110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNRRSVLALLVLTFVGGNLLLGASFSARSTVKSSASDPDYGTAQLNGRRLKESRHDVTNRKTRYLQDVNTDDYQPIDPTPSYKASIRPGPIEHGTPLLPYVPRYPPPPGQPKDARSAQAAASPAA >SECCE6Rv1G0381050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:29224987:29225607:1 gene:SECCE6Rv1G0381050 transcript:SECCE6Rv1G0381050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVCALSAVVVVVVVAALAGVADADCFDYCFKDCIAKDKSMIDYCNYACDKTCYLGAPQRPLAATAAPGDMGCQLPCARTSCRRLDLDRDAAEACFGQCYDGCKTKTLPRPLRTGAGMPTTFVADLPSYEPDPDDALPSSEPDPDDAVRASSEVPEHQAMGPRAPFSEIHAAPPASA >SECCE1Rv1G0030890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:436664771:436665520:-1 gene:SECCE1Rv1G0030890 transcript:SECCE1Rv1G0030890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSPYPSRRLLQSGDPSRIPGIPPADPPAGVSSDVVVILAALLCALICVVGLAAVARCARSRRNANANANRSSPSGSPAHALAAFGGGGGGHNHGTTTTTTTTGASGGSVTTTSKGLKKKALKALPKLAYADAVAAAAAARGAVAGNEEEEGQDGILAECAICLMEFGEREEVRVMPQCGHGFHVECVDTWLRSNSSCPSCRRPIVLDDPAPPKRCRKCEETILEAVIASSSSAGGSRGGGHGGFLP >SECCE1Rv1G0012970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:98911963:98926377:-1 gene:SECCE1Rv1G0012970 transcript:SECCE1Rv1G0012970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDAAAAVAAPAPPPPVAAPEEAGGGDAEQARILIGALNLLSRNLPLPPAVLRAVSSIYHGGEDADQEEEEEEGAEGPSLVGDEGGEGDPADSVDAAEEATLIQELEDSIYRNQMTHMSSSKLTALKEERFNTCIQHRLSELEGLPSTRGEDLQMKCLLELYGLKLLDLQKKVRSDVNAEYWLHKKCAHPERQLFDWGMMRIRYPFTMYGIGDGFSMDSDDIHRKKRFTERISKLEEEEKNQAETRKRRFFTEILNAAREYQVQTSATYKRRKQRNDGVQAWHVRARQRINRLEKSRLQVLKAGDQEAYMRMVEESKNERLTMLLDKTNELLEGIGKAVQRQKDAEHVSRPEGSEDSESDESPEESPSDDDADTHGSANTSKFNAAGRRLDSTVHSIEEKVTEQPSALVGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLEKKEVTGPHLIVAPKAVLPNWSNEFKTWAPSIGTILYDGRPDERKSLRETNFGGQFNVLLTHYDLILKDKKFLKKVHWHYLIVDEGHRLKNHECALARTLVSGYMIRRRLLLTGTPIQNSLQELWSLLNFILPNIFNSSGNFEEWFNAPFACDVSINDEEELLIIHRLHQVLRPFLLRRKKDEVEKYLPSKTQVILKCDFSAWQKAYYEQVASKGKVALGSGLKPKAVANLSMQLRKCCNHPYLFVEQYNMYQREEIVRASGKFELLDRLLPKLHRAGHRVLLFSQMTRLLNILEVYLQMYNFKYMRLDGSTKTEERGKLLADFNKKDSEYFIFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKVIQAGLFNNTSTAQDRKALLQEILKRGTSTLGTDIPSEREINRLAARTEEEFWLFEKMDEERRRRENYKSRLMQGPEVPEWVFDISETLAEKLLAEEAKNPVINTKRRRKEVVYSDSYVDQWMKSDEVYEDIPKMTPRAKRSAYLSDIQEVDLHDRAKRLKYSDSADGAGNSTWTPEKGRPGVSSYSKDENEDDNDEDEVTAGGLQQGGSFTWNTLRRKRSSHSTHPPFDPKGRSAF >SECCE7Rv1G0458360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:21405709:21407424:-1 gene:SECCE7Rv1G0458360 transcript:SECCE7Rv1G0458360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSSAAEGDMAKVVTILSIDGGGVRGIIPATVLAFLEKELQKLDGPDARIADYFDVVAGTSTGGLLTVMLAAPDKDGRPLFDAKDLAKFYIDESPKIFPQKGSIFSKIGSALATVTGPKYDGKYLHSLLRGHLGDTKLDGALTHVVIPAFDIAFLQPTVFSSFQLENQPSKNALLSDIAIGTSAAPTFFPAHYFETNDGKGGTRAFNLIDGGVAANNPTLLAMNQVAEHMVLTGQKPVGQSYIVISIGCGTSSLPKLKYSAKDAAKWGILSWILKDGTVPILDMFNAGSADMVDFHLSVLSAVLGSSHQYLRIQYDKLSGSAGSIDDCSKANLDKLVKIGEELLAEKVSGVDLETGRNVEVPGGGTNAEELAKYARQLSDERRRRRNN >SECCE3Rv1G0185050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:670163886:670167481:1 gene:SECCE3Rv1G0185050 transcript:SECCE3Rv1G0185050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPNHRYGGHRDLEAVIDMDQPEKLTAKGGSRFSFTGGLEFTSLTYTVVKKQRGVGGEWEKKDVDLLHEITGYAPKGCVTAVMGPSGAGKSTFLDALAGRISSLDGRVALDGVEMSPSVIKRSSAYVMQDDRLFPMLTVYETLLFAADFRLGSAVSPSDKKLRVDNLIEQLAVQTSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVIEKVHDIACAGSTVVLTIHQPSSRILQLLDHLVILARGQLMYSGGPKEVTAHLGRMGRKVPKGENSIEHLLDVIQEYDQSEFGVNALAEFCLTGLKPRKLAAEGLSTVSSIPPTPVGPGGEDFDHSLRSQHSKSPWSGAQFTPSRRPKKDQSGKSQNPPRYGPEIVMGTPTPLSSISVYTVNEDDYLSPAQRASATGAPGVGINALGHRGKFANSYVAEVWVLMRRNFTNIWRTPELFLSRLMVLTVMGFLMATMFTKPKDNTQGITNRLSFFIFTVCVFFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGVITYLPFLLLQSAVYALITWWAIGLHGQFVYFLVMLYASLLSTNSFVVFISSIVPNFILGYAAVIAFTALFFLFCGYFVDSHSIPRGWKWMNTVSTMKYPYEGLLMNEFGGARNFSSNPPLTGDAILENLTISVAKDRKWRMVLYLLGWAVFYRVLFYLVLRFASKNKRK >SECCE6Rv1G0389910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:165891537:165898333:1 gene:SECCE6Rv1G0389910 transcript:SECCE6Rv1G0389910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP95 [Source:Projected from Arabidopsis thaliana (AT4G32420) UniProtKB/Swiss-Prot;Acc:Q8RWY7] MTIDKMGRKKNPIVFLDVSIGDGPDERMIFELFANVAPLTAENFRALCTGEMGIGQTTKKPLYYKGSTFHRVIKGFMAQGGDFSNGDGNGGESIYGEQFEDENFVLRHDDRGLLSMANAGRNTNGSQFFITFKPNFHLDRKNTVFGKLILGNDVLKRIEHVDVDGSTNKPIVPVRIVDCGELVDGKGRGSISTENDKKKVKSKLFIDSSEDEGNEEKHKGRRKKSSKKRKKKRRYSSSESETSSESESSDSESESNYSSESSDISSSSDDKRRRRKRHSKKDKRKHGKRRRDRRREKRRRKRDRKSKQKSKRMVESDSETGNASDSSSEDARRKRQRHGRKSKATSQISAEDHTAVAALKDATSTQEKIGTPRTLVQEDKSQLENGEMRTNGVTDSKSERKAGIVLVLTGNRSKSRSQSTSANHSMSKSMSVSPRSPIKTSNVSLERLVSPSPVHQRSRSPAHAPKQKESRSPPRQRNMSMSPHRRSPSKSPPGRASRSPVVHRNRSPVEVRTRSISRSSARPLQRRSPSRSPVRTHVRKSVSPSPTPMEKGKSISRISARSSLHKGFSRSPDMPPRKTTSRSPQRNAHRNFSRSPVGSSRRSLTPVRGGRSRRNASRSPSPPHRAVSPPNHGRSPSRSVSPDGSKRIRRGRGFTQRYSFARQYRSPSVDRSHRYGGRGDRDRYISHRGTRYRSPPRRYRSPPRRASPRSSRYRRRSRSVSRSPPTYRDRARGGGYSRSPVRSRSPPGGKPSSRGDRARSLSRSRSLSGSRSRSPPPVQDRSPLASPSPKRASNDRSRSMSVSPEGKKGLVSYGDGSPDSAGK >SECCE2Rv1G0119300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:790327672:790330799:1 gene:SECCE2Rv1G0119300 transcript:SECCE2Rv1G0119300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAQLPRTRAASPRRRVWILAVAALVSVVVVWAYHYPPQHYASPASNWLPAEPDRELTDDERASRVVFGHMLSTPPVRSRRSKIAFMFLTPGNLPFEKLWEKFFEGHEGKYTIYVHASREKPEHVSHLFIGRDIHSDKVQWGQISMVDAERRLLANALQDIDNQHFVLLSDSCVPLHSFDYVYDYLMGTNLSFIDSFYDPGPHGNFRYSQNMLPEVRETDFRKGSQWFSVKRQHALMTIADSLYYTKFKLYCKPGMEGGRNCYADEHYMPTLFNMIDPNGIANWSVTHVDWSEGKWHPKAYRAQDVTYGLLKNITSVDMSHHVTSDSKKVVTENPCLWNGVKKPCYLFARKFYPESMNNLMYLFSNYTLF >SECCE3Rv1G0147280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:14759715:14764389:-1 gene:SECCE3Rv1G0147280 transcript:SECCE3Rv1G0147280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAARPNPRQRGDAMNGANRPSRSKKSPCLLPKGWSGTASYRCSPHLIPQIVGLLSEEQKGFVRKIGFGSLLSMADFEINKALTMWLVDRFSCDTEALEFEGGASIPVRPLVKCVLGIPSGPIQVAQAPYNHYYSTKVKKAKELAEEMCGIAEEEPFCIAFMMVILAIYLAPNTTMLVNRSLYAAAQQVGSLKQMDWCGFVADYLIKGLREFKESVAPFVLLKGCVHILSVIFIDHVKHAGFQVPNGFPRVAVVTTEHNKWVASHPFGSLLVRRLEESVYAPVLNNGNGNIVEGGECADSDTNTDALANLPATDNDQNNQRPVSAGLDSLSIVVALETSAQSAGTNHLHGAMGSNPAAHANPSSNGKQGSAMDGGSPPSRSAKRPRKSGSAVYRCSPRLIPQIVELLSEEQKGFVRKIGFGSLLSMADFEMNKALTLWLVGKFSCDTNALEFGGGISIPVRPLVKSVLGIPSGPIQVVQGLHVDYGLFSQYCSVKFKNARKLAEEMCSITEEEPFCIAFMMTILAIYLAPNTTVLVNRSFLGAAQQVGSLKQMDWCGFVADYLFKGIREYKESDAPFGCIKGCVHILSVIFIDLVKHAAFEVPTGFPRLGFVTTEHNKWVASHPFGSLLVRRLEESVYAPVLNNGNGNIVEDGECADSDTDTDAMFNQSASDNDQNNQHPVSTGPDSLPIVTGLETSARSAEHIIFPRSGEQLQSAGPSSEPYSAQIPNGLEVLAAAAESRNRDSPSTMEKHDRSAKKARVELSTSGQMVRAGEATIRMDMSLFSCRVCSRPVKPPVFQCNVGHLACGRCLAELPDEQCQMCEHGGDFSPCPVMDDVVLSSTMKCSHDGCQIYVPYHELDDHQSTCPHGPCFCTETGCSFAGAPAALLGHLAALHSVPVHKVRYGSIHQLRVSEPRFLLHAEEDDSAFLLAVGVLGMATVASVVCLRAGASPEPRYAVKLRANGPPPPGSAAGSILLDMQAVTSSARPGAVAVEELPAFLMVPPAYLVDCGAYKEVCLDVRVDRM >SECCE5Rv1G0325790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:469264185:469265312:1 gene:SECCE5Rv1G0325790 transcript:SECCE5Rv1G0325790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIHEAIDMIRELLKFIDSSPSRLQDFNTIASGMGLPSKKGISVDTPTRWNSTWKMLVEALIYKSVLTSYATRKMIESPSEQEWQRAAAICEFLKAFEELTLIVSAHRKPTPHKFLPIVLCIRHALKDPAWQTSDVLKELAAAMQTKLDKYWDPEEKENADPNRRRKSKGIEFNHSLVIATFLDPRRKEDYLDFFYCKLSTNEERVSKQVEISLEWVRKYVKEYELLAATSTAHSTPSSQGNTTIGSSIAGKRKLEEEFSQHKSHRWSCVQRSELDTYLEEAREQDCADFDVLGWWKRHAEKFPILASMARDFLTIPLSTVASKSAFSCGKRILGDTRSSLTPDMLEALVCAKDWLFKPNEGELIAYILVLLLV >SECCE2Rv1G0065950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10900237:10900854:1 gene:SECCE2Rv1G0065950 transcript:SECCE2Rv1G0065950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMMASSATTVAPFQGLKSTAGLPVSRRSSGSLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSTEALLKQVDYLIRSKWVPCLEFSKVGFVFREHNSSPGYYDGRYWTMWKLPMFGCTDATQVLNEVEEVKKEYPDAYVRVIGFDNLRQVQCVSFIAFRPPGCEESGKA >SECCE3Rv1G0159430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:95904031:95906955:-1 gene:SECCE3Rv1G0159430 transcript:SECCE3Rv1G0159430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMAAARRRRVWRWAMRAVASAVMWTAVLQLASITGLWRPRVFADCWGGSGSGGASAGLAALAGEDRVAARLSPPALVPRRVYRSNGYLLVTCNGGLNQMRAGICDMVTIARHLNLTLVLPELDKRSFWADPSDFGDIFDVNHFINSLRDELKIVKALPLKLQLKTRRRLYSMPPISWSNDTYYLKRVLPLARKHKVIHFNKTDARLANNGIPIHLQMLRCRVNFEALRFTPQIEALGRKLISTLQRSGEFVVLHLRYEMDMLSFSGCTHGCSDKETEELTRMRYAYPWWKEKEIDSESKRLQGLCPLTPEEIALVLKALGFSKDTLIYIASGEIYGGERRLAALKAAYPNLVRKEKLLSSDELWPFQNHSTQMAALDYMVSIASNVFIPSYDGNMARVVEGHRRYSGFRKTILLDRTKLVELLDHFQGGSLSWDEFSAAVKEAHQYRMGQPTDRRAIPGRPKEEDYFYANPQECVGSSSMGRLRDVS >SECCE3Rv1G0179950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:556513963:556515389:1 gene:SECCE3Rv1G0179950 transcript:SECCE3Rv1G0179950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPSQHQSSYYAVLGVHPRASATEIRAAYHRLAMRWHPDKIANGRVDPAIAEEAKGRFQKIHEAYQVLSDEKRRALYDAGMYDPLDEDQEEVEGFHDFLQEMLSLMATVGREEPVYSLGELQSMLDGMMQDFTSPQPPPPSSFFTSTSSSTRFGAASGGAQQRRSSPRVRPQGFGSSACFSRTAFSGC >SECCE1Rv1G0029850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:421017323:421022515:-1 gene:SECCE1Rv1G0029850 transcript:SECCE1Rv1G0029850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDCQVLSSMAAMAAGGSSADALFASPLMPNPGALAGFMSNSSAMPFHHHFSTNLIPKEEGVMGGLHMAKDEELDLDMEMELSGGSGSGHLDGLLSFADVDDDRDQKPQHSEPDGAGAGHHPSSSAAATASNGNGKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVILRAENESLKTDNYRLQAAIRNVVCPSCGHAAVIGDMSYEEQNLRIENARLKDELDRLACIASRYGGGRQPGMSSASALSCMSVPPPVLMPPLDLDMNVYSRHFAEQQHGAMDLMALPQQMAGGGHGVEATASYLHGPMTPIVVQEQDKQLVMELASTAADHLIKMCRSSEPLWARRHAAGPSSGEVMDAEEHARMLSWPIDSGKQQQADVAHSARTEGTRDSAVVIMNSITLVDAFLDANKWMELFPSIVCKARTIQVIHHGAASGHLGSGSLILMQAEVQFLSPLVPAREVIFFRYCVHNADEGTWSIVDFPADGFQEELLQQQQQTSSLVRCRRRPSGCIIQDAPNGYSRVVWVEHMEVVGEEKPLQPVFKDHVAGGAAFGATRWVSVLQRQCERLASELARSIADQGVIRTPEARTNMMKLSQRMITAFCANISASGSQSWTALSDSTEDTVRITTRKNTEPGQPSGVILTAVSTSWLPFSHQQVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINSASNSSQNVELLLQESSIHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPMGFAIFPATSPSPGATSSDTTSNGETSPGNADEPATGCLLTVGMQVLASAVPSAKLNLSSVTAINSHICNTIHQITTALKGAGAGRTEPAPAGSDQ >SECCEUnv1G0531980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:18782386:18783063:1 gene:SECCEUnv1G0531980 transcript:SECCEUnv1G0531980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGAFFRQRLGDDIDAHMFRETAAIDPAPALFVNDYNVESANDPNATPEKYVALITDLQRRGAAVGGIGVQGHVTHPVGDVICDALDKLAATELPVWITELDVSAADEAVRADDLEVVLREAFAHPAVEGIMLWGFMQGHMWRSHGQLLNADGTLSQAGNRFTGLRQEWTSHARGKVDANGHFKFRGFHGKYVVELAAGAGGRQVRRAFDVHKGDAPLVVDMNL >SECCE1Rv1G0054620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675570983:675572386:1 gene:SECCE1Rv1G0054620 transcript:SECCE1Rv1G0054620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLPPPPPAAPPAKPPSIPSTTTTSTTTSADGTTTTTTKTTSTSADGTTTITTTTTTTTTTTTTATATAAVTITTISSLSEDDLREIFLRLPDLRALIRAALTCRAWLGAVRSSRPFRRLFRALHPAPLLGLFIPIDGSAAPSFAPLRRHSDPDVTAALRRGDFFLTSLPLEADPSKGWSLMDCRDGYLLLWNMLSLAAVNPLTWAVDIIPMPGDDVKAGSRRHFSFLGFHLLCSDERPSSFRVVCICSNPRRVRAAVFSSETWDWVVHPWVHVGGNRSLKFNAGALANGSIYWPVDGEPRVIRINTATMDVSSVDLPSEVKVHGFNFSAGDTKDGQLCIVHESDFFLHVWIRGVDGNGIEIWVPDTVIDLSAEIDRVTHGFALDLHGDLKVMEVRHGYIYLSTTCLTPAGTLHCWFFSLSLETLVLELLVSGKFDGCAHLYNMAWPPSLVGDDGSTGHEVEGSH >SECCE1Rv1G0040370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:558249977:558251847:1 gene:SECCE1Rv1G0040370 transcript:SECCE1Rv1G0040370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARGQRRRGRAPGAASAAAAEDDGEEQHLNPFLSDAAPSSSRVQFRNVASRARWVEEAGAAEVLDNKGKLWLTTGIARGGKLYYNVEEIGFLAERGALVLLDDKDETVGMEEIYGKIARGSYGCSWDAFQAYRHLKLLGYIVGRYDVPWTMKQIRSGDVTNSPDGMDGTSQSFGKANGACNDITKLLKGMRIDGMYPTFKVHLPNSKFKKSSPGVPSFLVSLLRDKPPPRDELETVENKFGGIPLKFCQVDNGRVSFLSFDKVTLPSLP >SECCE4Rv1G0285710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:846061829:846062140:1 gene:SECCE4Rv1G0285710 transcript:SECCE4Rv1G0285710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE6Rv1G0436930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:780188553:780189719:1 gene:SECCE6Rv1G0436930 transcript:SECCE6Rv1G0436930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSRDWAGLGEGIAGIIADRVLAYDVADYVRFRAVCRSWRGCTSHLRARGVLDRRFHPRRWTMLREEHSAPNRRSFLNTSTGECVQVNIPELRDHKLLALTAECLLVLLHDGDHVRLLNPLTRDLLTDLPPVTTLLPPEADLWSKPCSINDFTACGSGIASDDATVVLCFNKLGIVGTAKPGDDHWMPQSYQDDLWGVAPIMFAGRFYCVNQGALMVLETSPPRLEVAAELHIRDSAERDSEHLVDNDGVLMLVQRTLSGNRYNCKPSYDLYQVDLEKGTVFLVNSLGAGRALFMGKHRSLSVPIKAFPSGSIAGDTIYPSFEFHERYKVEGYHLADRSITPASSFLDVLLKRPQTLMDCLNFSISSNLQGKVILRLLSYSPSFCIR >SECCE7Rv1G0508750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:774110269:774110574:-1 gene:SECCE7Rv1G0508750 transcript:SECCE7Rv1G0508750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAPRRLVSALYVKPPWVTVEVRIGQKWRRANVLRQADRRGLCLVSIRGPAPESDPIMVPLSTIRIPSDPPVAKKRPDTRPNFRVKKPRDAGDAAEGGSE >SECCE6Rv1G0448510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:856590364:856590912:-1 gene:SECCE6Rv1G0448510 transcript:SECCE6Rv1G0448510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGSSSQVFIMPAAGHVNYLLAGGSGGGDDPRYPWIFKSLHEVDAVVPAIARAGKRPVAVPGGPQVEPYGCPICFRIFATAKAVHGHMRSHTDRSWRGMEPPRPPPLGLGEVRYPYMCDRCQMPFQTRQALGGHRASHNGKKGCSWLEREELAAAEEAQKPIVLDVDLNLPAPEAEDQDGE >SECCE6Rv1G0381520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:33318469:33318846:-1 gene:SECCE6Rv1G0381520 transcript:SECCE6Rv1G0381520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGRASNKIRDIVRLQQLLRKWKRLALAPKAGSRHGGGAGVPRGFFAVCVGEEMRRFVIPTEYLGHWAFEELLRETEEEFGFRHEGALRIPCDVEVFEGILRLVGGKKEALSYSSSEHGILCT >SECCE6Rv1G0402130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:480624570:480627362:-1 gene:SECCE6Rv1G0402130 transcript:SECCE6Rv1G0402130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIAMGGEAAERRAAEEKLCEYTLDGSVDIKGRPAVKGKSGGWLAGGLILVNQGLATMAFFGVNVNLVLFLTRVVQQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLGLGLLSLSSRLYLIMPVGCGTEHTPCASHSGTEMGIFYIALYMIAFGNGGYQPNIATFGADQFDEEDPAEAHSKVSFFSYFYLALNLGSLFSNTFLSYLQDHGKWVLGFWASTAAAATALLLFLSGTPQYRHAQPCGNPMASICQVASAACRNWKSGSGDVSPDVEILYEGDDKTDAGARKLLHTKGFRFLDRAALTTEDTNSKLATCSKTRDQWKLCTVTQVEQVKSILRILPIWVCTILYSVVFTQMASLFVVQGAAMRRTTPLGAFSIPASSMSAFDILTVATTIFLYRRAICPFLARLTGRPTGPTELQRMGLGLVLGAMAMATAGTVEHFRKAGATTANSSELHILWQVPQYSLIGVSEVMMYVGQLEFFNGEMPDGFKSFGSALCMMSMSLGNYFSDIIVSAVTKATAVDGRPGWIPADLNEGHLNKFYFLLAILSVADFAVYLVFAGRYRKSCKVEGRSDDEEEGSVDDEETCRA >SECCE7Rv1G0505330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:734083162:734084302:1 gene:SECCE7Rv1G0505330 transcript:SECCE7Rv1G0505330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLRGGGGGGGGGSGGRSGDRGDHPIGADSLFLYARGAAAAAADTAGGGGGGGGGIGFQLWHPHHQQAAAVPHTSQFFSSGVATGVVLGFSPHEGGGVGGVGLAGGGGPGGGRAGTSCQDCGNNAKKDCTHQRCRTCCRSRGFNCSTHVKSTWVPASKRRERQQQLAALFRGAAANNSAAAAAAAAVANKRPRELVRSLGRLPSATTAMVDATTSSGEGDGRFPPELSLEAVFRCVRIGPVDEPDAEFAYQTAVSIGGHTFKGILRDHGPAEEAAGQLPPSSAEYHQLTGGAREGSSPAGSSEAAGGHGTTVATSAAVLMDPYPTPIGAFAAGTQFFPHNPRT >SECCEUnv1G0544140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:124589201:124591780:-1 gene:SECCEUnv1G0544140 transcript:SECCEUnv1G0544140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPRTNTVMLTSWASIAMMLLLRITVPAVAATGDPLTMIGMPNCNTTCGKVSVPYPFGMGTASCYRSPGFKLTCDHGSSNIPRLLLGDGHAGMLEVVDICLNDSTISIHTVQSVNTGGWSGQWRLGGTETTGGLSYFLEPGYNELILTGCNVKATLRGNGSLISDCISLCGAGSVQPDIYCRPNSNFTVCTTIGYCKSPIMTASTSFRMELKRLNYCLPNSKESDLPVNVLIAQTGWFDLQQYLDVALNITTRDHKGWGKAHQLNVPLSLGWGVIHGPANYPIIRVECPEHTAQSICKSTNSECRQAARGGAYSCRCMNGYDGNPYLTGGCQGNVKECDNKETHDCFGDCEELEGSFRCHCPRGTHGDHTIPDGYVKLGNLGLIIGFSVASGPCILLLILGALLITRDLKQRKVMALRQKFFSQNRGQLLKQLVSHRADIAERMLISLEELENATNNFDQARRLGGGGHGTVYKGILSDLHVVAIKKSNIMVKREIDEFINEVAMLSQINHKNIVKLHGCCLETEVPLLAYEFISNGTLSDHFHMEEPRSLPWKDRIRIAGEISKAIAYLHSAISVPIIHRDIKPSNILLDDTLTAKVSDFGASRYIPIDQTGTATAVQGTIGYLDPMYYYTRRLTESSDVYSFGVLLVELLTRKRPSLCMSSEGDSIVTRFVELHEDGNLVDILDPQVVEEGGNEVEEVATLAVSCMKLVAGERPTMRQVEMAMEALQPPKNRVLGNFATPSLNYPSTSRRAKQSETTRCYSLEEEFMLSARYPR >SECCE5Rv1G0327850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:497891631:497895773:-1 gene:SECCE5Rv1G0327850 transcript:SECCE5Rv1G0327850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRISRLGVHALSRARLGQKSVNSRNQEEPIRACMNYVGNHSLCSASEAKVSDRSEQPKAKISVTFVDKDGDETVIKVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVTDVEYYNKLEDPEDEENDMLDLAFGLTETSRLGCQVIASPELDGIRLALPAATRNFAVDGHVPKPH >SECCE3Rv1G0210810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:945869224:945870351:1 gene:SECCE3Rv1G0210810 transcript:SECCE3Rv1G0210810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGNWADLPDGPTALIADRVLAFDVADYVRFRAVCPPWRRCSADPRAHGSLDHRFHPRRWTMLREELAAPSRRSFLNTSTGECVQVDLPELHDHYVLALTPEGLLVLLHKLQRNTVRLLNPFTGHLTELPPLNTLLPPAHHCWLMVDIDPACCSGVANDGSTVVLCFYKIGVLGMAKPSDDHWTLVKCRFDALGAPLMLAGRFYCVCLRNGLMVLETSADQPPRLEMASQLNFSAPTIGYSVHLVNNCGELMLVHRWCGPLSPVNRVGYWYDAYRLDLDKRKIFPVSSLGGAAGRAVFMGMHCSLLVSLENFPSGSITADTIYLGFDVPEKAEFKVGAYHLADGSIEGTSRYHCRFMPRPHTLVDCLSLANTV >SECCE3Rv1G0201190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:861112272:861114267:1 gene:SECCE3Rv1G0201190 transcript:SECCE3Rv1G0201190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAATDAGGDLFAANLKGALLAVASSAFVGVSFIVKKKGLRRAGAVGSRAGVGGYGYLREPLWWVGMVTMLVGETANFVAYMFAPAVLVAPLGALSIIVSAVLAHFMLNEKLQRVGVLGCVLCIVGSTVIILHAPEERSPNSVEQIWRLATQPTFLCYAALAVAVSLLLMLYCAPRYGQTNIMIYVGICSVIGSLTVMSIKAVGIAIKLTIQGENQAGYFQTWLFVTVSAICLVIQLVYLNKALDTFNTALVSPIYYAMFTTLTILASAIMFKDWSGQSASIIASETCGFLTVLAGIIVLHSTREPDQNLSPDLYASLTAPLPPKIYWHIQGNGDVGKQKEEDSLPCDFITVVRQDYFV >SECCE5Rv1G0302590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:39971240:39971551:1 gene:SECCE5Rv1G0302590 transcript:SECCE5Rv1G0302590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVTRLAGQRAVVIFGMSSCCMCHTVMSLLRDLGVNPMVVELDEDPRGKEMEKALVRLIGRNPAVPAVFIGGRLVGCTDKVMSLHLSGKLVPLLRNAGAVWV >SECCE1Rv1G0016060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:134257891:134258773:1 gene:SECCE1Rv1G0016060 transcript:SECCE1Rv1G0016060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRAPTSAPELSSSSGRSATEARSLKVHSESERRRRERINTHLATLRRMIPDANQMDKAALLACVVSQVKELKRKATETTRLQATAPIPPEANEITIQCYTAASDNRTTCMRATVSCDDRPGLFVGLAGAFRGLGLRVLRTETASLGGRASHLFVLCKEGGDVGASMRALEGAVRQAMAEVAFPEMVCGGSSWSQRERILEGRCPVMYSV >SECCEUnv1G0538900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74604578:74605756:-1 gene:SECCEUnv1G0538900 transcript:SECCEUnv1G0538900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGSRILAHLLSSPVTSLHHLPHPPASHSTLHRLLSAAASPPPGFAVEEYLVETCGLTRAQALKASAKLSHLKSPSKPGAVLAFLAGLGLSAADIAAAVARDPRLLCAGVETILAPNVVGLDGLGLSRSQIARLVPLAGPSFRRRSMVSKLLYYYQSLVGSYEDLLRALKCDPYLLTRSLDKVIKPNAAFLRECGLDDRGITKLCCNHRRIVSANPEHVRAMVARAEGLVGVPRGSGMFREALLVVASCSEETMAAKVEYLKNLFRWSDAEVGVVVHSQPMVLLRSKETLQRKSEFLISEVGLVPSYIARRPVMLGLSLDGRLRPRYYVMRFLKENGLLDSKRDYWTLVRISENLFLEKYICPHKEAALRLAEDYADACKGQVPTRFRFA >SECCE6Rv1G0405810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:536207356:536210579:-1 gene:SECCE6Rv1G0405810 transcript:SECCE6Rv1G0405810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFKLIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQVMKDRVKPFAESDVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVLKLADFGLAREVSSAPPYTEYVSTRWYRAPEVLLQSSAYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQTWPQGLSLAEAMKYQFPQIKGNQLSEVMKSASSEAVNLVSSLCSWDPCKRPKAAEVLQHAFFKDCTYVPACVRSRIPGPPKTPPGVGVKGVAGHIARRYSTGALSTAKPAGNLSIKSNSLSKMGVQRKLQLDRQAPQKSTRPTESNTKQTTTSRVSTRNSPGNANPILRHSRSLPETGRATVQKVSTITEKLAHMSVTSRTRTTVKPPVPLLKAGHLKSDFLGKSDEIPPAKRLTRKLVS >SECCE5Rv1G0350580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691325493:691326647:1 gene:SECCE5Rv1G0350580 transcript:SECCE5Rv1G0350580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGKPDAQLFQLLTDLLQEVESMSNQEEVELRAKIEALGLEVTKVPEQAPKQLDELEIAAELDRLSARLDNVDKMISSAMTSDPEVKSLLSSTADVWMPVITASANERRGFTGTSGDKEEQEKSK >SECCE5Rv1G0362330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:785456154:785457296:-1 gene:SECCE5Rv1G0362330 transcript:SECCE5Rv1G0362330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCVLPDELVVDILSRLPLKSLCRIKCVCKSWLAFASHPYYRQKLPRTPAGLLYRKFERARLASPLGNTIHLARLPSGDKEIDTTLSFVPCYKYPIQLRGCCNGLLLCYQKLRSEEISNAIVCNPATQEWMALPDTEPGPTRSNIAFELCFDPLWSEHFYVFKFQSIEIMSCIHTEVKVFFSKDSTWSSCLWKTRDPFEGDSLFLNGVLYLKHFILNKILALDTPDTCTQRLNHRYIQLPGFRDRTDIFCCYNGRLSQSSGILCYAKQELDGCAIRIWSLEGPDGWVVKHRLGMVNVFGRDMFLRTHSDGYWHFDYDIRAVNLERELVILDDKIADKIISVSISTGKGSQFQKIPRRFAKLYYSLLYVPYYGKVPALAR >SECCE2Rv1G0116870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:768140843:768141238:-1 gene:SECCE2Rv1G0116870 transcript:SECCE2Rv1G0116870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNLAVFSLASACGGHCPTPSTPTPSTPTPTPASFGRCPRDAVKIGLCINALNLVKGEVGAPPTLPCCPLLEGLVDLEAALCLCTVLKANVLNIIRLNLPIDLSVILNHCGKRVPTEFKC >SECCE7Rv1G0506950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:756768947:756770020:-1 gene:SECCE7Rv1G0506950 transcript:SECCE7Rv1G0506950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEASSLSDLGFDVDIGAGFFRQVPSCGRDGEPTPVRRSERLIKVSVIGAGNVGMAIAQTILTQGLADEIALVDAEADRVRGEMLDLQHAAAFLPRVRIVAGTDVLALTRGSDLAIITVPRETAAASRMDQLRRNVALLREVVPAVAEGSPESLLLVVSNPVDVLAYAAWKLSGFPSSRVIGSGTDLDSARLRCLLAEHLGVGAQDVQAYMVGEHGDGALALWSSVRVGGMPVLSYLQKTHSSFDADALDGIRRAVVGGAREVIGLKGYTSWAIGYSVASLARSLLRDQRRVHPVSVLAKGFVPGDAHEVFLSLPARLGRRGVLGVAAELELTGDEEMTLRRSAETLWGYCQALEL >SECCE2Rv1G0069620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:30551619:30554855:1 gene:SECCE2Rv1G0069620 transcript:SECCE2Rv1G0069620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKSPFAAAPAAAKQGEGEEPPARRPGAPLSLAGLLVSIFLVAIFLYNEDSAAVVKAPAALDAGASRARSAPDLHLLHEVNPRPQQQQQQQQGGNEEEERRHVEQVESRRKASDSSERVDAPARADKRAAPATTTTTTAAPSSGGGNTSSSSSSSRAPEPAACNLYQGWWTYDAEASQVPLYREAECEFLTEQVTCMRNGRRDDSYQRWRWQPSSCDLPRFDARVLLERLRNKRLMFVGDSLNRNQWESMVCLVSSAIPSRDQKSLAKFVGPNGSLNVFTAAEYNATVEFYWAPFLVSSNSDDPQAHSVADRVIAWRSIAKHARHWRAAHFLVFNTYIWWLNNLEMKVLKNPRALPDKYTLVERPVAYKEVIKTWAKWVDRHVDPARTKVFFMGMSPNHGVPDAWGGGPGAVKCAMETQPILNHTGPLYIGTDWRLHGAAEAVLRAMRRVPVHLVDITALSEFRKDAHTSVHTLRQGKLLTPEQQADPRTYADCIHWCLPGLPDTWNHFLYAQIAGVVPPPLPLPAPPLPRSSSSSS >SECCE4Rv1G0254160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:633243221:633245726:-1 gene:SECCE4Rv1G0254160 transcript:SECCE4Rv1G0254160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAATRPALVLGALVAALMMLGAEAVWLDLPPTGTKCVSEEIQPNVVVVADYMLMYEAHATAHPTVAVKVTSPYGNTVHQKENATVGQFAFTTSEAGNYLACFWLDSAEKGSGVSLNLDWKIGIATKDWDSVAKKEKIEGVELELAKLEAAVESIHHNLLYLKAREAEMREVSEKTNSRVAWFSILSLGVCVVVSALQLWHLQGFFQKKKLI >SECCE5Rv1G0333500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:558029134:558030240:-1 gene:SECCE5Rv1G0333500 transcript:SECCE5Rv1G0333500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRQFANMIAHNYPKGVYSLRRINLMEHLFYPSTEAAQKAEANKKGWLSTMLKGSPKQRLPKTNINFSSLPNMADLTARHFFSLLKGQGEGSVMFVSPNVHTMMYDTSTDFVFGMPQANFCKQSDSISLSITRRGYGQEYHELYVIGKGEDGGLFEVLNYTRTTDLSKPPWYWRPLPCPPFFPQCLPGGNSKVCAPSAAVVLDDTTICASSVDAGASAFFDTVTREWRQAGSWVLPVHGAAEYVPEFGLWFGLDDATGNPNHCLRAFDFDSSRRPMVRHSWSYLRRLPDECLPRQRHLLNMGSGKFCIATSFRNLLRHTSCTPLDGAVDELTILTGVEVVRDVNGFQIIKHKSECYSLEENRIHCVL >SECCE2Rv1G0124410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:832558200:832559234:1 gene:SECCE2Rv1G0124410 transcript:SECCE2Rv1G0124410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSLVEHRATVLVVVVLLAAAAAAAPAARTKNECHSGDKAALLAIKSALGNASYFNSWTPDTPCCEWASVSCSSDGDARRVVGVSLVDDASLAGPLPGAAIARLTALQQLVLNNVPGVNGTIPRDLTRLNATLGFLDIISTGISGPVPSFLSEMTALGYLSLSSNKLTGRIPASLGDMPNLSFLDLGHNRLTGTIPPLLLRKASDAYLYLSHNKLTGGVPAEVAAVNFWTIDLSYNGLKGDASFLLGANKPLLNLDLSHNAFSFNLSAVQLPEGLNSLELSHNDIYGDIPEMVVDLMLNYLNVSYNRLSGVVPAGGNMAWFDQSCFQHNKGLCGTPLPPCKQ >SECCE2Rv1G0133780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898454056:898461389:-1 gene:SECCE2Rv1G0133780 transcript:SECCE2Rv1G0133780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPAIFILLLLVRSCNSDDRLTPTKPLSPGDELISSNGIFALGFFSPRSSAGNTYLGIWYHNISERTYVWVANRDSPITGSLPGKLVVTSTFYLVLSDSDGHVLWATKTGNVTTAESGSGGGAAGAGAVLLDTGNFVLRSRNGTEIWQSYDQPTDMFLPRFKLWVNYKTHVAARIVAWKGPDDPSTGEFVLSGDTSTGLQILIWRGSSLYWRAGVWNGATAASFTKSFVSQMMDDGEVAYFTYNRADDSAPARSHWKLDHTGDVMFRAWVEGSSSWVPLFERPGKGCLVYGACGPFGYCEVKGAVLQCRCLDGFEPAGGGGNSSKAGCVRKEALRCGGNNYFLTLPSMKLPDKFVYLTNRSFEECAAGCDRNCSCTAYAYSNASDILLASKCFHWTGDLVDMVKADYTTDHLYLRLAGNGDNYARTNNKISGVHVIFKIVLPLIAFLLILTCTCLFCICKPRGIHQNSGALQRSSLRVHLRPSQEVWDQSLEFPRITFEDIAAATNSFHGTNMLGKGGFGKVYKGTMQDGKEVAIKRLSKGSEQGIEHFRNEVVLIAKLQHKNLVRLLGYCIHEDEKLLIYEYLPNKSLDKFLFDNARKSTLNWLRRFSIIKGVARGLLYLHQDSRMTIIHRDLKPSNILLDSEMNPKIADFGMARIFGGNEQHERTKHVVGTYGYMSPEYVMEGIFSLKSDTYSFGIFLLEIVSGLKISSPHHLVMDFRNLIAYAWNLWKDGKAMDFMDATLTESYSPDEVSKCIHIGLMCVQDSPNARPHMSSVVSMLDNEDIPRAVPKQPMYFAQRMVEGLEMSINGASLTTLDGR >SECCE3Rv1G0205750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:901091390:901094208:1 gene:SECCE3Rv1G0205750 transcript:SECCE3Rv1G0205750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRSPQPAELEISRQSRILAALSKKVIDLDELRMLAAQGVPDGAGVRSTVWKLLLGYLPKDRALWEQELAKKRSQYEAFKDEFLTNTVGGSSTTGGSEGHSDGNAEHVENGFLDRSEIAQDDHPLSLGKTSQWNQVAEHSEMIEQVDRDVKRTHPDIHFFCGDSSFAKSNQDSLRNILIIFAKLNAGIRYVQGMNEILAPLFFVFRSDPDYKNANFAEADSFFCFVELLSGLRDNFCQKLDNSAVGIRGTLSKLSQLLKKYDGELQHHLEITTEVNPQFYAFRWITLLLTQEFNFADIIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTANLKLLQSYPPTNIGHLLYVANKLQ >SECCE2Rv1G0135270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905965794:905968809:-1 gene:SECCE2Rv1G0135270 transcript:SECCE2Rv1G0135270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVVLVELFKTGNILLGKVVVDGGMFVFSLLCYRSILGAVFILPFALLLESGKWKELDKHALRWLFINAFVGYSLPMALYYNGLHDTTASYGVIFSSLTPLFTFVLSILLGMENLHLKSKEGSAKVVGALVCFGGALLISLYNGKELHLWSPIIKGITKSSNGVVGGHHHLRGTLLLLGECICYAFSYPVLVKVLKVYPWKHWSSVLTCVLGGFQTCAIGILMRRDKLAWQVGWSIQLLTIVYSAALGTAAKYWLNLYAVEKRGPVFPPMFNTLSVVFTMLLGALLLGESLTVGSLLGSAVVFSGLYMYLYGKAKELQVTMTSGSSNEKLQAQPTHNSNCEVPSSRP >SECCE1Rv1G0011340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:75671924:75672949:-1 gene:SECCE1Rv1G0011340 transcript:SECCE1Rv1G0011340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRWAPAAARSRAPRRPADQPSFSSTLLDAICDSMDGPEARSAAAARSASAATTTRAAAVAKKQEEAALHYYYYKPALAASHRARGEQPTQGAAAADCSGRGYFSSSEVECSLGRLHRIRTSGGAAPRQKQQQQQHPAPEKTARTKKPAAATRGCSRPASPGARLASLLNSIFAGKRHSAQRTAPADQEPACSTAPSYARSCLSKTPPPSGARPSRSRSTRTVRFLDIDGELAVAAAAVGHCRRIPVVEVEEELLRAVDVEAHIDGGEKSSDASSDLFELENLAATASESGRWDRDGSYGNELPVYGTTGVGLHRGIGHPRPYEYGPYPYGLGPSCRKVV >SECCE7Rv1G0460680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:32858084:32859058:-1 gene:SECCE7Rv1G0460680 transcript:SECCE7Rv1G0460680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRSSGSSTVDAAEKLHRLAEQRFLVGDVAGALRAAREAQARCRSLPGLAHALAAYEVHAAAAASRASGRNWYAVLSVGARTSLPSGGVGGGVSHEDVKRRYRRLCLVLHPDKNRSAAADGAFKLLQQAWGALSALHPPAPRDAAATGVTKPRSAPTPPSPRPRPPAPPAPAAKPSYHRRPPPPAASNAPRDDDKGRAGAGDTSHSRPPPPAAHAPKAERARADTHDGPASDAKPGNHSRPPPPAASAAHTPKDEGSGTDTHTPPRRQGSRRFACPARCAHCGAAFASSTVSVGTWHLHCKACHRYAKVCVRSPSNAEICR >SECCE6Rv1G0436030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:775502914:775505755:-1 gene:SECCE6Rv1G0436030 transcript:SECCE6Rv1G0436030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKNSDAGVVGGEMLVGSPPAADHHCHQKVLQNHPGVVAGAVPPVTDGLPEVVMVGDTGNKHAARVPVEGFAVLEPPADAEAAAERRDDVAALLAGFAQHLQERTTHHLGYPYNLDFDFSVMAQFQNFSINNLGDPFIESNYGVHSRQFEVAVLDWFARLWDLQQDEYWGYITNCGTEGNLHGLLVGRELFPDGIIYASRESHYSVFKAARMYRVECVEIDTLVSGEMNCADFKSKLSRNLGRPAIVNVNIGTTVKGAIDDLDRIIRTLEKCGFRDRFYIHCDGALAGLMMPFIKQAPKVTFKKPIGSVSVSGHKFMGCPVPCGVVITRLEHVKVLSTDIEYLSSRDATIMGSRNGHAPMFLWYTLNKKGYRGIRKEVQKCLRNAHHLANRLREAGVSAYLNELSSTVVFERPHDEAFVHKWQLACEGSIAHVVVMPNVSVEKLNNFVQELICERPRWHGGGGFIVPCVAKDIGQENCLCGVHDKKLRVV >SECCE6Rv1G0409270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:585076947:585081190:1 gene:SECCE6Rv1G0409270 transcript:SECCE6Rv1G0409270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEAPTPPSAGGKGAASASAPTPPSKSTPGSVRRKKLYKKKKADPEKQKAAAAAAAQVAATADAPASGPTPVVPAPADVGTSAALVVAENGGADGKMDAKTNGDGDKSVAKTNGDGDKSVAKTNGDGDKSVAKTKGDGSKSVTKNKGDGSKPVAENKGDGPKPVAENKGDGVKMDAGNNGADRKMSTGNSSADRKRGRKDKMRGRAKGEGQGRMNAREGEKEKKDDAAGEERSSAGFIFMCSAKTKPECFQNSVFGLPKGKIDVVEKIRPGAKLFLYDFDLKLLYGVYKATARGGMDLVRRAFNGKFPAQVKFRIDKDCLPLPESSFKHAIKENYNSKGKFTQELNSRQVRKLLALYKPVNIHQPSSQHVEVRRTNRVEGRMPNYVEERRQPYDYEERRLPRHHEEIQHPQFVDERRLAYDYDERRPSLHVEEFRRPQFVEEVQPPTYYNVAPAPHGSYHPHQANIIYERAPGDAANRVDPFLPRDYRVPPQEVAAHPNYADEIYRGAYPAAQEPSYAARPAAYPANLQGPAASRVPVSALYSFAGAPAYR >SECCE6Rv1G0401380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:465475498:465477880:1 gene:SECCE6Rv1G0401380 transcript:SECCE6Rv1G0401380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYDDNETNLVEDEYDDLDDFIVGSDDEGDNVAEEDEEELPEAEEVEVEEEYEEEEEEEQPAGTQEILSFREQLKAKLRKQHQSNVPENGNPSCSSSNQPPVRSRFGTFFGPSTPVLAPRLMEAGCSSMMKENQDVPSRKHAAPSPASKTQQSASAHEQKPKIVPQVKRKVDTLRENRDYSNLFSDDADTSPPTESRTESMRAMAPKSDVEAHQMKPMQSNATNKKVPTNHPARPSNRVQNKVVSQVKKEPLPNRRNPIAVATNGSRPPNSTTKAHPGLQPSSNGQNPQRSMQSKSPRMLPASELQQRRPLKPQGQRQQNQVTPSPQVRRMNSSVQGQQPAHKGSAPPQGRTKLGQKQLAPSSKPKPSPISAVYSDPAKKKGVMKRKLSDAEKVRQMVRDVFNYDPGKYGKDEDDDRDMEAGYASIQMEERRSAKIARKEDEDEYRRIQEEEQRERAKKKKKQRTES >SECCE4Rv1G0218020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19740767:19743634:-1 gene:SECCE4Rv1G0218020 transcript:SECCE4Rv1G0218020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSSSMDHHAAGPSVLRWLLSVAAGGLFALFLLVASPVPFPSASLFLAPNSPASASSARASKNLFVDPALSAQARAPPAAPSPLRFAYLISGSAGDAGMLRRCLLALYHPRNHYILHLDAEAPDSDRADLAAFVAGHPVLAAARNVRVVEKANLVTYRGPTMVTTTLHAAAAFLWGEGRGRGADWDWFINLSASDYPLVTQDDMMEVFSELPRDLNFLDHTSDIGWKAFARAMPVIIDPALYMKKKGDLFWIPQKRELPTAFKLFTGSAWMVLSRPFVEYLIWGWDNLPRTVLMYYANFISSPEGYFHTVACNADEFRNTTVNHDLHYIAWDNPPMQHPHLLTHADWDGMLASAAPFARKFRRDDPVLDRIDADLLSRGPGMLAPGGWCAGEAPAAGANRTGGGDDPCKAVGDAAFVRPGPGAARLKRLVASLLSEENFRPKQCKVAVEEEHTPSQNVEEHH >SECCE4Rv1G0248190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:569060225:569062437:-1 gene:SECCE4Rv1G0248190 transcript:SECCE4Rv1G0248190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKAGNGQDTESPSKDARTERVESYATKANSKKWFCCVTPSPTQS >SECCE4Rv1G0246080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:540376186:540382627:1 gene:SECCE4Rv1G0246080 transcript:SECCE4Rv1G0246080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYSAAAFALRAAKPAYSSHSPYAPSHHCSQQHYDGDGAHRELLPLNPHLSPRFLLDGYLLRHSAHLLLLSARLRPPPPQHPPHSCCHRRAAARCCSSGGGGGGRSYVQHVTWGLEGRGRRCCGQGAGRSDLGVGCRRLKARGCGCGSYGSGRLGLGTSCGHRDTPRLLGRAVRQEVWEYEGGQWPHRSYSTECHSDWDDEEDEDQCGHAQWERPGKSSFRRKWKEEEEEDGDRCRDCRRMKGVENEYYDEGEYSGRRREIRDANGIHDRHRYHRRRLEQRGYINDEDTRRRSDVMEGRDRREFESDEARNVGARRYSEDDRKYDRRRERRDSEYEDVLDARRVGAGRYNEDDRRFDRRRGRIDFEIDSEDDVRRDGRRLRNDDERFVIQNTRRKKYMEDDDQDIAERKHYSHGRSQRSASAFHEDDSQRASSSRNTVDTRVGRGNSSLRVRWDDNVDRQVSQTLEERGRRYSSLVGLSNDEKDEYGYDDAQLVRVRDHRTGTQDVKVITEDDTRLISSSKNSSILKHSSDVDQQVVAQKDESKSSQRIVETSVNRGNNTELDSETRSNQLEDRINYIDSKSSSLQSSVKMASDSRRQIDQHDVVDQQAVALTDSRLNTEKLTDIKMDSSQHVSRASHSQRNYEEVNQMDIDDRSTSVENITHITRDKRRYVNQQVIHETDIDVQNVTHVDVSTIHASDISASRSSLNHSDTRSDVNSISSISLIDQARDQQEQIHQNKVIAGDNTILRGSQSHHDTGVYGQVHSVPVIDSTKDMQEQAELTKARTSNVATTSTSESHIQTRIYDRFQPSSSVNTVSSVKEQIDLTKIHASDTAVVSNSHNRSNNEARRTSALNIVEARDSRDESDLQITQGSGIDRSNQVGTTFSESSQDSRERLTRVEETGRLVQHDTVLNSQHTGTGRISDDKDITSLGIKSTEEASIINVDMEQRGTIPGRSETTARENIQGGSSTRKSVNESLLESAARLEKSSTFHVGQFVSELQRGASDADTTSTKKTEKSIMEGATRSSSRSRMKGPSDEIWDVQSTTSQETFKTADKEEGFSADGGTNSASQTPKSETAIAKKVHKSLWAYVADIVRLGWVKHGESHDSTSKSFRESSSGNSQRSEGWLSSQGHDNDGIQKRNWSSKQNDHSLMKSHSGESESIVASTLKDESLPTGTQGLQISGAGNVPEVGRSKGDSVPKITKDDVQISGERAKQSDVGASPKGNITGSSAEDSISTSVDVTVGHSPEHEGAGSSGISTQGSAEIDAGKRVSAGTSSTTIKAKEAGLSDGAGSWRYGPSVAITPYQIPQTQAMVPHENTSSAFLELTELPTGGSTGMKEKIVGHKAPEVLRTEDKDAELKRRKIQRNKQVRKETFDEWEEAYQHDAEQRKTDELFMKEALLEAQRAADIWEVPVGAVLVHNGEIIARGCNLVEDLRDSTAHAEIVCIREASSKLKTWRLADTTLYVTLEPCAMCAGAILQARVDTVVWGAPNKLLGADGSWVRLFPGDGQTSTLDPANQKQTAGPVHPFHPKIIVRRGVLSTECSEIMQQFFQLRRKKKQRADSPPRAHFSGHRHHPIKFFTKMQHMFGTVFCL >SECCE3Rv1G0165910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:180137601:180140363:-1 gene:SECCE3Rv1G0165910 transcript:SECCE3Rv1G0165910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEPLRRIGEDCPDGATRESVRQDICILLDRIHGFYESALDRLPAERIPSLTTRLLKAGVCFGFLDPVSNIIANAISYTPSPEDEIDEEEEIISKVRTNTRDERIFEMPLSRDSVDSMTVARRSLEGLVSFLCFQYRYLEKTEALRYLRLAGCDLLAAARLLEQDRNRSPDEPVLSVISPTTRIALECAALSARHPKPALLAGVSLSLISRPVKVSKILGAHCCLPPAAAKRLAKLLRASIDLGAGRKKKRKRGELTPRNTESVGWKPGMHGTFRHTQTLKLLLLGKIHGFYLEALARLPRDGLRKLHHCSLIKGGYCYGPMDPVSNIILNTIWYGTAFPMHRDFEFDFQVDMICTRTLMRIGCCSLYGIVAFLRARFSSLSEHDALWHLLLANVDLRLAIEMVQQSGFLIGGTDLDAYKKAAVDSWHSDPDALVKFTTLSLDIEPTKLSDMMAHALADCTVEHLTMLVSKSSPPKSKKHALLLNEVSSCSKILNKNQKKLIPAIRNKFRVDQEFYVRKVNAALNKFSQQKGVDYELHIICGVNPKVTEGSTAYLFKKRFKYEYFHINFLAAPKGSDPTTTPRELFFAECSNGDKDEEERPSLCFPVLGSSIDDTRCFFCEYNGRNIVHPFLETYNGRRDDFQEMASGRQDVLIQTIIDRYEFEVDGMFTVKEDWIYFDPNMDAKIAQMNPIPDWARKVREWGRII >SECCE1Rv1G0023140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:296486606:296515046:1 gene:SECCE1Rv1G0023140 transcript:SECCE1Rv1G0023140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWWVAALATLGVVGLAAGLRGCAAQPVVLASYGQPKLSLRPYDWTYLRVDLPASFSSITMNFAADRDIPREHLKDLPKSDLAIICLMISNPPIPDTSDYYLDNLLSNFLAVGSFGNTNNQPNLAQCIPFQKNTTIVLTNGQISPGIWYIGYFNGLGPARTQSKMISRGRALSVSTSITVEGCPTSALWGPYCNQTVETIGCSQFSRYNISRDLLGLTINTRKILKTRENTRRTSFLSRSNHPIGHQLESNGTSLVGVENLITCAISNDSLCLRQGDIKFYFLDIVNQALQFKITAANLRLSQSASLICYLRCNALPQRDLHDYLGDISSAPLVIKLPNIGRWYIAVEILNKTQMRNTTTPMLDTICFSFDWQVTGCLNGKTGTNCSWEAYVLQRVPKRNPSVPFESYYVPTDERALLEYSHFSLEKFLTNSSFEQFAWTYFFLDVPQGSAGALIHVQLKSDKELNYELYSKYGGLPSNDSWDYYASRTSSSNGSVFYSLQNSTDSDMDLSIFYAKEGTWCFGVKHPNYTSNSQTYMSISLQGCHRNCNQKGVCHASVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHIRQSVFLIASNAAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFIYMATIKEASKRAMHTAVFILTAILAATGATRSANIGIVVAIGSFGLLIGWILEFFTARRFICCSWRINLNVPHRWPNLAALFWNTLEMLNKRFRWLYLLFGFITLSFAALSWKLESNSSYWIWHSMWHITIYTSSFFFLCSMRVNTTNHSPETTYELTRQDTLPRAESSET >SECCE3Rv1G0207810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:924722258:924725299:-1 gene:SECCE3Rv1G0207810 transcript:SECCE3Rv1G0207810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKEGSLKLSSNHVVPFLLGAALPTILLFVLASDRVGEQLSSVSGSWLGNSNGGTPGAAPAPQQHEVERFPGLAELLPKVCTEDRTVIITSVNEAWARPGSLLDLYLESFKNGEDTAHLLDHLLVVALDPAGFRRCVAVHPHCYLLEVTTVNLTSAARFMSKQYLELVWTKLELQQRVLELGYNLLFTDADLIVLRNPFRRIPVYADMSVSSDDYSAARAHPLDNPLNTGLYYVKATSRGVRMLKYWRAARARFPGAHDQTVFHNIKRELVQKLGVTIEPLDTVYFGGFCEYHDDLASACTMHADCCVGVDNKVHDLSDVAADWRRYRGMTPEERKRIGRNMTWTVPARCRRSVNWRKPRHP >SECCEUnv1G0527460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1327734:1329863:1 gene:SECCEUnv1G0527460 transcript:SECCEUnv1G0527460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSCSPAADFVDYLGPDTSVTVFTMLHHPADLARASAVSRSWRQFVIDNQFSKLQCLRACPELSTFTTVDLTTTTTTSGSSTTPARRDQDQHSAAGSSAAAEKLRRDHRVYTHLGHGLLSPYKPRDCIIHCIGASSTDNFPDETIENTLEPVDHLESNRPSYWSSGGQRDPAVPECLIYRLQADLCLIDEIKVQPFKAFFQHGDPIYSPKSIRVQMGYPRSPLRPEALVCDENEGQLIDDRNYVWTYTSPEFPMLQENVLQSFKLPRPVLCIGGVVKVELLGRVQKQAIDGLYYICISHVQIVGKALPRDLGVVPRGNGLVLNYYLDPRTCGVPRSEPARVDGRSRWQGLASRIWHSGTARGIGGLNQTLLSRLFGGPLRLVVVADESEGEEEDDDLP >SECCE4Rv1G0267100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734563743:734565173:-1 gene:SECCE4Rv1G0267100 transcript:SECCE4Rv1G0267100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRVRVLEATHVRPEMPGHTTLHQPAAVRLSLFDTLFLPLPPIQRLFFYDGEDLPPFSALVGSLRSSLAATLATFAPLAGRIAACPDGDIVIDCSPDALHPGVRFVVAEYSGDAADMCRLARDAEHDTEAFVQLVPELEVRMLPAPVLAVQVTRPAQARGNDEGGAGAVAVGVTMHHAVADGHSLWQFMRAWAGASRAGSQSQAATGSPPTFDRAGILRHPKAEAAAREFARLWAPDLPRVNTLPEPDWTRQRRRTYLLTASQIQSLKRRILQQSQLAAKNGDKHRPPPEPPSTYVAIASLLWTSIARAKYPNHAADDDDAYFVFPADCRRRLRPPLDPGYFGNCIKVCYARASTGDLCGHDEDAALARAAAAVRRAIRENVEGEDPLGDADRWAETIRRVPQERLARQGSSQRFMAYEVDFGWGQPSRVEIVSTFSAEIAMLAGAPRGAVQVSVALGREHMDGFEASFMSQASA >SECCE2Rv1G0069290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27644579:27645757:1 gene:SECCE2Rv1G0069290 transcript:SECCE2Rv1G0069290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRRRRRGSPPTPPPPLENDDLLREILLRIPPQPSSLPRASAVCKRWRCVAVDPKFVARFRAHHGRPPLLGFFQRRDDGIVFAPVLSAPDRVPPERFDLRIRATDSAAHLLGCRHGRVLVFAVARAEVVVCDPITGEQRRVAVPPEFKTGHVNGAVLCADRGQGHAHGGCRSSPFKVVLVFMRSQDHRPLACVYSSETDTWGPLIPTHAPHPGSACCGGAQSILIGNVLYWPLRQAKDGILQFDMDRQSLDVIEAPPGMNVPRNHEIVQAEDGTLGLAILSHHYHNIQMWQRKVNCQGVATWVLWKTTEMLDVLGLSSSIQVVRRGVELILGYDQDADEMLLYLDGTVYIVQLKSMQSRKLWESDHVTAYHSFNSFYMPGDCSSLVLVL >SECCE5Rv1G0360580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:772359993:772361776:-1 gene:SECCE5Rv1G0360580 transcript:SECCE5Rv1G0360580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWIRPEVYPLFATTGVAVGICVMQLVRNITTNPEVRVTKQNRAAGVLENHDEGKRYSQHGVRRFWLSKRRDYMHELDNVPTDRK >SECCE7Rv1G0456710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11374029:11375314:1 gene:SECCE7Rv1G0456710 transcript:SECCE7Rv1G0456710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMATTALLRLAPLPPHPRLLAPTSKKPVLPAPLGSGRRALRIARAAGDGLADQTVYNGVYGPWSVDDADVREGAFLPEGNAAGDAVRQGADLLFATGAGGLGLSLVLIHIYVTPIKRFLQALWAAGVLGSVGTYALAARPLDEGLVRYVLDHPGAMWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPILLLGHLQPIKDDIGDKSVFMFNALPEEEKKALLQRLEAPTQQKFE >SECCE7Rv1G0498620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:642787631:642789214:-1 gene:SECCE7Rv1G0498620 transcript:SECCE7Rv1G0498620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31430 [Source:Projected from Arabidopsis thaliana (AT1G31430) UniProtKB/Swiss-Prot;Acc:Q9C866] MAKARHRGMPLRECNLLIRTLARRGSYADVMAVYYDLRGRGLVADSFTYPFVLRAIAALKLPVEGRKAHVAALKTGFRWDAYTASSLMDMYTTVDRPEVARKVFDEMPQRALVVWNMMIRCYVRRGRNTEAVALAEEMERGGITPDRVTLLTALTACSRAGDLSLGRRIHAYMDGVTGFSLPVANALLDMYMKNGCLEEAVKLFEKMPSKNIISWTILVSGYAFAGQVEKARLLFHQCTEKDLIMWTAMINAYAQHGCFVEALSLFRDMQMHQVEPDRFTVVTLLTCCANLGALEQGEWIHQLAEGKKMKLDAVLGTALIDMYAKCGHVEKAVEVFERMQGRDTMAWTAIICGLATNGQAGRALELFEDMERSNVKPDSVTFIGVLSACCHGGLVDEGRKQFRAMKEVYRIRPRVEHYSCLVNLLGRAGQLDEAEKLIKDIPIGKDAMPLFGALLTACKAQGNVEMSERLTKRIGKQGYQIPDVDLLMSNVYATASRWEDVVRVRSKMAHPSVKKNAGCSLIEVKGY >SECCE3Rv1G0165810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:179319030:179319518:1 gene:SECCE3Rv1G0165810 transcript:SECCE3Rv1G0165810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAIEAQREGAEVYHGATLCAEKAVELLAETNMPLGLLPLADIQEVGYNRATGFVWLRQKKALTHTFKQIGRQVSYATEVTAFVEDRKMKRMTGVKSKELLIWITLCEMYIDKNDPSKITFKTPTGLGRTFPVSAFGKEVDGKAKAPAAAAADGKEVTVAK >SECCE1Rv1G0061870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717622414:717623562:-1 gene:SECCE1Rv1G0061870 transcript:SECCE1Rv1G0061870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRKKEEEQQAVEGLPNDLVWEFLSRVPYRSLCRFKCVSAAWLAMCSDPAVRRRSPQTLSGFFGLSRSGSNRFVNLSGRGRPLVDPSLPFLHGFENVKLLNCCSGILLCHGMRAECAEYIVCNPATEEIWTVLPVPDRHETPRPHAYRTICLCFDPVVPSCFAVFVMIDNGRDITMTEVYSSDTGEWTSMSSRWGHRILLYNYEPAYFFLNGTLHSVAYDSRVETFDLEDNSINMVVTVDTSGNTWRKTLQPHKVEFTFIGFSQGRLHGIEMEDGGGHRISVWILKDYASGQWTLKHTTSVQELLGRPCLENEEYYVIVALHPQRNLIFLSGGMEPEQTLMSYDMDTQKLHVICNLEDYEMEHFRPYIPCFVEWRPSNAP >SECCEUnv1G0532480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:20595865:20597672:-1 gene:SECCEUnv1G0532480 transcript:SECCEUnv1G0532480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPAPSAALGGLRAPPPFAAPRRRIPSPFAPSPSTSPLGAARPLAAMMGSPLTAAVVLGRMTAHPSASARACCELSQGT >SECCE3Rv1G0159490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:96349627:96354913:1 gene:SECCE3Rv1G0159490 transcript:SECCE3Rv1G0159490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASISFVLNRLGELAVKEAALLSGVGDDIRLLRDKLEWLQTFIQDADQERREGANQYVGLWVRQTRDVAHEVEDVLDEFLRRLDRDTLRQGVPAWRRWLGLAASCTTQVSVRHELIGRMDGIKNRLKEISDNVDKYKIRTFRPSPSGGASSSAHNSTINTGSEVFGFEVERAELERRLLAGDGTSRSVISLVGESGTGKSTLAWEVYDSPIIRKHFDVRVWINVPPQIRDDDILYFIYKRLCPESEACEQKNSITEKVHRALSLYLKNKRYLVMLDGLVNFSNWHSILHSLPQNEKGSRVMVITRLEDKEAAYANPEVSTLKILKLDEKDSSSLFRRRVFGANNQFKEKILGSKISEPNVQMEKACKNMFKTTHGLPLAIVVLAGLLRTKSISEWKEVFKKLESDNEPKQVKRILALSFDDLPSRLKSCFLYFAGVPENLIYNARRLVRLWAAEGFLKPKKGKTMEDIGQNYLKELISREMIRLVKRDLNGGVWLVAIHDRLHAFAQAEAHEASFLEAHDNADILAPGAVRRLHLQNYTETYIPIGTAFPKMRSILGDFAEERSQNGKPSLSSKERAHDQLKKQGNNSDLRYHALHFLPASKFLRVIDLWGLRIKKVPGAIGDMIHVRYLGLRSRSLAKLPSSIARLINLQTLDIKRTEVKKVAQAFWEIPTLRHVVANMLGLPKSAGVLNNMQTLTGLFCSDPLGKDIKPLQNMVYLRYLQISGLDKRHWEALGDVFKKLESLIYLQLAGKDITLKLFTEFTLRRLQILELLGKIDTSSVNEEEQYTLPNVTRLVLKLSLADQKFIDKIGELPSLMELVLSKDSYGEENLLFSDKGFNNVTSLVMGDLTKVKKWTIRPMSIPKIQKIVLSGCPEMEIKLEGKEGEESLEGLMADLKEVVVCNMLQERSIIVKPANSAFEEKINHVAIKTKSEDITDATQRDGRWRAGMIAGNMYQN >SECCE1Rv1G0031660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:444830423:444834913:1 gene:SECCE1Rv1G0031660 transcript:SECCE1Rv1G0031660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGTASHGALLAAAPLAGRRPRLLPLSPPPSTPSIQIQNRLYSMSLLPLRKARGMGRCEASLASNYTQTSEFADLDWESLGFGLVQTDYMYTAKCGPDGNFDKGGMVPFGPIEMNPASGVLNYGQGLFEGLKAYRKTDGSILLFRPMENAMRMQTGAERMCMPAPPVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLVGSGAVLGLAPAPEYTFIIFASPVGNYFKEGLAPINLIVEDKFHRATPGGTGGVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVEKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVALSKGFQVEERLVSVDELLEADEVFCTGTAVVVSPVGSITYQGKRIEYAGKQGVGAVSRQLYTSLTSLQMGQADDPMGWTVQLN >SECCE7Rv1G0470640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:123372000:123376836:-1 gene:SECCE7Rv1G0470640 transcript:SECCE7Rv1G0470640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSIPPPLLRPSPSPSPSPAANWRRHAVRVAVRVRAPVAALAGDGGCAGAGMEQHLQAGSASGSPVREKPVMSNIGKSTNILWHDCPIGQPERQKLLGQKGCVIWITGLSGSGKSTVACALSRELHYRGHHTYVLDGDNLRHGLNRDLSFKAEDRTENIRRVGEVAKLFADAGTICIASLISPYRRDRDACRALLPDSRFIEVFMDLPLELCEARDPKGLYKLARTGKIKGFTGVDDPYESPVNSEIVIKMEGGECPSPKAMAQQVLSYLEKNGYLQA >SECCE4Rv1G0219600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:32943624:32948969:-1 gene:SECCE4Rv1G0219600 transcript:SECCE4Rv1G0219600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] iron-sulfur protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G37510) UniProtKB/Swiss-Prot;Acc:Q9FGI6] MAFLARALRHSKPYLPSRGPAAGASCRWISSTPAAGSPEAGAAVAPADPELPPPREPVGGARVELPPNPEDALEVFVDGHAVRIPKGFSVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPIAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTDMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEVAGVQDLGMLGRGSGEEIGTYVGKLMTSELSGNVIDICPVGALTSKPFAFKARNWEMKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGPDGRFKAVTWRDAIAVVAEVLNQVKPEEITGVAGKLSDAESMMALKDFVNKMGSDKVLCEGNGPNPPADIRSNYLMNTSIAGLEKADVFLLVGTQPRVEAAMVNARIQKTVRATQAKVGYIGPPADFNYDTQHLGTGPETLVEIAEGRHPFCSILKSAKNPVIIAGAGLFEREDQGAVFSTIETVAKKFNVTRPDWNGLNVLLLHAAQAAALDLGLVANPTESVKSAKFLYLMGADDVNLDNLPADAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKIVRALSEVAGAPLPYDSVAAVRDRIRMVAPNLVRVDEREPSVISAEVKPPVKQQVSPAPFKAAVENFYMTDAITRASKIMAQCSATLLKK >SECCE4Rv1G0266130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:729413199:729414677:-1 gene:SECCE4Rv1G0266130 transcript:SECCE4Rv1G0266130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCLLLLAIILLTQPTGQSGEEGPAVPALMVFGDSLVDVGNNNYIFTIAKANFHPYGRDFKDHVATGRFCNGKLLIDFIAEKVGFNGSPLAYLSPEASGHNLLLGANFASAASGYNDHGTLIKAISVSQQLKYFKDYQAKLAVVAGSSNARSIIWGSLYIICAGSCDFAYNYINPFLDMNQTAEQFSDRLVGMFYNSVTQLYDMGARRIGVFSLPPFGCFPMAITLYGHGRSGCVSRLNNDAQYYNTKLKAAVDSLSKKYHDLKIVVLDMYTPLYNLATSPVSQGFTEAKRACCGTGTVEASILCNPLLPGTCPSARTYVFWDVWHPSEAANKVIVDSCVDEINNLVA >SECCE6Rv1G0417740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659528150:659531224:-1 gene:SECCE6Rv1G0417740 transcript:SECCE6Rv1G0417740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIHSAASQGSTPALRVQPVMDQPAQPPKPPVQPAPQESAITPGNSQPSSTEPAITPAQPWEYTLRKYLLLLATLVVNVTYAAGFNPPGGVWQAAYDGQPAGDPIIRDTHYHRYIAFFYCNATAFAASLVVIVLILILAVRHDKEKEKRKKDAVQVIKPLRVIMVLDLLSLVGAYGAGTCRDRISIVYTAVLVAAVFAYIVVLKLLDRYCPNKNSGSSSSGAIPSSETNNGVSAITTPDRKVKEKALKTEERLCKVLMLLATFAVSITYVAGLSTPGGFWDSTGGRHHPGDAILRDQHGQRLTVFLLFNTTAFVASLLIAMLLIIDGKKLRDKTARFHMLYVCIFVALVGLIGAYAAGSCRKTDTTVYVVSLVGAVVAYILLHLFCRSALKLLSYCFSPAYSLLHGFCSSASKLFPCCFSPAQQNDENQQNNDAREALDKARSLVLLLATLAATITYTAGLDPPGGVWQDNGDGHMAGDPILLATNARRYKAFYYCNSVAFVASLVVIILVQMEKLVKHHVLEAAMILDLFGLIGAYAAGSCRNVNTSIYAMALAGAVLIYVVIHVVFFTLDHRDQNNNDQEDQLLEKKRKRLLLFAILTATITYQAGLTPPGGFLLQDDKLGHHAGDPVLLYNYPRRYHAFFYCNSVSFMLSIALILLLVNPNLYRPAIQSNALSVCTAVGLLCLMGAYAAGSTQHFKTSIYIFVLVVVVLLVAAGLLLVFLLREPYKIDAAAATPSRPTGQEDEEEENRNGISVAAPTGQGDNEEENRNGIPAAAVPSIPNEQEEEEKVKKEKRKNHARRKYLMLLGILVASVAYQAGLEPPGGAWQSSGNGYEAGDPVMHNNMRPRYLVFFYSNSISFVASIVVIIMLLPHWLPDEREEEWKNWSLKVMNRTIRLDLFALLVAYAAGSNRGWKTSMIVVALIIAVLSYFAIHMILSCTVCHKTQGTSASFQHVAMTQQSSNGNPPVEHNSLSVRSMV >SECCE4Rv1G0263970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718609670:718614561:-1 gene:SECCE4Rv1G0263970 transcript:SECCE4Rv1G0263970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEADALAAAAAAEVVSPRSGYFRQRSMHAADPDRKAFDVENPPGASAGAAGAAGGAGLGLGLRPSESVAKLESLERAERAALAPAVVLKTGFYILVWYTFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAALSKIILLFQAKGIDTAVEMSWKDYFMRVVPTALGTALDINLSNASLVFITVTFATMCKSASPIFLLLFAFAFRLESPSIKLLGIIVVISIGVLLTVARETAFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKDPITLMSHVTPVMAIATMVLSLLLDPWSDFRKNTYFDNPWHVMRSFLLMLIGGSLAFFMVLTEYILVSATSAITVTIAGVVKEAVTILVAVFYFHDEFTWLKGVGLTTIMVGVSLFNWYKYDKFKKGQINEDGVDSPSFSGDAKYIILDDLEDEDGFLDEDR >SECCE4Rv1G0234320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:272473969:272474379:-1 gene:SECCE4Rv1G0234320 transcript:SECCE4Rv1G0234320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESFSGMGNGGGGGGGGGHHQVVDGKLIQTFHKSFVQVQSILDQNRMLISEINQNHESRAPDSLTRNVGLIRELNNNIRRVVGLYADLSASFAHRAAAMDGGSSEGDSSGTLRSSDAAGRAAAAAAGQKRVRPG >SECCE3Rv1G0185200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:672533072:672546774:-1 gene:SECCE3Rv1G0185200 transcript:SECCE3Rv1G0185200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHYQAATLIAAPSYPNSITWSSENLVAVASGHIVTILNPAAIEGPRGFVLLRPRDPFPIGVVKREDLLEPCLVPSFLVRDTEPCARSISWSRQGFAPNSGCLLAVCTVDGHVNLYRPPIWEFCDEWVEVADVSKLLFNYYKSINFGEDDSPDSIRQEKANNEQTHEMGCTGELQGPLSCSDLGRRKRKPSRFESYVCDEDEGDLDASKDADFWLNPCSKSKKISMKTIVKPGHTTAIVNGLGGSQDTKAAVSCNKENTCLPLITAEQYARRNAILSSLVVAWSPVVPSRDTTSHLLRNWCILAVGSKSGDVSFWKICKPEYYTIDVCMVTRDPILIRVLKAHNSWVSAISWEVSFTDSSKSSLLLATGCSDGSVKIWSCNTEGLNQCTYVKELPFSLLAEVTTNSSAPVSSVSLSVLAQPQHGVSFNLAIGRVSGSLESWIWDPCRNKIENTSACHAHDQVVTGLSWGLDGHCLYSCGQDNSAHCWIFERQKLEEIPVHTNFQELKESTDLSEVSDQCFGLSIAPGQLMIAVVRTLDPNLLDQMYQARTQKAVVEFIWIGGQFIGLPLGKGIHICSQQFGTNFLWWGSNIFWSLKKYENGERGLVLWDIIAALQVLKKSAPTFLETLMHKWVSSLFSYDQCGSIIISYRSRNDIISKASLRKLHLLNIVCRKVMLSDRPQYPPGGEEGKDAGTDLWSNLLASSEIELRERLVAFTFAAVLGRVSYVLKGIPNDNWWFPVGIAQMDSWVSMNSGEVHDQLKSLRSRIRGLGSRIGSVCEYSVEETCPYCSAPVCFESPEAALCGSGDPATVAAERHRLSRCAASMRLCSVLQPTWHCACCGGMVDKLVPETFFTMTASPLDADHDSELLCLSVPAVPLCPFCGITLQRSAPAFLLSVSPL >SECCE7Rv1G0520350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:868326381:868333687:-1 gene:SECCE7Rv1G0520350 transcript:SECCE7Rv1G0520350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSTSGIFTRGAGVGDAMVYGLTTACRILVGWRNLSPMVPFLLNPLVVSSFFSMPEWFRSEPMATAAGSLFELEHGCSQWEMVSKDAVFNNVLNHSMAADSQVFLEVVIMDKGRIFRGLGSLVDVGGGNGAGTKVIAKAFPRITCTVLDLPHVVGKAANDGNLRFVAGDMFESVPPADAVVLKNILHDWGHEDCVKILQRCKQAIPTRNAGGKVIIIDMVRGSAPGDRKISEMEATQNVFMMCISGMERDESEWKRIFSDAGFNDDYKIMPVLGPYSVIEIYP >SECCE6Rv1G0419460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:669973931:669974451:1 gene:SECCE6Rv1G0419460 transcript:SECCE6Rv1G0419460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGACACAVAAPSRATVSGPGAASPGRGFRVSCRRSARRRAGGRARVSRDTSGAEAEPDSKGRIPQDDSGYLLTLGLGSVGGAAAVKYGSVLLPDITRPNIVEALLMVSLPMAAAVLILLKLSSTSTQD >SECCE5Rv1G0365820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:809436847:809439566:1 gene:SECCE5Rv1G0365820 transcript:SECCE5Rv1G0365820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAFPPPPRKSTGPQPAADPPEGASPLPAGYIFMCSGETRPECFRYRVLGLPRGRLDAVSRIRRGAALFLYDFHAKFLYGPYRADSDGGLALEPAAFHGRYPAQVKFVIDGDFMPIPESSIRSAIRENYSRGKFWPELTFTQVEKLRALFHPVTSLPMPEAPPLHYVDNRHPAQSAAFLPHLASYQTQPTSYVYHPSTFAPTPAAHLVPNQPYPRPDSHLPVTVQYTTPAYYATPTVYPYQAGYQAYGPPPATYHYAQAPPLQYHYAQAQHPVPEHVTDPAYSSDPYYAANRNDPYRFDAVKSHYQETTCGRAAYGAPPHEAAATNLQLARHYGYAPGSEGAAGGTPQPSAEGAPSATDDDSWPPAPATTQAAPAYL >SECCEUnv1G0533200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:27266369:27266704:-1 gene:SECCEUnv1G0533200 transcript:SECCEUnv1G0533200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFMDLRAFILRARVLKFYRQALRMTRRAPEHTRDELRQTVRAEIEKNRHCDDKQKIKFLISEGLQRLKGLDEMLDMTGNG >SECCE6Rv1G0429440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736039016:736040773:1 gene:SECCE6Rv1G0429440 transcript:SECCE6Rv1G0429440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGDVEAGTSGGTAGTTAAPVQALYPGMVESPELRWALIRKIYVILSLQLLLTAVVAAVVVKVRAIPHFFVSSYAGLGLYIFILIFPFIVLCPLYCYRQKHPVNLLLLGVFTVAISFAVGMTCAFTSGKVILEAAILTTVVVLSLTAYTFWAVKRGKDFSFLGPFLFASLMMLLVFGFIQILFPLGKLSHMIYGALAALIFSGYIVYDTDNIIKRYTYDEYVWATVSLYLDIINLFLALLTLFRAGDS >SECCE3Rv1G0203480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883758697:883759175:1 gene:SECCE3Rv1G0203480 transcript:SECCE3Rv1G0203480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGVARGMEGLGEDGDVALGYPLIEDVERGQRKPAAAVGYPLPPERRDPPPSSTTRPPTRDKCASCKMFTQGFLVTLGIVLITDFVLGGLILKRQPHPLWVLLCSPFYPLVYVGTMLLVANCGTSESHVQDR >SECCE6Rv1G0412220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613380812:613383233:1 gene:SECCE6Rv1G0412220 transcript:SECCE6Rv1G0412220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGWKVLSDVKPYLAMVLLQVGFAGMYIVAVSSLKGGMSHFVLVVYRNLVATAVMTPFALYFERGLRPKMTITIFLKIMGLAFLEPVVDQNLYFMGAKLTSAGFATALVNILPAVTFVLALILRMEKVRLRSLHSQAKIAGTVLTVAGAVLMVLYHGPIVQFPWTKGQHHATASGQGAGGAAAARDWLNGTIMVIVACVAWACFFILQSNTLRSYPAELSLTVLICGMGSLMSGAIALVAERANTQAWVIGFDTRLFTAVYAGIVCSGVAYYVQGIVSRQRGPVFVTAFNPLCMIITAVMGSIILKEEINLGSVIGAAIIVGGLYFLIWGKSKDEISKAGGSSKGAGELPLTSVTNGSGSGKQELGNGNGGHVFGVETPAANGHY >SECCE2Rv1G0139850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929168867:929169818:1 gene:SECCE2Rv1G0139850 transcript:SECCE2Rv1G0139850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLVVFAAQASCWLLLLLICPSVSGWSDAGATWYGPRIGGGSDGGACGYQRDVEIPPFSAMITAGGPSLYKNGKGCGACYQVRCTGNAACSGRPVTVVVTDRCPGGPCLAEATHFDLSGKAFGAMAKRGQADNLRNAGNVKVQYNRVPCNWHGVAFRVDAGSNPSYLAVLIEYESGDGDLQAVELQQRGGRWAPMQQSWGAVWKYNSGSALQAPMSIRLTSGSGRKLVATNVIPSGWQPGKTYRSIHK >SECCE6Rv1G0425750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:709141447:709142652:-1 gene:SECCE6Rv1G0425750 transcript:SECCE6Rv1G0425750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGFFGYDPYDYYYPTSYGYDAYPYYRPAAADPFFADVEPLMTERLRPTRRRASARHDAGFFPGFGAAEPAARTTARPKPSSGSPKSSPDYFEVEVTGPDSPPSTIPKKPAPSAEEAAVRVQAAARGLLARRMVREVHAVERQAEAVAARVAADAEALHADARARIGLGEELMRLLLRLDGVRGAREYRRRVTKRVLALQDAVDALEAAPAVVVTADAPQVQDAEEKAEEDGMEPELSVEDNTALDFLSVETTDTATMEVDTASPVVVDEAGQTETELVAEGEKASEAEGEWEMVATGDGDVFTSEDTAPPKAQQQQEQAQEEKMVTTDGLDAKKLMKMVAALCERSAKQCELIGALADRVDTLERAVRRVEEADRRKRRNKKTNKDGKKNTSRFYSD >SECCE5Rv1G0358870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:758040028:758040936:-1 gene:SECCE5Rv1G0358870 transcript:SECCE5Rv1G0358870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGNASTVYLSVVEDVLANVRKEFITCGAGDAVLNELQALWETKLLHSGAISGNIDRNRAPPASATPPVHDLNVPYEATSEDQYATPTAELLFPPTPLQTPIQTPLSGGIDTAGIYDIPTGPWDYAPSPIGMGMTNGADAITGRPSPFMQPPSPWMNQRPLGLDVNLAFAYEDPARMMPLTKDFLMTSCGKRKRDEHPSASFVPQQDGCADEVELHSDATPKPGNDTAGGDDDDAEPPLNEDDDDDDDDTDDFDETQHLVLAQFDKITRTKNRWKCTLKDGIMHLNGRDVLFHKASGEFDF >SECCE3Rv1G0158110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85711615:85712673:-1 gene:SECCE3Rv1G0158110 transcript:SECCE3Rv1G0158110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKSRRVILKDYVEGYPTEEHMQLLPAADVDEAEEGSVLVKNLCLSCDPYMRPKMTRPQEQWYTEAFVPGTTITGFGVAQVVRSSRPGIAAGDLVSGVTGWEDYSVIKPPFADLLTKIQPEDGLPLSYYTGILGMPGFTAYVGFHHISSPNAGETVFVSAASGAVGQLVGQFARLMGCYVVGSAGSKEKVDLLINKFGFHDAFNYKEEGGDLAGALKKRFPDGIDVYFENVGGKMLEAVLVNMKVHGRIAVCGLISQYNLAAGEKEADGVGARSLVHLISKRIRMQGFVEPDHKHLYPEYRAWVLPHIKDGKVVYVEDIAEGLEAAPGALIGLFHGRNVGKQVVRLATPE >SECCEUnv1G0547760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:174318395:174319065:-1 gene:SECCEUnv1G0547760 transcript:SECCEUnv1G0547760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNNGAMSGQVYPPPPAAMVPQPQPHGQVAANNWAGNDANTLLVVATLITTLTYQLGCSVPGGYWQDTLLADGKQKPHEAGDPIMRDEHPQRYWVFMAASWMGFLASMVMTLSLLVRLPVDSRQIRWSFAVAYSSLVLTFIVSQAKTHISIDIAVWLVTVVFLWLMISVRPDHRARILRFFCCNREN >SECCE5Rv1G0366610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:814729400:814729969:1 gene:SECCE5Rv1G0366610 transcript:SECCE5Rv1G0366610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLQSGHRIHSWPPAPSRVGRRPPAAYMAASKGARKSLVSRTLERCRSGLNSGGRSSAAVAPGCFSVYVGPERERFVVRADRANHPLFRRLLDDAEQEYGYAAQGPLALPCAVDAFLDVLWHMDHDVQDDDDDDGEAAVAPSTPICGLQRAGSGSIKVRPAGYRVLSPAKSTPASFFFSQTAAGGRR >SECCEUnv1G0566180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:401209754:401210866:-1 gene:SECCEUnv1G0566180 transcript:SECCEUnv1G0566180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFHLKSMVADLSGVPMAKLKMQAETEDEVLLVWEPGEKAEQPPASSKIRDNFLSARLKGHDANHGGRVDYQFFSPPIDLDTEEESPLQPGRHTGAMGASLQRSANVLSIKVTSSSGVASFPLSLYGSVVVRDALDNEGIRLFHRERDDPQLITSQGEPLLLTGPTRGLVVFGFLFFDFNLKLKTGRVEDDMDFIQDMMEYNRLMNQHTHISDSLSSPLGAVELRYMAVQGAIESTVEIRILENSTKDGNPLVDDGLCRKMSVHTRTTKIPEEMVLFDSKASGAAITVGDDGVLELSRRVVAVSVGDSLVITVETWGDDGGDLHTKSSFVFTPLPCGEGRAVVPYAGYTMQIKVVWSALFNPKTDQVAV >SECCEUnv1G0537320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68650042:68650590:1 gene:SECCEUnv1G0537320 transcript:SECCEUnv1G0537320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPGKGYATVTSAPPKRPAGRTKVSETRHPVYRGVREPNKKSRIWLGTFATPEAAARAHDVAALALRGRAACLNFADSASLLAVDPATLRTPEDIRTAAIALAESACPAAAQASAPATMTMQEAAAAPYDDDLRFVAMDQHSYYYDGMGAGGDWNDDDDDGAGDIPLWSY >SECCE6Rv1G0449610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863581939:863582277:1 gene:SECCE6Rv1G0449610 transcript:SECCE6Rv1G0449610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQASSAQAELRLELTLAADVRVAPAEPGFFLCVYCDRRFRTSQAFGGHQNAHKQERAVAKRRQDAAAAMRPTPAWKAAARMPEEPAAGGMAHKHGSSSRESDPELDLSLRL >SECCE5Rv1G0359490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:762357677:762360711:-1 gene:SECCE5Rv1G0359490 transcript:SECCE5Rv1G0359490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTQDVNLVHKSTLEDGWAPFGSCTTALSVHNEEETAMLPASVGVNYKPSGRYVLVSGRADEKDGLRQAITTGGLKPRVTYRVAGWISLGAGAARGTVRVNLGVDEDDNGGESLVACGIVCAEAGGWTEIMGAFRLRTEPRSAAIYVHGAPAGVDVKVMDLRVSPTDHKARFRQLKDKTDKTRKRDVVLKFCAATGAAAPAPASIRVVQLDNAFPFGTCINTTVIQNPAFVDFFTDHMDWAVFENELKWYHTEAQQGQLNYRDADALLDFCDRLGKRVRGHCVFWSADGAVQQWVKNLDKDQLRSAVQSRIQGLVSRYAGRFPHYDVDNEMLHGRFFRDRLADEDVPAFMFKEVARLDPEAALFVNDYNVECANDPNATPDKYAEQVAWLQSCGAVVRGIGLQGHVSNPVGEVVCGALDRLAATGVPVWFTELDVSEPDVGLRAQDLEVVLREAYAHPAVEGVVFWGIMQGKMWRKDAWLVDADGTVNEAGQTLMNLQKEWKTDARGNVDGDGNFRFRGFHGRYVVEVTTATGKQMLKTFTVEKGDDTPLLVDLVDA >SECCE3Rv1G0170340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:251462242:251463458:-1 gene:SECCE3Rv1G0170340 transcript:SECCE3Rv1G0170340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNDFGFGSLIIDIKLDGSNYREWAFSARAVLRTAGFVSHLTDDPPSPNDDAARKSWQKIDDRVMGVLILGVEPSLRMSLEHHTSAKEIWKYFEQRYLQPTSALHFSLLQNLHNTRQPKDMPIEEYYGAFTRITGQLGSMVPKGNSGCESCATKEKYDHQTLMFQFVMGLKPDFENIRTQLLGRTTPPTLTEALASLIAEETRLRSLGTTSAQTLHTSVLAFPQRPCASKATPSAVVCSFCKKARHHRDGCFKLHPELLAEFQARRALNQQRRAPQAPYQQQARGASASVLSQSAVAATQPWVLDSGASFHVTSDRSQLVSCQPVRDGASVQTADGPSEQEGHWNWPSP >SECCE5Rv1G0316240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:314719743:314725684:1 gene:SECCE5Rv1G0316240 transcript:SECCE5Rv1G0316240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAQRVSMLRASLQSSQGNTEGMVAILGSFDQRLSELEATIHPIQVRAHATQMAHENIDKAIKVADDILAQVVIVREAEATILRGPHLDLESYLKAVGHVKDAVGFFSLRENFSSNERFLNDVNVLLSKSSLMIEEEFKRLMITYSKPIEGDLILGSPPKLQWASDGDAEADGRNSAHSEHPSKDLETAICRTPTLIPSRILPLLHTIAQKLVQDGNQQSCYKIYRDARRSALELSLRKLGVEKLSKDDVERMQSEALEAKIGKWTKYLRITVKLLDGERKICDEVFEGVSFNKDQCFAELAGTGVAVAKTLIGFGDAVAKSKRPPEKLFVLLDMYEVMHEVRSEVEGIFQDSFCSEMREAALGLMKLLAQTAHEMFVDFEELVENDTSKTNVHDGTVHPLTIYVIDHVKFLFDYQSTLKLLFQEFETGSDTESQLAVVLTKIMQALQNNLDGKSKQYKDPALMCIFLTNNIHYMVRSVRRSEAKDILGDDWIQRYRRIVQKHAKQYKRVAWGKAVQTLSIQGASSSGTSALSDLSSSGVSRAMIKERFKSFNMQFEELRAKQSLWTIPDQELRETLRLSIAEVLLPAYLSFVKHFRNLVENEKKPRKYFKYQPEELDQLLGEFFEGQQSVEQKK >SECCE5Rv1G0323520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:437121807:437122736:-1 gene:SECCE5Rv1G0323520 transcript:SECCE5Rv1G0323520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPCSRKVRISCCDPDATDSSDEDDRHAKKEKRMTMEVLLPMKTSGSLKSRKTLVPCGTKKLMGTEKKQPTSKYPGVRLRSWGKWAAEIRDPVSKTRKWIGTFTSEEAAAAAYEAERNRVRTEMLAIKSRSPPSEHEALSSEATVSCVSSSVSFGDQKAQEVHKLTSMEIDPDTADESLLHCSQEPLGKEIQVDAFLGQMNVDESLLHCSSTPSDEEIPVDAFRSQMNELPISDYVCTTDKLSLDDISRLADMFPVNDFVDTTGEPPGEDYIGLADISHLPMPMFELDAVLDWEGFDFASMERELEKL >SECCE3Rv1G0171140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:264593609:264596463:-1 gene:SECCE3Rv1G0171140 transcript:SECCE3Rv1G0171140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPMLALAVEKGPRAGETRQCTAGAALRVGRVVKGNDLAVRDGGASQQHLVIEFLPPPGAGWAVSDLGSSNGTFLNGKPLVPSVPAPLSHGDLIKVGDSTVLAVSIAPDSDPKPVANPSSRRSSRQTVVVAPMAAEEKPPAVSRRGARKKAPPAAQHLDTQKEDLEAEGVVVDEKPQVVTRRGGRQKLAAAETLCEMEKTLEAPRRGEQRKPVEPENDEVDREEATVVTCRGRRQNAAQPPEPERKKEEATVVMRRGRQKNVVEPSEPEEEEATVVTRRGRQKNVVEPSEPEKEEEAIVVTRRGMRKNVAEPPEPEKGKEEEATVLTRRGRRKNVXXXXXXXXXQPLVPEKEKEEEATVVTRRGRLKNVAQPPESEKEKEEEATVVMCRGRQTNVVEPPKPEKEEEEEAPVVTNLRGRKKNVVTDAPPQLPPKMRSIRGRGKKASASDMVLDDLEEQGGNELAVSRARPEDLLVSTTLKSAKARKRDKVASGDGDVEGAAVSLEEKVPKGRASARLAAFDNGANASAAPIEEMGEAVDATLRGRRKKAVEPHEPEKEEEEEAMVVTRRGRRKKNMEPHEPEKEEKEDKSPVTMHHGATKKNAAAVAPVSLPPKRKSARGRGRPTRASAMNTVLEEEEEEQGGNKLSESRARTGKPPTSTTVKKGDKAAAVDGEVKRTAKALEEKLPKRRAVAKLAANNISYAAAAGPTEEMEEAAEATHHGGQKAMEHPELENDEDATMVTRRGERKRAAVVKRRVAGKKDVVAVKRSGRGRGRVGRTSARNNVLEEDNMVVEKENELPVPSEQVGNLPVATAVNGGEDREVKGTKKATESELPKGRASAQSSSDNKCEEEQGGVNSSSRVASAQSSSDNKGGAKGSSRVDSGSNGIPNTTSKYREDRKLKPYSTPFDVRLDRALKKYSA >SECCE5Rv1G0327950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:498973005:498978666:-1 gene:SECCE5Rv1G0327950 transcript:SECCE5Rv1G0327950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAASAVAAAHLLTSPPVASPRPVPRRHRRTEATRGLSCRASLGPDAPLPALAAPGPSPARGRTYLREHSCLLFPPPRGTRPLAVVKFLGGAFIGAAPEATYGYLLELLAQEGFLVVCVPYNVTFDHAAAAREVFQRFHGCYDALRASGLPEAGLSALDIAGLPLYSVGHSNGALLQLLVGSYFSEKIPKANAIVSFNNRPASEAVPYFEQIGPLISQLTPMMEASPVYSVARDASGNAWKALFDLAGGLIREYDQEAMASVSKFVDQLPLVMNQVTEGVSEFKPTPPENREFCKNSYSVANTLLVKFSVDAIDDTDIIEDILRPRVDSIGGQIKKVVLSGTHLTPCVQDVKWQVGSEYTPADALAQGLKSLALNETRVLSRTIADYFRSL >SECCE5Rv1G0333380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:556432061:556432444:-1 gene:SECCE5Rv1G0333380 transcript:SECCE5Rv1G0333380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQVGIDLDGFLLDHEFPEDYGLEEEDECDIELEPLFEDALANQTAGPKPKRKSKRTKAYTAAEDKLLCECWRDIGQDPKTGAEQKHSTFWTRVHHEFHERKKFPPYQFVSTRGCVSISKRWRVIQ >SECCE7Rv1G0459970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:28242433:28242879:-1 gene:SECCE7Rv1G0459970 transcript:SECCE7Rv1G0459970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEELPSFRTPGSVPFKWELQPGIPKQPTAATASSSSAPASLQLPPRLALPPGASARAASSGGARWTCPASTSARWTFAGVSSATLLSPPSTTATATATTPRHRRSMSARFATSLTLPFTRPRRRGQSREDADVAFAALYGDSIVQ >SECCE3Rv1G0197110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:816061805:816062116:1 gene:SECCE3Rv1G0197110 transcript:SECCE3Rv1G0197110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCEUnv1G0528780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6059608:6062227:-1 gene:SECCEUnv1G0528780 transcript:SECCEUnv1G0528780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGAVDWWEEWQLRILVLASLLVQWFLFFSAPRRKLAIPSWFRSLIWLAYLGSDAIAIYALANLFSRQRRQDCSSGQGNSILEVVWAPVLLMHLGGSESITAYNIEDNELWTRHVLTAVSQITVAIYVFWKTWPGGDKRLLQVAILLFTPGILKCLLKPFSLKRASINNLVSFYDPPGPGMASEEGEINSLEDYVRKARDIFKVRDGQGEGEGNAQDLEANHLHQAKGDGNEPELDPDHHPQTSSTKSSWEEQIRSGEEEVRLVSGFYKLFVDRASSYPDRLRILKKVVGLSDEGAYCFLHGVLSDTFDLLYTKRSTIDGVSEDVGLLLLYIPIASTLLPFAAIGLFHNSHRGAYDVSDVKVTYALICCTAVLELFSAHFVIKGSRLTFSDMVAQYSLVSFFVRNKRHSKKMCILSSFNCKDFVDQRWCMKPCSSSFQLTKLVLGHVKGWWKEHISDAASYRRFNDHKGRDQDQEWGLSRPFDESVLIWHIATDLCFYKKFDAAASDEKAKGCREISNYMVYLLFVNPEMLLPGTNRNLFKAANTELKEILKDDKPFLEFLKRKGPSPKEIEKVLMDRIIAKLQPAECGEEDPGNKSPTCCKGEEDPGNKSPTCCKGEEDPGNMNPTGCKGFIHAIKLDIAKERQILKEKKAKEQQILKEKNEAKEKQREKEDPECTKLPVDTQKAFIQDAWKISERLLALDDDETEMWEVIEGVWVEMLCFSASRCRGYLHAKSLGTGGELLSYIWLLLSCMGLETFSERLQRTELSSAKGNAGAPPSTSQVPGSEDPRPLKCRRPHAAAAGASTSGPQEIQPAL >SECCE5Rv1G0350350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:689967566:689969126:-1 gene:SECCE5Rv1G0350350 transcript:SECCE5Rv1G0350350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTARAVTSPACSAQRHGRRRFMGAPARMVVLPGDDGRPAAAAAAGPGGGVRALGPRSVAAPARVAGSSHPAVCLEAAVPWTMDDVSAPVTVSYEERVRQLVLKQAALAASTTPMKARLSGRDAGVMEAAFDRCGAVCKEYAKTFYLATQLMTPERRKAIWAIYVWCRRTDELVDGPNAAHTSALALDRWESRLDGVFAGRPYDMLDAALADAVAAFPAVDERPFRDMVQGMRMDLAKSRYATFDELYLYCYRVAGTVGLMTVPVMGVSPGSEAGVETVYAGALALGVANQLTNILRDVGEDARRGRIYLPQDELAMAGISEADIFAGRVTNEWRRFMKGQIARARAYFQQAEQGAAELSQESRWPVWASLLLYRQILDEIEANGYDNFSKRAYVPKAKKLAALPKAYLRSLMPPPSQRRR >SECCE5Rv1G0332270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:545966038:545970054:1 gene:SECCE5Rv1G0332270 transcript:SECCE5Rv1G0332270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRVAVSHRPPLLVRAPNHLRRRQLHLAAPLPLPLASRPLSSRHRLLSPAPRRHARHLLASQTPGPEPDAEASAAPAGAKLVPLVVSLAAGLAVRFLVPRPAEVTLQAWQLLSIFLSTITGLVLGPLPVGAWAFLGLTATVATRTLPFEAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFIKWLGGSTLGLSYGLTVSEACIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPNDQSSRKLGSYLVMTQFQAASNSSALFLTAAAQNLLCLKLAEELGIIVANPWVSWFQAASLPAILSLLATPYLLYKIFPPEIKDTPEAPALAAEKLKRMGPVTKNEWVMIGTMILAVSLWVFGDAIGVSSVVAAMLGLCILLLLGVLDWDDCLSEKSAWDTLSWFAVLVAMAGQLTNLGIVSWMSASVAKLLESFSLSWPAAFVVLEASYFLIHYLFASQTGHVGALYSAFLAMHVAAGVPGVLSALALAFNTNLFGALTHYSSGQAAVYFGAGYLELPDIFRLGFVTAVINALIWGVVGTFWWKFLGLY >SECCE6Rv1G0424700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702162752:702166535:-1 gene:SECCE6Rv1G0424700 transcript:SECCE6Rv1G0424700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAIVVGVSTGAMKPVLDKLSTLMGGEFSKMKNVRKDVKFLSDELTSMKDLLENLALVDELDPQTKNWRNKVRDMSYDIENIIDDFGRKFVEKNEKEGLAKKTVRFLKTFRARYQIAGQIEGIKKLVLETSDRRKRYKFNTPPPKDVTIDPRVAILQQDAASLVGLKEPTNELINWLNDKETHLKVVSIIYRQPNGGFECCAFVPVSQKHNIPKLIRSLLSELGSEASSYDCEVNVLLNKLKEHLQKKRYLIVIDDLWEKLPWDIIKGAFPDNGLGSRVITTTRIHDVAKACCSHPRDYIFEMKPLSDEDSRRLFFNRIFNSEEACPPQLRDISTEILKKCDGMPLAIISISGMLASERLDQEDWEHIRNSLGTGTNLTLDGMRKILDLSYKNLPPHLKTCLLYLGMYPEDFEIQRHHLELQWIAEGFISKENGQDVEKVARSYFNELVNRSLIQPIRFDNCGLVTHCKVHDMMRDLILCKCAEENFLIIVDDPQDITKVDSKARRLSVHLDDDINGTKFWGNISLSQVRTFMTFGDREDMPPLSLFKFLRVLHIQHYGFDGVDLSGLLNMHQLRYLAVRDGWGVELPTQIRGLRHLETLDLCDNVFPSFNIVHLPCLQFLNVNWLLAQTPDGIGNMKSLRYVKKFHLMKNSLDNIKSLGELASLRFLSVYGYLPDKIRMDALSSSLGKICINLEYLGLYIEGCMDALMDLSPLPRRLERIWMGDKIKDNFSWDTNTMSGWPAELGKSICFLSVPGWRAHADECCFFSRVPNWMGELSNLKELEINVVKPDVGILGELPALIFLRIYIIGGLREFLVIHAREFPVLKHLELRLSSASYLAFQAGAMPKLQWLGLQFSGRRWNQYVPGPTGMQHLSALEDFFAVILTEGATDYEKTCAESALKSAIKLHPNHPRLHIKSSYGRDFKLVSFSSDDSGGVAAPLNLGADGI >SECCE2Rv1G0133080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894939762:894940028:1 gene:SECCE2Rv1G0133080 transcript:SECCE2Rv1G0133080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAKASWMVAMSVGAVEALKDQAGLCRWNYALRSTHRAAKANLRGGVSQGTKQLPASAASVAERRRAEKAEEGLRTVMYLSCWGPN >SECCE3Rv1G0166540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:187997840:187998139:-1 gene:SECCE3Rv1G0166540 transcript:SECCE3Rv1G0166540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVAAIVLSFLVLAAAAPSPLVLARMVPADGDAVPAGRTAAPEVTGSVGAASSLQEALVQRPPLPLPLLMSPPTLTVSAKRSSRMLGSVPSPGVGH >SECCE2Rv1G0084580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:176306865:176307611:-1 gene:SECCE2Rv1G0084580 transcript:SECCE2Rv1G0084580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCTHSASCSCTKGHLSEDALFLVFRHMNWNPRLIANLSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSDGSHIVDGNWKALGKLLIYCNGCTKGGLFGNIHVPGHFVFRTRFSRTAGKSFLPLQCRMDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFATSRVKKILIEKQARFHQTEVCPYCKAKLWNMLHADMMPASASARLGAYDDSVEYFVCLNGHVIGLGTLLPLSDSEEAPEE >SECCE5Rv1G0358450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755660670:755661816:1 gene:SECCE5Rv1G0358450 transcript:SECCE5Rv1G0358450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVTLRRFDVSDVDAMMAWTSDPEVAAFCRWEPGASAETLLALIRDPAHPRQWFRAICLAGDARPVGSVSLERTADPCRGELGYVLARAHWGRGVATAAVRCALAAVFGEVEGLARVEALVDVDNAASQRVAEKAGFRREGVLRRHYWHKGRVRDLVIYGFVSGDPLGPSHEGREPMEQEAAARTPERADDVTLRLFDLPDADADAMTAWAELPKLVPPTAAMASGVYFSPSRDHLLAFLRGTDPPHTWIRAVCLGGAIVGAVTVSRTEDRCRAEVGTALARAHRGRGVAAAALRSAATAALGELEGVERVEALVDADDAASRRAVEDAGFRREAVLRSHRDAKDVVVYSLISTDE >SECCE1Rv1G0056260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:685921083:685922957:1 gene:SECCE1Rv1G0056260 transcript:SECCE1Rv1G0056260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSLLRAGVLAMALLASFLGVEALFDPFNIFRARPESEYLDPFGDGATKSPPPPRTELEEQGAARPNTMGLTRVPPSGEPSKASLDTISLKVNVRDDKPDGSWSIVSDNSGVSAMHLAIMRHGRAIMFDTVTTGRSLMRLRFDNCRLDPRRHKTDCWAHAVEFDYTSGAVRPLKILTDTWCSAGGFDAEGNLVQSGGYFEGDRTVRYLSPCHTCDWVEHPFSLFEGRWYATQQVLPDGRFAVFGGRRAFSFEVLPKPRMFNHQSVPLPLLRDTTDDVENNLYPFVNLLPDGNLFIFANDRGIIFDHRSEIVIRDIPPLPGGARNNPASAMSAMLPLDLRGKQLHGGPELEPEVIICGGANKTAFKVGEIGQYGPALKDCGRLNLAKQDAQWATEDMPVPRVMGDMLILPTGDLLLLNGAAKGCGGWGFARQPVRTPLLYSPSAPQGSRFRPLTASTISRVYHSTAAVLPDATVLVAGGNTNSGYNFSGVDFPTEVRVERFTPPYLDRRRLVASRPVIDPHSLHREGMRYGAKYTFRFRTPLEPVVEADVMVTMYAPPFTTHGYSMNQRLLILSVTSFIADPQGYAITVDAPGKPELAPPAYYLVYVVAKDVPSSAVWVKIQ >SECCE6Rv1G0433970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763189088:763189573:-1 gene:SECCE6Rv1G0433970 transcript:SECCE6Rv1G0433970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMILLNSSDGEGFQVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKTLAKVIEYCKKHVQASPNPADSAAPAEDLKSFDAEFVKVDQAILYDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNITNDFTPEEDAEIRKENQWAFE >SECCE6Rv1G0416590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649349623:649350867:-1 gene:SECCE6Rv1G0416590 transcript:SECCE6Rv1G0416590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPASTPNWSSLLPELLGELIARLPHIADRARFRAVCRSWRSAVRLHVSPRRRLPWVVLLDGTFLTLSDGGIHRTLFGNNTECVGSTGDWISLDSKDEATQTHTYRLHNHFSGATVPLTELDSIIGKVPEDFEIRKVVMRLTPQDLIAITANIWKCPLILCRPGKGVWVPKLLAMPYFCICDILFSGDNMLYAITRVEDLFALHLAEDGDGKPIVTNVKRIIRHAPGHEDDMYDDDMWKRLSDIDSSSNEDAHDELLGDDNDTDDDRQHFAFMEDKTFSECEDGAREGWNEIHISRHLVQSKGKLLMVKRERLIAAITPTHHTRKVEVFEADMDACAWVPTESGLGGGQAIFTSYRFSNTVCAGGEVEEDVIYFPDTNDVFDIRSKTIRPLMHINLLHDRWRATWVFPPNLVI >SECCE5Rv1G0363280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:792169989:792170414:-1 gene:SECCE5Rv1G0363280 transcript:SECCE5Rv1G0363280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCVMRLHALALLVLVCFATHAQGSDTEGGETEAFACYKLTVFPSCNPKTSRCYCCTMDQCKTRYGTMDECQAHCVASPLGVNEPVAPSSYVLP >SECCEUnv1G0528540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:4777705:4779292:1 gene:SECCEUnv1G0528540 transcript:SECCEUnv1G0528540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRKKRKSAPPAAVAVGAADRIGALPDSLLQHVLSFLPAQESVRTCVLARRWRHLWKSARALRIVGLDGQEPASVEDLRVFVDHLLMLRDRTDLESVEMRFHSCSQGEEPYVSLWTRFVLMCNVRALAIEISHAYFYPDDLPLASRHLKTLDLHGLGLQKAFLDFAGCPALEDLRMSDCNISVDRMSSPSLKRLSITGCLSDLRARLRISTPGLVSLKLDDFFGKTPFLENMELLQAACVNLGKSFSDVCMNYRNSGVFCGDNKDGCVNCISHNDGSSDCVLLGGISSAKHLELISEPSKFIFTRDLKCCPTFSKLKTLLLNEYWCEAPDMDPLACILKKSPVLEKLTLQLFSKGPTHKVEMKGSHSPMEGSSAISEHLNIVEVKCNVVDEKILKVLKFLSAFNIRKLTNDSLHDLYVFKLTFICGNETNKKASSK >SECCE4Rv1G0277790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:805687767:805688405:1 gene:SECCE4Rv1G0277790 transcript:SECCE4Rv1G0277790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEDDDGEPTRVRWLMDAARYAVAALVTAVTVAVIARAVVVSLRSEKLEITVVNGTVTASVYTAANPTQVRLLMTMHNYNPSGRVGIQYVGVNITLLYQNDTPITWMGIEDGPVTGIHVEPQFIRESVVDTSLNVPDDVPAAFARAMTAPNGSHLFENAKVHLKGTVQTQISGLNYTHGGQPTEYLCSPVAIGVMSKKLLQHAVDVSCEEV >SECCE7Rv1G0462270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45005791:45006351:-1 gene:SECCE7Rv1G0462270 transcript:SECCE7Rv1G0462270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMKNPEAMVKAQVEDRKVLGRGRVVITNADLGELHYLQMIIKEVFRLHPPGPLLIPREARDDCEIMGFDIPKGTKILVNAFAISRDPRYWENPETFKPERFSNNTLDYKGTNFEFTPFGAGRRLCPGMLFGTSTLEIALANLLYYFDWVLPDGANPETLDMSEKFGLAVSRKYDLQLIAIPCT >SECCE1Rv1G0038570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:541001509:541004222:-1 gene:SECCE1Rv1G0038570 transcript:SECCE1Rv1G0038570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYYELLNITRDTPPQEIRAAYRCLVRQWHPDKHPPSSKSEAEDRFKAITQAYEALLDQQENRAVLRARNADEGRRSCARPEERVGGSAAAMARAPERAARTGGAPPPRTPPARESQKVYSSTDIGGGGRRAFAEFSSYVVRKAPPLERKVECTLEELCAGCKKEVKYTRDVVTKNGLIAKKEVTQIIRVKPGWKKGTKVTFEGMGNERPGCLPGDAVFTVSARRHKAFKRQGDDLVLKAEVPLVSALTGWSFSFRLLGGEKVSWSFRDEVIRPGYEKVVRGEGMPVVGGKKGARGDLRVKFDVVFPENLTGEQRRGLVEILRGCA >SECCE2Rv1G0069030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:26119584:26121325:-1 gene:SECCE2Rv1G0069030 transcript:SECCE2Rv1G0069030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKKAKPRARRPLLLRRAMLHSSICFLLGLLAGLAPAFRWTHVASTAATAHVFRALHAVDGAFNHTVLLLQQQQRQLQHGPEGVAVTALPSPPPEPEPLRQPQLLLVVTATERSDPERRAAGLTRAAHALRLVPPPVLWLVVERATEAPDTARLLRGAGVSYRHLTYPENFTADGVGTEKERHHQRNVALGHVEEHRLAGVVLFAGLGDVYDLRFFDQLRQIRTFGAWPVATVSERERKATVEGPVCGGSPWAVTGWFSTADATPTVGRARPPAGTVDLARFAFGSALLWDPHRWDRFPVSEPDASQDSVKFVQRLAAEEYNESRGMPDPKCSEIMVWRGDQLVAT >SECCE3Rv1G0190060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:734684169:734685480:-1 gene:SECCE3Rv1G0190060 transcript:SECCE3Rv1G0190060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAARSFLRSGSAASSLRGAAARAAPRVGAAPLPRRLPASAPRVLLRSPVEMTSFCVESLMPMHSATASALMTSLLATPARNGFGWLTEAGNDDV >SECCE1Rv1G0033880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:472511226:472512834:1 gene:SECCE1Rv1G0033880 transcript:SECCE1Rv1G0033880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADCGVKKVKLEGIDLISDLPDEALCTIISFLRTDDAVRTSALSRRWRHLWRSAPINLDTAHIPGFCPEKIEVVTEILSEHQGPIRRLHLDSLYFADLDGWFRSPALHNLQEFDIYVAKFDDVLPLSVLRFAPTLRVARIAHCSFFEDEEAPVFNFPHLKTLVLGFLSVYEGTLHSILSGCPVLEGLLLDSCDGFRRLVINSPTLRSIGVCNDMGSMSEIVIENAPCLEKFVRSDLFRRTSPSRRTPVFRVISAPKLAILGSLTDNFDKLKLGAGVSQEMVAGNLEMLMHGVKVLHITSSGPNLDAVLGFLRVFPFLEKLYIMMDMQNAHHHEPTALIESLDHIRYVELKCYTGSKPDVDFAKFFVLNAKALELMKFVVEGRCTQKWRTDQYKCLQFDSRASPNALFDFRSYSGHAYPAGCIRRGNHHNDHVLSMANPFDSSSCRHCRDA >SECCE5Rv1G0361880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:781325360:781327578:1 gene:SECCE5Rv1G0361880 transcript:SECCE5Rv1G0361880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIGDQVESIRSIQVRQLLAQIISLGMIVTSALIIWKGLMVATGSESPVVVVLSGSMEPGFKRGDILFLCMHKESIRTGEIVVFNVDGREIPIVHRVIKLHERHESAEVDILTKGDSNFEDDRVLYAHGQLWLQQHHIMGRAVGYLPYVGWVTIVMTEKPIIKYLLIGALGLLVITSKD >SECCE2Rv1G0077110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:96933857:96937480:1 gene:SECCE2Rv1G0077110 transcript:SECCE2Rv1G0077110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLSPVCSHRPAEAEKQSTMRRAVLMLVLVLVQCLALGCGTAAASRARQGDHLRRLRRSSSLAAVEEPSRATASRRPKPVPAAEVGRKEADRVNALPGQPRGVDFAQYAGYVTVDAAAGRALFYYLAEAVGGNGNGNGSSSKGKPLLLWLNGGPGCSSLGYGAMEELGPFRVMSDGKTLYLNPYSWNHVANVLFLESPAGVGYSYSNTTADYDHSGDNRTAEDAYAFLVSWLERFPEYKGHDFYIAGESYAGHYVPQLAHAILRHASPAINLKGVMIGNAVINEGTDKKGTFDFYWTHALISDETADGVNRNCNFTNGAETNDLCEEANDDVVENLRGIDNYNIYAPNCQTEGLVTPPITPSIESFDTCTSNYVEAYLNKPDVQKALHANVTRLDHPWLACSEILARWVDSTTTVLPIIRELMKNNIRVWVYSGDTDGNVPVTATRYSINQLQLPVAAKWRRWFSSTKGAGEVGGYVVQYKGGLSLVTVRGAGHEVPSYQPRRALQVVQGFLAGTTLPDCKNC >SECCE7Rv1G0466710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:80819471:80820744:1 gene:SECCE7Rv1G0466710 transcript:SECCE7Rv1G0466710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTDAQLIQAQADLWRHSLCHLTAIALRCAIQLGIPTAIHRLGGTTSLPNLVTALSLPPSKTPYLGRVLRLLATSGALASPEEGTYSLVPLSYLLVDGVFIDGEASQKAIVLATTSRHYIEAALGLADWFKKDIAPPPSPFEDVHGATLFEESMALLDPESDKVFHEALAAHDHLGIGTILRECHDLFKGVQSLTDCCGGDGTTARAIVKAFPHIECNVLDLPKVIEKVPSDGIVNYVAGDLFHTIPPAQAVMLKLVLHFWSDEDCINILAQCKKAIPSREMGGKVIVIDIVVGSSSKEMLETQLLVDMLMLVCTRGRQRDENDWSIIFTKAGFSDYKIVKKLGPRGVIEVYP >SECCE4Rv1G0251880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:609037131:609040562:-1 gene:SECCE4Rv1G0251880 transcript:SECCE4Rv1G0251880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWHGGSVIVCLFLILMLRYVILDSPLAERSLQYVFQQNSTTQLHWLDVPNPPALQNPQNFSQVISTELLASNLSITRNLSDREIQTLHSWNHLRDLVSNAHILPDGLDAIKEAGVAWRKLNAALEYDDSVVSFNGSTQHKDKEKQCPYSIRRMNVTRVGDRFVLRIPCGLIQGSSITIIGTPGGLLGNFKIDLTGAAVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTVADDWGSEERCPSSDSDAKDSAKVDDLEKCSSMVGKAHKQILASKLHSNFSSMQPTRKKTAEPTKYYPFKQGYLAIAILRVGAEGIHMTVDGKHVTSFAFREDLEPGFVGEVRIEGDIKLLSVLASGLPTTEDFEHVTDLEILKAPPVPTDKSVDLFIGIFSTANNFKRRMAVRRTWMQYDAVCSGKVAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILLSLHQVNISHGLLYGRVNSDSQPHRDPYSKWYITSEEWPEESYPPWAHGPGYIISQDIAKEVYKKHKRGELKMFKLEDVAMGIWINEMKKEGFDVTYQNDGRILVEGCEDGYVVAHYQEPRQMMCLWDKIQKTKRGNCCNE >SECCE3Rv1G0156620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:73717197:73717997:1 gene:SECCE3Rv1G0156620 transcript:SECCE3Rv1G0156620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLKVSPNFDVYDSNGYAILTGLDCCSKDALTPTVLPSNPLSFFKVVIIGYSGDDLKFNLHMFSSSDKSSWDERTNCFDSDVQSYDYGSFSDAIVHNGLPHWIFHNFGEGCLQVINLNPKTGHISLTKVPLKLNYQPTSPSCLTLGINGVLSLLWMQKEGPQLQIWEQHEDQGNMRGTSEWLCTRTIDLKQPVKNNEIKELLVLREKCGTLLISDNYGQVYTTDLKTGMMEKIVDWPSRRSLCHWDSMPLEIDWPTIFVSGLTK >SECCE3Rv1G0182290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:625992396:625993850:1 gene:SECCE3Rv1G0182290 transcript:SECCE3Rv1G0182290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHLAGDHHSSPASTATTALGTLLLLPSELLHEILIRLALPELLRVRSVARPLSHLISSPDFRRFYHLSSVSSGPAPAAAWLLVFKKLPPRGAALRGFHGPSGRWFRIPVSDIISPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLSAQSARRLPPSPLGPRGTSSWRRFGLKLVADPPGSSQFRFLFAELVNNRPFLFEYQSDTDVWQSSEAVQANGPSAPAGPDGTYLCAAHAGPDCVMVYSGPGVDRPVFFRPRFPDNPNAGGHGDRLHVYGDGSAVVVRSTVIDEPSRTRVKVVVGVDLYGLGSEVGGDWQLVSTVPGELIEGFRKPYAVMTGLLAEREGVIRLVLISNCRGAWDLVWLSYDRARGEWRWVPVPDWGGAKGLNMAGIAVSSTFSRLWPPAPTICR >SECCE7Rv1G0477070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:213997554:213998039:-1 gene:SECCE7Rv1G0477070 transcript:SECCE7Rv1G0477070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPRGNAFDPFSLDLWDPFDGFPFGSGSRSGGGSLFPSFPRASSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERNKEQEEKTDTWHRVERSSGKFLRRFRLPEDAKADQIKAAMENGVLTVTVPKEEVKKPEVKSIQISG >SECCE7Rv1G0511300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:802887871:802888596:1 gene:SECCE7Rv1G0511300 transcript:SECCE7Rv1G0511300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFINKLRTTLAAHPSPDNVNGHPVLPLQHEKQLPARWLHVPITAGDKTVTLALRDDNVYLIGFKAQSGSWYEFGSAGTQGRQPLIHGATFLECQDTYRALLGGKLSIEVKQRISNLELGKTAAEAAVKKLAAYAHAAGGPDEATKVALARMLITVPEAVRMSSISSTVSAGWGQAATVKLDNRQPYYMQNWANLSAAILEWRKMGPTYPWPKKLYDETKIKDAAGALAVVELLLNCDTTK >SECCE7Rv1G0517690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:855641268:855652038:1 gene:SECCE7Rv1G0517690 transcript:SECCE7Rv1G0517690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQDVGICGSTACVSQTAWIRNGTIQENILFGQPMHLEKYREIIHACCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSAIFKECLKGILKSKTVLLVTHQVDFLQNVDTIFVMKNGGIIQSGNYGDLLDSCSDFSALVAAHHSSMEASGVQGHNVQNTENGPATTASQETPSVNSNSSKENSDTNVVAPSKEAGSSKLIQEEEKESGRVSWHVYKLYVTQAWGWRGVLLILALSVLSEGSTMASNYWMSYETSGGTIFDISKFLGVYVLIVAASIVFEFIAVLFQAFLGLKSAQAFFSKMLDSILRAPMSFFDTTPSGRILSRASEDQTKNDVYLLFYTGAGISMCISVASSIAVTCQVAWPSVIAVLPLLLLNIWYRNRYIATSRELTRLQGVTKAPVIDHLTESFSGSPIIRCFGKEDEFYQKNLDMIDSNLRMSFHNYAANRWFGFRLELIGTLVLSITAFLMITLPTNFIKKEFVGMSLSYGLSLNSLVYHAISISCMIENDMVSVERVNQYSSLPSEAAGALADCPRPSPNWLRQGDIDIKDLEVRYRPNTPLILKGITISIHSGEKIGVVGRTGSGKSTLIQALFRLVEPAKGQIIIDGVDICTLGLHDLRSRFGVIPQEPALFEGTVRSNIDPIGQYSEAEIWQALERCQLKDTVAAKPEKLDALVADMGENWSVGQRQLLCLGRVILKHSRVLFMDEATASVDSHTDATIQRIIREEFQECTVISIAHRVPTVMDSDRVLVLDAGLVKEFDTPSKLMGRPSVFRAMVQEYSSRSSQATDG >SECCE6Rv1G0411130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:605680418:605683078:1 gene:SECCE6Rv1G0411130 transcript:SECCE6Rv1G0411130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKERIRRSPPAPREDDAPVAASPRGRKGGAAMLLLRWSLPAAALVVCAVLLLLLAGGSAARRGQFLDADPSGGRDDPRLVRPRDDGTSGSPEVVKDKLLGGLLVPGFDEQSCLSRYQSALYRRISPHFPSTYLLEKLREHEALQRKCGPHTELYRKAVEQLKSGQDIKIMDCNYLVWVAYSGLGNRILTIASAFLYAILTNRVLLVDGDKGTADLFCEPFPETSWLLPSDFPIKQFKNFSIVSPESYGSMLKSKVVHPSPAFVYLHLAHDYSDYDKLFFCEENQQYLQKVPWLILKSDNYFVPSLFLIPAYQEELMRLFPQRDAVFHHLGRYLFHPSNAVWGMVTRYYDSYLARADEKLGIQIRVFDTETGPFQHVLDQVLACTLKEHLLPEVNTEEPIVSPRKARSKAVLVTSLNSGYYEKFRNMYWEHPTTNGETISFHQPSHEEHQSSDKKMHNRKAWAEIYLLSLSDVMVTSAWSTFGYVAQGLSGLKPWLLFKPENRTAPDPPCRRVLSMEPCFHAPPFYDCKAKRGTDTGKLVPHVTHCEDMSWGLKLVDQS >SECCE3Rv1G0167240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:200266584:200269104:1 gene:SECCE3Rv1G0167240 transcript:SECCE3Rv1G0167240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLADDYPHRGGGRLGLGPFAAAVLRTDNRRRAIAGGAVLASALLLVATPRLRHSPALHLFADMRNLLGVPNTLNVLTAYPLLLAGVPGLILCLFGSGCFGISLRWEALGWFLFYAGNVGAAFGSAYYHLKPDDDRLIWDRLPMMMSASSLLSILVIERVDERAGLSCLISLLSLLLVSSVCERILDDMRLWVVLNLVPCVAIPAMLFLFPPKYTHSRFWFLATGFYLLARFEGLADRKVYSVNRYFISGHSLEHLCFAMVTLILTVMLSFRNIKIARDS >SECCE6Rv1G0406940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:552797383:552798234:-1 gene:SECCE6Rv1G0406940 transcript:SECCE6Rv1G0406940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVYSTALLGGAGARLPAAAPPSVLLPRRSFSPLRLQDARRLSLLRPKASSDDTSTSAASGDELVDDLKAKWDAVENKSTVLTYAGGAIVAVWFSSVVVGAINSLPLLPKIMELVGLGYSGWFVYRYLLFKESRKELADDVESLKKSIAGTEAE >SECCE6Rv1G0422650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:690488604:690493115:1 gene:SECCE6Rv1G0422650 transcript:SECCE6Rv1G0422650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASITVTYKRKRGASRAQAVDGTTPEPPPVSGGGVAGSEAPEDGADADKDLLNGCDHPASTQQQHVCKSMQEGAREETPKPCAHATEKEQKEIPLCEPLPRMERPEICSVTTLPIAEACNDKLQCTNDAVKPIPAPSSVCYLRHADGTTNQAEDSNNSAHVVINSHQGPEDTIRPSQCKNRFSPQLTFRRRVKRKINLDEGAEGNYMNDSAKGYSTPACSPPSLSVNATALLEVTDADSLDIADKVATEGTSRLTVPAQRLLEQKGSCMLKSTVHHTVSTPHTEDVNQAFNSERDGTLVSEFTSAQVISEPDERAEDLSKTLGRVNEAPKVVEMKELHDDPPESQGSTKHIPVIILDGDNEERARGKLLENSKFPDQVVQEENKSKFNLGKFNLNSVELPQERLLNLDDSSFQRLPDQDHFGTERKQVPQPIERLFFTKEKETVHGKEQHQEGTSTLHTLYSNFYDPTLSWKAGSSKEPVSMPSELKFRIMDKAPESSLELRLDSFQDSGISALSHDKLFHGGKSSGSHVLTERVGTYSYRRRQVTWSEEELDFLWIGVRRYGTSNWNAMLRDTRLRFSSSRMAEDLAKQWNKEQKKLLGVDLQSIRASALGSAPPPHIAEDYAGSSSCTGCSKPPFLAAQSDLSLGDVYLRNARASDRGQHYLSNLGRYNLQGIDNVPRNLSMGGFPGASSSPGRTGSRRRKTTKLQKSYYDNRSHWCQELPQMMPSQLLPINQQPVSSLPQWLTKGAETGKSWLNPEMWPSASQAPGHSAADPLNDSLRAAAFLFPDDKKPHAMPDASLKLALKRKAEWRSLGKKLFRTSGDALDLNQRAAAMAAGPSGATPSDTGASSEETVSDS >SECCE2Rv1G0065920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10636550:10637865:1 gene:SECCE2Rv1G0065920 transcript:SECCE2Rv1G0065920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSVACYLLSSNAMAPTVMASSATSVAPFQGLKSTGGLPVSRRSSSAGLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSTEALLKQVDYLIRSKWVPCLEFSKVGFVFREHNSSPGYYDGRYWTMWKLPMFGCTDATQVLNEVEEVKKEYPDAYVRVIGFDNMRQVQCVSFIAFRPPGCEESGKA >SECCE6Rv1G0406270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:542890121:542903009:-1 gene:SECCE6Rv1G0406270 transcript:SECCE6Rv1G0406270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGHGQRQPLSPAISASAVLPHQRQMQLLQHPARPAIADLFTLYLGMNSKQRAEDLAGETSNKLQKRVSAHNRDLPPRDEQFISDFEQLCTSFPDQEQLQAVTEQVLISFVLQCSSHAPQSQFLLFATRCLCARGHLRWDSLIPSLLSAVSSAEAPMGQGSSVTVGGPVSSSSAIAVPNAPSFHASNPTSPLSAMNTIGSPTQSGIDQPVGANVSPIKLAEFSTLGQPGTTSRGDQSRRGAQVSYLHHLSCRIILAGLESDLKPATHAVIFHHMVNWLVNWDQRPHGVDESDAMSTRRIGRPVHEWMHLCLDVIWILVDEDKCRIPFYELIRSNLQFLENIPDDDALICIIMEIHRRRDMVCMHMQMLDQHLHCPTFGTHRFLSQSYPSIAGESVANLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRSHSQTSTPGAVFSPDMIGEAVADRTIELLKLTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNGDQHILRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKSLDPNNISPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQMTKASGERMIDFMNLDERAMGMFWVLSFTMAQPACDAVMTWFTSAGGTDVMQGPNMQPNERTTIMHETYPLSMALLSGLSINLCLKLAFQLEETIFLGQAVPSIAMVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKGKRGEHRLFRLAENLCMNLILSLRDFFLVKKELKGPTEFTETLNRITIISLAITIKTRGIAEVEHMVYLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLTVRADKRGQAIQAWQQAETTVINQCNQLLSPSAEPNYVMTYLSHSFPQHRRYLCAGAWMLMNGHPEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQLELQRGHLVQDLLSKAITNLAFFVWTHELVPLDIVLLALIDRDDDPYALRLVISLLERPELQHRIKAFCSSRSPEHWLKNQPPKRAELQKALGNHLSWKDRYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAFYSTFLAFHPLRFTFVRDILAYFYGHLPSKLIVRILNVLGVSTKTPFSESFAQYLGSSNSSICPPPEYFANLLLGLVNNVIPPLSSKSKSNPGDASGARTNFSKPHASAQAGGNSNADAQRAFYQNQDPGSYTQLVLETAAIEILSLSVPASQIVSSLVQLIAHVQAMLIQSNTGQGMSGGLGQNSGLPTSPSGAGAESAGASRASTSASGISANFVSRSGYSCQQLSVLMIQACGFLLAQLPPEFHMQLYSEAARIIKDCRWLSDSSRPVKELNSAVGYALLDPTWASQDSTSTAIGNIVALLHSFFSNLPQEWLESSHTVIKHLRPVTSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSQASPHVPASEIGDIIDFVHHAVMYEGQGGPVQSTSKPKVEILTLCGKVVDMLRPDVQHLLSHLKTDPTSSIYAATHPKLVQQHPS >SECCEUnv1G0527300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1005758:1010008:1 gene:SECCEUnv1G0527300 transcript:SECCEUnv1G0527300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGPAATLLGKVFTMLSAVPVAAYVDSLKLGHNSEQIKDKLLHTQGLLHNAQAQGSHLGDNPGLLGLLEKLSRDADQAEDLLDEVHYFQIHDKLHGTTYATTQEAGHQALHAGSAIRHTLSSLVQCFSCSPCTPKSKRDGSSDDDAAVASAPRRVTNTLGTLVQCCSCSRTCKIKRNGDARNSSSSGSNSSSSSSVTNSNSTNSASADDGDTLHFDRVSMSREIKSLLQGMQSHCDLVSNLLIGTIPGSSMPVVLHTRPPTASMIIQDTLYGRGDIFEETVNRITRATQIVSVLPIVGPGGIGKTTFITHLYNDARTKKHFDLQVWVCVSTDFDVLKLTSEILGCIPASEEGRSSGVANETTNLDQLQKFIAHRLKSKRFLIVLDDIWKCDSQDQWKTLLAPFTKGEAKGSMLLVTTRFPKLAQMMETVGSLELAGLEPNDFFTFFEACIFGEDDKPEHYEDEFAGIARKIANKLKGSPLAAKTVGRLLHKDLSQKHWNGVLEKHQWLKQENNDGIMQSLMISYDCLPFDLKKCFSYCGLFPEDYRFTSSEISHFWVAIGIIDSNHQADRNYLEELVDNGFLMKEFNYEGDRCCYVMHDLMHELSKSVSAEECLNISGLDFRANGIPQSVRHLSINIENKYDANFEEEMFKLRDRIDIANLRTLLIFRRYKGRIAKILENSFKEINSLCVLFIVVKSAQSFPYRFSKLIHLQYLKITSSPDGDREMSLPGTLSRFYHLKFMDLDGWNGSSVLPEAFSHLENLHDFRAKRELHSNIRNVGKMKHLQGLNEFHVRKESMGFEPSELGALTELEGGLIIRGLEHVATKEEATAAKLMLKRNLKELELLWRGRDGPTTDAGILDALQPHSNLRVLTIASHGGTVGPSWLCLDISLTSLEILTIEGISWSTLPPIGNLPNLKGLRLKKISGMHQFGLQCGGKCFMRLETVEFYELPDLAEWVVEPNCHSFPSLEEIKCINCPNLCVMPLSEVSCTNLRRLFVSGCPKMSLPSMPHTSTLTDLDVGIGDSGRFSYDGKKLVVRGYGGALVYHNLDLDKVEDMTIGRCDGLFPEELDGSFVFRSVKSLKLDVSHLTRKSSSKVLNWIPAVSAMEINQVLNCFPALSVLEINGYEEECVMQFPSSSSLLKLSFTECKRLVLVPVGNGGGIQEDKSLLQSLTISRCGKLFCRWPMGMGESETICPFPASLRELYVWDEPSMKSMALLSNLTSLTTLKLNYCSNLTVDGFNPLIAANLIQLQVRFSNTLSADMLSEVAKLLPAGSFRLEKLSVDNISGLLVAPICNLLASALHTLEFSCDESMDSLTEEQEKALQLLTSLQNLTFSYCVALQSLPQGLHRLSSLKELRVSYCPEIRSMPKEGLPVSLRKLEMNDRSAEIDEQIEKIKRTNPDLSVSEIPHLKE >SECCE1Rv1G0033730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:470578648:470582643:-1 gene:SECCE1Rv1G0033730 transcript:SECCE1Rv1G0033730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47490) UniProtKB/Swiss-Prot;Acc:O22261] MPGDVATSPATASGPPAAASSSPHHHHPATSARSALSNAVAGASAGVIAATFVCPLDVIKTRFQVHGWPKLVPGTAGGSVIIGSLEQIARREGFRGLYRGLSPTILALLPNWAVYFTVYEQLKSMLASSEGSHQLSLGANVMAASCAGAATTIATNPLWVVKTRFQTQGVRAGATIPYKGTVAALTRIAQEEGIRGLYSGLVPALAGITHVAIQFPVYEKIKAYLAERDNTTVEALSFGDVAVASSLAKLAASTLTYPHEVVRSRLQEQGAHSEARYRGVIDCVRKVYHAEGVAGFYRGCATNLLRTTPAAVITFTSFEMIHRFLLNIAPPEPDQHHPHQLKH >SECCE6Rv1G0414810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:636830162:636837483:1 gene:SECCE6Rv1G0414810 transcript:SECCE6Rv1G0414810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G21530) UniProtKB/Swiss-Prot;Acc:O65418] MAEEQMEEAASSSAAASGTPFQLQFDKPIPFQIKLAEWNPEKDLLAMVTDESKVLLHRFNWQRLWTISPGKCITSICWSPDGKIVALGTEDGFVLLHDVENGKMLRSIKSHDVAIVCLNWAEDEPLSRTDKEDLLSYEDRTTRFFPPAPVMPRIGGLNSGDAGLADENEEAIQAFSSASCQRFNILCTGDKDGSICFSIFGIFPVGKININKVPIHVQSSGKRTSYRLQDVSISKVSLSRNLHQLVLLCSGKLINTDNLSLSKDISVGVHCLHLDTSIFSNRKNELHQVSQQASSIQDLVEVVRASISMMSKQWSNAMNLFHEKFSALPSLLTAHGMESSSEDEFMSLLFGTHTSPALHQFLVSSLGEAGLKRIAKTIESAGRELRIVVSEHLQPAVEIISFRLAELRGLARWRSRFKNIGLDEKLMDGVTERVGMLVVQVERFSRVASTVLYLFQNFFSWVLKCVKILLSEPTDQVPSTNSELVVIFLKFLLDKDPIKQLLETDQIFEWDIDTAKHVEHLVAFGGFTDTQFLEKSLAKQFSELEESLTEAFLMPFTTISSQIHCQGLLPLYPVTSSDALSSTSTPASIAFYKDKDSQYNASSYSSIAYICFKIPDGALNLRNFVAVIKDFCNSRSTSSTPSLSGFLLHIPVEYECVDLSLYKDNQVVLLLSGKSSSESAGRSWMVMLQTENLSFSQLSRTFPANYYNLQELEALELQLDTDYGKVRSIPHPLSTPLAVSASRGVACVFSSRRHALVYILDEDEDEDEDEGSEME >SECCE2Rv1G0125350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:840286192:840288837:-1 gene:SECCE2Rv1G0125350 transcript:SECCE2Rv1G0125350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHAVIQQQIVEYPEEHEVEVDVDVDNIMKTEVAYGSGVHSTAFRYKHKKRSKVWEEYKPIFLNGKVQFAECLYCHNRLSCKDSNGTSHLWRHQKICPAKSEGAAGEGQQKDSYFPYVLLNGDGPVSPIDPVNQIVSETLDDIGSATPSRFKSKVWKEFTPIYVEGKLQAADCIHCQKRLSANKFGGRSHLSRHLLTCPGRLESLHNQHKFLFEPSSAPSFNSRVNDELTPALTNGKVQISEYANKLPRTSTSGDTISIVQPIQMLPADPPPTSDGASLKKQRTSFTNISTDKSAGKFCQETSYQELAKMIILHGYPFSVVEHEEMRRVIKNLNPMVGMVSHNDMKHHCTALFQKEKVNIKDVLTLSSRRVSLSASIWTPDGPEPTVNYLCLTAHFVGDDWKVHRVIIKFGMFWSSPTNLERIIHCKEASVPESESGSYNVMWDAIRDWNLDQKILSLTSVGDVRNNANTVKLKEMLIEKKCLPIQGKLYNIACLDDILNSVVSAGQADILRFVGDAVMEFFVAHASSPSAQQQLLEIISQMSLKCPQEDAKWWHKFYFRLEVLLHFKKSFPSEEVLNLEDMNVAESICKILRTFYRVIEVISCPSSVTANIYFNEVWKVRTVLQEEASNGNGEFSRMVMEMQEAFHGYWQNSYLWLSIPVVLDPRFKIGFIEFRLKRAFGTNSESYLSDIRETVHELFNEYSNPADLPSAASNSAALDVDNDLLEDWDQHLNEQASCQISTELDDYLEEGLVPRKDEFDILNWWMNHTAKYPTLAAMAQDILAMPASAVQSEAAFSSSGPVIPKHYSTLNIKTIEALVCARDWMR >SECCEUnv1G0527930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:2743843:2744277:-1 gene:SECCEUnv1G0527930 transcript:SECCEUnv1G0527930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGGPPRLLSSRESIHPLSVYGELSLEHRLTFVLNGKMEHLTTHLHRPRTTRSPLSFWGDGGIVPFEPFFHAFPGGLEKAAINRTFLILPSFQEEREILFP >SECCE1Rv1G0000130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678802:681056:-1 gene:SECCE1Rv1G0000130 transcript:SECCE1Rv1G0000130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIQPRDVSDDDFNPRRRRWSLWTTPLAASMPTTSHTSRYTTPRKGHANAYHMSVKFEDLCGFMVEGNVDDVNVLNEVRERIREQGRVWWALEASKGANWYLQPRISSNGGQGVISVTSLKLSVLTNTVTLRRLIRKGVPPALRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATRQIDHDLPRTFPCHPWLNSEEGQASLRRVLVGYSFRDSEVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVSDCYTDTLSGCHVEQRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKTLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLRIQHIGDVIDVLQTTAHHLYEPDELLTFAFDKIGSMTTNTITKERKRHETVVMAELDQRTRRLGSLKMDA >SECCE1Rv1G0045760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:611754148:611760418:1 gene:SECCE1Rv1G0045760 transcript:SECCE1Rv1G0045760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFQLLLLMLLLSSASHARDIISPGQPLSGNDTLVSAGAGSYVLGFFTPPGSNNTYVGLWYAKVPVRTVVWVANRADPVPGPVERNARATLSVSADGTLSVADANSTVVWSAPPAPGAGAGKCTARLLDSGNLVVSDASGHVAWQGFDHPTDTLIPGMRVGMDYGTGANMTLTAWTSPSDPSPGPLVAVMDTTGDPEVFIWNGAEKVWRSGPWDGLQFTGVPDTATYMGFNFSFINSANEVSYSFQVANSSIVSRLTLNSTGAAGGLLQRWTWVWAAGAWNMYWYAPKDQCDAVNQCGPNGVCDPNSLPVCECLRGFAPRSPEAWALRDNRAGCARATPLDCGNGTDGFALMAHAKVPDTTAAVVDYRAGLAECAQRCHRNCSCTAYANANLSGAPGHRGCVMWSGALEDLRVFPNFGQDLYVRLAAADLDASSKSEKKAHVIIAVAVSICALAAIIALAGFFLWRRKRTKARQSGGPSKWSGVLHSRTLQSEGTSHGADLDLPIYDLETIAEATQGFSPDNKLGEGGYGPVYKGKLEDGQEIAVKTLSQASSQGPDEFKNEVMLIAKLQHRNLVRLIGCCICGQEKILIYEYMANKSLDFFLFDKSKSMLLDWPTRYRIIEGIARGLLYLHQDSRYRIVHRDLKTSNILLDKDMTPKISDFGMARIFGGDDSEINTLRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIITGIRNRGVYSYSSHLNLLAHAWSLLSEGKSLELVDEALKGTFEPEEVVKCLKVGLLCVQENPDDRPLMSQALMMLAAADAASLATPKQPGFAARRAAATATATATATATEDTSSSRADCSFVDSMTVTMIEGREKKSMDG >SECCE6Rv1G0401530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:468529905:468531073:1 gene:SECCE6Rv1G0401530 transcript:SECCE6Rv1G0401530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSSPPLALERKLKLTTTYTILLVFFLFTLYITSCEARRLRVNGKHSGSNKSSSSSQELTELADAQKQTRSSLMVHDAGKKARAAGSGIDQSTGAGATLINRSGDSSRGSNGEQLGSKATTVYTAGTLVTMDYPVAHAAPAVHNR >SECCE2Rv1G0065520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:8931116:8936277:1 gene:SECCE2Rv1G0065520 transcript:SECCE2Rv1G0065520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATLRSALLSSAPSCALRRLASSASPAPRAPRLAQPKGFGRARRSCPAAFAASAMSTSSGAKEAPANNPGLQAEADPATKGYFMQQTMFRVKDPKVSLDFYSRVMGMSLLKRLDFPEMKFSLYFLGYEDLSAAPADPVQRTGWTFGQKATIELTHNWGTESDPEFKGYHNGNSDPRGFGHIGVTVDDVYKACERFDRLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLKRIGEVTTTAS >SECCE2Rv1G0096030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:430729002:430729376:1 gene:SECCE2Rv1G0096030 transcript:SECCE2Rv1G0096030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMKAGGCKEAFVSWEECVQAAEKEGSDMVERCFQVTTNLKKCMDAHADYYAPVLQAEQTVSDQAQAAIAAATADTNKNNGEKSAPSPDTDWVVVEEATSSMPAEGVKKEEAIVHKAESLSLGN >SECCE5Rv1G0370730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:843668048:843668359:-1 gene:SECCE5Rv1G0370730 transcript:SECCE5Rv1G0370730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE5Rv1G0305670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:75575552:75580346:-1 gene:SECCE5Rv1G0305670 transcript:SECCE5Rv1G0305670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLSLTPPTAVLPCLPRRPTASRLSPRVPSRATLAVCLVAKPKVPLPIASPSPLGDDPAKWDPAECDAILRGGEQVASVLQEMLTLMEDMEMDGAFAPVAVELVAQGVIGNRVDEMESGFLMALDYMIQLAQKDGDDERNSLLEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKESRHELLRRVAAGGGVFENDKGLKCQIPGANLNDIANQADDLLESMETRPTVPDRKLLARLVIVREEARNMMGGGLLDERNDRGLNTLPQAEVNFLSKLVALKPGKAVEKMISDVMHGKEEGADNTESTNAGPNSDLEPSTGTSGRENATGRKPQPVRPGMFLETVSKVLGGIYAKNTSGITAQHLEWVHQTTLKVLQEMAF >SECCE5Rv1G0363830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:796632420:796633046:1 gene:SECCE5Rv1G0363830 transcript:SECCE5Rv1G0363830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTGAVVDARFCSPQATEFTLTKTKSWFRDFAITDASGAAVMKVDAPAFTFVRRSHLIDAASRRPLVTTERSPALFTLGRRWEAFRGDTRDLLFTAVVQPWLFKISEVHVHLAGGDHSEERRPDLVVRLSSCGRECTVSRGRSDGGAAVATIKRTTGMLEDLAFAVSVSPGVDHAFVLALAVILEEIRQDGIQRGQHAAFFTARR >SECCE1Rv1G0003240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13030709:13041080:1 gene:SECCE1Rv1G0003240 transcript:SECCE1Rv1G0003240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPASPALSAQKLTTNDALAYLKAVKDKFHDNRAKYDEFLEVMRDFKSARIDTAGVIIRVKTLFNGYPELILGFNAFLPKGFAIKLQDIDGSAGDKKQPVDFMEAINFVNKIKARFQAQDHVYKAFLAILNMYRMHNKSIQDVYQEVATLFHDHADLLEEFKHFLPDTSNAPQVLAAPKAVSAKQEAPAVPSASARNVQSIKRERPHPSTAERDSSVDRPDLEHDPDRKRVDKEKDRKIDRDRKDHDKDAEYESKDLDGGPRKRKAFSKKLEGDTHQGAASISASSYNDNDALKSTYTQEFLFCEKVKEKLEPEAYQEFLKCLHIYSQEIITRSELKNLVHDILQRYPELMTGFSEFLEHCENIDGFLDGVINKRQTSRTVKALEKDRDKARAGEDRERDAEKLSEKERERLDKVSALNSKEATTHKAPALSAKEKYLCKPISELDLSNCQRCTPSYRLLPKNYPLPASSSRTDLGVSVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVAIKRVEELIEKMQENSIKPDSPIRIDEHLTSLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTRALLSEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFEYVDSEIHEDVYKIIKYSCGEVCSSPDQVDKVMRTWTTFVEPILGVQPRTPVVEDAGVVKLKSRTPTTALASVVESNNVIPNGAVTVKQVNGDENIPKEQAQSSRGLLANGVSEDAQNGFHDADRTVRRGEGPSNTSLHQTEQNQRRTNMELTSGTNSSRGNFSGSEAVVEAMGGNETIPSIGRGEAGRPGSVPQKNSKVEREEGELSPNGDFEEENFGPPGNAAVDGASKPKEVSASRTRAAGFAGENDADADDEGDESAQRSTESENASEAGEDASGSESGDGEECSREDNEDEEDADHDDPDAKVESEGEAEGNTEARDADGGMPLTYSERLHNTVKPLAKHVPTALQDHGGKFSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSTAEKKWRTSKDTSSPHQYSKFMSALYNLIDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIAADEMDNKLLQLYIYEKSRSPGRFFDLVYHENARVLLHDESIYRFERRSNPTRLSVQLMEYGHEKPEITAVSIDPNFSSYLYDEYLSSVSDSKVSEDVFLERNKRKRGSSNSPQASLAVMDGFKVANGLECKITCKSSKVSYVLDTEDFLFRMRNRRRISSGATTAAPGKADFVKPADVVKAQRFHKFLLSRS >SECCE7Rv1G0459700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26816056:26818639:-1 gene:SECCE7Rv1G0459700 transcript:SECCE7Rv1G0459700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLALSRAAKHVVAVAGATRSFPAFRAPAANTPSGAGSLLRNIQQRYLSSFARQRARGVHYGAASLSKKIHRTDPERVMQNFKMLNRGISGAAREEGHVLSTASAPFYLVDKDLLKRQLLRTCGGLVVSGFTIYGVKVLIDSYVKAVKDSIAKFVVVNVDDAELGESDGLEEETPDLSTKFSDVKGVDEAKADLEDIVHYLQDPDHFTRLGGKLPKGVLLIGPPGTGKTMLARAVAGEAGVPFYACSGSDFEEVYVGLGAKRVREIFDAAKQLSPCIIFIDEIDAIGGRRHAGGSALASQTLNQLLSEMDGFKQNDGIIVIAATNFPESLDSALVRPGRFDRQVIVPLPDVEGRRQILEAYMSKVCTAKGVDVMTIARGTPGFSGAHLASLVNDAALKASMDGANAVGMDHFEYAKDRIIMGSERKSMVISDQARKMIAYHEGGHALVAILTDGADPVHKATIMPRGYTLGMVAQLPGEDSELEVSRKQMLANLDVCMGGRVAQELIFGEAGVGTGASSDLRQATQLATKMVTRYGMSKRVGLVTYSNNNDVGGGKKNMSGRTSELVDEEVKALLDNAYKNAKTLLTKHNKELHALANALLEHETLSVDAIKKLVSTGRQGDGPSSSQQNQGTTSRWS >SECCE2Rv1G0081230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:137238234:137242487:1 gene:SECCE2Rv1G0081230 transcript:SECCE2Rv1G0081230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHVLLLLLVLGGGARGDDVSALLEFKKGISDRRRDPVLGSWSRPETPEAGAGGGACPARWRGVVCDGGAVVAVALDGLGLAGELKVGTLAGMRGLQNLSLAGNAFSGRLPPAIGYLSSLRHLDLSGNRFYGPIPGRLADLSGLVHLNLSYNNFTSGFPTDGIRELQNLRRIDLRRNSFWGNVSDLLAELRNAEHIDLSDNQFTGAVDLELASLASIGNTARYMNLSHNRLAGGFFRNETVGAFKNLEVLDLSNTGIAGMVPRIDSWFSLSVFRVAGNGLFGMMPETLLHNSMRLLEVDLSRNGFSGSVPVVNSTTLKMLNLSSNLLSGSLPATVGKCVSVDLSGNLLSGELAILRSWDGKVEVIDLSSNKLEGSYPNDASQFQNLVTLKLRNNSLKGSVPSVLGTYQKLSFLDLSLNALGGPVLPVFILSPTLTVLNLSGNNFSGTIPFQIPHSTESIMLSSQPALKIVDLSSNSLSGQLPPEISNLQRLESLTLAMNELSGEIPDEINKLQGLQYLDLSHNHFSGRIPDMPQADLKMFNVSYNDLRGTIPKSLEKFPITCFRPGNDFLIFPDGLPAPNNGDGGLSQSRASQSHGHKAGVKVAVIVGCIGGVLLVIFIALAIYVVRSQELCGRNGFRGQITLRDLKLGKLSRPNLFKSPKDNVIPTKTSFSNDHLLTSAARSMSAQKELLAEAAVEYGYADPKEVVESTSSGVTETSAAASVRESSPRSALPSSPHFLDSRFHEEPVTFEVYSPDRLVGELIFLDNNLVFTAEDLSRAPAEVLGRSSHGTTYKAALQSGHVLTVKWLRVGLVKHKKEFTKEIKRIGTIKHPNIISWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVCQRLKIAIDLARCLQFLHHEKGLPHGNLKPTNIFLTGPDLSPKLVDYCLHRFTTPSGIAEQILNLGALGYRAPELANTGKPMPSFKGDVYAFGVVVLEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDIAGLEESPRVMDELLAISLRCILPVNERPNMKTVCDDLCAITV >SECCE2Rv1G0104350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:605110367:605115426:-1 gene:SECCE2Rv1G0104350 transcript:SECCE2Rv1G0104350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTASTAALLTPYKMGRFDLSHRVVLAPLTRERSFGNVPQPHAILYYQQRTTKGGLLIAEATGISDTAQGYKDTPGIWTKEQVEAWKPIVNGVHAKGGIFFCQIWHVGRVSNHTFQPNGQAPISSTDKPLKPVVRANGIDVPTISTPRRLLTNEIPLVINDFRVAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEVVQAVVDEVGADKVGIRLSPFANYSEASDSNPDALGLYMAHALNKFGILYCHMVEPRMVNIGEKFETPHSLRPIRDAFKGTFIVAGGYDRDDGNKAIADGYADLVAYGRLFLSNPDLPRRFEIESLFYLVYYLLEYSMNFHVIKNITTCTIHVLGTPLPLHLSYVSNA >SECCE5Rv1G0368850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:831055662:831056248:-1 gene:SECCE5Rv1G0368850 transcript:SECCE5Rv1G0368850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKWESARLDKTKEKEVKTPSCWCGDECKVKVSTDRKKSWTEGRRYFVCPNYAHDRARPTNAYDSPPSPPPLCKYFTWIDHEVPEDVKKDQLQDCLRRQRLFEESLQRAEDEERRERERKERKKREEERARKEKAAREEERARKLARARDAQEEDEARDKKGKWPRVTQ >SECCE2Rv1G0129000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:866005465:866009939:-1 gene:SECCE2Rv1G0129000 transcript:SECCE2Rv1G0129000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:Projected from Arabidopsis thaliana (AT1G19850) UniProtKB/TrEMBL;Acc:A0A178W993] MAAASQEKQQQQQQLPAFGVLRNAAALLDEMQLMGEAQGAKKVINSELWHACAGPLVCLPQRGSLVYYFPQGHSEQVAATTKKTPNSRIPNYPSLPSQLLCQVHNITMHADKDTDEVYAQMTLQPVNSETDVFPIPSLGSYAKSKHPAEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNMWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLVGVRRATNQQTALSSSVLSTDSMHIGVLAAAAHAASSGSSFTIYYNPRTSPSPFVVPVARYNKANYIQQSVGMRMAMMFETEESSKRRYTGTIVGVTDSDPMRWPNSKWRNLQIEWDEHGYGERPERVSIWDIETPENTIVFPSASLNSKRQCLPGYGVPGLDIASVNMSPFQRAPGNPYGNLQHMPAVGSELAMMMFLNQSGQNIGTPLSCHQSSYSSIIQNVKQNYMPPSTFGHPIGSIKPESMPSNEAQQQQQLHAPKMQRGDSESCEVQPATDSVSASELHVAGREPRNTDKYPSQSISEQNGKGEPRVKPRRSKKGSSRKTISENSELSSAPSRISDDQQHVLEAKLVGCDSEQVNHGNNEGSSGALTHGDFAGQLQCQPVEQNGLVSPPKLESSISPDGGKSVNSFPNQASFSQFFDGLDWMVQPPYYQDSNGIQSVSASENIFSPSANICSTINTDTLETFQNSCLSDCFPNSIQDFIGSPDLHSLTFLSPEMQNLDVHHDGSNVPSTSNSYVQMSFSEDDSGNHMESMQRRMNNISSCSQPQTSEGFNLGMYSKLPSLKESQVLSLPEIHSSSRGTSSCSMDAAEYNIDRSAKPMRPPVRTYTKVQKLGSVGRSIDVTRYRDYRELRSAIASMFGLQGKLEHPASSDWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSENGMQVLNDCIQADQ >SECCE4Rv1G0296460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903225116:903226012:-1 gene:SECCE4Rv1G0296460 transcript:SECCE4Rv1G0296460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRKCPSSSSLLVAALLLMLLPALALAAGRHNLITRDPQYDPQPNPNLEPLPGKQTDPNAQPLPDPQSLPGPRPDPKRQPLPEPKPHRNPQPLPEPQPDPNAQPGPHPNPNPQSLPGQNLQRLMNPQPKPNPQPLPDPQPNRNPRPLPGPQPDPNPQPLPDTNPKPLPDPQPNPNPQPLPGLQPDPNPQPLPDPNPKPLPDPQPNPNPQPLPGPQPDPNPQPLPDPNTKPLPNPQPNPNPQPLPGPQPDPNPQPLPDPNPQPLPGPLPDPNAQPKPPLGTQAEKGNREANVQEEPLA >SECCE2Rv1G0066250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:13279398:13281747:-1 gene:SECCE2Rv1G0066250 transcript:SECCE2Rv1G0066250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor homology region, transmembrane domain- and RING domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT5G66160) UniProtKB/Swiss-Prot;Acc:Q9M622] MSPRRRPPVLLLLLAALAFACAAARPCAALVRLGAASFHDAPARFGPRVTGDGICGSLRAADPADACAPVRGAPGGSGMAFVLIARGNCSFEGKVRAAQRAGFDAALVHDDEDKASLYSMVGDPEGIHIPAVFVSKMAGETLKKLARGEDGECCINSSMDETAGTVLVMSFVSLVVIISVVASFLFARNCRLLRHGVDNRPPYIKKHVVEKLPSVVYKAPCSSGNNCEEACAICLEDYDNGDMLRLLPCKHEFHVECIDPWLTKWGTFCPVCKLEVTTGE >SECCE4Rv1G0227350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:122297099:122297371:-1 gene:SECCE4Rv1G0227350 transcript:SECCE4Rv1G0227350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGMNCFGAPEATPKVSPVSTRLGGEGAEAMEQKAVAKEKAPSGHVVGEAKQMGGGGQVKAAAKDEKKKKSGAPIVMHHFPFHSRPGLL >SECCE2Rv1G0121240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:806129813:806131410:1 gene:SECCE2Rv1G0121240 transcript:SECCE2Rv1G0121240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALIAGRRAQSHHWGDNRGPLAPAPSPNPGHRALPPADGSRTQHPLASPGVGYVSFRPSGLTHREAMALRERLAGELGQVRDLLSRIDAWQQELELEQQRQPRRGLPREDGLLAPPPKLRAAMRKRCGQILAKLRKDKRSIWFNAPVEVETLGLHDYHAVIKSPMDLGTVKANLAARNYPSHDAFAADVRLTFSNALRYNPAGHTVHTFAGDLLASFEKMYAAAVSWFEEECRRHPPPVPVPVPVTAELPPPMAPLPAQVKPRAVRMRKPKARELNKRQMSLEEKNMLRAGLESLPEEKMHNVLQIVRKRNVNNPELLGDEIELDIDEMDIETQWELDRFVNNFNKALKKSRRAAMINGGIADVNNADGAGAVNGAVPILVDDADVESENPENTVVSEQVDEYVDIDDEMPTATYQSVEIERGAEATSVSGGSGSGSSSSSGSGSGSSGDSASEAGDARSLV >SECCE4Rv1G0225250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:90396713:90405819:-1 gene:SECCE4Rv1G0225250 transcript:SECCE4Rv1G0225250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMAEGCAGPSELHLKKELTALRKARFLRDPETCSSWRGPLSSRSCMTSSSIINHNEIVGNLTQKYTEPSVRPLKSEKKRKNVYLYNWRHHSNKSSESGINFDQDDRSLESPCISNVMDSRSDTCLEVPVSIYSVQGSNSGTPVKRTIRRVRRSSFSKKGAMRNSTVSKLLDLHVNSGEQSEDTETYNSENHELLQKGGYFSRSTSPLFAASGCLSSSNPSKLLKMARREGSSFSCTPVSTSSYYRYRGRNPSTVGSWDATTAASLDDDGLNQQAPLRSQRCGIPYWSKGSKQRSCSPSLSDTLRRKGSSLLCGSQTMHRRKRSSGSNKCGYLKKSSQGEPLLGDSCHFSYSSFDSASEGVSTIFGELDLEALSRLDGRRWSSCKSQDGIALPSSGADHAVSDQRSLSQKYRPRSYHEIVGQNFVVQSLSNAIIRERIAPAYLFHGPRGTGKTSAARIFSAALSCTANGENKPCGVCMECNDFFSGNGINLIEVDASNRKGINRIRHLIENIPASATSSRYKVFVVDECHMVSSKVWSAFMKFLDEPLPHVVFIFITIDPENLPRAVISRCQKYMFSKIKDIDIVCRLRKIAVKETLDIELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEENLLDLLEIAMSSDTSETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGEGIGGRNITDAELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLGSGHDSEITHSRSSSKQSAKATSETMLEAVRESSASRSVSHPLFTIRGSRKTLDHRTASGHSSPHDLASLSSRMRPSDNLIYGECRSVDRVLLDSAQASNSTEQKPIINGSSDLAQIWRKCIDNCHSKTLQQLLSDHGKLASIKEFEGYLVAFISFEDSKIMSRAQRFVSSIRNSMETALRCNVEVRVGLMSEFLAGRLKLEVDLEERAEPDVLSCSTNSDRLKGILNAPRSLGYSEEIDKKLEKYSNTSAGGGVQPDVSEGNAGMHRARGQGVPAERSKAVTVEEQRLESAWLQAVEKHTPGARPEKYQVVPQTGGGQYHKKSSLATVVPSRNIDKDLSNGLKALKISESHGSQKGQNVGMENGFVISPSLLHSNNELANCDNESVVSESGAPGCHGLFPCWKTEKSKRGKAKRQTRLKPS >SECCE3Rv1G0194290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:778890798:778895911:-1 gene:SECCE3Rv1G0194290 transcript:SECCE3Rv1G0194290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRGGGGGPAAAAGDPRGPMPGFGAPQHTIPTNVNVMQPSRVADFGALAHSAGFRIEDLANFSTNNLFNLKPNTHAYTSDPLQFGNYGKSISPTDLATTAAAAAAVTAVDPQALLQQKGAQKNLVALRTHNNDNWGESSMADTSPRTDTSTDPDIDIDERNQMFEHGQLAAPTASDSSDKSRDKLDHKSLRRLAQNREAARKSRLRKKAYIQNLESSRLKLTQLEQELQRARQQGIFISSSGDQSQSAGGNGAVAFDMEYARWLEEHNKHINELRAASNAHAGDDDLRKIVDSIMSQYDEFFRLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTEQQLTGICNLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVASYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >SECCE6Rv1G0435500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:771651922:771652491:1 gene:SECCE6Rv1G0435500 transcript:SECCE6Rv1G0435500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLQSGHRIHSWPPAPSRVGRRPPAAYMAASKGARKSLVSRTLERCRSGLNSGGRSSAAVAPGCFSVYVGPERERFVVRADRANHPLFRRLLDDAEQEYGYAAQGPLALPCAVDAFLDVLWHMDHDVQDDDDDDGEAAVAPSTPICGLQRAGSGSIKVRPAGYRVLSPAKSTPASFFFSQTAAGGRR >SECCE4Rv1G0214810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:1851065:1853231:1 gene:SECCE4Rv1G0214810 transcript:SECCE4Rv1G0214810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAKEPEAAAQGEGSKPKAKKPKAAAAAAQDGEGAKAKAKKPKAAAAAAQEGEGAKAKKAKAAAAAAQEGEGAKAKKPAAAAAAAPVAAMTEAEVEELPKAIVRRLVKDKLARVASGGEGGDGGAEVIVNKDAMAAFAESARIFIHYLSATANDVCKDGKRQTINAEDVFKALDEIEFPEFVEPLRTALEEFRSRNAARKPASGKKQSEKKRKLDKEAVPEEQNGAADEANAGDD >SECCE5Rv1G0343290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637415543:637415968:1 gene:SECCE5Rv1G0343290 transcript:SECCE5Rv1G0343290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGLKSLSSSSSTAASPSLMMTCRPFPQPPLLPLPPAHLQASPAPAGRKVDLLRANKSPKKPASGAASAPIVVAAPPSAWTWMDSMYSVSPPPSSVPMPTSLLLAAGAGRKKVPTPCAVQVAGGGVDVGATDELRRLLRL >SECCE5Rv1G0371710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:849287235:849288688:-1 gene:SECCE5Rv1G0371710 transcript:SECCE5Rv1G0371710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDLATVLDRLADLLAAKAADGASSSDISGEGRQQVPQREVQYKLELMPNEIKLDGVGNYLSSSRRGMLILRTKSIEGYVLGKISEPKEKLGEEWKKWNATDSLVLTWLLNSLTPAVAASVEALSTSTDVWDALSKMYSGKGNVMLVSQIEDTIHNLTQDEKPVMTYVGELRHAWADLDHLAPLVLPHSECVAAAKKWIEDRRVLKFLKGLHSAFEGRRAALMHLPQLPTLEEAIAAMAQEETRLKQIEKVEVVPKPAYYVSNRQETRDCYNCGINGHLSHNCFAPRRGRGRGYGRGNYRGVRGRNAGNSNNFSYQRSARANMSGMDEGPGQSSSGQNEVKKGEQKTDASFGHFAHFVYTDEGEAEWKEDWDRNQT >SECCE1Rv1G0041070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568413478:568416117:1 gene:SECCE1Rv1G0041070 transcript:SECCE1Rv1G0041070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLPLQAVAAVLVSLAGAACVAHGFEFHEATVDAIQLGFSNGTLTSTALVRFYLDRIGRLNPLLHAVIEVNPDALRQAKRADAERRSSGHAIGSLHGVPVLLKDNIATRDALNTTAGSLALLGSVVRRDAGVAARLRRAGAVVLGKASLSEWANFRPVDDGWSARGGQVRNPYVLSSNPCGSSTGSGVAAAANMAAVTLGTETDGSILCPSSFNSVVGIKPTLGLTSRAGVVPITPLQDTVGPMCRTVSDAVHVLDAIVGYDEHDAAATGAASKYIPHGGYTQFLKKDGLRGKRIGVPNGFFQGYDQSQLNVYRQHLATMRKLGAVVVEKLDVAANLTPLLDEIGSNEAIAMQAEFKLSINAYLADLLHSPVHSLADIIAFNNKHPVEERLKDFGQPDLIAAQSTNGIGRVERAAIRRLKGLTTNGLEKQMMEHQLDAIVAPNSDISSLLAIGGHPGISVPAGYDEKGVPFGICFGGLQGYEPRLIEMAYAFEQATKMRRPPMFKP >SECCEUnv1G0544370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:128416748:128418529:1 gene:SECCEUnv1G0544370 transcript:SECCEUnv1G0544370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLFRQQQEPAWFVSLAILGALHVAAVAFRVLSHLVLLLRRPTDLCHRYGAWAVVTGPTSGMGRSVALALARRGLNLILVGRDPAKLRDISGTISCTYAVQTKTVVVDLALIATPQGDEALRLLRHAVAGLDVGVLVNNAGLAKPCAVYLHEADVEAWVRMIRVNLWALTEVTAAVLPGMVERGRGAIVNIGSGTTLTIPSFPLLSIYAASKRYVAQLSRSLHVEYRGKGIDVQCQVPLFVETNMTLGVAASRKRRSLLSRLIMPTSDEYARAAVCWIGHGQVCMPNLGHRLEWCICHIVPDWMLDELCLRVNLWQRVRFQRLRAMRASQPNIINHGCLPCKQV >SECCE3Rv1G0157180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80305805:80307763:1 gene:SECCE3Rv1G0157180 transcript:SECCE3Rv1G0157180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MEEPALTPPRNNAKEIKSPPTPPTLASSLSLQRKKLGSHFLESDERRRFYGATSSAAVGTTPLDIRGTPIPEHALARTGGWVAAFFIFGNETAERMAYYGLSVNMVVFMFKVMHLPFAASANAVNNFLGISQASSVLGGFLADAYLGRYWTIAAFTTLYLLGLIALTLCAMLPALAPAQDGCDKLAMLLGGCAPAERWQMAYLYTALYVTAFGAAGIRPCVSSFGADQFDDRGADYKRRLDRFFNLFYLAVTVGAIAAFTLVVYIQMHRGWAAAFGALALAMGASNALFFAGTPLYRHKAPGGSPLTRVAQVLVAAFRKRGAGFGDGGYVGLYEVAGAKSAIRGSGKIEHTDDFRWLDKAALRLKEDDQEEQDDPWRLCTVTQVEEVKILVRLLPVPACTVMLSVVLTEFLTLSVQQAYTLNTSLVAGVHLPVTCMPVFPCLAIFLVLALYYQTFAPLARRVTGHPHGASQLQRVGLGLVFSILSVAWAGAFERYRRRYAVEHGYLGLFLSPMPGLSAYWLLIQYCLIGVAEVFCLVGLIEFLYQEAPDAMRSVGSAYAAVAGGMGCFMATALNNAVDAATGDAVNGRPSWVAQNINVGRFDYIYWLLAVLSTLNFVVFLYFASIYKYREPPPTTAGGNSSKVEVSVVSDHK >SECCE4Rv1G0217110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14354709:14355961:1 gene:SECCE4Rv1G0217110 transcript:SECCE4Rv1G0217110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTIASKEVEKVVTDDEEITDIVVHRVPYKDPPAVRALDTSELGTWSLYRALIGEFTASLVLLYVSIATVIGYRNQSSAADDRCTGVGYLGVAWSFGATVSVLVYSTSGVSGGHINPAVTFALFVAGKVTLVRAVLYVAAQCLGAVVGVGIVKGIMKHPYDDLGGGANAVAAGYSLGAALGAETLGTFVLVYAVFSATDPKRTARDAFVPLVAALPIGMAVFVVHLATIPITGTGINPARSLGAAVLYNQHETWKQHWIFWVGPFTGAALAAFYHKIALRDGSVVRESLAAMDSFKKKSSTA >SECCE6Rv1G0443690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:823965915:823967903:1 gene:SECCE6Rv1G0443690 transcript:SECCE6Rv1G0443690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPHVVILTSSGLGHVLPVSELAKRLAVHHGFTVTIITYASLSSPGHSSPLASLPPGVSVAALPEVPLDDLPADAHLVTRILTVISRALPQLRDLLRSLLDSPAGITAFMTDMLCPAALAVGKEMGLPGYVFYTSSVMSLLSLLYTPELSRTTTCECRDLPEPVVLPGEFLLLSKFETMVQNRSDPVYQLMIDLGRNYLLAEGFIVNTMDALEHETLEAFKELSDKGVYPPAYAVGPFTQRRCPNSDEVKHRHSCLRWLDKQPDGSVLYVSFGSGGALSTEQTVELAAGLEASGQKFLWVVHHPNDKDSSADYLGTAATDDDPLSYLPEGFVERSNGTGLLVPLWAPQVEILNHVAVGGFMSHGGWNSTLESVAAGVPMVAWPLYAEQRLNAVMLSSERVGLALWERPPLGKDRAVVPREEVAALVTELMEGEKGAAARKKAVHLREEADIASAPGGPQDRALAVVAGMISLHLKSHSE >SECCE4Rv1G0225970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:102367217:102370196:-1 gene:SECCE4Rv1G0225970 transcript:SECCE4Rv1G0225970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLQRHVLPPFRRPPLPFFRHGAGAASSSSQPQGRRPWTPSRILDPGDDVVLNWNRLFLVTCMVGLFVDPMYFYLLYAKGQACVKMNMGIGVAVTAVRTVADLFYLAHMILKFRTAFVAPSSRVFGRGELVRDPDQIAIRYLKNDFIIDLAAMLPIPQIIIWFVIPAVSTTSANHTNNTLSMIVLIQYIPRVFLIISLNSKIVKSSGVVTRTAWAGAAYNLLLYTLASHVLGALWYLLSIERQYTCWVDQCTSDNGTDPRVPICDMSYLDCKSLEDPVRMKWHAASNITRQCALPGAKFEYGLFEDALKLDIVDASFFEKYLYCLWWGFRNLSSYGQNLQNSTYAGETIFCILICIMGLVFFSHLIGNMQTYLQSMTVRLEEWRVKRRDIEEWMRHRQLPLELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVSEMLFIIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVRSVTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRYKKRKLAKELMKQEGLLYDGQGDAGDEDGAGAIAGADASTPLLGEYKDGAGAASSSAEGGDGGGTQIGVTFLASKFAKNTKKGAHQKGVSQRIDDVSTMKFPKLAKPDEPDFSLHTEDTL >SECCE3Rv1G0168040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:214100810:214107686:1 gene:SECCE3Rv1G0168040 transcript:SECCE3Rv1G0168040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMR domain-containing protein At5g58720 [Source:Projected from Arabidopsis thaliana (AT5G58720) UniProtKB/Swiss-Prot;Acc:O65573] MKPNTKKKSKKKKRPSPAAADGAAPAPPAPGSSPRSPLAETLTLAAAASETESSGSGGDASAYTRAFTSSSSGAASTSSFYAPSSTDSAASSSATGDERRDLAWLLEAFGSATIDQVDSAYREAGGDPFLAAGILGSTQDMQPPPPPPPDLSPRAGSAGRRTARRPRKLPVAASGIVADVIGKGYSRPATPPVSATERSGWKGKGKDMDGESVSNGWNDERNAETESGCGDPTLNVEEAEQFLCSMLGDHSELSMGVVKDVLGQSGYDVEQALDALLDISGMGWCIPNEEMNGSSSPNIFPGNGLFEEESTASIQQSPRQFPEEIPGMSYNHSERQHEFFWGEQQSSYMKDVCEVQHSATPSTRSAVVDSKMPQQVLESLFKIPERRTYEPSKMDWKKVVKKLQSYNHPITASNQERPKNGDGYREFRGVSAKHYETMKGYYQKAAVAYSKGDKSYASYLAEEGKHYRELGRKEDEKASREIFEARNKHITNTVTIDLHGQHVKQAMKLLKVHMLVCVCMPSTLLRVITGCGVEGTGKGKIKRSVIELVEKEGIEWHEGNSGTIVLRLGGPREYRFLEHDSDSD >SECCEUnv1G0539310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75507549:75508104:1 gene:SECCEUnv1G0539310 transcript:SECCEUnv1G0539310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSSPSPADLRKQQEEEKRIRHKIKFIGVSWPLKAGIKFPISRVGRYLRQRRYAPRVAALAPVFLAAVLEYLVAEVLELAGNAAKANKKKLITPRHLLLAIRNDGDLSRLLAGVTIAHAGVLPYINPVLLPNNNKTAHKFKSSTPR >SECCE4Rv1G0243310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:500377231:500379383:1 gene:SECCE4Rv1G0243310 transcript:SECCE4Rv1G0243310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMRVLALMVAAALVAAAPARVGAAGACAAAKFSKNRVYAACTDLPTLGASVHWTYDPAASSLSVAFVAAPPSAGGWVAWGLNPSGDGMSGTQALVAAPTGAGGAYGVQTYDIKGTSLGSPGRIAYGTSDLAAEVGADGRVQMFGKLALQNGTGEVNQVWQVGPASGGSIDIHAMDAGNMGAKGKLNLVTGATTAVSGGSILRKKNTHGILNAVSWGILLPMGGIAARYLKTFKSADPAWFYLHVACQLIGYAVGVSGWATGIHLGNLSKGITYSLHRNIGIAVFALGTVQIFALFLRPKKDHKLRVYWNVYHHSVGYTIIILGIVNIFKGMSILNVEQKWKTGYIIAISILGGVALALEVITWGIVLKRRKTEDKAYNGGASNNNNGHLPM >SECCE4Rv1G0249680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:585487116:585492242:-1 gene:SECCE4Rv1G0249680 transcript:SECCE4Rv1G0249680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCGLECVLCVGCVRWAWKRLTYIGAYDSETWPPASPDDFEPVPRICQIIMAVSDDIANPKLMPATRGYTQIDNDGIHKRTTYAEVGTACPPYIVYVDRRRNEVVLAVRGLNLVRNADYKVLMDNKLGMQMFDGGYVHHGLLKAAQFILERETDTLRELLRQQGPDCKLIFAGHSLGSGIAALMTVLVVNNRKAFGNIPRSHIRCYALAPARCMSLNLAVKYADVIHSIVLQDDFLPRTPTPLEYIFGSIFCLPCLLFLFCLRDTFKQDKKKFKDPRRLYAPGRMYHIVERKFCSCARYPPEVRTAIPVEGRFEHIVLSCSTTADHGIIWIERESALALELMKENEKATTPPAEQKMERLQSFKEEHKNALHRAKTLDVPHAIDISEEETHEGACPAPSSDTHSETTSSEPKSAGRTSWDELVDKLFTRDEDGKLIVNREMVARDVVIE >SECCE4Rv1G0249920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:587804278:587805297:1 gene:SECCE4Rv1G0249920 transcript:SECCE4Rv1G0249920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAASAPAGPIPFKDVVVNNVDLVATMPSEDHDDVLVSALPSKLMAGSTQRRLRCYQGFWLPETFVPAAIGLQRRFVPLPDDVIIASLPKCGTTWLNALAFATMARRSYPPAGADHPLLRLSPHQCVPFLDTLFAGGGREARLDALPSPRLMYTHMPHEMLPRAGGCRVVYICREPKDTAVSLWQFRRSMHPELPFVDTFESSCSGASTYGPFWDHILGYRRASDTWPENVLFLSYEELLRDPAENVRKLARFVGLPFSEAEEEAGVVRGIVELCSLRSLKNQEVNRTGYMDGLKFPRKALFRKGVAGDWVNHMTPEMARRMDEIVADKLRATGLTF >SECCE4Rv1G0254940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:642968571:642969132:-1 gene:SECCE4Rv1G0254940 transcript:SECCE4Rv1G0254940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIESPVAASRLFRAGVMDWHTLAPKLVPQIVASAHPVEGEGGIGSVRQFNFTSAMPFNLMKERLEFIDADKCECKSTLIEGGGIGTAIETATSHIKVEPAANGGSVVKVESTYKLLPDVEVKDEITKAKDSVTAIFKAAEAYLIANPDAYN >SECCE4Rv1G0286050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:847771431:847774004:1 gene:SECCE4Rv1G0286050 transcript:SECCE4Rv1G0286050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESALLLVIQKIGTAVAAETFNYTIPLLARKSGAVAALPNDMKLIRNQLELIHAFLEDTGTKGCTDRVIEAWTGQARKLAYDMEDIVDQFVYVVGKHNVQEGSWWCCMKKIVKKPQSLFTLDHIATAIGGIKQQLRELKENKDWTQPIGGVPNGIPATKYDSQYVPGQDYSIADDELVGIEKNRKILIKSLLLEGGPGRRLIALWGMGGIGKSTLVNSLYKSEASEFDCHAWVSVSQSYIPEDIWRNMLRELRKNCKQESDASNMGSTAEQVEKMNSAELQFELKKILGKKGYLIVLDDVWKPQHLWQIQQVLVDNGLGSRAITTTRSEEVASMAEAGCKIKLEPLDADDAWRLFRRKAFPRIENNMCPAELDQCGKDIVDKCGGLPLALVAIGSILSLKVPNVRVWRLFYEQLNWELHNNEGLSHVEKILNLSYKCLPSHLKSCFLYCAMFPEDYWIPRKKLIRLWISEGFVELGGALCLEDVAEGYLRELVQRSMLHVLQRNSFGRLRRVQMHDIVRELAISRSKKECFSTTYDDTHVTGEQVELDSRRVSVLRCKDGIGSSIHPATRLRTLIAFDSTVKSSPPLFPSESKYLAVLDLSGLPITTIPDSVGELFNIKYLGLDRTNVKKLPNSVTKLHNLETLSIRGGQCLSLPGSSMKLKRLRHILISKMLDETWSSFISYESMEPFEGLWNLKELQSLHSVRASKIFVAKLGNLSQMRFLYITEVKSSYCAQLCDSISELHHLSKLEIRASNHDEVLQLDNLTLQNALDKLTLIGPLSQGILESPFFSKHGDQLLRLELYRSQLVDNPTPHLYRLPKLSRIVLGRAYIGQVRDVVANASEIAKLRDELPADST >SECCE2Rv1G0064640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4665460:4665876:-1 gene:SECCE2Rv1G0064640 transcript:SECCE2Rv1G0064640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVAEKAEKTTAAKKTKAEKRPPASKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE5Rv1G0352090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:706657075:706665855:-1 gene:SECCE5Rv1G0352090 transcript:SECCE5Rv1G0352090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAPDPDAGGLLPRGSDAADVAGNTWDLAPFSPPPAALRGGELYIYRNAYNLVPRSIGECRGGLRALKFFGNDVEVLPPEAGDLDGLQSLQLKVSAPRVSGAVLRRMRALRELELSMVPPRPSACSILVEIASLKCLTKLTICHFSIRFLPPEIGSLRKLQELDLSFNKLKNLPNWITELRALKFLKVANNKLVDLPPGISSLRCLESLDLSNNRLTSLGSVELVSMLTLQYLNLQFNRISHSFMIPSWVCCDMRENGENPLKSDKLQRLGITSIKSSAEPRPASHACNGVLSCSPTVISPNLKAHTAQKMKKGWKRRDCLQQQARQERLESSRSRLCENDIDEMAVNMTEDDMENRPVMKDIAEESSAQDLKETSSISDDLSCIVDYDSDGLIKDSGMMLQDQYDDGKTGISMRSCHDNNSGISTDPAFLRRGSIENELEDTASSTRNAVEVVEENTPEASKLTLKSKRHPDMDNNPKPSKCPRPIDESSKLSYKYSVESFCSIDDHLPDGFYDAGRDMPFMPLEEYERSLGLYAREVILLDREQDEELDAIASSAQQLLSSLKRPSYSETDEDAGQDLLRASVLALFVSDCFGGCDRSASLRRTRGAIVSLKKEQPFICTCAAGSMCDSNEASKQASTPSGHFNFTGLCDKSIHIIKERNNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRQGNILVRMIVDACYPTNIKEETDPEYFCRYVPLSRLQVALDDEGYTPRSSFPSVSLCKEIEATASSAVYHCKIGSVDAAAKIRYLDTRRASNDEVKKFEYKLLGEVRMMNALRKHRSIVDIYGHQLSSKWVQDDSDKEYRIMQSVILMEYVKGGSLKGYLTKLLKEGKKHVPVDLAFYIAREVACALLEMHRKLVIHRDIKSENVLVDLDSKRSHGTPVVKLSDFDRSIPLHSLSHTCCIAHHGTYPPNVCVGTPCWMAPEVLQAMHEKTQYGLEVDIWSYGCFIFEMLTLRIPYQGLPDTEIYDLIKRKKQRPRLTKELEAFWTVDEPITRLKLGITSDAHAEKLRFLIELFYQCTRATASRRPKAEQIYNSLCSLPTCYDLS >SECCE3Rv1G0145830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8414851:8416283:-1 gene:SECCE3Rv1G0145830 transcript:SECCE3Rv1G0145830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRQSGRGGAMAADGSHTHTHTRSSGAGKMERKDVEKNRRLHMKGLCLKLSSLLPAHHLRHYSSSSPPSSSKDAATQLDQLDSAAAYIKQLTGRIDELKRRKQAAVSGGTAGCSSSVSAGDYKAQRAASGATALPVIEVRHQDGTLDVALASEAGRPFRLHEMIAVLEQEGAEVVSASFSVVGDKIFYTLHSQALCPRSGVEPGRVAQRLRGLATAAATVSPSVLLT >SECCE1Rv1G0035970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:503713455:503719029:1 gene:SECCE1Rv1G0035970 transcript:SECCE1Rv1G0035970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSARRRARAGGGAPAAPSTRARRRGDAGPIVIDLDADDNGAAPAAASRRTSSSSSSAATMPVPLVGSVATRTRSRRLAMQPPAPEEAPTAKRRRKGATTAQADGGGGSKGAHSSKPEARPNRRGRPPRASGKSKEAEADAEAPACGDWVEVSRGDGGDGSGRRGDDASGYDNGESRADEACGIGQGSHEHHSAASGDRINEHQGSGAVNGSDSCHAMNEVVSGDAGGLEGRGSEGGGLGGGFGVDEEEHRNGEDTTVDCGNRELPTDNVMGDEVAASEDDYGDDYDDEMLEERLVADLIRAYSNGGNLEASNGAYGNGGDLEEAEDEMGFTDDGDGDDSMHDADECGMSEPTNDDAKPSINDDAKAGMQDPVDHKVVAGEVRCHEEEEEDVIKDEVETKREGAKGFNQGRSPIEILDSDEEVKVLHDASKASKRKPLPRKMEPVVPCVAWRTRSLWGLKQDRLSYNAYFEELSDEPEEEEDSEVELDGEDDDSADDDNSASHDSEVDLEEEGAKEKAKEEEEAEMRKRKKEMASSSVRVKAGPLPLYMNRGNRGKRIQKATPHRCSNSNSKPRRRIQTHHRIDSSDDEIIDHNVADGFKWEVDKKSEVQPLNFDKDGSEGPVGNDITQHQKQSYFTWDLERRKRLKLETTTKERQLFERHLHSDSSPSSSDQNKRHGDDSKIGKEKKHLSSKSGKSAKKSRRSGSKMLKRQSLLKLLMDKMCSDKDGGFSPFDQHPQFDYNFKDPHPLVFSFGTENSTPADIPEQNAKENMLWGEFDFALESENIGTYYDDEHQEESNVLDLGLPSKTPCSRGKHEFIIDDQIGIRCKYCSLVNLEIRFVLPSMVSNYAEKTPWRNGSYLKEALMYHDLCEQAGSVDGQSQDFHLYGTVWDLIPGVITSVYQHQREAFEFMWTNLVGDIRLDELKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEEEFKKWDVNVPFHIMNTTDFSGKEDRDICRLIKKEHRTEKLTRLVKLLSWNKGHGILGISYGLYMKLTSEKKSGCTEGNKVRSILLENPGLLVLDEGHTPRNERSIMWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTEKKVGRRHYVSKKRQDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGTILRTLPGLRESVIVLKPPPLQKSIIRKVENIGSGNNFEHEYVISLASTHPSLVTAINMSEEEASLIDKPMLERLRSNPYEGVKTRFVIEVVRLCEALKEKVLIFSQFIQPLELIKEHLSKLFKWRVGKEILQMDGKIQPRYRQTSIEVFNNPDSDAKVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSQEDEFNNVRNMLSKAEMDHCSKLISQDKVLEEMASHDQLKGMFLKIHYPPTESNMVFTYNQIAPELS >SECCE4Rv1G0252460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:614658612:614658968:-1 gene:SECCE4Rv1G0252460 transcript:SECCE4Rv1G0252460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMKVFVVVFLLLVATGFQGAVQVALARECTSDSHKFVGLCLSDTNCASVCLTEFFTGGKCNRRHCVCTKGC >SECCE2Rv1G0066990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:16970186:16972594:1 gene:SECCE2Rv1G0066990 transcript:SECCE2Rv1G0066990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPEEADAGSAPPPPPAPAPAPPPARAMEAGAMGPEEAAARKRYEALMQVRAKALKGKGAWYWGHLEPVLVPPPASGAPPKTARLRCALCAATFSASNPSRTATEHLKRGACPNFAAAQGAPPPPPPPPPPQNQHQQLQLVAVSSPASSIVPISSIPPSSSSSQRRHSTGGGGGRKRHALAAAYASVEAASHQHHVMVADPAGYSPTPPTPPGMPAPRQVLSGGRGDLAPLAMLEDSVKRLKSPSASPGAMLPRPQAEAALSLLADWFLESSGSASLSAVEHPKLKNFLRQVGLPEISRADLAGARLDARFAEARADAAARFREARFFQLAADGLREQVITLSVNLPNDTSVFHRAVPMPAPASASPDYAQELLLDAASSVSASSGDIRHCAGIVADRFGSKTLRDLETKHHWMVNLTCQVHGLSRLVSDMARELPLFNNAASNCAKIAGYFNTTPSVRALLHKHQVQEHGHAFLLPIAAPPYNGGEFAAAFVMLESILTSARPLQLAVLEESYKVVCIDDPAAREIAGMVQNVAFWTEVEATHSLVKLIMDLVKEMETERPLVGQCLPLWEDLRVKVRGWCRKFSVEEATAMNVLERRFRKNYHPAWSAAFILDPLYLIKDAGRRYLPPFNYLTPEQEKDVDRLITRLVSPEEAHLALMELMKWRSEGLDPLYAQAVQVRQPDPSTGKMKIANKQSSRLVWETCLSEFKSLGKVAVRLIFLHATAKGFKCTPSMTRWLTAPGSSAGSIGRAHRLVFIAANSKLERRDFSNDDDKDVELLTEGDDDMLTETGNVDPSSSSV >SECCE2Rv1G0103120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:586825452:586828374:1 gene:SECCE2Rv1G0103120 transcript:SECCE2Rv1G0103120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKAPRRKPPQAVSRRLLGFCGLSFAAALYVGIDYLRYLSPTWHHRLQPVLWAALALAAAARAPFYRHWDVELRAVLPFLGSIVFMLSAFLIEMISVRFVSVLMGLQWHGSAAPLPDTGQWLLLALNEKLPGSVVDLLRAHVITLHHYLMLFMMLGFSVLFDCIKAPGLGIATRYMFTMAVGRLLRTATFVGTILPSARPWCAAGRYQIPGHPHPWAQKYYVPYASDSHAIRAVIDTDMAYADVQAYPDEYSPDWGRMSFLVDILRPTPGEGPAWYHLLKKASGGCNDLMYSGHMLVAVLTAMAWTEAYGGWISVAIWFLVLHSAQREIRERHHYTVDCVVAIYVGILLWRMTRFIWSARDASRARRLAKLEEVQSRLIHAAKDADVNEIRDLLKEVELAGHDKPGFSQRAILAFAAATIIFTLTCVVLALTLTSDG >SECCE5Rv1G0350140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:688696415:688698590:-1 gene:SECCE5Rv1G0350140 transcript:SECCE5Rv1G0350140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLASFWEERNIQTLNPPLAGLPDGRLHRNLRPWPTRTKPPPGDHQMRLVPFWAPFLLLHLGGPDTITAYAYQDNQLWLRHLLALAGQVLGAIYVMYLFVAPGTNPAGTLLAAAALMFVTGCLKYGERTWALKCGGIDSIKSSLDDEGKSSAAGGPYNGREGAKRLDTEEVLLGAHHMLNFCKGLLADGPVMQKSEYEVVRQGIQLNGGNYLFQLAAMELSLLYDILYTKAAVLHTWHGLCIRIAAPLSVVAVFVLFQLSSKEAYSRADVAVTYILLAGAMALELASSLRAAGSSWACASYHARGWHRLCGAVMHLRQMLKVGARRSASLDSLGQYNLLDLCTDANKDGHLRGKIAKMIGLKDRWQKTHYSGTAPISDGIKTLVLREIRKRKIDDLRNARGRWILKEKEMYEDLTRIADDTELDRSIIVWHIATDLYLSMCPGPGPDPDKEVRDSIRVLSNHMLFLMVVHPYLLPGVVRNGRYKENLKYYDMVWWLNLKATKESTMKLSRSEIINKIADWQLPADSRRKYDYGIGEEAAEDVGDRPVYADGSWLAGMLHGNRWHLSAAEMLEVIAGVWVEMLCYASHHCGEESHAKKLSTGAELMNAVWLIIGHATVYDRYAPSAEGLTGGLGLSNPPRKRKLPARWMRQPEADVGARPPGVPPGVHPAYAPFFDVEAHQTSSTSSAQRHHQ >SECCEUnv1G0535390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:56234586:56237061:-1 gene:SECCEUnv1G0535390 transcript:SECCEUnv1G0535390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQDKASEWSGVAAADAFAIDEVNVFEALGGTPQPFVDLSTNFYTRVYEDEEEWFREIFSGSKKEDAIQNQYEFLVQRMGGPPLFSQRRGHPALIGRHRPFPVTHQAAERWLHHMQQALETTESINPDTKTKMMNFFRHTAYFLVAGNEMTRQTQSLPPCKHATSKPAE >SECCE3Rv1G0193610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:771918077:771918814:1 gene:SECCE3Rv1G0193610 transcript:SECCE3Rv1G0193610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAAEKAPVSPPTGLGLGVGGGVGVVAGGAHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDTAAREFRGAKAKTNFPFPSSSSSPVAGGGGGGSPSSNSTLDSSGGASGGCPQAPMQAIPLPPALDLDLFHRAAAVSAVAGGGMRFPFNGYPVAPRQPLHPYFFYEQAAAAAAAASGYRALKVAQPVTVAAVAQSDSDSSSVVDLSPSPPAVTAHKAVAFDLDLNRPPPSED >SECCE5Rv1G0376990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:875039240:875040358:1 gene:SECCE5Rv1G0376990 transcript:SECCE5Rv1G0376990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWSDLPAELLRIIIGGLPDPIDHARFQAVCRSWRSVPSPRKLPTVLLPEGSVVLPFEIQTDPDRILMLPLPKNQRYVGSTDCFLALDVTDPHHNTTHTYLLANPFSMTMLKLPELDPVIGNVPETFRIHKVLMQSGLHGLVVIRTNNRNHPIILLNPGKGVWLPKPRHQPFTRIIDVAFLGDTLYAITQAENLISFGVAFDTKGIPTVTSIKRVITGPIVTLEKDKHAPGKISPRDCITNDAMHLACDHHRPHIDILTAWYLVQSHGKLLMVRREMECTSYLEGFTRKVEVFEADVGARTWVPVSTGLHGHALFLSKHSSKSLVAGDEIEEDAIYFIDNGEVFNLRSKTTSPPYNGLGFHAMWLFYPSS >SECCE2Rv1G0121940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:812232524:812232763:-1 gene:SECCE2Rv1G0121940 transcript:SECCE2Rv1G0121940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLAVALLLLVALAYCDGRELNQKDQALSAARGAGAGGAVGESKVLGLPDLPPLGTITGTSTTNGPLVALPGIPAHP >SECCE1Rv1G0029500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:415362885:415365758:-1 gene:SECCE1Rv1G0029500 transcript:SECCE1Rv1G0029500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKDVAPLAAATAAAPTAPAPAPAPAPAPVSQAKPQAPPQPHTQPPPIASMPPPPHPFAHHQHHHHHQHQQQQQQPSPGSANPAAPMPGGGMRLSFDQMVGKPPVEHHPHQHQHPHQHQHQHQHPHQHHAPGPMLYAAPPPHAVAPPPGSNALGMGDLMRKKRGRPRKYAPDGSMALALAPLSSASGGAAPPPPPGQQHGFSISSPPSDPNAKRRGRPPGSGKKKQFEALGSWGISFTPHILSVKAGEDVASKIMSFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGQLTAATPVQVVVASFIAEGKKSKPAEPRKVEPMSAPPQMATYVPAPVASPPSEGTSSASSDDSGSPINHGAMPYNHSGGQQHQQQHMPPAYASGGWSLSAHHQNNNRHDADMKMMSN >SECCE3Rv1G0208030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:926539340:926539696:1 gene:SECCE3Rv1G0208030 transcript:SECCE3Rv1G0208030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPQGINMKEITTKKKKTKKPSVVVSAGKTDEEAMARFAREHPEYVQAEMEYYRKREAEQKKKGPKKEDEAGPSTVIPIESSSKEDWADFSEEEEEEGCDDPTKEEFWEQFRSSDEE >SECCE5Rv1G0322730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:423371798:423373529:1 gene:SECCE5Rv1G0322730 transcript:SECCE5Rv1G0322730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGYGEMGQKIDYVFKVVLIGDSAVGKSQLLARFARNEFSLDSKATIGVEFQTKTLQIDNRTIKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRSHADKNIVIMLIGNKSDLGTLRAVPTEDAKEFAERESLFFMETSALEATNVEKAFMTGLEEIYRTVSKKNLVANDEANSGGNSNLLKGTKVIIPGQEPAPPAKAACCMSS >SECCE2Rv1G0110730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:693538025:693540960:-1 gene:SECCE2Rv1G0110730 transcript:SECCE2Rv1G0110730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEVSGTLETADVMKIDEKEKTVAVAERSGFLGGGKQETYIRADMIDLENLDVEKQRESIAKTVALEKQRHKDNNKPMAPWEIDLAKLEVHRRIAPGTFGSVYRATYDGKDVLAKLLDWGDDGVMPETEIAIQRQALRKEVVVWKELDHPNVTKFIGASMGTIDLTIPPESGECSAPTDFPDSACCVVVEYLSGGTLRQHLYANRDNKLTYEEVVELALDLARGLAYLHSKDIVHRDVKAENMLLDAKGTLKIADFGVARVQAKNPGEMTGMTGTPGYMAPEVILGKPYNRKCDVYSFGICLWAIYCCDMPYYPDKSFGEASADIVHKNLRPKIPRCCPAPMANIMKRCWQADPEKRPDMLDVVQLLDALDTTQGGGMVPEEKTPGCFCFLRPRRAGP >SECCE6Rv1G0404820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:524002238:524013986:-1 gene:SECCE6Rv1G0404820 transcript:SECCE6Rv1G0404820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MIAPSSAQSWLKGGSENHLARAISLEFRWGRSKMMCSRMRFRSALGSRLLRWCSRDKHTSVRKLLEVDGISERSKLLNKVPVLMGYSNTQYLMEQERVCKESATELISVLKEIDFAEISAKFPCIKIGDSSPIELYDDSAGMEFKETMLSGNLTNFILESGGNLETNREFADKCHPSGPSPANVNDLSISEESSLITQHISDEPAVDGEICPESLADATTADGNVLDKSKRCLPGEPAVVTEACPESLADATSPDNNILDKDIRSLPGTTSKQYRKLEDGGFHTIRKLLQHFPRTYADLQNPQGLIEDGQYIMFFGTVISSKGVRIRSTLGFVEVVVGCSIVEAKLSSSDVSCNSGAEQKQRIHLHLKKFFSGQRFSSQYFLNCISSKHKEGDIVYVSGKVKKVLSSGHYDLKEYTIDKLEEEEQQSTLLDRKPYPIYPSKAGLEARLLGQSISRALKMLTPDIDPIPPEVLTEFNLANLFDAYMGIHKPKNRDEADFARRRLIFDDFFYLQLGRLFQMLEAVGTRVEKEELLYKCENHELNAVGVDQWSPLANKLLKALPYSLTASQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTIVAFLACMEVVNSGFQAAFMVPTEVLAVQHYEHLTSLLEKLEGDECKPNIALLTGSTSTRESRIIRNGLKTGEISMVIGTHTLIADKTDFSALRISVIDEQQRFGVIQRGRFNNKLYTSSSKLSDENTSTDVASDSETFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNDAGFETVFQMMRDELMDGGKVYLVYPIIEESEHLPQLHAAKAGFDSIKQKFEGYPCGLLHGRMKGSEKDEALGSFRSGETRILLATQVIEIGVDVPDASMMIVMNAERFGMSQLHQLRGRVGRGGKKSRCVFLSSTSSTLPRLKVLENSSDGFHLANADLVMRGPGDLLGKKQSGHLPEFPIARLEIDGSILQEAHLAALKVLGTSNDLSMFPRLKVELSMRQPLCLLGD >SECCE2Rv1G0142410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:940652581:940654599:1 gene:SECCE2Rv1G0142410 transcript:SECCE2Rv1G0142410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase 1 [Source:Projected from Arabidopsis thaliana (AT1G08980) UniProtKB/Swiss-Prot;Acc:Q9FR37] MEMEDSGDDHGAFMEKFILPPPPSSDNHHHQLPLHGLTFAIKDIFDVAGRVTGFGTPDWARTHAPASTTAPAVLAALAAGATGVGKTVMDEMAYSINGENAHYGTPANPCAPGRVPGGSSSGSAVAVAASLADFALGTDTGGSVRVPAAYCGIFGLRPSHGLVSTESVVPMAQMFDTVGWFARDLATLSRVTDVLLPPVPAAAATDVDAEIRRRPSRLIIPADCFKILGSVDDRTYEILNASAAKVFGSDAVVDNGNLGDFVFNNVPSIGKFMTDLSAVQASSSCVPALSAISRVMRVLQRSEFKANHAEWVNTVKPNLGPGIRERVQEAIASEDESAMDDLHAVRTEFKSALATLLKYDGILAIPTVPGAPPKLRMDAALLEDFRARAFSLLSIAGLSGFCQISIPLGTRDGIPVSVSLLARHGADRFLVTVAQELHEALQDEAAASWGL >SECCE7Rv1G0501670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:686278701:686279315:-1 gene:SECCE7Rv1G0501670 transcript:SECCE7Rv1G0501670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSYDNADVRHRALGVHGASRKIGKPAPSSQVQQQNRKPVIIYMVSPKVIHVEAHEFMSLVQRLTGPEVAGEDGRERATTSSSSPRTATDRAGRAAQPVRVKARALNRPGPAVSVSVTATRQQQQQNVPSSWAGPSPSPTTGFLFHDLSPLRGGALKGEAPMVSPWLHQTSDHFLSPGGASALGSPSGFLDIFGPLSSQQQ >SECCE4Rv1G0257080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:662742674:662743483:-1 gene:SECCE4Rv1G0257080 transcript:SECCE4Rv1G0257080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAPSHLTGADATAEPFRIYVGYDSREDIAYRVCRRSLLRRSSVPLEVIPIVQQELREAGLYWRERGPTESTEFSFTRFLTPHLAGYRGWALFVDCDFLFVADVAELARMADPRYAVLCVHHDYTPKEATKMDGAVQTVYPRKNWSSMVLFNCGHPKNRAALTPEAVSTQSGAHLHRFAWLDDADVGEVPFAWNFLVGHNRVDPADVAGTTPRAIHYTSGGPWFERYKDCEFADLWVQERDAYESEEKQDEVEGTRVPSSSTVAVDA >SECCE4Rv1G0266260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:730397587:730399421:-1 gene:SECCE4Rv1G0266260 transcript:SECCE4Rv1G0266260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALGRIPKEENDLFDTMDDWLRRGRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFALIGFMLRQFELARSVQLRPYNAISFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLTPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSAIGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >SECCE5Rv1G0369210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:834348121:834356201:1 gene:SECCE5Rv1G0369210 transcript:SECCE5Rv1G0369210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGGDGGGHESNHDMDMRKPLLAVNTATGSWWYAMRKSHVSALLCTLIVALGPIQFGFTGGFSSPTQDAITRDLSLSISEFSVFGSLSNIGAMVGAIASGQMVEHVGHKGALIIAAIPNILGWLAISLAKDTTFLYMGRLLEGFGVGIISYTVPVYIAEISPQNKRGALGSVNPLSITIGTVLAYALGMLVPWRMLAMIGTLPCTILIPGLFFIPESPRWLAKMNKMDDFEASLQVLRGYETDITSEVNDIKTAVASASKRTAIRFQELNQKKFRMPLILGIGLLVLQQLSGINAILFYAGSIFKAAGFTNSNLAACGVGAIALLATGVTTWLLDRAGRRILLIISSAGMTLSLLAVAVIFFLKDNIPQDSDMYYILSMVSLFAIVAYVIAYSFGMGAIPWVIMSEILPVSIKSLAGSFATLANWMTSFGITMTANLLLNWSAGGTFVCYMLVSAFTLVFIILWVPETKGRTLEEIQWSFR >SECCE5Rv1G0336980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588072041:588075343:-1 gene:SECCE5Rv1G0336980 transcript:SECCE5Rv1G0336980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGDWVYENNGGTCVAIAGADYCVVAADTRLSVGYNILTREHSKICELADKCVIASSGFQGDIKALQKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVYSYDAVGSYERTGYSAQGSGSTLIMPVLDNQLKSPSPLLVPARDAVTPLSESEAVDLVKDVFASATERDIYTGDKVEIVVINKAGTRREYIELRKD >SECCE7Rv1G0500330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:665858596:665859732:-1 gene:SECCE7Rv1G0500330 transcript:SECCE7Rv1G0500330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAVTMDIKQEEPEMVVLDDDDDDSAGCCLAPTPLDLAAAAAVAPFLAKTFDMVEDPATDAVVSWGAARNSFVVWDPHAFAARLLPRHFKHANFSSFLRQLNTYGFRKVNPDRWEFANAGFLGGQRHLLAGIRRRRGADTGRRPAAALSPSSCAEGAGGFGAVEGELERLRRDREALKRELAGLKRQQEEARATLLDMERRVQGTERRQEQCKAFLARAVRNPAFLANLARRNGLTAAVPAPVVDGKKKRRLLDAIRSPPPAEDGLTFEELALAAGVVEEAAAPTQGGVTTDMIWYELLEEGQAEIDVDVEDLVTAAGDMEPWEFGEEEVQDLMQQIDSFACSPSC >SECCE5Rv1G0345560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:657045640:657046065:-1 gene:SECCE5Rv1G0345560 transcript:SECCE5Rv1G0345560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKRLAQLAKKWQRVAALGRKRLTATAKEDEECCTSGVVKGHCIMYTADGSRFEVPLEYLSTMVFGELLRMSQEEFGFASDGKITLPCDAAVMEYAMCLLSRDASAEVEKALLSSMLISCHYSVSVMPTVGASQQICCS >SECCE4Rv1G0217740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18660950:18661294:-1 gene:SECCE4Rv1G0217740 transcript:SECCE4Rv1G0217740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPAPARAPAITKFLKPYVLKMHFTNNFVTAQVIHTPSATIACAASSQEKILRPSMESTRDVAAAAKIGKLLGERLLFRGIPAVSVSMSRDQTYHGKVKAVIDSLTAAGVKLL >SECCE3Rv1G0174970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:412021895:412026213:-1 gene:SECCE3Rv1G0174970 transcript:SECCE3Rv1G0174970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAAPRYAPDDPTVPKPWRGLVDGTTGYLYYWNPDTNVTQYEKPVPPEAQLPPPPPLPPPPPPRARGRERRDRSRSRSRSRSRTPPRRDHRDRDRDRRRHDEHDSFKSASSHHHLPPAATIIPTAAADDPSAEAYRRRHEITVIGDNVPAPITEFDSGVIPLDILKEIQRAGFPSPTPIQAQSWPIALLNQDVVAIAKTGSGKTLGYLLPGFMHIKRMQNSTRSGPTVLVLAPTRELATQILEEAVKFGRSSRISSTCLYGGAPKGPQLRDLERGVDVVVATPGRLNDILEMRKISLKQVSYLVLDEADRMLDMGFEPQIRKIVRDIPSGRQTLMYTATWPKEVRRIADELLVHPVQVTIGSVDELVANKAITQHVEVVTPSEKLRRLEQILRSHDSGSKILIFCTTKRMCDQLSRTLNRQFGAAAIHGDKSQNEREKVLSQFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAYTFLCDQDSKYAADLIKILEGADQDVPPELLDMVSRGGRGRKRNKWATRSERGGGSRSELDSRYGGRLESSRGGRGKDDYGGRSRNDHGVSRRSARGRSRSLSRSDSDRRSPSPKRRRHDVRASRSRTRSRSRSRSRSRNKSRNRSRSRSRSYTRNRRASRSRSRSPGARRRTERRAGSGSTRPDSAHPVEKRSSPNAHPSNDHRSRSVDPSNDYQAEDGKMGKVDLDRSPSLQEQDDKSAPYSPVYNGEGGRGSMSPNGEPVVDAKPVEVSASSKKSEAEEEGMIDEEGEEGMIADDDPRASAAVQNGDDK >SECCE6Rv1G0438500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:790608485:790611960:-1 gene:SECCE6Rv1G0438500 transcript:SECCE6Rv1G0438500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASGASAGAGAGAGAGPKPGRLNMPAGMAGSLRLDPSSPASSSSAAAAAARLLEIPKTPSPSKVTYSDRFIPCRTSSRLHNFALADRPSPGSGARDDGSAYSRLLRAELFGGDSSPGGDRQDSPGSNNLFRFKTDRSSAPSSPFAEKLDCVGAGGSPTPKKAPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNMLAVGLGTCVYLWSASSSKVTKLCDLGPRDSVCAVHWTREGSYLAVGTSLGDVQIWDSSRCKRIRNMGGHQTRAGVLAWNSTILSSGSRDKNILQHDIRVPTDYISKFSGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPVLRLTEHTAAVKAITWSPHQHSLVASGGGTADRCIKFWNTANGNMLNSIDTGSQVCNLAWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTLRVLYLATSPDGQTIVTGAGDETLRFWNIFPSMKAQAPARDAGLFSFPRSHIR >SECCE3Rv1G0158970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:93397632:93398560:-1 gene:SECCE3Rv1G0158970 transcript:SECCE3Rv1G0158970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFLAVLAVALALLQAASAKSWLDKRFNTDGTVRTGYDASGREVVMLNLNQQSGAAGFNSKEQYLYGEFSIQMKLIPGNSAGTVSCFYLSSGDDQWRDEIDMEFMGNSTGHPVVLNTNVWANGDGKKEHQFDLWFDPAADYHTYTIIWNPQNILFKVDNLFIRSFKRFGGLPYPTSKPMRLHATLWDGSYWTTEKGKIPINWSNAPFVVSYRNFYANACVSGGACHAGSGRWMNKQLNGAEWGTVKWAERSYMRYNYCDDGYRFPQGLPAECSRY >SECCE3Rv1G0191650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:749988374:749990544:1 gene:SECCE3Rv1G0191650 transcript:SECCE3Rv1G0191650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR3 [Source:Projected from Arabidopsis thaliana (AT3G03750) UniProtKB/Swiss-Prot;Acc:Q9SRV2] MRNPTAAGAVSELAELVLPWLPPPDLAAAASASSAMRAAASAVTARRAADSARGLEAFPAPFVNPIDSQPYSYFIYTPFSLIPSAASFNAQPWGCAWSRTPGPTWPRPDLGLPLAGCSCARGECGGAGCACADAEAETADASGAGMASLSECGDGCACGPSCENRRTQRGVTVRLRVVRQLKKGWALHAAEVIHRGQFVCEYAGEFLTTEEARRRHRLYDELASVGKLSPALLVIREHLPSGRVCMRVNIDATKVGNVARFINHSCDGGNLRPILVRSSGSLLPRLCLFAARDIVDGEELAFSYGDAKPNPKGLPCFCESSCCPGVLPAEET >SECCE7Rv1G0479890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:260406844:260407995:1 gene:SECCE7Rv1G0479890 transcript:SECCE7Rv1G0479890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTLPDDAILEILARVPDAPALLCCAATCRRWRALVAHPSLLRRRWPDPEYSLISSFRPKELNEGSALADGRRLLSSLVPDAAGLPADSVWPLTSRDGLVLFRLLNHSPGPLIGVPLAMCSLLTGTCVVLPPFEWYIYYVGHAILTSADCRPSSSSSFKVLMIVAGETDYDLHTFVAGQPSWNAPTRCLSRAALGFLMNSDAVVCQGGAHWLFCASSIFHVLRVDAETGRVSSTKLMSPMSPCVSNHDLTVQGDRSRLATTTDGKLLSLCLYDACLQLVEIWTQPAAGHGQDWSRTGVIDLEPILEQPQRVPYVWLRGRCGKLIIMLPHHSYLANLQTGTTQELDSMSSSGPTGESGVYMEIDWTAFFIARLACTTANNLP >SECCE4Rv1G0250890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:597441326:597443881:-1 gene:SECCE4Rv1G0250890 transcript:SECCE4Rv1G0250890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAGLRLGLGLAAALPLLLLLALRLPAPAVAGRPLAARNRKPAPSEAAATARWLAAQNTWGVLSTISSDLSGAPFGNVVSYSDGVPGESHGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGKVDPENPTCAKLTLTGKLKVVDHKSPEADLAKTALFSKHPEMEGWPKNHHFEIFKLEIENIFLIDWFGGPKPISPSEYLQYGRDQGSVMVL >SECCE5Rv1G0340830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:618813139:618815761:-1 gene:SECCE5Rv1G0340830 transcript:SECCE5Rv1G0340830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGKGRAAAAPAAGGGFGGYESAGDRKWWPWLVPTVIVACVAVFVVEMWVNDCPRHGSALGGGCVAGFLRRFSFQPLRENPLLGPSSTTLGKMGALDWNKVVHQHQGWRLISCIWLHAGLIHLVVNMLSLLFIGIRLEQQFGFVRIGAIYILSGFGGSVMSALFLRNNYISVGASGALFGLLGSMLSELLMNWTIYSNKVAAIITLLFIIAINVAIGILPHADNFAHIGGFLAGFLLGFVLLARPQFGWLERSELPHTNQPPKYKPYQYVLWVVALVLLLVGFTISLVMLFKGKNGNDGCHWCHYLNCVPTSRWKCDT >SECCE2Rv1G0087480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:226814786:226818302:1 gene:SECCE2Rv1G0087480 transcript:SECCE2Rv1G0087480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNYLEIKNGEEYLMDPIQNKDNEGNPEDMLVDQPHFLEPVCPEEVNEDTRVYPRVGDEYQVEVPNLATEEERMELRSLPVDDSRMFGFEYPVVVGLTIPVTWTPNTSTRVKGEWRELSGHTLCASEDDHNSHISAIIPRTLPQHSIYQDCLRCKVEYDDHGEKFPKSAGQDMYCLLKSEVLSCSCVKREVSDYIPLPGMPRYFWSVEEEQTLLLGLYIFGKNLVQVTKFIKSKTMGEVLSYYYGEFYKSDAYKRWSACRKVRSRRCILGLRIFSGSRQQELLSRLLAGVAREVQDPLLEVFKTFNEGTSDFEQFILCLKSTVGAQVLVEAVGIGKGKYDLTGFALDPSRNHAISGRAEIPIGKACSSLSTGDIIKYLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKQALVFLIPGVKKFSRKKLVKGNHYFDSVSDVLRKVASEPRLLDFGVEGDNNGGEVKIAIGWINDVEADKSTLADKKPASYIRPSEPGCSPELMKFTVVDTSFGQGEEPCKVRSLRNLPTDAGHGYSSSPHSGDSVSVNSEEHSDSEDSSEPYEDLETNQRRTDASCVDEERKNNPPTGDMMDADVLHKISSFPGRVNGHISVDQCVGTMNNVCSSTGTVLPVGNQRIHATNSSTEINFQFDPRVNPEPQVFLAPMPKRRRLVSFKNERTGRKGTAVSKRHYGKQADTPLQPVPKANEASAGANPFLWSTISSSSTNITFGTSSNHTYCGQLHNVPPNVELVYKEKAQRKHVIDLNIPQIPSDYESTTSYIQPPSDNNAISMDIPMHPSETKEVDDCLPDINTSSNAILSEQLSFNSRRQSTRSRPPTSRALEALACGFMGKQKGGEGNFPSSSRSSRPVRRPRRSNDVTIPFPSDGVGSGSRFSEQAIAVNEWRMSNNQYQILHSAPSNNSSEKGTLELFGADNSTDKETREIIWHSVDGMKTSKELHAQQLH >SECCE4Rv1G0215540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:5115958:5117064:-1 gene:SECCE4Rv1G0215540 transcript:SECCE4Rv1G0215540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-pore K+ channel family protein, K+ homeostasis, Osmotic and drought toleranc [Source: Projected from Oryza sativa (Os07g0108800)] MAADGLQQPLLLPGGGGGDPAGVIRQKPPDGVKRFRRCRTAPSSDPAAAAASEEPRPLTPPSNAGQTAPPAKKVLESGRPSSSFRLVGILLLAYVVAGTTAFYLAMDHMSGHRSGSRAIDALYFCVVTMTTVGYGDLVPSSDTGKLLASAFAFGGVAVVGTSLSKSADYLVEKQESLVFRAVHANGKHPAQELRAMEMNKTWYKLYAAGALLAASVVSGTLVLWKGEGMRPVDALYCVCATVTTLGYGDRSFASAGGRAFAAVWVTVSTVVVALFFLYVAELYAERRQRALARWVLTRRTTNTDLEAADLDGDRRVGSAEFVLYKLKELGKISHEEISEFMEEFDELDADHNGTLSPSDLAIAQQATA >SECCE2Rv1G0084490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:175762258:175763655:-1 gene:SECCE2Rv1G0084490 transcript:SECCE2Rv1G0084490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGELAAAGMHHHHHHHHHHRCKVCGKGFSCGRSLGGHMRSHISLGEALPEADDELVRRSSANAGRTSANGAVGYGLRENPKKTRRLSDFADDEEDQGGDGGEQRACRECGKLFSSWRSLFGHMKSHAPGAARDHDEYDEADDDVDLLGEEEYSFAAEAEAEAEKEDEEEEMEAAPMEPLVPAPVAVMAPPPRRRRRSMRVAAPAPAPPPPMLSAFEKEQEDVALCLLMLSRDTTGGMRGSPAPKQAQLPRNGYGYNSDDDSALFQYGEAKTKSVVKSKKRRTSHYALNSVSPKQRGEAAPPPAKRTRYECPGCGRVFSSYQALGGHRASHKRINTSCSAPKAAPAPAPAPEPSVDTYTSFGTLSPSASPDSVAIGIGAASKKPAAAEAEKFECPVCFRVFGSGQALGGHKRSHITADAAFSSDGELYGSASVSVNEEQEQGYPVAAAGSLDLNFPPAPSEEA >SECCE7Rv1G0501530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:683866723:683871097:-1 gene:SECCE7Rv1G0501530 transcript:SECCE7Rv1G0501530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWYFVAALLTVLTSSQGIWTTLSQSNGKYKYDYATIPFLAEFFKLSVSSFFLWKECQSSSPPRMTKEWKSVRLYLVPSVIYLIHNNVQFATLTYVDPSTYQILGNLKIVTTGILFRLVLKRKLSNLQWMAIVLLAVGTTTSQVKGCGDAPCDSVFSAPFQGYMLGILSACLSALAGVYTEYLMKKNSDSLYWQNVQLYTFGVIFNMGWLVYGDFKAGFEMGPWWQRLFNGYSITTWIVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSVYLFNVRATVQLFLGIVICIISLQMYFMPVHMLVELPQTLPATSK >SECCEUnv1G0564760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:386874539:386875762:-1 gene:SECCEUnv1G0564760 transcript:SECCEUnv1G0564760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTAIPDHLLVEIFLLLPGPADLARASATCVSFRRLTTDGSFLRSFRRLHAPPLLGFLEPGRFHPTLQPHPSASAAHAFALAADLSFSFLPSHCRWTVQDIRDGRVLLHREPRKGEEPPVFRELAVCDPLHRRYVLLPPVPDDLAASVEDPIPMVHRLLCKSSLVPLGDEETAAAEATSYRVLWMTYSETKLAAIVFSSSTGQWQITSSKDWSDLVIGSGELTIMPHVLQPILLNLHCAYGCLYWDWLIIRRKKLLMLDTRRMEFSITELPPGEWSCHRTAIVEAGEGRLGVFGLDDEISSDLRYTIARNKGEGPSQCQMEKTISLEPGYQYYIRAATERYLLFTRTEEGFAHKYPKKNPLVEYFSMDVKTLQLQRVCAKKVKNMHFPLIYTNFPPSLLSSRTI >SECCE4Rv1G0239750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:420867430:420885057:1 gene:SECCE4Rv1G0239750 transcript:SECCE4Rv1G0239750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase INO80-like protein [Source:Projected from Arabidopsis thaliana (AT3G57300) UniProtKB/TrEMBL;Acc:F4J277] MDPRRPSPRGGANGSGFSYSNLFNLEPLLNFKVPVPEDLDRYGNSSPNGSVSSQGQGSLSDQYNGVSDASHGLHRKRKRHLGVASDDEEAEAHSNQITEEQYRTMLSEHVQKYRGSKVKEGIFGSDPPQADTPQMIKHKNGNTRVMKYRSDIKDVATLGVVETSPEYNGMGSISAYGGFNKIVASLDSSYLDMGDNVSYLIPEGYDKLASSLNLPVFSDIRVEEHFLDGTLDLRTLSVMLSTDRKFEATSRGGLAEPQPQHESLQERVKIQKFALQVTEDPFAIPEGSAGRIRRSIISESGSLQVHYVKVLEKGDTYEIIERSLPKKQIVKKEHSEIVKEDLASFLKRWHIIARNIPKHHRNFTALLKKRQMDAKRFSENCQREVKLKVSRSLKLMRSAPVRTRKLARDMLIFWKRVDKEQFELRKKEERDAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKTGESALPDEGSAPEVDDEEDPEEAELKREAFRAAQHAVSQQKRMTNAFDSEIVRLCQSSESGIPTDDSATMEPSKIDLLHPSTMPEQSSVQTPELFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLSHLAEDKNIWGPFLVVAPASVVNNWAEEVIRFCPDLKILPYWGPERVVLRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGTLNEHQLSRLHAILKPFMLRRVKIDVIAEMTEKKEEIVPCRLSSRQQIFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSYYFYFAEIPNSLLPPPFGELQDIHYAGKRNPIVFEIPKLVYEGIICNTEIPTDGCGFRNGYINRLFNIFLPSNIHNSAVPESTLRTTSVLPSGAFGFTRLTNLSPVEASFLVTSSLFGRLVFSATRCKMEYIDEIVDLFLDSKGSDLQLSQYDATKVRAVTRLLLSPKRADSSLLRTKFEIGLSDNPCEALVLSHHDRLVSNIRLLRSTYGFIPPARAPPINVRCSDRNFAYKLTDEMHDPWIKKLFLGFARTSEFNGPRIPNGHNTLIQEVCTDLPIPEPMLQLPYRIFGSSPPMSNFDPAKMLTDSGKLHTLDKLLRQLRAENHRVLLFAQMTKMLDILEDYMNFRKFKYFRLDGSSAISDRRDMVRNFQNRNDIFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKQVQDDHLMRQEDVVSLLLDDTQIAHKLKEISMQAKDRLKKRRAKAIKVDKEGDLKLEDLDDPTEEPVEQDNTASKKKKSSHKKPPKSQDNDGADGDVPESGPVEDEEHIASPRPKRSKRLLRNTGEDKEPVTACEVEKPADAAENIDAEELQDQTPPA >SECCE2Rv1G0082740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:156278505:156279719:-1 gene:SECCE2Rv1G0082740 transcript:SECCE2Rv1G0082740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSKKQRRKAPTPELPNELVWEILVRLPVESLLRFKRVSKAWRTTISDPFFIRSHLQLSASKWKQKPSLLITPHCLDRVIEGENWPTTFSSSIFFYRWQQGASQARLVHGRDLDGEFGSVCYFAHCDGLVLVPTDTNVYVFNPATGDAVTLPESGRNVLAGLVNLSVGFGRDPRTGVYKVVRSFFRPRDRKTGIFNMGMEVCTLGDGGRHCWRETAADPPYPVEAWVTAQCVKGAVYWTIDISHLEPRPHSLLRFGLDDEAFKMTNLPDSLATGDGLYFNLNVMRGELCLTGSRVGDGEHPLMIWTLVEDDGPRSLWEPRYKLNFTVPCHPLSVLPDGAVLISLFDKLQRYDPQSEELTVVCKLDRLRFRRAGRSRRPALKNLHFFNVIPYTESLVPLIVQTK >SECCE7Rv1G0470650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:123379948:123382974:-1 gene:SECCE7Rv1G0470650 transcript:SECCE7Rv1G0470650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGPGLFTDIGKKAKDLLTRDYTYDQKLSVSTVSSSGVALTSTAVKKGGLYSLDVASAYKYKNTLVDVKVDTESNISTTFTVLDVLPSTKLVTAVKFPDYNAGKVEVQYFHDHATFAAAVGMKPSPVVDFSVVVGPKGLAFGGEAGFDTSSGKFTKYTGGFSVTKPDFHASAILADKGDTIKVSGVYHLDEKQKASAVAEFTRKLSTNENTLTVGGLYTVDPQTAVKARLNNTGKLAALIQHEVKPKSLLTISGEFDTKALDRAPKFGLSLALKP >SECCE5Rv1G0344100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:643300095:643305138:-1 gene:SECCE5Rv1G0344100 transcript:SECCE5Rv1G0344100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypothetical conserved gene [Source: Projected from Oryza sativa (Os01g0896300)] MEAGGGSARPEAPSGEGARLEQSQMQIDGPVLLNRSAELESSDAMDIDATPAQALKQAVPPPTQSPAATLTDTVVQVQKQLKRKRASNGPVIPAADKEALAAGCRQELQGLFEYYKEVSGHKMQIDGGGNLSTNAMIGCLLEESNLGLSKLVDETFEKLNGTEGVSVASVRSSVLLIGQRMMYGQSSPDADVLEDESELSLWCWEVRDLKVLPVRTRGFLSARRTARKKIHERITALHSTLSALESTGAEGQVNELRKVSTKLSKALNLEGIRSMVERVTQKNSQRGAKDVESTDQSMQVMENNQGSVGGVDAVNGSELPNGNAPANEQVILKMQKQSQKETKRQEKEEHQMMKQQKKMQEEALREQKRREKEEAEVKKRQKRQEEEALKEQKRREKEEAEMKKQEKKQQEDALKEQKRREKEEAEMRKQQKKQQEEADKEQKRLEKEAAQLKKQLAIQKQALLMQRLFKSNDSEKPKSGENDSDACPVDPGTMKKEISAATSIIDSSFSQKENWTLEYLQRLQITGWQKLSSYNRSSRWGIRHKPKEAFKELKLQKTSDDMIDEILSTPNEDACHNSGQENEPDKLGNDIDMLPVSEVQCHVTRNDNSLPTRLIKRKLLQFAKSNRPAYYGTWRKKSAVVRPKCPLMMDPDLDYEIDSDDEWEEVDPGESLSDCEKDTDEVIDEEDSKITDDEEEDSFVVPDGYLSDSEGIQVESLLDEKADDASSLPTSQCPEVEEFRTLLRQQKVLHTLTEQALRKSQPLVISNLAHEKADLLTAQDLKGSSKIEQLCLQVLSMRICPGGGVVDVPAIDSSSAASEETNQSNAKSSPAAASSVLDTDLQEIVQVIQSSRDGIHKLVELLHQKFSTVKKTQLNHKVREISDFVDNRWQVKKEVLDKLGLTSSPPVDRPQKTKAVADRPSKTKGIGMYFSKRCLPPEEAVNALASSPELRLKPRTVQGSNGVAGAPQVDLFPSQK >SECCE4Rv1G0222250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55543570:55544208:1 gene:SECCE4Rv1G0222250 transcript:SECCE4Rv1G0222250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNQPIGPGGGHAAFPAGAAKDGMPASLRFDVGPSTAHRAPRWLLRASSSSSSYVGAGHADEAAVIKSAAEQHKALVPPFQPVTLNFLRALLDRDSMTSIAEEGGEGAGAAAAAPPLHALRVVVSSAVALDARQTELIARKMRRITGFVNLAIENVVEPSLIAGFVVCYGLDDSHTIDLSVRGRLAALKNRVDSSDHDDRLHPRTPAPLH >SECCE3Rv1G0155980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70040066:70043090:1 gene:SECCE3Rv1G0155980 transcript:SECCE3Rv1G0155980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASGSALRRLYLSAYNWVVFFGWAQVLSYAASALLESGHEAVYAAVERPLQFAQTAAFMEILHSILGFVRSPISTTLPQITGRLYITWGILWSFHEAQSHVLLTSLIISWSITEVIRYFFFAMKETFGFAPYWLLWLRYSTFLVFYPTGMLSEAGLILVAMPFMKTSRKYYLMMPNKWNFSIDYRYELALVIALIIPGFPYLFRYMVNKRKKVLSAAKTI >SECCE4Rv1G0290870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874397585:874398628:1 gene:SECCE4Rv1G0290870 transcript:SECCE4Rv1G0290870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSNAISELASVPDIYVFPPEKRASFHDDTSSDEVALPIIDLHHGALSDSRLRRRVAAEIVQAGKDFGFFQVVNHGVGEDVVQRFHEVVAEFFAMPAEEKLVYCSDDQSKPFRVASKTPWNRNDTRYWRDYLKLICHPIDDELARHWPTKPASFRSSLAAYSMALHGLTQTLLQLIAEGLGLDADFFGGDLSGGNTQMNVNFYPPCPDPSITMGLLPHCDRNLLTVLSQGNVAGLQVRHNGRWLLVRPVPGMLVVNFGHQIEIVTNGALASVEHRAITNSATARISVATLVHPTMDCRIGPAPEMVSEANPAKYREFVFSEFFKAFDAAAANREDVLRSFRIHRD >SECCE2Rv1G0128390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:860116292:860119959:1 gene:SECCE2Rv1G0128390 transcript:SECCE2Rv1G0128390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSGRTLEKVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGVVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLKENDLDVHALFTNYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSSKLAALKGLDARLTEIRGYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDLKPAAVPTAAGS >SECCE2Rv1G0073780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:71357813:71359006:-1 gene:SECCE2Rv1G0073780 transcript:SECCE2Rv1G0073780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSKNGATSVADLTDDLIIEILSLLPVKSVCRFKCVSRLWYSLISHPEHRKRLPQTISGFFYPKHRLIDEYDVITFPTFDGISGDQEQLFPDSSLPFLTGYRQILPKDCCNGLIFCLCWKDSPIDEADYVVCNPATEEWVVLPDAGHKSDALAYRLGFDGAMSPHFHVFQILEGDEDYGYISGVNIYSSETGAWSYKENGWGENEIQIVDMRGVFFNGMMHLLTCEFKILAVDTEGKTWRTISLLETMCVENICSGPLAFIGQSQGRLYFINMRDNDSSKLSVWILEDYNGNEWIFKYNISTSQLFGELFGEKDHMLQRDYAGLLFQRDYALIAIHPECNLIFFVWRCEDVVLSYDMDRGKARVICSLKYHSYATFPPYLPYVPCFSRIGRPRVEA >SECCE6Rv1G0412280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613545578:613549805:1 gene:SECCE6Rv1G0412280 transcript:SECCE6Rv1G0412280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKDELLARLEELKIDFKRYDHPVVLTVEEQAKHVGNFGGALTKNLLLKDKKHRLYIVSALADTKVDMKILSQRLGLGKGGVRMAPEENLRQVLQVPLGCVTPFALFNESASAVSLLLDQGFKSKQSCYFHPLTNDVTIALSSSNLDKFLLSIGKQPAYVDLEASPVVGKDNPPDLADLVPSVALCSSEESVENPTPKDAPRINVPKEKTCLPEVKAKPKVQNKVAESSESKVPTNNGANLEKFATDVLDAIFPLFLSEASKKLNIKVEELTQILKLEDFRGRAAPDLESLMSMFKNAAYSAGFHAGFDTMLKSGLGGMPSRK >SECCE7Rv1G0481060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:278845432:278845686:1 gene:SECCE7Rv1G0481060 transcript:SECCE7Rv1G0481060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKILQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPIIWGACNHAFHLHCILKWVNSQTSTPLCPMCRREWQFKG >SECCE5Rv1G0300680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27745055:27747252:1 gene:SECCE5Rv1G0300680 transcript:SECCE5Rv1G0300680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVEDKGSAIALMCAALLFLGTWPALLTLLERRGRLPQHTYLDYSITNLLAAVLIALTLGQLGESKQNMPNFFTQLSQDNWPSVLFAMAGGLVLSIGNLSTQYAWAYVGLSVTEVICASMVVVIGTTLNYFLDNRINRADILFTGVACFLVAVILGTAVHSSNAADNEEKLSESTNGYILWTNGGMDPSKQDALEVDIENGACAEEATRAEAGTAEYLIELEERRSVKVFGSSTLKGLGLVFFAGVCLSLFSPALNLATNDQWHTLKDGVPHLVVYTAFFYFSMSSFVIGVGLNVLFLYRPMAGVPKSSFTAYLSDWEGRQWALLAGLLCGFGNGFQFMGGQAAGYAAADAVEALPLVSTFWGIVLFGEYRKSSKKTYTLLVLMLLMFVAAVATLMASAGRRSTT >SECCE5Rv1G0322320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:419352462:419360080:1 gene:SECCE5Rv1G0322320 transcript:SECCE5Rv1G0322320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEDD1 [Source:Projected from Arabidopsis thaliana (AT5G05970) UniProtKB/Swiss-Prot;Acc:B3H5K9] MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYSPAPGHPVNAVKWNHTNLIVASAGDDKKISLWHKKGQNVGQLPTSTVDRGDDIEESIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSHNSRHILATAGDDGSVHLWDTTARTPKVSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLYTLDSASRRATHTIPHDAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVTSLCWQRSKPVIVSENSSSEVALLGGTSEDSILMPDPLPSATPSNFPSGAGITSLRSSLTGNTSGFLSTSKSSTAEETPYRARPLSGGPLSKLQAPRGNFIIKDDMDVFSPLVDVQPFTPSSNSWWDEHGSDETKKDDKSGDKKLLATRKFQYMEGNSEPHPISDWRSNSVSRQDGASSASSSPVPSWKSEPSISPPESSTGNALPDRLTHRQQISRFGQSAFQTGSLAFAGLQDSASSTSLSSKGSLTSNILMNLQNKGILSNAQSSLETSSASLQASVSSSFMSKTVPSVNSDLPGAALTKSAWKPSTLTDRLSTSSVFSEGLASAFGSPKSKKTGAETKDELLTSLLSRQEAPMTSSSGSLLASNGVVPPQLPTSGSSADQQGASSFSLQYVQRMLEESLGSVHKSIHEDVRNLHIELLRQFHMQEMETSGVMNLVLEKLEGLTKEVQQLRRENQQLRHQLL >SECCE5Rv1G0346290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660508987:660509406:1 gene:SECCE5Rv1G0346290 transcript:SECCE5Rv1G0346290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIHPKRLAQLVRKWQRVKVASRDDEACCTTSPIADKGHCAMYTADGRRFEVPLVYLGKTVFGELLRMSQEEFGFTCDGRITLPFDTVVMDYVMCLLRRNASEEVERAFLSSVVMPCQYPSCTVPHVALHQQLAVCSS >SECCE1Rv1G0014520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:115089041:115091374:1 gene:SECCE1Rv1G0014520 transcript:SECCE1Rv1G0014520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIDGKAIAGEIRREIAAEVAALSSAHSIVPGLAVVIVGSRKDSQTYVHMKRKACAEVGIRSFDVDLPEDISEAALVAEVHRLNADPAVHGILVQLPLPKHINEENILNQISIEKDVDGFHPLNIGKLAMKGRDPLFVPCTPKGCMELLSRSGVTVKGKHAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPNPETIVRQADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSIDDPTRKSGYRLVGDVDFAEASKVAGHLTTVPGGVGPMTVAMLLKNTVDGAKRGIVS >SECCE3Rv1G0174420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:387594477:387607760:1 gene:SECCE3Rv1G0174420 transcript:SECCE3Rv1G0174420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTKKERAAEARASSSKPIVAGAPQVQRRRVSGGEWTSRRISFYASRVYFLLIILQIPLFRVPCRAGTCTTPIQITSSQLVSNEILPPSVVKAMLYPGAIVRNLTTSMSMTFPRWSDLFEIYNLTEAKNASAVIDLQRLEMLAGSYFCVAGALVGIINPGRMILFGTLLVIWGLVKDALFRKPVISDPTEPVYVYPTILIALICSFMSITYNVKKKAMSSQSVSISKPLQSSAKSKLK >SECCE5Rv1G0335330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:572684153:572686771:1 gene:SECCE5Rv1G0335330 transcript:SECCE5Rv1G0335330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPIRPVEDGSISLWVEREGERTEYSGPQLPEDIWCHIHSLLPMRDAARAACVSRAFLSLWRCHPNLTFSMQALGMNMNPCGEDEIGNFTKKVDRILKNRSCIGVKTLRIVFRYSNAMVSNVDRWLQTAVTPGTEELTVQVTPGTEEFTVQLTHAIEELIVQLSSASGTYYNFPWSLLANGNGNSMQRLRMSHCVFSPSVELGLKNMTRLDLCYVCITEEQLGCLLHNCFVLQWMCLSQCSPLICLKIPFHLQQLRYLKVFECRSLQVIGIEAPNLVSFNFLDSHQVQISFGVALQLKKLSMSHPGAVCYARADLPLSLPNVEDLSLSSCSEMVDTPMLPSKFLHLKYLSVSLCTVTFSPAYDYCSLISFFDASPSLETFFLNVLQKDMKHESIVGDHSNLRQVPGHRHDNLKTVKIIGFSSAKSLVELTCHIIENATSLECLTLDTTRGHPWASCSSNSTGKCLLLHLDFLAEARKGLSAIRTYVEPKVPSRVKLNVVEPCRRCHDLEPI >SECCE3Rv1G0201040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:859469480:859472427:1 gene:SECCE3Rv1G0201040 transcript:SECCE3Rv1G0201040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGKPLPRRDGRGGWRAALFIVAVGFLERIGFNGVGANLITYLTGPLGMSTAAAAAGVNAWSGTVLVLPLVGALAADSRLGRYRAVLIAGVLYLLSLGMLTVSSMLQTPQPHPAGCHSTASTCSPPPSASSPARLAFFYTAIYVLALAQGFHMPCSEALGADQFDPSVSASRSSYFNWFHFSVSWGYAIAAIVVIYIEENVGWTAGFAVCWATMAVYLVVFLLGTGTYRVEQPVHSSSLAQVIKKLTFSRADAADTQRLLATDQDVDGKGFLIKLLPIWLSSIVIAAATSQVSTLFTKQGSTMDRRLGAATGLVVPPAALQSFVSFTYIALVPVYDRALVPLARRLTRHPAGVTMLQRIGAGMVMSCVTMVVAALVEAKRLRVATDAGLLDRPDVAVPMSLWWLVPQYVLVGFAEVFCFIGLEEFFYDQVPDGLRSVGLALCLSIFGVGSYASGMLVWAVDWATTRGGGESWFADNLNRAHLDYFYWILAGLSALEVAVFLYFANRYVYRKKPEQPVLLH >SECCEUnv1G0537110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67461279:67464467:-1 gene:SECCEUnv1G0537110 transcript:SECCEUnv1G0537110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit O, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74880) UniProtKB/Swiss-Prot;Acc:Q9S829] MEALASAPRALFAGTATPTTALATPARWTPSSTRRVKAAAAAEPAGEAKPAAAAASPAPKKILKKKPVYSMKKGQIVRVEKEKYLNSINYLSVGHPPFYKGLDYIYEDRGEVLDIRIFEETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >SECCE6Rv1G0437140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782550534:782551734:1 gene:SECCE6Rv1G0437140 transcript:SECCE6Rv1G0437140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRQAAWCLVVIALAVTLAVASAQPVKPRVGGPGKKGAKMPPGKFETVTFAKNNKRKYEVACTDNRGPPCVVSCPKTCPNKCLAFCEYCMTFCMCDMFPGTSCGDPRFTGGDGNTFYFHGKKDQDFCVVSDKDLHINAHFIGNHNPDMKRDFTWVQALGVTFAHGGADHRLYVGAKKVVEWDEEEDHVQISLDGVPVEVEAGKNTQWVSRAMPGLSVTRTDTVNTILVELDGVFSISANAVPITDEDSRIHSYGKTEKDSLVHLDLGFKFHTLTNGVDGVLGQTYRSDYISKVNVTAKMPIMGGAPKYLSASLFSTDCAVSRFHRSGTAAIETHAS >SECCE3Rv1G0184890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:667803772:667804092:-1 gene:SECCE3Rv1G0184890 transcript:SECCE3Rv1G0184890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGQRSAEDAGDGLPKPSSSSARYDRLLSGLAAGALADIDPDKLKGDIQRWAKAVGALVRQLSFGAWSEKSDGSSEHRKRGRRKMIRLGLGTSQPSIQERHRYDW >SECCE7Rv1G0506740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:752863288:752864296:1 gene:SECCE7Rv1G0506740 transcript:SECCE7Rv1G0506740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHMLAGLLAGAGLPVRPPGGDTPLPDSSEQIYIAPVALLKMLKHARAGVPMEVMGLMLGEFVDEYTVTVVDVFAMPQSGTGVSVEAVDHAFQTNMMDMLRQTGRPEMVVGWYHSHPGFGCWLSGTDIQTQLSFEQLNPRAVAVVLDPIQSVKGKVVMDAFRLINPTAMLTGREPRQTTSNVGGTVRPSLEARVHGLGVHYYSLAIGHRQNEVEERMLACLNRKRWSDGLVLQRFADAENAAAVSGIRDLAVQYDAQVREEDVTPPERLAVVRAGRLDAKKQLGERAEAAMSGNIVQTLGMMLDTVTF >SECCE4Rv1G0276750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:799309051:799310229:-1 gene:SECCE4Rv1G0276750 transcript:SECCE4Rv1G0276750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEELLRCQIHEWYPAFRRHTVPTVTIPLPAAFLRYLAGQPAHPVPYAPHQDAEGPPPFLLPALTSGRTPFPPLHLPDPVDHDDSSDDDEDESPPLPAFPELEAAVDAAIAELGGAALPKLNWSAPKDAAFMSADGTVRCTCFAEVVMLLRSSDCVAHDLASARPSCQDFVRSKGARRNAEQGSGANQAGSLSDPPSDGSDDAPENNVGEESSDATWVDDGFKYYLALRKWYPGLRPESEFRCFVRGRKLVGVSQRDTSAYYPSLPGWSAEVQPKIEDFFEEVVESQFASQNYTFDVYVRADGRVKLIDFNPWGGYTLPLMFTWEELEDEKRGEDELEFRVVMEQGAVRPGLMTAVPYDMLDWGEGSGWDVFLKKADNELNRLTASLGMDS >SECCE3Rv1G0178530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:506180082:506188266:1 gene:SECCE3Rv1G0178530 transcript:SECCE3Rv1G0178530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene epsilon cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G57030) UniProtKB/Swiss-Prot;Acc:Q38932] MESTGAAISAPFGCRALRWPGQRPLRAADARRRRVGPEQWRSLKASCVATEKPDEKAAPGLGVDFADEEDYVKGGGGELLYVQMQATKAMERQSKIASKLLPIADETSVLDLVIIGCGPAGLSLAAESAKKGLTVGLIGPDLPFTNNYGVWEDEFKDLGLESCIEHVWKDTVVYLDRNKPIMIGRAYGRVDRDLLHEELLRRCNEAGVTYLNSKVEQIIESPDGHRVVYCGRDRKILCRLAIVASGAASGKLLEYEVGGPRVCVQTAYGVEVEVERYPYDPSLMVFMDYRDCFKEKFSHPEEANPTFLYAMAMSSTRVFFEETCLASKDAMPFDLLKKRLMSRLDAMGVRIVKVYEEEWSYIPVGGSLPNTDQKNLAFGAAASMVHPATGYSVVRSLSEAPRYASVISDILRNRVYSGQYLPGSSEMSSPSMLAWGTLWPQERKRQRSFFLFGLALIIQLDNEGIQTFFETFFRLPKWMWRGFLGSTLSSVDLMLFALYMFAIAPNNLRMNLVRHLLSDPTGSAMIRTYLTL >SECCE2Rv1G0128840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:865362373:865363998:1 gene:SECCE2Rv1G0128840 transcript:SECCE2Rv1G0128840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRIRLCLLLKSRKILVKVLRHVIVQSILQEVHNNVFCLLVDESRDVSGKEQMAVALRYVDSSGDSKESFVGLVHVKETTSSYLKSSIDSLFAKYKLSYNQVRGQGYDGASNMRGQFNGLKSLIMRESSTAYYVHCFAHQLQLVVVAVVRKHKGVSNFFSMISTLLNVVGGSSKRRDMIRDINLEEMRKALGCGQLTTGTRLNQEQCLQRPGDTRWGSHAKTLKSLLHMFRSVVKVLEFVEEEDTDRSNRDQANGLLVYFQSFDFVFYLRLMLTILTTTNTLSSALQRKDQDIVNAMKCVKSTRIVLNELRENEWESMLGEVHVFCETHDIVELDMEEAYVNPKKRRQVTGITNKHHYQVDCFNDVFDWLVQELDNRFSETSTNLLVWSAALSPRDSFRDFNLENLMSLSKLYPQDFDSGELRDLDKDLRLYIADVRTDDSFSNIATITELSKKMVQTRRHIVYPLFYRLLKLVIVLPIATATVERCFSAMKLVKTSLRSRLNDDSLSDDLICYVEKEEMKKVTNAQVVEYFMARRDRMY >SECCE5Rv1G0342280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631452581:631453090:1 gene:SECCE5Rv1G0342280 transcript:SECCE5Rv1G0342280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALAKLWDNYEQSKAARTNDNLQSSFTIHNLTEEKNKLQENYEKLVVDVNALLDAQEQRAVQLSYQQEQKDNAAINIGELENEVGNLKSKMSKKDEENKKLHEKIVTLKNLTSAQANVIRNLKFNHLKEKERLTEERHKLEFHISELKKSEEKIKQKLQGMKAILDE >SECCE3Rv1G0203500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883887299:883887841:1 gene:SECCE3Rv1G0203500 transcript:SECCE3Rv1G0203500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASGMEGLGEDGGVALGYPLIEDVERGQRKPAAAVGYPLPPERRDPPPSSTTRPPTRDKCASCKMFTQGFLVTLGIVLITDFVLGGLILKRQPHPLWVLLCSPFYPLVYAGTMLLVANCGTSESDAQDG >SECCE6Rv1G0427520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:719775802:719777019:-1 gene:SECCE6Rv1G0427520 transcript:SECCE6Rv1G0427520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRRFLLKRRTLHAAMILDLFGLITAYAAGSGRDLNTSIYSIALAGVVLVYVVIHIVFFTLEDQEMDSPKDLEKLDKRREMLLLLAILAATLTYQAGLTPPGGFWSADDRFGHHAGFPILFDNYPRRYNAFFYCNAASFMASVTLIVLLVNPTLYKPGIKCYALYMCMVVGMFGLMGAYAAGSSRHVRTSIYVFTLVAAVFAFVIIQVVIFWIQRYCKKGQPSISSGPVNKDSRERYEEQDLREYLMLLGVLAASLTYQTGLKPPGGLWQEDNNNGHTAGNSILHDIDRGRFRAFFYSNSTSFMASIVVIILLLPLNTHKLPLWPMHTAILLDMMGLLCAYAAGSTREWGTSSHVIALVVPVLAYIAAYAAWTLFRNKDRCVATKKSHDQPSQGYDAAEMPHVT >SECCE2Rv1G0122150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:813460984:813462452:1 gene:SECCE2Rv1G0122150 transcript:SECCE2Rv1G0122150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRALAALLALVVLMVCSPAPRVLASDPSQLQDFCVADLMNPVIVNGFVCKNMKMVTANDFFKNGLNKPGVLNAQGSAVTAVTVRQLPGLNTLGISLARIDFGPNGGQNPPHTHPRGSEILTVITGQLLVGFVTSNQADGKNLLFTKQLVEGDVFVFPQGLIHFQVNNGKVPAVAIAALSSQDAGVITIANAVFGSTPPISDLILAKAFMTEKDTVDWIQAKFAPAMSGNSSMGGGGYMPPGGNSTGGGGGYYPGMRKQKP >SECCE5Rv1G0351010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:695292050:695293658:1 gene:SECCE5Rv1G0351010 transcript:SECCE5Rv1G0351010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERKMQPSPPPEHRRVIRFVTFLALSLLAFSCWALVNSRINNAILIADADKTPLLAGDDDDRHNDSGDHPAPIPVAVPSSSDLMVGAVRMGDPIVRETPLAEGGGGEEEGSEGSCDAESAQLRVYLYDLPPEFHFGMLGWDGKEGNAAWPDVRDAGAVPHYPGGLNLQHSVAYWLTLDILSSTLPHSPDAGGGMSRPCVAVRVTNASLADVFFVPFFASLSYNRHSKLRGKERVSRNRILQAELVKYLMRQEEWKRWGGKDHLVVPHHPNSMMQARKKLSAAMYVLSDFGRYPPDVANLKKDVIAPYMHVVRSLGDDESPAFEQRPVLAYFQGAIHRKDGGKVRQKMYQLLKDEKDVHFTYGSVRQNGIRRATKGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPFEDVLDYSEFCVFVRASDAVRKGSLLRVLRGITRDQWTTMWRRLKEVAHHFEYQYPSKPDDAVQMIWGAVARKMHSLKLHLHKSGRYQRTHSDS >SECCE1Rv1G0045580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:610643967:610644617:1 gene:SECCE1Rv1G0045580 transcript:SECCE1Rv1G0045580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGVPKVPYRIPGDEEATWVDLYNVMYRERTLFLGQEIRCEITNHITGLMVYLSIEDGISDIFLFINSPGGWLISGMAIFDTMHTVTPDIYTICLGIAASMASFILLGGEPTKRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYAVRTGKPFWVVSEDMERDVFMSADEAKAYGLVDIVGDEMIDKHCDTDPVWFPEMFKDW >SECCE5Rv1G0357170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:744224450:744227937:-1 gene:SECCE5Rv1G0357170 transcript:SECCE5Rv1G0357170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADDGFSAARLFSQGVSYTYDDVIFLPGFIDFPADAVDLSTRLSRRVPLSIPCVASPMDTVSEAAMAAAMASLGGVAVVHSNTEPHAQASIVRAAKSRRLPFVSSVPIFSPASAPTLNDFAGHDYALVTEQGDSLSKLLGVAVAADAASPEAPAPVSEYMRPAPRSASASFDFEQAAAFLADEGLDYAPLVSEEGEVIDLITSKDIERIRSYPKLGKPSLGADGKFVVAASIGTREDDKRRLEQLIQAGANAIVIDSSQGNSTYQLDMIKYAKKTFPEVDLIGGNVVTIGQAQNLIAAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASYAKDQNVPVIADGGISYSGHIVKALSLGASTVMMGSFLAGSHEAPGAYEYKDGHRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHDLLRAETLRLEVRTGAAQVEGGIHGLVSYEKKSF >SECCE6Rv1G0396580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:369021138:369040153:-1 gene:SECCE6Rv1G0396580 transcript:SECCE6Rv1G0396580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSRPAVVIDNGTGYTKMGFAGNVEPCFITPTAVAVNESFSDQTRATAKGNWLAQHSAGVMADLDFLIGEEALARSRSSSTYSLSYPIRNGQVDNWDTMEKFWQQCIFNYLRCDPEDHYFLLTESPLTPPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGNSISSIPLTGKDVTQFIQQLMKERGEHIPPEESFDVARRAKEMYCYTCSDIVKEFNKHDREPSKYIKRLTGIKPKTGAPYTCDIGYERFLGPEIFFHPEIYNNDFTTPLQDVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDVRVRASNARLGGDAKAQPVEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRSNPVFKGMY >SECCE2Rv1G0137040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:915947512:915948470:-1 gene:SECCE2Rv1G0137040 transcript:SECCE2Rv1G0137040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKKQQHQHHHHFVLIHGVCHGAWSWYRVATALEAAGHRVTAPDMAGCGASPGRGEEVASFEDYSRPLLDAVAALPEGEQAVLVAHSFGGQSLALAMERHPEKVSVAVFVTATMPAAGKPMTYAFKQLSQGKGPDFFMDCALGSTGDPRNPDKTFLFGPKYMARRVYQLSPPQDLTLGIAMVRPSRRFLNDDTMNGDVLTPARYGAVRRVYVVAEDDQWKPPEMQRLMASWNPGTEVVGLQGADHMPMFSKPRELSELLMVIASK >SECCE3Rv1G0174450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:388584381:388586743:-1 gene:SECCE3Rv1G0174450 transcript:SECCE3Rv1G0174450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYSPSPSHPTAAALVLLLLLLHVTLLGKCAAAANVMFRPGEELRRYMRVQALLRRLNKPSLRTIQSPDGDLIDCVPAHLQPAFDHPRLRGQRPLGPPVRPSGHHRRPNDTADAGVQLWAVSGASCPEGSVPVRRITEADVLRASSVRRFGRVPTARVRRDTVAGGHEHAVGYVAGDEYYGARASINVWAPKVSTPTEFSLSQIWVIGGTFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTTDAYQTTGCYNLLCSGFVQTNSRIAMGAAISPTSGYKGGQFDISLLIWKDPNHGNWWLEFGSGELVGYWPSFLFSHLASHASMVQFGGEVVDTRAEGSHTATQMGSGHFPGEGFGRSSYFRNLEVVDWDNSLIPLTTFHVTADHPNCYDIQGGVNAVWGNYFYYGGPGRNVRCT >SECCE1Rv1G0007790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42530750:42531089:-1 gene:SECCE1Rv1G0007790 transcript:SECCE1Rv1G0007790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRMTASVLLLLLLLVATEMGATTTKVAEARDCLSQSHKFKGACLSSSNCATVCRTENFPDGECHTPHFARKCFYKKSC >SECCE4Rv1G0228690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:138225275:138226588:-1 gene:SECCE4Rv1G0228690 transcript:SECCE4Rv1G0228690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNLPTGNMMQGIPYNSLNVHGNSMQMHASSSGKQLFNQSQMLGSFAMPINRATAPDNPGFQFGEHEKDQHHHHHQQHSHYSKNSISDEEEHDMTEDVTDTQNDKGKKGSAWHRMKWTGSMVKLLITAASYTGEDPGVDQGGRRNIAIVQKKGKWKAISKVMGERGCSVSPQQCEDKFNDLNKRYKRLTDILGRGTACNVVENPALLDSMHHLSDKMKEDARKILNSKHLFYEQMCSYHNNNRVNLPEDLALQHSLQVALRCKEEHDLRRDASADPEEDEQSADSDYEEHDKEHETVRTNLRDSSMNKRMCHAVDHGDVGFVTSSLNGVSGRFNPHDITLDINNTFPGGANLSSVRKDLTSQQVELQKHRLQTREQKLEVAKQRLKWERFRMKKDREIERATLENEQMMLQQKRLELQLRYQELELELNGNANHA >SECCE1Rv1G0028750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:406395407:406395604:-1 gene:SECCE1Rv1G0028750 transcript:SECCE1Rv1G0028750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVELGVWVIPLTLVFVPCRRIVLLLSRLQELRRTMMRPRLVITSREEDMWSRLSRLNSMAFML >SECCE6Rv1G0436560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778312286:778313758:1 gene:SECCE6Rv1G0436560 transcript:SECCE6Rv1G0436560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCKKARAEVTSAVSSDRLSSLPPEIKGDILSRLNVEEAVMTSTLSSTWRDAWTDMPVISLCDGNLTRTKFVTLVDMVLLLHKGTIEEFNISGNKKYHDEFGRWMIMLSRKSPKSVIIKLNSGPRYKIPSCLFSVGDLEYLHLENCIISLPRAFQGFKSLTDLTLKIFSSTDKDIRNLISFCPALTDLVIDSFEGINRLNIKAPKLDYLYVEGDFEDIKLGAPNLEVAFLSLDKAYQSVPIAHDKESYVKQSLGSLSVIETLTINGFFLKYLSKGCIHTKLPVVFTHLESVRLMICLWDQRQVLTACSLFQNAPNLKKLEIWSFPWSILGQDEDQVGIQGLDLQLQMDHLVMASVNHFGGLDFEVDFVAKLLSWAPALEELKIDWEGEKDCSMVLAKLLALPRASTRAKVIVTF >SECCE2Rv1G0123170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:821654761:821657731:-1 gene:SECCE2Rv1G0123170 transcript:SECCE2Rv1G0123170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRSSDAPASAAIEKPDDSAPERPERTLFGFKDSADEPVSKDAGPFFRNKEKVLITCSRRIIYRYRHLMQNVVSLLPHAKKDSKVESKQSKGSALNELVELRSCSSCLFFECRKQKDLYLWMVKSPAGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSSNFDQQPHWKLLKEMITQIFATPKDHRKAKPFHDHVFVFSIVDDHIWFRNYQISVPHNEIDKVDKGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLFENPFYVSPNQIRALEKRKKAGKYAKKVKAKVRRKMHEMENTLEPDEFADLWKGED >SECCE3Rv1G0185680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:676651157:676655673:-1 gene:SECCE3Rv1G0185680 transcript:SECCE3Rv1G0185680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPTPAPGLPIPRPRPPALMPATKRPPAPWALALPAGRRARARLCLRLAAPLSFLLLLAAFFRTQPPAAPLDALPPSAGPGKVAFLFLARAGLPLDFLWDAFFRNGEEGRFSVYVHSAPGFVLDRTTTGSPYFYGRQLARAVKVAWGEPTMVQAEKMLFASALEDPANQRFVLLSDSCVPLYNFSYTYTYLMGSPKSIVDSFTDKTEKRYNPNMSPVIRKDKWRKGSQWVMLIRKHAEVVVGDKHVFQVFRKHCKMVVTNALLGQKLVNARRLGFVFRRKQILKGAAQEEHDCIPDEHYVQTLFSIKGLEDELERRTLTYTSWNQSTLDPKDKMTWHPTTFEYDAASPEQINAIKSIDHVNYEVEHRTEWCQSNGTSVPCFLFARKFSYGAAMHLLEDGAFGLLKSAQLLVNF >SECCE4Rv1G0263290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:715669931:715672397:-1 gene:SECCE4Rv1G0263290 transcript:SECCE4Rv1G0263290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDTENRLASLLLEEARRLQSEAEREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVDEMWRAREKELELESKLKRRYKERGDSRGEKRKGDSRNMSSSSKIEEGTAYNSSYSDQDDGLGDDEVEKFLHSRVKRGRGAVGSRMDEPGPYLKASSHCRDKEPSPDIRLEEKWERRVQGPERPLFLRSKSPDDCWHKETLDDKPSSSSEPQRKKENKKERRSEKKERKERKDKKKSKHRHRHHHHHKSRRRE >SECCE3Rv1G0214320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:965478555:965481406:1 gene:SECCE3Rv1G0214320 transcript:SECCE3Rv1G0214320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARNSDGELEEQSTEGYQEAAFEAFMCPLTKQVMQDPVTIETGQTFEREAILKWFRECRDNGRRPTCPLTQRELRTTDINPSIALRNVIDEWRARNDEKDLEKACNALRLHPEDDEDDSLRAMAYISQICQRSGAKKNLVRAQGIISTLADLLKSSSRRVRLKSLQVLRTVVEDNDQNKEELGKGDTVRTIIKFLSNEHIQERELAVSLLYELSEYEPVCERIGAVYGAILLLVGMGSSKSENMMAVEKAEKTLKNLEKYDTNIKQMAENGRLQPLLTKLLQGGPEVQVTMVEYLGELALANDVKVVVAEQVGTLLVSIIKTGGLPAREATLKAMREMSSNELSAKILLQAGILPPLVKDLFSVGASSHFPMRLKEVSATILANLVASGASFRSIPLDDAGRQTLLSEDVVHSLLHLISNTGPAVECKLLNVLVGLTSSPDTAQDVVSAIKSSGATISLIQFLEAAHREIRVESLKLLRNVSPYMGAELADALGGHLSSLLRVISDASGGGVTEEQAAAVGLLGDLPERDSKLTRQLFELGAFGTLSTKLAELRRGAIRGNRYTTPLTEGMVKVMYRLTCMLQEAPEYVEFAREAALAPLFVELVQVNGQDAVQLYSALALEKLSLETRNLTAIPDPPPPAPSGGFLCACFGAPSSTAAAAGRPVGVCRVHGGFCSLRESFCLAEGGAGGKAVERLVACLDHLNPEVVEAALAALATLVGDGVEAAEGVGVLGDADGLRPVVDILVENRTEALRRRAVWVVERILRVEDIAQEVAADQTVASALVEAYRNGDPRTRHTAERALRHLDRIPNFSSAFHAQARRP >SECCE3Rv1G0208960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:935924756:935926448:1 gene:SECCE3Rv1G0208960 transcript:SECCE3Rv1G0208960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGSSDAEAELRRGFKALAVTRPDPAAAVYEVRLSRPAQRNALSPDSFAEIPRAMALLDRIPAARAVVISAAGPHFCAGIELGGPGNPLTAPFSRGADPAAAAEGLRRAILGMQAALTAVELCRKPVIAAVHGACVGGGVDLVAACDIRYCSKDATFVLKEVDMAIVADLGALQRLPRIVGYGNAADLALTGRRITAVEAKEMGLVSRVFDSKQELDAGVAKIAKEISEKSANAVMGTKAVLLRSRDITVEQGLEHVATWNSGMLRSNDLMEAIKAFMEKRKPVFSKL >SECCE4Rv1G0278370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:808698663:808699971:1 gene:SECCE4Rv1G0278370 transcript:SECCE4Rv1G0278370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 family protein [Source: Projected from Oryza sativa (Os06g0127000)] MASLDTVRGDLGLVVLYLSKAEARDKICRAIQYGSKFLSNGQPGPAQNVDKSTSLARKVFRLFKFVNDLQALISPPAKGTPLPLILLGKSKNAMLSTFLFLDQIVWAGRTGVYKNKERAEFLGRIAFYCFLGSNTCTTIIELAELQRLSRSMKKLEKDLKHQELYKNEQYRMKLKKSNERLLALIKSSLDIVVAVGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPAPAKSK >SECCE2Rv1G0076630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:92856958:92858295:1 gene:SECCE2Rv1G0076630 transcript:SECCE2Rv1G0076630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLLRGVALSVVVALLAADGAAGAEASCPATPPDTGATLQVSHAFGPCSPLGSAAAAPSWAGFLADQSSRDASRLLYLDSLAVAGRAYAPIASGRQLLQTPTYVVRARLGTPPQQLLLAVDTSNDAAWIPCSGCAGCPTTTPFKPAASTSYRAVPCGSPACSRAPNPSCSLNTKSCGFSLTYADSSLEAALSQDSLAVANDVVKSYTFGCLQKATGTAAPPQGLLGLGRGPLSFLSQTKDMYEGTFSYCLPSFKSLNFSGTLRLGRKGQPLRIKTTPLLVNPHRSSLYYVGMTGIRVGKKVVPIPPSALAFDPATGAGTVLDSGTMFTRLVAPAYVAVRDEVRHRIRGAPLSSLGGFDTCYNTTVKWPPVTFMFTGMQVTLPADNLVIHSTYGTTSCLAMAAAPDGVNTVLNVIASMQQQNHRILFDVPNGRVGFAREQCTAA >SECCE6Rv1G0434820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:767810540:767813910:-1 gene:SECCE6Rv1G0434820 transcript:SECCE6Rv1G0434820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKKRLRRSRSPREGSSRRRRGEDGASLSGSLVESDGSSGTTSGAPLPESLDDPSDTRSSRKYESRYHEMLSSRLAVLRLPAYADFQGPDLPSDETVEALVRPFFYDDRLRAALVQYQVHKLLNRPEDDVHGRDGDSGEIDSSSSLMDDIPDEEFQADVARLRSHIVHEVDTEDRLDEEESNRLSHKYARYRLKACMLLKGVRIDDAAFDRDYPPGLALDNHRLYLRDQALGWWFDLGVSTPASLSDYQRLVPCNEGGVEYKSWSEYREFYSTPETDRDYLLYWEAIVKDLKWIEEHAHKSYLECHELHEKACRQAVRIATRFENIPLRLAYYGFQEYTTSKYLAFVKDLDSVFFEIWKLVNGPDKISFREAMEHVYEENLFPLRRCDLEHQLSHPGSVRLEEKFQRCTEGISKEVPEWIAHVLISQEFSFKCDLPRRYVHYAKKKLKIAEIIGLIPKSKIPA >SECCE6Rv1G0450130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:866264772:866268153:1 gene:SECCE6Rv1G0450130 transcript:SECCE6Rv1G0450130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGGDERQRMEAPAGDKFPEGLRVLAVDDDSVCLKVLEAVLRRCNYNPTTVTDAKMALKMLRAGKAKFDLVITDVRMPGMDGFKLLELIRFEMDLPVIMLSVDCDKKDVMKGITHGACDYLVKPVCISELKNIWQHVGRRRNFEAKTNIVINNNNDDDDRVQPRTAATSKDSEKKARVVWKTELQKKFLEAIDQIGLDKAVPKKILELMNVDYLTRDSIASHLQKYRLHLRRVKSNPVGDASERHNSSHNSMNNQGSFMRNHEHEKWCMSPGLLSPKNFCAMGHLAEPANTHRNSCMGSFIHDGRIHKYVAPKLSDVGRFAGSIDPPANLYNNIPNDTTLDEFPSYSFGDSYAGRMRGKLVETNKGKFPDHSYNSVTHATLTGVLHRGIISPISSNVNVEVQNEMATVIRNATPMAGFNEQIVPKNAPSNQSFVGMLNACDNRPVLSSEMVNGGSSSMSVDGLSEQMAPFNIAKNTSSVEMMMNENTAPGDGRISMTQTYMVNSGRTISTVSNHQTENVVAMTDKLDGGDAVSNHPMQVGTIGQHALNDQFNDINAFSWDDYFLNPLDADFTIEDDFMGGEE >SECCE5Rv1G0373540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:859403918:859407247:1 gene:SECCE5Rv1G0373540 transcript:SECCE5Rv1G0373540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPACAHLLCTTTALLLLLAVTVPATTVAPPQADVLLAWKASLGHPAALSSWTLAAPVCSSWLGVACDAAGRVTALRLRGFGVGLTGTLNALDASALTALAVLDLNNNNLTGAIATSLSQLRSLVKLDLGNNGFNSSIPPQLGDLSGLVDLRLYNNTLVGDIPHQLSRLPRIAHLDLGSNYLTNPDYSKFLPMPTVTFLSLYLNRLNGSFPEFILKSSSITYLDLSQNTLSGPIPDTLPESLPNLMYLNLSSNAFSEQIPASLSKLGKLQDLRIGNNELTGGIPEFLGSMSQLRALELGGNPLGGSIPPVLGRLEMLQHLDIGNASLVSTIPPEFGNLGNLKFVDLSMNQLTGGLPAELARLPKMQELSVADNKLTGQIPPALFMHWPELISFQVQSNSFSGKIQPDLGKATKLKILYLLSNNLVGSIPAELGELVSLEQLDLSVNTITGPIPSSFSNLKKLTRLALFFNRLTGTIPPEIGNMTALQVLDLNSNSFKGELPATITSLRNLQYLALFDNNFNGTIPPDLGKGLSLTDVSFANNSFSGELPQRLCDGLALQNFTVNHNNFSGRLPPCLKNSTGLFRVRLEGNQFTGDISEVLGVHPNLDYLDVSGNQLTGKLSSDWSQCTKLTFLNMNGNRISGNIHETLCGLTSLQVLDLSNNQFTGELPSCWWEFKHMIAMDLSNNSFSGELPISTSLGLELQSLRLANNNFLGVFPSVIETCRFLVILDLGNNMFFGDIPSWVGTTLPSLQVLSLPSNNFSGVVPQLSQLSVLDLSSNSFSGQITMTMANHNCFLESLHIAGNGFTGTFPPFLEGCNLLATLDIGNNQFFGGIPTWIGSRLLSLKILRLRSNNFTGGIPPELSRLSQLQLLDMAKNSLTGSIPVAFSNLSSMRHNLRPLVQPSRVFHLERVNISWKGREQTFWNGIGLITGIDLSCNRLSENIPDELAYLKGLRFLNLSRNDLSGSIPERIGSLELLEFLDLSCNEISGGIPPSFSNLSSLSMLNLSNNHLWGRIPTGNQLQTLADPSIYGNNEGLCGFPLSACEPTLAEGTEDHTKVGDLGLCYSVILGIVFGFWLWFGALFFLEQWRFSFLCFVDRLGIKIAVRR >SECCE6Rv1G0389480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:153124815:153134983:1 gene:SECCE6Rv1G0389480 transcript:SECCE6Rv1G0389480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLDIKRKLAQRSERVKSADLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWACTHIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSIGSPDPNFTLDGHSKGVNCVDYFTGGDRPFLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRIVIGYDEGTIMIKIGREVPVASMDNSGKIIWAKHNEIQTVNIKTVGAGNEIADGERLPLAVKELGSCDLYPQNLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSRIKIYSKNFQERKSIRPTFSVERVFGGVLLAMCTNDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVTVASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVLRGDFDRANDILPSIPKEQYDSVAHFLESRGMLEEALEIATDSNYRFDLAVQLGRVDDAKAIALEVQSESKWKQLGELAISTGKLEMAEECLLHALDLSGLLLLYSSIGDAEGITKLASMAKEQGKNNVAFLCLFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNSKAAESLADPDEYPNLFEDWQIALNVEATVAPKRGIYPPAEEYMIHSERPNESLVEAFKNMHVQEEDVPEEDVHDEEELTNENDTVQEVFEDEGAEESQEDAVEVEADGSTDGTIHVNGNDSEEQWVLTPDQ >SECCE3Rv1G0167340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:201198627:201199145:1 gene:SECCE3Rv1G0167340 transcript:SECCE3Rv1G0167340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQASRVLAALLLAALAAATPRGASAVVQCGQVTQLMAPCMPYLSGAPGMTPYGICCNSLGVLNQLSATTADRVATCNCIKAAAASGFPAVDFSRAAALPVACGLSINFAVTPNMDCNQVTDEP >SECCE7Rv1G0475620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:189799231:189800217:-1 gene:SECCE7Rv1G0475620 transcript:SECCE7Rv1G0475620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYLNIRFNPDGSLTRNGQAKLLPAAPSGESVAVTTADGPGRRIVHSNDVPLDDAKGTSVRLFVPGLAAAPRSGRLPLIVYFHGGGYVLFRAASEPFHNNAAVLAATVPAAVASVDYRLAPEHRLPAAFDDAADAVRWVRSYAAGSPGRPIFIMGCHNGASIAFRAALAAVDEGVELRGLILNQAHHSGVERTASEAASVDDRVLPLPANDLLWELALPLGADRDHEYCNPEAMLAGVGAEWLRRLPPCLVLGRKKDPPRDRQMVLVHALRKAGVTVEAQMDGAGYHAMELFKTNCAEEFNAQVTDFVRRHASDGVDVHAARSRL >SECCE4Rv1G0264620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:721410159:721411829:1 gene:SECCE4Rv1G0264620 transcript:SECCE4Rv1G0264620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRLSALSDELLQHVLSFAPAKEAAASAALSRRWRPLWRRASALNLDSRPYSRKRSLADRFDDFFRHAKAALAGRLVLGTPPKRLTLILVEGAYLVGGRWHYYMNEDAELEYDARVAALLADPAAAALEELRIASDPYTIMYVPPLASLPCAAITLRVLELHRCDLQPSARLAFPCLADLSLCSCTYLQGYLQDMVDAAPALTRLALVDVTNKPPKQAGSIKKDRYIKSKSFNLPLRLRSPTITTLVLETNDSRGDELDESRNIGIQLDMPSLRSFHYKGFPVKLSLTSPAPGLARVDLDTNRRERGYYKREPAALMLASFSTTRALKLHLSAIEEIICDPNDESGYQNNPGEATNLPIFPNLKLLEIHGLFKYRNNNTALALTTLLRACPALSELRLWLNMASDYHYDRKTKEREVGGPFAQSLERFKMLASMCPEHRDDMELGTVSDLPDPFTNSSAFGCLRTSLRKVTLQFRSKELNCFQVQLAKFLVENAMVLEEMHVEDGDQFWPDHLWDKLTRWRADALQRKNLPDTAAGFRVYQLANPVEDPRIHY >SECCE3Rv1G0162630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:134669129:134674505:1 gene:SECCE3Rv1G0162630 transcript:SECCE3Rv1G0162630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPSPGGAAMALAHGQAASTGATTAGGTATAITFSFQPSDPPLNGGLSHHHGLLGYSPLVLDHPTTTASSSSTIPGAPPALHHIHAHAGAIHPPRSSPPHPWSCEEADRERQMGKGAVTGMAVSSGAGGGSGSGGRSHGSAAAAAGMGVGAVRMKKAAGGGGAKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDKCRVKKRVERLAEDPRMVITTYEGRHVHSPSRDDDEAARANAEMSFIW >SECCE6Rv1G0442220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814321957:814322448:-1 gene:SECCE6Rv1G0442220 transcript:SECCE6Rv1G0442220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGGVALTLRVPSCSASPAVGRRGRRSSSSTAVRCGATTRERWPAAAPYPYGVLEENHYRTLRLEPGASRGEVKKAFHRLALQYHPDVVRRQNSGDDGQENGAVDFERINAAYQRVMRNMREAEATLEYWRRRYGLADEDLDRYRHYLNHDDEDDWFSDF >SECCE1Rv1G0055870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:683467592:683469546:1 gene:SECCE1Rv1G0055870 transcript:SECCE1Rv1G0055870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMDLGAARATPPSAQEAAEAAVRAVGCGYDLADDLRLFRAKDRLLDLDLGGGERDLCLPGGAVVAGVPAGVVADKGERARFRSDVLSFAHMAEHVNQSLSLTGKIPSGAFNAMFDYRGCWHRDAAATRSLCFDARLVELYAVEAPRGARLALRDHVARAVPASWDPPALASFIDAHGTHVVVGVRMGGKDVVCVKQLRGSALDPRDLQARLKKLADATFSQSQDRRQSSAGAKGRGSSSRRPPGPGSAAWRAFRSPVIYNKDDVVGIHVRRGGVDDGQGHDEWLATVAASPDVISMAFVPITSLLTGVPGRGFLNHAVNLYLRYKPPIEELEQFLEFQVPRQWAPEFGELPLALGPQRKKKKDSLPALQFTLMGPKLRVNTAKVDSGGRPVTGIRLFLEGKKNSRLGVHLQHLSATPRAVPIVVGEAVSTAGGDAVNERAYLEPVRSSLLTHACTAPVQHAGARIDDCAAVVTAAWLEVREACLKKVLFLRLGFSGVARTKIRRSEWDGPLAATRKSGSLSAMLSAALSGTAAVPPQGEPMEGKVEVNSAVFPKGPPVPLPVQKMAKYIDTTEVTRGPDDLPGYWVVTGAKLCVEGGKVALKAKYSLLISAPEDDEV >SECCE6Rv1G0443900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:825470109:825472701:-1 gene:SECCE6Rv1G0443900 transcript:SECCE6Rv1G0443900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVRAAAASCSSSPSFHPPRVPCRLGRSPGLPLPARRLAVAAAAAIALDPEIKTELNDASKTEVFACPVCYEPLIRKGPPGMNLPAIYRSGFKCSKCNKSFTSKDVFLDLTVTSGMKEYSELKPARTELFRSPLVSFLYERGWRQNFNRSGFPGRDEEFQMAQDYFQTVAGGILVDVSCGSGLFSRKFASSGAYSSVIALDFSENMLRQCYDYIKQEETPMNTNLALVRADISRLPFASSSIDAIHAGAAIHCWPSPSNAIAEISRVLKPGGVFVATTFLSTPTNSGLFSIDALKPLRQIVGPVNSSYNFFTEGELEDLCRSCGLVNYSSKVQRSFIMFSGQKP >SECCE6Rv1G0449000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:859511375:859512802:-1 gene:SECCE6Rv1G0449000 transcript:SECCE6Rv1G0449000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVVSSRTVRPPPRPRRRIPLTTWDIPMLSANYIQKGLLFHKPTGKLLPTTAHVVDHLAAALADALVHYYPVAGRFVTDKHYGDGGQGDVVVGCSVSIDCYGQGVQVVHAVADEGVSMADVIPPDVDVPPVVQSFFLLDGAINYDGRDHPLFAVQVTDLADGVFVGFSYNHALSDGTAFWGFINAWAEIARARLLGSPREQPLRRPLLDRWSPDGGAASPVVLPCAEVSDLIERTPQTPLRERMLHFSSESLVALKERARQELLAAGDAQGAASLTRFQALTSLLWRCITRARRLSPGEETTCRAAINNRGRLRPELPTEYFGNAISTICTEAVRAPELLERGHGWVAAAVGRAVAAHTDAAIRERVAALTAKTPGKTPPAYMMSWHDPCGTLMGSSPRFDMFGCDFGWGKPVAARSGKANKVDGGTSLYPCRDGGGGMDAELTLTPEHMAALEEDEEFWAAVSPDARAPEGKA >SECCE2Rv1G0087400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:225615092:225617795:1 gene:SECCE2Rv1G0087400 transcript:SECCE2Rv1G0087400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHCQGLSAGQGQLLAFPAAKPPLLRRSCVRIPAGSPGLKSARLSSERKKFSVQAVRAGTGDMLTSSLMEGSIKGIPSLLTRQNQRRRSEVGCRASSLASFSYPELTSKPRWWWRTLACVPYLLPLHNMWSYADVIYQLHTYLQGFSLVYTFIDTMTLLPGWLLLVIFMTVYFFVVRRKWSPHFMRFHVILAILLDTGSQAVATMCTWMPSFVYQGKPMQYFWMAIAFMQIFTVLECMRCALCGMYPNVPFISHTAFIHSDLNLFR >SECCE4Rv1G0268760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744811712:744813644:1 gene:SECCE4Rv1G0268760 transcript:SECCE4Rv1G0268760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLTSLLLSLPQQWQPVLLALVTALSLLLLIRRKGLKLPPGPATVPVLGNLHQLGPLPHRALRDLARVHGPVMQLQLGKAPTVVLSSAQAAWEALKTHDLDCCTRPVSAGTRRLTYDLKNVAFAPYSAYWREVRKLLTVELLSAQRVKAAWYARHEQVEKLISTLNRAEGKPVALDEHILSLSDGIIGTVAFGNIYGGDKFSQNNSFQDALDDVMEMLSSSGSSAEDLFPIAIGRLVDRLTGFIARRERTFLQLDAFFEMVIEQHLDPNRVLPDNGGDLIDVLIDLWKKPRATFIFTKDHVKAIIFSTFVAGIDTNAATIVWAMLELVRKPRVLKKMQDNIRDVVGDNKTVQPDDISKLNYLRMVVKETLRLHPPGPLLLPRETMRHVQIGGYDVPAKTKIYVNAWAIGRDPVSWPDEPEDFNPDRFEANEIDFKGEHPELMPFGTGRRICPGMSMAMANLLFNFLWTLPEGMTVDDVNMEEEGRLIFHRNTPLVLVAKPYHHGLEYKACDHNLNRCSYLYILETFVSA >SECCE1Rv1G0001710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6187713:6190274:1 gene:SECCE1Rv1G0001710 transcript:SECCE1Rv1G0001710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLIYTLLGLLLLSYTAPRCSSAGDTLAAGQTFAAVGAGAGKLVSRNGKYALGFFQPATMIASTMSSSKSHPNTSSSSSWYFGIWFNKIPVFTTVWVANREEPIAHPNINSTQLRISSDGNLVIIVDHVDAGTESLVWSTHIVNRTQNTTTTTTTLVLLNSGNLVLLPTNTSQEMPSWQSFDHPTDVVLPGAKLGWDKVTGLSHPVISKKSLINPGLGSYSVGIEGAMGMVLKRRNNPSVVYWQFVSSTTSTLVPIIQSLVDLDPRIKGLVNPTYVNNNREEYYMYTSPDESPPLFVSLDTSGQIKMMFWSQAKQTWETIGANPDGRCMTPATCGPFAVCNNNAHILCECMEGFSQKSPQDWEFNDRTGGCIRNTPLQYCGTSEKSMTSSKDMFHPIAQVTLPYKPQLIVLASTQKKCEEACLGSCSCTAYSFTNEKCSVWYGELLSVNMNDGIDFTAEDVLYIRLAAKDLSFRKNKRKPHVGVVTAGAIIGLGLLMLMVFLLIWMKKFKWCGLPLYVKRLDGASQGEKQFRAEVSSVGLIQHINIVKLVGFCSEGDKRLLVYEHMSNGSLDGHLFKKSNANGNILNWNTRFQITLGIARGLCYLHQSCRQCIIHCDIKPENILLDASFVPKVADFGLAALVGRDFSRILTTFRGTVGYLAPEWLSGNAITPKIDVYSFGMVLLEIISGTRNSSGTYNTENNYSAKFFPVQAIYKLHGGDVQSLVDPNLHGDFNLEEVERVCKVACWCIQDHEFDRPTMGEMVRVLEGLQEIDMPLMPRQLAAMTEQYGGMSSIYVVNNHGRCD >SECCE3Rv1G0177870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:484858302:484860541:-1 gene:SECCE3Rv1G0177870 transcript:SECCE3Rv1G0177870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHHHPQQQQQQQRGEAEAADQDSSMSNLTTSASASAKPPPPPTPASNKRKRSLPGNPDPESEVVALSPATLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGSKEVVRKKVYICPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTVFSRRDSFITHRAFCDALTEESNKAISSLPLPPIAHAQHHAMLYSPHDLIQQQQQELAAFQDHHHQVMEQHCNFDVKLEMQPWPPMPYDDVHHPLLQPLCSATAQSSATSVPTPTQQQLPAAAAHLSATALLQKAAQMGATIGGAGVHYTQMAGSATSSATSGLGLLCLNNQQMMSLARTASQGRSGNEGVASGGANDGMTRDFLGLRAFSHRDILGLAGFDSSCMGAAVNTGSNNTNMAPCYEPQQQGQPQPQPQQQQSSNEPWHGMGTHS >SECCE7Rv1G0473440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:157676156:157682423:1 gene:SECCE7Rv1G0473440 transcript:SECCE7Rv1G0473440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAPGVSRKLKKVLETRTDSPDLLASLGALSTFYEHNTPQARRNLKSSVEQRALAINRHFLDASLPAQKALDRVEGEVHALDDSWKKIEEALSSCSASTGDIISTTERLQQELEVITQRQEIVSCFLRDYQLSNEEIHALREEDIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQVECKKLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELIAALLDPDAISDSGPANHRHSVREGDSSKGESDFTFVLDRIFEGACRPFKVRVEQVLQSQPSLIVSYKLSNTLEFYGYTISELLGVDTALCNTIWSLRDATQRTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGVSLLLELISTYNSMMVSASGKGLNFDPVISAILDPIIQMCEQAAEAQKSKGALARRGRTSSEPIGSKRDSISVDAILSKKSSTSVLSGESSSKVYLINCLSAIEEPLMDQEVATSYVKNLRSMIETHTRALVDKEADSILSKCGLSSKMPYIKNYSSTDGKDDARPLADVVETSPQMLLECLKAFYGLVTGTEGSLPEFEQLQVPRLRSDACNGLARALAEAYELIYKAVMDPKNCYPDPRSLVKHSPEQIRTILEI >SECCE5Rv1G0308920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:141350472:141350972:-1 gene:SECCE5Rv1G0308920 transcript:SECCE5Rv1G0308920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGCVVAEECTLAVSTERMWKAAFSGEDTTILPKACPGFIDAVDVEGDGGPGSVSTMTLSPAAAELVGSGVTRSRMVARDNAARVIKMEVLEGSKVSGQLKSQVVELKLEAAGEGACMVKLRVEYEKLDGGGALSAEDEATLAAGYLDLFKMVEAYLVAHPAEYA >SECCE2Rv1G0130890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:879381749:879383572:-1 gene:SECCE2Rv1G0130890 transcript:SECCE2Rv1G0130890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCLAPPTNTPTIAPPSATATRTHTRAKETRNQMSSGSSGGDRLSIDDALAQHAGEFGRWQLQQFVMVTAAWALEAMHTMVMIFADREPAMSCPAGDGRCGDRCAGAAAGWEWERGSGSSTVAQWGLVCGERYKVGLVQAVFFVGCMIGAGVFGHLSDSFLGRKGCLLVLCSLNAVWGLVTALSPNYWVYAALRLLTGFSTGSVGLCSFVLATEPIGPSRRGAAGMSSMYFFSVGIAALAGVAAMFQSSWRLLYAVTSLPSLAYVFTVMPFVSESPRWYLVRGRADDAMRVLGDIASANGRCIPDGVTLKLDDEGDDAQVGTGEKAEEQPSSGTIIGAFRSPTTRIRLVLSVIIHFLCAVVYFGLSLNVVNLKTNLYVSVVLNSLAEMPSYLLTALLLQHFGRKPLAIGMTLLSGVFCTAGSLIPGAGAERVARTVCGVVGIFGISATYNLLAVYTAELFPTVVRTAVLGCAMQASQIGAILAPLVVVLGERVPFAVFGMSGIIGGLLFFCQPETMNKPLYDTMAGIEKGESVSKTEDEGAAENSQV >SECCE5Rv1G0330280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:526961439:526963108:-1 gene:SECCE5Rv1G0330280 transcript:SECCE5Rv1G0330280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLGLPPPAERPPATPTTIAAISDDLLCEIFLLLPSLPSLVRAALACRTFLNAVRSSPAFRRRFRALHPPQLLGFFGEPRRAPVPPFVPLRSRSDPDLAAAVRGSDFFLTRLPEDSGESTLGWKLQSFHGGYVVLVKDTTHQIAAYNPVTQALHLLPRPPEEILFSDSLEVHIVFSEEDQRVFRMICVQHQSTPRRAPAWVAVFSTATGEWQVLPWVETPPPPPHDIIKFYPGTQLNGFVYWKHTSRPYILVLNTATVQFSRVDLPPFLGQISSTRFRLGQTKDGMLCMVGIDLSDAERGTLHVWFWRADDDGVEKWVLGDTFLLTAFTDATKSLAWIDTTVHIQAVIDGFVYLSTKYGEHNESLQSLCLETAKLNKLFDETYVSPAHPYIMAWPPSLVCNKISGDFDSFRQKAERVLMGNARGKLPSLSYGQFFAS >SECCE3Rv1G0211760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953210125:953211180:-1 gene:SECCE3Rv1G0211760 transcript:SECCE3Rv1G0211760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGKSSLAAVVLSAAASCAAAQTPGGPPGSHSSMSFSDAMAILFFMAFFFPVFVVVLAFACLRLFRPPDEPPAGDGSSSERSHHKEGLDAAEIAALPLVSYRDVKQHRICASGMDAPLECAVCLLEFDDDDSLRLLPACPHAFHPECIGLWLAKHVTCPLCRASVLDPPVLDPEELMQPPSPPEGTPAPHESPRRLADHDTVVVLIEDPCCNGEEEDERTRILARRMRREAAGPGRQALPRSNSTGHERGGGTEQFALRLPEHVRLEILMSHRLRHVTSAVASVRVREGSAHDPSAGGNSVRSAVARLLSLFAPADGRKGDDEEKSGGSSRRRRDDSARGAGEDKLRND >SECCE6Rv1G0410610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:600580387:600581966:1 gene:SECCE6Rv1G0410610 transcript:SECCE6Rv1G0410610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMGPAAGKPHAVCLPYPAQGHITPMLNVAKLLHARGFDITFVNNEYNHARLVRSRGPAAVAGLPGFRFATIPDGLPPSDDDDVTQDIPALCKSTTETCLGPFRDLLARLNDPATGHPPVTCVISDVVMGFSMEAANELGLPYVQLWTASAISYLGYRHYRLLISRGLAPLKDAEQLTNGYLDTPVEDVPGLRSMRLRDFPSFIRTTDPDEYMVHYVLRETERTAGASAVILNSFGDLEGEAVEAMEALGLPKVYTLGPLPLLAHEEPPTPRSAINLSLWKEQDECLEWLNGREPGSVVYVNFGSITVMTNAQMVEFAWGLAQSGKQFMWIVRRDLVKGDAAVLPEEFLTETAGRGLMASWCQQQEVLDHPAVGAFLTHSGWNSVMESLCGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDNNVRRDAVAGLITEIMEGEKGKNMRKRAREWKEAAVKAAMPGGSSHRNFDELVRDVLLPKN >SECCE2Rv1G0109580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:678592421:678592885:1 gene:SECCE2Rv1G0109580 transcript:SECCE2Rv1G0109580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIAGAVVAGVAAAATAGILALASSRGDRPEDATSAVVAAAASSQECAVCLSELVGAAGCSGDSEPSAVLVRVLSGCGHGFHDECIGRWLLLRPECPLCRCSVVTADSRLARKAVAPAVPLAEAAPALSRPARIACGFGDGRVVWTRSPPVAL >SECCE3Rv1G0164190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:158589741:158592143:-1 gene:SECCE3Rv1G0164190 transcript:SECCE3Rv1G0164190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRX4 [Source:Projected from Arabidopsis thaliana (AT3G15660) UniProtKB/TrEMBL;Acc:A0A178VHP8] MARLMSSSLVRGLVRSCRAPSTATLSRPAFQQFMTYSSGISGDSNANGGTSATRVTADTDTHQDFQPTSKGSDMSLQDIVAQDIKENPVIIFMKGYPEAPRCGFSALAVKVLQQYGVSIAARDILSNMKLKESVKAHSNWPTFPQIFINGEFVGGSDIILSMHQKGELKDLLGDSAQKGEQGSAQ >SECCE2Rv1G0068710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:24901062:24902021:-1 gene:SECCE2Rv1G0068710 transcript:SECCE2Rv1G0068710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFQDGHHVRLRSRVRRTYLHAADDGVGVTIHERRASMNAAWTVQIYHGKDGPYLLLHSAAYGRYLAATATPARLGHRGLRAELRDYDQPDVEAIMWLAVRSGFADNVVLLRNIGGRYLRANGRYLRWNTGVSVDDSVSSMMYWVVEPIPAREDMPALPAPPPVSSPILRAVLDLLNITMDHGSIFVIRQQNPPYGYLLGVYLEPRRLIRFVRALDDGHYPEDPEDEGWRQFWFRGRSAFRLRDDLGFLVGAGVYYRNIAMCVRAGRYGRPTPLVVDLPDGGYGGTLEIVVLLADTPGKCEPLFMPLLPPMYLLTENQ >SECCE7Rv1G0472520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:143756072:143758715:1 gene:SECCE7Rv1G0472520 transcript:SECCE7Rv1G0472520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAAMAMEKVDAKEREKIEAVRKVVRKQAPLSPKQVEYCNDACVERFLRAKGDNVKKAAKTLRAVLSWRETIGADHIMADEFSGELSEGMAYVAGHDDENRPVLMFKIKQDDYPKYQPQKSFVRFLVFTLEVAVASMNRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVMWKGVRPFVDLAPATAVVCSLDFEDSLEDASFTAYPRTASLRFEPSVAAVLTAGGKAGGAVGSASSRFAFSVSDNALKPWYLSTTPAPGPRSVVPTSSSPSLVGASPLSARSFSFASPAARSTPTPLQQQQPRTARAPPTPSAAKGGQKTPSPLPQQQQQFPRTPRPSFLQSPFTFRKDGQASRVERERESFLPFLRFYRRPYDEITYRAMMRRPLGGLIAIVAEDFRPMSAQQPLRRHAGALHHQHHHHQQHQHQHQRI >SECCE2Rv1G0072370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:55121238:55124029:1 gene:SECCE2Rv1G0072370 transcript:SECCE2Rv1G0072370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFARIQGLDPDHAAKIMGLLLIQDHGEKEMIRLAFGPEALLLAVMAKARKDLGLLPASPTSAAAAHSPFMLSRQNSGRGGCGGGTAPSPLSVSSPSSWAPPPVFSRSNSVSNGSAAEEMAGVGEEQLMSPANGGGGGPHSPFFGGDSLILDELHLQDQLAFLSEGGMGGGGRQLPLFDGGECRSPSGGDGGLFPYGAGWANGGPGHRRSASVSELCFGGGDGLGWKPCLYYARGYCKNGSACRFVHGGLPDDLAGAKMDQAAVEQQCQDFLLRSKSQRLAAAAGFAYSPTGSLPGSPSAASKCLSLLLQQQQQQNDGQRAAAAAAAAALMLGGDEAHKFMNRPRLDRGDFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKFRKQQGERVDFSSCGSPTGLDARDPFDLHQIGARMLQHSNSANEMLLRRKLEEQQQAVELQQAIELQSRRLMGLQLLDLKTRSAAAAAAPTPIGKPFSPNHTTAATPTLESPPDSGEQGNGCGFLFPHKNAVNGGDKDETSGDSTTSPNTDSDQSAEHNLPDSPFASPTKSGAFARDPFAPTESEIAAAAASTGCNAAYNGGINSNGARNGGINHHLLPPALDIPSPKPYFFPMSRLSSDHGAIGM >SECCE5Rv1G0347900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:671443344:671446438:1 gene:SECCE5Rv1G0347900 transcript:SECCE5Rv1G0347900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEVLGVPKNAAQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDEYGEDALKEGMGGGGGGGVDPFDIFSSFFGPSFGGGGGGSSRGRRQRRGEDVVHPLKASLEDLYNGTSKKLSLSRSVLCSKCKGKGSKSGASMRCPGCQGSGMKVTIRQLGPSMIQQMQQACNDCKGTGESINDKDRCPGCKGEKVLQEKKVLEVHVEKGMQHNQKITFPGEADEAPDTVTGDIVFVVQQKEHPKFKRKGDDLFYEHTISLTEALCGFQLVLTHLDNRQLLIKSNPGEVVKPDSFKAISDEGMPMYQRPFMKGKLYIHFTVEFPDSLAPDQCKALEAVLPPKPASKLTDMELDECEETTMHDVNMEEEMRRKAHAAAQEAYDEDDEMPGGGAQRVQCAQQ >SECCE1Rv1G0042710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:583586078:583586553:1 gene:SECCE1Rv1G0042710 transcript:SECCE1Rv1G0042710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGNRGARAAAPALLIVAALLVALAPWGASAALSCSTVYSALVPCLGYVQSGTAVPQACCWGIKMIVSRARTTPDRRAACTCLKTVAAAAGGAGGPYLSRAAGLPGRCRVQPPFKIDPNVNCNAV >SECCE7Rv1G0504750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:726718513:726720621:-1 gene:SECCE7Rv1G0504750 transcript:SECCE7Rv1G0504750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTETEPAAPPCPLLGPPVIRGARPSPGPAGPHSHVLDAAVDAPSAKEIKSELEPRRALTENCSATYANSGNPCLDFFFQVVPDTPPERVRGLLAAAWAHDALTALKLACNLRGVRGTGKSDKEGFYAAALWLHQNHPRTLACNVTALAEFGYLKDLPELLFRLIHGPDVRKLARESAAAEKAGRKEKDLGKPSLADRKQARVLAPVPPKAMFGAYLSAALSSSGKGKPVEVEAAPVPVQEPAEQEPKVDQKKKTAATKRRPSKKVRKVAKLAVQSLETYYGDRAYRFLFDVVADFFAALLASDLEQLAVGDKKRKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSDPEYAQLSDEHYTYQTLHRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKLLFKKHDEERFDKYLEDVEAGKAKISAGALLPHEIAAAAYRGEDDEVSELQWRRMVDDLRSKGSLCNCISVCDVSGSMTGTPMEVCIALGVLTSELSEEPWAGKVITFSERPELQLINGKTLREKMRFVQRMEWDMNTNFQAVFDQILHTAVEARLAPEKMIRTVFVYSDMEFDQASGYEDEDDNDDYSESEDDDEEDEEEKEAWDTDYEVICQKFRDAGYGDVVPQIIFWNLRDSESTPVTSTQPGVAMVSGFSKNFLKIFLKKDGLVNPEAIMMEAISGDEYQKLAVFD >SECCE4Rv1G0284550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:840210595:840212022:-1 gene:SECCE4Rv1G0284550 transcript:SECCE4Rv1G0284550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSPSTMVRVVSSSTVKPPPRPRERIPLNCWDASMLSAYYIQKGLLFHKPTSSAVNVADHLAAALADALAHYYPIAGRFATEQHKDDAGNVVGCSVHVDCAGQGVEVVHAVADGVTMADVVPPDADVPRGVLAQFFQLTDAVNYGGQEQPLFAVQVTDLADGVFVGFAYNHALSDGTAFWDFVNYWAALGRARLGLAPAPPAAKPSFERWSPDGGTAVPALLPCADVSDLIDRSPPLQLRERMLHFSAESLAALKERAREELLAAGDTAGAAALTKFQALSSLLWRCITRARRLSPEQETTCRAAINNRGRLRPQLPQEYFGNTIYAIGTDSTRAADLLARGHGWAAAAVGRAVAAHTDAAIRARVAAWTAKPMVYTHRFFDPTGTMMGSSPRFDMYGCDFGWGSPVAARSGMANKFDGKTSLYPGREGGGSVDAELTLSPDNMAALEEDEEFWAAVTPDAPVPTPAPEKKAA >SECCE6Rv1G0450840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:869982354:869983290:-1 gene:SECCE6Rv1G0450840 transcript:SECCE6Rv1G0450840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAFAGAGVYDVADKQVPTLAAQVNAFTTLVNAGVISRQQLHRSVALVAISGNDYMKGADVNNAFLSSFDDIDTYIGNVTTEIAKNVVSLQRLGVRKVLVNNLHPIGCWPLRTISNNYTACDLLANYAATVHNNNIEHLMGNKNNAHILDLYTAFTDIVNHAPGEGSEQSNNFKRKLTPCCEASTKLGYCGEVSPSGERLYSVCKNPDRRFYWDMTHPTSAGWEAVTEALEEPLREFLDRDYVP >SECCE6Rv1G0435700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772646365:772646937:1 gene:SECCE6Rv1G0435700 transcript:SECCE6Rv1G0435700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGISSSMLGCALLLLVLSSATDVHGGPSSTAASLSPLDDLCRSLGSWYVTPSLCVSTLCVDPSCRSARGLPDLAVLATRLAVANGTVAKASIESALSHAKDAKARKVMRSCLQLYVSGVVPPLQGAARSVAAGQYSGVSEKLKAAMDASSGCTRLAGHEVTLPKEDQDCYMMAYVVQAVVEWVQHVIG >SECCE1Rv1G0056700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:688952528:688952782:1 gene:SECCE1Rv1G0056700 transcript:SECCE1Rv1G0056700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACVIRGIVLVACVLLLLSSASAGRQRVHGREATAAPAAMTMAAGGLFVRKVLREEMVKADGQGDIGQSKRTSPGGPDPQHH >SECCE3Rv1G0158180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85885554:85888344:-1 gene:SECCE3Rv1G0158180 transcript:SECCE3Rv1G0158180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP domain-containing protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31890) UniProtKB/Swiss-Prot;Acc:Q8VZE7] MEAAAALLAPLPRSTAGGSTTFPVLSSTYPYHHYPQPHHHPIFSGLLGLTLRGCGPRLPAPPCRAAVKEDDATPQWQLDFLGPDPQPRSGDDGDDDPLPAASTDWCVRARRSALRSIEARGLTPALQRMVTPPKKKKKKKQKKRLLGRKPHKHDADEGPPPPGEDEFDSDEEATLDDLDLRVAQLGDGLFDEKRQRNRERFIQTLSSFAAAPSNRTKEVALNRDIVQAQTAEEVLALTADVVAAVAKGLSPSPLTALNIATALHRIARRMEAVSMIQTHRLAFARHRDMSMLVGLAMMSLPECSPQGVSNICWALSKIGGDLLYLPEMDRIAGVAISKADDFNAQNVANVAGAFATMRQSAPGLFSALAQRAAELVHTFKEQELAQFLWGCASLNECPHPLLDALDAAFQDAACFRCNVYDPSPSDMGHNAAKEAWGEEYGNDAHALNFSRDQLGNIAWSYAVLGQLDRQFFSHIWNTLSQHEEQRVSDQYREDIMFASQVYLANQSLKLEYPHLNMGLRDDLEEKITKAVKSKRFNQKTTSLFQKDVGRLLHSTGHEWVREYMVDGYTVDAVLVDEKLAFEIDGTTHFSRNLGTPLGHTAFKRRYITAAGWKLVSLSHQEWEELQGETEQMEYLRSILGIDAE >SECCE7Rv1G0522070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:875971340:875979245:1 gene:SECCE7Rv1G0522070 transcript:SECCE7Rv1G0522070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVTVLLLLSPLLAFMHARSGKPRRELPPGPSALPVVGHLHLFKKPLHRTLARLAARHGAVFRLRFGSRRVAVVSSAEAAEECLGVHDVTFANRPRLPSGRILSYDWSTMGTANYGPYWRHVRRIAVTEIMAAHRVDHFADVHVREVRAMAWGLLHAHAARGGSGARPRVELKSRMFELLMNTMMGMICEKSYYRGDGAVSEEARWFREMVDETMALSSASTVWDFLPAALRWLDVGGVGRRLWRLRESRTRFLQGLIDELRKKMEKGEGRRTMIGVLLSVQSNDPAACPDQLIRSLCISSLEAETDTSADTIEWAMSLLLNKPEVMRRARDEIDACVGEPARLLEAADLPNLPYLRCIIMETLRLYRPAPLLVPHESSADCTVGGFHIAQGTMLLVNTFAIHRDPQLWHEPTNFVPERFEDGKNEGKMVIPFGMGRRRCPAEHLGMQMVGLALGTMIQCFDWERVEQDLIDMTEGSGLTMPKHLPLEAFYHPRLSMVHLLSGI >SECCE6Rv1G0396770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:375984910:375985260:-1 gene:SECCE6Rv1G0396770 transcript:SECCE6Rv1G0396770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPFQNWLGMGATILARIQPGSIDHVPFPTVVSCLGAPFFGFTIKPDAAPFRIIIYRPFFPPVPSHMKIIVCMFDFGCRCNRIGGSILWQDQSPGQTKTLLQEELCYAPPPSISL >SECCE7Rv1G0523810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883800187:883800738:-1 gene:SECCE7Rv1G0523810 transcript:SECCE7Rv1G0523810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFICPRCRAGVDRRVSHTTRNQNRPFYVCSENGVTCFFVWVDALAKTLMNELQEEHEEWLHMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLQKKVRKLQDQAQIAIHICNYFWAVVGMVLALVIMLKMYGKA >SECCE1Rv1G0020980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:262709152:262709667:-1 gene:SECCE1Rv1G0020980 transcript:SECCE1Rv1G0020980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRSGGGMDATVDELSAVYKEFVAAAVAVMEAREQSGGQKTAATDAALEAFKQRWELFRVSCDHAEELVESIRQRIGSECLVDEATGSSSSSSAPASVALAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGGPSATGPGGGVSTPAAGAGGQHAHSGVESRFPEDGTQ >SECCE6Rv1G0410450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:598203358:598207225:1 gene:SECCE6Rv1G0410450 transcript:SECCE6Rv1G0410450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAEKAVRCLGLGFDMTCDLRLKFCKHSGGCVVARSSGEAAPAAVPGVGVVRDMPADVKCGKGDRVRFKSDALEFNKMSEVFNQRSAVEGKIPSGQFNACFDLDSGSWAQDASSTKCLAMDGYFISLLDLRLDRRPLALAAHVLRDVPAAWDPAAIASFIEKYGTHVVVGLSMGGQDVVYVRQDASSPLSPSEIRGHLDRLGDQLFTGACAVPPPHSKPRSKLAKTPEAFNVFDAQVAQQRLQGITTLVSSKEGVTVIYSKRGGNTTVSSHAEWLPTVAAAPDVINAKLVPITSLLRGVAGTGFLSHAINLYLRYKPPLADLRYFLDFQHHRMWAPVLGELPLGPCSNRRGSGPALHFSLLGSKLYVSYSQVVVSNLPVTGMRLHLEGKKNNRLGIHLQHLSATPTFIAAVQAQADMAPAWRGSEAVADDHRYYEPVQWRMFAHVCTAPVKYDPRWHHHSDDDGRRAAYVVAGAQLHVRAHDSTTVLHLRLLYSELPGYAVVQSRWGRGTARTASGKSSSSSASSFLSMPFSGSSSSSSSGGGQKRGVPLVVANINSGVFAGGPPVPVGAQKLLKFVDTSQVTMGPHDSPGYWLATGARLDVDKGKISLHVKFSLLAPSPY >SECCE4Rv1G0230200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:160322517:160326852:-1 gene:SECCE4Rv1G0230200 transcript:SECCE4Rv1G0230200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYHYRLPYPLPLTAASPAFASRCRFPMASTAPLGVTVSTSESVGQNDLLIVGPGVLGRIVAEKWRQEHPDCKIYGQTATTDHHSELTKIGIIPSLKGPRVDQKVPYVIFCAPPYRTDDYPGDLRVAASNWSGEGSFLFTSSTAVYDCNDNGFCGEDAASLGIAIMKRRLRGRVFVGCDNQPLSRQEIMDRVNMSGKFDGKFEGFTGTDGPLGKRMDNSKTRAEIGWEPKYPSFTEFLDILPAATSL >SECCE4Rv1G0284620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:840420315:840420530:1 gene:SECCE4Rv1G0284620 transcript:SECCE4Rv1G0284620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPTMVGHTSAIHNGKEHIPIYIKNPMVGRKLGELVPTLHFTSYENARKETKSRR >SECCE7Rv1G0498950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:648795048:648798778:1 gene:SECCE7Rv1G0498950 transcript:SECCE7Rv1G0498950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRARFLVVVLLVAALALRPAAALSADGKALLSLLPTAPSPVLPSWDPSAATPCSWQGVTCSPQSRVVSLSLPNTFLNLSTLPPPLASLSSLQLLNLSTCNISGTIPPSYGSLAALRVLDLSSNALYGAIPGELGALSVLQYLFLNSNRFTGAIPRSLANLSALEVLCIQDNQFNGTIPASLGALTALQQLRVGGNPGLSGPIPPSLGALSNLTVFGGAATGLSGPIPEELGNLVNLQTLALYDTGLSGPVPAALGGCVELRNLYLHMNKLSGPIPPELGRLQKITSLLLWGNALSGKIPPELSNCSALVVLDLSGNRLSGQVPGALGRLGALEQLHLSDNQLTGRIPAVLSNCSSLTALQLDKNGLSGEIPAQLGELKALQVLFLWGNALSGSIPPSLGDCTELYALDLSKNRLTGGIPDEVFGLQKLSKLLLLGNALSGPLPPSVADCVSLVRLRLGENLLAGEIPREIGKLQNLVFLDLYSNRFTGHLPAELANITVLELLDVHNNSFTGPIPPQFGALMNLEQLDLSMNSLTGEMPASFGNFSYLNKLILSRNMLTGPLPRSIQNLQKLTMLDLSNNSFSGPIPPEIGALSSLSISLDLSGNRFGGELPEEMSGLTQLQSLDLSSNGLYGSISVLGALTSLTSLNISYNNFSGAIPVTPFFKTLSSNSHTGNPGLCESYDGHICASDMVRRTTLKTVRTVILVCAILGSITLLLVVVWILFNRSRRLEGEKATSLSAAGGNDFSYPWTFTPFQKLNFCVDNILECLKDENVIGKGCSGVVYRAEMPNGDIIAVKKLWKTAKEEPNDAFAAEIQILGHIRHRNIVKLLGYCSNKSVKLLLYNYVPNGNLQELLSENRSLDWDTRYKIAVGAAQGLSYLHHDCVPAILHRDVKCNNILLDSKYEAYLADFGLAKLMNSPNYHHAMSRIAGSYGYIAPEYGYTANITEKSDVYSYGVVLLEILSGRSAIEPMVSDSLHIVEWAKKKMGSYEPAVNILDAKLRGMPDQLVQEMLQTLGIAIFCVNPAPAERPTMKEVVAFLKEVKSPPEEWAKTSQQPLIKPGSQEG >SECCEUnv1G0554870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:292252613:292253611:-1 gene:SECCEUnv1G0554870 transcript:SECCEUnv1G0554870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGESIFTGGKLLGDDATPIIDAGAASGYHLLVVEGHSRCRGSICSRSFIIGGERWCIYYYPHDDGGLVTLQLCLLEGSVDPVKAHFEFTFVEEKDKQEPARSRVHGEIFEFWGRYDHHRKVMKREVSEKCARDDRCIIRCDIVVVGKPPSMQENFADLLLAGLGTDIVFGVGSETFAAHRCILAARSAVFRALLFSPMKEGTASTTSIVQIDDMDAAVFKAMLGFIYGDSLPDENEVLMQHLLVAADRYDIPLLMARCEEMLCKHIGVSTAATILVLADQHGCDKLKTACYGFLACPANLKAVVQTEGFEHLQRSCPHLMKDIILGMLP >SECCE6Rv1G0405760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:535518617:535521700:1 gene:SECCE6Rv1G0405760 transcript:SECCE6Rv1G0405760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPYASLSPAADRRSSPAATASLLPFCRSSPYSAGGGAGEEARTDGRWMARPVPFTAAQYEELEHQALIYKYLVAGVPVPPDLVLPIRRGIESLAARFYHNPLAIGYGSYLGKKVDPEPGRCRRTDGKKWRCAKEAASDSKYCERHMHRGRNRSRKPVETQLAPHSQSAAASAVPPLAGGGFQNHSLYPAIGGNNGGGGGGNNTFSSALGSSQLHMGNNASPYAALGGGGGACKDFRYSAYGIRSLADEHSQLITEAVNTSMENPWRLPPSSQTTAFPLSGYPPQLGATRDLDQNNSNNAKAERRQQQQPLSFPGCGDFGGGGGGGDMDSVKQENQTLRPFFDEWPKARDSWSDLTDDNSNLASFSATQLSISIPMTSSDFSAASSQSPNGMLFAGEMY >SECCE3Rv1G0204090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:889525695:889533132:1 gene:SECCE3Rv1G0204090 transcript:SECCE3Rv1G0204090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQKLFVEIVSANGLSDSLELLSPCVQLRFAGQSFTTTVKRKVRCPVWSEKSMFDVLDKERLPSLALEAYVYNVIDGCQFYLGKVRISGASFSDSSDEIVKDYQLKGRISRRSKGVLLLRVFLKNEAPVSQVIPSPVLGLPVQAPADAVVKYYIQPNFQDGMIVGRICYLFVCVVKARCLPNVDVDQKPDPYVEVNAGNLRSITNSVPEEQNPEWNSTFAFSRRQLDNAQITRIYVVVYDGFTDDSVGLISCDLSDIPEHYRNDKPVVPKWHQLIDQSGRTAQGELMLSVWKGMQSDEAFCDSWKSDWLEASGAVRTHIVPKVYNLPRLWCLRVHIIEFKCIALACGSKIVEAYVTVVVGGQRKTTKRMKKTLAHYVWDEELTFIAAEPFEDNLQISIQAHLGPGRDKVVGRIIIPVQTVQRRVGGLLSTELEHQWHDLEVPPSAAVANGGGDELTVSSCRIHLTTCLDSEYSAHHGSGDHKANPPLVGSLEVGIIGARGLPPIKRKNGRLSSHPYCVARYGRKWVRTRTIINNRDPSFQEQYSWDVYDTATVLIVGVFDNAQVEESSSGGYKGVNIGKIKIRLSDLQPGRIYCHAYPLLVLQPSGVKKMGELCLSVRFTSKSLTNMVRMYGSPHLAKMHYHDPLQIPIEDLQFHAVQIVAFRLSQMDPPLRKEAVEYMGDVQSRLWSMRKSKVNFYRIMSALSIFIAFWRRFLYVCSLENPAVTLLVHAVFLLALMFHQFILPSMLLYTFFSTVWNYQHRPTHPSYLDIKISLTDTVHPDELDEEFDTFPTSRSSSLTMMRYDRLRCVASRIQTVMGDVASCGERIAALTTWRDPTATAAFGLFTLAAAVMLCFTPWKILVAMVGLYTMRHPKLRRKTPPFVWNFYRRLPHKVDSLL >SECCE4Rv1G0234640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:293643935:293648779:-1 gene:SECCE4Rv1G0234640 transcript:SECCE4Rv1G0234640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAADGPGDDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDAPSVADFDAKLKANGADLPDYFVRTLLTIIHAILPPPSHNPSSAASQSNSKYRALSRPDDADRARELRLELERDANAAAATTPAPSRDCSRDNRSRDRDHDRTRDQRGRDRDHDRSRDRDRGRGDRSQHRDRAHDDRGQDRSHEYDHGWDRDRDCVRDRDWDGDQDGNGRRDQDRLQQRDRDTGKAHGRSRRYVDEEEGQQERAGGRKTENTMTNSSGEPELYQVYRGRVTRVMDTGCFVKLEGVRGGREGLVHVSQMASRRVANAKEVVKRDQEVFVKVVSVKGDKLSLSLRDVDQDTGKDLLPMQRGVEDAPRTNPSVGSAAAAGSGRRLGLSGIVITEEDEVAPISRRPLKRMSSPERWEAKQLIASGVLDVRDYPQFDEDGDGMLYQEEGAEEELEIELNEDEPEFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQDQRQTLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILVDENLSQYSVVMLDEAHERTIHTDVLFGLLKQLVKRRPDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILVFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFEPAPPGKRKVVVATNIAEASLTIDGIYYVIDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVAAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVVDPKWLVELAPRFYKGADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >SECCE2Rv1G0122580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:817365492:817372546:1 gene:SECCE2Rv1G0122580 transcript:SECCE2Rv1G0122580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSLLRDLLSVDGFKKNRKQPGNPSAAPRTSSMPLQHRRPTKPARSQSDVQQARGRLDLDTNGEGAGEEQTARRKSSASLRSATSYKIKDGGSGPGAIPALDESALSALISLAAGTVKQFAKDEAFRASLRSGCTSCVGESDHRAVLDLRVTVQTVERAAAVGEALLDPRELKRASLRLHSLASLDADEALAVTASGVPHERLAACAHLYMSVVSKLQKKDHSSAVHALEAFCLAPREARTVLLPALWDRLFRPGLSHLKAWRDRESAAARSKPDARVKDVVEKLFVDALDDGTRALACYYRDWLLGRTEAMALPSVPAPPSTAPATAPSTAPASSTRFSTSTTYDIGSDVAYSSGTPSPATFVIEETPRQPEGVQEEGKAADADSSGSVFHECDDGEVRSCSPTPRGESSEPMPPNMLANEAFEPRIEDERGKGAEESTSYLPARDMSAIDLLTLEFCEGPLQISDADGSQVQASIFSTTPSDFLCPLTRQIFNRPVTIETGQTFERHAIVHWFDRGLRTCPVTGQELEALSVPDTNRVLKRLIDAWTAEHCRNLRVTDGGAPEEKLNVAVVDRVLDSGCSMSEQTERARHLMAIGGVDFHLHRLREGKEEEQRARAADHLLLCVRAEGGCRSYVAVRVHGESVVRLLQSEVVSARSAAVRLLVELLRLRRMEMVEMFIRGLCTGSATGTMDVLLQHLRSSPAEERVLVAVLLLYFDRTLDEPGIRDSSVYREEAARTLTDSLRRSLIDENVVPNTRKALLLLGGHFSFSGDLLAEDRMLEEAGFADDTPAAAPVTSDATVQETEAAENEAWREHVTAALLGSGRRPFLAALSGCLGSPDAGLVAACLTTAGWLSHSLAATPLQDTRTDMQLAAFSALIPRLKRCLAGGAAHLQPRHRVLAAVTLHNFSKIPDCRVLLMLLADGLRGHLADLAELTRTAGQLYAELHE >SECCE5Rv1G0354600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:725004770:725005570:-1 gene:SECCE5Rv1G0354600 transcript:SECCE5Rv1G0354600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAMEALHALIPEQQQRDVEAAAALSSATSGEESGHVLQGWAKRKRSRRQRSEEENLALCLLMLSRGGGGGGKQRVQAPQPESFPAPVPAEFKCSVCGKSFSSYQALGGHKTSHRVKQPSPPADAAAAPLVALPAVAAVLASAEPATSSTAASSDGATNRVHRCSICQKEFPTGQALGGHKRKHYDGGVGGVAASSTELLAAVAAESEVGSTGNGSSAARAFDLNIPAVPEFVWRPCAKGKMMWEDDEEVQSPLAFKKPRLLTA >SECCE1Rv1G0031470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:442831761:442832183:-1 gene:SECCE1Rv1G0031470 transcript:SECCE1Rv1G0031470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFYKPAPAFSPCRSPLAPRGDAAVGAAGGAGVAGAADQQSGAWEEQCCRTPTGAGSELKQAAATCPPAPRKPRAPAAPCRKRLFEVEVFSLRLEELERLFWRPHAPPPPPEKKAKLKRRRVAPPSASPSPGPSKS >SECCE3Rv1G0169910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:244241607:244242884:1 gene:SECCE3Rv1G0169910 transcript:SECCE3Rv1G0169910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSWVILSRMATAPAAGDDGLPQGAALSLALAAPPRVTTVKLRPTACPVEPDPACRHKSPCVLAADPSGLLLLLTPPPLSERDDGELRTSRDASGVERTIRIGRVPRPRYVVCDVSSATATASPVPDPHELIFNNDLGVIAAPGGGSRFMVVEFQTIVGGREATLLCFSSESGKWARKKVANPLPRWMWTFSDIVSHGGKLWWVDCVAGLLACDPFADEPAMEYVQLPAGDVQHGHGCGYCAERELGSRRIVQVSNGVFRCVEMSCASHGAPKVSMRTLADPSTAEWTFEYEASFSEIWAGDSYKAAGLPKKAPVLALVHPNNPDVVYFFLEQRLFGVDMRAREVVECEPLDPAKSHKKGSYPSGLLFPFELPPVFSAEHDHVECAYYA >SECCE3Rv1G0209720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:940132581:940133531:1 gene:SECCE3Rv1G0209720 transcript:SECCE3Rv1G0209720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVTKIGPWGGHGGKEFDIPESVPQHLESVAIRSGVVIDSIAFSYVNQAGKKQTLGPWGGDGELNDTITFAPLEIVKEVSGTTGTFGGDTVVTSLTFITNVRTYGPFGKPSGTAFSVPLTDTSVVGFFVRAGRLVNAIGVYVRPSVQNY >SECCE4Rv1G0251280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:601014562:601016199:-1 gene:SECCE4Rv1G0251280 transcript:SECCE4Rv1G0251280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTSGANGGGQQGSGKLPRKRFYRARAHSNPLSDSHFPIPISPDDVDLSQHYPRYFPADKGEHSDGDAEAPRIRFADVGCGFGGLLVGLSPLFPDKLMIGMELRDKVTEYVKERVLALRASNPGQYDNISVVRTNSMKYIPNYFGKAQLSKMFFLFPDPHFKEKNHRRRVISMHLLDEYAYVMEVGGIIYTITDVEELGIWMRTCLEKHPLFEAVPEEEIKVDPVVKLLSSATEEGQKVARNGGQTFQAIFRRISLQEE >SECCE4Rv1G0269640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749721808:749722833:-1 gene:SECCE4Rv1G0269640 transcript:SECCE4Rv1G0269640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLRATVALVILVLLFMPGAMAATAASFDASRTQQLPLPPGEVHGPESVAFDAQGRGPYSGVSDGRILRWDGPKLGWSTYAYGPGYNSETCTTSRYGTEADVESRCGRPLGLRFIHKTGDLYVADAYKGLMRVPPGGGEATVLVDQIDGMPLRFTNGVDVDQVTGKVYFTHSSMNYDRSDHELVTKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIEGVDAGKSEPFSDLPGYPDNVRPDRKGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGSKKVRPTEIMERDNGKIYLGSVELPYVGVVKRK >SECCE5Rv1G0368030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826178970:826181157:-1 gene:SECCE5Rv1G0368030 transcript:SECCE5Rv1G0368030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGCLHPTQLVASVILLLLDTVTLTRPPPAMSSRAALLRRLLTRRHRSISDSIGSVQRQLIRHHYSNPHQRYSNPIPDLAGARAFTSLSTPWPCRPRASLSASSFFSSVVRRGGEEAEEVLDMAAGTVRCAANYAPLTPLSFIERAAAVYGDRAAVVYGEARRRTWREVRERCVRVAAALATRFGVARGDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAPMLSALLNHSGAKVFLVESGLLDVGRAALKRLTDSESHAASIPILVIISDDAGPGEDSGCAADYDYEGLIKSAPSEFDIRWPVNELDPITLNYTSGTTSRPKGVVYNHRGAYLNTVGTVLAYDITPMPTYLWTVPMFHCNGWHLPWGVAMQGGTNVCLRHFTAGVIFDSIARHGVTHMGGAPTVLNMLVNAPARDRKPLPGTVRVMTGGSPPPPRVLAGMEELGFAVYHIYGLTETYGPATVCAWMPEWDALPAEERARLKARQGFHHVAMEEVDVKDPATMESVPRDGEAVGEVMFRGNTVMSGYYRDVAATREAMAGGWLHTGDLAVRHPDGYVQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDHWGETPCAFVKLKDGAGATEAEIIGFCRERLPHYMAPKTVVLEEELPKTSTGKTQKFLLREKARAMGSLTKTGNGSKL >SECCE1Rv1G0033160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:463395094:463404988:1 gene:SECCE1Rv1G0033160 transcript:SECCE1Rv1G0033160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDTDFQSRNFQLAGEDSSKSPSSLRPFALPKLDTDDQLQGHLRFGNLTDSEGFFSVGGHDNSWIEVLSTGSSVVDFSSSAAESCSISRSNYVWSEATSTECVEMLLKSVGENEMTGNMDDNVHQQLRGMDTQIGPSNMQPKCGDSPSDSIVVPTENDQSQGTRSRMPEDPLTSQPQFKDIAPFSMIEKTEHAVSSTSSGRKSNYMLDSISEKCIVSEKLSSASQNTPEGCPAVDNYFKVVHDGDSLDNLNIHSARVDSGKLSNEAFSELARIQNIYSTDSYHFEQGNHMHASKLEGGMHGLQKLTESSDGLLEAITNPVKMLQVNDDTCKSVSDSLQPSLSQVEHGAEGHKISVDGHKKLVIVEEPSSAKSCQNQSELNNSNPHPITPLSTESSELIQSPDGKQPAHVTGAPEEMESDGVEDTVVDVSKHGVPEQHQESVDNLKNVVMDDKNINNDVDSKLGVLEQHQDSVDNLQSVLSEEQSGREEISAVSGDFECLVEAGHDGNDGGLTGTSKDEFESSGHVAPDNSSAGLFDENGPNVSSVNHEGPVKEDDTPILEDEPVTTSGPQEKEIAPVNNLSSDIISTTVADTSNKSVDKLDCSGGVPSDGSPAGVLEGNDSTISSINHMDSVSESSAKKSAMSVNSDINSICSSGTDPVAKNPQCEGLATSLGSLTTNQSQDKSGDHLDAHTENHHVDRPSLQSKDQEISHPQKCQIGGSSVQSGHQGNLATASSLDVSSGKGAQTVIEIPLNANDDLNVHIKDNEGSCNDATCGSPTVISCTEPCLQEGGQEGIAVLHSNRTEQSEDKKDPTASAGASPSSEDCSARNKEHTLTSEETNTTGDDRSFSFEVGDPPKVSEKVHRPAWSPFPRSKAVLSTEVNSEIPKPGTPGNVLKHTSEASKKTSVLQSGKEQQSGNKVVESVGVLSSSSHIGHSTETKSAPLEQPQHHPTPESSALGHQPFTDLQHVQLRAQIFVYGALIQGIPPAEAYMVSAFGEPVGGGKPAWEAVWRVAVKIFQNQKSPVAGLETPTSSRIGSNVAEKASKSTAGKTSPASKKGGKTVLPAHTAVPLHSPTFNMSPLSSSTLNLQRGSQLDFSQAVSPVFAYNSQTRQPTSVASWFPQSPGPRAAPWLAPPQNLIFDSSMQPTVPSSESAKGSSKTLSISQAMTPGLFLPSQASSTVASPLAVVQEEKQKTPASKRNRAGAASPKPRKRKKASASQEQQPDIASSQLKTDIASSQLKTDIASLIPATEQTPGFTLSTRSPSNVLGGRLVPNASLITSVPNYLGGKGAEQRIIFSEQISGAVDQSMDQAKGASMYSEEALRHSEGVWNHLSTNSRSKLPAEVEQKLTSAAAAASAAVSVAKAAAEAAKMASAAALQAKMMAEEALGSTKSANSLQKWDTGEVDVNNMASVSSLTPKSSWKIKDSTNAPGSTISVAREVARKRVEEASAAAKRAENLDAILKAAELAAEAVFKAGTIIGMGEPLPFTLSELLEAGPDGYWKSDRVKNTKAGNTIENAVTEELDIPTNKSGKKHDSKAKYDQAIQNMEPSSSVKSLQPDKTPSGNGIEDNPTAGPLNGNTNNTAPSIIWNGIGKGSLVEVFAAEGGSRAAWFSAKVLDINEDSACISYEAHGEGTGLSKEWVSLKQEGEKAPHIRLAHPATISNLKGTRKRRRDTAGNYSWAIGDHVDAWIKNSWREGIISQNGEFGDTKFVVQFSAGDSLVLDAWNLRPTLVWQDDKWTEWSRARERKDKSNKGDSPYEKRQRTAASDPVPTAGEAHPPSKDKKSTNTLVSDPVPTIGEARPPTKDKKSTNTVVGDAVPTVGEARPPTKDKKSTNTVVKPDEPKPLALSDRDVLFNIGKGATEIKTTRRPGLQKEGTKVFGVPKPGKKKKFMDVSKHYVGDQADRISEGSASTRYAKHPVPQVPRPRESTLKLDQRAKRASDMRSRGLKSSKPQTTSTNSVPGEDPLSTPVPSSSALESTFAFAASTTSSSNPVNPAVEKNNSAHATDLRIEDASIPESRLQATPTIPAIKKNPTAANRAKRKFVPSADNNVNRRVLKTPDVSAKTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIASKITGEKVPRTNFRSATSASRGKAHG >SECCE2Rv1G0077800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:101998397:102000188:-1 gene:SECCE2Rv1G0077800 transcript:SECCE2Rv1G0077800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRVPRDPHPRTTKKASASAAAVQLLLRHRGGRAAGKDESIEFFSALSGQTVERAADQRGKAKLRAEIGKHDYDWLLTPPATPLSCSPALSRLTRATSASHAKSNSPLARACRDKGSSSSLNTTELASSGRPRHVRRTLSSASLSSVKATSSASGISTPATSPRTPAAAAATARQPHPQDKTHARQQVSSGSGAAREPKGSSSSSTGPSAPRPRAPTTSASSPKSTTRAASEQPALSRRGANAVARSRFAGLLSHDAMSTAQAVPPSIVKTRSMPATVKQRSQGNGTAAVSTSPATAASRSAPRGGLLASKRLSLSGGDGWKTHDDEKAAPRRTVGFGSSAASTRRCLTKSDSRKLTANEGAQQRSVRSAASGSRLGPAATVSDARGRRRSTAGKDKVAAAAAAPDAFPSTRYDAMLLREDPKNLTWLNGCGEDDENGEGGSCGAGLVDGSLEPFHV >SECCE4Rv1G0240610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448081347:448081592:-1 gene:SECCE4Rv1G0240610 transcript:SECCE4Rv1G0240610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSVKINDTCIGCTQCVRACPTDVLEMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVHLGPETTRSMALSY >SECCE3Rv1G0147610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15384974:15385537:1 gene:SECCE3Rv1G0147610 transcript:SECCE3Rv1G0147610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPCSNQTYGCNEFVGYQQKEKHESSCPHAPCYCPEDDCAFKAPACCLLDHFVTAHGWSPTNLGYNKPLKISLARDCRFTLLVGEDMSLFLLTNTLTSIGSALAVVCVRPHESEPSYSCNISAAAHGVAGGKTEGRLVFQKDPHVSSSSLAGGVQFGKFFLLVPPEFAESSSGQLTVHIRIDRVAP >SECCE4Rv1G0256020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:653555495:653556472:-1 gene:SECCE4Rv1G0256020 transcript:SECCE4Rv1G0256020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPASTVPGMAADAATAGPPSQLAISNGVLLAAVIFLFMVVVFVFLLYLYAKRFLGANPMLNGAGTASSRFIFVGDSPFPRRGLPASVLRTLPVAVYGSGASPKTPGGGRRSASTRDALECAVCLSEVADGEKVRTLPKCGHRFHVDCIDMWFHSHDTCPLCRAPVGADEPAAEGLPRVPREDHATVDFPMFPTNVLFWGTHDDVANGGLGGMPPAPPAPTPPIAAASASSSASGRRKENLVIDIPTRGMAVGASSSPATPLPASDLRSPMSARLRSLRRLLSRGKQAVVGASSSSPRGGGDIEQGLAGRPPKTPKTPPSSN >SECCE4Rv1G0267260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735351578:735352066:-1 gene:SECCE4Rv1G0267260 transcript:SECCE4Rv1G0267260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQRLAAAKRCSKEAAMAGAKATAVATIDAAVPTLAGARMLSWAKAHLNPTGLALIISIVAGIAYFIVADKINVSMARKHSFDDAPDHLKNTSFH >SECCE5Rv1G0350270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:689423649:689427057:1 gene:SECCE5Rv1G0350270 transcript:SECCE5Rv1G0350270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGHRANAVATFALTILAAMCFAASFSDSFNSPTPTASVKILNINWFQKEANANDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETPQNALNQVSLWDGIIPAKEHAKFLIHTTNKYRFIDQGSNLKGRDFNLTMHWHIMPKTGKMFADKIVMTGYQLPEQYR >SECCE7Rv1G0496380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:611874898:611876940:1 gene:SECCE7Rv1G0496380 transcript:SECCE7Rv1G0496380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGDIDMTDLASLDAPASASAVAAPSVRFQPNVKGKPKPKPKPKAKPAPKPKHKPEPEPESKSEEGEPHAAAAAAAAAATLPEGGVDAMETDTGRVVAGEGAGDMDVEDEDFVMREIDVYFTPKPFDKDSKLYIMQYPLRPCWRPYELGEVCKEVRVKPGSLKVEVDLEVDTQSDNYDRELSGSLSLTTQTLSSSEAADVSDYAVGVLRGNMVHLNHLDAVMQLRPSMPHLISGAPRTRQPLQQVETNGGGAGAKAVPPAKGNERSDGSKDPPNEPEPWIPLTYEPAGSDVASKYYAEMVASEGRPIDFTMSTQDYALSLCPGGPTGSKNINRSQVIREMLSLPLEERLKKWFTEVSQVSRFVALMHLAPDCSEEDLLKTLPVYADLVRGLWVCRSSLLYDDGLASKRDQIMLEFTKMESIPVKYVDRLIRDERTRNMILNPLGKRRDKLQDYKFIVAADSSFIKRYSHIVKEQENAWSVRAATMPDPLETCSATEQRKTKNSTRTNVPVKGPDPVMGKAKDGLVQGSENHVRSVLDSVFTANKVRSFPAVVRDLRHLAVKYASDRKDGARFQALSNAAKTCVSLSRKELDASIRLVAVPVHDLYVQKSEEKASVRNCLIKLFRDRVPNGTLKKQEILDCALSILKRKISEKEYHQAVSEICISNEDGHLVLKNGEMP >SECCE2Rv1G0130700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:878084763:878088168:-1 gene:SECCE2Rv1G0130700 transcript:SECCE2Rv1G0130700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTRCLPWGSLSLVIFLLLQPMFHLSSACSVEERAVLMDIRSSLRRAHSMVSPDLWGHDDDECCSWKTVTCNNTTRRVTHLDLSVVYLSADAGDRWYLNLSVFCAFHELQSLDLSLNIPSSLSFQGYVGLPRLRYLDLSMASLGGGSMEFIGACVSLEVLALNGNNMTGDFQDAAFNNLRNLRELSMSMNGLNGNLPASLFALPHLKILDLSENFFDGHIPISSSPGPISLEVLDLSYNDLSGNLPVTAFKNIRNLSLLGSGFLPVSYNNFEGGFPVNFSLEPVSLEVLNLESNNMSGALPTERAFENLRNLRHLYLSYNQFSGSIPTLAFENLQNLRELSLGSNQFSGNIPALLFSLPHIELLNLSSNFFSGPIPINSSLNISLSLKSLQFSQNNLSGRLSFTWLGSLTKLEVIDLSGNANLVVDVNILGGAPPFQLKQLLLSGCDLDKRIIAEPQFLRAQRHLEVLDLSNNNLSGSMPNWLFTKEATLQELHLGNNSLTGSLHPIWHSQSSLHIIDLHMNHITGQMPANISSMFPVLDVLDFSNNNFFGHIPTSLCEIRIMVILDLSKNNFSGEVPACVFTNYPCLMTLKVSNNKLGGLIFGGMNNLSILLELYLDGNKFEGTVPRNLSGGLLKVIDLHDNELSGKLDTSLWNMSSLKVLNLAGNHITGKIHPQICGLRSLELLDISSNILTGPVPNCSCMLLHFLNLSGNSLSSHISYPFFNISSLISLDIRNNQFAGNLHWMRYLDNIRLLSLGGNKFEGQITPNLCELLHLRIIDLSHNKLSGSLPSCIGNFFSKGDTDNQIYHSVYGGSLDSLGSPYDLRGFSFTTKGNLYTYGQSFFVSMSGIDLSANMLHGEIPWELGNMSHIKSLNLSYNSFVGPIPTTFGGMEEIESLDLSHNELSGPIPWQLTRLCSLGVFSVAYNNLSGCIPNSGQLGSFGMESYLANTNLHMITQGNMCTPSLDPIAEEDVGEMFGDPILYMVMAAGFILAFWATVAFSLFQSYGRSVMFKL >SECCE6Rv1G0449360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:861667859:861669408:-1 gene:SECCE6Rv1G0449360 transcript:SECCE6Rv1G0449360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKEGNHQMGRGWEKQSSSWEWVVDVEKMLAGDDPDSRRKEAQRWAAQSIYRVPEWLKGTNSKAYKPQLVSLGPFHHGDPNLVPMEEHKRRALVHLIKRSQKPLQDFISAIDEVAEVLQGAYGRDLNDEWRDDRRSFVNMMLTDGCFLLEMMIDTFLDYEPHDPVFSKRGRTCINCVILPDMLLLENQLPLLLLKKILLVLRPHYLGAFESRHEVEMDGKINLVVLDFLGRTDEGRDMANMSLRHHPLQLYHGSLTYKEAAHEVPQESESKEYKYEMMPSTLEMHEAGIKFRKSERDNLLGIDFKGGLLTMPAIAVDDGAESLYLNLMAFERLHCNVGDLVTAYVFFMDDMIVSSNDVAILSSKGVLENLLGCDKEVAKLFNGTLNRGQLLSPWHALHDVQSKVNAYCRKPRHKWRATLLRTYFRNPWAIISLAAAVILLIATLLQTIFTIMPNRS >SECCE5Rv1G0359210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:760615574:760620073:1 gene:SECCE5Rv1G0359210 transcript:SECCE5Rv1G0359210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGDEEDSDNYGDDDYSDNNDYDYICKYDASHNHGSGDDKSSDDYSCADDDSNDDGDDIDNDDGGGADATDNLCAICDNGGKLLCCEGQCKRSFHPRQKDGRESNCETLSLTSAQLQEIDHYLCKNCEYKQHQCFSCGDLEPSDGPNAKVFQCYKASCGHFYHPSCIAKLLEPDDTDGACELDRRIAAGMSFTCPAHWCSECRTMEDSTQPELWLAACRRCPVSYHKKCFPRSISFERKRGTSVRAWHLGDRIIIYCGSHKLEAELGAPSRDHIKLPSSAEMDTVGTHCMDQIKSTPVPKIYRTRNLAKKKTEVTGRRKMNTDQGSTGTAELSNKVCREEADQIKLTPVPKIYRTRNLAKKTKVTGKRNMNTEQGSTGTAELSNKVCREEADQIQTVDMNNLREDKIPKGRGVLEKETIRLNQEHNDELEKLIVGEQAEEDESNTKSGKERETRRSENTYGEMERTPGNNSNKFGLPNGQFTSGSVGDQEVDGTHACQQELKSPHCNDNNKATGIDTSSDKSGKRQRQEEQATDGKEQATDGNMLDLERNNKKFHMETGRDDHQSPQRLHNQKKTDARESSYSSSKNHPRCNDDQRSSMTSEYKSREGRGSSREEWRNNMRENSYRGGSPSGRRNSQNRSRRHSLEGRRTDYRNSHHRSNNQHRYEQHRHDDYFKSRDDYSKRRDVDTGGRRSSRREDHSSDAARRRSSRREDHSSDGARRRSSHREDHSSDGGRRRSSHREDHSNDGDRRRSSHREDHSSDGGRRRSSHREDHSSGGGRRRSSHREDHSSDGDRRRSSHHVDHSSDSDIGGRRLSPQQSALPSGNFGTSLSPLSHLTTGYGASRRHGSPPYQRSEHAASGSRDPYVNPRGSGPADYEMERRSVPLHHDAPNVVEYSGLGFNGYPTVGIAPANTYSLRGESPGAYGPGIDASMGEEAAFRGGRLGDHGARSDYQRSNSINAEDRAFGAGSITDRYVPRLDRTNHPVRVDGYLPDYPVW >SECCE5Rv1G0341040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:620070284:620073549:-1 gene:SECCE5Rv1G0341040 transcript:SECCE5Rv1G0341040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMIAASSIVKANLPCSSRISSCSDFSSGHSWRPLEAAKHYRAHGVRSLRITCAATKTAKSPAEEEWKIKRQLLLEKRVRSVDVKEALRLQNENNFVILDVRPEAEFKQAHPPGAVNVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIKSVEEKLNKDSKIIVACSAGGTMKPTQNLPDGKQSRSLIAAYLLVLNGYKNVFHLDGGLYTWFKEDLPSEGEDD >SECCE7Rv1G0504900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:727674563:727674896:-1 gene:SECCE7Rv1G0504900 transcript:SECCE7Rv1G0504900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSRRWAYVRIMAGTILGGGLGFYVMHRIETSYKVRMEERLRRYEAHMLAKEKEAQQLQDEGGREDLAQVLPDS >SECCE6Rv1G0388020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:127391677:127392255:1 gene:SECCE6Rv1G0388020 transcript:SECCE6Rv1G0388020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A20/AN1-type zinc finger protein, Regulation of plant growth and abiotic stress response, Modulation of gibberellins (GA) and abscisic acid (ABA) biosynthesi [Source: Projected from Oryza sativa (Os02g0195600)] MLMFTVVCSVCSLQLFKMEHKEAGCQQPEGPILCVNNCGFFGSAATMNMCSKCHKEMVMKEEQAKLAASSFDSIVNGGDGGKAPVVAAVAVSAEVAVAQVDVKALVVQPAADVAGTSEAVAVSPKRKEGPNRCSTCRKRVGLTGFNCRCGNMYCSLHRYSDKHDCQFDYRTAARDAIAKANPVVKAEKLDKI >SECCE5Rv1G0363980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:797918445:797920945:-1 gene:SECCE5Rv1G0363980 transcript:SECCE5Rv1G0363980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAAPARDWSLLPLDALSSIFVRLGAVDILMGAGLVCRSWLEAANLPDVWRSVDMDKHEVVFQKGDDVMREMAKVAVDRSDGQLRVFVGRLFVTDELIKYIMERSPSLTTLRLISCFGVFSKQLISVIEESPLLELRSLELENIDLTMRELTAILESCPILEVLGLRYCFLISSNDERTLREKFTRIKTMTVRWDDECCED >SECCE3Rv1G0164420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:163095911:163096668:1 gene:SECCE3Rv1G0164420 transcript:SECCE3Rv1G0164420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTMAGRYCCSYSSSSAPPPQRQRGPPPQLRPTGGRGRGLRRPSLRAVASAAAEPVKAATDAEFFQASDSRPIMLFDGVCNLCNGGVRFVRERDPGRSIRYIPLQSDSGRKLLRRSGRSPDDISSVVLVEKDRSYIKSEAVLRIMEYLNLPFPQLAAFLKIAPLFVRDFAYDNVANNRYVVFGRSETESCEIL >SECCE1Rv1G0060160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709277432:709278566:-1 gene:SECCE1Rv1G0060160 transcript:SECCE1Rv1G0060160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAPASTRKKRQRRTRDDVISNLPDELLGEIISLLPTKEGARTQILARRWRHLWRSAPLNLDHHRLCNNQGDLDAVVSHILSVHQGSVRRFCAPVYHLHGDRAATVDSWLRSSALDNLQELELCSSDDYQLPPPTLPPPAAAFRFSETLCVAMFGECHIPDSTAQALRFPLLKKLALKRVNISECSLQTMFDGCPALECLMICCSSGFRRVRINSISLRSIYLKSLVRRPTLRFEELVIENAPLLRLLQLGSTVDLRISVIFAPKLETLGCLTSYHRSTTLTFDSSTIIQGLRVDSLTKTVCNVKILCVDTHALSLDSVIDLMRCFPCLEKLYIEVTTLLNGS >SECCE4Rv1G0218280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:21380972:21383394:1 gene:SECCE4Rv1G0218280 transcript:SECCE4Rv1G0218280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCLLPSTPPSCSGRGLASSPRPPRPLAPSASSWPRPQLAAGGGRRRLAGVARARGEKGGKNGGAEFFREDGVVDDMDGYLNYLSLEYDSVWDTKPAWCQPWTILLTGTVAVACSWVLIHSAVITGGVSFVICAWWYIFLYSYPKAYTDMISERRKKVASGAEDTYGMEKM >SECCEUnv1G0535150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:52368131:52370989:-1 gene:SECCEUnv1G0535150 transcript:SECCEUnv1G0535150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPGEASSPTAAAPVAAAAAAVVPEATVSFQSPASVPPPAASHAPAPSPAEMVSLSGLFVPPGMMTAMVAAAGRGALSAGPLVKVGKKRGRPRKYGPDGSLIQPLNATPISASAPMSAAVAAGQYTPAAAVGAAMKRGRGRPLDFAAAAAKPYHHQLQQPPPQQFGFHFSSIGDMVACSAGGNFTPHIITVAPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGARSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYPMEPKQKKARVIAAPTLSQAPPAVQLSSADTHSSEQGQHSSAAPRMNVVTSSAYSADQNWASTVVQSAPAEASRSASSGDLNLTASAS >SECCE5Rv1G0349290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682673080:682674102:-1 gene:SECCE5Rv1G0349290 transcript:SECCE5Rv1G0349290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLGELEKATNNFDQARRLGGGGHGTVYKGILSDLHVVAIKKSNIVVKREIDEFINEVAILSQINHRNIVKLHGCCLETEVPLLTYEFISNGTLNDHLHTEDRESLPWKDRLRITSEIGKAFAYLHSAISVPVIHRDIKPSNILLDDALTAKVSDFGASRYIPVDRTGTTTAVQGTIGYLDPMYYYNGRLTESSDVYSFGVLLVELLTRRKPSLYKSSEGDGLVMQFVTLLVEGNLTEILDPQVVEEGGSKVKEVATLAASCVKLKPEERPTMRQVEMALEALQAPKERVCGDLIGGTNQMKYATMDNQSASQRAKQSEASRCHSLEEEFQLSARHPR >SECCE2Rv1G0103930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:596347131:596349927:-1 gene:SECCE2Rv1G0103930 transcript:SECCE2Rv1G0103930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEIPNADTAEPNPNPSPNPAARSPSPPLPPRKRRLSLSPSRSTSPKRSVSRSRSPRDRRSRSRSRSRSRSRSRSRSRSRSRSPRQPDGKRRRQNDLTVEACRDYLRDRCTRSDLECKYAHPHQSVSVDRDTKVTACADSLRNNCFRGRTCRYYHPPPHIQESLLKSIGLDVPAVKTVCRDFARGRCSRSANECRFLHHSAVQECAIVCQDFLRGRCDRISCRYTHVIAQPMLPPPMRDVPMQMPYPEMVYMPPPPPPMGVPMMAPPLSPPRGFADNKNTVEVCRDYLKKICNRESCRFAHPDSQTEVAQDKVEVCRDFKRGECTRPTCRFYHPSSN >SECCE3Rv1G0157860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:83999480:84000540:-1 gene:SECCE3Rv1G0157860 transcript:SECCE3Rv1G0157860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDDLEHAAVPTAIPCVTLNTGHAMPALGFGTGSSRAPPDLPDTIVHAVRLGYRHLDTAAMYGTEPAVGAAVAEAVRSGAGGVRSREDLFVSSKLWIPDARPGRVVPALRESLARLGLDYLDLFLVHWPVAADNPADKSTLAEFDMEGVWRGMEECHRLGLARSVGVSNFSAAKMERLLALAAVAPAVNQVELNVGWRQEKVREVCGRHGVVVAAYSPLGAYGASWGSDAVMHSGVMHDVAAAKGKTVAQVALRWVYEQQGVCLVARSFNKERLEQNMDMLSLDWELSDGDKAMIATIPQKRACQGDFFVSPHGPYKSLEELWDGEI >SECCE5Rv1G0298820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14085055:14085525:1 gene:SECCE5Rv1G0298820 transcript:SECCE5Rv1G0298820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVVVPPTTEEEPKTPEAGDDERMEEPPSNKRRNYGHYHEEDGPTHFCKVILAPKFECIPMPLDFTKHFVAVPTEFRLRNNTGCSWKVTVKLMNGRVTLDQGWTTYAAVHQIKIGYMVTFKLLTFDTLKVIIFDDDGIEVVNKCGKYDEAFTAKD >SECCE5Rv1G0364140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:798736599:798738359:1 gene:SECCE5Rv1G0364140 transcript:SECCE5Rv1G0364140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDMAQVLAIFSPEVLLSLLLCFFVVHRYYLARRSANNGHDHGRRLPPSPPKLPLIGHLHLVGPDPHISLADLATKHAGRDGLMLLRLGQVPNLVVSSPSAAEAVLRTHDHVFASRPPSIVADVLLSGPSDVALAPYGEYWRQARKLVTTHLLSARKVRALQGGRDEEVGLVVAKVRAAAAARSAVDMTELLGAFTNDVVCRAVSGKFFREEGRNELFRELIAGNVAVIGGFNLEDYFPSLAKVALLRRAVLARTCTLKKRWDELLDKIIDDHATKSPWLVGGVHHQHDEQDQDRDLVDVLLSLQHEYNLTRDNVKVILMDMFAAGTDTSSIVLEFAMAELMRKPHLMAKLQAEVRSKTPKSQETVKEDDLSGMPYLKAVVKETLRLHPPAPLLLPHLSMAECDNVNGYMVPAGTRIIVNVWALCRDTKSWGERAEEFWPERFMDGAKAAADFKGRDFRFLPFGAGRRICPGMGFGLATIEVMLANLVYCFDWKLPDGMREEDVDMAEVFGVTMRRKEKLILVPTIPCHH >SECCE2Rv1G0119560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:791752592:791754469:-1 gene:SECCE2Rv1G0119560 transcript:SECCE2Rv1G0119560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAAKVPVPESVTMKRKRGELWAAEKKQKVSDEKKKDAENTKVIYARAEQYAGEYEAQDKELVQLKREARMKGGFYVSPEAKLLFVVRIRGINAMHPKTKKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNKVIEEGLGKHNIICIEDLVHEILTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINQLVRRMN >SECCE7Rv1G0500230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:664242482:664243180:-1 gene:SECCE7Rv1G0500230 transcript:SECCE7Rv1G0500230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAAIPELWSTVHGWLTPWVLFLVLNLVVFTIVVTSLATAPAQSGEGAATRADGERRSLSMGLDRHRSTNSPRFTAPAPEAPATGVLDLRQSYEQPPPLGMEPENLGEREHAHMERSMSEAAVEAELPQRPARLRKSAFAHFVGEKDTEVVEVRRPATTRDAERRLPLVAKPEEPALEDEIQEVGSEVDARADEFINKFHHQLKQQRIDSFRRSRNTLHRRRAAVGAEAR >SECCE6Rv1G0392540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:264209212:264230614:-1 gene:SECCE6Rv1G0392540 transcript:SECCE6Rv1G0392540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWEALVSVAVVAVLLASSAGKVDAAKAAAKGKYRALFNFGDSLADAGNLLIANGVQDNLTTARLPYGQTYFGKPTGRCSDGRLVIDHLAQEFGLSLLPPSKANHSDFKHGANFAITGATALDTPYFEARGLGAVVWNSGALMAQIQWFRDLKPFFCNSTKEECKEFYANSLFVVGEFGGNDYNAPLFAGKGLTEAYKFMPDVIQGISDGVEELIGEGAADLIVPGVMPTGCFPVYLNMLDMPAHEYGSRSGCIRQHNTFSWVHNAHLKRALEKLRPKYPNVRIIYGDYYTPVVQFILQPEKFGFYKQLPRACCGSPGSVAKAVYNFNVTAKCGEPGATACADPSTHWSWDGIHLTEAAYGHIARGWLYGPFADQPIVQSS >SECCE4Rv1G0214870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:2037313:2040342:-1 gene:SECCE4Rv1G0214870 transcript:SECCE4Rv1G0214870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAAAAAPDSWETADLDGAMSRLLLSNSSSSPASPAARRVSSSPDLADDHQATEQPEQEQDPARGGDPVAQVDQFLREALEKPRERLQVLRMEQDILKFLRDPGQTQFEFQGLPTSYLRLAAHRLAQHYFLISIALPDNSLPDGTSSRIILRKTSADCRLPAVRLADIPVNLPQEETSAVATKVAIKQRPQKNHHGGAGAGANSNRGNLQKSVEERKEEYNRARARIFNSSSGSSSPVDGRPADEAVLPNTLHRSTSLELNSNTRFGELTEATLERSLTSTSSSSRSNNRSRIDKDPPVNRGRQGNRVAIFRDRDSDRKDPDYDRSYDRYMQRFDPGFGFNGGAYTIQPLYAPAVTYNTEFPQLGSPQMSPVPVEQQQPHPMAQHMPGPWSPAQSPNAVGYRPPDGVMPPYSPGQAGAPVRSSVFMHASQQYAMPSRPGVTFVHPQDSMRPFAQTHQHQQQSEASLRLARPR >SECCE7Rv1G0472140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:137480813:137484930:1 gene:SECCE7Rv1G0472140 transcript:SECCE7Rv1G0472140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPPGTTVLAALVHLLVALSAAVAAAAGGEQPLSKIGIHRATLAIHPGASVDVSPILLGLQGQDQEWVTIGFNNPKPSKDDWIGVFSPANFSDSICPSENQWVEAPLLCTAPIKFQYANYTTADYAKTGKGSLRLQIINQRSDISFALFSGGLSNPKLITRSNSITFANPKAPVYPRLAQGKSWDEMTVTWTSGYSTKEATPFVEWGIQGQIQILSPAGTLTFSRDTMCGPPARTVGWRDPGFIHTSFLKDLWPNLKYTYRIGHRLFNGQIVWGRQNSFKAPPYPGEDSLQRVVIFGDLGKAEIDGSNEYNDFERGSINTTYQLVKDLKNIDMVMHIGDICYASGYLSQWDQFTAQVEPIASTVPYMVASGNHERDWPGSGSFYGTLDSGGECGVPAQNMFYVPAENREQFWYSTDYGMFRFCVANTELDWRPGTEQYKFIEHCLSSVDRQKQPWLIFLAHRVLGYSSATFYGAEGTTEEPMGRESLQLLWQKYRVDIAMYGHVHGYERTCPVYENVCVAKGSDRYSGAFTATTHVVVGGGGASLAEYTAERARWSHAQDLDYGFAKLTAFNHTTLLMEYKRSRDGSVRDSFTVSRDYRDVLACGVDNCASTTMAS >SECCE1Rv1G0041550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:572331345:572334441:-1 gene:SECCE1Rv1G0041550 transcript:SECCE1Rv1G0041550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHRSNGAAAANGHGEADAANGMTNGHAEAAAANGHAEAAAANGKSNGHGEAAENGEGNGHEVAAAAPEEAVQWNFAGAKGGVLAATGANKSIRAIRYKISASVQESGPRPVLPLAHGDPSVFPAFRTAVEAEDAVAAALRTGQFNCYPAGVGLPAARSAVAEHLSQGVPYRLSADDIFLTAGGTQAIEVIIPVLAQTAGANILLPRPGYPNYEARAAFNQLEVRHFDLIPEKGWEVDIDSLESIADKNTTAMVIINPNNPCGSVYSYEHLAKVAAVARKLGILVIADEVYGKLVLGDAPFIPMGVFGHTTPVLSIGSLSKSWIVPGWRLGWVAVYDPRKILEETKISTSITNYLNVSTDPATFIQAALPQILENTKEDFFKGIIGLLKESSEICYRQIKENKYITCPHKPEGSMFVMVKINLHLLEEIHDDIDFCCKLAKEESVILCPGSVLGMENWVRITFACVPSSLQDGLERIKSFCQRNKKKNSINAC >SECCE5Rv1G0324900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:458665950:458670308:-1 gene:SECCE5Rv1G0324900 transcript:SECCE5Rv1G0324900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGAPSLLCIKLVVCLCFLGLSQGRGTAKQPFTLRNLPPLEKASSFSAMRHDSYDYIVVGGGTAGCPLAATLSLKYKVLVLERGGSPYGNRNISYMENFHIGLSNTAPDSSSQAFVSTDGVINARARVLGGGTCINAGFYSRASSSFVQDVGWEEDLVNESYPWVEDKVVQWPKIAPWQAALRDGLVEAGVSPFNGYTYDHVSGTKVGGTIFDANGHRHTAADLLAAGDPNNLRVLLHASVHKIVFNSQQGRLRPRAIGVQFADEDGRLHQALLNNNKDSEIIISSGAIGTPQLLLLSGIGPKNDLKNHNIPVVLHNKYVGKGMADNPMNSIFIPTKSPPRQSLIETVGITEAGVFIEASSGFGQSEDSIHCHHGIMSAEIGQLSTVPPKQRSLELAREYAHNKLNLPKEVFQGGFILEKIDGPLSTGHLVLADTDVRNNPAVTFNYFSHPQDLSRCVYGIKTIEKILKTNSFAHLTPDGAGYEMERVLNMSVRANVNLIPKHTNTTESLEQFCKDTVITIWHYHGGCHVGKVVDQQHRVLGVSGLRVVDGSTFSRSPGTNPQATVMMMGRYFGVKILRERLGRAAGV >SECCE5Rv1G0351650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:701631975:701636070:1 gene:SECCE5Rv1G0351650 transcript:SECCE5Rv1G0351650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVKVVPRGKAVPKQGGGDGSGSDGSAGKTRSKRSSKRRDKEEKRRRRHRRRSGSSEEGSDDSVDEEEEKELSRSKRRRKQRRRGRRDFSDEDESSGESDEGRGSSKGKRGGAASDDDDEQDETGGDGMRASEVVRKEMGLEWMLKSANSSQPEGSRAQRAENEDEKFEATHEEVKKPNPKEMNPYLRDNGSGYPDEATAAAAASQLLASSVVGDGGASWRLKALKRAKEQAAREGRKIEEVVEERWGSLGHLAASVSTSRVAPSYAHLQAIRGRKAGQGDSSGKSSKVDRKEDQRGEESGGGRQYLQGVSSRDHAMRKPRPDSVPWKKNRQNISSEDQTLISSALASINKFSNDGSFMDKISNHDSKSTSVSTVEKRYSEQKAHQESSKISSSVSTQKQESSKISSSVSTQKQESSKISSSVSTQKLNANQLAAKVLQLRMKGKHEEADQLSREMETVLENQSASVEEPRHVKENSSTRHTIKPSAADRRKKEEDADLHLANKIMHNKQYNMNKSVEDEYEYGDAPTKKGKRTREAHQENRGTQRHILTQKERCLYCFENPSRPKHLVIAIGNFTYLMLPQFEPLVPGHCVILPLQHESSTRTVDKNVWEEIRNFKKCLLKMFAQQDKDVVFMETVISLVKQQRHCMIECIPVPCEVSNKTPMYFKKAIDEVEEEWSQHEMKKLIPTSGNLRQVIPENFAYFHVEFGLDRGFVHVIDDESKFGAGFGLNVLRGVLRLPGEDMHRRRRHESMDNQKQAVAGFMKDWEPFDWTKQLE >SECCE7Rv1G0504380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722441177:722442151:1 gene:SECCE7Rv1G0504380 transcript:SECCE7Rv1G0504380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWLKLCIALTCALLLSSSCHGLQVGYYKKTCPRVEAIVRDEVKRFVYKNAGIGAGLIRMFFHDCFVQGCDASVLLDPTPANPQPEKLSPPNFPSLRGFEVIDAAKDAVEKACPGVVSCADIVAFAGRDAAYFLSRMTMKINMPAGRLDGRVSNSTEALDNLPPPFFNLDQLIASFAAKGLTAEDMVVLSGAHTIGVSHCSSFVSDRLAVPSDINTGFANVLRRQCPASPSPANDPTVNQDVVTPNALDNQYYKNVLAHKVLFTSDAALLATPATTQMVRDSANIPGQWEAKFNKAMVKMGAIEVKTGYQGEIRRNCRVINH >SECCE2Rv1G0140770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932588911:932590836:-1 gene:SECCE2Rv1G0140770 transcript:SECCE2Rv1G0140770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSQELSIENKYAGFCRVEKQKVAEIQLQLLPYDVLRNILSRLSIKDVVRMSMLSPEWRQQPICHPDLVFTKDTFGISTDPDLDFTKDINGIIRDADTKRASWTAEFIVNVDSILRPLWSTSTTTTTTLDKFSVEFGLRRKHKYHIDRWVDFSTASRAKHIAFDFTFDVNCVGGKCDQYKYVFPVCKLCGPNGSCVTSLDLGYIWLKLPPSFCGIINLRKLTMKKVSISGGDLQCLLLSCAVLESINIERCSSFSSLRIRQELCRLQYMRVRHCKLEIIELHAPNLTEFEFDEGLAQIVLSDCLRLSEATFVSNLRTQEFNDYDFDDLAFTFTELALPHVQKLFILLNFDQVLRFSENQTSFINLRHLNINLELAWDPYDDSWATGFVHLLQLAPLLEELEMHLGRDRFCPPTMRMVTATQGPLHHHLKSVHMSGFCDVLGLAELALYILGNATVLQRMVVDPVAYAHTLRTDDIYSVSKAGSIEGDHYHVHQNRMFARKILGSEEFRHIVTIL >SECCE6Rv1G0411630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:608882050:608883625:-1 gene:SECCE6Rv1G0411630 transcript:SECCE6Rv1G0411630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPANAAASLSFPVINMEKLETGERGAAMEVIRDACENWGFFELLNHGISAELMEEVERVSKAHYAACREEKFKEFAARTLEAGEQGADVQDVDWESTFFVRHLPASNLADLPDLDHHYRQVMKEFASEIEKLAEKVLDLLCENLGLEPGYLKRAFAGSRGPTFGTKVSSYPPCPRPDMVDGLRAHTDAGGVILLFQDDQVSGLELLKDGAWVDVPPMRHAIVVNIGDQLEVITNGRYKSVMHRVLTRPDGNRMSLASFYNPGADAVIFPAPALVEEPSAEEAERAGSAVYPRFVFEDYMNLYMRHKFEAKEPRFQAMKADAAPIATA >SECCE6Rv1G0401280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:464353731:464358440:-1 gene:SECCE6Rv1G0401280 transcript:SECCE6Rv1G0401280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRWDTSGGGSRYSFRTSVSSLADIGGEIVEADRAGGEARRAAADRVFVAVPGEVKHGKSALQWALQNLAKDGAQVVVAHVHCPAQMIPMMGAKMHYTRLDPQQVKDYRKQELEKALESLNEYVVLCTMLKVSCEKIIIEKDDVAKGLEELIALHGITKLVMGAAADKHYSKKMKGPKSKTALRLMEAETSPCKIWFTCKGQLICTREANATVPTIPPSPASTVASTLSASSISSHMRSITIHQSESEAPSSNGSPQQNLNRSRTEVMRHHSRGAGGTPPQLFEPLELNANARPTRTPLSSMDSWDEFGRRSQSSWYNLSRNNDAISVSGSATQHPMHESDDDHFSSPFHEPESPGGDAEMYGRLEQALRETQESKKEVFEESTKRRKAELDLLSALQKAKELEKSYHHETRQRKTIEETLVRQTQELKETKIQCDTIYDQLHDAEEQKAMLEQRITEMESALRDGEEELATSKCLLEALQADKEKLQQERDAVATAAEELRQKSEQRISMAAEASNTEFSAIELEQATRSFDEALKIGEGGFGCVYKGSLRSTTVAIKLLHPKSLQGQSEFNQEVAVLGRVRHPNLVALIGSCRETFGLVYEFLPNGSLEDRLACANDTPALTWQVRTRIIYEMCSALTFLHLNKPHPVVHGDLKPANILLDANLVSKLGDFGVCRLLTQSSTTTATTLYRTSTPKGTFAYMDPEFLSTGELTPRSDVYSLGIIILQLLTGRRPQKIAEVVEDAVEKGELHTVLDPSAGAWPFVQANQLAHLGLRCAEMSRRRRPDLAREVWTVVEPLMKAASLTARRPTFAASSALPDEASTPSYFVCPIFQEMMSDPHIAADGFTYEAEAIRGWLDSGHDTSPMTNLKLAHRELTPNRGLRSVILEWQQQHQRYHEDWR >SECCEUnv1G0537530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69107338:69110097:-1 gene:SECCEUnv1G0537530 transcript:SECCEUnv1G0537530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLLAGVVAGVVLASSLLLRWNELRYGNGRRKEGDGCLPPGTMGWPLFGETTEFLKQGPAFMKQRRLRYGRLFRTHILGCPTVVCMDPELNRRMLLQGEAGGLVPGYPQSMLDILGRNNIAAVHGPLHRVMRGAMLGLVRPAMLRQSLLPKIDAFVRDHLHGWAGSVVDVQAKTKEMALLSALRQIAGITAGPLSDALKTELCTLVLGTISLPINLPGTSYYQGFQARTKLVSMLEQMITERRSSGDAHDDMLDALLRSGDDGTREKLTDEQIIDLLIALIYSGYETMSTTSMMAVKYLSDHPRALDELRREHLDIRKGKSPEEAISYDDFKSMAFTRAVIFETLRLATVVNGLLRKTTKDVEMNGYVIPEGWRIYVYTREINYDPFMYPDPMTFNPWRWLEKNMESHPHFMLFGGGGRMCPGKEVGTAEIATFLHYFVTRYRWEEEGKNTILKFPRVEAPNGLHIRVQDY >SECCE6Rv1G0408420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:572329814:572332809:1 gene:SECCE6Rv1G0408420 transcript:SECCE6Rv1G0408420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAISQEAFDDMVRENMEDLGMDPDEALADAVDALTLQGANLSGIIRRVPGDAAAEEVNPVMRVLDELKASSSGRSGEDLDRLVSVLDELLELCSGEGAENAAVAARNGGVEALVALCASAGVTQERLLASGLKALSSLIRDVGSTEKFRQSQGPKIVMDILKGALENSDILDGAFGVVAMASAGNEVVKDAFMDLKVDELILEVMRNKSHSKVQSVYDAIRVLLTPDDNRVVASQVYGYSRKFAEIGVAEVLVIALREQVAPSSLPSACAALKAIAVNEEICRSVSENGGIDVLLKCIDEAGVQKNKVIAKSCCSLLSKLAGSDANKANIIQQDGFDKFLKLASRFSEDPSVIQEVMSLVQVLTLRSPEYAARAVALGYGTLAIQTMQKFPASALTQKQACLMIRNLVVRNPENRTILLNEGAEKLIRKAKAIHGSCKAAATDALRDLGLDNYNA >SECCE3Rv1G0144520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:4590508:4595452:-1 gene:SECCE3Rv1G0144520 transcript:SECCE3Rv1G0144520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLILPFKVGDFAEAKCFDEGFKGAWFRCKIKDMRVTESGHLEYYLEYIDYTEEANEWIGVFQKNPFNPACLEGKSNVSTEIMLRPSFPRWYRGQQAPKHFSKSEMIAHVCDTWKVGDWVDWHNKDCYWTGQIIELTSKTVVEVKFLDHPMGEGQQCSAKKKDLRPALDWSIIKGWTVPLSAAKGKSWQAVHLVHPKSDIEEGSDTDEDEEALGSSSTIKTPSSDRNTVCKLSNQGSTTPMTSAEKVTPTHKSSEPSRRGTRSSSRLQVSPSEPATAEPNGNASRRYPFRVRRNASEQQR >SECCE7Rv1G0481140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:280672114:280674397:-1 gene:SECCE7Rv1G0481140 transcript:SECCE7Rv1G0481140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPSSAPDKKRKWLLSNRKVIDRYLREARAILAAAPESGGGDAVAALGLIDAALELSPRMEAALELRARALLALRRYREVAEMLRDYIPSCGKSCSGEDASSSSSLSSSSSGDLGTISRAKLLSPDRHRSDAAEADTGAARSFRCFDVSELKRRVLAGLSKNANTDTQWRYLVLGEACFHLGLIEDAMVLLQTGRRLASAAFRRESVCWSEDSFSSSTVAAAVASVPSGTASKSGSAFIIPAVESEAMSQLLAHVKLLLRRRTAAMAALDAGLPAEAVRHFSKILEARRGVLPHTFAAACLVGRAASFQAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALSDSLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLIARIQGLRSRAAVGEGCNIDYYALLGVRRGCTRSELERAHLLLSLKLKPDRAVVFGERLELVDEHRDLEAVRDQARMSALLLYRMLQKGYSFIMSAVIDEEAAARQRAREAASAAAALPKQQQAAPMPENPPVQTGSVPTARTTTAKPKAKATATLSSQATAPVYQGVFCRDLAVVGTLLSRSGFDRSLPVKCEAMSC >SECCE3Rv1G0197970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:824719697:824721130:-1 gene:SECCE3Rv1G0197970 transcript:SECCE3Rv1G0197970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPTNDDDQPLHILFFPFLLPGHLTPMADMAAVFAARGVRCTVLTTPVQASTIRSVTDRANSNAAAGTPISISVVPFPDVGLPPAAQSGRDLATSRDYHDRFLQTAELLREPFRRFLSDHHADIDAVVSDSLFHWSAHAAAEHGLPRIAFFGTSMFARACTDTVLRSNVFESCSHDPAAVVSLPGLPHRVEQRRSQMMDPAKRPHEWAMFQLIHAADRSSYGELFNSFRVLEPGYAEHYRATQLRRRAWLVGPVALASCSVEDVASRGAVAGALSPEAAGCLRWLDAKPASSVVYVSFGTMTNFSPEQMRELARGLDLSGKNFVWVIANGAATASSYPCTPEANRGYIIQGWAPQVLILNHPAIGGFVTHCGWNSTLEAVSAGVPMVTWPRYADQFYNEKLVVEVLGVGVGVGAEDYASSVETHPVIAGEAIAESIRRVMVEEGDGDAMRKKAKELGVVGFEPAKSKKLCVRAS >SECCE3Rv1G0205510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900568374:900569339:-1 gene:SECCE3Rv1G0205510 transcript:SECCE3Rv1G0205510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARYSLLLTAALVLALSYGTHGHASTGTGLSTSFYDESCPSARDIVRRVIQNARVADARIPASLIRLHFHDCFVQGCDGSLLLDNDLPAIMTEKEVPANNRSARGFDVVDGIKHELENACPGIVSCADILALASEISVELAGGPRWSVPLGRRDGTSTNVESANNLPSPFDSLQTLQEKFRNLGLDDTDLVALQGAHTFGRAQCQFTQQNCSAGQDRETLVNLDTVTPDVFDNKYYGNLFHGRAPLPSDQVMLSDPVAAATTTPIVHRFSGSQKDFFQNFAASMVKMGNISPLTGRVGEIRKTCQRVNNKPY >SECCE2Rv1G0116210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:761968726:761969359:1 gene:SECCE2Rv1G0116210 transcript:SECCE2Rv1G0116210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMAKPAASAPKPKKPSAAKPKAAAAGASHPTYFEMIKEAIAALKDRTGSSSVAIAKYIEEKHGKSLPPNFKKMLSVQLRAAASKGKLVKVKASYKLSDAAKKDAPKPKSAAKPVKDAAKTKKAAVKPKKTAAAGTKRKAPEKKKLVTKAKKSPAAKAKAKPKTVRSPAAKKALKVAAA >SECCE4Rv1G0290390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:872480160:872480390:-1 gene:SECCE4Rv1G0290390 transcript:SECCE4Rv1G0290390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPNLKTVKIRGCWSLRSLPPVSRNNEKLPSVDCEKDWWDNLEWDGVEANHHPSLYEHNHSSYYKAQQQRGTVLR >SECCE3Rv1G0155420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:65454805:65462248:1 gene:SECCE3Rv1G0155420 transcript:SECCE3Rv1G0155420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIFTSKYTYHFAVSPGRMFLRLYFYPVAYVNYAVSDAFFSVTTQNLVLLNDFNASQTAQATSSAYLVREFSVNVPSGSLDLTFAPSAHQNGSYAFVNGIEIVSTPDIFTTSNSRFVDGGNTFPFSFAADTGFQTMYRLNVGGDAILPIYDSGFYRSWNSDSSYLFGDSGVTVSKDFNLTIQYTPTVPNYTAPFDVYGTARSMGPNAQDNLNNNLTWIFPVDAGFSYLIRFHFCQIKYPITKVNQMSFFIYINNRTAQQRMDVIVWSGGIGRAAYQDYAIMAIGSGQVDIWIALHPDLSSEPEYFDAILNGLEVFKLQDYESNNLAGLNPPLSQFTDLNSVARKSKDDIPAVMGGITGGSALMLIALFSTFVICTRKKVAKSSCKTDYGQLNHPTELRKSTCDLVRHFSFREIQVATKDFDEALIIGRGGFGDVYNGEIDGGTKVAIKRFNKKSRQGFHEFQTEIKMLCNFRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYNTRNPPLSWQQRLEICIGAARGLHYLHTGAELGIIHRDVKSTNILLDDRFMAKVSDFGLSKASPDIDNTHMSTAVKGTFGYLDPEYFRLQRLTKKSDVYSFGVVLFETLCARPVINTELPYEQVSLRDWALSCWKNGVLEEIVDPCVKEEITPECFRIFAEIAEKCVADRSIDRPSMGDVLWNLEVALQFQDSASYNSSCAEGASSLQISAVRSDKPSTNSTISVTAQKAIFSDIAHPEGR >SECCE1Rv1G0060610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710819411:710823339:-1 gene:SECCE1Rv1G0060610 transcript:SECCE1Rv1G0060610.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKRAMYCSGCDEEALPLKRSKAEEASKTASHVEAVSPRSKRNLEDEDQSEQILKRSKAEEASKTASHVEAVSPRSKRNLEDEDQSEQILKRSKYDSPIVAQSPWESSGLLAALRSVIRRGKELHLERRDAKLEKRELRLENREAWFENREDRLEKRERRMDHLEKQKDQSLEQRKAEFDKNWEAYQNWLLYKATLEDGPSASKLDGPSASKLDGPSPAAEDAPAPAAEKVSAPALETSAPTSAPTQQNVAAPASETSAPTSAPTQQNVAAPAPETQAAGSLNLVVDAVKTGVTTVTESLESADKMTTLLEKVVGAPTRVQDLRKSYEKNKAIVSAMRESYNDNKEIGSSFKKIVAGVVSSSLALARKALTDLVGGGGDGDVD >SECCE4Rv1G0262750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:709811857:709826003:1 gene:SECCE4Rv1G0262750 transcript:SECCE4Rv1G0262750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHREAAMDPVSGSPWASVPGNRLLPIRVSTERAAGTEWTRENPAEEPVSPTARAMEAIGIYIVVTIGLDAPINLSIFRAGIEYLLARCPRLGCIQVADGPNNGEARWARTTVNVEDHMIVPRLDGAAVATDPDKVVEDYVASLSTDRSRALLEFHLLDFPTSEATSTVAVRVHHAYGDGMSLMALLMMSTRSAAADTKARPATPPRRPARTGAIYAPQRRPLTGGALAFLAWVWSYLVLAWNTAADVAYFAATILFLSDRRTLFKRADHDGFHAKRFVHRSLSLDDVKFLKNSMNCTVNDVLVAVTSAALSRYYFRKSGDNNNSKICLRSLLPVNTRPAASLQTYVNVIESDKRNEVTWGNKLGYIILPFYLAMHEDPLAYIRKAKKVLDRKKRSLEVIFTYKIGLIFMKLIGVKVATSIFRCLLARTTIVFSNMVGPAEQVELCGHPVAFLAPSVYGIPEALTIHYQSYRSTIKIILSVDEDMFPDYHQLLDDFDQTLTVMKDAASRLSTSTKND >SECCE3Rv1G0196150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:806159701:806160115:1 gene:SECCE3Rv1G0196150 transcript:SECCE3Rv1G0196150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVELKVGMHCDRCIKSIKKAIKTIDDMESYQLEKETNKVTVTGNITPEEVVKALQKIGKTVTYWGE >SECCE4Rv1G0268120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:739900062:739902362:1 gene:SECCE4Rv1G0268120 transcript:SECCE4Rv1G0268120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTARRAGVLGTVDSRPTKSLADAARALCGCSHDEGIASGNRLMGAHLRAGRPGAAREVFDGMPRRDVVSWNSLMAAHAREGAHREAAYAFLELRRCGLRPDHTSFSTVLSAVARLEALELGRCVHGLALKSNSVGNVFVGASLITMYANCEVFGCLQRVFDDVDTPNVALWNALLSGLVMNHRVADARRVFDQMPGRNVVSWTAMVNGYVTVHKLELALELFGSMPVKNTVSWCVMIGGLVHHQRFREAVELFKSLMRNGEEVTNAVLVKVVNAYAGLKSIGGGRCIHGFSVKCGFVLDLIIEASLVAMYCNCLDIDEAQLEFHKMDRKHVGSWNAIICGYIYADKIDEARELFDSMTERDKVSWNSMVNGYIREGRITDATELYSRMPEKNVEAATALMSWFVDNGMLDKARDMFYSMPQTDVVSCTSLLFGYMKEGYLDDALDLFHRMNKRTVVTYNVMIAGFLHQGKVTEAYRLFSESPSHDSVTWSCLIMGLAQNGLTDDALKMYKKMLLTYVRPSESVVSSLISCFAHHSMIVHGQQFHAATIKLGLELCLLIQNSLISLYCKCGKTVAAQNIFDQMGKRDVVTWNTIIHGYAFSSLGENAIEMFENMKKAQVDPDDITFLGVLSACNHMSLLEEARHFFAAMARDHGIVPNITHYACMVDLFCRRGMVEEAEGLVKSMPFKPDSAIWTSLLSSCRLSGNDKLAEHAASQLIATNPGTKMPYLHLISVHGSRNRWDVIDSLRSQIRRTDTEKEVGYSWI >SECCE5Rv1G0309030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:145294237:145294710:-1 gene:SECCE5Rv1G0309030 transcript:SECCE5Rv1G0309030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSPGGGRLSGSDGDSGATFVAGDNRREKRRLSNRESARRSRLRKQQHLDELVQEVARLKAENARVLARANDITGQFVRVDQENTVLRARAAELGDRLRSVNQVLRVVEEFSGVAMDIQEECPPDDPLLRPWQIPYPATAMPIAATATHMLQY >SECCE6Rv1G0417390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:657438408:657441437:-1 gene:SECCE6Rv1G0417390 transcript:SECCE6Rv1G0417390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTLEDVKNETVDLETIPVQEVFAHLKCSKQGLTGTEAQNRLAIFGPNKLEEKTESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMAGLAPKTKCLRDGKWSEMDASFLVPGDVISIKLGDIIPADARLLEGDPLKVDQAALTGESMAVNKHAGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIISIAAGMLVEVVVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVYGKGIDKDTVLLYAARASRVENQDAIDTCIVGMLADPKEARAGIQEVHFLPFNPVEKRTAITYIDGKGDWHRISKGAPEQIIELCRMPKEAEKRVHGLIDQYADRGLRSLGVSYQAVPGKSKESPGEPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGTNMYPSTTLLGDKSTEMSGLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALLWKFDFAPFMVLVIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGVVLGTYMALVTVLFFYLAHDTEFFPETFGVRSIRENEKEMMAALYLQVSIISQALIFVTRSRSWSFVERPGALLVIAFFVAQLIATCIAVYANWEFCKMQGIGWGWGLSIWAFTVVTYIPLDILKFIIRYALSGRAWNNINNKTAFTNKNDYGKVEREAQWATAQRTLHGLNQGSSNSDMFADNNGYRELSEIAEQAAKRAEVARLRELHTLKGHVESVVKLKGLDIETINQSYTV >SECCE7Rv1G0523650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883222956:883229548:1 gene:SECCE7Rv1G0523650 transcript:SECCE7Rv1G0523650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGDGGGMGGGPFGGDGAFGHGGDGGRTGRAFGQEGREDDQGWGWDPLRSGSAPPTMDGALLAAEGVLGGVGGGGGGDSFFSGAGGLGARLDEVSRRRGVIDQDHYGNSALLSKGMGGLQLNGTRGFDGQPFRPSIVHGVGATPNHSTFDMGSTVTEHDAEFYGHPNHFMPDMGKINTFGRRDFDSTYLSDSDLSDTFSGMRLPNRASFDERSHEKELLDEMLMHRRYFNSNMADDSRLPSAGNAFHSPRSKHMDFRPTRRNCLRRQSNSIDAPNVPRMNHHHMDNVDHLSFADRLTLMQSGNLRGEANYLRDAATTNMINPLSSRNNTITDLDLARNRRAYLEDQFARQCLQNESSYVPKSGLSYGGNRLYHDEPCFPSPRAQRLGSQFHPNLGSIPCHGDQQSRLFSGNRRSAGRNMGLQSHQDNAVEHCIDSIDRNSDETLELLDAVGHVMNVSVDQHGSRFIQQKLEEASTEDREKIFPEILANVIALTTDVFGNYVIQKFFEFATESQLKQLADKLNGHIKALSGQMYGCRVVQKVIEVVDMDTKIDIVRELMDSVLDCIGDQNGNHVIQKCIECVPEDRIPFVIEPILSQICKLCTHQYGCRVIQRVLEHCRKPETQSAVMNEIVQHAFALTEDKYGNYVVQHVLQHGKPEERSSIIQKLTGQVVILSQQKYASNVIEKCLAYGTPEERDALIREIFSCGQTFQTLMKDQFGNYVVQKVLQTCDDKYLEMILSSIKVHLNELKNYTYGKHIVARVEKLIITGENRVRMASKPCRCQQAAKCTDVDADSS >SECCE7Rv1G0495670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:600627028:600643406:-1 gene:SECCE7Rv1G0495670 transcript:SECCE7Rv1G0495670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAPMERHHSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQDCYEVAAEYEGDRDAARLDELGGRLTGLAPADSIVVASSFSHMLNLANLAEEVQIANRRRNKLKRGDFADEASATTESDIEETLKRLVSDLGKTREEVFDALKNQTVDLVFTAHPTQSIRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRMDTALKNIGIDERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLHFSQIEELMFELSMWRCNDELRVRAEELHRASRKAEKHYIEFWKQVPPTEPYRVILGYVRDKLYYTRERSRHLLTSGSSDIPADSTFTDVEQFLEPLELCYRSLCDCGDKTVADGSLLDFLRQVSTFGLSLVKLDIRQESDRHTDALDAITAHLGIGSYRSWPEEKRQEWLLSELRGRRPLFGGDLPMTEEVADVLGTFRVLAELPPDCFGAYIISMATAPSDVLAVELLQRECHVGHPLRVVPLFEKLADLEAAPAAVARLFSIDWYMDRIGGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETVNGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRAMVFKEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIMQKDIRNIQALREMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDELLVADELKPLGEQLRSNFEDTKKLLVQVAGHRDVLEDDPYLKQRLRLRDPYITTLNVCQAYTLKRIRDPSFQVTAQPPLSKEFADENQPASLVKLNAASEYAPGLEDTLILTMKGIAAGMQNTG >SECCE6Rv1G0387950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:126497969:126505502:1 gene:SECCE6Rv1G0387950 transcript:SECCE6Rv1G0387950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MGPRRDGVRFVSSGVKLPHASPAPAPAPAPAHALLSAALPPFAHIGRAIDAAARRVAASFPRVPAARAETPAAPLPRRHGKDGVGSGGGGEERVLISEVAVRGKDGEPLERPELEEVAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLDDSFRDRHGKIINIRHLDQVIKSINGWYQERGLTGMVSYAEILSGGILRLQVSEAEVNNINIRFLDRRTGEPTIGKTKPETILQQLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERKSGGFSAGGGISSGITNGPLSGLIGSFAYSQRNVFGRNKKLNVSLERGQIDSIFRLNYTDPWIDGDNKRTSRTVMVQNSRTPGTLIHGGEHPDHSPITIGRVTAGIEYSRPFRPKWSGTLGLIFQHAGARDDKGNPMIRDFYNSQLTASGNPYDDTLLAKLESVYTDSGDHSSTMFVFNVEQGLPILPEWLSFNRVTARLRQSYEIGPARLLLSASGGHVEGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRLFGPLEGVVFGDYGSDLGSGPTVPGDPAGARGKPGSGYGYGVGIRVDSPLGPLRLEYAFNDKQARRFHFGVGHRN >SECCE2Rv1G0101670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:567908046:567908897:-1 gene:SECCE2Rv1G0101670 transcript:SECCE2Rv1G0101670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQQRRVKLIVSYGGRIERAQGRPPRYVGGEHLLLSVLSSVSTRGFRDLLAKRAGFSDFSVKYCYSGEGLDSLCDVDTDQELRGMLDMLLYRDLQVCLFNDLNTRRLRVYLFRDAAAAPSPTSQILGKPSLMRRSATSPALLPAKPANVDGRPSHGLAAPAPSLVPRITTSPNPLWETSTAGTAPSKPPLAPALARPIASSPLLTADSTDDKTSLTTTTPTSTAIATKCTQPHPATFWPAELSYPVCQAAPVFLVPVMPQVIIYQPTIILVPVFNYKVAMG >SECCE7Rv1G0462870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:49101506:49102849:1 gene:SECCE7Rv1G0462870 transcript:SECCE7Rv1G0462870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSGTLLLMMILVLAVATATAASTSRGMPSWVMPEDRRLLLTASPPVDAVVAKDGSGDHATISGAVAAAPPGDTRYTIYIKEGVYQEKVELLHRNNVMLIGDGAARTVITGNESRRTVGAQREGIIIRDLTIENTAGPEAEQAVALVSNSNKSVIYRCQILGFQDTLLAENFLQFYKECEIAGTVDFIWGTATAVFQDCVLLARRPGSMQHNAVTGQGRNKHDSASGFTFQGCNVTTREDLCGVETYLGRPWHPYARVIFIHCHMDAIVHPSGWAPWDKNKINVTTTRTVFFAEFENQGPGADVRGRVKWSGFHNIKKASKAAKFTVNNFIDGGAWLPETGVPYKGGL >SECCE5Rv1G0366300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:812527768:812529746:1 gene:SECCE5Rv1G0366300 transcript:SECCE5Rv1G0366300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVYPLLRLPCRCALAAAPRAAAAPSVSVSASASASAVEGGGGDGELTARERRERRREARELKARDWKEEVEERLIHEPARRRKKPPKRTWREELNLDFLAEHGPQWWLVRVSMAPGTDYVDLITKAVARRFSEVSFKIYNPAIQVKKRLKSGLISTKSKPLHPGLVFLYCTLNKELHDFIRDTEGCYGFIGATRGSIKRQIKKPKPIPVEEVESIIKKEKEEQEKADKEFEDLENWDKGSFGKTVEDSELMLINKIKKQVKKSSSKGASSNDTFTLGARVHVLSGPFAGFTGSLLEVNRKNKKVTVQMTLFGKESFVDLDFDTIEALNT >SECCE2Rv1G0119890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:795055400:795057923:-1 gene:SECCE2Rv1G0119890 transcript:SECCE2Rv1G0119890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLPPAPRQRQASLPAAQALSPSASSGPQIPALPMAARTQAWQFAAALVFFHGSEYVLAAAFHGHSNVTATSLLISKQYVLAMGFAMVEHLTESLFFPEIKEYLFFSNIGLLMVLVGEIIRKIAVVTAGRAFTHVIRIQYEDQHQLVTHGIYRFMRHPGYSGFLLWAVGTQVMLCNPVSTAVFALVLWRFFSKRIPYEEFFLRQFFGSQYEEYARKVHSGLPFIN >SECCE3Rv1G0147830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:16262593:16266818:-1 gene:SECCE3Rv1G0147830 transcript:SECCE3Rv1G0147830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITVTVTRATMVRPAKELPRSRLWNSHLDLLVPRFHTPSVYFYRCPALEEGQGALEGFFDAERMRRALAEALVTFYPMAGRLAHDKNGRVEIDCNGEGVLFVEADAPDATVSDYGDFAPTMELKRLIPAVDYTDEIAFPLVLLQVTYFKCGGVSLGVATQHHVADGMSGLHFINSWSNLCRGAKIAIMPFIDRTIIRARDPPTPCYQHVEYHPSPAMLSSKPQDLSSKRTSPDTTVDIFKLTRSDIGRLRLQLPTGDDMPRLSTYTLLSAHVWHCVSLSRGLPYDQPTKLYCAIDGRKRLKPSLPDGFLGNVLFTATPMVEAGKVTRGLADAAKIIQMELDRMNDDYCRSALDYLELQPDLSVLVRGAQTYRCPNLGITSWVNLPVYNADFGWGRPVFMGPGGVAFEGLAYILPSAHNDGSLSIAISLQDDHMKKFRELFFVL >SECCE6Rv1G0383020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:49213320:49215638:-1 gene:SECCE6Rv1G0383020 transcript:SECCE6Rv1G0383020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSETMQPHQFSNKTHNSKLYIPSLGLALVLLISLVSPASSCTEHEKTSLLQFLAGLSRDGGLAASWGNNTDCCKWEGITCRKDRTVANVVLASKGLAGYISESLGNLTRLQHLNLSHNSLSGPLPLALVFSNSIDILDVSFNRLNGILDKLPSSTSARSLQVLNISSNLFAGHLPSTTWKAMEKLVTLNASNNSFTGKIPTHFCSSSPSFAVLELCYNRFTGSIPPEFGSCSKLRILSAGHNRLSGTFPDELFNATSLEYLSFPHNILHGVLDGSHIIKLRNLITLDLGGNSFSGTIPDSIGQLKRLEKLHLSNNIMSGELPSGLGSCTKLITLDLGGNSFSGTIPDSIGQLKRLEKLHLNNNIMSGELPSGLGSCTKLITIDLKSNYFNGELMKLNFSTLSNLRILDLHINNFTGTIPESIYSCSNLIALQLSVNHFHGELSSRIGNLKYLSFFSIADNNITNITNTLHILKQCRNLNTLLIGHNFRGEFMPEDDKIDGFENLQVLGIGGCQLFGEIPLWLSKLENLVMLILSDNNLTGSIPGWIKALSNLFYLDISSNSITGEIPTALMDMPMLKSEKTEAHLDMKGSELPADATLSLQYRIPIDLYKALDLSNNMLTGEIPMEIGQLKAVLSLNLSFNDLTWQIPESICDLTDLQVLDLSSNHLTGPIPAALNGLHFLSAFNVSNNDLEGPIPSGGQFDTFQNSIFDGNTKLCGTILDRNCSSAKVPRATILTKEEVFAIAFSAFFGVGVLYDQIFLSRFLLRTRR >SECCE5Rv1G0369570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:836209831:836210361:1 gene:SECCE5Rv1G0369570 transcript:SECCE5Rv1G0369570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDLWLHVQAAAVVPDLSLALAPAGSNQDQDRDEELVPMACVDGKPVRLFQCLFCDKTFLKSQALGGHQNAHRKDRLDGLLSDPYHNDGPFGGAAVAAASTGPSCDFGTSILSHGGGGAADARRPERRGMGGGAPRFAEHALPLDPYAGGDGEVGWPRASVASGAGEALDLELRL >SECCE2Rv1G0101710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:567943117:567946754:-1 gene:SECCE2Rv1G0101710 transcript:SECCE2Rv1G0101710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha-amylase 2 [Source:Projected from Arabidopsis thaliana (AT1G76130) UniProtKB/Swiss-Prot;Acc:Q8LFG1] MGQMVSDGVVQEQTARNGGIIKNGREIVLQAFNWESHKHNWWSNLEGRVADIAKSGFTSVWLPPPTQSLSPEGYLPQNLYSLDSCYGSLQQLNSLIQNMNDHNIRAMADVVINHRVGTTKGSNGMYNRYDGIPISWDEHAVTSCSGGKGNKSTGDNFDGVPNIDHTQPFVRKDIIEWLIWLRETIGFQDFRFDFTKGYASKFVKEYIEESKPLFAVGEYWDSCEYAPPDNHLSYNQDKHRQRIINWIDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEEKPPGVMGWWPSRSVTFIENHDTGSTQGHWPFPSDHIMEGYAYILTHPGIPTVFYDHFFDWGDSFHDEITKLMEIRKSQDIHSRSAVKILEASSNLYSAVIDDKLCMKIGEGPWCPSEPEWKLAACGDRYAVWHK >SECCE2Rv1G0069310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27684133:27687043:1 gene:SECCE2Rv1G0069310 transcript:SECCE2Rv1G0069310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFTAASFNPPAADPAGANPSRYPFWVLLDSRAYFADRDNTTTVKGTTSTGQELKVTFCLADPPAVSYFCVHFPGISVQECCTTEPRVVSSANDLVLLCFAFRSGQPSRSTADHFEYFVYKAAPGGKHSIRRVPPSPPDYMHTWHAAVVPREGDNFLVADLFPSDVLGRYNLHVFSSETNEWSTKHVLLKVPAYVLLRDLPSQTDKVISLGVSTVGWVDLWRGILVCDVLQKDPVLRLLPLPKADFDLHRESPARQVRDVIGFPDGFVNFVEIEQCLRWFIVVRKRTSKTTHVFDVADTISDAELLSNDGMDIEDKPFHTPAGWKIRTMFRSIYWHLWHRSRTVHVDHISVFPLEPSELTCHLWNDRDMKWTLRNLKTAGFPTFSVYGGNVVYLVSKVESQDEDTLLVGVDIRKRKLEVIKQYCCGRSISFDPTPLGAVQIVGGREVTEPYCGGRSTAFNPISCAFSEYLNTTPSPSLRSRDDEVAAVSAHSGDEVAAVSAHSGALGNTVPEYVKTQQNTWNGDDSGKYQPPTTLQPSSLTQTGQPLSSSTSTQLDDFRRMPYQCILCEMPLQPPSLPVPKEEAFAP >SECCE2Rv1G0131440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884617883:884618773:1 gene:SECCE2Rv1G0131440 transcript:SECCE2Rv1G0131440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAFFSLDDQRIDECDGDGLCCPDDVVDDALVSSLPVLDDKFLEALGIDCSPPAPMDLDAVDLRVTQSPAAGPKTNSGGDNQCLSGTSSYPTLSWTTSSSWEPSPGSASPFRRWDVPTKPRRQPAAGRKRPWSHPSMSFGVPAIHETPPAPGGYGVSAVNAGEFSYGRDVKPSRLSYGSDGGSDVGDSGGGRRRSAGRQREQPEGQQCGHCLATKTPQWRNGPDGPGTLCNACGIRFRMGRDKLVPEYRPSTSPFFRSGEHSNRNSKVQKLREKKVKALKLYDDGSSGAPGGRGP >SECCEUnv1G0567530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:416157591:416157965:1 gene:SECCEUnv1G0567530 transcript:SECCEUnv1G0567530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKVKGKSKSRSSRAGLQFPVGRIHRLLRKGNYAERVGAGAPVYLAAVMEYLAAEVLELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLSGVTIAQGGVLPNIQAVLLPKKTEKKA >SECCE1Rv1G0016670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:140609714:140611470:-1 gene:SECCE1Rv1G0016670 transcript:SECCE1Rv1G0016670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCCRPIIASFVSFLLVFPVLVSSAAGNGSTAALGLGEEQLGLRRMKARLARVREASVKTIQSPDGDVIDCVPSHLQPAFDHPKLRGQKPEEEPAARPGNDEATEDDEEEEVLPQTWRSSGEWCPKGTIPVRRTTEGDLLRASSVRRFGMKPRSAAARRDSTSNGHEHAVGYVTGGQFYGAKASLNVWPAQVSSPAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNSPRFFTYWTNDAYQETGCYNLHCAGFVQTNGRVVIGAAITPVSAYRGRQFDITLMIWKDPKKGNWWLQLGPSGTLVGYWPSSLFTHLGARGRGGADMVQFGGEAVNTRPSGSHTPTQMGSGRFPGEGYGRAAYFRNVQVVDWDNNLIPAAGLRLLADHPGCYDIAGGQGGAWGSYFYYGGPGRNVRCP >SECCE2Rv1G0074060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73256849:73265504:-1 gene:SECCE2Rv1G0074060 transcript:SECCE2Rv1G0074060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADMFPNVSFSDVNAPASQEAAGKAVFGLDPASGEARLSLVRPGAEQAFQIDLSDAQIFKLGPMEWLCVSGETEAKAGVEEKLFSRAIKVVLRTEAESKAFSLAFQRWKQQTISGKAGESLENGSIVAYKSKFDTKIESSSAQMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRADFEGKIVVDVGAGSGILSLFAAQAGAKHVYAVEASEMVEHAQRLISGNPSLGQRITIIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLAPDGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFNVDLTPLHGSAFDGYFSQPVVDAFDPRLLLSPATHHTLDFTSMKEEDLYEIDIPLSFVSSVGTRVHGLACWFDVLFKGSTVQRWLTTAPGSPTTHWYQLRCVLAQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSAKMWGVGAEPGGILQTSTAKLELKEPYYRLSQPQAYMPQDQQQLPLSSLQAQGSGQQMQDGLSPGITVEQERDSAAFT >SECCE7Rv1G0501870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:689820666:689824818:-1 gene:SECCE7Rv1G0501870 transcript:SECCE7Rv1G0501870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGRTLADVEVPAVTRKVMAAMLWTEKKKPRRSVGRGWHFTGLSGRRRLRLYDDEVDFEADFRQFEADFEDSDLRIRRGGVAEKGDNNEVIEIKSFAAIKRPLSHDGLSTMIATGFYGPSERPANRKRKNQFKGIRQRPSGKWAAEIRDPSKGVRVWLGTFNSAEEAARAYDVEARRIHGKKAKVNFLEEPTVQEPKAPKPSASHEPTITTTVNNPNAFMYPSADLGSNQPLVQLDNVPFVPAMSCVSSVEAPVMNMYSDQGSNTFGCFDMGWEYNIKTQDISSIAPIYTIAEATKSAVVESNIYNPMVIAEGAEFALVQSNAYNSVVPPVMENDAVDFEASMRSFMDDGVGEPIDNLLNFDLPHDVIDNMDIWNFDDMPIDGEFF >SECCE4Rv1G0270020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:752214643:752216127:1 gene:SECCE4Rv1G0270020 transcript:SECCE4Rv1G0270020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDSDNDDQGYSDFDEEEDPIELESEDDMATLLAARRQKYKVLTEDAVRALQDDCIAGVVDLIQVPPAIAAIVLRNCHWSTLVVQEKWFSDEQALRAAVGLLPPSGDPAPVAEPKRKRNGKKLTCDLCFDKHPPGQMKSAGCGHLYCRVCWRGYIRAAVEDGPRCLSLRCPDPSCSAAVLRDLVDDVADEEDKQRYAGFALRSYVEESKTMRWCPAPGCGLAMEYLGGETLSEQLDVVCDCGHGFCIVCAEESHRPVPCRTVREWAAKNSSESESTNWVMANTKLCPKCRRPIEKNTGCNHMTCRDPCRHQFCWICLADYHGGHTCNRYEVDEIDARQAYAKASLDRYMHYYERWVAHEHSRVRASEDMFELESAREVYLEGAAADEAQRQLGFLIDAYRQILEGRRMLRWTYAYGYFADRDKLNLLECIQGEAEASLERLHKMAEAERTASENYYAADGGVSSYFDRLAKLTKQTHDYFESMAEAFQTDLD >SECCE7Rv1G0499910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:659354945:659358217:1 gene:SECCE7Rv1G0499910 transcript:SECCE7Rv1G0499910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAARAYEAAARSALAALERNLLPDAVTRRLTRLLLAQRLRLGYLPSAPLQLQDLILFVHSLEGMPIAIETDTAKTQHYELPTTFFKLVLGQNLKYSSCYFPDDSSTLEDAEVAMLELYCERAQLQDGQNILDVGCGWGSLSVYIAKKYRNCNITGICNSTTQKAFIEEQCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKALLKKISRWMKEDSLLFVHYFCHKTFAYHFEDKNDDDWITRYFFTGGTMPSANLLLYFQEDVSVVNHWLVSGTHYARTSEEWLKRMDKNIATIRPIFEKTYGKESATKWIAYWRTFFISVAELFGYNNGDEWMVAHHLFRKK >SECCE3Rv1G0211120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:947695114:947696844:-1 gene:SECCE3Rv1G0211120 transcript:SECCE3Rv1G0211120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDRLSGLPDDLLRRVLHFAPVKEAASTTALSRRWRTALWRSSGAVNLEARIDHRLHYQEAVARRDAFVSAALASLDAAADDGGHVKRLTLRIESDGDDNRLDDFLNRDRYDKYECEVNPNVVDVVLSHLAARRVEELRLVAQESRSQSLDDDMEIFLSRVGAYRLNLDLLPLETLRVLEITNCRGLNQPEAGAVALPQLSSLQLRHCAQSLGSLQSIIDAAPVLSAICLESVQIDDYDIDEEEDNDHLLPTEGALQLLRLRCPAATLLVLEKCMWETRARILQRHCSSSLSLVAAEIHAPRLRRFRYKGLLRPFSFSPQPPELQQVDLQFSPDTNYRNNDPDRDLATFWRLVRSFTSAKDMKLSLKLEAIAMVSKAMQAELLPAFSSLGRLELHVVHGKNVKKAAVAIANLLHCCPVLRDLWINLTTEDHHTSKNHEHTREFLKRKFQSSRDNPADRFDCGCDSEEGDDEAISSVAYDEVSEIPALSRRSFECLQSSLSRVGFQFRFEKLNCLGVKIIKFFAENAMVLEEMHIDGGNGKFCRHVNRKIETCITRSSKRRNQEVRNFAVLTLKR >SECCE2Rv1G0096240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:436160709:436164101:1 gene:SECCE2Rv1G0096240 transcript:SECCE2Rv1G0096240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFLLPILLFAVVSSPVAAAGQKESGRAAEAAALREFKRALVDVDGRLSSWDDAASPCGWAGIACSVAREVTGITLHGLGLGGALSPAVCALPRLAVLNVSKNALSGPVPAGLAACRALEVLDLSTNSLHGAIPPELCALPSLRRLFLSENLLTGEIPGDIGNLTALEELVIYTNNLTGGIPASVRTLQRLRVVRAGLNDLSGPIPVEISECGSLEVLGLAQNSLAGTLPRQLSRLKNLTTLILWQNALTGEIPPELGNCTNLEMLALNDNAFTGGVPRELGALPMLVKLYIYRNQLDGAVPKELGSLQSAVEIDLSENKLTGVIPSELGNIQTLRLLHLFENRLQGSIPRELGKLGVIRRIDLSINNLTGAIPMEFQNLPCLEYLQLFDNQIHGAIPPLLGARSTLSVLDLSANRLTGSIPPHLCRYQKLIFLSLGSNRLIGNIPPGVKACKTLTQLRLGGNMLTGSLPVELSAMHNLSALEMNQNRFSGPIPPEVGKLRSIERLILSGNYLVGQLPAGIANLTELVAFNISSNQLTGPIPRELARCTKLQRLDLSRNSFTGLIPGELGTLVNLEQLKLSDNTLNGTIPASFGGLSRLTELQMGGNRLSGPLPLELGKLNALQIALNLSYNMLSGEIPTQLGNLRMLEYLFLNNNELQGEVPSSFTQLSSLMECNLSYNNLVGSLPSTLLFHHLDSSNFLGNNGLCGIKGKACSHPAYASSEAAARKKRFLREKIITVASIVVILVSLLLIALVCWLLKSNMPKLVTNEERKTGFSGPHYLLKERITYQELLKATGSFSESAVIGRGACGTVYKAVMPDGRRVAVKKLTCQGEASSVDRSFRAEITTLGNVRHRNIVKLYGFCSNQDSNLILYEYMENGSLGELLHGTKDAYLLDWDTRYRIAFGAAEGIRYLHSDCKPKVIHRDIKSNNILLDEMMEAHVGDFGLAKIIDISSSRTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELVTGQCAIQPLEKGGDLVNLVRRTMNSMTPNSQVFDSRLDLNSKRVVEELTLVMKIALFCTSESPLDRPSMREVISMLIDARASSCDSFSSPASESPTKDDSSFRL >SECCE3Rv1G0144280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3427405:3428678:-1 gene:SECCE3Rv1G0144280 transcript:SECCE3Rv1G0144280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGTAMASSSVAVAAALILCILVAHAHGCYAKHHAAPVAGNSSWLDAKATWYGAPNGAGPDDNGGACGFKNVNLPPFSSMTSCGNQPLFKDGKGCGSCYQIRCLKSNHPACSGVPKTVIITDMNYYPVSRYHFDLSGTAFGAMANDGRNDELRHAGIINMQFKRVPCHYPGLTVTFHVEEGSNPFYMAILVEYEDGDGDVNQLDIMESRPGGGKMAPTGQWVPMKESWGSIWRMDAHHPMQGPFSLRITNESGKTLVADQVIPADWKPNKTYSSLVQFH >SECCE7Rv1G0497820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:631100817:631102247:-1 gene:SECCE7Rv1G0497820 transcript:SECCE7Rv1G0497820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVSAVASELAGRLVSFLINKYRGQPCPNKEENLESLRRLLLRVHTVVEEAEGRYITNSRMLLQLKALTEAMYRGYDALDTHAPLERIGAQPEVSRSSDSMDFRSFGSTAASKEVQTALIALEVASAEMAEFITLLAGCDRMLRSPYSCYLDIDNFMFGRRVERQRVINVLLQDGHPHPPPGAPTVLPIIGGRRVGKKTLVWSVCSDDRIRSRFPSILHVDGSEIRRIDRGRFTTPPVRTLIVVEFRSDVDDREWHGFHSLLRALTGAGSKVVIVSRLESLARFGTVNLVRINSFSREEYGYLFKVLAFGSSDPADHPRLALIGKELAAMMEGSLVHLNVYSSVLRNNLNLQFWSRALKLYRTVMEANLSVFGEHPRALLDRGSTVDITRFSPSTAAAAATPLRFVLLIGGRGSSGPGELPRMTFGDIIAGSVVLPRKFELVWESRLPPYTVISGTCVAEEPRHSVSPRKKRRR >SECCE6Rv1G0424030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:698641628:698642299:-1 gene:SECCE6Rv1G0424030 transcript:SECCE6Rv1G0424030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPSAAGAGRIWRSASCIFGKAASGFHLLRVEAYSQTKTVPSGESIDSDNFWIGERMWTVKYYPNGRDTSTNSDYISLYLQLRGFSDSPLQAQYKLSILDQAGNVAYGLPSRTDVFKCRGGGAHNMCGHERFIRREDLERREDLIKDNCVTFRCDVRWFTLLNTASLAREDNDDEAMDDDEYGLKPRSLVERHLRPQRRRPDDMEFVQWCLAQKRIAWRCR >SECCE3Rv1G0212210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954788604:954788912:1 gene:SECCE3Rv1G0212210 transcript:SECCE3Rv1G0212210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVTTMASQGMVVIFGASCCCMSHTMTGLFAQLGVSSTVHEVDKDRQREDLERALVGMVGHSPAVPALFIRGALVGGTSQVMELHLGGHLVPLLRQAGAL >SECCE7Rv1G0470410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:120027498:120030723:-1 gene:SECCE7Rv1G0470410 transcript:SECCE7Rv1G0470410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDELRSLESTGIYRLAGSHAAFLDPVRLLGESYRRFRLVPSAYYSRSFGPSRQGGEAQAEEAAPSPDRKKRKRKRQPKPRELNAVEQIAEARHQEARPLLISAHNSLLEAKDLLEFLPGMIRGDECMPNVETSSENNFVELGGSWRAPFYEMTICFQNPHGRDESGTCGVQKRSSLLFNRIVSVEENDEVEGEFQSRLYILPKQSCFMMTDFTHVRDLIPDNPNMGYNLIVIDPPWENGCVRQKEAYPTLPNRNLLYLPVQELAHPAGALLVLWITNREKLRKFVEEELLPSWGVKDPTEFYWLKVKSDGSLIGELDLFHHRPYECLLLGYINVDREVESGSKFKVLPGSQVIMSVPGAHSRKPPLQKILSEYIPGPKPPRCIELFARELGSEWTSWGNEPLHFQDSMYFSKK >SECCE5Rv1G0335390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:573755372:573771503:1 gene:SECCE5Rv1G0335390 transcript:SECCE5Rv1G0335390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARRGLLLDQWRGIQEDEEAYDDRGDPSAAKHRRRRLNQAKEQWFSHCFDFLESLPKEEHIWCGYADIMGPFLEMFHGYFDEQENSLVRTIWSRISQELGICTQCVCEHHQAQESFDIECRSGSIDPLQKVLRHLDEERVTKHLEKINAMIQLKEYDPSCHGAEVVCIMFEVLMYPVLLDDQSLANQFQKFIETIDESYEVSLSTNQQYPGVYALLFFKSGKARAIGLRLSRSMGKLRKAVDLEPLQPLLQKYINFLDAEVLPSTPESSRPRVQLQRVDVWLGFKSLLGFLEAPAFEDGILEKYPIFLNIVLNHVSDDGFDLSCAVSCLKASFEMLGCKLWLRTTLSPSVIRNTLLGQCFHTRDEKSHKEIFDLFIPFLQSLEALQDGEHEKQRRNILYFLLHQVTRSSNFSALMRKNATKIALLIVQRGYTMNPPCPASECAHMWGPSLICSLNDTSLHSSLRQPAFDLINILIVSDASALISFKLKYESATKGDASNSVMFVEDEDELPFADDTAEKEYSCWSDFRALNKLTFRGCKDWTCVPLLWYLVMVQLEPSKLPMAFSKAVFWALSHISILEPGLAMDLSVPVNDWLSSHAGEVSSTFSWQVPNGADDGGGGKDCINTLKVSKFGTLLLRIFKRFAIHVIMQIEQCGLQKQWTWEPMMAESLILALVDPNDNVRQAGRAVMEHVSQARGLTPGLQFLCSSASSLSAVFVGLRYAVQLVERQSLLADFHSLHHLFFVICKLVKEDIAQQPSVPQPAKPSEGGFLRQPFSNVPITPPEHAVDIISWEKFSTLLSAALWPLISTCLIKGEELINTKQCQISCVRVLELLPLVYNRVNAYCAEPFSMTTMFPDPSDMTWLFHLIDWGKSSLLVISRHWKQCMLSLFKILKGSHGDTIQRYIEDLGDTISHDVIDMDELKGRISNLNLAVFKKVPTKSVDTIPSLIRHTDRERHTGRDNLETMKPSHASDTEHIIVLSDSEENLPTDDVIGEEVLSSVKENDGFTASDLLKNPSVQRMPVEDKHVSLQQQTRSDISASSRPVSTENRGTRAASKGLGGTKMPSVPANKNNTSLLPNKVKSSVSATTQPPRPNLSSDVCKFKSIFRDISDDEDDPLEHALDNYRRPQIRVTKSAILIPKRQIVQLQLPAERRQSSGRPDTSFRRFSPPKLDSWFKNILEMDYFAIVGLSSSETVKKPALKQIPVCFDSQAQYVEIFQPLVIEEFKAQLQNAYVETPPEDMMCGSISILSVERVDEFLVVRGRAENTVCVKSKGCIENDLILLTKDPLKSSGQQVHVLGKVDRRESDKNKALIIVIKFYLSNEIPRLNKVKRLLVERSKWFLNRVLSMTPQLREFSALSSLNDIPVLPVILNPVSCTATNHESVKVYLDKLARPLRKVLKSSYNDSQLQAVSIAIGSASSKTKCDLSLIQGPPGTGKTKTIVAIVSALLSLHADNSYNLPRNESLASAEFTKPRTKISQTAAVARAWQDAALAKQQIKDSQRENPRTERLSKGILSRGRALICAQSNAAVDELVSRLSNGLYDTEGKLYRPYIVRVGNAKTVHPNSIPFFIDTLVEQRLSDELKINDESKISSDGESSGSLRARLEKVVDRIRYYESRRKLVEGDKTETGSSVPDEDEMDEVSDEAIGAKLNILYTQKRAVSSELATAHAREKKIADENKSLKHKVRKSILGEAEIVVTTLSGCGGDIYGVCSETASAKKYGNFSEQALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPDISRFPSLHFYENKLLDGAQKAEKSAPFHDHSCLGPYMFFDIADGRERAGTSAAAQSLSNHFEADAALEILSFLKNRYPADFSCRKIGIITPYRSQLSLLRSRFTSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSGDRHHTGEARSIGFVADVRRMNVALTRARFSLWIVGNARTLQTNSHWASLLQNAKERNMLISVQKPYSLIFQNVHGTTHSHLKQQNENEKADMTNSRTVNAQLHKEHVRHANSATEKKGKSLREDQAKQASRWDQKTRKTEGSTLRKFSQENEATTQNDDMRATKGSLKQDIDQDSVIRKQGAEKKLSVQNVNQLELAKRLATGDPVDGSRVRRQRELNKPVNENADMETDKALFKHGPSENPKVRLHYNDKKAANQNNDMGTIKGSSKHDSAVKSVAKQDDGSSSAQHRDMQNLIQKAKGARKFSETPRFSNSNKEDSLLKHDAASDLANRSSGTGPPTIPDMKKNKVKGARKFTEQPRSGYSNQVDPSVSSHFDGTSSHIRDLTTSQAAKQTSQKDQIAARKRQREDVESLLSSALISSKKPKKKQK >SECCE5Rv1G0320850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:393846404:393846737:-1 gene:SECCE5Rv1G0320850 transcript:SECCE5Rv1G0320850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTDTSSPKDAPTPASSAPAVVAAAAGSEPTVTKTVQTVEVRQSAGQEEGQGAIKPIEVVHEIPAEEPAAKQE >SECCE6Rv1G0450020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:865605209:865615817:-1 gene:SECCE6Rv1G0450020 transcript:SECCE6Rv1G0450020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANWRPTQGSDPAAGAAGGGGGGVDPNAPPPPAGGDWRAGLQPDARARIVNKITETLKKHLPASAPDGVNELQKIAVRFEEKIYTAATNQSDYLRKISLKMLSMETKTQQAAGNAQVIPNQNNSTPGLPSEGSNQAQPSAIPLMSQQQARQPNTSVQASSLTNIGQNLPGVNQTSTMQNVSVMPQNTMNNGLAQGTSQDIYAAQRQMAGRQQQQQSQQLIYQQQQMLMKQKLQQNSLMQPHIQQQQSLLQPTQMQSPQQSMMQMSSGLHSVQSTVPQTQPMSRQSVTQSGIQQNQLNSVQQSVPSLLQQPQQSVARQQQQAQPSMHQQASLQRQQPNIPLQQQQQQLMGQQPNLERNQLIGQQNGAVEMQQQQRLPAQSNNLLNVQQTQQQMLNQQSMPLHQPQQLGSQANMSSLQQQQQNQQQQRMHMLQMKAQQTQQQQHAQQPPIGLMQPQSQHNQLQQSQQHLMSQFQSQPNQLQQQLGIQQQPSMQQRLQTSGGMLLQQNNMDQQKQFIQAQRGLQEVSSSTSADSTTQTGLAGAGDWQEEIYQMIKSLKDQYFAELSDLSNKISMKLQHVDSMIPPQKPSEQYDRMKNFKTMLDRIIQMLQISKSTIQPAMRDKVPQYEKQIISILNSQRRKPVQPQIQQQFQPPAGQAPNSSILQQQQTSQNLQQHDSHTNPQASLSSMSTGLQSSGAAGIQHVPVPPTTNFSALTQQNGANIQHQAVSNLEAPQGGNFNSLQHGSVSGALQQGNTGPMQGTVNTQPQTSSSMLSHNSMSTMQPNGNSMQANASSLQQLKQQQQDHHMMQSQQMKRQMFQQYQQKQQMLQQQFPIQQQLQKQQQVQMQVPQLHAGNDVNESKARPGTAVKSGMYQQHLGQRSNYYNQQLKQGVPFPISSPQNLQASSPQISHHSPQVDQHNLLPSQVKTGTPLHSANSPYVPSPSPSVAPSPIPVDSDKPHSNISSLTNTGQTGHQQTSLVPQTQSIAVNTPGISASPLLAEFTSVDGSQANMPTQVPTKSNAAERPMDRLLKALRTTQRESLNAAVSDIRSVVSMMDRIAGSAPGNGSRTAVGEDLVAMTKCRLQARNFITNDGSGASKKMKRDISAMPLNVSSAGSVDDSFKQSFNVDTPDLQSTATSRAKRRKLEVNHALLEEIEEINQGLIDTELRVCEDDDESLAATSEGTVIKCTYTAVAVSPSLKSMLASTQTSPIMPLRLLVPAGYPKCSPVLLDKFLDEQRNSDDLSSKAKSKFAVLLRGLDEPMSLREIARTWDACARGAIAEYAQKSGGGSFSSSYGRWETCVV >SECCE7Rv1G0456120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9409578:9413051:-1 gene:SECCE7Rv1G0456120 transcript:SECCE7Rv1G0456120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRPRRLLAIVVATSLLSLAAAAASSSSSNATDIETLLCLKLHLSSSPSSLLGSWVQNNSLPFCSWPGVSCNASRVVALDLENSGLDGHIPPCIANLTLLSRIHFPGNLLGGHIPAQLGQLSRLSYLNLSSNTLTGSIPNTLSSTSLQVIDLGNNKLSGDIPESLGKLRDLSFLRLARNSLTGSIPLSLGSSSSNSLVSVILANNSLTGPIPPALAHSSSLQVLNLVRNNLDGDIPPALFNSTSLVRLALGWNNFAGSIPAVVPNFDSPLQALILSVNSLAGTIPSTLGNFSSLRTLLLAANSFQGSIPVSIAKIPNLQELDISYNLLSGTVPSPIFNMSSLTYLSLAVNNFVGELPSDIGYTLPSIQTLILQQNRFGGKIPPSLANATDFLSINLGANEFYGIIPSFGSLSNLNELILASNQLEAGDWSFLSSLANCTQLQVLSLGTNMMQGNLPSSVDSLANSLGALVLHANRMSGSIPPELGNLTNLSFLRMEQNQFSGDLPGTIGNLASLTYVDLSRNRLSGQIPGSIGKLRQLNNLFLQENNFSGPIPRTLGDCRRLITLNLSCNSLSESIPRELFFLDSLSAGLDLSHNQLSGQIPQEIGGLINIGPLNFSNNRLSGNIPTALGACIRLESLRLQGNLLDGRIPESFSNLRGIAEIDLSRNNLSDEIPSFFQSFNSLKLLNLSFNDLEGQMPQGGIFQNSSEVFVQGNSMLCSSSPMLQLPLCFASSRHRRTWRTLKITGITVAALALVCLSCVVFILLKRRSKKSKQSDQPSYTEMKSFSYADLAKATNGFSPDNLVGSGAYGSVYKGVLESETNGMIAVKVFKLDQLGAPKSFVAECDAFRNTRHHNLVRVISACSTWDNKGNDFKALIIEFMANGTLDSWIYSETRRPLSLGSRVTIAMDIAAALDYLHNSCVPPIVHCDLKPSNVLLDDAMDARLSDFGLAKFLQISHNSSRAITSTSLAGPRGSIGYIAPEYGIGNKISTAGDVYSYGIIILEMLTGKRPTDELFKNGLSLQKYVGNAFPEKIRDILDPNIIIPSFGDEVVDHGNHAMVLGMLGCIMKLVQLGLSCSTETPKDRPTMPDVYAEVSAMKRAYSALRIKE >SECCE6Rv1G0437130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782546559:782547619:1 gene:SECCE6Rv1G0437130 transcript:SECCE6Rv1G0437130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSFSMGVVAAAAVLVVLCAAVSAAAQPRPPLPKNSHMITPGRFGKRKQVLSCDNPKDGTSPCVATCDSRCPNECIVMCPGCKTYCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDQDFCVLSDADLHINAHFIGKRNPTMSRDFTWIQALGILFADHRLYMGAQKTTKWNNDVDRLELTFDGESININADIGAKWQSTTVSGLTVTRTTVTNGVRVHLKGVFDIIAKVVPITEEDSRVHNYGVTDDDTLAHLDIGFKFYDLTNDVHGVLGQTYRSDYINKLSVSASMPVMGGVASYISSDIFATDCKVARFGRSVGISMVTTTAN >SECCE2Rv1G0075690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:85168363:85169376:1 gene:SECCE2Rv1G0075690 transcript:SECCE2Rv1G0075690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAMQVVLRGESRRAAASGLAGHCGYSTASSSQRLAGKVAVITGAASGIGKATAAEFVRNGAKVVLADVQDDLGRALAAELGADSASYTRCDVTDEAQVAAVVDLAVARHGKLDIMLNNAGIVGSLARPELGALDLADFDAVMAINTRGVMAGVKHAARVMAPRRSGSIICTASIAGVLGQLTPHPYSVSKFAVVGLVRSVAGELARSGVRVNAISPNYILTPLVKRILQEWYPEASGEEHRRIVESDINEMEGVVLEVEDIAKAALYLASDDSKYVNGHNLVVDGGFTVGKAPNMPAPAQ >SECCE7Rv1G0460780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:33125231:33127076:-1 gene:SECCE7Rv1G0460780 transcript:SECCE7Rv1G0460780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQLLVEARAPGFAAWMLGVRRRIHQHPELAFQEHRTSALVRGELDTLAIPYVWPVACTGVVATIAGGGGPGPVFALRADMDALPIQEMVEWEFKSKEDGKMHACGHDAHVAMLLGAAKLLQSRKDGLKGTVKLVFQPAEEGHAGGYHVLQEGVLDDVAAIFAVHVNTSMPVGTVGSRPRPFLAGSARYKATITGKGGHAAMPQSVVDPVVAASSAVLSLQQLVAREIDPLQSAVVSVTFIKGGETFNVIPESVTLGGTLRSMTTQGLSYLMTRIREVIEGQAAVGRCKATVDFMEEEHRPYPATVNDQGMYTHAKVVAQSMLGEANVLLCPQFMAAEDFGFYAQKIPAAFFNVGVHRAGEDISYLHTPHLVVDENVLPIGAAMHAGVAIEFLSKHAASPLT >SECCE3Rv1G0143820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2224839:2229125:-1 gene:SECCE3Rv1G0143820 transcript:SECCE3Rv1G0143820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLFIVLLISLLANGIYVATAWDDEEFFKNCSPSRCSKHGPEVRFPFRLATQPPPCGAPGMQLSCFGQDTILYHPVLGSCKVTAIFYKDLVINISPPVDSSPHCPLQRLVSANLSTDVYKPQQLDVASLVGCSRDSIPIDLYGIVGPVACLINKATQFWYLVNPDAYMSVLPSDCMVAYKDIPLPYASQIHHSYFIPKKVDNTATFEYRASRSISIGDTAFSWYSSNITSVCQQCEEEGQNCGFNSQRDQAFCKRHGMKVIPIAASSVAAFVALSLMVATTLYLSLKSRYHEEINRKVEMFLKAYSTSKPTRYTFPEVRKIARRFKDKLGQGGFGSVYKGKLPNGVPVAVKMLEGSVGEGEEFINEVATIGLIHHTNIVHLLGFCSEGTRRALIYEFMPNDSLEKYIFTHVSNISRQPLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSVENQDEVYLPEWIYEKVISGQEWELTLEMTAEDKEKMRQLTIVGLWCIQWNPKNRPSMTKVVNMLTGRLQNLQIPPKPFVSSDNRPMPQNTTDT >SECCE7Rv1G0520900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:872269121:872270608:-1 gene:SECCE7Rv1G0520900 transcript:SECCE7Rv1G0520900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLAFTCRAAVLVLLFLPFGASDDRLVPGKQLSPGNTIISDGGFFALGFFSPTNSTSTPAKLYLGVWYNDIPELTVVWVANRETPAINITSSSPVLSLTNTSKLILSSGDGSGRVIWTTTNAATATGSSTPVAVLLNTGNLVIRSSNGTTLWQSFDHHTDTFLPGMKLRVKYNTHDGGDRLVSWKGPGDPSPGRFSYGVDPTTSLQMFLWDGVRPVFRTPPWTGYLVISEGQYQQENASTEVIIYLAIVDNDKEIYLTYSLSDGASHTRYVLTYFGEYQIQSWNNKLLAWEIIGKWFSLKCSLYGYCGPYGYCDITVAPVPTCKCLDGFEPAIMEEWASGRFSKGCQRKEPLDKCGGDFLALPGMKPPDKFTLIGGDKGTFQECAAECNHNCSCVAYAYANLSSARSGGNVTKCLVWTGELIDTGKFGPEVGSNTLYLRIASLDVADGTNSLPVKGLRAIQF >SECCE3Rv1G0194540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:782330162:782333327:1 gene:SECCE3Rv1G0194540 transcript:SECCE3Rv1G0194540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEAAAHQVSPLLVPMPKEEARRRFFDFMTKVTQYEELVDAGKMFLVKFRQELEHFRRPTIPMESSAVSQLVKSNYTDRLKSYLEAGCHLQHQSVRNINQLQSCEEKLEDHINKAKVLIEELECLAEDVYSTTLTASLSILEASDCSDGDDNPPADCCEDEGQSVDPLDSAVSYSSVMILVHNMLKLEYSMQEKIVKALSLKTPSSELDGYCLMWDLRPYVDDNVMQLAWKFIS >SECCE4Rv1G0285300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843658666:843661931:1 gene:SECCE4Rv1G0285300 transcript:SECCE4Rv1G0285300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSRSRLLPLPVLLLVLAGAAAIVGEQDEQQPITHPGCPDNCGNMRIPFPFGLMPGCFREGFQVTCDHSFDPPRAFLLASSGMTANTITLTEVDSSALFDPSYPGLGYSYPNTSSWPVELMDVSVDRSEARAYGPITSRCSTSVTHFKLKEEAMSLERPFAVSEALNAVVGVGWSVSVRDSSRSTYTTTFACRSEIDASHLEHARNGSCAGRGCCEAALGSEPTFSIASGATPGVSAENNTLWKTSPCSYAMVIEKSRYTFSTPDLYGDKVLPKKFPRGAPVVLDFAIVGDAACPGNDQRPPLDYACVSNNSYCVNATVGQSRYALSYVCKCSEHYEGNPYIANGCRDIDECKFPDLYYCSSKGICVNTLNGYDCPCKPGMKGDGKLGHCAEKFPLVAKVIVGTIGSIFVIVVMSFLLLLHKEKKKTKEFYRKNGGPTLEKAKNIKLFKEDELTPYLKKSNFIGKGGFGEVYKASLGNELVAIKKTISGSFLENEQFANEVIIQSQVIHKNIVRLIGCCLEVDTPLLVYEFLSNGSLHDILHGDVKKPLNLDTRLSIAAESADGLAYMHSKTNTKILHGDIKPANILLDDRFVAKIADFGISRLIVRDKQHTRNVIGDLSYMDHVYLQSGLLTEKSDVYSFGVLLLELISRKKAMHSDNNSLVNSFLEAHKRGRRATELFDNEIAGGEDLKILQSLAEMAVKCLNGDVDQRPNMTDIAHHLLELNKSHNS >SECCE6Rv1G0380240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:19919066:19920361:1 gene:SECCE6Rv1G0380240 transcript:SECCE6Rv1G0380240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAPPIAPDMASPTPPVAECPTQSSLLALPDVNLEDIFLRLPTPAALARASLACAAFHRIITERSFLRRFRKLHPPPLLGIIDDEGVFAPTEAPHPSSPLSRALVQGADFTYSFVPKPLGKNHTIWSPCDVRDGRVLLEHRRFGADTSFTDLAVCDPLSRRYVLLPHIPEDMTIEQQRLDELRHFLIPIREDEDETSLKVICLAYYRSKLVTFIFSSVTGQWCIASSTSWSSLGIVEPFRKLFSCFNYFRGCFYWSLDWEYKLFVLDTHRMEFSTLSIHPEFIGQPENIRCVPTVVEDTKGALQVFNLVGDPFDPSPFDPIGGPYDLTPFYIYHTFQQKGESSGEWQLLNVMELPRGYCYYTVGAAEGFLFLGGIGKTQPEGLPDYWGVDVFSLEVKTSQLKKVCRANATVFCFQSYFGFPPSLSAPSL >SECCE5Rv1G0307200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:107455994:107458514:1 gene:SECCE5Rv1G0307200 transcript:SECCE5Rv1G0307200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGEVHVNRSLYCFEHNSGRPTSSGIFAGDDPLKFYFPLLLYHICVIFILSQGMHAVLRRVGVPLVISQILAGALLGPSFLGHYLPHIGEVFATPEGWVQINTVGGYAFTLHIFTIGVKTDLGMIVKSGKKAIAIAVFGTAAPHLAMYVAGLALSDRIPKQWTDTFLLTNLNSWWSLSAFIVVCCTLDDLHLLSSKLGRLAMSAALIGDFANTFAIAGVTSYLLQASPEEKLQRIGFASSLSFTIFIALMWLVARPVILRLIRDVPEGGILSESRLVAVLLISITCSFAGELLGLHATYGPFMLGLMLPGGAPLGVTLAERLDRLVAGVLTPLLIAQGGMRMNVHMITDASTCGLLEVFLVVGILAKFVACIMPCLYCQIPVRESVAVGLMMNFKGITEVVYASAFMDSKVLDDQAYAAFMINVLVVGAATGAAVKYMYHPEEKYLANQRRTVQNKKVGEELRVLACVHSQVNVAPMVALLDAASPTPTTPVSVYLLHLVPLAGLTSSVLRSFKHGDRNCVPTGSKASESERVVNAFQFFVDQRQQGSSSLLPYVCIAPYATMHNDVCTVALEKRAMLIIVPFHKRLAIDGSVEPTSPNAGAIQDTNINILNYAPCSVAILVDRGSLSGVASTASTDTIEGLFPHRVAMYFLGGPDDREAMALAAYMAEDAPIGLTVFRFLLPIEWQQRLNPEEDELDEEATQEFVRRWVDDNRIMYSQHTVGGSHEMVDVIRNTSVAFDLLVVGRRAESRESPLTAGISDWSEHLELGVLGDLLTSADFGSRLSTLVVQQQTKAAAGELCPV >SECCE4Rv1G0288240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:859226265:859228586:1 gene:SECCE4Rv1G0288240 transcript:SECCE4Rv1G0288240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSSPRFLFLLLLAASPLVATSATFNCVGGVYKANSTYEANLRRLAAMLPAETAASQGLQASRGVGYWPNRPRASSQCYWGVSPSSCAACVAGAFREAERACPYGKKAAVFARNCTLSLADFPRALGFRTISWLDLLGPGLIFQAIGFAWLFFLLLQEWRDKRRASMMRCSSLPSGDQ >SECCE4Rv1G0268140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:739944572:739949053:-1 gene:SECCE4Rv1G0268140 transcript:SECCE4Rv1G0268140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.4 [Source:Projected from Arabidopsis thaliana (AT3G15990) UniProtKB/Swiss-Prot;Acc:Q9LW86] MVVNNKVETLAFDLEAGQGPGAKAAADSGARQQQQRPAGMVQVELHKVSAPERRTTARALGQRLAEIFFPDDPLHQFKNQSLARKLVLALQYFFPIFHWGSNYSLRLLRSDAVAGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYALLGSSRDLAVGPVSIASLVMGSMLREAVAPEQQPILYLQLAFTATFFAGLFQASLGFLRLGFIVDFLSKATLTGFMGGAAVIVSLQQLKGLLGIVHFTTHMGFVDVMASVVRRHSEWQWQTIVMGVAFLAVLLGTRQISARNPRLFWVSAAAPLTSVIVSTIISYLCRGHGISIIGDLPRGVNPPSMNMLVFSGSYVALAVKTGIMTGILSLTEGIAVGRTFASINNYNVDGNKEMMAIGVMNMAGSCASCYVTTGSFSRSAVNYSAGCRTAVSNIVMASAVLVTLLFLMPLFHYTPNVILSAIIITAVAGLIDVRGAAKLWKVDKLDFCACVAAFLGVLLVSVQVGLSVAVGISLFKILLQVTRPNTVVMGLVPGTQSYRSMAQYREAVRVPPFLVVGVESAIYFANSTYLVERIMRYLREEEERAAKANLCGVRCIVLDMSAVTAIDTSGLDALAEMKRVLDKRGVDLVLANPVGSVTERMYNSVVGDTFGSDRIFFSVAEAVAAAPYKAQP >SECCE2Rv1G0081320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:138126710:138128293:1 gene:SECCE2Rv1G0081320 transcript:SECCE2Rv1G0081320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTLEYLFGLLGGGGGHGHGYDHGHGNRRRRRKQMQTVELKVSMDCEGCERKVKNALSSMKGVRSVNINRKQQKVTVAGYVEPTKVLRKAQSTGKKAEIWPYVPYTQVSQPYVAGTYDKRAPAGHVRSQEPGYGNVSGQASRQDDQLTDMFNDDNANSCAVM >SECCE6Rv1G0409710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:590996089:590997480:1 gene:SECCE6Rv1G0409710 transcript:SECCE6Rv1G0409710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACILIRSLRHLTRHPTSLCSLIFRSLAELMGLSPSVLSKFRGHPPPMENMVDKSPEPEPELPPDMMSSIFALLEVPDLVRAGSVCSSWRSVYTGLRRQLRQQKQRQTPCLLYHTSESAGQNAACLYSLAEKRVYKLTLPDPPIRSRYVIGSSHGWLITSDERSELHLVNPITGEQIVLPSVITLEQVKPIFDDEGALHKYELWEPRYTALAEFLGYEPSIYALDELRDYLYLKAYLFPDPQTGSYIVVLIHNPEYQISFARAGDCGWTFLPPGWNYQECIYKDGILYAVTRTGAVDAFDLSGPTVTRKTVLGDTKNYICEHIYIVQAPWGDMLQVWREQPTVDGDAGSEHKKETSKIFVYKIDMAAKKLVEINGLHGHVLFLGHSQTQCFSVEEYPQLKANCVYFTDDERFISLYQNNKRDVGVLNLENDTREEIIPQIYCSWPNPIWITPSLTMMYSGLM >SECCE4Rv1G0287460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:854887194:854888551:-1 gene:SECCE4Rv1G0287460 transcript:SECCE4Rv1G0287460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MPPPPSSMAPSALPSRTFHRRHSLPSQAPPPTTTREAAVPCCVSRRRAAAQLLLSAGLLTAVSSSPPPPPALAARRGRRTIAPEDYASTPDGLKYYDLIEGKGPTAEKGSTVQVHFDCIYRSITVVSSREAKLLAGNRSIAQPYVFTVGSLPRKERKRDFADTANGLFSAQASPKPPRAMYMITEGMKVGGKRRVIVPPDLGYGKKGQGEIPADASFELDIELLEVTPPADS >SECCE5Rv1G0357870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:750558501:750558935:-1 gene:SECCE5Rv1G0357870 transcript:SECCE5Rv1G0357870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTAVVGFTLIAAQLLFLASTPTTAISIPPATTTGTTIVPSGPATDVPALPFPGEGEVAEAAADCWKVVLQVDSCAVDILKWLATRQLAGRVSPACCGVLQRVGDRCLRDLFPHSAVGRLYAPFVSHACGIPKRATPSGRQW >SECCEUnv1G0530440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13191351:13199152:1 gene:SECCEUnv1G0530440 transcript:SECCEUnv1G0530440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSTDDNPFETPNAVMQTAVTPGNPQNNLLVSVELNLPDGVWLNTITIMHFSELNLLRGNASRQIDIRVVGYQTIPAYEPVYLKSSVVYHTEAIEKRYSATVVLSAANTSTLPPIINAIEVFSVITTTNLSTDAQDASAMMVIKKNYQVHKNWMGDPCFPKTLAWDGLNCTYTVSKHPIIRSVNLSFSGLNGVISPSFANMKNLQSLDLSNNNLIGSIPDELSRLPSLKLVDVSNNQLDGSIPSGLHKRIQDGSLVLRSKRVIIIVSLVIVITVIIVSVCVLVCCLQSRKEQRSITNSSNTKNTEMASSTSNTDGHIHNSLQLENRQFTYKVLEMITKNFQQVLGQGGFGCVYHGFLENGIQVAVKLLSDSSDQGFKEFLAEAQVLTRIHHKNLVTLIGYCKEGDHMALIYEYMPEGNLQEHIAGKDSNRGCLTWRKRLQIALESSQGLEYLHKSCNPPLIHRDVKAANILLNTSLEAKIADFGLSKAFSVNNNAYTSTVILVGTPGYVDPEYQATMQPSTSSDVYSFGVVLLELITGKPAIVRDLEPISLIRWVKRRLSRGDIEAMVDASMQGDYDVNSVWKAAEIALKCTELESLQRPTMTEVVVQLQECLELEEDRVGVETTNELYSGSNTRFETDHHSFKRAPAMDDGPAAR >SECCE5Rv1G0365520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:807416094:807418568:-1 gene:SECCE5Rv1G0365520 transcript:SECCE5Rv1G0365520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPEFIGGLQSLTHLLLPGSFFGGQIPPHLGNLSNLLILDLSNQLHSCYSPNLAWVSQLWKLQHLGMSQVDLSAAVDWAHVVNMLPSLVTLELQSCGLRSTMPPPCGIRGPIPATVGNFTYIQSLFLDNNNFSGKVPSTFKKLKKLRMLKLSQNLISGGIEDLLLHRLPTDELQELHLQHNRLAGRIPARLEQFSSLTTLRLNDNKLFGEVPVGIRELTNLKELRLNSNNLHGTITEDHFMNLTSLEVLWISNNSLTVLVNNTWNTPFKLTSASFRSCILGPQFPTWISQTTLGTLDISNTSIHDSIPDEFWTAVSRARILDLSENRIVGRLPPFSLFRRLGAMLLDISSNQLVGPIPTLPKSLLYLDLSRNNLSGELPTDIVAPEMQVLMLFKNSLSGIIPCSLFELEQLKFLDLSENQLNETLLDCPHAPETSNLFMLSLNNNNLSGEFPSFLQRCKELKFLDLAYNQFSGGLPTWIGSKLPYLAFMRLRSNMFSGGIPVELTGMKGLQYLDIASNNISGDIPLSLGNLVAMAHTPNQQDALFQIVHFRLASTFMFLVDPSDMDSLVVVTKGQQLEYTTGIAYMVNIDFSCNRLTGKIPLEIGMLAALTNLNLSWNHLSGMIPQTIGELQAVESFDLSHNELSGEIPTSLASLTSLTHLNLSYNNLTGTIPSGNQLRTLDDQPSIYVGNPGLCGPPVSSNCSGTGITPRALEDEHEGMSDVLSLYLGIGTGFLAGLWITFCGFLFKRNWRIRWFSLCDGMYDWIYVKVALSRASLARKCQ >SECCE1Rv1G0012690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:95765401:95766492:1 gene:SECCE1Rv1G0012690 transcript:SECCE1Rv1G0012690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTKGTTGYDRRRELQAFDDTKAGVKGLVNAGVTTIPPIFHHPPDSLATSSTAAIPVIDLSGRRSEVIGEVRAATETVGFFQVVNHGVPADLLAEMLASVRRFHESPAEAKTPHYTRDLARKVRFNSNFDLFQSPAANWRDTLFCQALPDPPEPEELPAAVRGVLLEYVNAVRLLAVRVLGLVSEAMGLAPDRLEKMGCADGLSVVSNYYPPCPQPHLTLGTSRHSDPAFLTVLLQDDMAGLQVLVDDGGEKRLAWVDVPPVPGALVINVGDLLQLVSNGRLKSVEHRVVANRSRDRARISVAAFFNADLRRTTAVYGPIEEQVSSSAPPLYRSITVGEFLSHYDGKGLDGRPALDHFLLP >SECCE1Rv1G0040260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:557326797:557329546:1 gene:SECCE1Rv1G0040260 transcript:SECCE1Rv1G0040260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVLNTGARIPSVGLGTAKTEPGTVGEAVYAAVKAGYRHIDCAPAYRNEKEIGLALKKLFDDSVVKREDLFISSKLWSGNHAPEDVPEGIDTTLEDLQLEYLDLFLIHAPVRTNKGAIRTAENYVPLDVPATWGAMEKLYDSGKARAIGVSNFSCKRMEDLLAIARVTPAVNQVESHPGWQQMKLRELCQSNGVHLSAYSPLGRPGSPAFKGPSFLSNPIVSSIAEKLQRSPAQVALRWGLQMGQSVLPKSINETRIKENFNIFDWSIPEDLMSKFSEIPQIKTLRAEFVVHPQGIYRTVEDFWDGEI >SECCE5Rv1G0362810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:789287561:789289942:1 gene:SECCE5Rv1G0362810 transcript:SECCE5Rv1G0362810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRRLALLALAVVLAAAAATTGDAGVTSAYRRKLEATADMPFDADVFRVPPGYNAPQQVHITLGDQTGTAMTVSWVTPSEPGNGTVRYGPSPDKMDMSAQATHTRYDYFNYTSGFIHHCVLRNLKHGVKYHYAMGFGHTLRTFSFTAPPKPGPDVPFKFGLIGDLGQTFDSNSTLSHYEANGGDAVLFVGDLSYADAYPLHDNRRWDSWARFVERSVAHQPWIWTAGNHELDYAPEIGETVPFKPFTHRYRTPYRAAGSTEPLWYSVKIASAHIIVLSSYSSYGKYTPQWTWLADELGRVDRKATPWLIVLMHSPWYNSNNYHYMEGETMRVQFEQWLVGAKVDLVLAGHVHSYERSRRFSNVAYNIVNGKATPVRDMDAPVYVTIGDGGNIEGIANNFTEPQPAYSAFREASFGHATLEIKNRTHAYYAWHRNHDGAKATADSVWLTNRHYLPTDDSK >SECCE5Rv1G0308950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:142635551:142640398:1 gene:SECCE5Rv1G0308950 transcript:SECCE5Rv1G0308950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGMATAAGTAVLVYLVLSGRLCGDADGAAGSRAAMEDKMITSAVSDAAEARERRQVEARGRRRARRARRGRRWPERAPDGWGEAVAGAARTVRFAYGQTLGKWSLGELAFGINYYMRQQGNLQHEYAGSDSVRLGGPGAREELIPLLRYMKLCMYFSKKPYKVFLEFGGFDQSDVLIKKSKARFLKPAFTVVHDRDSKCFLLFIRGAISVKERLTAATGADIPFHHVVAKDGCVSNLVLGYAHCGMATAARWIANQAIPCLSKAVEQFPDHRITIVGHSMGASIAALLTYILRENDKLLSSTCIAFGPAACMTWDLAESGKDFITTIVNRNDVVPSLGRVSTAKLRKEVMASSWVHELREQIQQTRFLGFVNRSVSFVRSHVPFVSDPKSKVVDVDMLQPPTCEAETRSSEDVNCVAKKRSTLVCWSCSSAQKRTIDPAKHTRDMTNQTDVDVKTEKNDTEATDAELVSFSFGELNLDTSGNEDTNKDDIKSRLKGSSEGQVRESLTNKQMEPSSSSPSEDPLQLYPPGRILHMVALPAAEEPNTSEQGSREEVVTLYGTPRHLYSKIRLGRSMVGEHYMPKYIRTMELLIEKLAKEDIGSDQLDLL >SECCE5Rv1G0308230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:127423049:127428871:1 gene:SECCE5Rv1G0308230 transcript:SECCE5Rv1G0308230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQAAEAASIRNEAREVLNLHYDGQHEAAVARAVVLAAAHPGSTIALNLAGLIHRHAAVAALNERGAHSDDEDDDENASPLEKYHRDAALDAFSAAARLAPRCVLTNSDHAVALADCRRYEDAQKEFLRMLDTVAKNDQADPALHNVVYDMNGDSSRKGRRRDAVKSASIAMECFAERINHRILPWEAAKLLDASNLGGPAADEARDRAKLLAETYPYSPRAQLLRAYIDLAPVRALDPAMDKKQLLRRALTVVSQAAENFDLSLMVALFHAKLLFILDKFDAAEGECRRALRIETPNDPSWDDLPPMAALGADSDARVSYVKKQLRVLLKQIVVVAALYWSSMKNAPQGQRVVSVTVDTLHAHYDGIDKSAAKTISDATRFLKNQESWSFWICLNSRCDGKKFSDTSSLWQHMCSKHRDELWGKLQSLIDPEYCENTSQDDHSLVGITLSRQSGTFLLPRVQDMFESLLLSPSVGIQAEPFAEMRQRKCKEGSEILGSIREKLSMLPEDTLSTEFQECCSGIKKLWLKFLEVTVVDYREIILPLARSYQWIELKKQIPFYLNHPGTRRIGFADANIDIICGTSGQSVKEMASTSSSQPSLNVSNKNNADKELSILSVIIQSLCNLRHFRDKLLIEPLVWIPSVENPCIAQQFYEIFSSWEKNDHHLTDVVLTYMKTLLCGLEDCSTFYEKVGKTFASEIVATILIELHMSETCSRFRENKETERHVVNPITCGDCICPTHYLFGIKFDAQISCRCGKSSGEYLYTTLFHKLDAGSPQTAKIKSFAELPVLLDEQFREDNKCEHCGSLQNIDLFLSNTAHFFTIVLNWLGGSESQDALSEVLAGITSPLDTEFFCRSAHSAAMYAVTSMICYADDRYVCFARDEDKWVIYGFETVEREDSWEHLLERFKDCKLQPEVLFFEVIK >SECCE2Rv1G0116290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:763061651:763063050:1 gene:SECCE2Rv1G0116290 transcript:SECCE2Rv1G0116290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPPHCCPSLFTVMILLLFRPSPASPHAFFIFGDSLVDAGNNDYLVTLSKADTPPYGVDFSFSGGKPTGRFTNGRTIADVIGEALGQDAFAPPYLAPNSSAEVTNSGANYASGSSGIFDDTGSFYIGRVPLGQQISYFEKTRAQIVEAMGEKAAADFLGNALFTVAAGSNDILEYLSPSVPFFGRQKPDPAVFQDALVAKLAFHLKRLNELGARKFVIADVGPLGCIPYVRALELVPEGECSAGANRLCEGYNRRLKRMIGRLNQDMGPESVLVYTNTHDIVLEIIRQHRQYGFEEALEPCCGGSFPPFLCIGVANSSSTLCEDRSKYVFWDAFHPTEAVNFIVAGRVVDGGAADASPVNVRALFQRR >SECCE6Rv1G0437490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:784200576:784202536:-1 gene:SECCE6Rv1G0437490 transcript:SECCE6Rv1G0437490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWCCFVSQLLILITLIYLVMTKRKVRSGTCSSATVPFPIPPGPWSWPLVGSLPQMVLNKPAFRWIHRVMRDMGTDIACFRLGGVHVIPITCPKIARKVLKKQDKNFSSRPLTFASDTISCGYKDAVLAPFGDQWMKMHKVLTSEIICPSRHKWLHNKRADEADNLTRYIYNLTAGGLSSTSGIANVDVRHVARHYCGNVIRRLVFGQRYFGAPQPDGGPGPMEVEHMDASLTLLGITFSFCVSDYLPCLLGLDLDGHEKIIKEANTKVDRLHNMVIEERWRQWKSNERKDGVQDFLDILITLVDGDGKPLLCIDEVKAQCKTMILAAIDNPSNAVEWALAEMVNNPELLAKAVEEMDQVVGRERLVQESDIVHLNYLKACIREAFRLHPVAPFNLPHVAIADTIVAGYHVPKGSHIILSRLALGQNPTVWDEPLHFKPERHIEDNINVVLTESELRFISFSTGRRGCIAASLGTTMTVMLFGRLLQGFTWTKPAGVSAINLRESKHDLFIEKPLVLHAEPRLAVHLYPLMHH >SECCE1Rv1G0017720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:161277832:161279964:1 gene:SECCE1Rv1G0017720 transcript:SECCE1Rv1G0017720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta 2 subunit of 20S proteasome (20S proteasome beta subunit) [Source: Projected from Oryza sativa (Os05g0187000)] MAGSMELPTKGGFSFDLCRRNAMLEKTGHKMPGFRKTGTTIVGLVFADGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATSRESRVVTALTLLKTHLFNYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYREGLTREEGIALVAEAIRAGIFNDLGSGSNVDVCVITKGRTEYLRNHELPNPRTFVSSKGYSFNKGHTEVLSTKITQLNPVVADNDAMEE >SECCE1Rv1G0034290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:479913308:479917023:1 gene:SECCE1Rv1G0034290 transcript:SECCE1Rv1G0034290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDANAVVDWNVDIIGPDGGSGACRKLAKTEDPDATECSSSFGDTLSGSQDDAKPSEISDIEVDSPFCRYPHNGDAAALLDAAAADNLDRLLKKKKVTDHWRNYISPLMWRCQWLELRMKDLQSQVSRYDRELAVLKHEKELQTKMIELDCSSSRSVPFSSHCCRKTMKRKKRKRNEEKINAPSYIATHAILSYYEKEKTEGDGHSIDDNGNLADDSTKGNNDADWLLGNGDDATVEQILVSIQSVQDRVCSLRSDLKKAMAKKSKGLILKINTQVNGAQSSNCSHGKGKVTEMPQISPQDASDCDMGDTDMPDSAVSSYGEANNMDIFESTMNLLSVEDPDKIGELHQNSEDVLIDNQPAEEGYQNFEVIGHPCQRLRVSVKREQVPVKRETVAHSEDESVAAPMAVVKEEGTTRMGLQGILKPCYTGKRKGRRPKILRRGGSSSALSSWRSARTRKMRKS >SECCE1Rv1G0042050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:576131739:576139081:1 gene:SECCE1Rv1G0042050 transcript:SECCE1Rv1G0042050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56040) UniProtKB/Swiss-Prot;Acc:F4IY62] MASPSRPALPPHLRLLTPRQLPPLRLPRRRGARSRVLSSLPSPSPSPPSQQRVSTASLEHGPGPSAEQQPRSHDQALAAEVARLSAARARLRAARSLGDKLRALDAEPRVAAFFGDAPSRGVLAGLRPREAYLLKCLVAAGQDHVLGAELGWAGGGGHERHRNGGGGGSALREALYSLADLVGRWSEEGAAEDEAGSGQTELLLGRLLKFLGDIEEFYDCVGGIIGYQIMALELLSVSKSKDSKHRHSKDKFVDFHVPTGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGDSLPAALLPYCGRSLLEGLMRDLQAREFLHFKIFGKQCITPVAVMTSSVKNNHEHIVAICERLEWFGRGRESFRLFDQPLVPVVNAEDGKWLISESLLPVGKPGGHGAIWKLACDRGVFEWLYRHGRKGATVRQVSNVVAATDLTLMALAGIGLRHNKKLGFASCERRPGATEGVNVLIEKQNFDGLWEYGITCIEYTEFEKYGISEPTATNGSLQASYPANTNILYVDLQAAQEVGSRKNASCLPGIVLNLKKAVSYVDHLGFECSAAGGRLECTMQNIADNFMNTYSYRCSEGIESELDTFIVYNERKKVTSSAKRKLKPEDRSLHQTPEGSLLDIMRNAQDLLSSCSIEVPKVKDNNEYLHSGLPFLIFLHPALGPFWDIIKQKFIGGSISKGSELQIEVAEFLWEDVELDGSLIILADNIMGSAKRNTDGEQILHYGARCGRCKLQNVKILNEGISWDSPSNVYWKHQVERSESLKIILHGNAEFEAKDVLLKGNHVFEVPDGHRMCIIQDEAGFAVKLDPISKEMMDSGTWYWEYTLDGAHVKLNMVDL >SECCEUnv1G0568830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:431732215:431733211:-1 gene:SECCEUnv1G0568830 transcript:SECCEUnv1G0568830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRVVVVVLLAVSCALLSVAADTTNTPSPQPFVWQKAHATFYGGADASDTMGGACGYGNLFSEGYGIRTAALSTVLFNDGAACGQCYKIACDRKRADPLFCKPGVTVTVTATNFCPPNDALPNDNGGWCNTPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCTKRGGVRFKINGHDYFNLVLVSNVAAAGSIKSMDVKSSDSEDWMPMARNWGANWHSLVNLSGKMLSFRLTNTDGHTLVFNDIVPKGWTFGQSFVSKLQF >SECCE4Rv1G0282690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829811925:829814439:1 gene:SECCE4Rv1G0282690 transcript:SECCE4Rv1G0282690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAAAVSLRAATASSTTPSSAPQHSHCSFPSAARTAVSRRGLSVRAQAVSTDAAAAPVKEKKVSKKQEEGVVTNKFRPKEPYVGKCLLNTKITADDAPGETWHMVFSTDGEVPYKEGQSIGVVADGQDKNGKPHKLRLYSIASSALGDFGDAKTVSLCVKRLVYTNDAGEVVKGVCSNFLCDLKPGADVNITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKYEDYKFNGLAWLFLGVPTSSSLLYPEEFGKMKAKAPDNFRVDYAISREETNAAGDKMYIQTRMAEYKDELWELLKKDNTYVYMCGLKGMEKGIDEIMVPLAAKEGIDWIDYRKQLKKSEQWNVEVY >SECCEUnv1G0565860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:397229720:397231957:-1 gene:SECCEUnv1G0565860 transcript:SECCEUnv1G0565860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAALRSQLNEHISYMYATGILDEYYQQLRSPQDEGFVSEVINIFLRDADKLLNHITSLLNQVVVDFHMVDELVHQIKACSCSVGAKKVKLTCEHFRQFYEAKSKEGCLAALNLLRNEFNDVRGRLQTIMQLEQQIAAMGPK >SECCE2Rv1G0092110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:342995626:343014871:1 gene:SECCE2Rv1G0092110 transcript:SECCE2Rv1G0092110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAASPGSSSLEAVATAFQSRVNELQDLALARNMYPATAVTDLTAVDTSVTAMEAQVQAIRRRLQEELDAIPKAKKLVEKSLKQQQKLQHMLANMPPGMREDIVATPLEQSLMLPECFNFNTAVPEFLDSDFKIKEEPVAAPKKGKGSAPRWYISTEELDSLSSYMRGRLTLEKVNISINEVATYADANAHLVACPKKKLSEDTWEKALELRDIAATEAVKGKHFFLEADIKGPGLKLDHTGKAILTVLRHLGRIHETRIGHHRVFILSKQR >SECCE2Rv1G0085760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:196579711:196580214:1 gene:SECCE2Rv1G0085760 transcript:SECCE2Rv1G0085760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEENGNLEREFVKCESKPEGHIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPAAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE4Rv1G0218160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:20147691:20152244:1 gene:SECCE4Rv1G0218160 transcript:SECCE4Rv1G0218160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEGEVRRDMWGQEYRTASADCAAALDDYYAQTMSFGRGRGRAVLRAAAADPSCALAALHAAHFVGPRDRAGAAAFLAAAAASLGKATEYERAVYRALSALVGDDRDTEVAIDRHFQLLKEFPRDLMSLKRAQLLCFYVGRPDTSLQFVQQVLPENQDRNYIYGMLAFPLLELGRMDEAETAARKGLDINKNDFWSQHNTCHVFQQECRFREATEFMESCSPSWTVCSSFMFTHNWWHVAVCYLEGEAPISKVMEVYDQNIMKELDRSDCEPAEVYLNALGLLLRLYVRGEIGPAKERLTTLLDELKNESIWHAEWLLDLLLLWALSSMNEIKAAENLLDSIRSRVSSMDTKRQQVMHKAIQLADAVYKYGKGEHKAVFDTLGPDFDGLSYKMIGASDEQVDVFNEVWYTVLINAGETSKAIELLVKQISKRQGAPFLWRLLEKAYAADGRAVDASVAAEKANALQAAHFR >SECCEUnv1G0533730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:32682340:32684023:1 gene:SECCEUnv1G0533730 transcript:SECCEUnv1G0533730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHLIPNHAAGLTYANAYAAAREGHGGGGDPFEAFPDAVLGLIVSKLSFRSAVAASSISRRWRGAVAAAPALDLDFAAAFPAAPRRRAAFAAAATAALAGSRRRPLRRLRLALDGFFDQAFAASAASHVASWLAAAAARGVEQLELHLPRSRLAVLPPSLLACTDLTSLTLRLDHNALPLPSLCPLTRLSRLHLASVSLVGCGDFFEDLCSHCTQLSSLILEQCHIGALRLAGAPQLCSLDITSCSWTEQSSIAIAEMPALRTLRYSGTMANRHMINDADSLDEVVLAIEKPQALLEPNLRELLALVGNVRSLVLSPWCIEQFAHPEEWSKVRLNKVRRLACIIERREEGALSIAPLLTSCPNVQELSVSVVPSQSKRRRCSESEVQYRVIGGRGMIVRNLREIRMEYIDESKSGLDLVKLLLKKAQMLEMMTIVPSMDGLEQAKFRRRVLKFRKASRNVNIQFCATA >SECCE1Rv1G0060840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712389997:712391541:-1 gene:SECCE1Rv1G0060840 transcript:SECCE1Rv1G0060840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIQYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCRAELHNLLKEERLAGSSLLVFANKQDIQGALKPDEIAKVLNLEVMNKDRHWKIVGCSAYTGDGLLQGFDWLVQDIASRIYVLD >SECCE4Rv1G0291430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876564729:876565037:1 gene:SECCE4Rv1G0291430 transcript:SECCE4Rv1G0291430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSFDAQLQGTCAKQNFAFLDDMTPTGFDNFYYRNLQHGRGLLGSDQVLYTDERSRGTVDFYAANQGTFFSDFVVAMTKLGRVGVKTAADGEIRRDCRYPN >SECCE5Rv1G0353810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720159091:720162721:1 gene:SECCE5Rv1G0353810 transcript:SECCE5Rv1G0353810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKVEVEGYKPGHCAMGDLHASANGWMFSYEEETSNGFVTAEAAGYSEYDKEMFRRTMLAHEAVFRQQVYELHRVYRIQRDLMKQHQSREMHACSTLEDASRRDSPSQIPLRGASMIAAATDVVNNEKSQSPKFPREGSVQSSPNGFPSSDATLPTKQGRFDLQLGAEHYVEDDNASDSKPIDFLGVSSDTKHQNDAGIALARAEGLGRFAPNSSTPFPPTTGNLVGHHVADLNKPILGPYMARTNGAVSGGPSYTLENSWQQSVWRSSTTDCSFSKEYSKEKRINEGTSSNFFDASSRIKLEEKPVIDKGKRASSTSFLAPRYSGMDPQKMFSPADRGSASSNKFIYQCPNSSAGWFSGSPLEAYAINTLPRHDHLRYSSNAAASRVGSCVVDPRSYNISADVQSFPSFNGSSTVNSYACFSAVNQSIGTSSCNLKKINNSDGSYSGIPLDSLSASRPPHQVTISSDLEQNKRPMFGDATRHCHEDPDSANGKVRKNFNLNEALSDGQEDVLVEQNRGCVGGLQHVKVEGSVSGISWLSKKASCADSTGLEEPRKVFEHSYGTTALININEDIRGAALALCNLPDSASTSVGCGTKKDKACDNIPARTQENDVACLPLSSQKPMPRDGQAADSLTNKSGVAAWNFIDLNEDAPNEDNSESSVVSNDCHVTSLKNDHAKPKFLIDLEMPACEDDTATAAAESILALSMDVPATAETPDDMLQWFAELAVSSTDDHARQGVVQGCADNSSDDDSDSFESLTLKLEEIKTGELCSMPPAPTITSDEQTVSPVNLLMKPKRGQQRKRRQKRDFQKDILPSISSLCRPEIIEDIQLLEGLVQTTGGSWESSLTRRRRTRGNKKPRKRVLDAVEEEVHVSVEEEEAQVSPPAKPDDAGIEAESNIGMIGWGRTTRRCRRTRCPSGITVAAAS >SECCE4Rv1G0296240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:902058102:902060343:-1 gene:SECCE4Rv1G0296240 transcript:SECCE4Rv1G0296240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLRSSLSMTRARAGDDADDRGWNQLHVAARKGNLKEVRRLLNEGMDVNAPAWGPKSPGATPLHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKRVVRFLVENGAFLPPDLNDHRFNPPLHYCSGLEWAYEMKRMQDESDSGGEASFSSSN >SECCE6Rv1G0408070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:567927974:567933149:-1 gene:SECCE6Rv1G0408070 transcript:SECCE6Rv1G0408070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDDDHASLLRSHPAASPSLSCPSPRAAAGHRHADIEAADEAALAASPRRAGGVRGLLRHLDRRIHARGSARRQQQQQKLDRSAALPEEPSAAPSQLQRERAGEELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHDWAWAGTPNEGAAWLRLQRLSDTWHRILLIPVSGGVIVGMMHGLLEIFEQLKLAKQPQNQGFSLLAAIFPTVKAVQAAVTLGTGCSLGPEGPSVDIGKSCALGCSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENAPPFTTAMIILASVISSTVSNVLLGERPAFTVPAYELKSAAELPLYLILGMLCGAVSVVFGRLVVWFSRFFAYMKERFDFPIVVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGATFGGSASYLINAAIPGSAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPNDGESSGSRRGYSLVSLQNKNSSVWTRSDPVDDLELTISQSGLRNYGTYQEEVLLDDLKVSQAMSKRYVKVSPSATVTETLNLLSDKQQMCALVVDHEDFLEGLITLGDISRMGFELHGESYLSEAQLNSDEASSTISSCLTRGFQYQGSECGLLTCFPDTDLTTAKNLMEARGIKQLPVVKRGVGHRTERRRKLIALLYYDSIGHCLREEIENWKAIYQRKEDFHILATGHTLQ >SECCEUnv1G0554700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:289720329:289721825:1 gene:SECCEUnv1G0554700 transcript:SECCEUnv1G0554700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEKSDACSENESDLLDESRRLIEKIRDDILCKGRILQDQSAECDMDIQRILKEGHMTAKVLSDKYKNGFPKIEVATSSCSGDGGRLKNMTLRAILEFRKYEELDEICRDCRWIFPRYTILPTTTDGMYVASVRLTCPDVKMTINGCPRETPLEARYSAAANMMLELSKVQGTGEQDT >SECCE6Rv1G0437450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:783984157:783988450:-1 gene:SECCE6Rv1G0437450 transcript:SECCE6Rv1G0437450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFTGLMEDFSPSTLLNSISHLSALTSDGSTARPKPIQKYCQNVCDISSIVSPLIEDICKSPEEQLNEVLRELDTAINEASGLIGNWHQTTSKIYFVWQIESVISDIQGCSLQLCQLANSLLPSLTGCACICIQKLQDIDYEHIFDLAKDVATKLNGNDTQSPENLSIVSSSLSLSTNLELYMEAVSLENLRTRAMRSENRKELKLAEEMIPMVNYMHERLLRETQLLNINGVPIPADFCCPLSLELMSDPVILASGQTYERVYIKLWLDEGFTICPKTRQRLAHSNLIPNYTVKALISNWCESHDIKLPDPVKSLKLNFPSAASSLQDLSATGNSPLHPSAGRGNIPGSPEAELYMKSLNRASPSHSAVHQNSDALVNRPGHEASANHSSDYANGSAPDISRLSLASSEARESSLEERHAGSNVQTSEQSTDEAFQASLLSGDSQEHVGSSSVNGSLPNSGQLDGECDNANGMVRLPSDRTNYSSDASGEVADGGPSVSSAPQRENVMLPRLGDLRMRGQFVRRQPSDRGFPRITSPSSMDARGDLSAIESQVRKLIEDLRSDSIEAQRSATSEIRLLAKHNMENRIVIANCGAINLLVGLLHSSDAKIQENAVTALLNLSINDNNKIAIASADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAVKPLVDLLGNGTPRGKKDAATALFNLSILHENKGRIVQADAVKYLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSNRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >SECCE6Rv1G0431490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746603351:746603902:1 gene:SECCE6Rv1G0431490 transcript:SECCE6Rv1G0431490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMADECMEHALTFAIDSSTAAKHWLVPLPSQHQGAAALQQQQQLGSVGYDVDAAEELGRSVLLVAEALFLHGVLGEDDILRVLSAMHNPGDAYGDGGFGGVPATAAVVAGLEKGVYCRGRGQQCGLSTTDTGCVICLEDFVAGDEIGVMPCSRKHSFHRDCIAEWLGRSNACPLCRHALPS >SECCE5Rv1G0305530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:73871521:73873607:1 gene:SECCE5Rv1G0305530 transcript:SECCE5Rv1G0305530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGEMMVDEKGSCGRCREWQEHYYWEHMEDVSKISFFKLMTGDFQQRISIPEKIANNFIGKKANGGFTLKAPSGKEWRVAVEKIADELFFMSGWHEFAKAHELQENDLLFFKCSGNGSFDVLIFDASGCEKVSCFFANKKGTSMHKQFTNIVGQQGEEHCMLSDSEEASMPLSEPVGCPHKASTSKKPRKETESSKNNNYYLKNEVVEEEEESDDHHTESDYYYYSRFANCLSEDEREEIFGLASIQLGNPVYVAVLQKTHVRRTNNFLIVPSKFAADHLEGRSHEMLLLRPNRREKWYLKYYHGSVTRGFNCRGWSKFVRDNRLREGYVCVFELMKGARKATMIVHVLRKVDNKFVLLG >SECCE6Rv1G0404710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:523481623:523494655:1 gene:SECCE6Rv1G0404710 transcript:SECCE6Rv1G0404710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVKEEVGGELAMEIESSVTAEDWRRALSRVVPAVAVLRTTAPRAFDTEVAGASYATGFVVDKARGIILTNRHVVKPGPVVSEAMFVNREEIPVYPLYRDPVHDFGFFRYDPSAIKFLKYEEIPLDPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSSSAFFLPLERVVRALNLIRDCWDAFGTKPESVYIPRGTLQMTFQHKGFEETRRLGLRNETEQMVRLVSPAGETGMLVVDSVVPEGPAHKHLEPGDVLVHINGEVVTQFLAMETLLDDSVGKEVNLQIERGGVPLTVKLEVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHSIIKKFAGEDIENLDDLIAVISKLSRGARVPLEYVKYTDRYRNKSVLVTIDQHGWYVPPQLYTRNDATGLWNAKLAIPLESPFVASHRAGHIDANSNSVSPLTESSPMDLKCQHESENMADGCVKMQTDEEIAVDGFHSGEDSIVEKKRRRMDEEIAAEGTISSFGDLDDIKDDTLRHPSIVEGSDLARTASSNASLAEQVIEPALVMFEVHVPPICMLDGVHSQHFFGTGVIIYHSDGLGLVAVDRNTVAVSISDIMLSFAAYPIEIPAEVVFLHPVHNFALVAYDPSALGAGASVIRAAKLLPEPALRRGDSVYLVGLSRSLQATSRKSTITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSSFSGILTDEQGRVQALWASFSTQLKYGGSSSEDHQFVRGIPIYAISQVLEKIISGTPGPFRLINGIRRPMPLVRLLEVELYPTLLSKARSYGLSDNWVQALAKKDPVRRQVLRVKGCLAGSKAEKLLEQGDMILAINKEPITCFLDIENACQKLDQSIDSDGVLSMTIFRQGKEIGLIVGTDVRDGNGSTRMVNWCGSIIQDPHSAVRALGFLPKEGHGVYVARWCHGSPVHRYGLYALQWIIEVNGQPTPDLESFIQVVKGLEDREFVRVKTVHLNGKPRVLTLKQDLHYWPTWELTFEPETDTWKRRTIKALQPTVA >SECCE3Rv1G0146690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12064646:12066691:-1 gene:SECCE3Rv1G0146690 transcript:SECCE3Rv1G0146690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLMPLVLLVLMVLEPSAGARSDDSPCGFPAIFNLGDSNSDTGAFPALFPAVQPPYGRTFFGMPAGRQSDGRLTIDFMAESLGLRYLSAYLDSLGSNFTQGANFASAAGTIRRVNGSLWTSGYSPISLDVQIGQLKQFINRSQFVYNNIGGIYREILPNPEHLISKALYTLDIGQNDLTVGYFDNMTTEQVEAYVPDLMDRISSAIQTVYNFGGRYFWVHNTAPLGCLPYALVFRPDLAAEKDAAGCSVALNAGARFFNARLKETVARLRATLPGAALTYVDIYAAKYRLISQAKKLGFEDPLRVCCGYGGGEYNFDRNIRCGDKVEVNGTSVLAGRSCEDPSRSVSWDGVHFTEAANKFVFDQIVDGALSDPPVPLRRACQAKRQ >SECCE1Rv1G0025580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:356224814:356226563:-1 gene:SECCE1Rv1G0025580 transcript:SECCE1Rv1G0025580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNVEKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRVGVNTIPGIEEVNIFKDDVVIQFLNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKQVPGGAAGIEAGASAGAAQADDDDDVPELVPGETFEEAAEEKKFINCL >SECCE1Rv1G0013520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:106561313:106562785:-1 gene:SECCE1Rv1G0013520 transcript:SECCE1Rv1G0013520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAPVADTIVVLGDDLLREVFLHLPTPADLLRAALACKPFLHAARSARFLRRFRRRHPFACPLLLGCFIHRPSEDRCREDPRPLLLPASPAAATRRVVQSGDFALSFLPDRGVSGPNPWKVLDSRSGRPLLWDRASVEQPVADPWKVLDCRNGRLLLRNRVSPELAVADPLTRRWISLPAPPAERPVGYGLVTDDGCSSVFQAFCLSEDGGGSPGLRAMVLSSGGLRWADAAVLAGQYNLDGSRVMQANGSLYWKLKGGERMVALNTATMEFSLLELPDFARQFSFDVIEKGEDGAGGLYLLTMLGCCIEVWGGWGDGSGVLTWTLVEKSVKFQRAMAEMIRSSQFYRHELFVIGMVAGVVFLRNKDSLLSIDLETMKLSMLAREDKCPSALIYPYTMAWPPSCLNPTEQGM >SECCE2Rv1G0132660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:893635194:893642564:-1 gene:SECCE2Rv1G0132660 transcript:SECCE2Rv1G0132660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKRERQGFQWEYRQIRSDATQPKRPSTQTPRPNPSPPGPPRRTTVPPRRAVHQPPLSLGPLSLRRLLLRRRTPAPRSSKPHSSSLASAAAVPDVPCGGDMTRSHGEGREEGRPVACEMGPRKKGRKRKRGSSKARPPPPAPSQSQEHRATTSDDDRSAQVRAMVGAGVRHMLAVRDARKKETAELLKRLSGESDLSVEDTFGDCNDGSLESFQARKVALLVSKSVVSLSSFAGGKRIRVCSGFVMHGTANTGANMILTSATLVRSLNGDNNVISDVAVKVLLPDGHIIDGHIFLVDFHYNVAVVKVAAYLPLLEESTTNNGAVLALGRAYEGGYIMCSRGQVVNKKSNFGCAELLVSSCEVSMVGSGGPLVNYNGQVLGINFYEDNQTSYLPVLIVSMILEQHHSFGKLISPWLGLRYTSLHTLTLAVLERIYRKFPDVDQGLYVSKVVEGSPADVAGLCVGDVIVKCGEKVLSSVPEFGAILLDAAVTHIEAYGGCDGGMAIEVVIKRQRDGSTVSKTIAAEVLEESNYNRWPAPMPSYKMRLVNVTPRGIGGY >SECCE3Rv1G0195620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:800785981:800786992:1 gene:SECCE3Rv1G0195620 transcript:SECCE3Rv1G0195620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATPTAVVLQLMTMGQQSAAHLGELLQAASPPVRAEHQALAAEIISCCDRVIAAVTAGAADKKRKAMDPGTTSCHLPAAAMPSKRRVRAAEAHREVQAHTTADGFVWRKYGQKDINGSNHPRLYYRCAFRGEGCAATRRVQRSQGEPAAFVIAYYGDHTCGAGFGDACQQGTAPVPPTVVDSGSNARGAVGAVDWNRGSLLLPSLPAEHGARRHGEAPSDTSRRWLSPSSSSYSSEVELWASPVGEFLDGSFDWEWETIINSLSFSNLLQ >SECCE1Rv1G0017940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:169381875:169382249:-1 gene:SECCE1Rv1G0017940 transcript:SECCE1Rv1G0017940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEAKSAVDIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAAHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE6Rv1G0432490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:752153397:752156116:-1 gene:SECCE6Rv1G0432490 transcript:SECCE6Rv1G0432490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSAMSPLGEASIPMIATCAPALSALESVNSVQNQDFSDATSFAEINSPMLLIDESSTPKKKESFSQEGSLYKPQCDEELKPKVGMIFDDIGSVLEFYRTYAHNVGFGVRLGQQRVVDNVLQWKRFLCAKEGFRPEKGMVVVEASKKRRKVKLTRCGCEAYIYVTRESNGKYKIASFTEYHNHPFVPPSQQHLIRSNRQVSERVKTTLHDCQKASIGTALAYRLLNVGAGGFQHVGCTQKDLQNHYSGLKNKIRNCDAQILVDQFGRLKALNPAFFFEYEVDEIGTLIRLFWTDASSRKNYGHFSDVVSFDSTYSTNQYEYTFAPFTGVNHHMQSVFLGAGFLLHETEESYIWLFRSFLRAMGGVAPRLIITDECVKMKNAIGKTLPNTTHRLCMWHIMEKVPGKVRPELRNDLVFYDRLKYCVWNSETPAEFEDRWQSFITEFQLEDHEWFAKRYRLRATWIPAYFMDIHLAGLLRTTSISESANSFFKRFIRRKLTFVEFWLRFDTALKCQRQSELVADNTSEYTTSELLTSWEIERQGRMLFTHEVFELFQAQVLAARDDCDVQQTENREGIKIIFVSDQYKKIREVCYDTTSMTAKCSCKLFESKGIVCRHIIRVLRGAKINELPSLYILKRWQKNCKRDIVYDGEGNVLEENLIDPVDIAVKRKIVAVRDKLEDLIRNSKRSMEGIEFLHNSLCSIELGLGELVSAVPCNTQENEESCIGSVIPKHVTILTPNDINARGTCSRIKGHRDGVRSGSGEKRRPGIHQKVARKCSICKEMVFHDARTCSKKQTSKQ >SECCE7Rv1G0457730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18638904:18641419:1 gene:SECCE7Rv1G0457730 transcript:SECCE7Rv1G0457730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSTVRRLCLLLLLVAAVTVAAAAPAPAPPRPFKTVYAFGDSFTDTGNTHSTTGPYSYGYVSNPPYGATFFHRSTNRYSDGRLVVDFLATDALGLPSFLPPYLSLANATAAGSSKGSKYYGANFAVAGATAIEHDFFAKNNLSVDITPQSIMSELGWFDAHVKARQVKEEEIGEALYWVGEIGANDYAYSFMAADSIPPERIRTMAVDRVTTFLEGLLKRGAKYVVVQGLPLTGCLPLAMTLARAEDRDNLSCVASVNKQSTDHNHHLQAGIHRLRQAHPGAVLSYADYYAAHLAVMRSPARYGFAEPFKTCCGTGGGAYNFEIFSTCGSPEVPAACAQPARYVNWDGVHMTEAMYKVVAAMFFRDASGAFIRPSFASLLAAARKGHGK >SECCE2Rv1G0091010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:302554453:302556115:-1 gene:SECCE2Rv1G0091010 transcript:SECCE2Rv1G0091010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHQITNQPLLSSPPCLPRTNGSNARMASTPFIGRNCCLKLRDTARTPFLGHNCCLKLRDTARSCVVVRASSSAQTEPKSGGGDGDGGQEPCEEYEVEILKPYGLKFAKGRDGGTYIEAIFPGSSAEQTGRFTVGDKVIATSAVFGEEIWPAAGYGQTMYCIRQRVGPLYMKMQKKFGKWDGAAELSDKEVIRAERNTGNVSTKLREIQMQNYQKKMEQKIQREDDLRMGLKLYKDGKYEEALEKFESVLGSKPEIDEASVASYNVACCYSKLDRIQAGLSALEEAMKAGYEDFKRIRADPDLANLRNSEEFAALLNKYDESFINENAINAIKSIFGFGKK >SECCE4Rv1G0224830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:85115063:85116223:1 gene:SECCE4Rv1G0224830 transcript:SECCE4Rv1G0224830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 12 [Source:Projected from Arabidopsis thaliana (AT3G07550) UniProtKB/Swiss-Prot;Acc:Q9SRR1] MEKEMMDNSIEGCISCLSDDCLLSIFNKLEGESDRNAFGLTCKNWFKVRNIARKSLVFHFSFNAKVYKEHAQCLPKMLARSPYLKLISLAGFDELPDSALYEVGVSGTSLQSFSLYSCSGITDDGLAQVSIGCPNLVIVELYRCLNITDLGLESLSQGCHSLKSLNLGYCTTISDRGISSIFRNCRNICALIISYCRGVSGVGFRGCPSTLSYLEAESCTLSPEGVLDISSGGGLQYINLYNLRSSTGLDCLGGVGSMKKLRVLNLRMCRYLTDDSVVAIASGCPLIEEWSLAVCHGVHLPGWSSIGLNCNKLRILHVNRCRNICDQGLQALKDGCVRLEVLHIHGCRKITNNGLALFSIARPSVKQMVDEAMSIGPSIEDLFRLQ >SECCE4Rv1G0283800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836465145:836465898:1 gene:SECCE4Rv1G0283800 transcript:SECCE4Rv1G0283800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGPRMYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVTTGAKEDRQLMTGLHTVADIHCRDCREVLGWKYERAYEESQKYKEGKFIFEKAKIVQDNW >SECCE6Rv1G0405040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:526434293:526435804:-1 gene:SECCE6Rv1G0405040 transcript:SECCE6Rv1G0405040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFAVQFAIHQTSTPGRDDKYYGLHATMDVYGHKLKHGQWSTAAIWVTHTGDGARSSINSIQVGWHIYPEHYGDSRPHFYTRWTRDGYEQTGCFNMDCPGFIRADGARVAPGDAIQPVSNVPHGHMQNITIRVLKEKTSGDWWVYYGFNSVPTGVGRFPRSSFTYLGDTANHFAFGGAVISHRVDPTPPMGSGSFPNGGQGRAASFSNLRIIDENGNSKPITADLPVLVTNNKCHSITPINHGECLYGGPGGCVR >SECCE6Rv1G0420940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680887322:680888287:1 gene:SECCE6Rv1G0420940 transcript:SECCE6Rv1G0420940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGLSEVAARGAKTDKGFKEVEKLKVAKRISSFVGYDVSITQVHNHIRKWRNRWTRLVYLKGLSGALWDDDKKMVVLEEQHYLGHTQDHPTDAELLNSSLENYDYMELCFANKHATGNYSMGPGVLLGTPIVVEDKDKPNVMEGEGTTDEVLQHLSGSNFVLPTASATQDPSPTSNKKRKRASGLTEEDSIQCSNMTDAMREIASAINNTCHAETHPDLYNAVMDLLLFDQDERLAVLDYLTEHKAKGLNFVKMNDEVRKASFKRILKANPDLL >SECCE4Rv1G0221040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:44160686:44163000:-1 gene:SECCE4Rv1G0221040 transcript:SECCE4Rv1G0221040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPANLSRDAGAHSCPAQDGQGEAGPPRPRRPPAFYSSVFAQIEEVGWGQLVSATGDDGVSCLTFRVMDEQRRHHLLEITLPMNYPACPPSIAADVPYLPKLQWSKSSRLKDVLCQFQEHLKVLQDYWSTMDGIDKALWVVDPTKPTYAMSHRRIALGDDCYILLHVDMHKPNSLPECRFLGTNGKLGRLIKNWRKNRKRWSADRKFHENLATVLDFALPQPPSMSIKDDQQADCGICYATHLPVDDELGTQSGCAANYKCENPSCSRAFHSVCLRDWLRTITTTRQSFDVLFGNCPYCSEPVAVKSTDS >SECCE1Rv1G0053500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:670127571:670128612:1 gene:SECCE1Rv1G0053500 transcript:SECCE1Rv1G0053500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPRATVPHVRSDSESDDEGHRGRTPNVSVFRRQRPVHRFLGGRKVADVLLWRDRNLSAGMLAGATAVWFLFDVAEYNFVTLLCHAALLGMLLLFLWSVTAPLFDRAPPRVPEVIVSEHAFREAAMAVHRKLERSVAVLYDIACGKDLKKFLSVIGSLWVVAVIGDNCSFTTLVYVGFLGALTLPALYERYGTEVDHLVAKGGEDLKKFYRKVDANVLDKIPRGPVKSKRAHFIH >SECCE6Rv1G0439720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:798940103:798940882:-1 gene:SECCE6Rv1G0439720 transcript:SECCE6Rv1G0439720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATATAPRRRASMGRQKIEIRKIESEEARQVCFSKRRAGLFKKASELAVLCGAEVAAVVFSPAGKAFSFGHPSVEAILDRFVPSAAQHPGAVVPGAGLGAAGDRNLAELNRQYGELRTQLEAEKARKERAEEAMAKERAAGNQMAAWLDADLRDMGEEELMAFAAALVEVQTAVSERANQVLQEALNVGRSRSASRMLQAPPPQQQQQLAGGGTFDFGTTSTNAGMEIQQMLLAMPPPPPPGFAPGMDMVQHGGFPY >SECCEUnv1G0557540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:324685357:324687721:1 gene:SECCEUnv1G0557540 transcript:SECCEUnv1G0557540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLLLQVVLLAAVARAPAAQAWGKEGHYMTCKIADGFLTSEALTGVKALLPSWANGELAEVCSWADSQRFRYRWSSPLHFADTPGDCKFSYARDCHDTKGNKNVCVVGAINNYTAALQDSSSPFDPTESLMFLAHFVGDVHQPLHCGHVDDLGGNTIKVRWYRRKSNLHHVWDSDVITQAMKDFFDTDQDAMIESIQRNITDDWSSEEKQWEACRSKTTTCAEKYAQESALLACDAYEGVEQDDTLGDEYYFKALPVVQKRLAQGGVRLAAILNRIFSGNGRLQSI >SECCE3Rv1G0168640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:224660153:224660810:1 gene:SECCE3Rv1G0168640 transcript:SECCE3Rv1G0168640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSVDGAGEMCLEAGGGRAGRRGGKKAAEMKAAKQPQRGLGVAQLEKIRMQNQMIAAYRSGLPPPPPPQQQVPYAAAVPGVPTAGAASPFQPYLAGCFEAMDRRIADAQYSQYYAENLLPNSSSRPPATSPLFVVHDSSSSGQRQQQTPHEYDYWMRHSHESSGRSGAGSTEELDLELRL >SECCE7Rv1G0479030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:245613093:245613867:-1 gene:SECCE7Rv1G0479030 transcript:SECCE7Rv1G0479030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNEATITAAAQPYGRSMSPPSRVSSCSPPPVFPLMGNAPSSPPTIVLSPCAACKVLRRRCADGCMLAPYFPPTEPAKFTTAHRVFGASNIIKLLQDLPESSRADAVSSMVYEAEARLRDPVYGCAGAVCRLQKEANELKVDLARAQADLLSIQTQHANLLALVCVEFAANHRGDQQQHQPPPLSDQLNGIGSSGGGAMYQPLYDSDFDSAAWEEARQLWT >SECCE2Rv1G0126210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:845073234:845074805:1 gene:SECCE2Rv1G0126210 transcript:SECCE2Rv1G0126210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEGNVSRKTACVTGGSGYIASALVKMLLEKGYAVKTTVRNPDDAEKTAHLKALAALGPLEVFRADLNEEGSFDDAVAGCDYAFLVAAPVTLLPENPEEEVIQPAIQGTLNVMRSCVNAGTVKRVVLTSSTAAISSRPLEGEGHVLDEESWSDVEYLRANKIGTWAYPASKVLAEKAAMAFAEETDLSLVTVYPVVVVGRAPATKVTTSVPEILSLLSGDDDMVDRLELIEQASGSIPLVHIEDVCRAEIFAAEEATSGRYIVCTLNTSGVALAHFLAAKYPQYEINTDHIGGLPEKPRVCIWSDKLVKEGFQYKYNNLDEIYDDVVVYGRALGVLPY >SECCE7Rv1G0478260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:235251348:235252091:-1 gene:SECCE7Rv1G0478260 transcript:SECCE7Rv1G0478260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPQPPRPSAAAAADGGPAPSAAPVDALFLQNLMNRVQLRPPFLDTNSFLTQDLDDFLLNEFAALSAAAGESDEDDDEEGEDGGLSDGEVSGEARRRRMLSREETKLEKEIVRMVLAGDGDALKPNSGQSVAVGDHHVCVGFHDEAGGEYRVWEWHGHVMLFDDEDGYSAEYIYGNHFEPLAAATARAKKKEKEKREKDLTSGLRDLIVGDGDGANGLNLNANGGGPRVVRRNVVNAPAAAPAR >SECCE3Rv1G0152250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:45786291:45786602:-1 gene:SECCE3Rv1G0152250 transcript:SECCE3Rv1G0152250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRSKLVAVVLVMAVAAAGGLSLVSVDERPALLRGMAHSTPNSVGAVELPSGRRGEKILAWRWRHNPPSGPSSRGHAVVTVSPEEEEKNQGPAENIGPSVP >SECCE3Rv1G0201520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:865143327:865154554:1 gene:SECCE3Rv1G0201520 transcript:SECCE3Rv1G0201520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRLLPWLLLLHPLLVVAAAAASGVTYDHRSLVISGRRRLLISASIHYPRSVPAMWPKLVAEAKEGGADCIETYVFWNGHETAPGKYYFEDRFDLVQFARVVKDAGLFLMLRIGPFVAAEWNFGGVPAWLHYIPGTVFRTNNEPFKSHMKSFTTKIVDMMKEERFFASQGGHIILSQIENEYGYYQQAYGAGGKAYAMWAGSMALAQNTGVPWIMCQQYDVPDHVINTCNSFYCDQFKPNSPTQPKIWTENWPGWFQTFGESNPHRPPEDVAFSVARFFGKGGSVQNYYVYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLRRLPKWAHLRELHKSIKLCEHSLLYGNSTSFSLGPQQEADVYTDHSGGCVAFLANVDSEKDKVVTFRNRQYDLPAWSVSILPDCKNVVFNTAKVRSQTLMVDMVPETLQASKPDQWSIFTERIGIWDKNDFVGNEFVDHINTTKDSTDYLWHTTSFEVDRNYPASGNHPVLNIDSKGHAVHAFLNNMLIGSAYGNGSESSFNVHIPINLKAGKNEIALLSMTVGLKSAGPYYEWVGAGLTSVNISGIKNGTMDLSSNNWAYKIGLEGEHYSLFKPDEGNNQRWRPQSEPPKHQPLTWYKVNVDVPQGDDPVGLDMQSMGKGLVWLNGNAIGRYWPRTSPTDDRCTPSCDYRGKFSPNKCRAGCGKPTQRWYHVPRSWFHLSGNTLVVFEEQGGDPTKITFSRRVATSVCSFVSENYPSIDLESWDKSISDDGIVAAKVHLSCPKGKNISSVKFASFGDPSGTCRSYQQGSCHHPESLSVVEKACLNINSCTVSLSDEGFGEDPCPGVTKTLAIEADCS >SECCE5Rv1G0298030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:9004965:9005859:1 gene:SECCE5Rv1G0298030 transcript:SECCE5Rv1G0298030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMFDSAGEPIGKAVTIGQLDDQLLVQAHRYVLRHSDELDDLRREFLDQEKRKPGNLNLTDDDNEDLIGRHFADWLEQKVILDDGPDITGKIRALAAKPSKCGITRWSSLNVIGEKLEDEPFVFSSQVDQVFYIQNPRSENWNTMVKFKPRDIFDMGGEPSSDKTE >SECCE3Rv1G0180970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:600892436:600895669:1 gene:SECCE3Rv1G0180970 transcript:SECCE3Rv1G0180970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDILLRTHGGLPVLARASPCCLRLRFTASGRRAPSSLAAAKVDIGDVVSQLRSGSARGAADTKRQRRVSEGGLAFPKVVTSRIRGADEEKGKGEAPQLDAEKIGGEAGGVDGSYLSETRFDQCAISELSLKGVKDAGYGRMTQVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLSKLPSSQRSQLRSSINLLVMCPTRELANQVAVEAKKLLKYHRSLGVQVVIGGTRITQEQRNMQANPCQILVATPGRLKDHLENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIEKIMAATPKDRQTLLFSATVPEEVRQISHVAMRKDYRFINTVKEGDEETHSQVSQMYMIAPLDLHFSILYDVLKKHVTDDADYKVIIFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRRSKGVILVSSDVSARGVDYPDVTLVIQVGIPAGREQYIHRIGRTGRKGKEGQGLLLLAPWESHFLTSVKDLSVSEAVTPSVDSSTQTEVKGALRRVEMKSKESAYQAWLGYYNSNKTIGGNKSRLVSLGEEFSRSMGLAAPPAIPKLILRKMGLSKVPGFRST >SECCE4Rv1G0282230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:828668695:828671555:-1 gene:SECCE4Rv1G0282230 transcript:SECCE4Rv1G0282230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGVASHEPHHHLLGFSSSSPVTSNPVAMSMAFDHHGLFARLHEDMPPQSGGAGDGPWPPQVSMLSLYDTASQHELHPFTVAPPSMMSMQQQPFRLISSRYLGPARELLSEFCNLEGDAMNGGAMMRAPKRDRDVEASSPACGPWGANPSVSSMDYMTLERRKARLLSMVEEVDRCYRRYREKMRATEMSFEAVAGTEAAQVYTKLAMRAMSRHFRCLRDALVGQIRTLKKSMGESRDADGMLVAPGASKGDTPRLRVVDQCLRRQRAFQQYGGAAAIESCPWRPQRGLPERAVAILRSWLFEHFLHPYPNDVDKHILARKSGLSRSQVSNWFINARVRLWKPMIEEMYTEETIQHDDDGASGDRGEPALTDCQNNNLATWTTVTTTGDQSCHRLSGRNNLGDCFIPSSLVADGGQFLHGYPSLHSDGGSGAVSLTLGLQQQRAFASPAMTMQQQSPLMIGAEEEDVVLPYKNLVGSELLHDFIG >SECCE3Rv1G0159150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:94222479:94222982:1 gene:SECCE3Rv1G0159150 transcript:SECCE3Rv1G0159150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRVYDRSQVDPEGKRRAVHVVDGPVVANGGFIRAPRKPTNQSKSGGLRALGRDALVQDDEEEPQLHPPLGPRSAEYYYSTTCQSPFRFEAAPYQWRYDAFAPEELQAPRPPPAARSGGRATSCKGSRKFKHNEIKMYYVDAADDVDGRLDYLYDFDS >SECCE4Rv1G0256220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:655037288:655037834:1 gene:SECCE4Rv1G0256220 transcript:SECCE4Rv1G0256220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGFKRSKSNQYENTSLVQIEGVNTKEDVAWYAGKRLAYVYKAKTKSNGTHYRCLWGKITRSHGNSGVVRAQFKSNLPAESMGRKVRVFMYPSSI >SECCE2Rv1G0137150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:916641423:916644907:-1 gene:SECCE2Rv1G0137150 transcript:SECCE2Rv1G0137150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANGSSSPSPQHSSSGEAITCDGDFVDVPEAGPQGSFQALLASVGGHDPHGAAANVLSQRQYQQYEQLFGPPGPLIQAPPQPMPLPVQAPSPTPPPPHCAQSNLLMPAGRHHYQQWQPPAPQVAAPRPAPMGAGVFGDWTMYNVNNTFVSVACGSSSSVLGNNGYHQNSRLCSATAWPNNFMALPRSPYSVYPHGLQQQGHQAITYNANNNHQQGLVSNFRVDPPLVPAQCFQPISPASNNRSPPQFSDEARYTKRARRRTQKKNNSEDRPEIGSANSGLDRAMMLDENQNFNNQSQQIFTTRFNCKDYHMIVWKELTNSDVGNIGRIVLPKRDAEASLPALIERDGLILKMEDLKLPVTWNFKFRYWPNNKSRMYVLESTGEFSKHHNLEAQDTFIIYKSLESGKFLVRGEKVTGQCATLICLECKEEGRINNKQCGFTRNLHSKKRR >SECCE4Rv1G0232890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:217289282:217294596:-1 gene:SECCE4Rv1G0232890 transcript:SECCE4Rv1G0232890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITALADGKSWAGISQTGKPGAKAPKPISLPNQRLDSHGLRSDEIAPKGVTTWDGKSMLPASNAWASPLLLYPKNEGASGSPSHIDDRSSSRGSSTSSSSVGSDFLDLPSYHLPMAVNYPLSTETRSGSLQVSRFPDSFSNVLKAPLKAVGRRAPTSQGKGFSLSMDDFPVLGSRNSASNSQQGQISGRWPTIASGIVATQDKQGKNPITGTGEVILSCSYEHEIISKMDYVCKGRDPIPAAKLIRGAEQAQLHDPQVPNICIPPPLLDYWHHPPDQPPDENGKLHSGEVPYGFAVESLAYRDQFTLNEEALAKQDTGHGGYYPDKRDISQAHMLADCCVINQSCHVVGKVKNGHADVLEIEKRPIIKKDVALLEKIKCLNNKARNFRTLNLSNLPSSLLEDSKVKHPKIIRVKEDPATKYVPFSASTSETGPAFDRLNSVSQSSSSVPTNPSNGPDKGVTVVCLSEKQVTEFSQAGKVGKSADRHPHGRSDISRNMLDDSAQDMLSCITGHGCQEHSMVDSLRGVLMVDAQQDQLLSRNTSQQPHVTVADKESNWLHCEEQHSRMRYLSAQAAKQLQDAEKWISQQNTNAIAKLEELRRYQSIQSQKSNDAPPDADMYCKPKTRDDVTAKCASSIADTCCIVSADGHNAPKPVAGVKIIEVSIGSSAASNTSGVSKGYNFMSSAKSTQVNMMEHISLNSLSHDNSTPEHWPMENRRMHFGSWERNITDREIPLDTKGAEAKNHDDLLTRNKSSRRNFNSVRPAAPPVFNEKNSTEVPSMEKTHVPCIAINCSIIPAKVTSITGLIVGNIMLDDVPLASVNREWAVPAKEVHDTASSLSGPWQVKKSEKNQHGLLPVETPISNDSVMCKPIKQTGRKEEHAEGGPNGMAVVDGLASVVQNLAKPAEYFNVAGVTQESSDQWQQGDVQYESKAHGDTKDRSKLTEMVSLPDNTWEKHPVTDSPRGYHVEAQRNVGSHYGYRERAGRGRFFRESPAPSRARWIPKYISHPQSDAQYDGVSEWLQDSHQILLDNSQGLDSKPTQIADRDAGMDLQGGEGNVGMSFGDENPLIWNETEWEYQPLFPAPHRLGQQHGGAGDDSQRGRGRHSEYHYPEPARSRDAAPGIQWNPGGADNHQYPAYSRPGMQTERRYYI >SECCE2Rv1G0083680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:169568853:169570415:1 gene:SECCE2Rv1G0083680 transcript:SECCE2Rv1G0083680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVSSAVVEETLNQIVSGLIDRHEEERPERTEQIERLEMAHIKLEAALETSGKWRINDASLLRWRHKLKRAAQECDVALRKCKQRAVEDEEREEEVRSSSFPTRLAHATKSFVTGFFRRDTGSGAAVRRFEWFADGANEFLRFVELGGTPRGYMFFDPLIGRLLAGQELRYRLVRGSQYHLFCVRPISFEDRGVEAKMLFVYEDDEAPEKNLCLGSILRLSESTDVVGITIKCLQLVTPHFRSTAESARKELAGLPTQDFSWVPHLDSSHQDHWNSIHSSLTQWFRPNPLCCKQQHDELRPCSSSGGGTTRTTRPSDVSLEPVIEVFLQRHIPLSEYNVHRSKSTVQGERGCLKDVPHLKLGLLFSPHGSSEDLVPAVESSAIEVIDGEEQSGMHTNISLEQLDEVMLPKAIDCLYKRPQATAYQMFWKSKHGTAFLQVEKTSLVKIPPTKIGEAGIRRLAIQRRRDPKLERWIQVVIDFLNLWVAHAPPKLRSSFIEWIHKANEMKQAPQQRPTTSY >SECCE1Rv1G0028420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:400700508:400704891:1 gene:SECCE1Rv1G0028420 transcript:SECCE1Rv1G0028420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAGDDPESAGTLPPASSVWGASSGRWTRSRGRLLAAENTGPAQSVEDSRGDRRGVEGFSAKKKRAAAGSERMLSAEEVSLAARPLPPPEPPFPKSGQHDDVVAWSEDLMKLGDEDSSLLTIRAVRGTKSVKSAMSLDDRDMILDVACSVVNVSATEPDGNVVVYCTGIITEYDEVGKCAKILSSSSIMCSDEGKLRDPNQKVSVHLSNDTVVEARVLFFNDHYGVSFLDINTEFPLVPATLGSKPYYGQNVFVLDRDDEYALVVSRGSILYLEKPLFERNHYMFASYNSSLTCICGPVIDNNGEVIGLITSHLPQSAILSISIVKKCIQMWNKFGRIAHPIHHLELKTVRMLDTVYRDELRARHSIKSGFIAAQVCPDSTVEKIGLRRGDVIDLIELDHRSTVVELEEFLLGLGWDFLEKKLDSHSTIDVKIRVHDIRAKTSVCTILPMGFSDAVVRSYH >SECCE1Rv1G0047970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631226140:631227365:-1 gene:SECCE1Rv1G0047970 transcript:SECCE1Rv1G0047970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVREQHILDQGLLDAQLELWHHTFSYVKSMALKSALELGIADAIHRQGGVATLSQIAATATLHPSKISCLRRLMRALTVSGIFGVEHSGDGGVEGEAVYALTPASRLLVGSASANMVHFTKMLLHPILVSPFSELGTWFQHELPEPNLFKLKHGKTFWELANQDPAYNALVNDGMVSDSSFLMDIAIRECGGIFQGICSLVDVAGGHGGAAQAISKAFPDVKCSVMDLAHVVAEAPNGTNVEYIAGDMFESVPPADAVFLKWVMHDWGDEDCIKILKNCKKAIAPKDVGGKVIIVDMVVGAGSQGPKHKETQVMFDLFIMFVNGIERDEQEWKKIIFEAGFSDYKITPVLGVRSIIEVYP >SECCE2Rv1G0074640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:78138266:78139505:1 gene:SECCE2Rv1G0074640 transcript:SECCE2Rv1G0074640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMCSAAAVAVAIVAVLCAAAVVRVRGEDTVDEDTPGASFIFGDSLVDAGNNNYLSTLSKADMNPNGIDFAASGGTPTGRFTNGRTIADIIGEMLGQADYSPPFLAPNTTGGALLNGVNYASGGAGILNGTGRVFVNRIGMDVQVDYFNITRRELDELLGKDKAREFIHKKAIFSITVGSNDFLNNYLMPVLSAGTRVAESPDGFIDDLIIHLREQLTRLHALGARKFVVANVGPLGCIPYQKTLNRVKDDECVKLPNTLAAQYNARLRDLLIELNAGGLPGGRFLLANVYDLVMELIANHKKYGFSTASVACCGNGGRYAGIVPCGPTSSMCDDRQNHVFWDPYHPSEKANVLLAKYIVDGDSKYISPMNLRKLFKL >SECCE4Rv1G0269180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:747784996:747785489:-1 gene:SECCE4Rv1G0269180 transcript:SECCE4Rv1G0269180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLGTFIINGIYRIVINQILLSPGIYYRSELDHKGISIYTGTIISDWGGRSELAIDKKERIWARVSRKQKISILVLSSAMGSNLRAILDNVSYPEIFLSFPNAKEKKRIESKEKAILEFYQQFACVGGDLDWSTKYESET >SECCE5Rv1G0326010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:472274042:472276720:-1 gene:SECCE5Rv1G0326010 transcript:SECCE5Rv1G0326010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEATLLLVVQKIATAVALNAASTLANKAAAVVAIPSNMTLISNELELLQAFFMDTEMRGHSSQVTETLVAQARRLAYHIEDVVDQYIYVVGTTHQKASPWLGCVKKVAKKPKSLYTLDQIAKEIQNINQRLQQLKQNRDWTQPTGNFPGKSYDHEHQLYIPGHDYFIADDDLVGLDKNRETLIKSLHLEDHPHLLMIAVWGMGGIGKSTLVSSVYRDQAANFQYDAWISISQSCKLDEIFQQMLEKLNVQDTEESRAENTRGVRTEEPRVKLKRLLQNKKYLIVLDDVWTPTDLLKIKEVLIDNGQGSRVIITTRTHDVASIADEGCKLKVDKLGDNDAWQLFCRKAFPKSKDHVCPTDLHEYAKCIVDRCDGLPLALVAIGSMLPLRTNSAQEWKLFKDQLIWELGNNKDITVVGRILHLSYKDLPIYLKNCFLYCSIFPEDFPIGAAYLVKLLISEGFVDVRGTCSLEDVAKGYIIELVRRNMLHVLTRNSYGEITNLQMHDLVRELAILQARKESFSTVYDCTHGVVPSGLASRRIGLHKCNEDFTSNIDISKGRTILCFDRSMQIDIWLSILEGCKYIAVLDFSNRAIKVIPDSIGELFNLKFLNFDVTQVEELPKSIGNLSKLETLSLEDANCLVLPKGAKKLKNLRHMLIWTDLRSELSFRTYESIQPFEGLWCLKQLRTLHSVQASTDFVEKMGNLSQLRILVITEVKGSHCAQLCGSLSKMLHLQSLSIRAFDENEVFQLEALAMTKPLQSLTLEGRLSEGTLGSPFFSNHGHELFELTLAWSKLVEDPMQKLSKFEKLTHLDLKRAYEGERINFRAGWFPHLKVMQLRDLPHVNQICIGEGALGGLEELIIHKLVELRGVPEQLKTLKYVHFAELHPDFGHN >SECCE1Rv1G0044640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:603952797:603954629:1 gene:SECCE1Rv1G0044640 transcript:SECCE1Rv1G0044640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEQAGEESSANRRERLLALRSSAAAAASSNSSSSPSAAPPPPAWDLPEPDLAPTSSAPRPRSRFDFYTNPGAAFSSAAAAVPQKRKSADPPGPNPAPAPPHGNYGNNNPPPQQHPMAQSLMEGAPGNSPWRTPMQFQTPMPGYRGTPPGPSPHWNPHSASPAQDPYPHPPNFGFRGPNIGRGGSPMNYGPGGSPMNYGPRGSPMNYGPRGSPVNYGPGGSPMNYEPRGSPHSSSGRGRGENYYHSPGSRGRGGRGGFQNHSGSQDQRNFYRKSMVDDPWQGLQPIVGNILKPIDGAKSWLPESLRKKKETPNQGRTISNPTSGLSLAEYLASSFNEASNESDET >SECCE5Rv1G0318810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:368410614:368411514:1 gene:SECCE5Rv1G0318810 transcript:SECCE5Rv1G0318810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLLSGSTSGPASMSRIHPSDRGDGARRAARAAAAEQRQLPAVYTVWKRSSMGFQGTDGFSVYDAAGRLAFRVDNYARRPKAFAGELLLMDGRGAPLLSLRPQIFSLHDRWNCYRVAPGEEGCPDTDRSSSAQQIFSMRKCAALQSTDDAEVHMSSASTATTSGRGCRALPPPPPGYRVEGCFSRRSCKITTSDGQEAARILRKKAGGPTAAASSSSRPVALGDDVFSLVVRPGVDAATVMAIVVVMDRICRRPYAPMACSSQ >SECCE2Rv1G0102700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:580675970:580680193:-1 gene:SECCE2Rv1G0102700 transcript:SECCE2Rv1G0102700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGRPQAAQQIVQSVQKCVPLAPERPPFAVPAEYHRFPLPLSSSGPAAASRGSAGADVEEGIVIRTPLKRKAPCGESDAIESTEWMITSPGFTEGVSSPHMTPVSGKAARTYKSKAKGSKDGLQTPISNAGSPCTPLTPGSSRAEHSLGELTKKFISLLKQAEDGILDLNNVAEILVVKKRRIYDITNVLEGIGLLEKKLKNRIRWRGLDDSGSNLDNEISVLETELENLKLQEKALDKRISEMHEKVRELTEEENNQRWLYLTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYIQRRYTIIIRSTMGSIDLYLVSKFEENMEELVGVATPPRHATVPEPASTDGFIATEAGQSSRSKDKLPNIQHIHRTPDLNAQDFGGMAKITPEFDADADYYLLTDGDASSITDMWRTAPEVQWDQFLAEEATAPRTPQQQPAVVGKSTAVGPTCG >SECCE5Rv1G0298700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:13420869:13424066:1 gene:SECCE5Rv1G0298700 transcript:SECCE5Rv1G0298700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTTCLLFTSLIIICIASFSQVVHALALQPELAHAHGGGCIPAERAALLSFKKGITTDGAHALISWHGQDCCRWRGVGCRNRTGHVIKLHLRRSTVRDPDEYYYDGCYYAKSLFGEISPSLLSLKHLEHMDLSMNCLLGPTGHIPHFLGSMENLKYINLSGIPFTGRVPSQLGNLSKLQHLDLDQVYLDSSRMSSTDITWLVKLPLLQHLSLSGIDLSMIADWPRILNMIPSLRVINLARCSLDTASQPLLYLNLTKLEKLDLSLNNLGHSIASSWFWNVTSLKYLSLRENYFASWLSGKLPDALGNMTSLKVLDVSATKLTKTGNLKNLCSLEILDLSGNGMNGDIAVLMEGLPRCAWKKLQELDFSTNQFTGTVPNFIGEFSSLSMLYLYSNNIVGPLPPGLWNLVRLTILDLSDNQLNGNIPTEIGALTSLTYLDMSSNNLTGNIPTEFGKLKHLATLFLAFNKITGPIPPEVMHSTSLTALDLSSNRLNRSVPTELGSLKNLEVLSLRNNSLSGVITEEHFANLKSLQFIDLSSNSLKIVVDSDWRSPFKLECAAFASCQIGPFFPVWLQHLRGINSLDISSTGLEDKFPDWFWYILSQATYLDISNNQISGSLPAHLDGMALEELFLGSNQLTGSIPSLPTNMTMFDISNNNISGVIPSNFGASQLQTLLINSNRIDGSIPESVCKLHQLSYLDLANNFLEGEIPQCSDIQNVKYLQLSNNSLSGKFPAFLQNNTDMEFLDLAWNKLSGRLPTWIGDLGNLRAVKLSHNAFFYNIPNGVTSLRYLKFLDLSFNNFSGVIPWHLLNQTLMKTYDRDDEFGFMNIYGKGKAGVGRYQKTVDMSVVTKGQQLIYGRTLAYFVSIDLSGNSLTGEIPTDITSLTALMNLNLSSNKLSGQIPNMIGAMKSLVSLDLSENKLSGEIPSSLSSLTSLAALNLSYNDLSGMIPSGRQLDTLNSDNPSIMYIGNSGLCGPPLQKNCPGNDSFIIHGDLETSKQQFDPLTFHFGLVLGLVVGLWVVFCALLFKRTWRIAFFRFFDEAYDQVYVFVVVKWASFAKSTTAE >SECCE7Rv1G0522500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:877843958:877847096:-1 gene:SECCE7Rv1G0522500 transcript:SECCE7Rv1G0522500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase WAV3 [Source:Projected from Arabidopsis thaliana (AT5G49665) UniProtKB/Swiss-Prot;Acc:Q9LTA6] MGTGWRRALCTSVRRDDSDGGSAKRRPPPARGDSAAAAPATPRKLAFFSGMGGGGNPSAPALRCRTKPTAEPESVAPVTPPPPQPASAPVSARKRMPLLQAISAPSSPRSPSRFALFKASILPTKARCGVCTRGVKNGGAAAVFTAECSHSFHFPCIAAHARAAASGALCCPVCSAPWRQAPFLASLRLHLDVDGSPHRKRRTSDDSSTGGRKTPPPAKAAGVPKVYDDDEPLLAPKSAANGSGFNPIPEADEDDDEGESAGREGEFRGFFPHPPRARTGLAVTVAPEAALVSSGRRHGKYVVVLKVKAPGLRSSASRRAPIDLVTVLDVSQGMMGEKLQMLKRGMRLVIASLGPADRLSIVAFSGAAKRLMPLRRMSRQGQRSARQIVDRLVVCAAAQGQEQAQNACAGDALRKATKVLEDRRDRNPVATVMLLSDTQQQQQREDSRKHGDHHHSLRRPQAAPAAATRFTHVEIPIGGPADDAPARSPLAPKEEHLESSAPAEHAFAKCLGGLVSVVMQEVHLELTFPTGEITAVYSCGAGQQAVALAGGAGGNGGSVLSVRLGEMYAEEERELLVELRAPLGAQHGHPHSLSVRCAYRDPASQETVRGAEQPLLLPQLHDGGGAGNSSTSSQQRLHDLFVATRAVAESRRLAELSDFSTATHLLSSARRLVLQSPPTQQQQDLLGGLDTELSDMRWRRSQQQPPTPTSRSATPSGTPRASSGGGGGGVGAGEPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF >SECCE6Rv1G0419980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:674594854:674597328:-1 gene:SECCE6Rv1G0419980 transcript:SECCE6Rv1G0419980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLVVLLVLLLLLPATRVSAQEQQQPPPPQQVVQPGCRDKCGNITIAYPFGIGAGCFRDDGRGGFQVLCDDSLPVPRLTVADYGIQITGLSISTGEAQASLNVTRHCYNSKGGIISQGGPVAVPLVGSNLLFSATKNRLVVLGCPNLGYFNDVDGYYVSGCMAVCRPRKSTASGSCTGIGCCQSMIPPAVDYYQPYLLDIPKSKGDPIFYVNSVTCRYVFLVEATWLHTNHTSKNHSQTDDFAVPVVLDWAVRNMENCRAARKNATAYACRSMHSQCVNSKNGAGYRCGCSRGYEGNPYLYDGCKDINECERKGKHACYGVCTNKPGSYTCQCPPGTSGDATVQNGCQPKDTFTLALKVVTGVSLGVFLPLFMCFWLYLGLQKRKLIRTKQKFFELNGGLFLQQQIHNYNGNSKGIGGFKIFSKEELEKATKNFAADRVLGHGGHGIVYKGVLEDKTVVAIKRSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLESEVPMLVYEFVSNGTLYHYIHGGKRTNSDTAFGTCLRVAVESAEALAYMHSSASPPIIHGDVKTANILLDDKLTAKVSDFGASKLAPTDEATIATLVQGTCGYLDPEYLITCLLTDKSDVYSFGVVLLELLTRKNALYLDGSAKNRSLVLCFTTAVKVGQHQELLDRQVRDEMRIEVLEEITHLVIRCLNMSGEERPTMKEVAERLEMLRRYQHHPWAQADANPEEEQSLLVMNQQNVNYKFTQDYILDF >SECCE6Rv1G0432730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:754165290:754165736:1 gene:SECCE6Rv1G0432730 transcript:SECCE6Rv1G0432730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAATAECFSCVFASFDRDADGRILAAELRLCMKAALGEDVSTEEAEALVASGDVDGDQLLDEQEFLRLVARPETEEEEERCRGLREAFAMYEVKGEGCITPSSLMRMLARLRSEQGIEECHAMIRRFDLNGDGVVCFNEFKLMMDA >SECCEUnv1G0538740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74159768:74161129:1 gene:SECCEUnv1G0538740 transcript:SECCEUnv1G0538740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQTQTIAASNSDGKPKPQVLAVPYPAQGHMLPLLDLAALLVGRGIALTVAVTPGNVRLLAALPPSVATVVLPFPSTPFLPAGCAENTRDLPAHLFRPFMASLAALSAPLLAWCKAQPRAVTAIVSDLFTGWTVPLAQELGVPHLVFSPSCVHYLVITRSLWLRMPTRLDGNGDERVVEFPELPGSPSFPWRHLSWLFRMHVAGDEVSETIRRTLLWSLESSCFVVNSFEALEASCFVDALAPGRVFMVGPLSEAAIVRGGGGEPAEVAAWLDALHQLRVAACAVAGAGGVRGRRTEAEPGHRVRLGGEERHHGAGAVRGGHGLAGRVIRGWAPQVDILRHRAVGWFLTRCGWNSVLESAAAGVAMLTWPMAVDQFTNAWLLTEAGVGVSVAEGADAMPDVGKMAVAVATKVAESTRRCAAEPGRNAAAAVSPGGTSYNDLEELVRALNNVG >SECCE7Rv1G0483540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:323622846:323626753:-1 gene:SECCE7Rv1G0483540 transcript:SECCE7Rv1G0483540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEDQHDLILSDTIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGTPEDLVMTSSSKFLGEKKTQLPANGSKDAGALSLEKEQGKLEKLNASVKNNGTESKKPQLTKSNSSLSKQALASLFDKKEVVTSKVKSNNPRSTPSSPTSVHSLPASFERFSNDMKQRTKVKGADKSSPSKLSLLEKAASVLKVTTAGRKSSAGNSLSNTLLSIESGPKALRRSWEGKADANVKGNSDSKGAKADRKSEIRSTSTPRRRPPPPADEKPSHKDDSKSQTPPRKSTASAPSDDSDRMVNKHLSPIRRTSGALSNPNITSLVKIAANNKKLTDANTSWTALPPSLAKLGKELLKYRDAAQMAAVEAMQEASAAESLLRCLSSYAEVSSTAEEQNPQLAVEQFLALHSAMSRATVVADSLAKAAAATSTATSPDRSTVSEAASNDEESLAVAAERRRRAASWVGAGLATDLSAFSLYNLRPPPASTSSPLAVVLVDESVKPAATTKASPPAKSRLSPAKGKGRPVHVVAAAAATAAPPPEWERGGGAEERGELARRLGEEARRWFLEFVERFLDADVAAAAPWDRDRAARMLPQLKRVNDWLSEIGKPPPVEPPPPPHDADGEEAAAAAPAIASNGVPEQTIERLRKKIYEYLLTNVDSAAAVLGETSPAANGRKA >SECCEUnv1G0552060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:244222770:244223273:1 gene:SECCEUnv1G0552060 transcript:SECCEUnv1G0552060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQKGGNGWQKIAIRRIENESARQVCFSKWRQGLFIKATEMAVMCGAEVAIEAFSPGGKAFSFIHPSAEAIINRFLAGGGAGVLSVADDNELKKLHLQHGELRMLLKEVKGRKECVEEAMEKERAAGDQITMWLNPKLCDMREEEMVAFAAKLMVVRAAVSERTN >SECCE3Rv1G0191740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:750690355:750693089:1 gene:SECCE3Rv1G0191740 transcript:SECCE3Rv1G0191740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCARSVLRRRGLALLRGFAEGECGRGEALANARCAATLAGLGGGRGRVGRWADPPARGEPRRLGAGWPAGAQTRSFLGCGDGEEGSVLSKVYEERRVMGYSPEQMYAVVAAVDLYEDFVPWCQRSRVIRRYNDGSFDAELEIGFKFFVESYVSHVEMEKPKYIKTTASQSGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSKLVGSFSDRCFRIYGPAVPVLEKSYGHGR >SECCE4Rv1G0254440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:638002389:638005350:-1 gene:SECCE4Rv1G0254440 transcript:SECCE4Rv1G0254440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLWKQSGLAAMACADAGHLGPAGAGAGRSRRRARLVVYAFAVAFAALTAYIALCSPAAPAGAGVGGGEAGASWFDGVYSSTAPYRSQVSTFFSSIFPTNSSAPSPEPSRRDGGGAASGSSDGGGEVNRDVDSKQVGNGAGSSNSATAGSDKRSGSGGSAPSSNAAGAGAPPAANPAGSGTAAAKSDSPTNSPATSGGAPISSADRNKGNSTGGGSGSQAGGGGESPTSSAGERTVANSDEESDGSDKQSGSGAPTNNGAAGNVTAVKADTKDSVGVSGGSSAGDGSAAKADSKIGPDDQAVTASGAPSSGSAAGNSTTAKADGKDSVSAASSGSAGTDTVVKADLNNESDGASGGGNVDETHKPAPSATPVKSNAEDGGIQGDKASGNVASTSNQTAVAGEKEDGSSTKNHTLAASPVVTKQNETSGPSSVGSGSATNKKETTPQVSASSLKDHSSQTITAKAGNHSEDLVKGNVSSTKQAGGASGNKKADWIKEMAGCDMFHGNWVRDDTYPLYPGGSCPHIDEPFDCHLNGRPDRAYEKLRWQPSGCNIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRHSVKDKRKVFEASGRREFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWETQVSNGNKKETLRLDIVEQSSPKYKDADFIIFNTGHWWTHEKTALGKDYYQEGSHIYSELNVVDAFHKALITWSRWIDGNVNPKKTTVMFRGYSASHFSGGQWNSGGSCDKETEPIKNEQYLSTYPSKMSILEDVIHKMRTPVVYLNITRLTDYRKDAHPSIYRKQHLSEEERRSPERYQDCSHWCLPGVPDSWNELVYAQLLISQHQMLQQ >SECCE1Rv1G0007960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:43154958:43156970:-1 gene:SECCE1Rv1G0007960 transcript:SECCE1Rv1G0007960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGIQQLVFFVVLLANAISGRCSLEVWPPSEGHLEFLQPGVELASSRNSISSYVAHHAWIPGEDNNNYYGVEATLDVYGFDLQPGQLTEAGIWIASTGDGHPIPDNGIQIGWHIYPGLHKDSRTHFYVAWGASGSPDKGCFNTVCPGFRKTSSSIAPGDVIDPVSSINGTKQYITVRVFKDKSTGDWQVHYGLNGSPKPVGYFPKSLLPAMIDRPVMLRFGGYTSRKKPAPNPPMGNGYVPLSSTAALVSNLKLIDADGNDHVVNKDLPFFITSRQCYPLSIIDSGGFFYGGPGCFDN >SECCE5Rv1G0329980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:523516405:523519603:1 gene:SECCE5Rv1G0329980 transcript:SECCE5Rv1G0329980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 31 [Source:Projected from Arabidopsis thaliana (AT4G24530) UniProtKB/Swiss-Prot;Acc:Q7Y030] MRRPTASSPPARGPALAAAAAVVLLPAIFPALFSPLGRAFPSLFSEWNAPKPMHESLLNASLRWTIPDEQKRDLWTPLPDQGWKPCLRSSITRGLPSEPSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWKDSSSFGDIFNVDHFINTLKGEVSIVRTPPKEYSWSTREFYGTGIRATRIKTAPVHASANWYIENVSPILQSYGIAAIAPFSHRLAFDDLPVDIQRLRCKVNFEALVFVPYIISLGTTLEKRLRSPVQGHSTEFAQQVVEENTDQDGKYAVLHLRFDKDMAAHSACDFGGGRAEKLALAKYRQVIWQGRVLNSQLSDEELRNTGRCPLTPEEIGLILVALGFDNTTRFYLASHKVYGGEARISSLRKLFPLMEDKRSLASADELANVEGKASVLAALDYHISMHSDVFISASPGNMHNALLAHRAYRNLKTIRPNMTLLGHIFVNKSMEWPEFQQAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQG >SECCE6Rv1G0414700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:635957484:635958824:-1 gene:SECCE6Rv1G0414700 transcript:SECCE6Rv1G0414700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCQRRSPFPMERLDAHGGGNAAFLRGLEALEVDVEQQEEIIDFEAESPGSESVEISDLRKRMWKDQMRLMKLEGRAGARSAAPARRQEGQEEDGPEARCRRKAMLRAQDGVLRHMMKMMEACNAQGFVYGVIDEAGEPTSGSSDSLRGWWKDKVVFDRTGPMALITGSAADGSSSPLGLASYLHRLQGIQDNTLGSVLSALLQHCQPPQRNFPLERGLAPPWWPTGKEPWWGTQGETQAHQGAPPYRKPHDLKKAWKVSLLSAVIKHMSPRFDQMRKLVWQSKRLQHKMSAKESDTWSKVLRQEEALSGRLRSSLRITPLDDDHDGEEGEDGLEEVARVPHDKRKCEDAPSGSSGGKCLRPRGGSRDLAETMPGLEAGVLDEESQGAINELMELYYTCLQGCDAEGKHGAAVVPPGVPGGVDGFLFDVIGSCPEVDHVLRLMEE >SECCE1Rv1G0058410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:699789421:699789934:-1 gene:SECCE1Rv1G0058410 transcript:SECCE1Rv1G0058410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEENGNFGREFVKCESKPEGQIVKKCHHFEWMDDYIQRLQGLGLLDSRGNAIREFNLPHDSAAPAVAAPPEYPTVVDVELRTELKEMNKNFKQLIELKKQSNLIALGIVSLRIFYLMAISR >SECCE3Rv1G0192940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:763963133:763965231:1 gene:SECCE3Rv1G0192940 transcript:SECCE3Rv1G0192940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPSAQPAPASGYAPGAHREALDFIEHVTANAGKVQQRVLAEILAQNAPAEYLRRYGVSSSSSPLDAVDAFRRCVPLVTYEDLQPDILRIANGDTSPILSGKPISEFLTSSGTSGGERKLMPTIADELDRRSLLYSLLMPVMSQSLPGLDKGKAMYLLFVKAESRTPGGLAARPVLTSYYRSRQFLDRPHDPYTAYTSPNEAILCVDSYQSMYAQLLCGLVHRTDVLRVGAVFASGFLRAIRFLEKHWPRLCHDIRTGELDPEITDNAVRDAVGRLLHANPALASEIEAECSKPSWEGIIRRLWPRTKYIDVIVTGAMSQYIQTLEFYGGGLPLICTMYASSECYFGLNLNPMCKPGDVAYTLIPTMCHFEFLPVHCSNANAEPSHHDLVDLVDVKLGHDYELVVTTFSGLCRYRVGDVLRVAGFKNEAPMFSFVRRRNVALSIDSDKTDETELHTAVSSAVQHLAPFGASLVEYTSYADTAAIPGHYVLFWELREGSTAVPASVFEECCLSVEEALNSVYRQCRACDRSIGPLEIRVVSEGTFEKLMDYALSQGASINQYKAPRCVRPGPVVELLDARMQASYFSPKCPKWSPGNKQWNTSKEMLSIGDA >SECCE6Rv1G0378650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9542261:9543061:1 gene:SECCE6Rv1G0378650 transcript:SECCE6Rv1G0378650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMSLSSSTFAGKAVKNVPSLALFGEARVTMRKTAAKAKQVSSSSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLAEDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVSNNAWAFATNFVPGK >SECCE3Rv1G0184110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:659910575:659911476:-1 gene:SECCE3Rv1G0184110 transcript:SECCE3Rv1G0184110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLYEKPSETYAKKRPRYPKEWFSMLASLTAGHHRAWDAGCGTGQASLSIAEHYDGVVATDVSESQLRHAIAHPKVRYLHTPEDLPEDDLVALVGGEGSLDLVIVATAIHWFDVPLFYAVVNRVLKKPGGVLAVWGYNYDIHPFGDQLHGTLYPAMRPYMEPRTRLAMERYRELPFPFEPVGVGREGEPADVDMEAEMTLEDLAGFVMTGSVATTAREKGVDLEALLKGVMKEVEEGWGDQPTVPRKLVFKAFMLAGKPK >SECCE3Rv1G0152040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:43862312:43862659:-1 gene:SECCE3Rv1G0152040 transcript:SECCE3Rv1G0152040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAAIKLVLVALLSALLLGASDAAITCGQVNSALGPCISYARGSGASPSAACCNGVKRLAGSVRTSDDKKAACLCIKRAAGGLNPGKAADIPSKCRVSVPYKISSNVNCNNLH >SECCE1Rv1G0052700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:663656085:663661843:1 gene:SECCE1Rv1G0052700 transcript:SECCE1Rv1G0052700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETNNARADGGVKGGEEEEKGRKKMTKGGKASFHDLFKNADAADVVLMLVGTVAALASGMSQVVMSIIFGRMVDAFGGATRDTILPRVDKVVLEFVYLAIGTWPACFLQISCWTVTGERQAARFRSLYLKSVLRQDMAFFDTEMKGGQVVFGTSADTILIQDAIGEKVGKFLQLLTTFIGGFAVAFIKGWLLTLVMLSTIPPLIVAAAIVSKMLSKVSSEGLASYIDAGNIVEQTIGSIRTVVSFNGEKKAMDQYNNLIKKAYKGTIKEGAIQGFGLGFLSLVYFSSFGLIVWYGSKLTLDRGYSGADVMNILFAVLVGARALGDATPCIASFGEGRVAAYRLFTTINRKPEIDYDDTTGVVLEDIKGDVELKDVYFSYPSRPEQLIFDGFSMHVSSGTTMAIVGESGSGKTTVFNLVERFYDPQAGEVLIDGMNIKSYKLEWIRGKIGLVNQEPVLFMTSIKENIAYGKEDATLEEIKKAAELSNAARFIENLPNGYDTAVGQRGAQLSGGQKQTIAVARAILKNPKILLLDEATSALDLESERVVQEALNDIMVGRTTIVVAHRLSTVRNAHCISVVSGGKIIEQGHHDKLVKDPAGAYFQLIRLQEKHQETCEQLNAGISSPLSKRNQAQSISTSSAGSSHHSVIPPVNLPGPTALLDYDGADGEKASQNTDVKVSKKAPMGRLISLNRPEMAFLLFGSLAAAIDGTVYPMMGLVMASAAKTFYELPADKRQEDSIFWGLLCIGLGAMGMISKLANSLLFAIAGGKLIERIRAFTFKNIVYQDAAWFDNPANSSGALGGRLCVDALNVRRLVGGNLALIIQCTATLICGIVIAMIADWKLSLVILIVLPLMGLQAYAQVKFLQGFSQNAKTMYEEASQVATDAVGNMRTVASFCAEKRVVTKYNQKCQASKNQGIRTGIVGGIGFGFSYTMLYVTSALCYYVGAKFISQGNSDFGGVFKAYFALVLAMIGASQTSAMASDSTKANDSAASIFKILDRKSQIDSSSEEGSTMELVKGDIDFMHISFKYPSRPDVQIFSDFTLNIPSRKTVALVGQSGSGKSTVIALLERFYDPDSGAILLDGVEIKNLKLSWLRDQMGLVSQEPVLFNDTIRANIAYGKHEEVNEEEIAAAAKAANAHEFISSMPQGYNTSVGERGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESESVVQDALDRVMLGRTTVTVAHRLSTIQGADIIAVLKDGAIVEKGTHETLMGIAGGAYASLLELRPNATSYSDLGDGIDLGY >SECCE1Rv1G0054610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675566807:675568839:1 gene:SECCE1Rv1G0054610 transcript:SECCE1Rv1G0054610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGKKAAAGGGELSRFLQPHLQTITDTLQMMSEAAPGGLERTEWSEVVALGDVVSRQATVAGMVWSGDLPGVETLKENIAAYFNVLQGFLLACHGSTVGAGPTLHKYITSSAKGVVDASFSLFKLAVSAYESGSPDRKTTIPPVTGTVWEACLALKKVPATNCIAIGRAMTQICVCLKDILREMKELPVGDLDDTKAEKSSNGDVAMSFSDKDESFSDLEEDEGFTEEEIAVAKLIIAVTADSLDAVKETIRFITSLLKSSGNQSGAAEDKVECMENLLSHCRDVADQVNELGASVYAQDPSEMKSAIKRLYVGITGMCQEIAGLGGSPKNAYAAFSGFEKSLKALEEEIGEDVVDEMKNLTISPS >SECCE5Rv1G0331620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:539978084:539978365:1 gene:SECCE5Rv1G0331620 transcript:SECCE5Rv1G0331620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKHASSCTCILIILVISSHLAPCEARRLMVVSAKITGDEACKSSGCRAVQGTASGAAATSKMATTDGRGTGPGHSPGIGNKLHAAGNDRR >SECCE3Rv1G0192610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:761028736:761029023:1 gene:SECCE3Rv1G0192610 transcript:SECCE3Rv1G0192610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVAVPTKFRLRNNTVCSWEVTVKLMNGRATLDQGWATYAAVHQIKIGYMVTFKLLTHNTLKVIIFDDDGIEVVNKCGKHDKAFAAKD >SECCE3Rv1G0193840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:775396235:775399582:-1 gene:SECCE3Rv1G0193840 transcript:SECCE3Rv1G0193840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEDGAHAAGGHASNGHANGAVEDKVDELRRLMGKADGDPLRIVGVGAGAWGSVFCALLQDAYGHLRDKVQLRIWRRPGRAVDRATAEHLFDVINAREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWNADIVINGLPSTETRDVFGEIGRYWKERVNAPVIISLTKGIEASLDPVPRIITPTQMISNATKVPLDNILYLGGPNIASEIYNKEYANARICGTNKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGHRLAKGELTLEMGDSIKGKGTIQGVSAVDAFYKLLSQDSLSVMHPEANKSVAPVEMCPILKTLYKILIKRELPTESILQAIRDESMCDPRERIEMARGQSLYRPSILGLPNGDVKA >SECCE2Rv1G0136510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:912467452:912471314:-1 gene:SECCE2Rv1G0136510 transcript:SECCE2Rv1G0136510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFDDTSVGAVLSVIKNAESFGDKLVAEISRVLKAGGIVLVQSFTPSVDQKPNNYIERQLLMGGFVEVQASATSSQDSVQSVTIKAKKPSWSMGSSFPLKKAVKALPKIEIDDDDELIDEDSLLTEEDLKKPQLPVVGDCEVGAAKKACKNCSCGRAEAEQKVEKLGLTAEQIDNPVSACGSCGLGDAFRCSTCPYRGLAPFKLGEKVTLSDNFLSADI >SECCE3Rv1G0196230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:806918228:806920168:1 gene:SECCE3Rv1G0196230 transcript:SECCE3Rv1G0196230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIAKTLGRTGTMTEDILKVFSNYDGRLSLDKLYAAAAAAGGGGGGAGEHSMPASSPPPVLPSAAAAHSMPAPVTSLERTVRTLDRQISQFVTMERLVWADSADADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRCMARLEDEFRALIERPDDAAPAAPGGFDSEQSDDEDYDADDGYGDEPIPIAKPVTDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYAAARRGFIDESVARLGIRSRTSDEVHSLPWEELEFDIARWIPAFKMVFRILIPSERRLSDRVFEGLAPYGDLAFVAAVRTQALQLISFGDAVAAASRAPERLFRVIDMYEAVRDLLPDLDPVFADPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVAVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGALGVTAIAVDPDRPTSSLAVHIAWIMDVLHKNLESKSKIYRDPPLASIFLMNNGKYVIHKVNDSELGVLLGDDWMKQMLSRVRRWSMEYQRGAWAKVMSVLQTGGSGFSGLPPKAMLQKLQMFNGYLEEIRAAQSEWVITDDQLRTDVKAAIADSVLPAYKGLIARLRSSPDAPQDLFIKHTPEDVETCIQHLFEGIGK >SECCE1Rv1G0060020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:708448259:708451872:-1 gene:SECCE1Rv1G0060020 transcript:SECCE1Rv1G0060020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(14)-sterol reductase [Source:Projected from Arabidopsis thaliana (AT3G52940) UniProtKB/Swiss-Prot;Acc:Q9LDR4] MDAAPATADVLAALAPSWSAAVVLLSYLAYLAAAGALLPGKLVAGAVLPDSSRLHYRCNGLLSLLLLLGLSALGVYAGWMTPTVVADRGLELLSTTFVFSVIVSFLLYYTGLRSRHQSSSLKPHATGSFIEDWWFGVQLNPHFMGVDLKFFFIRAGMMAWLFINLSLLAKSYLAGSVNRAVILYQFFCGWYITDYFIHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELPLLASVANCIIFLIGYLVFRGANKQKHLFKKDPKAPIWGKPPKVVGGKLLVSGYWGIARHCNYLGDLLLALSFSLPCGASSVIPYFYPTYLLILLIWRERRDEARCSEKYKDIWAEYCKLVPWRILPYVY >SECCE2Rv1G0116670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:766500659:766502059:1 gene:SECCE2Rv1G0116670 transcript:SECCE2Rv1G0116670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEHAMEPVAVVAVPFPAQGHLNQLLHLSLQLASRGLDVHYAAPAQHTRQARSRVHGWGEEALQSIQFHDLGIPSYVSPPPDPTADTPFPSHLMPMFKAYIDGARAPLAALLDKLSGSYRRIVIVHDPINAFAAEEAARLPNGEALGLHCLAVSMLVGRMDASHRLLRENGLVYSAIEQCATTEFMEYANRARPSKEISPSTGILANTCRALEGDFIDVVAGHLAADGKKLFTIGPLNPLLHASASKESKQRHECLNWLDEQPPASVLYVSFGTTSSLRAEQIEELAAALHGSNQRFIWVLRDADRGDIFAGAGESRHEKMLSEFTKLTKGTGLVITGWAPQLEILAHSATAAFMSHCGWNSTMESLSHGKPILAWPMHCDQPWDAELVCNYLKAGILVRPWEKHSEVITAKAIQEVIDEAMLSDKGMAVRQRASALGVAVRASVVDGGSSRHDLDDFTAYITR >SECCE7Rv1G0521250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873284654:873291813:-1 gene:SECCE7Rv1G0521250 transcript:SECCE7Rv1G0521250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTSSLAFALLLVVTLTGVLPLVSCSRDLVGVATDRALEDPLLMMEKFHGWMAKHGKSYTGVEEKLRRFDIYRRNVEFIEAANRDGRLTYTLGANQFADLTHEEFLARHTNRRVVPSEKMVITTRAGDVVEDGSCRPASNAVPDSIDWVKQHKVTQVKSQGQKCGACWAFSAVATIESAYAIAKGGTPPVLSEQELIDCDTFDRGCTSGEMHNAYLWVMRNGGIATNSTYPYKETKGTCERRKLQEHAATIREYELVEPNCEEQLMAAVAVRPVAVGFDSNDECFRFYQAGLYDGMCIKHGEYVGPCSSNDRIHSLAIVGYAGKGGDKDRYWIAKNSWSKDWGNNGYVLLRKDVDKPEGLCGLAVEPVYPIA >SECCE6Rv1G0414590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:634377853:634378951:1 gene:SECCE6Rv1G0414590 transcript:SECCE6Rv1G0414590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRKNRAGGKTPLSKGRQSRKSVAATEAAPSPVAIEAPELAASSVMVTPSPVGMVAVPVMMNLDFDVPVMVLECDVCHHLLKAPIFQCSLLRHVVCRSCSELHGGKCHPCADMAASAVYVQSSYLDTLFGFIKTACVFEKYGCTSSTAFGYPAITHALSCAFLPRSCPKCSFKGTLGDLVRHLAEEYGRHEHDWTAQKITYGQEYLLNVVELDPLGVYDHDFFVAEEDGGVFLLFLDLTEDFENSIFPSVTLVCVRNGAAAAAGPAYSYWVAAEAPSAVSRPEINEVLSCSDWELERDCLPLLPGMRQRVRMCISKAEGSSASCSS >SECCE5Rv1G0308760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:137824220:137825827:1 gene:SECCE5Rv1G0308760 transcript:SECCE5Rv1G0308760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSVGNVLPLRSVPEGGVICNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNSWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAASAAKADKAT >SECCE2Rv1G0099560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:523558742:523559176:1 gene:SECCE2Rv1G0099560 transcript:SECCE2Rv1G0099560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTTAAISCLLLLATLSIAAAEIGHPQAPAAAASAVGGRTEIRDVGKNKLVQSLGRFAVAEHNRRLSHGGGPANNGDPVRVQLVFTAVAAAQKQVASGVVYYLKVIARDRAGGGGDRPFDAVVVVKAWIKSKELVSLMPSPK >SECCE2Rv1G0137840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920212924:920214267:1 gene:SECCE2Rv1G0137840 transcript:SECCE2Rv1G0137840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQRRIHVASLLIRSPTFAAGAEQGAATDDGGGVTIEREAVGEPVARRTRSRRRAAALDRLPEDILVWEIFVRLPARDILRCRAVCRSWSRLASAHDFLLAHNRRQPSLPLAVLYGSTASLSTEAGHRVLGRGRSRPLLEFDDYDDCMLHASCDGLLLLSLSDDSFAICNPATRQCASIPGLPAAWCINITALYLHCKSGEYRVLYWGGAAYYILTVRVRVRRKGSPRCIGVPCDSPDMVAMLAGHGTSSTNLASPVVFRNNLHWEPDDWDHFDVGIIVFDTVLESFRSMCRPTATGFCMRLWDMEGSIGFSCFDSRGSSLKIWVLEDYEREVWSFKYHVKHPEPEEVLCHSVNTRHPAVVLRHRVKTQHCVLSHEGDVLVYNKSARYMFHCDSAGKLLEEFKWEPLPGSLSIIGHKYKESLVKHNFFSRHGAAPPTKNSLFQRV >SECCE2Rv1G0107160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:647183378:647183665:1 gene:SECCE2Rv1G0107160 transcript:SECCE2Rv1G0107160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVAVSTKFKLRNNTGCSWRVTVKLMNGRVTLNQGWATYTAVHHIKIGYMMTFKLLTLDTLKVIIFDDDDIKVVNRCGKHDEAFTARD >SECCE6Rv1G0402530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:484522362:484523252:1 gene:SECCE6Rv1G0402530 transcript:SECCE6Rv1G0402530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKVIVNSGDIARVWQDPIGTYPPICDLYPELFSIVYHREDTIAQFRNRAYDSLFRRRLNTDLNNHFEEVKKMVANVDSSAGSDKIEWEGGPKGRYTTKSMYAYLEKNLAGCDYRWIWKAKIPVKIQIFLWQLFQDAILTRDVMKRRNWAGNPSCSFCDCKETYLHLFFLCQVARIAWRTVGAMLGTDLCPNNLCQFYSWCYAFLPHGKMHFTVGLAAICWSIWNRRNQATFEFKKLSSPFEIVFTACMHLSYWAGLLKGQEKVELEHGAKMLKENAKEMMRLCSTAYTGEVVE >SECCE5Rv1G0360050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:767231284:767234771:-1 gene:SECCE5Rv1G0360050 transcript:SECCE5Rv1G0360050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAMMFSLLVLRSLGKLTALASGAVTSQQSPEGTWAQPSRAGFTLDSCPTSCGSVSFSYPFGIGSRCSRGPDFNLSCNDATHPPRLFLRDGITEVVSFGDDFHFDLNSIRASFSHTIPLKSSVSSYNLSFKPPGRSFHLYPFVLNIIGCDLAVYSVKENAMQPICATVCPDPEITEMVAMHNCNGFGCCRVDVHNYSDIYQFKFVYDHDTKNTRVHSNQTSDLWDKISINADYLKLSWDIGDQPNTACVACIGDHVECDGYMHDTLDMTSRYRYNCVCHDGYTGNPYIPHGCSSNGKGYNPTPSRADCTRLCGNISVQFPFGFEAGCFAREEFHLNCTNMTSSDALVMLEDKQVIDMNVDEGTINVTISKQQHWTSISEPERNPLFLPYDFFLSIKWVAAHLSCTEAQRNRSGYACVSTNSKCVEVTAERIYAGYRCKCSDGFQGNPYIQSGCRDINECLQANSCKGICHNTNGSFRCTECPLETEYDPLKMQCTKIKQQPLLLGMIIGLSCGFGILLLSFTVVFLTRRWKINVQKQLRKNYFRKNQGLLLEMLISSDESANENMKIFSLEELEKATNNFDPTRIIGRGGHGMVYKGILSDQRVVAIKKSKVIEECEISQFINEVAVLSQINHRNIIKLFGCCLETEVPLLVYDYVSSGSLSQVLHADSSDGFSLSWIDCVRIALETAGALSYLHSSASISIFHCDVKSSNILLDVNYTAKVSDFGASRLVPTDQTHIVTNVQGTFGYLDPEYFHTRQLNEKSDVYSFGVVLVELLLRMKPVFTSESGAVKSLSNYFLQEFKDGNITSIVDSQVLEEATEEEINSVASLAEVCLRLHGEERPTMKQVETELQTLRTKRVNSGQADRRNEERMLPESQTQRRATAARQSSMTELGKRESRRCYSLECEFMASASLPR >SECCE2Rv1G0086750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:215153913:215154368:-1 gene:SECCE2Rv1G0086750 transcript:SECCE2Rv1G0086750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSSGKGMSSSVIPYKREAPAWVKTSAPDVEEIIVRSAKKGQLPSQIGALLRDGYGIPLSKAVTGAKIVRLLKARGLAPEMPEDLYFLIKKAVAIRKHLERNRSDVDAKFRLILVESRVHRLTRYYRLTKKIPAAWKYESTTASTLVA >SECCE1Rv1G0022700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:287942784:287944802:1 gene:SECCE1Rv1G0022700 transcript:SECCE1Rv1G0022700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRPVSAVSFLVLLLFHFLAGGDGHFTYNGFAGAQLDLDGMAVVEPDGKLALTNITSQLKGSAFHPTPLRFHETNGTVARSFSATFVFAILTDLVTVGGNGLAFFVAPTKNLSMASPSQFLGLFNPHNNGNASNHVFAVELDTILNPEFRDISSNHVGVDVNGLASVVAEPAGYYDDDTGDFKNLTLISGDAMQVWVDYDGRSTVLNVTLAPAEARRPKKPLISVTVDLSSVLNGTAYVGLSSSTGPFRTRHYVLGWSFALDGAAPPLDYSNLPEPPRIGDSKRRSKARDVILPVATPILALAVVAGVSLVVWRRLRYAELREGWEVDFGPHRFAYKDLYRATGGFDGKHLLGVGGFGRVYKGVLPASKKEVAVKVVVSHDDAKLGMKQFVAEVVSLGRLRHRNIVQLLGYCRRKGELLLVYDYMPNGSLDNWLYNRNATPLSWAQRLSAIRGVASGLLYLHEDWEKVVVHRDIKASNVLLDGEMNARLGDFGLAKLYDRGTDPQTTSVVGTMGYLAPELACTRRVTPATDVFAFGAFVLEVACGRRPIEHGAVDDNRLVLADWVLERWHNGDVTDTADPRLCGAYDVEEVTAVLRLGLVCSHPAPAARPSMRQVVQYLDGDMPMPEPAPTYWSFTAMALTQKAEGDDSYVASYPLSSATSVGASSVLSGR >SECCE5Rv1G0367560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:822234031:822234948:-1 gene:SECCE5Rv1G0367560 transcript:SECCE5Rv1G0367560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPTNPEIDNDMECSSPESAAEDAVEPSSPVPAPSSRFKGVVPQPNGRWGAQIYEKHSRVWLGTFADEEAAARAYDVAALRFRGRDAVTNHQRPLAAEGGGSSSTSELAFLAEHSKAEIVDMLRKHTYADELRQGLRRGNGRAQPTPAWAREFLFEKAVTPSDVGKLNRLVVPKQHAEKHFPPTTAAATGSNGKGLLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLVAGDTVTFSRSAYVMNDTDEQLFIDYKQSNRNEEAADAPTADENEAGHVAVKLFGVDIGGGGSSGG >SECCE7Rv1G0492190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:545464550:545468661:1 gene:SECCE7Rv1G0492190 transcript:SECCE7Rv1G0492190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYENGDSPAAAAWEGGVILGVDGGTTSTVCVCLPAAMPPPEAPGSVAVLSRAIAGCSNRNSVGENAALETLEQVMMQALTMASTDRSSVVAVCLSVSGVNHPSDQQRMLDWICNLFPSNAKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVIKAHDGRGPQTNLTKEIIRKLEISSPDELIGWAYADLSWARIAALVPVVVSAAEDGDKVANTILHDAVQELAESVVAVVRRLTLCGEDGKDQFPLVLVGGVLEGNKKWDISGEVIKCISKVFPGVCPIRPEVEPAIGAALLAWSHLRKESKLQNGS >SECCE4Rv1G0222820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:63003361:63005894:-1 gene:SECCE4Rv1G0222820 transcript:SECCE4Rv1G0222820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKSEKGKEKDGSASTPPNRRKAGLRFSPKVPTKKTPKSVPKMEPEEESELDTIDKELLMKLRTPQSKGALERRFKSEKNEACVQVAFGQVNSSTARSFPTPKSSSSVKQEQEVNLFSKYMMSGVTTSAAKLPKQFTGPQDFTHPSYNYPPITLPLRRPHSADPEDFDEDEFGEFSSSRTQDGELTAAKELGLMDTEDKMDTPELLFFQFPASLPLPQVVSAAGADMDTSDSEGVETEETNKKTRLELINGCKLKDLPGGLMGKLLVYKSGKVKMRLGDALFDVSAGLDCIFAQEAVAINTNKKHCCSLGEVNKRAIVTPDIDYLVDSIKRIG >SECCE2Rv1G0073170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:65296081:65297445:1 gene:SECCE2Rv1G0073170 transcript:SECCE2Rv1G0073170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQKGNILMGKYEMGKMLGQGTFAKVYHARNIETSQSVAIKVTDKEKVLKGGLTDQIKREISVMKLVKHPNIVQMYEVMATKTKIYFVLEHVKGGELFNKVQRGRLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDENSNLKVSDFGLSTISECRRLDGLLHTSCGTPAYVAPEVINRKGYDGAKADIWSCGVILFVLLAGYLPFQDKNLMNMYKKIGKAEFKCPSWFSSDIRRLLLRILDPNPSTRISIERIMEHPWFRKGLDAKLLRYNLQAKDAVPATDMTATSDTLSCSNSATEGKEQEAKKLSNLNAFDIISLSTGLDLSGMFEDNDKKRESKFTSTNSASTIVSKIEDIAKGMRLKLVKKDGGMLRMESFKPGRKGVMSIDAEIFEVTPDFHLVELKKTNGDTIEYQKVLNQEMRPALKDIVWAWQGEPQPQPQPQQQPC >SECCE1Rv1G0052520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:662002686:662004101:1 gene:SECCE1Rv1G0052520 transcript:SECCE1Rv1G0052520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPATWSELPPDVLGLVINRLVSSPRRSSVWSRLRRLLGFRTRFKEWSRVRRFLDFIAGFGFKKVRRDRHWVFVDRARFRMVCRSWHRAMRRHILAPRQVPWIVMSDGSFFTPSDPIGTSPPRLPTLPSNTTCIASCNDWLALDLTDDDKMHSYSLHNPFTATTVSLPELDDIIGNVSEIFKVRKVLLRSTPSDIIAVMTNNWNHPLILIRPGKGVWLPEPQSVPFIYIVDIAFLGDKLYGITRAEDLVSLDINFDSNGIPIVTSIERLIKHPPGNYDFDVWRDVDDNNDDEGGKDEAANNYEGHTEALREQTPEEAALEELRRKTGDDMILEGVVCWEDDDVPYEPKDLITVMWHLVESCGKLLMVRRQLHWPAYSINFTSKVEVYETSVNEGAHWVLVSGGLGNQTLFISKFFCKSIYTCEDGDREDLHFIDTGEKYNMKSQTMSPTWRDISYHRSMWIFSEELVV >SECCE2Rv1G0075580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:84946240:84950486:-1 gene:SECCE2Rv1G0075580 transcript:SECCE2Rv1G0075580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPLNLPSGSVQRNLGSAFYAGKPESAATAASLSQALGPPRTPLRGQAVPLKVQSSLPLWPPVPWPTLMVRKHHMPFPTQPAAKKLQVRRRSPVRSQDSPLRGALQVAELPPPRVQLFPFQQAPPVLKQSLPKTEVLPMPATSVGVRWNARYPWNETSCESTDRTSRKQCKCKYSKCLKKYCECFASGRYCNDCDCKNCYNNVGHEAARQDAIDAAMERNPMAFMPKIGNIPPHAAQNREFKVAEGPLVGKHMKGCHCKRSECLKKYCECFRSNILCSENCKCTDCKNYESNEDRKAIRRINQHRQHLVYAHHIQNPAITGPYAALSHAAEKPSNLSVASSGRDQLISNNDSSQVTSSLLTPVPIEGTKSAVKVEPHGVTYRPLLADVIQIENVNELCKALLLVSRQAAGASVGVKENTNRRKLDRADSCLSSINHDTEAVEKQRDEQVCSTENSLTAVPVSEVRAGTPRSDPSDTWKYDRRPVSPRTQELMCNEQDWLFQTPSVAAVIPSATKRKFPDTYKEQERRILKTLHDYLGELVNCGRLHEEKLSSISSKFHEQTSVSSSCGSSSISRVAEVGQTIRQSLHSPASIRSPGL >SECCEUnv1G0531610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17606565:17607062:1 gene:SECCEUnv1G0531610 transcript:SECCEUnv1G0531610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRFFDTLALDSWNPFGSIFGTTATSGADVWLANDNTAFADTYIESRETAEAYVFSARLPAGVTKEEVKVEVEEEGKVLLIAGERNLRREAKSDTRHHVERSVATFFGRFHLPEDAALGQVRAAMDDAGAQLTVTVPRVGAAVAAVTMPEPAVAIEVVEASPC >SECCE3Rv1G0151490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:39252506:39253905:-1 gene:SECCE3Rv1G0151490 transcript:SECCE3Rv1G0151490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPDPDVILPRVLIVSRRTLRKNKFVDFVGEYHLDLLVGYGAVPVIVPRVAGVHAMLDSFEPIHGVLLCEGEDIDPSLYDARGGGDSEGDALSPEQLEAVRRLHPSDAAVDHEKDSIELLLARRCIERNVPFLGICRGSQVLNVACGGSLYQDVEHELHPATADAAVCHMDYANYDGHRHPVHVLPGTPLHDWFAESLLDGDQLMVNSYHHQGVRRLAERFVPMAYAPDGLIEGFYDPDAYNPGEGKFIVGLQFHPERMRKEGSDEFDFPGCAKAYQEFVRAVSAYQGNLAAAHVHVRSAVTTPAKLKREMEKQHKVIFRSVSLAKNMYVFGKNTGAQQQAEHRDGDLDAGPEFLESNTALSVQQEKRLKQMGATVRNASGYMNRLMVSEEREAAARALMAKMSVAQLASLAAFYRAMGNVCSEVLDAKLQPPSPTLHE >SECCE2Rv1G0083070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:161510656:161513007:1 gene:SECCE2Rv1G0083070 transcript:SECCE2Rv1G0083070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHPGHPISNYDFLSGNGCHTKKLGHKNYDQDSSSAKSGRSQQEASATSDSSLNEQHTSRPSSQSDNDNDHGKPDQHMINPLLSLGNPETVAPPPMLDCSQSFAYIPYTADAYAGIFPGYASHAIVHPQLNAATNPRVPLPVEPAAEEPMFVNAKQYHAILRRRQIRAKLEAQNKLVKARKPYLHESRHRHAMKRARGTGGRFLNTKQLEEQKQKQASGGASCTKVLGKNTLLQGSPVFAPSASAPSNMSSFSTTGMLANQERTCFPSVGFRPTVSFSALNGNGKLAPNGMHQRASMMR >SECCE3Rv1G0160910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:109935880:109941163:-1 gene:SECCE3Rv1G0160910 transcript:SECCE3Rv1G0160910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC family protein, Pi-starvation signalin [Source: Projected from Oryza sativa (Os07g0438800)] MERITTNPFYTSGIPVTVPSPLPSATMDEGFPRLPDAQNVLLEREMRRTPLPPHQSTVAPICGQFHPSTGSVGPLRSPPAVRFSSVPNPEQYTGANPYISQMPSTGSSSAMIYGSHHEGFEPTFNDFPRDVGPTWCPDPVESMLGFSDDVPVGNNLTGINPVAATDELAKQTEWWTDFMNIDWKDIADSAGAAKTHPQAGPPVQSSISVDQSASQQVGTTSVHQSALQQIVTTQSVESSVVAAPSPSASSNTSKTRMRWTPELHERFVDAVNLLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSERLEASKEELPSIDLKGNFDLTEALRLQLELQKRLHEQLEVQRSLQLRIEEQGKCLQIMIEQQCNPAAEKAVDASTSAEGPKLSSDPPESSTVKDVPNNSQNGTTEQAESGDKE >SECCE4Rv1G0263420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:716585962:716590160:-1 gene:SECCE4Rv1G0263420 transcript:SECCE4Rv1G0263420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVIRKLGELLVGEYSLEKRVKKGVQSLLNELEMMHAVLRKVGEVPSEQLEEPVRIWAGKVRDLSCDMEDAVDDFMVRVDEGSSSNPTNMRNRVKKFLKKTTKLFGKGKALHQISDAIKEAQDLAKELADLRRRYELDTRSTSNGATIDPRVLALHKDVGELVGVDRTRDELIKTLICEDGSSKEQLKTISIVGVGGLGKTTLTKAVYEKIKAQFDCVAFVPVGQNPDIKKVFKDLLYGLDSEKFSDIHNTTRDENLLIKQISDFLVDKRYLIVIDDIWEEEIWRYINCALYKNKLHSRVITTTRNVRVSEACLSSSDDMIHKMKPLSDEDSQILFHRRIFQREEKCPEDLQAVSREILKKCGGVPLAIITIASLLVNNQSRKQKEEWMHVHRSMGRGVTEGGIVKDMKRILSLSYYDLPPHLKPCLLYLSIFPEDFEIERDWLIWRWLAEDFIQCDKKETSLFEIGESYVNELMNRSLIQPAEINEEGTVVTFRIHDMVLDLICSLSSEENFISILDNAEWHAPNLQRKFRRLSLHNIKAKVQNHQFDSTRLSKVRTFAVFSPVTCDWLPSLSSFQFLRVLDLGNCRHESSSGISLKYVENLIHLRYLGLNYADVCELPMDIGKLQLLQTLDIRGTSIEELPSSVVQLGNLICLCVNYRVRLPKGMGSLMSLEVLEHVGLSSSPHIVEELSHLTEVRTLVVDCKNMDDDLIDILIKSLGNLQKLQSLCIYDGGRLLDRMCESWVPPPNLRSFNSWGSPFSPSWFSRLPKWINSSSLPHLSRLEIDVEELQGDDIQIIGMLPALRFLRLCARRVMGTLVVRADAFPSARCCNFYWFPTPPCLFPPGAMPRVQRLQFRVSASSIARGEVECGMGHLPSLEHVVVDLQHENSSDEEMETAKAWLRRAAAAHPKCPTIKIYGYRLLTGFSKLEIYKEIDSQILTKE >SECCE5Rv1G0314820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:276674351:276675471:-1 gene:SECCE5Rv1G0314820 transcript:SECCE5Rv1G0314820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRRWRFAMVCSSNMNRSMEAHAVLGRAALDVESYGTGSQVKLPGPSMHEPNVYDFGTPYGGIYDDLRRKDPDLYKRNGLLPMLKRNISVKLAPQRWQDNAGDGVFDMILTFEERVFDLVVEDMNNREQRLLKSVLIINMDVKDNHEEAAIGAKLALDLCHKVSKQHNIYRVQSYSFH >SECCE1Rv1G0008510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:47323423:47323818:1 gene:SECCE1Rv1G0008510 transcript:SECCE1Rv1G0008510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKIVIAVQMASSRCRSKALAPVVDSVALAGDGKDQVVVVGDGVDSVKLTSALRRKVGHAQLLQVGDAKEEDPKKPAAAVVEYYPHAGYSYPSQPATVNVFYEQQHYHAAAFEAYRYPCSRPESGTCSVM >SECCE6Rv1G0411540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:608030825:608039742:-1 gene:SECCE6Rv1G0411540 transcript:SECCE6Rv1G0411540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPERSPPTTTVTAADAFAAGGGQEPSNSSSLFPLFPLSAPTEVSQCLPNPSFSFDASSLSIPPTASSSLPPLSPSSDEEEEAAPRPAPAKYDLLPSSPSDEERGSRRDDRKRRKRRRDQERYEGAASSRKPGVRAWAGSETKLVKDYYVDAKGDQDNLAFGSIYRMDIARYKPQNTLETRGLNRRFYNCGHASSQMDLDSDLDGLDNKVKVGGRYFSAKHAVLERNKGFKHLKVLKSDMIAILPEDFIPVETSSLPAKSTTVQQELEESWEDEILRRTKEFNKMTRECPHDEKNWLAFAQFQDKVASSQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKSYGERDSSESLFGKWEKILMEHPDSCKLWKQYLLLCQGEFSRFKVSDTRKSYSYAVHALSSACTKLCRQDFENADLKAHPSLVQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQIEFSLFSPPLSLSTSSKQRLFEHFWNSGGARIGEDGALGWSTWLAKDEESRQNMVMQENPQEPEGGGWSGWFNPSVANAETNDLSNKSIEELAADGIDPEDPDAEDTPAQDDVESLLKKLGIDVETEYSSEVKDAKTWNRWSTMELSRENEQWMPLRENSGLNHSNDASSGEVNDQLSRVILFEDVTEFLFSLSSEEARFSLICQFIDFYGGKISRWTSSNSSSWLDRIMSLEMISNDISEDLIAISDLANKTQNSSHCSLESLLGSMHDLSQRPGLVKFLKNAILLSLDIFPRNHILEEAVLVTTQMYTAQENTLSTPANASRALAKNLLKKDRQDLLLCGIYGRIEARHGNIDQARKIFDMALLSTGGATQDLVRKVPILYFWYAEMEISISTSRNNSDSVHRAIYILSCLGSNVKYSSYGGPISRPLVLRARQGFKEQIRSLRSAFACGCLKEESVALICSASLFESMTSGYSSGLEVIEEAYPFSESHTLEFEELWLYYIELLQKNLSQLSLSRVWPSILKGVQTYPYNPKSYVSMLTLSCLYSVPNNLRLTLDKCGQRDPSIVALLFALSFEWSKAGSYNRIHSLFERALADDKLQKSVLLWRCYLAYEAEIACNTSAARRVFFRAIHACPWSKRLWLDGFQKLSSVLTMKELADLQEVMHGKELFIRTDIYEILLQDEDDI >SECCE4Rv1G0269680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749760747:749761784:-1 gene:SECCE4Rv1G0269680 transcript:SECCE4Rv1G0269680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLLRATVALVILVLLFMPGAMAAAAASFDASRTQQLPLPPGEVHGPESVAFDAQGRGPYSGVSDGRILRWDGPKLGWTTYAYGPGYDSETCTTSRYGTEADVESRCGRPLGLRFNHKTGDLYVADAYKGLMRVPPGGGEATVLVDQIDGMPLRFTNGVDVDQVTGKVYFTHSSMNYDRSDHELVTKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIEGVDAGKSEPFSDLPGYPDNVRPDRKGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGSKKVRPTEIMERDNGKIYLGSVELPYVGVVKRK >SECCE3Rv1G0210190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:942706508:942706786:1 gene:SECCE3Rv1G0210190 transcript:SECCE3Rv1G0210190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAVNGEQGNGAGVAYVRYRECRRNHAIGIGRYAVDGCREFAALLGVDEAAMLLCAACGCHRSFHRREVVNEFGADYNAPRTPPANETRR >SECCE2Rv1G0137630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918712118:918714077:-1 gene:SECCE2Rv1G0137630 transcript:SECCE2Rv1G0137630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWCCFVSQLLIPITLIYLVMTKRKVRSGTCSSATVPFPIPPGPWSWPLVGSLPQMVLNKPAFRWIHRVMKDMGTDIACFRLGGVHVIPITCPKIAREVLKKQDKNFSSRPLTFASDTISCGYKDAVLAPFGDQWMKMHKVLASEIICPSRHKWLHNKRADEADNLTRYIYNLTTGGSSSTSGIANVDVRHVARHYCGNVIRRLVFGQRYFGAPQPDGGPGPMEVEHMDASLTLLGITFSFCVSDYLPCLLGLDLDGHEKIIKEANTKVDRLHNMVIEERWRQWKSDERQDGVQDFLDVLITLVDGDGKPLLSIDEVKAQCKTMILAAIDNPSNAVEWALAEMVNNPELLAKAVEEMDQVVGRERLVQESDIVHLNYLKACIREAFRLHPVAPFNLPHVAIADTIVAGYHVPKGSHVILSRLALGQNPTVWDEPLHFKPERHIEDNINVVLTESELRFISFSTGRRGCIAASLGTTMTVMLFGRLLQGFTWTKPAGVSAINLRESKHDLFIEKPLVLYAEPRLAVHLYPLMHH >SECCE5Rv1G0298230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10781874:10782752:1 gene:SECCE5Rv1G0298230 transcript:SECCE5Rv1G0298230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVKEGEGKAAPVVLITGCAEGGIGYEYCRAFAALGCRVVATDIPARVRDLTGLDDTVVVDRLPLDITSDESVTDAVSRVLRDHGRIDVLVNNVGIGCTGPLAELSGEAVRRTMDVNFLGQLRLVHAVAPHMVSRRSGRVVNVGSIVGTAATPCAGVYCASKAAVHAATDALRLELVPFGVHVVKVVPGAVRSGLGHANAAQLAGAKQGQQQWGMYREFTAAIEERARASQAAGAIEASVFARHVAARVMRSRPPREIVYGSMTGLFAVLAMSPAWARDAFLARRFGLNNL >SECCE6Rv1G0450530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:868185042:868186979:-1 gene:SECCE6Rv1G0450530 transcript:SECCE6Rv1G0450530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTCLSLVAVAVAVAAALAAAAEASGIGFDLHHRSSPVVRRWAEARGRPGAAWWAEAEGSPEYYAALSRHDRAHLARRGLAEGDGKGGLLTFASGNLTFRLEGSLHYAEVAVGTPNATFLVALDTGSDLFWVPCDCKQCAPIANASELRGGPDLRPYSPGKSSTSKAVTCEHALCERPNACAAAGNSSSSSCPYSVRYVSANTSSSGVLVEDVLHLSREEAGGVSSTAVKAPVVLGCGQVQTGAFLDGAAVDGLLGLGMDKVSVPSVLHAAGLVASDSFSMCFSPNGYGRINFGDAGRRGQAETPFTVRSTHPTYNISVTAMSVEGKELAAEFAAVVDSGTSFTYLNDPAYTELATSFSGQVREKRANLSASIPFEYCYELGRGQTELFVPEVSLTTRGGAVFPVTRPFVLIAGETTDGQMVVVGYCFAVLKNNITIDIIGQNFMTGLKVVFDRERSVLGWHEFDCYKDVETEDHGMAPGPSPTTRVMPRQSDRTPYPGAVPVTPRQPGSGGTRLSFFSLALLLPLLLAAAGAVV >SECCE3Rv1G0183420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:649878648:649879229:1 gene:SECCE3Rv1G0183420 transcript:SECCE3Rv1G0183420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVALSRSSASPPRTVTHSVQPPAGMLPLVPCPSCDIRSIIRLVSKSEANPGRIFYKCPNHHIPPNPCQHYYWEDGPDNYFDFLVRRGYISHGLSNFDSASIIASEEIEVQEECAGAMQSTLETVVNADVVKKMNELIFLCKSILSALVVLIAVVVYVGFKK >SECCE3Rv1G0190290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:737260102:737272277:-1 gene:SECCE3Rv1G0190290 transcript:SECCE3Rv1G0190290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDFSFHPSALMGSNAAGSTSRFPDWALLCEEPRLSERRNETTAECETSEGQTVEVSFWLVDPPGVSYFTFNCPGLDASAFDDYAPPSLVCAGAAFVLFRLTIRGSTHHFVYKAAPAGEQSLQLLLDPPVSRRRRFGLLPRGDGEHFAVAYLDRQWISQDDDWRFDAHVYSSETQEWSSHRLSLQHLSESDKLMCCHHSLYYRHIAVAGSLGWVDLLRGVLLLGNLFDGDPVIEFIPLPGSRVNFLDEDGLPYRASEYYCNVACCDDLLKFIEIEFDDPLVRTNRKGWRAIVWNRKISWNKWELCSTVDVAKISVDQSYSVLLPQLGSDETQKLDLQKLIFYAPVLSTRDDNLFYMMAKVNAEDETAWAIAVDMERAAVEAMAPITLGQDHYHIAMFCPCDLPKYLNITPGADMGNPADKCSKQMPVARSSAKQCVVLVLWTLDWLRELDQCLEIERSTYYTCRLLLQFSPVSSLRSSIRQMVKYASYNGQDEAASKAVDFCLRALEDFDLALHGSPSDPSASAEAMRSKISDVVQALDNVMEIVPSTLIPKERLLGDASGQKRSKATFETCEKPMQTKNTAHGWLEVRFKPIHQERYQRRRRWMKASRERSRAVVNTKDTPGKWQQGKFKPNNSRRGKAVVPGGWLLSLCLLILMVVVMSSSRRYVTESVSY >SECCE2Rv1G0087870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:234599211:234604055:1 gene:SECCE2Rv1G0087870 transcript:SECCE2Rv1G0087870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 1 [Source:Projected from Arabidopsis thaliana (AT5G13680) UniProtKB/Swiss-Prot;Acc:Q9FNA4] MKNLKLVTRIVQELQLHLDGETLVVSAIDAERHRAFFVSSANFLYSVNLLASTQQPLQRSKTTLDSDVEEVLLEPGDFIVAMDYLMEKESLLLGSADGCLLLYNVEERTTEVVGRLEGGVKTIASSPDGALLSVTTGLGQLLVMTHDWEVLFETAIDPQGASACEINSSDGQIQSCVSWRGDGKHFATLGGFDGSPKKLTIWERESGKVHSSSDTKNFMGQSLDWMPSGAKVVTAHDRKTEGKCPLIVFYEKNGLERSRFSIEEPAEVVIQALNWNCNSELLAALVSCGQYDVIKIWSCSNNHWYLKQELRYTKKEGVKFSWDPTKPLHLICWTLGGEVIAHRFAWTTAVSETSVALVIDGPRILITPLHLGLMPPPMSLFYLAFPCAVNEVCFVSKNSKNHLTAYLSNGSLCVVELPAADAWEEFEGNGITVDPCHCDFSLNNSMHLTWIDTRTLIAICRCSDYCSSTPMRSSEAGNLEEKHDSLFFVNEIKLVCSEDCLPGSVSSSGWQARVSKRMPLEGPVIGVSRNPAKGGSAFIQLSGGKIVEYCSESRMTAPMQRGELCPDYDFPTSCPSMTAVQCHENGVVRTLLLGLDDSSKLHLGKRLLSNNCNSFTFYSSSYGATEQVVTHLLVTTKQDLLFIVDVNEIFLKNGQVTIDSHVNNHPRAKPSKEHITVWEKGAKLAGVLHGDEAAVIIQTTRGNLECMYPRKLVLVAIVQALVQRRFKDAMDMVRRHRIDFNMLVDYCGWKAFIKSAADFVKEVNNLSHITEFVCSIKNENVSGKLYEAYISFPEQCSSSMDSENLHGALSENKVTSVLMAIRKALEEQIEESSSRELCILTTLARSEPPLLEEALNRIKVIRELELHGVDDGRRKLYPSAEESLKHLLWLTEPEAVFNAALGLYDLNLAAIVALNSQKDPKEFLPFLKGLECLPPAIMRYTIDLKLARYESALRNIVSAGNEYHADCMELLNSNPQLFPLGLQLFSDPDRRHQILEAWGDHLFEEKCFGEAAITYQCCSSYQKSLKAYHACGDWRGVFTVTGLLDFTKEEILQLAQELCDEFQALGKPGDAAKIALEYCSDVDRGVGCYIMAREWEEALRVAYMHSRQDLVDTVKDAALECAALLISEYQEGLLKVGKYLARYVAVRQRRLSLAAKLQSEDRFMDVEDDNISEVSSSFSEMSAYTTRSTKESSASVISSSASKSRGSRRQKKGGKIRAGSPGEEMALVEHLKGMSLATGAQKELRSLLVVLTQLGKEDIARQVQLAGDNFEVSQMAAVKLAEDTMSTDKMDENAHTLEHYTKMLRAQQPVAGETSSWRIKALSPP >SECCE4Rv1G0242280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:473684864:473685100:-1 gene:SECCE4Rv1G0242280 transcript:SECCE4Rv1G0242280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWMLLEGFLLLANSLAILNEDRFLGPRGWSMSEVSGNGQTKSLKGQIVGLIYATQFLRMPLIALNVLIIVVKMVSG >SECCE3Rv1G0191150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747037694:747042779:1 gene:SECCE3Rv1G0191150 transcript:SECCE3Rv1G0191150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAEADGGAAPGASSSSTADSFDAGQYAFFGKEPRDGLELGCLEVDGGGHGNGGGFSGPEDGGLYRLSSVGEEIDNLSNLSDVDDLASTFAKLNRTVSGTRNPGVIGDRRSISRGSSLTVDWTEDVEFPNYQDILENEEFQEGKRWWSSQSHSLVQQGDNKPLSRTSSYPQQPLQHRSSEPITGPKSPPFTSFPPPGGRSPHPAQGLTRHGSIPSFGAGIQMGSPSMPLPGSPYHMVGLPHGLPYGGSMPFGGPNMHVSNPMQNDWSNQANMFTGEHLNLLPNLLQKQISLPNSPMSSLLFSQQQQRLAQLQPSHHQNYLNLPPHLFYHHHPPEIPGRFESATSLPSSRDKRSRSGRGKHSLRFSQPPSDTTGSQNGESGGLKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACMAKKGSSSRQKTNFSPTSIKDLPSKSRSSGDQHAYLQVDALGRVSFSSIRRPRPLLEVDHPLSGEGPHDQKSSVRSLEKEPMVAARVTVEDAIGLLLEVDDIDRLLQSSQAQENSFQLRRRRQVLLEGLAASLQLADPLGPSKSANSSGLAPKDDIVFLRIVSLPKGRKLLARYIRLIVPGSELTRIVCMAIFRHLRFLFGGLPSDSSAAETTVALAKTVSTCAHHMELGALSACLAAVVCSSEQPPLRPLGSSAGDGASLIIKSVLDRATELLTDQHAVSSYTPSNRALWQASFDAFFGLLTKYCLSKYESILQMFVMQASGSVIGSEASQATSREMPVELLRASLPHTNEQQRQMLLDFAQRTTPASSFNPPGASGGHITSESVPG >SECCE3Rv1G0207200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:918662304:918663488:1 gene:SECCE3Rv1G0207200 transcript:SECCE3Rv1G0207200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEARPPPACVSKVLDDDNLLTEIIVRVGFPTSLVRAACVCRRWLGLASDRAFLRRFRELHPPRLLGFYLAQGYPYDAARFFPMLPQPPELAAVIRRTNFTQRPLFSGKEGGTMVGCSNGSVLTRRINFSVVANSAYELVFAVHNPLCYDYDHGMAILPGLQCRMPCLYNWAQLFSKEEGGGSSYFYVTVEDTTEPKVNVYMLQTGDDAWHKHLTLASDCLLHPQSSPKGVLVDNKIYFATDNAIVVLDLTSSILSTIQLPHGVGFDLGTTMLSRVDDGSGVYLIHMKELQLHIWLHYGDNWLLVDTICLSETCAGLLEDEPTADIQINHVGDYNGFVFLEMGRSALYLDVKHRMLCKVYEMTTEEKCLGHIYPFMMTWPPIFPTLCPARFAF >SECCE2Rv1G0135620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908152154:908155161:-1 gene:SECCE2Rv1G0135620 transcript:SECCE2Rv1G0135620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSSLADFLALTILICLLHRCGANYEVESIAGSGNLLSAKLKLVGGTAEFGPDIKRLNLTARLETDNQLHVRITDADHSRWEVPQDVIPRPVPALEDVLLDSSGMSNSTMSSVSSDLTFTIHTAPFRFTVSRRSTGDVLFDTSTTLVFKNRYLEVTSALPARGASLYGLGEQTKRTFRLQQNDTFTIWNEDLERSDLLDINLYSSHPFYMDVRPGGAAHGVLLLNTNGMDIKYGGSYITYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGFHQCRYGYKNVADLEGVVAGYAKAKIPLESIWSDIDYMDGYQDFTLDPVNYPGKLLRPFVDRLHNNSQKYVVIIDPAIKKETAPPQNESVGLFLQRNGTNYIGRVWPGEVYYPDFMSPRAAEFWARKISEFRRTIPADGLWCDMNEPSNFKAWEPLNEYDDSPYRINNTGIHRNLNNKTVPVSAVHFNGVSEYDAHNLYGLLESRATHDALLKDTARRPFVLSRATFVGSGRYTAHWTGDNAARWDELAHSINTILNFGLFGIPMMGADICGFNGNTTQELCSRWIQLGAFYPFARAHAEKTTVRRELYVWESTAQSARKALGMRYRLLPYIYTLMYEAHTTGSPIARPLFFSYPQDADTYGVDRQFLLGRGVLVSPVLEPGATTVDAYFPAGRWFSLHDHSPAITLQTGKRVTLPAPADSANVHLAGGNILPLQQPGLTTSGTRQSEFHLLVALAENGTASGELFLDDGESPEMGGMGGNWTLVRFGCNTEDSKGIITTTVSSHVVQNTYAPSRTLVVGKVIFMGLPSSPPKGFAVYVNGAELKAAGTKSRTNGVFSVSGLSLVIGQQFEIKVVMSH >SECCE2Rv1G0120760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:801304650:801304979:-1 gene:SECCE2Rv1G0120760 transcript:SECCE2Rv1G0120760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVAGGAASLPASQETSLARQYTAMDIGSGEMGEEAEVSSFTTRFVSLRVREDRLAKIAAGMRRIKEKRGSRPPTYIELAAAVLLKDSVAAAFQRRATTVVGRSLLE >SECCE2Rv1G0133430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:896719797:896720330:-1 gene:SECCE2Rv1G0133430 transcript:SECCE2Rv1G0133430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIAAHVLTAAIVLLLGGAGATPETTCRAAVGADRRVDYHFCVSRLSQHHDSPDADTWGLAKVAADVGVLLAGNGVYDIKHMLAKKAAAGKVRAPLEQCEALYGRMGSAFAEAYDGIDRRDYAAGKDKAREAAPLMRRCAEAFARAGVVPSPLARRSADAVQMAIVCTAITGLIR >SECCE4Rv1G0279490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:815240329:815242622:-1 gene:SECCE4Rv1G0279490 transcript:SECCE4Rv1G0279490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MSRKEGEAGGGGEGSSSRAEGGGELAEALARRRLYREVTLALRAGLRDAGADFSFLRARGLRGLLGFLRSTAAAAPDDAQLLLFRHSQSIPDLQVIPVLFQNSLHQPKDPVVTLDHIFGVEPTKITSPSTDSEIALALRVLEGCCLLYSRCTALAHKYKAVQVLLNILASRGPTEQGMCLDALISLMLDSPSNQIDFEEYSGLEKVAELLKDVQVEEHIRLKCGEFLLLLIGHVYVNENTPIHEQMRNLLGEQCASLIWAASRFGSTLDAEQRQMALQIQARRVVESLEPY >SECCE3Rv1G0162390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:130410431:130412362:-1 gene:SECCE3Rv1G0162390 transcript:SECCE3Rv1G0162390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVDGNDDVRHHRRIPHADEIDAARFPMTICYSDDDEEIDLKSPSEIVALHVARRDRITEYDPKRRTRVLTRFCGVNLAGFDLDRESKVGLGPLLEDVTPQLWEFRAYTSYNVVSVKLIESDRGYPVSVFGTVLARDTIDYRCVYLFRREREDPQIITSKEDMLALIGPHRGLVAFDNIHFEFNLKVKGDPDDEDFSKGVIESRAFDSGPITTMLPSWLSKVELVFAPVKHPVAASLQINILNGPPYAPFVGKVSAGTRNAETHIILYDGRAINCNGILVGDDGSIPLSRNLVVIPIPTCDDDEKFLVHVCIFDNDEDEGTHITLQHPDEEHVCTHGSYELQVKVTWTAILTRPRGAKHPPMRDLSWTECYGSLDYSGF >SECCE5Rv1G0301950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34445371:34447636:-1 gene:SECCE5Rv1G0301950 transcript:SECCE5Rv1G0301950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQMGVMSPTKLRMKLLGMGSHPHPHPHGGANKDGVASKSPSRLHAGASPDDHPKNSLLPQELDEGSSEYPKDRSDSSSRSRSSGSHGKSAAGNGGGSFEFHMEERAAVAGLGPFFRQVPSKWNDAEKWIAGRHVVHSNPIFSKKAASGAHGHNGVGGGCVRVVPESAPPPSSDAKKASGGSALTELPRSPSPSSSLSSVTGPASKQRRDTKLRTQVGAPAAHSSSVSMRDVGTEMTPIASQEQSRSGTPAGAATPSLSPLCSVPASPSASERELQIRTRREIAALGLQLGKMSIASWASKEDRIRTSPEKSAGEEDEAKKEEFEARAAAWAESKKSKLASRYQRKEAKIQEWENCQKSKFEAKMRHAEVQADQLKAWAKNSLTKRLSTLSHKVEGKQARVEARRNRRAVRLARQVEHIRKTGRVPSRFQCCSWFLC >SECCE5Rv1G0320650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:390669261:390669833:1 gene:SECCE5Rv1G0320650 transcript:SECCE5Rv1G0320650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSIFQYSWEILPKKWVHKMKRSEHGNRSYTNTDYPFPLLCFLKWHTYTRVQVSIDICGVDHPSRKRRFEVVHYLLSTRYNSRIRVQTSADEVTRISQVVSLFPSAGRWEREVWDMSGVSSINHPDLRRISTDYGFEGHPLRKDFPLSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWEQRSDG >SECCE4Rv1G0255070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:643709152:643710596:-1 gene:SECCE4Rv1G0255070 transcript:SECCE4Rv1G0255070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGGGGGTSEQRFGEQGHPPATGHGCRDEEAPSRSPPTPLLPYLAVTPVASQNKKMRREDEQQGEEETPASFPEDVLVEILSRVPYTSLFHFKCVSKQWLALCSAPDVRKRSPKTLSGFFYFNLGWRFQNLSGKSPPIVDSNLRFLRGSYKSFRIQQCSTSILLCKCWKSRRPRQYSWNFVLNPGPGQCFKWPEAKEFDYVVCNPATQEWTVLPPIELPDDLSHFSLGKYFLSFDPATPSRFVVFVPLDTYYEYGLSVAMIYSSETGGWTSMQSQHDDSSTYWVSDSESTFLNGIMHFPTHSSSIVTVDMKRNAWGKIKMPPGMPNNYGGPSVGQSQGRLHVWVEDQDGCQLSIWVLENYDSGQWTLKCTINCFELFGRDCCKKDKYYSMFAIHPECDLIFLTDGNDKILSYNMDNQKVHVICACEDFFKGLPVRLLPYVPCFAEWTLNGQ >SECCE3Rv1G0163370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:147362393:147363829:-1 gene:SECCE3Rv1G0163370 transcript:SECCE3Rv1G0163370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVSHAVLLVRVVLLTAELCGCTAYVGHGDGFSVELIHRDHSVKSPFHDPSLTPHGRMLAAARRSTRRAAGLARSYAATAGTDSPDGGVAEIISIPPFDFLMYLNIGLPPTRMLASADTGSDLLWVKCSSEGTADVPNAAGGAPPRVVFNPSKSSTFGRVDCHSGTCHAFTGTGVSCDAKSNCKYVYSYLDDSETSGVLSTETLTFDDAPGGCVGCRDRPQLQVAGFNFGCSTSTKGAFTGDGLVGLGDGNFSLANQLGAATSIGRRFSYCLVPYFVNTPSILNFGARAAVTEPGAATTPLLRSDFDAYLTIALESVKIGDRSFTLPQRARILVDSGTTLTFLVKELLDPMAEELTRSIKLRRVKSPDEDLPICYDVSGVGETAFGKIVPEVKLGLGGGGVVTLKAENTFVMLREGTMCMALVEAPKEEEDGGMILGNIAQQNMHIGIDLDKRTATFATADCATSYPWPTPPAASL >SECCE1Rv1G0048020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631285864:631287348:-1 gene:SECCE1Rv1G0048020 transcript:SECCE1Rv1G0048020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIQDKHSSQELLQAQVNLWHHALGFVKSMALKCAMELQIPNTIQHHGGSMTPSELATKIGLHPSKLPRLRRLMRVLTISGIFAVHEAASSGKEVVYRFTPTTRLLVGDEVKSNLFPILSLMLDSTVMAPFFGMHSWFLDEHSTSLFKKTHSLNFWEMAEQDDTYNQILNNAMVSDSNFLPDIILRECGDVFLGINSLIDVGGGHGGAARAIANAFPQMKCTVLDLPHVIAEAPSDVHVSFISGDMFKYIPPANALFLKWVFHDWGDEDCVKLLKKCKEAIPPRDAGGKVIIVDMVVGSGLNEIVTRETHVLYDLFIMCVEGIEREEFEWKRIFMEAGFGDYKIISVLGVRSVIELYP >SECCE1Rv1G0015730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:128638843:128642789:-1 gene:SECCE1Rv1G0015730 transcript:SECCE1Rv1G0015730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAGGPNGGAKVVSLRLQYYCVFAAVGVAVIVLSLTFLSPSAMGAVRQNLGTIVAVATNSTSGNGAGPVVGGGEAVAAVATAKPEPEKEKEKEKPKKVEPPVVLFNFGDSNSDTGGVAAAGGIHIMPPEGRTYFRRPTGRLSDGRVIIDFICASLKTHELNPYLKAVGSDYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKRRSLELIELGLKGPVDKEGFENALYMMDIGHNDVAGVMHTPSDQWDKKLRQIVGEIGDAIRILYDNGARKFWIHGTGALGCLPALVVQEKVGEHDAHGCLASHNRAAQAFNKKLSDLCDEVRLRLKDATVVYTDMFAIKYGFVANHTKYGIEWPLMVCCGNGGPPYNFMPGKFGCGDLCGPEEKVLSWDGVHFTDFGSGLAAKLAMSGEYSKPRVKLASLLNGGSKKPSSVS >SECCE5Rv1G0352520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:710454716:710455614:-1 gene:SECCE5Rv1G0352520 transcript:SECCE5Rv1G0352520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRPEGGQAMQADRPIKYGDVFDVSGELAAQPVAPRDAAMLQSAENEVLGLGQTQKGGPAAVMQSAATLNARAGHVGRGQLTGPVADAGVTVTEADLPGRRVVTESVAGQVVGRFVAPPPVKATEPSGALDQDAVTIGRALEAVAAAGAGAKPVDQSDSAAVQAAEMRATGSNLTVPGGIAAAVQAAADQNERAARDEDKVKLRDVLSDARSKLPADKGATREDAERVVSAEMRNKLDLTTTPGGVAEAVTTAARLNQERP >SECCE5Rv1G0327200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:490555848:490562335:-1 gene:SECCE5Rv1G0327200 transcript:SECCE5Rv1G0327200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 2 [Source:Projected from Arabidopsis thaliana (AT1G03550) UniProtKB/Swiss-Prot;Acc:Q9LR68] MAGRTRYDNPFEESGGDEVNPFADKATREAPAAQSGYSGGPFYATQPRPSPPSSTRLSPLPPEPADFYNDFASPNTNKDIKTMEKELLAKEAELRRREKEIRRREEAAARAGIVIEEKNWPPFFPIIHHDINNEIPVHLQRTQYVAFASLLGLIMCLFWNIVCVTAAWIKGEGPKIWFLAVIYFILGCPGAYYLWYRPLYRAMRNDSALKFGWFFLFYLVHIAFCVYAAISPSILFVGKSLTGIFPSISLIGKSVIVGVFYFLGFAMFCLESSLSMWVIQRVYHYFRGSGKEAQMKHEAARATTRAAF >SECCE7Rv1G0514400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:833539515:833546725:-1 gene:SECCE7Rv1G0514400 transcript:SECCE7Rv1G0514400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQCRSS >SECCEUnv1G0555990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:307035652:307037112:-1 gene:SECCEUnv1G0555990 transcript:SECCEUnv1G0555990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSGMAGDDEGSLVPREVPGSYGMPFVSAIRDRLDFYYFQGQDKYFESRVEKYGSTVVRINVPPGPFMARDPRVVAVLDAKSFPVLFDVTKVEKKNLFTGTYMPSTSLTGGFRVCSYLDPSEPTHTKVKQLLFSLLASRKDAFIPAFRSHFSSLLATVESQLVLGGKSNFNTLNDATSFEFIGDAYFGVLPSASDLGTTGPTKAAKWLIFQLHPLVTLGLPMILEEPLLHTVHLPPILVSGDYKALYKYFAAAATKALDTAEGLGLKRDEACHNLLFATVFNSYGGLKVLLPGILARIAGAGEKFHHKLVTEIRNAVADAGGKVTIEALEKMELTKSAVWEALRLDPPVKFQYGRAKADMNIQSHDAVFAVKKGEMLFGYQPCATRDPRVFGSTAREFVGDRFVGKEGRKLLQYVYWSNGRETESPSVDNKQCPGKNLVVLVGRLLVVELFLRYDTFTADVGVDLLGTKVEFTGVTKATSGPDAV >SECCE7Rv1G0500040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:661533410:661533625:-1 gene:SECCE7Rv1G0500040 transcript:SECCE7Rv1G0500040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPTMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYENARKDTKSRR >SECCE7Rv1G0525170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:889002302:889002688:-1 gene:SECCE7Rv1G0525170 transcript:SECCE7Rv1G0525170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSGDAASMVAVGLVWGATNALMRRGALVWDRRSRSLPAGTGAVRRWADLLLTWQYSAPFLANLSASAAFFRLLGDAPISVAVPVTNATTFAATAVAAALLGEATRAAPAALGTALIVLGVWVCIS >SECCEUnv1G0561090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:351952441:351954990:-1 gene:SECCEUnv1G0561090 transcript:SECCEUnv1G0561090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSQAVAIAKFPEVVTVKPNIFHKAHTTRSWDFLGLDYNPPPQQPGLLKRAKYGEDVIVGVIDTGIWPESRSFDDNGYGPMPAQWKGKCQSGQKFNATSCNRKIIGARWYGRGISAESLKNDYKSPRDLNGHGTHVASTIAGGEVQGVSYGGLGMGVARGGAPRARLGIYKVCWVDEGCPDAAVLAAIDDAIHDGVDVLSLSIGGVGQEYSGTLHAVQRGISVVFAGGNDGPVPQTVTNAVPWVTTVAASTIDRSFPTLISLGNKEKLVGQSLNYNAAMKNSGSQALVYAGSCDPESLALGNVTGKTVLCYAPEEASRWSPQLILPYAINYTIEAGAKGLIFAQYTTNNLDSLTACEGFMPCALVDFEIAQRIYSYWDMADNPVVKVSPAVSVVGNGVLSPRVASFSSRGPSLSFPGILKPDIAAPGVNILAAVRGSYLFYSGTSMACPHVSAVTAMLKSVHPQWSPAMIKSAIITTDRNIEIPTILQKQLI >SECCE7Rv1G0513220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:819485451:819488356:-1 gene:SECCE7Rv1G0513220 transcript:SECCE7Rv1G0513220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSTYDPLLGTCSIMASDGEAPTSAVLDRFLSHASRLYGSVESVLCAGAAIGLCWAAWRYYERTVCLRSYGRDMTGSKSKQAVSPVVGRDSEIDRVISILCRKTKNCAALVGAAGVGKTSIAEGLAQRIAAGKVPAALAGARVVDVDLGAMVAGTMFRGMFEERLKGVIKKAEESGGKIVLFIDEMHMLLGAGAVRGGCQDAANMLKPALARGRIRCVGATTFDDYRKYIEKDAALERRFQKVHVEEPSAQDTIAMLRALKQEYEQHHRLEIQDAALVAAVQLADRYITGRQFPDKAIDLIDEACATAAKTMMSVGDQEENVNTVQSSSKNAVKVAILCPNHVAQVVSQWTGIPVTALDQEEKDRLIHLASRLRKRVVGQDKAVNLVAQAVLRSRAGFDQPGQPIGSFLFLGSTGVGKTELAKALAVQLFDSEKMLVRFDMSEYVDRGSVLRLIGAPPSYEGHEDGGQLTEKIRRRPYSVILFDEVEKADPSVLNLFIQLLDDGVLTDGKGRTVDFKNTIIIMTSNLGAEHLTAGMAGKITMEAAQDLVMHQVQKHFKPELRNRMSEIVIFEPLSRDNLKEIVKIQMKSIVASVASKGISLSASDAALDVVLSESYNPMYGARPVKRWLLKNVMTDLSEMLVNGQISEGSSISIDAANDKRLKFEVLKKVSDPRHKSPTVKLPRNCETVQLFL >SECCE6Rv1G0388540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:139019332:139021013:1 gene:SECCE6Rv1G0388540 transcript:SECCE6Rv1G0388540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQKSNPFSRKISVALATPILVLLALAAVSLYDFNFADRYQYIRRASSASSFPETTSSSPTIPAATLPSSSSSASPANSSSSSSATNSSATDACDLTRGQWVPDDEPPYYTNLTCPFIDDLQNCMKFGKPGLDLMPWRRKPDGCDLPRFEAGRFLEAMRGKSMAFVGDSLARNHVKSLLCILSQVAEPVELVATTETDVTGRAANLSNAAPGLGLWDVHLDTRDARWAAHIAEFDYLVMSGTYWFFRPCVYHEGGRATPVSGAVRAAFRTALGAIAAREGFRGKAVVRTVTPVHFENGEWNTGGDCVRTRPFRRGERARNALVAEFRAAQVDALRETEAASRRNQNGAELRLLDITEAMELRPDGHPSRYGHPPGGSVEGSFVVDCLHWCLPGPIDMWSELLFHMLAE >SECCE7Rv1G0496530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:613667808:613670094:1 gene:SECCE7Rv1G0496530 transcript:SECCE7Rv1G0496530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLHLQPYLKPCPRALPPMSSSLFLCSSQVRYASLPAPLRQPGRHAARLPPAAAAFVSRSLHRPPPLHWTGSGVRMISSCFVVKRRRRRNGIYASLFGVGAPEALVIGVVALLVFGPKGLAEAARSLGKTLRAFQPTIRELQDVSRDFKNTLEREIGLDEDPPSMSYRPPPPMNNSPQPAVDPDVKPETTVPYTSEELMKVTEEQLSASAIAAWNAQQSPSSEQQEAAVATTPSQCNDSTLSGGSDGPCAVTEESNSGNTENAKPRDEA >SECCE1Rv1G0049970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:643829942:643830265:1 gene:SECCE1Rv1G0049970 transcript:SECCE1Rv1G0049970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTRTRPSSSSNNKKGGALSTRLVWAFLAVLLLVLLCCSPCQARKLLVADQGQGGKVMHFEGGLVLRVSPSSRGDKVASAVAAAPRGFSRAARSMRSVPSPGVGH >SECCE4Rv1G0294470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:891270087:891270836:1 gene:SECCE4Rv1G0294470 transcript:SECCE4Rv1G0294470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSYQQTATTNLEAPAPAPVPKVKAAAVMTRNPSSPSGPPSPEMEATAEALTREDVLRRRRRRAARLLAAYRRLYWAMAEEVRARHRQYVWELGCSPLEAEQPLSEAKPGPAAAPRRKKCGVTGCKVRAMAMAKYCHYHILSDPNQVLYKGCGHIMIKSGGAQTGKSTHNTPILKASVPSLCNFHLQKSQKNISQAYKKVGFNPPSTGQISPDFSVLVAESVRQIQAKRREFRSAAAGKKYPKDGKVN >SECCE5Rv1G0303930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:52131010:52133223:1 gene:SECCE5Rv1G0303930 transcript:SECCE5Rv1G0303930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAVLLLTAVALASVGDTAAAGQVPLLPSCSSSGNYTDGSQFKKNLDQLLSGLPAAATGNGWFYNGTAGAPGTNDQVFGLIMCYADRNPLQCKECLDEAPAGITTVCSGSQEVHAAYDACVLRYSPAPSFFSTANLDTPFLVATRLPGLPGVTVDPDKMLSAWLSLMADLTGRVASSPWRVANATTPYDGDPASLMYGLAQCTRDLNATECIRCLSSVIGRLRSLFTDETGGAVKAYSCYVRYQLGAFDVTLLPEPPAPSPQPGAVSSSKTRLLIGISIGTVSFLIILVGFLVCLLLRRRQKQPITVAKQAKGQEPEDGNFSGDGLAEDDFEKGTGPKRFPYSELAIATDNFSHEKKLGEGGFGSVYRGFLKESNLEVAIKRVSKGSKQGKKEYASEVTIISRLRHRNLVQLIGWCHGGGELLLVYELMPKGSLDTHLYGGKNAAVLPWPVRHEIVLGLGSALLYLHQEWEQCVLHRDIKPSNIMLDASFAAKLGDFGLTRLVDHGRGSHTTVLAGTMGYMDPECMTTGRTSAESDVYSFGVVLLEVACGRRPLAVAEDEQMVHLAQRVWASFGVGRVLDAADARLEGEFDGKEMERVMVVGLWCAHPDRSLRPSIRQAVGVLRREQPLPTLPERMPVATFVYVPLLVDGSSSTLSTGVTGAGGSGSSSSGTDTTTEKSVSMRSNTVVEGQITGR >SECCE6Rv1G0452210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:875755103:875758632:-1 gene:SECCE6Rv1G0452210 transcript:SECCE6Rv1G0452210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWILSLCFTAISTATVLAFCFLKLSGGKADAQKQQLPPGPWPLPLIGSLHHVISVLPHRTMMHLSRRHGPLMLLRLGEVSTVVVSTAEAAELVMKTHDLVFVDRPRSPTTDIASSGGKDIVFAPYGDHWRQMRKICVVQLLSSTQVNRMQGIRAEEVGSLLRDVVAAAPAGATINVSEKVMALTNDIVTRAVFGGKLARQREFLREMDKAFKLVGGFCLADLFPSSRLLRWLSNGERDMKRCHGLVHRIIAEVVGNRKAARASGAGGSIPGNEDLLDVLLRLQQEDSLEFPLTTETMGAVLFMIIKEALRLHPPGPLIPRMAREDCTVMGYDIPKGTNVYINIFAISRDPRYWINPEEFMPERFDNNNVNYKGTYFEYIPFGAGRRQCPGIQFSSSITEMALANLLYHFDWMLPDGADLASFDMSEKFGFAVSKKYDLKLRAIPHVWSNAMTSK >SECCE1Rv1G0059000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703563795:703565401:1 gene:SECCE1Rv1G0059000 transcript:SECCE1Rv1G0059000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAEAEVIRAPKRHRSVGIVDQQEPPRVNNKEPGSLDDLDLISLLPNFLLGTIISLLPTKDGARTQAISRRWCPLWRSSNAPLNLGADRNLCDSNSRVALVSRILSDHPGPARRISLHLIFFPNILGEVDGWFRSRALTGLQDLEVTNLERTNHYPLPPHSLTRFAPTLCVLRLGGCQFPGLFALPRFSHLKQLILFDVGISEDSLQNMISQCVVLESVSLHNMGFGRLCISSPTLKSIGFYAPRVKGAITFQELVIDDAPCLERLLPIYPDDGPVTIRVIRAPKLEVLGFLSKGISTLHLGTTVFQKMIAVTMTTKMRSVKILVLESSPNLDLVIDFLMCFPCLVKLYVILNTGKNMNIVRKYDQLDAIECLELHLKEVVLKNKCGGFRTYFDFAKFFLLNAKVLNKMEIRGCYYRNDDFYLRQLQAVNGASQDVRIEVNRNIFTRHVHTHDLSMADPFD >SECCE2Rv1G0091030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:304518390:304518731:-1 gene:SECCE2Rv1G0091030 transcript:SECCE2Rv1G0091030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEEFRACHDIASLAKKMVELERHVMFPAVYRLIELALLLPVATATVERAFSSMKIIKTELRSKMSDGWLNDLMVCYIERAIFKSIDLDKIKEDFQKEGRALPLPGSSTRH >SECCE7Rv1G0461200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:36370716:36372787:-1 gene:SECCE7Rv1G0461200 transcript:SECCE7Rv1G0461200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPALPDELLQEILARLPPDDPASLLRASLVCKAWGLAVSHPGFRRRFHRAPPLLGFLSTWPSDSTPHFIPTTASSFSLHAPDCHSWRAVDCRHGRALFLSVNKKAETLLVWEPITGAQNRLQVPAAYDTAKPYPGAPMYSNAAVSCATDGCDHRGCLGGPFRVVFIFEEHTKEEQNVTWACVYSLENGAWGELTSLHTERSIEITDNSSVLVGSSLLYFRASASILEYDLARHALDLFIPPDNDENVCFNLILTEDDGLGLIEDWCQHLKLWTLENASDDIDALWVLNRVIHLNNLFPASAGLNEGYGVWVMGFAEGANVIFVHSVAGVFMIELQSEEVRKVFADSFGSVVPVVTFYTPVLRGDKQYMLVSNPSGVAYGEERGDREKTMDQGQQLFDKGSNATNEGDFVNTFECISLDHHIGVPRYGEVALGCASTFDKYGCAYKAQEVNDSVDDVIRSAPNEELVKDTASDDDAGDSKASGSSV >SECCE7Rv1G0482860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:313829174:313829842:-1 gene:SECCE7Rv1G0482860 transcript:SECCE7Rv1G0482860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDTLNSHPEAQLELMNAMLQLEQLTALPDHAMPPVPPSPCMQAPRHHFSSAPHMASTNAGVAYHDPYSSQLPNSSPYNGGHRRSEYTATSQPQTDGAGTTGPAAMREMIFRIAALQPVNIDPDTVRPPKRRNVRISTDPQSVAARMRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAANGHRASPNGAAAAAAYQGLNGPW >SECCE7Rv1G0484020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:338374579:338375568:-1 gene:SECCE7Rv1G0484020 transcript:SECCE7Rv1G0484020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLTVADVDAAARPPPPSKSDNLFMQIAVHPDGAITRPVVPAIPASGAGSGAAVFSRDVPLDTSPGTYIRLYLPNPVPPSTKLPVILYFHGGGFVVFSADTAFYHASCEAMAAAVPAIVASLDYRLAPEHRLPAAYDDAVAAVMWLRDVAPQDPWIAAHGDVARCFVMGSSSGGNMAFNAGVRTKGIDLSPAAVRGLLLHQPYLGGVERTPSEERSEDDFMLPLEANDKLWGLALPLGADRDHEFSNPEKAVAQEAVIGLPRCLVSGSDGDPLIDRQRRFVTWLRDSGVEVVAKTDGSGFHAAELFVPEKAEEMFALVREFVSAGSDA >SECCE6Rv1G0447840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:852006766:852007458:-1 gene:SECCE6Rv1G0447840 transcript:SECCE6Rv1G0447840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKVTLAYIPDDSTRRSRYKKRLRSLMKKADELSTLCDVDTCVVVYGQDEAAPKVFPSNAKAVDILSEFKGMPELGRCKKMMDQEAFLTQRIVKLREQVDKARRECQDRETRYLLQQIMDGNLPGLVGLSMEQLVGVGYKVEELLKSLGERMGKTHSQAPPPAPCVTTGGMDMGSPALYLQAPAEQQEGTLVGGYAGGHDGAGFTGGDAVMQMQSFNLEFGSSHFPPM >SECCE5Rv1G0368930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:832104799:832106762:1 gene:SECCE5Rv1G0368930 transcript:SECCE5Rv1G0368930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGVPNKEKRRTKSRSTQASASSMDPPLHQSRPPMSVSGVSMEEKRRTKSLMPKPPRRSKVALDANGRPVDGIRRADVIEYYKHSDGSIYSQTDFLSKLYRLHDTNETGLEPMMRSEPSDGCSMNGRACEKHANCTMMQIFSLKLAYPPAADQVKVYGFMAVRDTRDHLRNYIFNRTRDDPFIVEQEDGFIQLSGPKRGIWWYDKQLVEFDMRIKRGENEADDLQLIDGAVWFYDGCSPHAQVLTQRIDGDYGSVDISYALLQLAMEATVQIAVSELDRDTSLLVMAFYVSDLLHQEIQLFDGVIPADAAAGGADSYELDKHVVAASRHTKLALQLRIRSLDDDGDVWRYCLSPASAHGTDDFSFALSFATIDVKISWSTLD >SECCE1Rv1G0062320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719301970:719304493:1 gene:SECCE1Rv1G0062320 transcript:SECCE1Rv1G0062320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigC [Source:Projected from Arabidopsis thaliana (AT3G53920) UniProtKB/Swiss-Prot;Acc:O24621] MGLPMVRGPCCCSPSPSSSPSPSSSSPWLLQAHLPKHPHPRRGLSGRSVCSESLRVLALHLLLNRRADLCRRRGDITRTAASPSGLLQITENKATSLPKTKVDADRTALIDGALDRNAQFDVIYEDMSSWMDVAHTSSGSLEYNLLMQNIHVLQSSLAAQDLVMLERDILVHIEQLGALKWFNASRSGATITDTSDEPDFALPWNDTEFAPVTPLDEQSDDDQLVVIRSGKSQERKLKRIRASEKNSGVYVKASSRKPRKSRRSTSSQFISEWKNYPGRRRVIVREQSELLVTIKECANLEKIRENMVKEGLEVCYDKWAKAAGVDEAVLKSRLQAGYCCRERLLVTTEWLVKYIARTYTGMGTAFEDLLQAGKMGVLDGAERFDRQRGCKFSTYVKYWIRKPMLALLAENSGVIQLPARMDCIIRKVREARRAIRYSTGRNPIDAEIATFVGASVANVRLARKCSRRVVSLYMEVGAGQNAKFVDVTPDTSLEDPEEAIFRRQLRERLLLVLDRLPAREGRVLKLRHGLEDGRCRSLEQIGGIYHVSKEWIRKIEKSAMSKLRNEDVHDELKDFCGF >SECCE6Rv1G0412360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614062803:614067911:1 gene:SECCE6Rv1G0412360 transcript:SECCE6Rv1G0412360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRERRKRGHEASHGDTCEERVIERKKTKREQEAIRDSLVSSVISKKMQIFSLPTEKSRRDYLMSTITPRQKRMIEQMVQLNKTSEKLNEDYLDRFGCPDTPVSNRSFVEKPFGYAASDDWLLNSSVISLALYDGDKMLFACSGVALGRKGRKYPVVSRFVTSKRLVTEFEKNRNRADNLRINVRLHDKESFDGYLGLYDSHIAIVTSFWFANVPPVDLHSHIGWQHDLVAYGRAFSSGTLMATSTVPSQLQAADDLITHDLIPFPCPVTEAGLGGPVINQAGDVVGLSIKVDVDEETTQESTYFLHRVALLARLEYLEKFMPNPTNFRDYTLPDGVNSIVPSGFMARVNYLKSCGYPQPPPLVLEVNGSLLNTFEENFGQLYCYEDCDCNFYHGSSEEQVWDKLRKKVVTNIRRRVVSLSSYDGDMRYFSCTGLLIKWHKTGTPVILTSASLVRSRVDENEIDEKLKIKVLLPPKQVVDGTLELYHSDYNIAVISLQKPLYGIRPEYIFRTAKRPLRVVAIGREAQYGFLMGTIGKAVKKFASDKLPCEDLKLSTCKITKVGIGGPLVNIDNGLFAGMNFYGETDVTPYLTRATIVDVLSEIDLPSQIGLDHAIDIMDGAVKKNRWPVPKPYWYHPLFDDPPPLRFYGRQLQ >SECCE2Rv1G0115220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:747519257:747523096:1 gene:SECCE2Rv1G0115220 transcript:SECCE2Rv1G0115220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLDSQEAVALCRGRADLIAAAVRHRYALADAHAALAASYDSLAAPLHLLLQLQEEPPRLALPEDRKGARRGGGGLPPSSSSIPDRHYSSHVDFESTSSSGSESASSPAGSPPRRVHEQLLPPQPNYYGYAPEPAFAYPAPPQSTMQFYYARSRPPPASVAVAQRAPVSERARYGAFDAAATGGGYPQYHAYDGEPAAAAPPPMPPQRTAAPAPPPPPRESSSWDFLNVFENYDDSYGSYYYNPATTAAAAAAAYTPSRSSREVREEEGIPELEEDDEETVVVKEMASEYSKVGSGPGRHGSFGAVHTVPEAEVDNRRNVQRRRPAYRNVAPLTREPPAQRVVGNGNAVDAATAVKTQLVRVAEAARELAPLLEVGRPSYQGRHSVYHAASSRMMSAISVPHLGCKDDDMLGMVVGEEGKVVGSWSLSSTLEKLYFWERKLYGEVKAEEKMRLRLAKNSKRLKLLDHRGAEAHKVDATRNLLRKLSTKIRIAVRVIAKVSRKINKVRDEELVPQVNTLIQGFAKMWQDKLHSYQIQLQVISEAKNLASVISGGNNGRDLAMELELELIKWIINFSSWVSSHRNFVRSLNGWLALCLNYEPQEAPTYSPGRIGAPPIFVICNKWSQAMDRVSEKDVVNAMQALVSSVRHLWEQQNLEQSERIVAVRERDKWIKMLERKALEINKEADELNRKLALVPGQQQSFQRCPTIRTYEAHRIEASSVHVNLRLVVQALEEFASSSLEAFLEIPRQDEEATTRSSRGSSRVRSSSKPGL >SECCE2Rv1G0132420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:891923896:891924962:-1 gene:SECCE2Rv1G0132420 transcript:SECCE2Rv1G0132420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDDLTGLVDDFYFSALTHAQNDAGAGEDDELFPISDEKYAAELQLQEVIMSSAIAAAATSARSSFPARRSIATSSSSAVFIYGECSSAVASSSSCSGQPGSSASASATATAATLVFCKICMDAVPESDAHRASRGCAHAFCAACLAGYIGAKIQDRIADIKCPEERCTGVLDPALCQGMLPREVFERWGAALCESMMLGAKRIYCPFKDCSAMMVADDDDGGDVTQSECQVCRRLFCARCGVPWHAGADCTAYRKLGRSDRGKEDLLLLETATQKKWKRCPKCKFFVEKTDGCLHITCRCSFQFCYGCGGQWGVTHASCSTA >SECCEUnv1G0538840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74364471:74365358:1 gene:SECCEUnv1G0538840 transcript:SECCEUnv1G0538840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMWRHRVLGSLATLLLLLTLVQPFTLDQIAEDAPLTFRRIEDWPERKRMYGGIEDWPGGENDLRVVDLARFAVSEHNSNTNAVLEFRKVVKVKQKFVAGYMYYITIEVDEGWAKKLYEAKVSNRPWLDSRKLWEFKPAEDKLCTLLRNMPAFLPRLNRAGCVEYK >SECCE2Rv1G0107680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:651892431:651893572:-1 gene:SECCE2Rv1G0107680 transcript:SECCE2Rv1G0107680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACKQTASTGAAARDEVDNKSAAKSDGAEERKPSTKCKKGKKAKGKLAVKSDGAEKPKTHDLSDVTLGLAVMSIAEDEALALRTYQNLFWIKYFKEVCDKPSVDAIRKQAAENWKFFNDSDKAPYVAKAREVKIGMARIAEFKKKLMLTEAMTDKLVNLKM >SECCE5Rv1G0310450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:169797501:169797812:-1 gene:SECCE5Rv1G0310450 transcript:SECCE5Rv1G0310450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTKLSSEKAVVIITMSNCPMSHSVTSLFSSLGVGAAVHELDKDPRGRDMERDLARRLGRTPPVPAVFIGGKLVGSTDRIMSLHLSGKLVVMLKAAGAMWL >SECCE3Rv1G0146290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:10932121:10935352:-1 gene:SECCE3Rv1G0146290 transcript:SECCE3Rv1G0146290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISKASSSAGEAVYSTFSSRYVREELPRYRMPEGSIPKEAAYQIISDELMLDGNPRLNLASFVTTWMEPECGKLMMDSVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGEDETAMGVSTVGSSEAIMLAGLAFKRKWANKMKEQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLTEGYYVMDPKKAIEMVDENTICVAAILGSTLTGEYEDVKQLNDLLVAKNKETGWDVPIHVDAASGGFIAPFLQPELEWDFRLPLVKSINVSGHKYGLVYPGVGWVIWRSKSDLPDELIFHINYLGTDQPTFTLNFSKGASQIIAQYYQLIRLGFEGYKHIMENCKLNAAVLKEGIDATGRFEVLSKADGVPLVAIRLKDSANFSVFDISENLRRFGWIVPAYTMPADAEHVAVLRIVIREDFNRSLAQRLLADINKIVGELDAHAVHAIKLSTAAAAEGASKSTVDAVTEAFKGLAGKKKAGVC >SECCE5Rv1G0338180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:596901991:596902542:-1 gene:SECCE5Rv1G0338180 transcript:SECCE5Rv1G0338180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKAPELLKKAATMCKSKTGVLATRLLILASLQRRRMATAAVVSPKIDALIMAEWERVDRHKALALHTVEKRPVVVHENDLAANFPRYLAMIGQENGHGGCHADRTLHPLFDDDHKNCRYTYDGDVLLDSCDQDDDDEPSVIDVIRSNREVEGLEFNMEEEIDQAADMFIRRFRQRLNEGF >SECCE4Rv1G0277220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:802333903:802341136:1 gene:SECCE4Rv1G0277220 transcript:SECCE4Rv1G0277220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASRREAARSGELGRTCGADGPPPRPAPAPSTAAKARSGELLLHKGGPDAAAAVRHEGWMVRYGRRKIGRSFFHTRYFVLDNKLLAYYKKQPKDNNMVPLKSLLVDGNCRVEDRGLKTHHGQMVYVLCVYNKKEKDNPITMGARNIEEALVWKKKIELLIDQQQDTMTAKNRKAFASLDFDMDLGGPLSFSDPDSGPEDEEEPRPMLLRRTTIGKGLPDSVHDWTKEPDIGLSNQNDTNQANSRKNWRLLRCQNGLRIFEEPVEVEYLARSCSRSMRAVGVVEATCEAIFGLIMSMDVTRYEWDCSFQYGSLVQEVDGHTAILYHRLQLNWCSMVVWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCGPQPGFARASIESGGFKITPLKPVNGRPRTQVQHLMQIDLKGWGVNYVTSFQYHSVLQMLNCVAGLREYFSQNDDVHTIPRIPVMNTMASVATVKKDQKHQKADSKTKQADSANENSDMIDDESEDDDDFQAPESSLEEDVDVDRDAKCSDPIDLSCFSGIIRQDANEKSRNSWSVPDSNIFKVRSKNFSHDKSKVSAGKYLMELVAADWFKDTKRMDHVAKRKGCAAQVAAEKGMFSFVVNIQIPGSTHHSLILYFVTKSLKKGSLLQRFVDGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYIRGPEYIEVDVDIGSSAVANGVLGLVFGVVTSLVVDMAFLIQANTYDELPEQLIGAARFSHIEPSAAVVPVLDDTSSAGG >SECCE1Rv1G0040280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:557333898:557336598:-1 gene:SECCE1Rv1G0040280 transcript:SECCE1Rv1G0040280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGYANLPTSHLLGSVPAVAVAPDERKPGAPAEVGNAAATSRLQQFPPAPAANGGGYQPPGSPLGGDVEQQTNWKGYFNVASYAPYFNVDTDVVVDRLISSVYPMDGFFRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMQRKSDLNIWNFDVSYFSLAASVMYGYAIVVPVAFFFLFQYFGSRPNLIRFWCMWGYSLFIFVPASILLLIPVEFLRWVIIAGAGGASSWFVALNLKECTEGADMMALIASASVLQFALALFIKVFFFA >SECCE1Rv1G0030790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:432699207:432700079:-1 gene:SECCE1Rv1G0030790 transcript:SECCE1Rv1G0030790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPTAPAAGVESERVCASSVVEKEHMFEKVVTPSDVGKLNRLVIPKQHAERYFPLDGAAAALAAEGNGGKGMVLSFEDRAGKAWRFRYSYWNSSQSYVMTKGWSRFVKEKRLGAGDTVLFGRGVGDSAARGRLFIDFRRRRPADAYSATVAFPPPAASSLSHRLPLLPSVPLCPWRRDFGSTYGVGPAASGSNRHVLFLRQQVPAAVVLKSVPVSATASVLEPPTRPMPKRVRLFGVNLDGPVTEDGGACEVTQMVTSTLLQQLLPSPSSSTSSTAGKETQCSLDLGL >SECCE4Rv1G0269010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:746854664:746859060:1 gene:SECCE4Rv1G0269010 transcript:SECCE4Rv1G0269010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEIQEEEDSGETAPEMEAPGVSTVAIAVSGSRSSRHALKWALDKFVPGGRVLFRILHVRPPITMVPTPMGNYIPVSQVRNDVASAYREELEWQARNMLLPYKKMCAQRQVEAEAVLLESDDVPAAISEEIDKFKIGKLVLGSSSRSIFRRKLKGSKTATKISECIPSFCTAYVVSKGKLSFVRSATSDACETPKTISSSTVSSPSSRSPSSAPSECADRNGAAAVLFRQSSLSSQRDHALANINRRAGPSGSGGSEISYHADTTLLTNSHSIASGAHLSSSSSGDSVYKSFRRDSSPDIPDLQAAVSEIATNLKHSHDQDDLKLQIESMKVKLRHLQKLHECAHTEPVDSTQKLHNNLGIQHVEDEIKLREIDLTEEMVRRLLRRMEREEEEVAEREAQPIQSSSGQKATEGDGDHQNAGEINTGLNKYNARGCLTEYNRYSWEHIQAATSSFSSDLVIGKGTYGTVYKAKFQHTVAAVKVLNSLEGFGTQQLQQELEVLGKIRHPHLLLLLGACPERGCVVYEYMESGSLDDALHHRRNGMPPLAWYDRIRIAWEVATAVAFLHSARPDPIIHRDLKPANILLDRNLASKVGDVGLSTALLHHSGAGGGGGQQQSTMVRNTTPVGTFCYIDPEYQRTGAVSAKSDVYALGVVVLQLLTGRTSPLGLAHAVETALEEDGGDSFAEMLDATAGQWPPEEARELAALALRCAEMRRRDRPGLREHILPALERIKDIAAGAAREKKALLLRTASSSAAPGHFLCPILQEIMEDPCVAADGYTYDRKAIETWVSMKDKSPMTNLRLPSKSLIPNHSLRSAIMDWSSKNR >SECCE4Rv1G0249260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:581114650:581115335:-1 gene:SECCE4Rv1G0249260 transcript:SECCE4Rv1G0249260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEWSVGLFDCFGDFRTCCLTFWCPCVTFGRLAGIVDKGSPSCCMNGTLYVCLACVGCNWLYSCTKRSAMRSQYNLKASPCMDCCVHFFCESCALCQEYKELENRGFNMAKGWEGSNKMVGCVQGMKAPGKQGMCF >SECCEUnv1G0558170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:330369651:330371788:1 gene:SECCEUnv1G0558170 transcript:SECCEUnv1G0558170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVMGEKGCESCKKWLEHYYWEHMDVSKTKFFKLMTQDSQHRIRIPDKFVSNFIRQMRSPQGFDLKAPSGETWHVGVSRAANDLFFSSGWGDFVKAHELQENDLLVFTFSGNSSFEVLIFDATGCEKLSSLFAGAGMHKHFHGMVGQQVEQYSPSDDSDSDDDDDDDDDTSVPSQLIESRQNVPTLRKFNGRTKPRKELLPGSPNCSSSCDVKHEETEEEESDDDAYADFDYCYSRAAKQLPDDEKSEIIGLALIQPGNPAFMTVLLRAHLQHKNNFLVIPSEFVDKHLRIRSHEVVLLRPNREEMWHVRYYQGSSSRGFRGQPWAKFVRDNKLHMGDICVFELIKGARNEKKAGTTMTVHVVRRKKSDGRFALVG >SECCE3Rv1G0158270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:86642223:86642426:1 gene:SECCE3Rv1G0158270 transcript:SECCE3Rv1G0158270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKTSWPEVVGWPAAQAVTQVNTDRPDVAIEVLPSGTSVAPGFNGERVRVFFDGTGSVAATPTVG >SECCE3Rv1G0170030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:246085404:246088203:-1 gene:SECCE3Rv1G0170030 transcript:SECCE3Rv1G0170030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLDVPFSGTNGSVTVQAEQSLGVPLSDTNGSASIHGEESLDGPVSDTNGSGTVQDETSSEGNELIIVDEMDSLWDDVNTMVDISTFVTYSVIKGFVKDAEQEIVQQLASKDEEITLLNQRLMQLGNGSLSLSGGRDRKYDEVYSIRQQLDAISKSLLNSEWGLSGSQYNFDGVEDVSKLRDKEHSYRKAPAKDESPGASPDAAFADASYLKHLDKDALIAHFNKEMNSMKRQHDTVVQEKTEEIFALKRSLLNKEGSNPWHLRNNKEFEQIRKKIGEVMTRLDGLLVENNKRTSSGIKAETFAGQQDKSNVLDTEIHQIQGAASNGQVEACAFPTQASPIASIEGDHAKKIGMLESDIEDARMATIIREEIEMIVLREFVNEIEIQLHGNEMEHNMKQDICSVIQNEAIAKAVLNLNSSLLKYNEEKSFSEAASAIQKQEIENLKRAVDSFSKVLSEKEACQIELRAMKGHMDLVVHQLDFLTDKVEKQDSCISEKNKEFDMIVGRLEKALQHVRQNDIDLSELHDRFNNATDSLKEVEKQNEYLRNIIGEKEKIFTSTIYKEKEFKERMTSLVESMREFENLVTDQQTIIANKVQHSESRFCLLKDQCKHLTKEGNLLKRKALRYKEISETRGSNLQKAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQHYTGVMETLNMIRKHISTTK >SECCE2Rv1G0078570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:108820098:108821252:-1 gene:SECCE2Rv1G0078570 transcript:SECCE2Rv1G0078570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRFINLVMKDYAVDGSYWLSRMRPQENLFYASTVEAMTAHAQQARKDKTSVMLPFGSTLELPPPAARFKSSCTDCFTLDFMPFYGRDSPSEGRIVALDSTGHTVLYDADADPCSVEMLPRVNSSNWRTPISLCVTTGNPNAEAQDAGRSDVLYGINMFNSTDFEALVHCNPSDSQFGRIHGAKAWHWLKLPPPPYLDDPVPGQDHTIQSYTLLEDGKTICFSSLRDNGFGTYCFDTSGYEWTKAGRWALPFIGRAWHVPELHNLWFGFSGSNPNNICAIELSSLDGPPKVLHEWRGFNTPRNWMLVNSTMVYLGGNRFCVVRFFGVYSGPPDRNDEPTDTVSIITGLEIVKGQQTSETVLRMVRHKSNTYVFERCGIESVF >SECCE7Rv1G0497040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:620368142:620369197:1 gene:SECCE7Rv1G0497040 transcript:SECCE7Rv1G0497040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKRLRRGLWSPEEDEKLMNHIAMYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIHLHSMIGNKWSQIAAQLPGRTDNEVKNFWNSYIKKKLRERGIDPATHKPLAEATTSPTACRPVFSDAGLVPTTTAALAQDQVERMLDGLKMPLDWPVGAVAGNEVPESYQVPTLQEGLMLQQHCGTFPSVSTSSTLTATDVGATTLPWLELGPTDTISGHVDQYAGALGELRWSDYFDGALQGQCVYDSGMVDDDAMQFDDVHGLSNWC >SECCE3Rv1G0197380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:817717690:817718307:-1 gene:SECCE3Rv1G0197380 transcript:SECCE3Rv1G0197380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRDVWDMELGSLDTAGLLMLLAQQHQRAVAGAARAMGGGRVFECKTCNRQFPTFQALGGHRASHKRPRLHPQPHPQQPHAIGEVDDGAALCLGRRAPQAPPQQPRPRAHECPVCGLEFAVGQALGGHMRRHRVEAEAATNAPSGKAAAPEMVVASCDAGGICLDLNLTPSENCAKCRSAAGLGAAAGQGVHKALAMLDCSL >SECCE2Rv1G0118550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:783327908:783332344:-1 gene:SECCE2Rv1G0118550 transcript:SECCE2Rv1G0118550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKARIRKQLLEPELLPSPYDTAWVAMVPLPGSPQVPCFPQCVECIVQNQQSNGSWGLVQIDSSINKDVLSSTLACVLALKRWNVGGEHIKRGLHFIGRNFSVVMNEQIVSPVGFNIMFWGMLSLGTEMGLIFPVGKNDLDTILHLREVELKRLAEDKSDGRKAYMAYVAEGLGKLVDWNQVMKFQRKNGSLFNSPSTTAAALIHNFDDKALQYLNLLVSKFGGSVPTVYPTNIYCQLSLVDSLDNIGISQHFSSEIKSILDVAYSFWLQRDEEIMLEVAACAMAFRILRMNGYDVSSDDLYHVDESTFRNSIQGYLNDTKSVLELYKASKVSVSENEFILDNIGYWSGRLLTEKLSSDRVQTTPIFQEVEYALKFPFYATVERIGHKRNIEHFDVCGPKMLKTEHLPCRVNQDFLALAVEDFNVSQSIYRDELLHLESSWAKGNRLDQLQFARQKLTYCYLAAAATIFPPDLSDARMSWAKNGVLTTVVDDFFDVGGSKEEHENLITLVEKWDDHSKDEFCSEQVKILFYAIYTTVNQLGALASAIQNRDVRKHLIELWLQLLRSMMSEAEWRMRKYVPTIEQYMSNAVVSFTLGPIVLTSLYFVGPKLSGCVVQDQEYNELFRLTSTIGRLLNDIQGLERESREGNLDYVSLLVLHSGGSMSIETAKETTREAIASCRKALLKLVLRENTVVPRPCKELFWKMCKIVHLFYSQKDGFSSPNEMVSAVNAVINEPLKLQTSNPSLATQSEI >SECCE2Rv1G0104080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:600320606:600321811:1 gene:SECCE2Rv1G0104080 transcript:SECCE2Rv1G0104080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQLVDAMKDQAALSMRLLRGLGLRGEQNLAFSPASFHAILSLLAAGTTGAIRDQIVSFLGPAGAEAHAALVSHFGQTPNHQEEDEEGHPMVRCATGVWVDSSLRLKPTFATMAASRFNAEARAVCFGSSPEQARSEINEWFEAETGGRLKELVPEGSINAATVVVLANALYFRGYWYDPFDPELTEDGDFYVSPEHAVRTPFMVGGYLHENMCIACHPGFKVLRMPYCGRYHDCRSSMCIYLPDDRGGLPELVRALSSDPSVLFAVPEELVPTAKLRIPKFDVSLRLEASQLLRDLGLDLPFRLTLAGESFSEMLALDEHDSKMPMAVSSIVHQCSVNINEQGTVAAAATEMEILGFCLPEEKVVDFVADHPFLFFIIKEEDNNGVILFAGQVVNPLS >SECCE1Rv1G0033050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:462124072:462126934:1 gene:SECCE1Rv1G0033050 transcript:SECCE1Rv1G0033050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:Projected from Arabidopsis thaliana (AT5G61500) UniProtKB/TrEMBL;Acc:A0A178UM04] MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKKKPYLPSDKQFLVTRNVPCLRRAVAVEEEYDAAGAEVVLDDDEDGEGWLATHGLQASESKEEEDIPSMDTLDIGKVEEIKSIPSYFGAGEKPDEEEDIPDMDTYEDTGDHSTAAPQPSYFVAEEPDDDNILLTRTYDVSITYDKYYQTPRVWLTGYDEERMPLKPELVFQDISQDHAHKTVTIEDHPHLLVGQHASVHPCKHAAVMKKIIDVIVSQGGAPEVDKYLFIFLKFMASVIPTIEYDYTMDFDLGSTST >SECCE4Rv1G0278010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806626819:806628902:-1 gene:SECCE4Rv1G0278010 transcript:SECCE4Rv1G0278010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPKPQFLEDQEAQTKADGKKGGWITLPFIVATMLGLGLAVNGTTSNMLVYLLKEYHVDGVKATQIANVVRGSLNLVPIAGAVLSDSYLGCFPVILAGAAINVLAFVLFTLTAALPSLRPPHCTLTSAECQQGSPGQLSVLYAAICLLAIGAGGTRFNIATMGADQFSSTRDKDSFFNWYLVFLYASFMLGDTAIVYIQDSVSWAVGFAVCVATSAFGTIMLLLGVCYYRMPATKASPYTELARVIVAAVRKGSIKVGGAQGSVQYNAGSGAVVDSAGDGAPSKSLRFLNRAAMITTSDKSSGSGDASAGAWRLCTVQQVEDLKAVVSVFPLWSSGILLFMSIGVMIGLIVLQALAMDRSVGAHFSIPAGSVGVSCRISFILATLVLDRAVFPLWRKITGGTPPTPLQRVGIGHVLNVAAMVAAALVERRRLAQPGVPMSVMWLLFPLGISGVGEALHFPGNMAFYYQEFPKTLRSLATAMAPMLVALGFFSSTMFMDVVTRVTAWLPENIDHGRLDNVYWTLAAMGTLNFAYFLACDTRYKYHNRAAI >SECCE2Rv1G0117450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:772547905:772548216:-1 gene:SECCE2Rv1G0117450 transcript:SECCE2Rv1G0117450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE4Rv1G0217210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14814663:14817225:1 gene:SECCE4Rv1G0217210 transcript:SECCE4Rv1G0217210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSLLSRPCLAPRPASAAASPCTARLAAGGGVRCQAQAGDMDAHYMRRCVELARTAAGHTSPNPMVGCVIVRQGEVVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALIKAKVKEVVVGMTDPNPIVASKGIEKLRNAGIDVRVGVEEALCLRLNEAYIHRMLTGKAFAALRTTLSVNGIVINQIGSGADQPGGYYSQLANEYDGVIVSSKMAKTTILPISREVGAKQPLYIIIAQGEGSQLHIPFLDEESASNAIVLADSPVTVEPSGVSVSVLDQMNLESILRILADRGLCSVLIDFRDDGAGLASLLKNFQEDKLVQKVVVELSPVWMVSPGPSDLAFGGSQSFPLKNVEHKEVNGTMLLEGYL >SECCE6Rv1G0410100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:595038677:595039051:-1 gene:SECCE6Rv1G0410100 transcript:SECCE6Rv1G0410100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVEIVEQVLKTEVKQSTFLRNVGLQSSRNNSGKATTEVAAHLRDLEQKLERSELQAEVMQEELAAMKLKAEESEAARDKELELLRKKSQEQEEQLAHLMALFGAKVV >SECCE5Rv1G0308240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:127754991:127757216:-1 gene:SECCE5Rv1G0308240 transcript:SECCE5Rv1G0308240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSYLPHDMDFSPYLPADMGSPTADDPSRNLYLSDLIPGSPSAYLDLPPTPHHEQEHQQPSPDGHGGGGGGGDSPSSTPEDLVLPFISRILMEEDIDDKFFYDFPDNPALLEAQQPFLEILSDPSSNSTSDDSNNRAVSPCSPSDASVGTAAQLPPTPAAVDSYDRFQFEPVDLDPAAFFGGGANSDLMSSAFLKGMQEANKFLPSQDKLVIDLEASSGQFFKGVEEGNKFLPREDKLVVGFNGHAAPTSAPAVLTVKKEEAVDAVSANSGGGRGRKNPYHDDELEQEGGRSSKQSALGDDVSAREMFDRMLMPSDEMCIVQMQSLRIAMQEAVAKNDGGSGKGANGKGKGRRGGSDVVDLRTLLIHCAQAVATDDRRSATELLKQIKLHARPDGDGTQRLAHCFAEGLQARLAGTGGLVHQSLMATRISAVDMLKAYQLYMAAICFKKVSFLFSNSTIYNASLGKKKIHIIDYGIQYGFQWPCFLRRISQRPGGPPNVRITGIDLPQPGFRPTERIEETGRRLKKYANEFNVPFQYRAIATSKMESLRKEDLDIDPDEVLVVNSLFQFKNLMDESVVLESPRDVVLKNIRKMRPHTFIHAIVNGSFSAPFFVTRFREVLFFYSALFDVLDTTTPRDNEQRMLIEQNILGRAALNVIACEGTDRVERPETYKQWQVRNQRAGLKLLPLNPHVIELARDKVKNCYHKDFVIDIDQHWLLQGWKGRILYAISTWTANDASS >SECCE5Rv1G0303560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:49531964:49533019:1 gene:SECCE5Rv1G0303560 transcript:SECCE5Rv1G0303560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEDLLTEILKRITRTSDLNSLSLVSKQLCKIEGNQRGAIRVGSGLCTTTKALASLCARFPILRKVEIDYCGWIPGHGKQLDNKGLSVFSSHCSSLIDLTLSFCSCIDDSGLACLAYCKTLVSLRLNSAPKITSVGLFSVAVGCTNLSALHLTDCEEIDTVEWLEYLGRHGSLEELVVKNRKGINHHDFLKFGSGWMKLQKFEFERKRERFDCLPGDVVYDSSYDAHSMDAYDFCCESLKDLRLVHIKTWPEVGLRVLLGKCKALEKLCLEYVRALNDKDMIALSRSCNNLKSILLRLNLQRYSSDVSYCETRTSFTDASLYALALNCRMLQMLTLALQDVPLAGHQK >SECCE6Rv1G0385190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:73585312:73590548:1 gene:SECCE6Rv1G0385190 transcript:SECCE6Rv1G0385190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPSSSSSSLRDLLARERSELPEPAAPCRTVSSRQVPGSPPPRAGDDAVGTVVSMLSGYAGRFSKDAEFRRALREKCAACLAPAAATPGQHAVLLADLELAIESIERLADAAPSQRDSKIRSLRNSIRLLGVVAALHAPPHPADSGDCEGDARGVPSSHLSACAQLYLAVVYKMESDPHLAARHLLQVFVDAPHLARKTLLPDLWAHVFLPHLLHLEVWLANESELVAGCGDADVRSSRRIKTLQRLYDDQMDSGTAQFAMYYKEWLKHGGDAPPAAPSVPLPSTPWSFDKWEKHSSSLRTSSINRNLYNAVFGTSFEQEDAKLPDEAEYVLDMDVKLDENTGSLKMDKLAHRNIGLQEKQSSIQKESTIPETAPTPRKSYSFRLLSCRGDVSRNVINHPKVPKRNVSVEKELDCSEAAVTLQRAVSTVSNSDSLAQCEYAVHEIASVCTNLGGGPNLGTWMSCPSFIQGLLEVTFTSKDDAVLESALLIMGELVLRNEVNRQIVLNADPQLEVFLRLLALTSNGLFLKATAVLYLMKPRAKQMLSMDWMPLVLHILECGDEVQLLSSLKCYPKMAAFYFLDQLLTGFDIDRNVENAKQMIALGGLDLLMSRLEVGDARESRICLSLLTSCVQADGSCRYYLADNLKKEPLVQLLGGNQKKASAAALNLMSELTCLNRTSQMVEFLKELKSGGCLNTMQILLVYLQQAPLVQHPLAAVMLLQLDLLGDPLQYSVYREEAIDAIMAALEHSSQCVKVQEQCARALLLLAGRFSSSGEPIAEAWLLKRAGVDGSLSESFRRTEIFKNKGARAEEEKVVEERLKKLGLVLVKTGNKRFLAALSNCISAGIPTLVRACLVTVAWMSSSLSPLHGCNTFQPLACPVLAAKLLDMLSYDRVMEERVLASLSLLNLVRHPECLEEVLPLKRDTTESLRDLADVTWTAKELLFACCR >SECCE6Rv1G0418820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:664908033:664911296:1 gene:SECCE6Rv1G0418820 transcript:SECCE6Rv1G0418820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAEQHTRKAVGLAARDASGHLSPLAITRRGTGDDDVVIKILYCGICHSDLHSIKNEWKNARYPLIPGHEIAGEVTEVGKNVTKFNVGDRVGVGVMVNSCQSCESCNKGFENHCPGIIPTYNTVDLDGTITYGGYSGMVVVHERFVVRFPDTIPLDKGAPLLCAGITVYSPMKYHGLNVPGMHLGVLGLGGLGHVAVKFGKAFGMKVTVISSSPGKKQQALERLGADAFVVSKDAEEMKAAMSSMDGIINTVSANIPMAPLLGLLKPNGKMIMVGLPEKPIEVPPFALVAANKTLAGSCIGGMRDTQEMLDLAAKHDVTADIEVIGAEYVNTAMERLAKADVRYRFVIDIGNTLDKAAAATTE >SECCE7Rv1G0508200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:770607016:770609888:1 gene:SECCE7Rv1G0508200 transcript:SECCE7Rv1G0508200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High-affinity nitrate transporter, Nitrate transport, Auxin signalin [Source: Projected from Oryza sativa (Os01g0547600)] MVTMVNKQVDQEQNYYSDWIHVDHGVDADGRATELRPLALSRPHTQAFHLAWLSLFACFFAAFAAPPILPALRPALVLAPSDASAAAVGSLSAALVGRLAMGPACDLLGPRRASGVASLVCALALALAAVYASSPAGFVALRFCAGLSLSNFVANQHWMSRIFAPSGVGLANAVAAGWANVGSAAAQVVMPLAYDFIVLRLGVPITVAWRVAYLIPCAMLITTGLAVLAFPYDLPSGCAYAGGARAAKGEGFWKVVRGGVSDYRAWVLALTYGYCYGVELIMENVAADFFRRRFRLPMEAAGAAAACFGVMNTVARPAGGVASDVVGRRFGMRGRLWALWAVQSTGAVLCVLVGRMGATEAPSLAATMAVMVACGAFVQAASGLTFGIVPFVSKRSMGVVSGMTASGGAVGAIVTNRLFFSSSRYTVEEAISFTGLTSFLCTLPVVLIYFPRSGGMLCGPSESDTVDHDGHDDDDDVKKDDDYMLLK >SECCE2Rv1G0074550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:77453217:77457446:1 gene:SECCE2Rv1G0074550 transcript:SECCE2Rv1G0074550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAASPHPVLKAALEGNLRLLKELAPVVADERIWIRALCVAVMEGRLDICAYLVEDLRVDVNQPNDLGETALFISATFGTVAAARYLLDRGADPTIPGRLGSPLHTATMNGNCEIVELLLSRGTDVDVFDSKHGTPLHAATNLSEVGPMKVLLEHHADPNKVFNLHSTPLSMAIQRESLECVKLLIEAGADVNKTDYIGVTQLMVAANNGLPDIMRCLLDAGANPNIGDEFGTTPIEIAALKGRRSMVEILFPLTSPISTLPDWSIDGILFHVKSFGLKPRDKSMCEKRRAEMKLQATEAFNRNEYLIAGELYTCAMKFEPSPKDLAILLANRSFCLLRLGRGKDALCDADACTMVRPRWPKGYYRKGAALMSQQDYEKASEAFEDGLKLDPTNVDIANALREAREALKKDGFAEK >SECCE4Rv1G0270630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:756022893:756023450:-1 gene:SECCE4Rv1G0270630 transcript:SECCE4Rv1G0270630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSSSSSVIIVLLLLLPILLAPPASAVPVLAACKTVGGGSSYFDVTFCLEALGSIGGGGVYRDLAAAAVGLLATNATSTEAKIGRLYGESGVKIKAGDPALARPLWSCQSLYDGIVRRTHACTVAVKGGKFGDASAILEKAAAAAKECEDGFRKNNVASPLTAEDNDAFKLAKLGVALLGFA >SECCE6Rv1G0399380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:438143831:438147661:-1 gene:SECCE6Rv1G0399380 transcript:SECCE6Rv1G0399380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSTDPNHYGVFPHSFFGQHVVSFQTSAITNGPGAMPVCLDTSSGINGNLAMLNATPSTIVSTASPNTIPDSSQSLKYGGPMAVEWSYPELQMLNDGLHKYASEPGIMKYIKIAAMLPEKTVRDVAMRCQWMAEKQNTRRRKTEEHNVGRKIKDRKDKMVQSSLWANNRSVPTDTRGSSVATASDIDRAMLNVLEENARLLNQIEANILTSQAQNNIDLFHRTRSNINNLLQSMSQIPGIMSKMPRLPISVDEKLCSYLLPGVNLAQVLSASYLKEEPRGNW >SECCE3Rv1G0143510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:977894:979033:1 gene:SECCE3Rv1G0143510 transcript:SECCE3Rv1G0143510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPLRPWADLHADYFLPIVDRVPSLRDYVSVRAVCTAWRSALPPVRQSPSLLLLDDFQPHDGPPRDNSHVYALPIRRRQPTFRLATLPYHSSRIIGCGNGYLAIADGCRLGALNPVTGDEMQLLDLPNHLWPSRVMLGPNPRPGCYTAVAICDHNYVTKVAYMTSGRTEWTIFNVAMDGAKLVDLVYDALRGHIYCLHEHGDMRVHRTSRGGRTEHKHLILTEHVLRAVDPRSDSPSAFAPPYDFMAKKIYFKRLFLCEGTLYQLWQNTGSTIKLQLPAGGVFTMSSDEILVLGYHPERQRPCWETVKDLGGYSVFICKINVMVVQAEDALGVKPNCVYWIDSQLRPWVFDMSTKASTLCLLPPSVGRPMCWYFNRD >SECCE7Rv1G0498500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:641003067:641006165:1 gene:SECCE7Rv1G0498500 transcript:SECCE7Rv1G0498500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIAASAFFPGSPAPAPPPKGALGERPDSLDVRGMAAKQASSSSAVRAGRTRAHAAVPKVNGGGGKSALADAEHDAVPSAAQPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLVDTLGFGTIIHDGVMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKVVGLLGDGFGSTPEMSKRNLFWVVSQMQAIVERYPCWGDTVEVNTWVGRHGKNGMRRDWHIRDSVTGHTVLKATSKWVMMNKLTRRLARIPDEVRTEIEPFFSEYAAIEDQDHLKLPKLPEHDRATSAKYVRTGLTPRWADLDINQHVNNVKYIGWILESAPISILENHELASIVLDYKRECGRNSVLQSHTTVHTDCADDESGETTLHCEHLLSLESGPTIVKARTMWRPKGTKSQETAALSW >SECCE6Rv1G0448910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:858981308:858984701:-1 gene:SECCE6Rv1G0448910 transcript:SECCE6Rv1G0448910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADDARRAAVVAKYRASLLAFRERQSLLTTGEENLKKARKEREITDEHVKAFQCVGQIVGEVLRPLGGERFIVKVRSGPRYLVNCRNKLKQENLKTGTRVCLDPSTLTIVRMLPREVDPLVYNMVHEDPGNVSFSAVGGLSDQIREIRETIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARALASNIDVNFIKVVSSAVIGKYIGESARIIREMFAYARNHEPCIIFMDEIDALGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKIIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQARLEILKIHAAGMGKHGEINYEAVAKLAEGFNAADMRNICTEAGMAAIRAERDYAINEDFMKGVRKLTELKKLESSANYKADFGSG >SECCE1Rv1G0003920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:15724594:15725095:-1 gene:SECCE1Rv1G0003920 transcript:SECCE1Rv1G0003920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAPAVAAVSSSAPSSGLLPPRRAAPCNAVQLPSMRRAAAPGGRMATVARAVGDVGAEGNTFLIAGAVAVALVGTAFPIFFSRKDTCPECDGAGFVRKAGSTLRANAARKDQAQIVCANCNGLGKLGQIDK >SECCE6Rv1G0439760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:799080441:799085810:-1 gene:SECCE6Rv1G0439760 transcript:SECCE6Rv1G0439760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar fusion protein MON1 homolog [Source:Projected from Arabidopsis thaliana (AT2G28390) UniProtKB/Swiss-Prot;Acc:Q9SKN1] MDPAPDPPPADEAPASEALAALSLRGADLPADFASAGSGHEIAEEDEDDEGYVTAASRGGSSTAASRRREEFEGLEEEEEEEDGYGDAGPPSPSSSGYAGERGSSVASSAGAGAGGIEEDPDPAPPLPPGAEDWARGKKHADEDDGSASWRKRKKHFFILSNSGKPIYSRYGDEHRLAGFSATLQAIVSFVENSGDHIKFVRAGKHQIVFLVKGPIYLVCISCTEETFEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIRAFSWNPATFLHAYTCLPLAPATRQAASAVLQDIADSGVLFALLMCDHKVISLVGAQKATLHPDDILLLANFILSSESFRTSESFSPICLPRYNPMAFLYAYVHFFDENTYLILLTPRSDAFYDLKDSRARIQDVLLKSNVLLEVQRSLHENVLRVEDVPIDPASQSTSAPAQSSQGLNSPPLSSDEAIGGPAGLWHFIYKSVYLDQYVSSEFALPIRNPKQQKRLYKAYQKVYASMHDKATGPHKTQFRRTEDYVMLSWITQDFELYAAFSPLADKTQAIKICNRVCQWIRDLEDRVFIYGESTIAWQYHHCWGDV >SECCE5Rv1G0356150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:737423770:737424326:1 gene:SECCE5Rv1G0356150 transcript:SECCE5Rv1G0356150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQGQHDNPANRVDEHGNPFPLAGGVGGAHAAPGTGGQFQAHRGEHKTGGILHRSGSSSSSSSDEDDGMGGRRKKGMKEKIKEKLPGGHKDNQQHMATGTGTGGAYAPGAGTGGAYGQQGHTGMAGAGTGTGEKKGIMDKIKEKLPGQH >SECCEUnv1G0553710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:276829382:276830561:1 gene:SECCEUnv1G0553710 transcript:SECCEUnv1G0553710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGSSSSPSSSPLHVVICPWLAFGHLLPCLDIAERLASRGHRVSFVSTPRNIARLPPVRPAVAPLVDFVALPLPRVDGLPEGAESTNDVPHDQFELLRKAFDGLAAPFSEFLRAACSDGTSSRPDWLIVDTFHHWAAAAAVENKVPCVMLLLGAATVIAAWASGASEHAAAAVEKERSAAEAPSFETERRRLMITQNASGMTVAERYFLTLMRSNLVAIRSCAEWEPESVAALTTLAGKPVVTLGLLPPSPEGGRGVSQEDAAVRWLYSQPAKSVVYVALGSEVPLHTEQVHE >SECCE2Rv1G0111380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:700176396:700177161:-1 gene:SECCE2Rv1G0111380 transcript:SECCE2Rv1G0111380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKFFLLALLALSFSRARASDPSQLQDFCVADRTSQVFVNGFACKDPKTVMVEDFFFSGLHMSGNTSNKQGSAVTAVNVAQIAGLNTLGISMARVDYARYGQNPPHIHPRASEILTVVEGSLYVGFVTSNPENKLFSKVLNKGDVFVFPQGLIHFQYNCGTKKAIAIAALSSKNPGVITIANAVFGSKPSISDDIVAKAFQVDKKIVDHIQAQF >SECCE4Rv1G0267490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736320476:736320990:1 gene:SECCE4Rv1G0267490 transcript:SECCE4Rv1G0267490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVTGAYLDQRLAATKRCSREAAMAGAKAAAVATVAAAVPTLTSVRMLPWARAHLNPTGQALIISTVAGMAYFIVADKTILSMARKHSFEDAPDHLKDTSFH >SECCE7Rv1G0483530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:323609214:323611653:-1 gene:SECCE7Rv1G0483530 transcript:SECCE7Rv1G0483530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPVPDGGGGNRLPPVKPLVSLSPSPTGPPESDISHMPDSPARSLGHRRAHSEIIGLPDDLDLGVPGCGGDGPSLSDENEEELFSMFLDAEKLNAQLHEASETESSCASAGAGAGQRPRHHHSHSMDASSSFDAEQLLGTPAVEGMSTVEAKKAMSNAKLAELALVDPKKAKRIWANRQSAARSKERKMRYISELERKVQTLHAEATTLSTQLALLHRDTAGLSNENSELKMRLQNVEQQIHLQDALNDALKSELQRLKMATGQMSNTVGGMMNLIGPPPPHSFGGNQPMFHIQGQAAMQPLHQMQQIHPQHQQQLLHPLQLQAQQLLLQQQASAAPPPNPKMKRAISAPNQWIGGWPESSGN >SECCE2Rv1G0109920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:684336424:684337021:1 gene:SECCE2Rv1G0109920 transcript:SECCE2Rv1G0109920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGATVVLLSCVVLSIIAAGSAASDKPLIISNVALEASPQEASSSSGRRLGGFPMEAGLCPVQLEHLKRMGKVQASCLGQAIPQRRCCTAFKDFVCPYGATMNDVHNGCAGEMMATIQELCKVPKGYFVMCGDSAQGMTC >SECCE5Rv1G0372290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:852082698:852085678:1 gene:SECCE5Rv1G0372290 transcript:SECCE5Rv1G0372290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESELSVIKPESLKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPSSLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTEKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDIQVQQEQKDERSLDELLCFINGDGGSGGGKAGKNKKKNKRRKDPKNLPKADPEHVNKEEGACAVPCNVGAVNNISRAPCPSSDVQDDNEYPFEDGDLDDGLDPAMQEELDREVEDFARRLNSVWPERMHLGQERRIESQLIGGNGSLQRFSGNFFQIE >SECCE3Rv1G0169000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:230034743:230047669:1 gene:SECCE3Rv1G0169000 transcript:SECCE3Rv1G0169000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQVPSPLRRLLPLSQTLAPAPLLYLSRRLFFSSVSAPRPPFTRAAGIRPLVYRGQASRHHLRRGNSTARKEPEGMASGGGGGGKDAGVAFNKMRAEGRDVRKDRSMELKNRRANPISTTSYVQILGTGMDTQDTAPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFFTRVCSETAGGLPGLVLTLAGMGDEGMSVNIWGPSDLDVLAGAMKSFIPNRAMLHTHSFGVEHSASSSQSTEATVIIDDEVVRISAMFVKPRYNNEARNSTDINSKPGDTAIVYSCELAEIKGKFDPHKAHALGLERGPKYRELQLGNSVKSQTGRMVHPSEVLDPPIPGPIVLLVDCPTQYHMHELFLLQSLSRFYEDSSCQTENAKKVNCIIHLGPSSVTNTIDYQNWMKSFGTTQHIMAGHENKNMEVPILKGSARISSRLNFVCPQLFPSSGFWPVEPANGIDLEKNKSTSFQACESVTAANLLKFRLRPYAQLGLDRASIPSLFSYEEIVDELISEIPEIKEVPEQISKFWQSSVDQKNALAPAGKHMLMVEEPWISKDSSLPDILDEHGNSAKFQDDSSLRESVWRKRPKGNSETPCCVENATREDLEITFLGTGSSQPSKYRNVSSIYINLFARGGMLLDCGEGTLGQLKRRFGVSGADEAVKNLRCIWISHIHADHHTGLARVLALRSKLLNGVPHKPLLVIGPKQLLRFLNAYSTLEDLDMQFLDCRQTLKPSVEELLGDNATESATTQLENTMFAPGSRMENYNNKPSSPKDTTALANLKEVLHESGLEILYSVPVVHCAQAFGVVLRAKEKVSSAGKAIPGWKVVYSGDTRPCPALVDASRDATVLIHEATFEDSMKDEAIARNHSTTKEAIAVGTSAGAYRIILTHFSQRYPKIPVFDEDDMHKTCIAFDLMSVNLADLPVLPKVLPHLKLLFKDEMVVEESDEVLEAVV >SECCE4Rv1G0223380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:69274193:69275355:-1 gene:SECCE4Rv1G0223380 transcript:SECCE4Rv1G0223380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEMKMVILGQEQTFRQQVHEMHRVYHVQKQLMREMQLAGLNQAHAGTKPKLEVWHDNKATDRQQLYSVSNSCAPASAEECNLELTLATGSSRSHKGKQVGKSSNSDSGTAVSSTSTESDLAQFKDFDTRVVRFQTESKRFTIANETNQSPWPYQPVILRVAR >SECCE4Rv1G0265600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726771617:726771814:1 gene:SECCE4Rv1G0265600 transcript:SECCE4Rv1G0265600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRPMVALRAALVGGVAAFAKIAGAMKAAGGVKVGAAAAAMTAAATAAVSGKDAGNDNQKPGAK >SECCE4Rv1G0252070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:611783855:611784978:1 gene:SECCE4Rv1G0252070 transcript:SECCE4Rv1G0252070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGVSDELLGTFVPIAVYWLYSGLYMVLDALGTADCYRLHPREDEETRNIPSKRTVLKGVLLQQAVQVAVSLIVFKITGNGRRDVREQPPSPVIALQFVVAMFAMDTWQYFVHRYLHTNKFLYKHVHSQHHTILVPYAFGALYSHPLEGLLMDTASGAVGFLASGMTPRTAIFFVSLGAIKTVDDHCGLCLPGNLLHAVFANNTAFHNIHHQLYGNKHNFSQPFFVVWDKILGTYMPFTLQSRDGGGVEARPVKHALAAHEQHKSH >SECCE4Rv1G0221660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:51167113:51170799:-1 gene:SECCE4Rv1G0221660 transcript:SECCE4Rv1G0221660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFIVTAAVVGGALLLFTTDVRKSGAVFRRNARQIRQWLEEDTASAASKSAKEAVPPPKKLDAEVPKDKPKDH >SECCE7Rv1G0498570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:641421744:641422626:1 gene:SECCE7Rv1G0498570 transcript:SECCE7Rv1G0498570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTNSAESPAVSGLDYDDTALTLALPGSSTDDRKRAHHDEQDKPPSPKARAVGWPPVRAYRRNALREEGACKLVKVAVDGAPYLRKVDLAAHGGYEVLLRALHGMFAPCLAVRGEGELGSRLVDAATGAEYVPTYEDRDGDWMLVGDVPWRMFVESCKRIRLMKSSEAVNLAPRPSSQ >SECCE3Rv1G0155340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:65053832:65056390:-1 gene:SECCE3Rv1G0155340 transcript:SECCE3Rv1G0155340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILGSLVGSCAKKLQEVITEEAVLILGVKEDLRELQRIMIQIRCFLNDAEARRTEETAVNNWLGELRDAMYYADDIIDLARSEGGKLLTERPSSSRKPAKCSGISFSTCIPVLQKRHKIAVQIRDFNADLEKISKLGERFLKHQNMQSKAEVRTLKHMRTSHLVEPNLVGKHILHACKRLVERILTHKEEKAYKLGIVGTGGVGKTTVAQKIYNAEKLKGVFSNQAWICVSRDYSDITLLKELLRNFGVHHEQGETLGELSSKLAAAVREKSFFLVLDDVWEPEVRTNVLRTPLHAAASGVILVTTQHDAVAHAIGVEDPHQVDSMSAEVGWELLSKSMNINDERDVEDLRNVGLYIIRKCGGLPLAIKVTARVLATKDKTENAWRKFINRSAWSVGNLPTELRGALYLSYDDLPHHLKQCFLYYALYPEDSTMSRDDLVMLWVAEGFIQEQHGQLLEETAEEYYYELIHRNLLQPDGRYFDHMRCKMHDLLRQLACYLSREECFIGDPESLQPTNMSKMRRLTVVTKKDILVLPGMTNGEARVRTFQTDQQPRRVEDTFFMRFPYLRILELSDSLVQSIPDYIGKLIHLRLLDLDGTCVPCLPESISSLINLQILNLQRCKDLHGLPLAITRLCNLRRLGLAGTPINQVPEGIGRLKYLNDLGGFPVGGVSGNAKTQDGWKLEELEHLSHIRRLDLIKLERAALHTTGSVLADKKYLQVLNLSCTDHTDVPYSEEDVSNTEKILEHLAPPQNLEDLLINEFFGRRYPTWLGTTHLSSLKHLKLVNCKTCVYLPPIGQLANLRLGLIRAPRWQLLSPSSKCLSSGIYTTGRIGPLLKMQQEQQPQKGVRM >SECCE2Rv1G0107700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:652667428:652668372:-1 gene:SECCE2Rv1G0107700 transcript:SECCE2Rv1G0107700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACKQTASTGAAATDEADRKSAVKSDGAEERKPWTKCRKGKKAKGKLAVKINGAEKPKTHDLSDVTRGLAVISIAMEKSLDEQLAQLALFIYQDDFWMENFKGVYDKPSVDAIKRKAAENWKLFSDSDKAPYVAIARVNRILIAEANEFKKVETKTQRFYLFLDDFTLLS >SECCE4Rv1G0223630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:72189062:72190837:-1 gene:SECCE4Rv1G0223630 transcript:SECCE4Rv1G0223630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHRRRSCLRRVLTIAGGVSAGLLLLAGGGTYAGVPLFSPSLLPLGPGADCSPPFAPPPFALSPLPPYLLSDLEADASPPQPETNLPRRLLPIHRSPPPPPCLPSNSESGADRSPPQHDDADAVLLPDGEILLLADAEPAGAKATCAFQGGASSPASALGSLPGSGRHAYVCLMPEPARSLQPLQAPLLLPTSAASASASADCPGRTSLLNWSDRIAFTSATLDSGHVLVFAKGVNHAAGGVQCIYRHCGHGVVASFPAITSVQQVTRCPAPPMQNTELRVTVAATGEDPVPSIATYHRPQQSQGGLVVTPAPKNLICACTMVHNVSKFLREWVLYHAAVGVEHFFLYDNGSLDDFADQVAQLRSAGISISTVPWPWIKMQEAGFSHSAATHQGSCKWMAFIDVDEFIFSPNWIGSEKPSKSMLEAILPVDPDVGQVYLWCFDFAPSGQTSHPQEGVIQGYTCRRKIILRHKSLVLLAAVNHSLENAIHHFTLKDGFRSIWNLQARVNHYKYQAWSEFKQKFKRRVSAYVADWRDPINLQSADRAPGLGVDGVEPVDWAQRYCDVKDNLLQRLSARWFGNGLATLGSQDT >SECCEUnv1G0572140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:525584056:525584298:-1 gene:SECCEUnv1G0572140 transcript:SECCEUnv1G0572140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAKVNLNKERMRATRHVLSEYGNMSSACVLFIMDEMRKRSAEDGHTTTGEGMDWGVLFGFGPGLTVETVVLHSVPITA >SECCE2Rv1G0125000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:837660477:837664816:-1 gene:SECCE2Rv1G0125000 transcript:SECCE2Rv1G0125000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHQKQYTSMGMIDDKQNVKVKEEGGENINAQEKKKQNKEEGDKKKEEKKMAKYKEEKERTRGNDKYNEKEKEKGDRRKRKKNEKKMKAHKEREEDKENAKEEEQSKEQKDKKNCPQFFRSLITSSSMEQETIPEDCHKYLEECTGAVSLRGPSGNLWPVELAKISGELCFARGWKEFLCDHRIVYGYLLVFRYDGNSQFSVTVFLPSSCEAPYAFLAQPQRIGAAAVAAEDENGHTGTNADGTALQEEDSHIGTGVDCTPQNEGEEEEDASEEYEGSDNTSVDADGTGPQKEEEDALSENPENAEDSEWRSTPSQQQKEERDMIDNGFVVGKRNRFRKVDDIMTEMVRSKKSNAKEGKRHEALSGDSESEGEALGDSLAKSGRRPPRKSKATEEKRPEAPSGNSLAELVHRSPKMSKAEGKRSTALASKRAASRGSLAESKRRPPRKPKAAKGKRPQAPCGHSDSEGAASGDSLAELVRRPPKKSRAEGKGSAASSASKGMTSSDSLAGVFAPESVCKDLTKLHKSFGKKHSMKTQFPMFNKSNSENQSGRVIVKVQRRPELKSLRRPITQRDKEYAMDRALTFKSKRPFVIKEIKQTDVYVSYFMIIPDMFVEKFLPKDSRKMTLWDPQAKPWKVWYEYTGGECPRGAFSSGWGALAIGNHLEKLDVCVFELLDDDYNIKLHVYRAVVEITLPVIAAKHHP >SECCE1Rv1G0013500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:106151068:106153896:-1 gene:SECCE1Rv1G0013500 transcript:SECCE1Rv1G0013500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVFLLLFGQILLCTANDTINSTTPLSGSQTIVSQGSKFTLGFYSPPHGNTTTFRYYIAIWYNNIPLVTPVWTATTDMPVSDPTTASLEIASDGNLVLVDQAKNQLLWSTNVSIASNSTMATIRDSGSLELIGASNSSIVYWQSIDHPTNTWLPGTKLGLNKATGLSQRLVSWKSSSDPSPGLFSLQLDPNGTTQYFIQWNESVKYWNSGPWDGTRFNFMPEMTANYIYDFHFVNNATESYFYYSMKDDSVVSRFIIDVTGQINQLTWVDSTQQWILFWAQPQTQCDVFARCGAYGSCNRNVLPYCNCVKGFSPKVQSDWDLQDYSGGCRRKTPLQCQKNSTSALTQSDEFYVMEDVLLPDNAHASFPISSQECQVVCLKSCSCTAYKYNYSACFLWHGELINLREQYRGNGGETLLLRLAASELADRKKSKTAIIGSVVGGVAAVLIILAIVLFFIFQKCRRDRTLWIPKIAGGTPTDFRYSDLLDDIQSIDSLLLDLSTIRVATNDFGEGNMLGKGGFGMVYKGVLPDGKQIAAKRLSQSSRQGIGELKSELVLVAKLRHRNLVSLVGVCLEEQEKILVYEFMPNRSLDTILFDSEKRKDLDWGRRLKIISGVARGLQYLHEDSQLKIVHRDLKAGNILLDFDYNPKISDFGLAKIFGGDQSEDVTRRIAGTYGYMSPEYAMHGQYSAKSDAFSFGVLVLEIVTGRRNNGSCNSEQYVYLVNLVWEHWTRGNVVELIDPSLNGHPSHVDQVLKCIQIGLLCVQNRPEDRPTMSSVNAMLTSQSVRLPSVSMPAFCDRLSGCSDNSESASSNGMTITKLEPR >SECCE1Rv1G0041620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:572897691:572898659:-1 gene:SECCE1Rv1G0041620 transcript:SECCE1Rv1G0041620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALDGCYSAKDVAYSCGYCGYALNLSSSTRNTANIGSKYGKQIRKGVVSFFAIDESRFTQTDEVSCMPYFSSRSSWGFFRRRTRLLCRKCSGHIGNAYDDEDSALYDTSDDTLASPEVSSVSSRKKYVIKINALQPSSDDSGVPFAQ >SECCE7Rv1G0503850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:716253114:716253491:-1 gene:SECCE7Rv1G0503850 transcript:SECCE7Rv1G0503850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIREGEALVGVAGAPAAGGHGHGAHPGLWRTPTPYLFLGFALMMGLILVALLVLVCTRRKPSGSSRRGSAAEEASARGMVPLDREPKVVVIMAGDDLPSFLASAKPFAFPAVNAGEQRQEDAA >SECCE7Rv1G0463330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:52100677:52105979:-1 gene:SECCE7Rv1G0463330 transcript:SECCE7Rv1G0463330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPALSFGVVASPESNVVYDPSGRRLLAAALDRFAAWDLKRGLPSATFTPSSSSASLAVSCVASSPAAASASASSVASGHADGSIRLWDAETGACEATLHGHRSAASAIRFAPSGAVLASGSKDCDVILWDVVAQAGLFRLRGHRDQVTDLVFLDSGKKLVTCSKDKFIRVWDLDTQHCLQIVGGHHSEIWSMDVDPSEKFLVSGSADPELRVFRIRQSAEEGDDWNKWDALKLFGEIPRQSKERIQTIRFNKDGSLVACQVAGKTADIYRILDETEATRKAKRRLHRKKEKASAKAAAAEGNGTVIDPLPAQDSQNPTVVVTDVFKLLQVLRTSKKICSVAFSPSNPPKGCLATLSLSLNNNVLETYSVDNEKVSKMYSVEIHGHRSDIRSLALNSEDNLLMSTSHNAVKIWNPSTGDCLRTVDSGYGLCSAFVPGNRYGLIGTKTGTLEIIDINSGNSIDVIEAHAGSIRSIVLIPDEDGTVNARGFVTGSADHDVKFWEYQLVQKSDSDAKYLSVTNVKTLKMNDDVLAVSIGPTGKHIAVALLDCTVKVFFLDTLKFCLSLYGHKLPVLCMDISSDGALIVTGSADKNLKIWGMDFGDCHKSIFAHTDSVMDVKFVPKTHYMFSVGKDRTVKYWDADKFELLLTLEGHHAEVWCLAISSRGDFIVTGSHDRSIRRWDRTEEQLFIEEEREKRLEETFEADLDNAVEDRYGQKDDAPDEGSVGVPGKKTKETVTAADAIIDALDTAEEEEKRLHEQKELQNVGEGTKSKPNVIMQGHSPSEYVLNAVSSVRPNDLEQALLSLPFSDALKLMAYLKEWSLIPLKVELVCRVCLVLLQTHHNQLTTTPAARSILTALKDILYGRVKDCKDTIGFNLAAMDHIKELLTMRSDAPFRDAKAKLMEIRQEQSKRSDRSEGGEKRKKKKPKASVQI >SECCE6Rv1G0380930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:27952357:27953599:1 gene:SECCE6Rv1G0380930 transcript:SECCE6Rv1G0380930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFVLVLAVLLLSSALVASDFCVCRSEQPTAVLQKAIDYACGQGADCTAIEQSGACYSPDEVAAHCSWAANSYFQKFRSTGATCDFTGAATLSTTDPSFSGCTFPSSASAAGTTTGTAGTTTGTGTATTGTGTTTGTGTTTAGTFSPGMGTGFNSTGFSPTTGSMDGTAAAAGLLPSWRPAASIAILLLCYLALP >SECCE1Rv1G0047750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:628872134:628875204:1 gene:SECCE1Rv1G0047750 transcript:SECCE1Rv1G0047750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSRLREINIRRATPGSACLLPFRRPPPRPVPLANQLRPLIQEPARRNKILRAGAVQEPASHPPARRGGGAIRSGRPRRSQSEERAIAVMNGGMGGGGGGQQELSADNVRGIVLALLSSGFIGSSFIIKKKGLRRAAVASGISAGVGGHSYLLEPLWWVGMITMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSMVIVIHAPLEQEITSVKEIWHMATQPSFLLYVASVIVLVSVLVFHFSPLWGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLAYPETWFFMLVVAICVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASIIMFKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRIGLYAPLSPTSATRLNGELLRHVEEDARRTDDEEKVLRRQEMY >SECCE3Rv1G0161460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:117662256:117666196:-1 gene:SECCE3Rv1G0161460 transcript:SECCE3Rv1G0161460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTSRTSLNCISLADPDTQRSVALLKQACLDSGFFYVLDHGISQEFMDEVFAESKKFFELPNSEKMKLLRNEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVPADDPQSNKPFYGPNQWPSEEVLPKWREVMEQYHREALRVAKSVARIIALALNLDADFFDRPEMLGDSIATLRLLHYEGQVSNPSKGVYGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPVKGGFIVNLGDMLERWSNSIFRSTLHRVVLDGQERYSIAFFVEPSHDCVVECLPTCKSETNPPKFPPITCSAYLSQRYKDTHADLSTYGDGKA >SECCE2Rv1G0130580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:877559086:877561218:-1 gene:SECCE2Rv1G0130580 transcript:SECCE2Rv1G0130580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETSASPAARSSDAAGHSTPDATPDTSAEEASQSAADGSVRVGNKRRKLPSFIWSDFEQLFVDGEWKAECIYCAEILPAEAAGGTSHLEDHLMSCADWHALAAPQESRLSKAFDDGGEADWEDVLFDQDAARKQLAMMICAHDYPLSIVNHAGFQKLCYALQPMFQMVSRNDIRKDILGMYAAEKDKMVKYFADFKGRVAITTDMWTAEHQKRGYMVVTAHFLDESWQLKSFMLRFVYVPVPHNAAVICQALHESLVEWHLERKISTVTLDNCTPNDEAMEHFPDKLDLKSLILEGKYLHMRCGAHMLNLIVKDGMDLMEKGIERVRDSVAYWSATPGRNEKFEKMAQTLNIEYKEMLFLDCKTRWNSTYRMLTIALEYTEIFETLKAREKLFTCCPTKDDWKFAKEVCDRLKIFYDVSESFLGTKYVTANMFFRKICAIQLAIRKWAGSDNELVQTMSEEIKRKFGKYWRDVLDLMSVATVLDPRYKLHMLQAIFGSLYGTEYAAVEVEKTRKLMADLLKQYQEADGVVATSEAVSTAAAVEAGGEIDIMDIFNRYMSSRPTIRASSVRTELDLYLEEQIVRRIPDEDIMTWWKYGGAKYPTLQRIARDILPIPASAVISESAFNTNGRLLSPHRGQLAPSMVEALMCIKAWSRADMIGNQSS >SECCE5Rv1G0326700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:484322762:484323007:-1 gene:SECCE5Rv1G0326700 transcript:SECCE5Rv1G0326700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRLEEEQEEQREVLLIHSQVRRIKREDEETRRQLLKLQLLETRPAAGHGHGQEASPPASRSLSPLRRAGSAIPVGDWA >SECCE3Rv1G0170000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:245301737:245302126:1 gene:SECCE3Rv1G0170000 transcript:SECCE3Rv1G0170000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAKTEAPWLTATATAMISSTDDVRRTVQVKPVVVVGRRECCMAHVARCLLLGQGANPAVLEVSDDADPAALVFALQPRDNCTKVATGVAFPVVFIGGRLLGGLDNLMAMHMAGELVPVLKQAGALWL >SECCE7Rv1G0458350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:21371604:21373044:-1 gene:SECCE7Rv1G0458350 transcript:SECCE7Rv1G0458350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDIAHRDHLLAAVHGVVALAVLLLSLLAEVLVFALRLRTALYLVPLSAMLLLCFFRRHAAADVGLVDFSCLKPPRRLRLPVAKVIEHLKLNGCFNRDSVEFMSKAIQASGMGHETYVPPALHYIPPAAAHADAVQEARALFFPTLDDLFAKTGVAPSAVGALVVNCSGFCPAPSLTAIIANHYRMRSDLKTFNLSGMGCSAGVIGVDVASSLLRSSRSMTYAVVVSAEVFAGRFYVGNEHAKLLLNCYFRTGCSAALVRKTNTRGIGTAAGPTTAATARATAYWDEDEEGIAGFTVGEGVGRMFSEMLRAHLAALGPSILPWREKLRYMAALLLLRTRRHKLAVPTPDFRAAADHLCLPSNNRAIIWRLGQGLGLGEREVEAALMTFHRFGNQSAASLWYQLAYLEAKGRVRTGDTVWQLGVGSGLKANSLVWQRVAGAGNGALGPWTDCIHHYPIYQGNE >SECCE3Rv1G0192130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:755136402:755137986:1 gene:SECCE3Rv1G0192130 transcript:SECCE3Rv1G0192130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYISLQVLRAATSNFSMNNKLGEGGYGVVFKGELQDGKEIAVKRLSANSAQGFNELKNELVLANKLKHKNLVQLLGVCLQEKLLVYEYMPNGSLDTTLFDPEKADQLDWTKRKGIISGIARGLLYLHEDSRLKVIHRDLKPSNVLLDVDMNPKISDFGLSRAFGGDQSIDITKRPVGTLGYMSPEYAYCGQVSTKSDMYSFGVLVLEIVTGRRNNRPLEDNNTAARSLLSYVWDKWSAGTAQEAVDPSLRGRYPEGEVLSCVRIGLLCVQEDPSARPDAAEVVLMLDSHSTSITMRTPSRPAFCFTQPGVGLTTLGYPGTQDRDAMASAGHLPTPGSNNEVTISDLQPR >SECCE6Rv1G0395580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:333396250:333417429:1 gene:SECCE6Rv1G0395580 transcript:SECCE6Rv1G0395580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALVQSAIVPTVYNSCAQVRGHARATSFRSMETQTITLGGFQGLRRANFLDTKSVVRYDFGSIVASQLARPRGKGSRMVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRITNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLQYTDDALVAAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQVTKDKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMVKAESESGEVVPFVTEADIQHIVSSWTGIPVEKVSADESDRLLKMEETLHQRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALASYYFGSEEAMVRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYEEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKMEVKEIADIMLKEVFDRLKVKEIDLQVTERFRDRVVDDGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLSGGSGVPEPLTPILST >SECCE7Rv1G0466190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:77063745:77065040:-1 gene:SECCE7Rv1G0466190 transcript:SECCE7Rv1G0466190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGISNGTGPMTNDALQSILAAARPFLRGDLAAVDPELPSLVSVLVSAGAGECYHKHGTFLAHLLDVYRILRLWGAPDAVARCGLFHSSYSNSYVNLAIFEPDVSRARVRAIVGAPAERLVHLFCVVPRHALMHDDLHLRYTDAELRDHLAAAEASLQAARSAGGRPEDKAEPWRAKLRSVVPEEGVVVPHIRTGEPVVLSRRVLAVFVLMTVADFSDQYTDYQDKLFRNDDGRLEFAGDNWAALWPGTGKPGLWVSAMSRLAALYRLIATDEQLRHMEERSTKTTVDEQDEGLELCIPPVFDRCSKVLDPGEQIAARDLYWEAICSDGKEGVESLLRRCIAKNPYVGEPWLVLAQLLLNGGGRWEEAEAAAAEGLRLVLEWGSSWDKRMSWEGWVSWGRVMRDKAKEKQWPRSAWGIINLGLVKQIHDN >SECCEUnv1G0532060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:18949600:18950523:-1 gene:SECCEUnv1G0532060 transcript:SECCEUnv1G0532060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFRVPSNRRCRCRPPAAMPDHLAAAAFRRCGRLPWVLADSKCHIGDRDNATTAHAVTSQGSDIKVTFDLAEPPDVSRCFVHCPGLAEGRYGGDPVVVSSADAFVLLVVPFTDGSGRRECNDFFVYRAGPGAPSLHLLPRPYRCPDYVTLAGVVPRADLNDKDYAVVFPLGSKFCSGYTNYDSTSKRYDFHVYRSDISKWPWRTEVASIAMMDTKCNTHEVIMRHEATRVIYAGRETLGWVNHWHDIILCNVLDNNAVMRLIQWPVPIPRDPVSRFGMGVDNIYARPFCDAAISNGMIRFVELRPC >SECCE3Rv1G0210850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946040122:946040964:1 gene:SECCE3Rv1G0210850 transcript:SECCE3Rv1G0210850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVSTRKLYGYALSSNSVRIAALLNEKELDYELVVVDDTKSPEFLAINPLGQVPAFQDGDDILFESRAISRYIAAKYRSSGTDLLPAMPSAKLEVWLEVESHHFYPAVADLVYEIRVRPRLPGGAPPDPAVVDGLARKVADVLDVYDAHLAAGNRYLAGDQFTLADVNHMAQLFAMSRTPRAAELVAARPHVQAWWDGISARPAWKKTVAALPLPPA >SECCE4Rv1G0223430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:69826692:69829966:1 gene:SECCE4Rv1G0223430 transcript:SECCE4Rv1G0223430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETDATAAGGLAGEMEVEAYRRLFPLAFLERHLRESVRPDARRLAEARPTTVALGAVSSAHGSALVRLGDTAMLASIKLEVMSPPAETPDQGSVAVEFHMPPICSPLVRPGRPAEAAPVISKALEDVLMSSGMLNLKELCLISGKASWVAYLDVYCLNADGSLFDAALISVVAAFAHLEIPLVSVGDDGRVFTVGGNEGKTKYELVNREKRKLTLGDIPFPLTCALHKDSILADPTAEEESIIETSVTVVIDSSGRLVSIQKPGGGVTSMGKIKACIGLAKERGQKLKEILTDSVEAMEVDQAE >SECCE4Rv1G0272910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:772887685:772891031:1 gene:SECCE4Rv1G0272910 transcript:SECCE4Rv1G0272910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLAKKVASQRIDLDVICDFDLNKLEPWDIQERCRIGTGPQNDWYLFSHKDKKYPTGMRTNRATAAGFWKATGRDKAIYSAAGSGRIGMRKTLVFYKGRAPQGHKSDWIMHEYRLDDAVPVNPTAGDATYYSSDSFPIRGVAGDQSSMQEDGWVICRVFKKKNIVVQHQAGKNGGGRSSASNKLVGAGAMERSQSNCSSTSTAASDHAKAQMMHCSASDDGLDHILSYMGRSSTASCRHETKPTNPSSSPLDHLINSACHSGSNTLYEKFMKLPPLEHVVPGGLLPPPTEYGGDWSALDRLAAYELNGLSDPASAKTMNGMSYISEELGGPTSYSGGGTLHTPSVTTGAADGDLWSLARPVSSLHADLTTMTWFKAVGC >SECCE3Rv1G0199680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:847675896:847676789:1 gene:SECCE3Rv1G0199680 transcript:SECCE3Rv1G0199680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALAPFQLAAILLVGLLARCHAGDIAVYWGQNDGEVSLAETCASGNYKFVVIAFLPKFGKGQKPELNLAGHCDPSSGGCKTLSKDIHSCQRRGVKVLLSLGGADGSYGLSSRDDARQVAKYLWNTYLGGTRASSRPLGDAVLDGIDFDIERGGSKFWGDLARDLKNLGRMGDKGVLLSAAPQCPFPDQWDDGAIRTGLFDFVWVQFYNNPECQISAGRGAFMAAWKRWESLPIGKLFLGLPASKDAAGTGFVPAGKLKSVLPLIKGSPKYGGVMLWAKFYDDHTGYSSAIKGHV >SECCE6Rv1G0398330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:419385959:419388116:-1 gene:SECCE6Rv1G0398330 transcript:SECCE6Rv1G0398330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPGSKAERKAAFDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTSVMKWLGYIQPSYLPLPELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCFLEILFDKVRYSRDTKLSIMLVLVGVAVCTVTDVSVNSQGLIAAIIAVWSTALQQHYVHHLQRKYSLGSFNLLGHTAPAQAASLLIFGPFVDLWLTGKRVDTFDYTMVVTFFIVLSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFFFFGKEGLNFHVALGMTIAVIGMIWYGNASSKPGGKERQVYIPISEKTQKHSILSSQSELDQKV >SECCE5Rv1G0300200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:23975598:23976673:-1 gene:SECCE5Rv1G0300200 transcript:SECCE5Rv1G0300200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGEAAMQTLVLRVTIHCHGCKKKVRKVLKSIQGVQEVKVDAQLHKVMVTGTVDAETLIKRLHKSGKQALPWQHAPAKNPDPAPPTPAPAEDAAVADKKPAEEPQADSSVMKPAQEETASEKKKPETEKEAESEKKADKVEEAKPSDGGEGAAAEPKAKGTEPAKEAGDDEGEAKKKQSKPKDAGAPAADRSPSPAPAPAHAPPRYAHQEFNAPQPVMSYNMAQPRASVSHYAPQPEQGYSSSSSMMLTQQAYSQQAQPMQQWSPSYLYMPYPHASPESYYQDYYSPPGTHAPPPPPPTPPLHDSYRLFDDENPNSCSVM >SECCE7Rv1G0482740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:311790609:311792938:1 gene:SECCE7Rv1G0482740 transcript:SECCE7Rv1G0482740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACGSRSNEIVDEFEKLVIKMNPPRVTVDNTSDMTATLVKVDSANKYGTLLEVVQVLTDLKLTINRAYISSDGEWFMDVFHVVDEEGNKLYDGQVIDRIEQSLGAGSLSFRGTDRCVGVEAEAEAAQTVIELIGRDRPGLLSEVFAVLTNLKCNIAASEVWTHDGRMAALMYVTDAETGGGIEEPERLDTVKRLLRHVLRGSSRDKKAARAAISARAAAPHAQRRLHQMMHADRGVHRADDDGDAAADDRSLPVVVVEDCAERGYTLVNVRCRDRPKLLFDTVCTLTDMQYLVFHGTVIAEGSEAYQEYYIRHLDDGAAASDEDREQLRRCLEAAIQRRNTEGLGLELCCADRVGLLSDVTRIFREHGLSVTHAEVATRGERAANVFYVVTASGMPVQAQAVEAVRAEIGDEILLVKEDAAAPKSPPGRDGGGRSLGNMIRSRSEKFLYNLGLIRSCS >SECCE3Rv1G0199650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:847125635:847126876:-1 gene:SECCE3Rv1G0199650 transcript:SECCE3Rv1G0199650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVEVIGNILAHLSAARDVMVSSAVCRKWREACRKHLHSLSFNSDDFPRDMTTRQLEIIITQTVFQTMGLQCLSIHIDNTHEFSAAPVIAWLMYTRETLRCLYYNIQTNPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLYLRHVSISALDLSLLVAACPKIESLALDVLEIVTSDSQSTMELTSHTLKSIFVKTVGVDKIILDADNLEVLHLNALNLDLFELSGKGTLKHLKIDDVSVTHLDIGESTDHLEVVDVSNFMIVWPKFYSMISRACNLRMLRFWGVAFDDDDEIVDLETVAVAFPLLRHLSLSYELRDGLLQGLSPLENVSVLELGWTVISEHFGPWVFGMIERCPNLKKLVIHGVLSEAKTREERKMLATFTSFIVCLMRKYVHVDVQFEYE >SECCE2Rv1G0080760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:132799945:132805402:-1 gene:SECCE2Rv1G0080760 transcript:SECCE2Rv1G0080760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEIGGALLFVLAAAAALVAAVSTGAVDFSYPPAVGGRLDFQETIPWFAGVYNGASSSSGAGGVWLAEVHELWVRVRGRVIAPALQVAVWACMVMSVMLAVEALYNCVVSLGVKAIGWRPEWRFKWEPLAGDDEEKGSAHYPMVLVQIPMYNELEVYKLSIGAACELKWPKDRMIVQVLDNSTDPLIKNLVELECETWATKGLNIKYAPRSGQKGFKAGALKKGMECDYAKQCEYVAIFDADFQPEPDFLLRTIPFFVHNPKVALVQARWSFVNGTVSLLTRIQKMFYDYHFKVEQEAGSATFAFFSFNGTAGVWRTAAIKEAGGWKDRTTVEDMDLAIRATLKGWKFIYAGDIRVKSELPSSYKAYCRQQFRWACGGANLFRKVAVDILTSKDVSVVKKFYMLYSFLFVRRVVAPAVACILSNIIVPLSVMIPELYLPVWGVAYIPMVLLVVTAIRNPKNIHLLPFWILFESVMTIHRTRAALVGLFELSEFNEWVVTKKTGNNFEDNKVPLLQKTRKGLRDRVNFPEILFSAFLFFCASYNLVFPCKTSYYFNLYLQGLAFAFLGLNFTGTCTCFQ >SECCE5Rv1G0373920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861667349:861669103:-1 gene:SECCE5Rv1G0373920 transcript:SECCE5Rv1G0373920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSCGLCGASDCPHHMISPVLHHHHHHQEHRLREYQFFAQGHDHHHHHHHSAGADYPPPPPPPANSHHRRSWTTPFHETRAAGNSSSLMLEVDAGGQHMAHLLQPPAPPQATVVPFCGGAFTSTISNATIMTIDTEMMVWAAHNPTRQEREAKVMRYREKRKRRCYDKQIRYESRKAYAELRPRVNGRFVKVPETAASPSPPASPYDPSKLHLGWFR >SECCE5Rv1G0369780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:837772259:837774576:-1 gene:SECCE5Rv1G0369780 transcript:SECCE5Rv1G0369780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDQENPCAICLGGMAAGGGQATFTAECSHTFHFNCISASVAHGHLVCPLCNARWRELPFLRPTAPVPPTLPTLGRPTPMLAVQPPNEPISSAPMLLGMPPFPAQAPPPPRVEIMQHHQPPPHVHTVQHHQPPPVPTVVFDDDEQVEPASRPPAENTPAAASNGAVVVNTHAEYAAVARDSSSDNFAVLVHVKAPGMADAVAAGSDKPPPRAPLDLVTVLDVSGSMSGHKLALLKQAMRFVIDNLGPDDRLSVVSFSSEARRLTRLMRMSDAGKALAVSAVESLVARGGTNIAEGLRTAAKVLDERRHRNAVSSVVLLSDGQDTYTMMRRRGAAGVQASNYEELVPPSFARTGADGEWSAPIHTFGFGNDHDAAAMHVIAEATGGTFSFIENEAVIQDAFAQCIGGLLSVVVQEARIAVSCVHPGVRVVSVKSGRYESRVDQGGRAASVRVGELYADEERRFLLFLAVPRTEATDGDTTALVKVVFSYRNAATGAEVSVTAEDTVVARPEHAPDASERSVEVERERIRVEAAEDIAAARVAAERGEHQEAVEILENRQRALEQSEAAGDGDPVIVALGAELQEMRGRVSNRQSYMRSGRAYMLAGMSAHQQQRATSRQMLEPEEQQTLMGARNGGRRMIRRGVGSSTGAVAPVVMRVSNEAPMSYATPAMRAMLLRSREARGASAEQGQQQQPMAVEEDAGSSELKIQTPKDVNQ >SECCE1Rv1G0009380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:54085116:54085973:-1 gene:SECCE1Rv1G0009380 transcript:SECCE1Rv1G0009380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERSTPEGINMEEHALRFQPLPPPRWTGDFDLASIGIPPVMRTRKPLEVRNALRERRVAAKQKDARYHAEVALRKYNRANNTKFELVEVKVISIFYEFGGGGAHYNFTAKQPEDHQNADADSTKLFFSEVDLYFRSEKDVIMCCIVGENDAGRCYGCENYQPVVHPSSEAYGGGSSTCIDYPGSDGDSDSD >SECCE5Rv1G0335050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:570332755:570336311:1 gene:SECCE5Rv1G0335050 transcript:SECCE5Rv1G0335050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAWRSGALSETASCADTPRSAHSSCNLQHRHSQSMLRTREAAVDMSPRFSYCKPTINRDSKMLHRRHSLNLPEQLTRQHSRKTTERTQKATSKSIADLAGEIAALEQEVIRKELHLLTLYRRAFDQHLSDSCSFVSEQVDQEAIKSIDEGALRLRDIKQSAAFNLPTVSDSTSEVSSRPASKHSSLVNFLNASISDYVPKISCKLSEDILGCIASVYCKLASTPSQDAESVTSPSHSVSSSSTFSPRRRNDSWSPRYTFDATTSPRQYPHQKENGEQNIGMIIVPRLHIDADKFEHASKMLETIRSLIQRLEKIDPTKMTHEEQLCFWINIHNALVMHAFLAYGIHDKRLKSTDMILKAAYNVGGESVNAQTIQNSILGCQSHRPSLWVRALFTPAKRSLAGSTARHPYALHHSEPIAHFALSTGTFSDPPVRLYTAKKIHHQLERARTEFIQANVTVKKQVLLLPKVLHYYAKDAALELRHLVELVCESTSETQRKEMAQLQHRLRRRIDKCVEWLPYKSSFRYVVHKDLAE >SECCE5Rv1G0318400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:360331269:360331628:-1 gene:SECCE5Rv1G0318400 transcript:SECCE5Rv1G0318400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRSALLLLLSTIFLLQLLLPGTKAAAAPTTTSPLDDGGGTVAAQRQASSQSGRRRLTAARKLLQQQQPSGATATNSFDVNGGRRAAASSNAKPSVEFNAGVKPLPPVGRSNANPRNN >SECCE3Rv1G0166890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:194465114:194465949:-1 gene:SECCE3Rv1G0166890 transcript:SECCE3Rv1G0166890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSILCSVLVVVTAVVIGTARGGSGSGDHLTAGFTRVRLTESQFVVQKPYNVPLDARYEFAGGVRRMWVFSTDKPGSPTHPGGARTEIKINEVYKSGVWQFEGEVYVPAGTSGASIMQIFGAKPERQATTLMLHVYDGNLTFYHHLQSVLAHDVYDRWLRLNVVHDVGARNVTVFVDGAERLRSSSHGGPHAEHYFKFGVYKQSHHHPSHRMESRWRNVRVFTKP >SECCE5Rv1G0365990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:810311315:810311861:-1 gene:SECCE5Rv1G0365990 transcript:SECCE5Rv1G0365990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRSPAPLLVIFGVLAAVLAALPPLAESGSSHHHHHGHGHHSHVQSRGHGGEEEQSAAAAAKARGSAWPCCDSCGGCTKSGTPQCRCMDAAPGGCHPACRDCVKSALAVHPPVYQCMDRVPNFCQRRCSAIAAH >SECCE2Rv1G0132180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888513477:888515867:1 gene:SECCE2Rv1G0132180 transcript:SECCE2Rv1G0132180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLHVFLGLLLSLHAAPRRGAAMDTVSAANGLAGRDRLVSNNTKFALGFFKMDSKSSHTYLGIWFNKVPKIMPVWSANGESPVVDPISPELAIARDGNLAILNRATKKVIWSTHGHAKVTTNDTKAVLMNNGNFVLQSSSNSSIVFWQSFDYPTDTLLAGAKIGWDKTTGLNRRLVSRKNLIDQAPGLYTLELGQNGLGRLLWNSTVEFWTSGVWNGRYFNLAPEMIGALTPNFTFISTENESAFMYTLRDDTAIVQCAIDVYGQGLVGAWLEPIHNWLINYRQPIFQCDVFATCGPFTICRENGDPLCSCMKGFSLGSPKDWDLGDRSHGCRRNTPLGCENEGNKPGLAVKFYPVQGMRLPHEGAKVPAATSGDDCERICLGNCSCTAYSYGKGGCSIWHGKLYNVKQQSDASSAANGDTLYIRLAAAELPESVVSKKQSGINLVGAAVGASAAALVLVILGLVIWSKKGKWFTSTPVNGVGIVSFRYADLQRATNNFTERLGGGSFGSVFKGYLSDSVTLAVKRLEGAHQGDKQFRAEVNSLGIIQHINLVKLIGFCCKGDERLLVYEFMPNQSLDAHLFQGNGTVLDWSLRYQIAIGVAKGLAYLHTGCRDCIIHCDIKPENILLDTSFVAKIADFGMAKVLGREFSQALTTARGTIGYLAPEWIGGSAVTSKVDVYSYGMVLFEIISGRRNSSQVGDGDYSAFFPLQVARKLLSGEIGSLVDPNLQGDMNLEEVVRVCKVACWCIQDNEFDRPTMAEVVQFLEGVIEVDMPRVPRLLNAITAGVGSPTSDT >SECCE5Rv1G0320570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:390126632:390129840:1 gene:SECCE5Rv1G0320570 transcript:SECCE5Rv1G0320570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYPPSSPSVVFSLRMHRARVIESTMGMDATSNNNVSAVAPSSSMWTSTSRRWRPSLASGLRAALACTIVGVVSVYAPPALKRHLTFPAFSYVVTVIIVTDATVGTALRATASALHATVMGAVPSVLALWLAHRTGAAESVLATSAVVALSTFAVALPESPGPVAKRIALGQIIIIYVAKFRRGDRTSHELVLEHPANVVLCTALGVAAALLAVLLPCPRLATREVEDKSRAYMETAAERVRVLVDAFLLAAKDTACVNDGQETAAASGRRRRWCMAACMSQANRLASASAALLRRMAAVKGDLQWERVPVVLRRWMPQQAVVDHGRVEMPIKGMEIALTSTAIAGSSPMICSSWLEHMRDQIRLSMLTTHRHHHCSSTATTTSVAMTNTTINKQSPLMLTTDTMTTSLPERHEELSPFLFLFSMHLLRRGTLQQLASSHPDQTKTTTCKETAADESTDDDDFYLSEEEEEEGAHASSGEEDDQLQEHEAPNKTGDKNSCKNKRQEKKKSVWLRWGLEWDRVMTAAKCAVSLGLAVLLGLLFNNDHGFWSGLIVATTMTAGRDSTWAVAIARAHGTAIGSVYGVLGCLLSQQPHLMELRFLALLPWIVLTTFLKRSRAYGPAGGVAAALSGIIIVGRRYDEAPMAFTVTRLVETFIGLSCTVATDLVFQRKARPTARARAQLDRCIASLRECIIGLAPMSAAKQQQQHKMLLEQVALLKKYAAEAGSEPNFLWLAPFPTSCYDKVHGSLSRMAQLIGLYQHARAVLIDTAGGNRQLGADMKRFHSALSASLEALLEEDVDLEAGKGIFCEDMAVVKSFLGHAREALSQQQREEEEEQLAAVCLGSIGFCMGEMMKEAQQLEAHMLNLSLQPSR >SECCE2Rv1G0108990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:671420560:671421039:-1 gene:SECCE2Rv1G0108990 transcript:SECCE2Rv1G0108990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVFGLEAPMMTALQHLLDIPDSEAGAAGGEKQGPTRAYVRDARAMAATPADVKELPGAYAFVVDMPGLGSGDIKVQVEDERVLVISGERRREEKEDAKYLRMERRMGKLMRKFVLPENADMEKISAVCRDGVLTVTVDKLPPPEPKKPKTIQVQVA >SECCE1Rv1G0035160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:496560322:496565361:1 gene:SECCE1Rv1G0035160 transcript:SECCE1Rv1G0035160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAASSLVPSTSQRRLPFRPTATRQPLLVATVPKKTLTLSPKPPRLLHPLAASSSSPPPPEDAEPTDPVKLAFARAAAYKKERANPTPKPPPPPPPPSPPPPPQPSAKESSGSKEAFERALEYRNGNGGGLDGGSPLLNPSPTFGQSTFTSKEGAFGKVAKKKGGYAYDETDFLGLGFFEKKRYQGPPPGLSQGIDPFSNEDFPEVEIVVGDPSKFGKSRRSTENQPVDDSESEETSRSKEGKQNEDSKVEETPLSTVIEPEVEENSESYKPRVTTWGMFPRPQNISKAYGGGRNISLGGETQSAEEKAAKDKRTRELLAAYIGGKNKTLDAKTKAECTKALKEGDELMNAGRLKQALPYYEKVMQAADFKTELHGMAALQWSICLDSLCRSKEAMGMYSKLKYHPNDRVSKKAKMFMFSFQAADFLKVDGVPVPRSTGYEGYFDQFGGQGNYYASPDEPEVGIRQIIPYMLFLVSPIFFVAFIALRKSLML >SECCE6Rv1G0449500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863102702:863105087:1 gene:SECCE6Rv1G0449500 transcript:SECCE6Rv1G0449500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEGTRMAGLWEREVGRLRPKRFADSVRASEDFVNSLGIQKRLQEHRGCVNTISFNSTGSLLLSGSDDRTVVLWDWERAKPALQIHTGHENNVLHAQFMPLSGDRSIVTCGADGEVRYAQIEEGGRVIVDLVVETEYTVHRLAVDPGSPHTFYSAGQDGSVWRFDLRGKQSKELLKCAVVYDVGENFALELYAIAVDPRNPYRVAVSGSDEFVRLYDTRKYLHGDFGCPIDYFCPPGLITKNEEGITGLAFSQTGEILASYSCDNIYLFEREHGLHFNDFKVGIMPLMGDGVGAAIPLYKDKLPEPQSFVGHSNQHTVKGVSFLGPNCDYVASGSDCGHVFIWRKKDGELMRAMKGDKRIVNCVEQHPSEIVVASSGIATDVKIWAPGDYDPSTIDDDEDDAEADASMFSSMSSDDSDISDLMDDFVLAPPVSGSSDGDEGGDDDDEDTDDDDEDTEDDDEGVTTDGDSGDEDDEGGGGGAEDMNDDD >SECCE6Rv1G0436750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778959252:778959971:1 gene:SECCE6Rv1G0436750 transcript:SECCE6Rv1G0436750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMLKPSSMLLSPAKGGPSMARGRGCVVAGAGGRGSKNLETRRRPEGSAAGSEPARGAHGHVLSLAATAAAAMSSSAAALPCHAATSPAAHEQSLYRAAMVVGELEPATAKVVIGVAGPALSALGFLFVARIVMSWYPRLPVTKFPYVVAYAPTEPILAATRRVIPPLGGVDVTPVVWFGLVSFLSEILVGPQGLLVLLSQQVSPSP >SECCE6Rv1G0393190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:276771563:276772792:1 gene:SECCE6Rv1G0393190 transcript:SECCE6Rv1G0393190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAAGDGDGWADQDQGNGGGEAKRSEIYTYEAGWHIYGMNWSVRRDKKYRLAIASLLEQLVNRVEVVQLDESTGDIAPVLSFDHPFPPTKSMFVPDPQGIRPDLLATSADLLRIWRITDDDDAAPGAADSNNGSVRCNGVGSPAGQQPGVKLCCELNGNRNSDFCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREVVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESSSGGGSNSAATDGGALLSTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHAPVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGTGNNSGGNGNGNAAAAAAAEGGLDPILAYTAGAEVEQLQWSATQPDWVAIVFANKLQILRV >SECCE2Rv1G0069470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:29216970:29218079:1 gene:SECCE2Rv1G0069470 transcript:SECCE2Rv1G0069470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQHSHLDGDRTGKRPRRAPKKHLYLVLDDWDTGFSIYKVDANTLQDTCTSTTDVQIGFPDPPVLRFPVPVRHLGMSFTAFGNSIFIATNPHCPQTPILVYNTKIAGITIGPSLPRSLLGGIDISVAAGDTLYGLTSRHAVEQHSFEAMSWAATGSDELSDPRPAMDWSWKSVPSPPPFATDDIISSYALHPDGHTIFMSAHDILYQHVPKGTFSFDTKRCEWRWHGEWALPFQGQGYYDSELDAWIGLRKDGHICACEVASRSRESAVQPCCKIAKEKLFLKVPERRVAATRATLAYMGNSNFCLVDCVQREGVEPTCIFDCCVLHMSTFGLKYDRRGELQTTRHCSNSCVVSKHILAFSPLVFWM >SECCE5Rv1G0362140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782939894:782940505:1 gene:SECCE5Rv1G0362140 transcript:SECCE5Rv1G0362140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQASAATRHLITAAWSPSAKPRPTLGMPSTRGPAPLCAAAKDTPAPAAPPAEAAPAGFVPPQLDPSTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGNRLRSKYKIAYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNFRSIGKNVSPIEVKFTGKNSFDI >SECCE7Rv1G0502710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:699819984:699822080:-1 gene:SECCE7Rv1G0502710 transcript:SECCE7Rv1G0502710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRLAPLLPNSRLLFRSLNPSLAMSPADAAAAAHLTTASDPDEDVFYSPAAAAAPEEPEEIAPPVPTPLPPPPASVEERVERAWAHWRRLGSPRLVVAPMVDNSELPFRMLCRRYGADAAYTPMLHSRIFSENEKHRDMEFTTCKEDRPLFVQFCANDPDILLQAAKLVEAHCDYVDLNFGCPQRIAKRGYYGAFLMDNLPLVKSLVQNLSENLCVPVSCKIRIFPRLEDTLAYAKMLEEAGASLVAVHGRTRDEKDGKKFRADWDAIKAVKDALRIPVLANGNIRHMEDVRSCLQHTGADGVLSAEPLLENPALFAGFRTKEWKEDGNEDGDGGLDPADLAIEYLKLCEQYPVPWRMIRSHLHKLLGSCFRVHPQVREEFNAQTKLTFEGLHDMVKRLKELGGGIPLYRDQTSLQSQIVSNGLAASNA >SECCE7Rv1G0504320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722209788:722212711:-1 gene:SECCE7Rv1G0504320 transcript:SECCE7Rv1G0504320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR4 [Source:Projected from Arabidopsis thaliana (AT5G06620) UniProtKB/Swiss-Prot;Acc:Q9FG08] MSLVRRRLLSTAAAVAAARGPPPVRVALTDSAGRGVFATRPIATGEVLHSAQPLVTHPSGSLFHEVCYRCLKRKAGKGDDSRGGCYFCSDACREHAEGFHGIEKKADWSLLDDHCSSRGLKYPYMVKRLACMVISGDVDADCLDILQPAQLHQGTLIEMEEEFELLKSTFRKAGFQEELTTFLTKQWYINVLARIRVNAFRIELVASSYEDLLSSAVASVTCDASVGNAVYMLPSFYNHDCDPNTHIVWLENADAKLKTLRDIDEGEELRICYIDTSMDVNARQKILTEGFGFQCRCQRCLSGD >SECCE3Rv1G0150770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:34251361:34252053:1 gene:SECCE3Rv1G0150770 transcript:SECCE3Rv1G0150770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRVGHVICSSCHHKLRKKDNCHVCAITGGYNRCIAFDHILESIKVSCSNSIYGCTVKTHYYQQEEHEKSCPHAPCFCPEPGCGFAGSTTQLQRHLTADHMLPATAFAYGYSFTLHMQEGMRVLHRKEEGGPLFLAKFTLVPPFGNAVSILCLDPHAVTENHRFECDVDFYSRTMGWHQHSNFQIISTNLSNGFPGEEASYTFVVPNISSDPHTTTTRLLIRPPKITCA >SECCE4Rv1G0222310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55993281:55996766:1 gene:SECCE4Rv1G0222310 transcript:SECCE4Rv1G0222310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MSDFQTSTHRERWIFQPQDLVDKWTVANRRSAEILAQYGTTRLKVDPVDGSISNPEPVPDHVIGSSSVKPLSCEEEQVMRIFYEQKIQEVCRAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYASCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRSIEGFIDDMDDFCRAGHQRLKDLHQTANSEVDTMMLTDAPLLYTPGQLALAALYKSNSALSVLDFERYLESVFSRQHFDCPVEQFIQIISSINHLVSQLQLPGTKEMRHADRKLKHCLDPSSSSHDDHKKKEKKSKHKSKRTASDAQLNS >SECCE7Rv1G0466780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:81308855:81312004:1 gene:SECCE7Rv1G0466780 transcript:SECCE7Rv1G0466780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRRVLRLPVSSSCVPRRLLSSHRRAPAHPAAATGDEEWNDAWETAWLPGDSPASSPAPAAPWESPTSETVAATVPAVSAEVDPDTKAFVADMDERWAERRAATRRPRAPPRPAAAEGGGGAGAKKAGADEYRTRKQRVHAVLWAKEIDKMEEARLGGGGGGAADDIDRLLDSCSEIFDSGSADFGDSKIPSTGEIKTKPDGWETTSRGQDGNIWDISQREEDILLQEFERRIAFSKQQIASFIKTHIFSRRRPVDGWKYMIEEIGPNARKGKGSVQRLPSVTDPATQPFREESPAIASAGSSSGPPPFRGNRHY >SECCE4Rv1G0244270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:513218777:513220754:1 gene:SECCE4Rv1G0244270 transcript:SECCE4Rv1G0244270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELKEALDADIQDRVMREREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >SECCE5Rv1G0375530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868585094:868586392:1 gene:SECCE5Rv1G0375530 transcript:SECCE5Rv1G0375530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELLAAAPPAKRPSISSTTTTTHVTAADGTTTTISSLGQDQLLEIFFRLPNLPALVRAALTCRPWLGAVRSSPSFRRIFRALHPAPLIGLFIDIDGAAAPSFVPLRRSDPDVIAAIRRGDFLLTSLPVNDDEDTSWCITDCRHGYMLLWNKVVWKNPTVAAVNPMTWAVDIIPVPRDVWSGRSGRRRNFAFLGFHLLSSEENPRSFRVVCVCSDKQRVRVAVFSPETRVWAVHPWVHVGGDNSLKSSAGTLVCGSVYWPFHGEGRMIRINTATMETSFLDLPWQVIVKGCNFKAGETKDGQLCIVYASDDLHLHAWIRSLDGDGLEVWVLQNIISLNEEIDEIIEGCVLDLPVDLSVVQVRSGYVYLSAKCMTHPGTLRCWFISLSLETLEVELLVDGCFGGPVCPYSMAWPPCLVGDDGNIGDEVEGSH >SECCE2Rv1G0067940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20938852:20940139:-1 gene:SECCE2Rv1G0067940 transcript:SECCE2Rv1G0067940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWLLIHPCPPARPWTRTRDGTGLQVTSITYRRTTCTGRSAAVAVKHEEEGADEEWLAYLEPAKLEVFDQLEPWAEANVVPLLKPSEVAWQPTDLLPDPASLGADGFHEVCCDIRARAASLPDAHLVCLVGNMVTEEALPSYQSMANRFEAVHDLSGSSATAWARWTRGWSAEENRHGDVLNRYLYLSGRVDMRQVESTIHNLIRSGMVLNAARSPYHGFIYVAFQERATFISHGNTARRAKEHGDVALARICGAIAADEKRHELAYTRIVGKLFEIDPDGAVRALAYMMRRRIVMPASLMTDGRDDDLFAHYGAVAHQAGIYTASDYRGILEHLIKQWRVEELVAAGLSDEGRRARDYVCVLPQKIRRLEEKAHERSRQKAQPTTSIPFSWIFDRPVNITVA >SECCE1Rv1G0025270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:352823812:352831068:1 gene:SECCE1Rv1G0025270 transcript:SECCE1Rv1G0025270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSLLRRGAAAVSAGGGRAPAARSEPPASLASLLLASRSYAKAKGGGGKPAASTSNRGKVRVKDPKGVASEDSSASGESAASAGGADEIDAEFEMPTDPLPPTYDPALDVGPGGRPLFAFTDTFASFSHRGANAYVDFSLDEWKAMLPEGLPAGMMKEFQDTRRCAVMVRESFLDLRDNFRRIVDPAITAKRKDTKRQIILDGPRSCGKSIALAMLVHWARTEGWLVFYVPQGKDWTHGGFFYRNTYSDLFDTPVQAGKVLQDFLKYNETRLHQLPCQIFEPIPLGEGTGVGMMKGADTVEMPEGSTLYDLIQTGITHSHAAAGAVVRLRKELSLVKDVPVLFAIDQYNSWFTFTEYQEPVTVRSCRSIHAKELTTVNAYRSMLHNDMMVGAFSHSTAVGKLRQELPDVPSDARLIFPRYTVDEAETVCHYYMRQKIIRRESFSEEKWKKIYYLSNGNGSEMRWLAAFI >SECCE4Rv1G0284950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841457574:841460700:1 gene:SECCE4Rv1G0284950 transcript:SECCE4Rv1G0284950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGSFGSALLVRHKVERKRYVLKKIRLARQTVRCRRSAHQEMELIAKVRSPYIVEYKDSWVEKGCYVCIVIGYCEGGDMLEAIKKANGSHFSEEKLCVWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKHAFKAFDMQTLINKINKSVVAPLPTIYSGAFRGLIKSMLRRSPDHRPSAADLLNHPHLQPYVLEIQSKSSPTRNMFPAILPNRHEKNKTVCSDDEDNCKPQYIKSHSFKVQRIVELDNATANHGPPQSTRTAKDCSEPLHQEMGQLPDQVTKEVVKEAMHDKHSKETRSPAPTPRRASSTPRRRLEPAKTFHARTAHKEEQPPPSRSSVDETIQATRRASLSPHMFKAPEKRRIANILTRLKSPDVSVNAPRIDRIAEFPLASSEDPLCPIMKLLPPSITDKSITKDKCTFQVLRGDSESHTNTRDQNLLSIDNNQLGSSSDWRQKRFDTTSYRQRAEALEGLLEFSAQLLQQERFQELGILLKPFGPGKASPRETAIWLSKSFKETGL >SECCE5Rv1G0298740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:13509502:13511255:1 gene:SECCE5Rv1G0298740 transcript:SECCE5Rv1G0298740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGNVGSWAAQLITEAGGKVVAIRDVTGAVKHSNGIDITKLRKHSAENRGIKGFGGGDAVDPTSLLTEECDVLIPAALGGVINKDSADAIKAKYIVEAANHPTDSEADEILAKKSMLILPDILANSDGVMVSYFEWVQNIQGFMWDEEKVNRELKTYMTRASNIVLII >SECCE3Rv1G0202730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:876845677:876847032:-1 gene:SECCE3Rv1G0202730 transcript:SECCE3Rv1G0202730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative triacylglycerol (TAG) lipase, Phospholipase A1, Specification of empty-glume identity, Regulation of spikelet development, Endogenous jasmonic acid (JA) biosynthesi [Source: Projected from Oryza sativa (Os01g0900400)] MAFAPATNATTSIAAAAPMALRPQCATLSGAGSQSMSRAARCRAAAALTAEGCASTSAIAAAPVAARRGARRTASVAGMWRQLQGCDDWEGLLGLDGPAPALRSEVARYGELVDACYKAFDLDRASRRYLNCKYGKERMLEEVGMAGAGYEVTKYIYAAPDVMTVPTMEASTSGRGRWIGYVAVSTDEMTRRLGRRDVLVSFRGTVTPAEWMANFMSSLEPARLDPCDPRPDVMVESGFLSLYTSADKTCRFGGAGSCREQLLREVSRLVDAHSSKKGEEVSVTLAGHSMGSALALLLAYDLAELGLNRAAPVTVFSFGGPRVGNAAFKARCDELGVKALRVANVHDPITKLPGILLNEGTTGLLRPWRASCYTHVGVELPLDYFSARDPAAVHDLGTYIALLKKPAAAAEAGVGVVGKVVEFVGRQRVGALQWQYAALQMGGLVQTLGLI >SECCE6Rv1G0439210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795601061:795601417:1 gene:SECCE6Rv1G0439210 transcript:SECCE6Rv1G0439210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNWWCVASLLLCLAVAVAGRGMPAGDDCDPVAAAARRSGEDGVGFDEAKTAYAGSNGDGGIFGSAVNGGPFGGGIAGFGPQGGFGAGAGPFGGFGGGFGAGGGGGGGGGGGGAIP >SECCE1Rv1G0047890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:630119368:630123630:1 gene:SECCE1Rv1G0047890 transcript:SECCE1Rv1G0047890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMRDNALEAVNNGMRKHGKLLYRRLVGQLPRLLPVTMLLAVSSLAAMAMLSQRSTDGLLHDARDITAVSLAACAVAAACAYALSRSRPVYLVDFAGYKPAPAHETSRAKTMRHHRLAGAFSTESMAFQRRILERSGLGDATHFPASVIKVPADICLRSADEESHAVVFGVIDELLAKTRVRPFDIGVVIVNCSLHSPMPSFTSLVVNRYSLRNDVVTHNLSGMGCSAGIIAIDLARRLLQVYMDTYALVVSTENTTLNWYIGNNRSMLVPNMLFRMGGAAILLSNHHSEWRRAKYQLIHTVRTHHGANDQSYACVMQEEDEAGHLGVSLSKDLMSVAAEALRTNITTLSPHVLLLSEQLRFLCAVVLKRVFRTKARPYIPNFTLSVQHFCIHAGGRSVLDELERSLRLSAWDMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIRRGDRVWQIAFGSGFKCNSAMWKALRTVEGSDDTKQSSPWAQDVDFLPVHVPKVMLIDEASYVPTT >SECCE1Rv1G0048290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:633584714:633586141:-1 gene:SECCE1Rv1G0048290 transcript:SECCE1Rv1G0048290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADPLVVAHVLQDVLDPFTSTVPLRIAYNNRLVLAGAELRPSAIVSKPRVDISGSDMRVLYTLILVDPDAPSPSHPSLREYLHWMVSDIPATTGANFGQELVVYERPEPRSGIHRMVFVLFQQLGRGTVFAPDVRHNFSCRNFARQYHLNIVAVSYFNCQREGGSGGRRFRPESSQGE >SECCE7Rv1G0464370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:60289242:60291521:1 gene:SECCE7Rv1G0464370 transcript:SECCE7Rv1G0464370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGILLKVLKNINSDVKVCGEHRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSVPVPVLVGVRAVPGRNPFIGNPKDLMQMSTPSGVLEALDQQRKTTKPTELSESEKENSQRKVVIKEQKAGVASRYMLGISSNNGKITNLNSSMDSDKSSNGGSSVCDANQKLTSSATKVKQELKPQERPSITSPNNAKLASTKQEVNKDIRKNSGRPLSQNGSAVVKKQMPRDIKRESVTEGSSPPKLYRSSPSTPARTSPPKLRSSPPTPARTSPPRSSVPAKANATSSPVSSVPNVKRRVTETVSWDTLPTCLIKSGKAVVRRKTIALIVAAEAQREATAAASLVKGLGIFTEILKCAEEDPHGAVNKFFQLNRLIIQQNIFWKDNSADSGKESRPEKEKPSRKVSASQNKAAGSGAAKNPDDAYTSGKLEWAREDGFKEIRRSWIDLKRESQSWFLNFLEDALASGFKFEGRAKNNARERVRGQSKGGDGQIAVRLSQLKETSNWLDQQLQSEAGKPDDGLVETIERLKQKAYSCLLGTVETAASALESRNGCS >SECCE4Rv1G0285230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843282567:843286086:1 gene:SECCE4Rv1G0285230 transcript:SECCE4Rv1G0285230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSRSRLLPLPALLLVLAGAAAIVGEQDEQQPITHPGCPDKCGDMSIPFPFGLMPGCFREGFQVTCDHSFDPPRAFLAGSDPDTTTNLITLTERDESAVSDDPDLAYSYSNTSSWAVELMDVSIDRSEARAYGPFTSRCSTSIAHSKLKEQAMTLEGPFAASETLNVVVGVGWKVGVTDGSSSSTFACRSELAGGHLEHARNGSCAGRGCCEAALSSERSYGTVPGVSAENNTLWRTSPCSYAMVIEKSHYTFSTTDLYGDKVLPKKFPRGVPVVLDFAIVGDAACPGKGQRPPPAYACVSNNSYCVNATVGHSSYELSYVCKCSEHYEGNPYIANGCRDIDECKSPDLYYCSSKGICMNRLNGYDCPCKAGMKGDGKLGHCAEKFPLVAKAIVGTICIIFIIVVMSFLLLLRKEKKKTKDFYEKNGGPTLEKAKIIKLFKKDELKPYLQKSNFIGNGGFGAVYKGSVGNELVAIKKTISGSLLENEQFANEVIIQSQVIHKNIVRLIGCCLEVDTPLLVYEFLSNGSLHDILHNDDKKPLNLDRRLSIAAESADGLAYMHSKTNTKILHGDVKPANILLDDRFVAKIADFGISRLIARDKQHTGKVIGDMTYMDPVYLQSGLLTEKSDVYSFGVVLLELISRKKATHSDNNSLVSSFLEAHKRERASDLFDNEIAIGEDLEILQSLAGMAVECLGLDVDKRPDMTDIAHQLLSLTKSRKL >SECCE5Rv1G0323350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:434098223:434099392:-1 gene:SECCE5Rv1G0323350 transcript:SECCE5Rv1G0323350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein WIP6 [Source:Projected from Arabidopsis thaliana (AT1G13290) UniProtKB/Swiss-Prot;Acc:Q9FX68] MHSYRAMHPHPHPYHTAPAPPPKMGSGGHGGGTEDDDQSMLLLSLWPPGHHHDASASSSACSSHSVATAAHSTASSPWFNPSHVYHGCGVGGGGGSTGSFLFHEQDPNVSVSLSIAPPSPCGANLAACGGSFAAPVAPPSAATAGGNQVPSQYWIPSAAEILVGSTQFSCAVCNKSFNRFNNMQMHMWGHGSQYRKGSESLRGAVTVGTAAPPPSLMRLPCYCCAEGCRNNIDHPRARPLKDFRTLQTHYRRKHGARPYACRRCGKRFAVRGDWRTHEKNCGKLWFCVCGSDFKHKRSLKDHVRSFGGGHAPHIIESVAVDEDEDEDDEHDDGDLHLYDENGNAGDGSDMVLL >SECCE5Rv1G0375950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:870577156:870578115:1 gene:SECCE5Rv1G0375950 transcript:SECCE5Rv1G0375950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQLALALLLFLVVASTSPLALAHGDLDYGGGVKKSEAVGGYTAGAEKKPEAVSVDAEKKPEVVSASAEAKPEVIAGYADAKKKPDVAPNNVDAEKKPVSASGYAGMEKKPKGATKVAEEKKSEVASEKKSKTKSEPSQPSTIEKKPKTKNEKKTKAKNDASGYTGAEKKPKEKVDAPKKEKPKKEELRNIIPNTYAKPKEEPKKEEPKKEVITASSRDAYTEPKKEEPKKKELVAAEPKKEESKKKEPKVPEVDTTANYAAPKKAQPETTATVTSDSYTAPKNAQPEMPATSTADTYAAPKTAQPDTAAASTTGGYA >SECCE2Rv1G0137320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:917643741:917647439:1 gene:SECCE2Rv1G0137320 transcript:SECCE2Rv1G0137320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDMAAVLADLERDALADARTPRTKLVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDALRQAMHNTGILCAVMLDTKGPEIRTGFLKDGKPIKLTKGQEITVSTDYDIKGDTNTISMSYKKLPQDVKPGHVILCADGTISLAVLSCDPEAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTDKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQLLGQHANRIKLMSKVENQEGIVNFDDILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICVEAESSLDNDAVFKEMIKAAPLPMSPLESLASSAVRTANKARATLIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTVSSEGPARHSLIYRGLIPLLAEGSAKATDSESTEEILQAALKSAVKKQLCKAGDAVVVLHRIGMASVIKICTVK >SECCE6Rv1G0436680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778834350:778835949:1 gene:SECCE6Rv1G0436680 transcript:SECCE6Rv1G0436680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFIVADASEYLAITGWGINDVKLAKKAWVFAGQQCKKFSVTPVNYEFEVNAMSSEKLPFVLPAVFTIGPQVTTLELGDNAQAAVEANLLLYAKLIAPLHLGGASASRVHDLVKGVIEGETRVLAAQLTMDEIFTGTKKFKKEVFDSVQKELNQFGLFIYNANVKQLVDGQGGRGRGEDEGRGGRQGADRPHAPERRQGGRRDQGAVGAAAGRGEALKEEAKVNAEVQVFKNAREADVAAAMTDLAMKKAGWDRQAKVAEVEAAKAVAIRDAELQMEVEIKNALCQTEKLKAEQLSKATVQYDTQVQESNALFYGRQKAAEAALYEEMKAAEARKAQADALFFEQKMAEDAKLYAKQREAEALSLVGRAKADYVASMLAALGGDYRALRDYLMIDGGMYAEMARINASAVNGLRPKISVWSNGDGGGEGGALQQVAGVYKMLPPLLETVHEQTGMLPPAWMGTLPKEGDAAN >SECCE5Rv1G0322070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:415744013:415752095:-1 gene:SECCE5Rv1G0322070 transcript:SECCE5Rv1G0322070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MIMEKITGENPNMSILQRLTTSDLPLVKEYGLPGVIGALLLAVVIPILLSSMFSKKVKKRAVQVDVGGEAGLAMRNSRFSSLIQVPWEGATTMAALFEMASKKYTQHRCLGTRKLISSEFIEAADGRKFEKLHLGEYQWNSYAEAFKRACNFASGLIKMGHQLDSHAAIFSDTRAEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNETQVSTLICDSKQLKKLPAVSSKLHSLKHVIYIEDEPVEADTLNQLKHLTTLSFNAVEELGKTSHADARLPSSTDTAVIMYTSGSTGLPKGVMITHGNMVATIAAVRTIIPNLGTSDVYLAYLPLAHVFELAAESVMLASGVAIGYGSALTMTDASNKIKKGTKGDVSVLKPTLMISVPAILDRIRDAVFKKVAEKGGITKKLFDVAYKRNLGAIEGRWSGSWAPERLIWNSIIFKPIRAMLGGHIRFILCGGAPLSSETQRFINICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLISWEEGGYRISDSPMPRGEVVIGGYSITKGYFNNEAKTNEVYKVDERGIRWFYTGDIGQFHPDGCVEIIDRKKDIVKLQHGEYVSLGKVESALQTSNYVDNIMVYADPFHSYCVALVVPPHQALEKWAQNSGISYKDIEELCHNDQAIKEVQQSISKAAKAARLEKFEIPAKIILLPEPWTPESGLVTAALKLKREQLKTKFKDDLNKLYQ >SECCE5Rv1G0335260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:571937058:571937432:1 gene:SECCE5Rv1G0335260 transcript:SECCE5Rv1G0335260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEETKSAAEIVDEVLKTEVKQSTFLRNVGLQSSSKNSGKATAAMAAHVHDLEQKLERSELQAEVMQEELAEIKMKAEEAEAAREKEFEMLRKKSQEHDEKLAHLMALFGAKAV >SECCE6Rv1G0402960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:492842943:492846054:-1 gene:SECCE6Rv1G0402960 transcript:SECCE6Rv1G0402960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAVRADAEADAGAVAAARAMAPGPAPFDFDIEFRTADLNRTIENTCSGLEPFVVAAIPTMTYSSEAFHSKDDAQCSICLGEYDEKEILRIMPTCRHNFHLACIDIWLQKQTTCPICRISLDLPGGKATASPARSLPRLFAHPESSASRSPHWILPMHRDRGGGRGNRPASQESFEVVIT >SECCE7Rv1G0521950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:875330968:875332254:-1 gene:SECCE7Rv1G0521950 transcript:SECCE7Rv1G0521950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELTPAAATAPAKPPSIPAAAAATTTTTTLATVADGTTTISSLGQDQLLEIFLRLPNLPALVRVALTCRSWLGAVRSSRPFRRLFRALHPAPPLGIFLEVDDSTAPSFAPLRRSDPDVVAALRRGDFFLTSLPLGDVAPRGWTVTDCRHGYILLWNTLRAVAAVNPMTWEVDTIPLPDDVTDGSTSEFVFLSFLLLSSQESSRSFGVVCVCADVSRVRAAVFSSGTRDWVIHPWVEIGREYGIMYGANTLVDGCVYCPSYEGRSVIRINTATMDVSSLDLPSRVIDYWQADFIVGDTKDGELCMLYASADFHLHVWIRDVDGDGIGIWVPQLTLSLSAEIERTTGESEGKLRVVQATSGCVYLYMTCVTPAGTQRSWFFYHSLGTTEIELLINGTFDDYAYPYIMAWPPCLIGDDGGTGHEVEGSH >SECCE3Rv1G0189530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:727120294:727120857:1 gene:SECCE3Rv1G0189530 transcript:SECCE3Rv1G0189530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSHGADHLATPGAPARVGAGMCMGMDTPLSLGGAATHGHVHGQYYLPLNENDSLDMLLFDVLREASAVAAAPPSSSSPPTKMATAIAASDPATIEGGRACAAGRAAERPHYRGVRRRPWGKYAAEIRDPSRAGARLWLGTFGTAEEAAVAYDRAAFRLRGAKALLNFPPVVAADGVHHGGADGAR >SECCE5Rv1G0317700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:350767736:350769391:-1 gene:SECCE5Rv1G0317700 transcript:SECCE5Rv1G0317700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRPRHFCSFEQDNGVEQCWLDSLDNLNYSLQGVEPRTWGGIASIMFQQPQPQRQQEDVMSSATSSPASTLYSPTPYAFAGTSVQELSSDQCSVRLISLLYQCASEVAAGEFDRANLCLEHIMQLASLDAPHTLQRLAAVFADALACKLLKLLPGLSRALLSSSSSDDAHVVPAARRHMFDMLPFLKLAYLTTNHAILEAMEGERFVHVVDLSGPAANPAQWIALFHAFRGRRDGTPNLRITAVHESKEFLAGMSAVLVREAEAFDIPFQFNAVEARLEDMDFDALRHNLRVRSGEALAVSVALQLHRLLAADDTGGRRQGGLTPLQIIARSSPSSFGELLERELNTRLQLSPDASAFSSLSPQSPIGGHFPAGGQQRPKIGSFLSAVKALSPKIMVVTEQEANHNGAAFHERFDEALNYYASLFDCLERAAAAHRGSAAADRARVERSVLGEEIRSIVACEGGERKERHERARQWAGRMEAAGMERVGLSYSGAMEARKLMQRSGWGGYEVRHDAEGHCFFLCWHKKPLYAVSAWRPAGYHHSGGARSR >SECCEUnv1G0544920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:133180460:133183766:1 gene:SECCEUnv1G0544920 transcript:SECCEUnv1G0544920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMESVDSPSPRCYYPQHQQNAGEPKDYNSTSKRQPHSTEIPCSLVQEVQHLEKRLNDQFAMRRALEKALGHKPRATHLSKDCYIPKPAEKLIKEIAVLELEVICLEQHLLTLYRQAFDQQLCSTVSACDMERRNRQSARSFSDTLSETSAHDFSTPKKHQLVQSSRMVQARRSTTAAALNSGPGISQHNDSKTAIGRSHSSLLPRSICSARVSPSANNLARALKPCHTSPLTFVEEGKCMDSSIVSLADILGTRIADHVPQTPNKISEDMIKCIAGIYIRLRDVSAVQRPFFPSPCSSFSSASGISSKFTSDIWSPRCRKESFIEAWQDGSFSSGDLGQQCDSVIEVSALCKGAQRSADVKDMLCKYKALVQLLETVDLGGMKNEEKLAFWINVHNAMMMHAHIEYGIPQSNSKRMLLTKVSYIISGQRVNAELIEYQILCCRVHSSGQWFRLLLYPKWKPRDKDELQGFAVDRPEPLVHFALSSGSHSDPVVRLYSPKRVLEQLEAAKEEFIRGSVGVRGSGRSRSRVILPKVLESYARDAGLAAQELLRVVEPCLPEGLRAAVRQQGRPRGGVEWRPHNMAFRYALARELAGSPAGVQVRQAVTHSAA >SECCE7Rv1G0486600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:414002126:414052293:1 gene:SECCE7Rv1G0486600 transcript:SECCE7Rv1G0486600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC8 [Source:Projected from Arabidopsis thaliana (AT3G10380) UniProtKB/TrEMBL;Acc:A0A178VA38] MNRHRRGIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDRDGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMAEAKKLLGRKNQHLGQLWYRSLTLRHVISLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLTKLRGALFYKILEELHCHLYNNGEYSLVTLSMLDSEDIPTSGATGRLVNSMQPLSRRTMSIKGENHFSGPATADGITKTSSVGCSSFDGPDDDSSLGGGCGRKDSKSFSCEIPIFLSCATPDEFLESMRKADAPLNVKYLRTLVQCLSMLRKVAAAGAVICQRVRPTIHDVITSKIKSYAREASKSNIDKVAKRTASDVSHSHGAVSRYQLPKQKKKNEASTLATQLVASPISPVMAPTGGAQHAASQLLGSIFECLVGILENHITVGELLEQKSSTDVDSVNTPHVANGDTSWNPDSEYSQPTGGFSVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKEPVKEKRDGSEGLSFAFRVTDAAISAQNEGQGWRRNPAVPQEGYGTASIIPDQGIFLAASVYRPVFEFMNKIGLMLPRKYSQLGNDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVNATSVYSSLVENGRPVLQGLLAVDIIAKEVLGWVQLMPSYAAELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRSDVESLMRLDPANASLQNSFGQPDNDTPDAGIEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFISPSTISENRSDIHQSHHTRTTSAIPKSLASLANEYRRLATDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIAESKRNYVFGGISSVAANASIKALALMKSINLLGVQQICRNSIALEQALAAIPSIDSDAVQQRLDRVRTFYELLNLPFESLLGFIAEQEYFFSAKEYLSILKVNVPGRDIPMDAERRISQILSH >SECCE6Rv1G0404210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:513227407:513229188:1 gene:SECCE6Rv1G0404210 transcript:SECCE6Rv1G0404210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRANHPSVMQKFGGQFHLSSSFSEGVRARNICPSVPSYERRFTTSSYMTQNLGINVPIMSSSPLFANAPPEKKGVKNFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKDKDGYWKWFGGNLASGGAAGASSLFFVYSLDYARTRLANDAKASKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGLYDSLKPVLLTGTLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFQQILAKEGAKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >SECCE4Rv1G0271840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:764145164:764151608:1 gene:SECCE4Rv1G0271840 transcript:SECCE4Rv1G0271840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPADAMKNKKAAVMSVFMHADAVDMALMVLGLVGAIGDGVSTPLKLLITGSMINDIGSGVDHLQELSSKMDENARILVLFALGCWVMAFLEGYCWSRTAERQASRMRARYLAAVLRQDVEYFDLKVGSTADVITSVSNDSLVVQDALSEKVPNLVMNAATFLGCYAVGFALVWQLTLVSLPSILLLVVPGFMYGRILMGIARRIREQYTCPGAIAEQAISSVRTVCSFAAERATMAQFSVALEESARLGIKQGLAKGIAIGSNGVTFAIWAFSVWYGSRLVMYNGYQGGTVCAVSASIVVGGLALGSGLSNLKSLAEASAAGERVLEVIRRVPKIDSASNTGEELLSVIGEVEFKNVEFCYPSRPESPILTSFSLRVPAGRTAALVGSSGSGKSTVVALLERFYDPSAGKVALDGVDIRRLRLKWLRAQMGLVSQEPVLFATSIMENILFGKEDATLEEVTAAAKAANAHNFISQLPQGYHTQVGERGIQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDLASKGRTTIVVAHRLSTIRNADMIVVMHYGEVKELGSHDELTADENGFYSSFVRLQQTRESNEADEVSGAGSASIAGHSRSHIMSRSFSRASRSSSDRSLGDADNLTEEPKLPRPSFRRLLMLNGPEWRQALIGSLSAVVFGGIQPAYAYAMGNMMSVYFLTDHHEIREKTRKYALVFIALGVLSFSLNIGQHYSFCAMGEYLTKRVRERMLTKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVTQTVSACPPLTMGLAIAWRLAIVMIAVQPLIIVCFYARCVILKSMSKKSIQAQSKSSKLAAGAVSNIRTITAFSSQDRILGIFNQAQIGPRKESIRQSWIAGLGLGTSMSLMICTWALGFWFGGRLMAEHRIASNELFQTYMILISTGRMIADAGSMTTDLAKGADAVVSVFAVLDRVTEIDPDNPEGFKAEKLKGEVDIREVDFAYPSRPYVTIFKGFSLSIQPGKSTALVGQSGSGKSTIIALIERFYDPIRGIVEIDGRDIKTYNLRALRQHIGLVSQEPTLFAGTIRENIAYGTETASEEEIENAARSANAHGFISNLTDGYGTWCGDRGVQLSGGQKQRVAVARALLKNPTILLLDEATSALDSQSEKVVQEALERVMVGRTSLVVAHRLSTIQNCDMINVLDKGIVVEKGTHSSLMSKGPSGAYYSLVSLQQGGNQH >SECCE6Rv1G0384100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:60408880:60410118:-1 gene:SECCE6Rv1G0384100 transcript:SECCE6Rv1G0384100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQQSPSPPVAKSSPPPAPTTLISLDEDLLREIFIRLPSLPSLVRAAFSCRTFLDAVRSSPAFRRSFREAHLPPLLGFFFDPEGPCIPAFAPLRRRSDPDLAAVVRGADFFLTRLPADDDTFPGWAIEGCSDGNVLLQNCSLEQLAVYNPLKRALDLIPQPPDKMFDDARGHAKYLGCYILSSEEGSEPLRLVYTCHDTSRARAAVFSSESREWQIFPWSEAVTPLPEDEHWLKVGTLVNGFVYWIHTNEAYILVLNTATLHFSQMDLPPTLVARDLIFRVGETKDGKPCIVCPIDFELFVWVRGAEDDGIERWIFDKRFPLETIVEVTESTLVEHGDLKVVATSDGFVYFSTMETFLDGHNPCWFMSLCMETGELATLFQRRFDGHPHPYIMAWPPCLIDNQAHPQLEGA >SECCE6Rv1G0426510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712892968:712896028:1 gene:SECCE6Rv1G0426510 transcript:SECCE6Rv1G0426510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVMATPFTHSKDGIEMQFATNHVGHFLLTQLLLETMKRTSCESNVEGRIVNVSSDGRRFAYKEGIRFAKLNDEEEYSTIAAYGQSKLANILHANELARRFKEEGVNMTANSLHPGAIFTNLLRHHSIIDVLHRTLGKLVLKNAKQGAATQCYVALHPDAKGMSVKYWCDSNLYEPSEKAKDTELGKKLWDYTLDLVA >SECCE5Rv1G0356710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741812064:741812351:-1 gene:SECCE5Rv1G0356710 transcript:SECCE5Rv1G0356710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPSMPMVPAVTVPQVALPPMPTVPSVPKMTMPPMPTIVMPKVTMAPMPAVVVPKVTVPPMPAIPSMPKVTMPPMPSIPTVNVPMPFLAPPPSA >SECCE5Rv1G0304380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:58286263:58296516:1 gene:SECCE5Rv1G0304380 transcript:SECCE5Rv1G0304380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR3 [Source:Projected from Arabidopsis thaliana (AT1G04190) UniProtKB/TrEMBL;Acc:A0A178WLS7] MADGGGGRAAELKDQGNEQFKSGNYLKAAALYTQAIKLDSDNPTLYSNRAAAFLQLVKLNKALADAETTIKLKPEWEKGYFRKGCVLEAMEQYEEAISAFQIALQHNPQNTEVARKIKRLTQLAREQKRAVDVENLRSNIDIGKNLQSLKKELVTKYGDAEKGQNIFSFVISVIESEIKAWHDTGKVDAKVNFLLDDQKTDTEKYAPVVNIDKAFESPHTHGNCFAYLRQYSEESFSKAACMVAPKSIISYPQVWKGTGSRKWKLDQSDGFFVQFESPILRKIWFVASTTEKGRSLCRSPEPLDITIHEILPRIFKENEATA >SECCE2Rv1G0140300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:930903244:930908823:-1 gene:SECCE2Rv1G0140300 transcript:SECCE2Rv1G0140300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVVDIDKALIALKKGTQLLKYGRKGKPKFTPFRLSTDESTLIWVSSNQEKSLKLASVSRVLSGQRTLVFQRFLLPEKDHLSFSLIYKDGKRSLDLICKDKVETQVWFTCLSALVSPGKHRSQPQHTDEMRSAALSFDCGRESSLSSSSTFTTDSLENKLSSANSKDRSSGEYAYSERTDVSDMQVKSVSSSDIRVSVSSALSTSSHGSGGEDSESFGDVYVWGEVMCDTTSISGSDGNALSPGATTDILVPKPLESNVMLDVSYVACGVKHAALITRQAEVFTWGEECSGRLGHGTGTSIFQPRLLESLSTCNVEIMACGEFHTCAVTATGDLYTWGDGTHNAGLLGHGSTVSHWIPKRVSGPLEGLQVSTVSCGTWHTALITTSGLLYTFGDGTFGALGHGNRETISYPKEVESLKGLRTISVSCGVWHSAAVVEVIMTQTNASSGKLFTWGDGDKYRLGHGDRASKLKPTCVSSLIDYNFHKSACGHTLTIGLTTSGHMFTVGSSVYGQLGNPNNDGRYARLVEDKVGGGGVVEVACGSYHVAVLTNAGEVYTWGKGANGRLGHGDIADRKVPTLVEALRDRSVKRIACGSSFTAAICQHKWVSGMEQSQCSACRQPFGFTRKRHNCYHCGLVHCHSCSSKKALRAALSPNPGKPYRVCDSCHMKLSKVMDSGVSSYSRSTIPRIPGDTKAERMDTKANRVASTASSDMIKSLDVKAAKQTKRSDHSPQFPAILQMNDVPFIGSGDLHNAGFTFTNGYPNDPRYTSQFLRMPYLSSPSSFSSESLESFRDANELLKQEVQKLKEEVNSLRQQRELQDAEVKKSEAKASEAAALAAEEASKSKAAKEVIKSLTAQVKEMAERLPPGDSDVKPPRLAYLPGGVPSPEMGREGQKRYEPVSIHYSQTPTSVTSAWSNGLPPQAHQTGKPGDNTVAPHENIFENFNKSRDFPATNNQRTNGGMAGYRPRSEDFDRREGERFQINLQGWNTRGSGSPSNQVESEWIEQFEPGVYLTLVSLHDGTKELKRVRFSRRRFAEHQAESWWSDNHEKVYDKYNVRRTDRISSALTS >SECCE7Rv1G0521730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874610545:874611627:1 gene:SECCE7Rv1G0521730 transcript:SECCE7Rv1G0521730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQPLRALNLKAFDETRAGVKGLVDAGVTAVPSIFHHPPESLAHGAPRPHRFTVPVINLSSALQGATRAAAVAQVRAAAETFGFFQVVGHGVPEAATSAMLAAVRGFIEEPVEAKAPYYTRDTGRRVRYQSNLDLFQSTAANWRDTLFVEMPPEPEELPAACRRVAPEYVRLVQQRLGRTLLGLLSKALGLRPGHLEEEHGCLEGVSLACHYYPACPEPQLTLGTPRHTDASFLTVLLQDAVGGLQVLADLEGAPAWVDVPPVAGALVVNVGDFLQLMSNDRFKSVEHRVVSNAVGPRVSVACFFRTDRAAAPTRVLAPIVAVGEEARYRSTTVEEVVRQYYKVKGLAGASALQHFRL >SECCE5Rv1G0335110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:570583230:570587571:-1 gene:SECCE5Rv1G0335110 transcript:SECCE5Rv1G0335110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVSPAATEADIKKAYYVKARQVHPDKNRNDPLAAEKFQELGEAYQVLSDPAQRQSYDSYGKSGISTEAMIDPAAIFAMLFGSEIFEDYIGQLAMASMASLDIFGEGEQIDARKLQEKMRAVQKEREEKLAEKLKNRLHIYVQGNKKEFIQVAEAEVARLSDAAYGIDMLSTIGYMYSRQAAKELGKKAILLGVPFIAEWFRNKGHFIKSQVTAATGAIALMQLQEDLKKHLSSECNYTEDQLEAYMQSHKKVMVDSLWKLNVADIEATLSRVCQMVLQESNVKREELRARAKGLKTLGKIFQRVKLNSEGEAATMNHTINNLDDNVGTSPDSSPQSPRVQPFDANPPYSQSPYVEAPRFGGSYSPFNFPMPTAPPGAQRDPVP >SECCEUnv1G0556910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:316737186:316737566:1 gene:SECCEUnv1G0556910 transcript:SECCEUnv1G0556910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTFHHLLFFLAVTLAASSIISAAAAAGDQDDVQLRKVAMSQAVKVLSRYSPETTDQETLKRALAVLNREAQRYWKPIFENVNRVMDSGADRRSKEAAFAVAKELLNRELGQGPNAVKIDFEYA >SECCE1Rv1G0058390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:699252824:699254433:1 gene:SECCE1Rv1G0058390 transcript:SECCE1Rv1G0058390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMRPPKRRSRSFGSGDQQAPAGAGSGGEEHGCLDDSDLISRLPDEVLGTVVSLLPIKDGARTQALSRRWRPLWLSSNAPLNLGQCFVLWGGTQGQGCTHKHIAFISKILADHPGPARCFKVNVWNQGAMDGWLESGTLAGLQELDVNNVSMATPYPMPPRALLRFAPTLSVLRLSRCRFVSVPPSFPLLKLLILRHFGISEDSFQSLVSGCSVLESVSLHRIEPRRLRINSPTIRSISFYGTLQGFGITEQELVIEDAPSLERLIPHLEHCPVAIHVIRAPKLEILGSLSTAISTLRIGTTVFQKMVAVSLTSKMHTMKILVLDSIGPNLDSVVNFLKCFPCLQKLYVSLHPEDNIDNVREYDPLDPIECLELHLKKVVLRNYDGNKRSAIDFAKFFVLNAKVLEEMKIGVVNCRNNKWMRGQHIQLQVENRASRDAQIELKRDVQQNFKCHRRDDDFSMDDPFDRPY >SECCE7Rv1G0506400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:748312121:748317270:1 gene:SECCE7Rv1G0506400 transcript:SECCE7Rv1G0506400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDVRKVQNLIERCLQLYMNQKEVVDTLSLEAKIEPSFTELVWQKLEEENREFFKAYYLRLMLKNQITAFNKLLEDQFQLMNKDYSSGIPSMPLTNGSNSTALKQNSCFLPESGPISAMPNGVMRNGNSGGLVNGTSSGDQSIYGGKDIHGPHSGIDASTSVLPAQNASALLYGADNNGTTIKTESSYSSNADFAFCGNAFLESCQSIGDASGGSFSSSELNGQPLNDALLDMESSSFGFLSQIPRNFSFSDLTEGFNQNTEVLENYSRSPFLSSEPNNFSDSTGGEHTG >SECCEUnv1G0557010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:318326779:318327018:1 gene:SECCEUnv1G0557010 transcript:SECCEUnv1G0557010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAELAGVGRGSKSSWPEAVGLPVATAALLINGDRPDVAVALIVEREPMRPPPGRPDPRHVILVSDAAGIIVKTPVVG >SECCE1Rv1G0056830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689439309:689441561:1 gene:SECCE1Rv1G0056830 transcript:SECCE1Rv1G0056830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASEDEEISQRLRPEKKPCADGESQQHSPASMVPVSKVLDDDNLLNEILLRVSLPTTLVCAALVCKRWLCHASDPKFLSLFRKRHPPRLLGFYIYGESAWIGLGAPLLFVPMQPQPPELAPFISRVASHSFGVDNDRLCIMDCRNGSVLTRCRRGTILTHGVHRPLCAQRRMVDIPPLPRAQYHGQQIFNAILSKEEGGVLSYLYMLAEFFRKTKSFLVRVYMLQGGVWRMHASATTQLPRMLTSLEVVLIDDKIYMADTFSDEIIVLDMTTSGFSTILLPPGVIFHSVRTIMSRAGDASGVYLTHIHVKELQLCIWLHKGDNWLLVDTICLHQMWDNLRMLDQTLEDEHIRFTCISNVGDNAEFVFLEMCGCIFYLDVTSKTLRKVHGVAVNDRQFNDVYPFMMIWPPIFPAPKDDPARNAM >SECCE6Rv1G0377130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:149676:156092:-1 gene:SECCE6Rv1G0377130 transcript:SECCE6Rv1G0377130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVGSHGAATLRKYKYSGVDHSVVAKYILQPFWSRFVIIFPLWFPPNMITLTGFMFLLTSAFLGFLYSPHLDTAPPRWVHLAHGILLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESLAFGSTAMCGNATFWFWVISAVPFYFATWEHYFTNTLVLPIVNGPTEGLMLIYVCHIFTFFTGAEWWAQDFRKSVPLLNWLPLVPEISLYGIVLFLMIAFAVIPTIGSNIHNVYKVVEARKGSMVLALAMLFPFGLLMAGTLVWSYLSPSDIMRNQPHLLIIGTGFAFGYLVGRMILAHLCDEPKGLKTGMCMALAYFPFAIANALTARLDDGNPLFDEQLVLLIYCLFTVALYMHFATSVIHEITNALGIHCFRITRKKA >SECCE3Rv1G0157640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:83023179:83025641:-1 gene:SECCE3Rv1G0157640 transcript:SECCE3Rv1G0157640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At2g01510 [Source:Projected from Arabidopsis thaliana (AT3G02010) UniProtKB/Swiss-Prot;Acc:Q9S7F4] MRLPFRPTVAVANADAVLPRAGSGFGFGAATPPDARMVKTGFDPAAYRLNLHLQSLISSGRLAQARALFDQMPHTKNAFSLNRMLSGYSRSGQLAAAHQLFLSSPPHLREAVTWTIMMAAFAAAPGRAADALALFRDMLREGVAPDRVTVSTVLNVPASGAVTASLHPFAVKLGLLRSSVVVCNTLLDAYCKHGLLAAGMRVFQEMPHRDSVTYNAMMMGCSKEGLHKEALGLFTDMRRAGLDASQFTFSSMLTVATGMGDLHLGCQVHGLVARATSAHNVFVNNSLLDFYSKCDCLAEMEKLFDEMPERDNVSYNVMISGYAWNRCASTVLRLFREMQVLSFDRQALPYASLLSVAGSLPHIGIGKQIHAQLVLLGLSSEDLVGNALIDMYSKCGMLDVAETNFLNKNDKTGVSWTAMITGYVQNGQLEEALRLFCDMRRAGLSPDRATFSSIIKASSSLAMIGLGRQLHSYTIRSGHISSVFSGSALLDMYAKCGCLDEALQTFDEMPERNSISWNAVISAYAHYGQAKNAIKIFEGMLHYGFKPDSVTFLSVLSACSHNGLAEECMKYFELMEHEYGISPWKGHYACVIDTLGRVGRFDKVQKMLSEMPFEDDPIIWSSILHSCRIHGNQDLARVAAEKLFSMGSTDATPYVILSNIYAKAGKWEDAARVKKTMRNRGLRKESGYSWVEVKQKIYSFSSNDQTNPMISEMKEELERLYKEMDKQGYKPDTSCALHQVDDDLKLESLKYHSERLAIAFALINTRPGTPIRVMKNLSACLDCHAAIKMMSKIVNRDIIVRDSSRFHHFKDGVCSCGDYW >SECCE6Rv1G0389320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:149326706:149343839:1 gene:SECCE6Rv1G0389320 transcript:SECCE6Rv1G0389320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MLLLYCRPFPSPCPPLRRLLRCRCTYSSASSSIAPYHQSFARRMALAGIHPHHRIAVGVSGGPDSMALCVLTAAWKKAMEGGSGRRSEGFVEGLLGVVVDHGLRLESADEAQLVRDRVHGMGVTCEIARCEWPNGRPKLGHLQEAAREMRYQKLLDICIKQQIGVLLIAHHSDDQAELFVLRLSRNSRVLGLAGTAFVSQLFAPNLKYDGHNFCRYGILLVRPMLDFSKDDMYKICQGSNHLWVEDPTNNSTQYARNRIRASLRCLSTEGTFQSELQKLIYACRLTRACVDNACSMTVKQSITITEYGYAVIDLEKLDAQNVDDLSLSQYLACVLQFVSQRHRPIRGRSARLFMDYIRNTPCKGALTVAGCYLCAFPKSKGTKVIVCSSVDSMESFSVEMSYKCSYEEQPSPVLEIDQIAHEARLHSDQFLQCSSIPFLNHSSSTDVLNRAKDHNMIGDFVLEKLLYLQTEELQKFCATKLKNEEQYLEKTNFPDVKVLFLWPGETCHFMSKFLITWNALEVVSNGICSQDSKNLLCQYCAVNQDGSLAVRHMFDTDWLFLAEVSKICSVEENQNVSKVSINKLKDDGLLKHSRYLQLSAMKALEVLKSIPASARRTLPVLTNSQGDVLSIPSIGFQCCPSLSIKALFYPRVPLGGGYTSYL >SECCE5Rv1G0343460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638236232:638238229:1 gene:SECCE5Rv1G0343460 transcript:SECCE5Rv1G0343460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAQEKKLVLRSSDGEEFVVEETVAMESRTIKHMVEDDCANDIIPIPNVKAEILAMVIKYCKQHVQKHGAEATDSTAKASEQDLETFDKEFIDVNQRILFDLILAANYLDITGLVDLGCQKVADIIKGMTPKEIRKTLNIKNDFTKEEEDELRRKNPWAFE >SECCE6Rv1G0383210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:51096910:51097743:1 gene:SECCE6Rv1G0383210 transcript:SECCE6Rv1G0383210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDDNIGGFENLQVLSMNYCSLSGKLPGWLSKLHKLGMLFLQSNQLTGPIPDWIRSLNLLFYLDISNNSFSGAIPTALMEMPMLKSDKTAPKIFSELPLYFFSPFVEYLKPGAFPKVLNLGTNNFTGVIPKEISQLQGLLLLNLSSNKLFGEIPQQIGALTNLQLLDLSSNNFTRTIPATLNNLHFLSKFNISNNDLEGSIPTAGQLSTFPDSSFDGNPKLCGPMIVNHCGSAEAGPESIISREQIGSKVIFAIAFGAFFGVGVLYDQMVLARYFD >SECCE6Rv1G0429620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737039222:737039671:-1 gene:SECCE6Rv1G0429620 transcript:SECCE6Rv1G0429620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHTALLLVAILFILLTATRATLTKAPVPAPAAKPTAYEMLGRYGFPPGILPQGVHDYELRPDGSFEVHFTDECKLRIDGYDIHYSTRVAGNIKNDTISGLEGIKVKVFIAWISIEDVGRNGNELRLHTKVISKSFSVDVFSSSPKCN >SECCE3Rv1G0186700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:689071983:689072575:1 gene:SECCE3Rv1G0186700 transcript:SECCE3Rv1G0186700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVLKVPTVCKKCKSCVLTIVSKVNGVKSMAYDEEKSTLTVVGDVDVVVVVDALRKGKHPATVMTVGDEKKEAEEKKKKEEEEKKKKEEEEKKKKEKECQEMMQKLCPKACPPPPYCPPPPHCPPQSYCYVDDHPGPCKIM >SECCE2Rv1G0070330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:34561874:34562839:-1 gene:SECCE2Rv1G0070330 transcript:SECCE2Rv1G0070330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLADECGLEELEVPTVDLEGEEPSLTEQLVAACRDPGVFRLVNHGVPGDLTARLFGLARGLFELDAANKSRLPGYFCGTPALAALPVKERNWLEGLHVDAGAGNCLSSADGAGSEADGTALAAFMEAVSGEYVAHMARIARKLFDALASGELGLDSEQRASYLTERGSIFRAYRYPDASGAGRRQVGMEAHTDSSVVSILNQDMVGGLQVFHGGRWCFVRPVEGALVVNVGDMLQAMSGDAYRSPEHRVVSPAVVDRMSLCYFAFPREDAVIVGPPSACREERYRAFSYGEFREQVQADVKATGSKVGLARFRVAVTQS >SECCE7Rv1G0525980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893566142:893572199:-1 gene:SECCE7Rv1G0525980 transcript:SECCE7Rv1G0525980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKFFRGSTHNISEGPERSRPAEETRWNEPSSSTVVIDPISEFDNEDIDRAIALSLLEEEHRKPKETGKDLHLDEDEQLARAIQESLNVESPPRAHENTSPPRARENSAHPRARENSAHPRARENGSANGGNSYQQLPYMFSSGFRTCAGCHNEIGHGRFLSCMGAVWHPECFCCHACNQPIYDYEFSMSGNHPYHKTCYKERFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTNECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKTGHHHLPETRGLCLSEEQTVSTILRRPRMTGNKIMEMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDIEEGICQVLAHLWIESEIMAGSGSNAASTSSSSSSSTSSKKGGRSQFERKLGDFFKHQIESDTSVAYGDGFRAGNRVVQQYGLKRTLEHIRLTGTLPF >SECCE4Rv1G0219540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:32099072:32101833:-1 gene:SECCE4Rv1G0219540 transcript:SECCE4Rv1G0219540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLAELLNLDLTGCTGKIIAEYIWVGGTGMDVRSKARTLPGPVDDPSKLPKWNFDGSSTGQATGDDSEVILRPQAIFRDPFRKGNNILVICDCYAPNGEPIPSNKRYNAARIFGHPDVKAEEPWYGIEQEYTLLQKDTNWPIGWPLGGYPGPQGPYYCAAGAEKSYGRDIVDAHYKACLYAGINIGGINAEVMPGQWEFQVGPSVGISAGDELWAARYILERITEIAGVVVSFDPKPIPGEWNGAGAHTNYSTKSMRGEGGYEVIKKAIKKLEARHMDHIAAYGEGNERRLTGRHETADINTFVWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILWKAGLSNGK >SECCE3Rv1G0159210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:94423112:94424073:1 gene:SECCE3Rv1G0159210 transcript:SECCE3Rv1G0159210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKPASEAGVGGDVEAHKERKGLWSPEEDERLYTRITRHGVSTWSSVAQLAGLRRSGKSCRLRWMNYLRPDLKKEPISKREEETIISLQKSLGNRWSVIAAKMPGRTDNEIKNYWNSRIRKRQNTSAGGKGDSGLAKQGDLPAADDKASVLAATGEKEKEPAGSTATAAAAPPVPGLPTRFPLFACQLLGGSGGDAAIAAAGTTGTESTTTHENNGAGSESDVSVGNGGGGGQDGREGHYYYCAVDGDIDMIHLMSFDDLLEYPAGDLVMDAWDQNGLYSTNTGSSVD >SECCE3Rv1G0198860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:836821733:836823202:1 gene:SECCE3Rv1G0198860 transcript:SECCE3Rv1G0198860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLSAMTMMDTVPTIMPTQAICLDAPVSGSGITLLSRQAVRPDGPPSAIGDLTLSVSDLPMLSCHYIQKGLFFPAPDVPMASLVSLLVSSLSRALAVFPALAGRFVTLPDDRIVIRCNDAGVEFHHAVAPTLSLNDFLVPNADVPTRLTKDLFPMDRTVSYEGHRRPLTSFQLTVLADGAVFIGIVANHAVVDGTSFWHFYNTWAALCRGASPKLPDFRRNFFGESTAVLRFAGGVGPAVTFDADAPLRERIFHFSRDAIRELKAIANRRSSAGQDAEVYGKMAHDPKNQKAHSEISSFQSLCAQIWISTTRARKQLDSDATTTLRLAVNCRHRLRPAISPAYFGNAIQSAPTTATVAELASNDLRWAASRLNASLAAYGDEAIRGAAAAWQAAPRCFPLGNPDGAVVTMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPGRSGDGSVDIEVCLAPDTMAALLRDDEFMQYVSCPAHLL >SECCE1Rv1G0000710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2273919:2276834:-1 gene:SECCE1Rv1G0000710 transcript:SECCE1Rv1G0000710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLAIALLLLHLINHKINMATAWDDQDFFKYCPPSHCSQHGPEIRYPFCLESNNTSSCGCSGQSISKIACSGQDTILVHPVLGPYSVSAIDYKHSSMKITPLVDPCLVLQQKLAISRSSSSPQVDVINDEKTSLYRYLSWSSTISLVCCSREITPGAADGIAGPVSCLSNTSHFFYLVAAYEDMSILPLDCKVVPLSDGVGGGLIPMYYFDDPMSDTLSLSFKECTERILGFAETTVYWYNYYCKECERSGGRCAFSSQRDRQLCMPGPHGSRIKVIAATSSVAAFVVLLVTVATVLYLSLKTRYNAEIHLKVEMFLKTYGTSKPTRYTFSEVKKMARRFKEKVGQGGFGSVYKGELPNGVPVAVKMLENSTGEGEAFINEVATIGLIHHANIVRLLGFCSEGMRRALIYEFMPNESLEKYIFSDDSNIFQNLLVPDKLLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNADPRIGSQDDVYLPEWIYEKVINGEELALTLETTEEEKDKVRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLQNLQMPPKPFVSSENELMP >SECCE2Rv1G0106440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:636504761:636514828:1 gene:SECCE2Rv1G0106440 transcript:SECCE2Rv1G0106440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVSAPVSTATVRVSNIPPSAVAKELLAFFNSAVAAAGEAYACEIAAARRGWLSRGDGSVQFDSTATATLAAELASSGRLPRFLGSLLSVSPAPADLLPRAPDHSLRVADARLLVGNRVAEREFEAADTWDSVRVEVIPGKRRIDLYLNHDSQRYRLEVFFDDIRNCYQCSFDGAGAILLQLMYAPRICTTISGPAVYSRFSDDRFHACKEDAKFTWVRAVDFTPNHSFGKCSTLALVLDEGAPVSSILNSLPLSGELGQLVISSMEFFGPSSKVVPLVDCPSGCSVSYEVLFRLNSLVHMGKIVAKDVNADLFKALEELPVHISRRIFEKMSKLDFTCYEPLQFIQQDAHSRKRSHDALLSSKTGGEGKLMMCYRIHITPSKIYCLGPEEEVSNYVVKHHKQYASDFARVTFVDEDWSKLFPDAISARTGRGLFSQPLKTGLYHRILSILKEGFSIGPKKYEFLAFSASQLRGSSVWMFASNDSLKAEDIRRWMGNFEDIRSVSKCAARMGQLFSSSRQTLEILPRDVEEIPDIEVTTDGSKYIFSDGIGKISERLAKEMACRIGLDYTNPPSAFQIRYGGYKGVVAVDPDSFRNLSLRPSMKKFESKSRMFNITSTSKSQPCYMNREVISLLSTLGIRDEIFESMQLNDMRELDEMLTNREAALSVLGKIGSAETKTASKILLQGYEPSLEPYLLMILKAHQDNRLTDIRTRCKIHVPKGRVLIGCLDETGELEYGQVYIRITKNSKEQKDNCQPYFAEDNGKDKTAVVVGKVAVSKNPCLHPGDIRVLEAVYDHGLYAKNLVDCVVFPQRGERPHPNECSGGDLDGDLYFITWDEKLIPEKVDSPMDYTAARPRIMDHVVTLEEIQKYFVDYMINDSLGAISTAHLVHADRHPMKARSPVCLQLAALHSMAVDFAKTGAPAEMPRSLRPKEYPDFMERWDKPTYISNGALGKLYRAAASRMQSATAPSSSAQSSPAFDPDLEVPGFEEFLDSAEECYDLYAEKLSTLMSYYGTEHEDEILTGNIRNRLLYLKKDNKRYFEMKDRIIDSVEGLHKEARGWFMSRPKAEASRRASAWYRVVYHPDHCRPGKKQFWSFPWIVCDELLKIKESNKRRRQQVDGAAA >SECCEUnv1G0558860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334740903:334741434:-1 gene:SECCEUnv1G0558860 transcript:SECCEUnv1G0558860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHMVGRITTKPGGKPEMGEASVVERYNRPLPKVRSSEAEPGQSRQLPPGTLNVGHIQEIIQLYQGKSSSHRGPMSVDQIASRFRVEASVVHGIVQFVSLPQDEGIEKKDERRDL >SECCE2Rv1G0099050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:506585545:506588147:-1 gene:SECCE2Rv1G0099050 transcript:SECCE2Rv1G0099050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRASLSRNVKEIRFLFCQSSPASGPAREFVKKNYGDIKTRNPTLPVLIRECSGVEPQLWARYDMGVERCVRLDGLTEAQIDKKLEELAKAGVA >SECCE6Rv1G0395080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:316901880:316912704:-1 gene:SECCE6Rv1G0395080 transcript:SECCE6Rv1G0395080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTNRGIQKAGRVDHLQGGGPNWILVAGGVFLSTLSVKLGCKLKQMFDTKQQNSFSKESRPGGCELHSNLCRFRGQTSCYYCISGHSDGGVEVKHPPASPSSKSTEPSLPLVKIQVQESSKDNSGVMWISSPDRLEDPRKPFQYSNSSGSPRVSESGSDIYSKREVIQKLRQHLKRRDEMIMEIQAQIADLKNSLAIQETQSSNLQSQLESANRDLFESDREIQHLRKIITDHCVGEALSHDKPLHVGHWQSDATNGHANVYANSSIDDPELHFIGIGRKKEELERMEMLKREVGELKEVIGGKDFLLHSYKEQKVELCSQIKELQEKLSSHVPNIL >SECCE6Rv1G0437230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782980489:782982225:-1 gene:SECCE6Rv1G0437230 transcript:SECCE6Rv1G0437230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPELELIKAVLMKSYDGLPYHLKACFLYLAIFPEDQQIARRRLVRRWIAEGYSSEVRGKSVEEVLDCYFMELISRSMILPSQQSIHSRKGIDSCHVHDLIREIAIPKSMEENLVFTLEERCSLNNQGTVRHLAVSSNWKGDQCEFENIVDLSRIRSLTVFGNWRPFYMTDKMRLLRVLDLEGKWDLVDHHLQHIGKLAHLIYLSLRGHADIFHLPDSLGNLRELQTLDICGTSITRLPRTIAKLVKMQHILASNIGGSDYQNADMPSRLITLPAGSALCCVACCVPNLLEEMFKLDDLAQVNRCDVCTVFCGNILPSLAEGRNLGGVAVPRGIWKMKALHTLRTVDVSVGKYVLKDIKKLTRLRKLGLTGINKRHSQELCSAIAALSSPESLSLRADGETGLSGCLDGLSSPPKKLQSLKLYGNLVKLPEWIQGLKTLVKMKLDWSRILEHDAAIQVLGSLPNLATIRLLRDSLVGEEVRFSLCREAFPSLKVLELNCISNLKSVGFEEGAAPKLELLQYSKYRSPSIGLFSGLAYLPSLKEFMLHRSDWEQTEFVEDLQGQLAENQNGPVLKRWED >SECCE2Rv1G0085260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:188513556:188513903:-1 gene:SECCE2Rv1G0085260 transcript:SECCE2Rv1G0085260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQQWDMMDPGFKLCAPVLKRAAGRPRKSRIRPRSEGAGLGARKRKCTRCGGSGHFGKYCDNTVDLAFGESFDEGFDENVGQQPVASTDDENDGQHPVASDEDFNEVPNDDGQ >SECCE4Rv1G0257010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:661957404:661958693:1 gene:SECCE4Rv1G0257010 transcript:SECCE4Rv1G0257010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVEVLSSELVVPAEQTPGGSIWLSNLDLAGRRGYTPTVYFFRPNGDPGFFAADAMKDSLARALVAFYPLAGRLGLDGSGRVQVDCTGEGVVFVTARSEHYALEELMNEFVPCDEMRDLLVPPTPAPNPPCALLFVQITHLRCGGVVLGQAMHHSIVDARGAAHFFETWASISRGGGAPTVPPCFDHTLLAARPPQSRAVLYDHPEYKPEPEPVDPVSASTYASAIVTMTKGQVGALKARCLGASTFRAVVALVWQCVCRARALPPASETRLYSMVDMRGRLDPPLPAGYFGNAVIRTSVSATVEEVVSSPLVHAARLARAATSQGDDHARSLVDYLEGVDTMNLPRSGISRAHLRAISWMGMSLSDADFGWGAPAFMGPALMYYSGFVYVMNAPGKDGALALVLSLEPESMPAFRKVFADELARLEL >SECCE4Rv1G0246850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:550124329:550127080:1 gene:SECCE4Rv1G0246850 transcript:SECCE4Rv1G0246850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGSGVRMATGNGENSYAANSRLQEKAILETRPVLHKAIEEVYSSLSARRSTMVVADLGCSSGPNTLRVVSEVIGAVQFYTQKSEEERRTVEVQFFLNDLPGNDFNLVFRSLEQLEDLGGKETPPCYVAGLPGSYYRKLFPSRSVHFFHSSYSLMWRSTVPEEISSCTHVNEGNIYIGKTTPPIVIKLFQEQFKKDFELFLTLRSRELVNGGRMLLTFLGRKSEEMMMHGDVSTLFELVAKSLQSLVLKGRVEKDKLDSFNLPYYAPSVKEVKALINESKLFDIEHVRLFESNWDPQDDSDNDVVLDCASSGANVAKCIRAVLEPLIVDHFGDDIIEELFVVYASVVAKHLEKAKAKYPIILASLKKAMH >SECCE5Rv1G0308450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:130330531:130331630:1 gene:SECCE5Rv1G0308450 transcript:SECCE5Rv1G0308450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAADGGHGGGNHPLRWTSPMSGFMLRRFVELIASGVKTEKGFKEVHLNQVAKNCSEHFGLSITGTQVYNHLRKWRARWVKISKLRDISGSLWDDNSYVIMLEEEHYMGHIKDHPKDVEYLNVPLENYVQMLAIFGSGIAAGRFAMTSNEALGVPSMVGTSSSFVNLEACGSEFVVDGNEPGLGATAAAHGETAAAPHGKEPCKDASSSTGKRKRASLMSEEEVLVMSNMSEAVREVAIAIKSTGEVHPELYDAVMELPGFTENDLLIVLDYLNENANMARSHSFVQMSETRRTRWVMHHLSKVNGGVPVPKEGLPKDGMPLTSDEVSKGGV >SECCE4Rv1G0221570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:50207240:50212307:-1 gene:SECCE4Rv1G0221570 transcript:SECCE4Rv1G0221570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLPLALLVVLSAAAAAPQLVGAARGDHSLPIIQEDIIQTVNNHPNAGWTAGHNPYLANYTFEQFKHILGVKPTPPGLLAGVPTKTYSRSEKEDLPKEFDARSKWSGCSTIGKILDQGHCGACWAFGAVECLQDRFCIHHGVNVSLSVNDIVACCGFLCGDGCEGGYPIFAWQYFVENGVVTDECDPFFDQVGCQHPGCEPAYPTPVCEKKCKIQNQVWEEKKHFSIDAYQVNSDPHDIMAEVYKNGPVEVSFIIYEDFAHYKSGVYKQITGRMVGGHAAKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKVIRGTNECGIEGDVNAGMPSTKNIAGSAFAI >SECCE7Rv1G0510970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:799383028:799390194:-1 gene:SECCE7Rv1G0510970 transcript:SECCE7Rv1G0510970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MLRAAAAARRAAVAAYSTSAARPETGLYGFDVLRTAKGFRRFVDEAIQRSDELVAHIAQLPPSVEIVRTMDEISNTVCSVIDSAELCRNTHPDREFAEEADKASMRIYEHLQYLNTNTTLYNAILKAESEGALLSEESRKAANNLRVDFEKGGIHLPEDKLERVNQLNLAIAHLGRKFNENVMNKPGFVDIYPASRIPRNIQHNFKPISRFKHKGVEEQINAMNSTRQKGIRIVTDSGSVSSVLRWVSDEEVRRQVYIIGNSEPRENIGVLGELIDARDEFAKTMGCRSYAEFAIRPNMAGSVDVVMSFLNNLSDTVRHKADEEFNTIKDFKRRICNDKSADLEPWDEDYFIGMMKSSAHTVDPSVVASYFPLSQCIKGLNVLVESLFGATFHQIPMGDGESWHPNVIKLSLHHPDEGDLGFMYLDLYSRKGKYPGCAHFAIRGGRRLSDTNYQLPIIALVCNFSSSRGLTARLNHCDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFEFYAWDYRVLRTFALDETTGDPIPEKLVKALHASRNMFPATDLQRQIFYSIMDLTLFGEHTSKPVDTISAVADLKRKHTSWNYVENTHWHTRFSHLINYGAGYYSYLYARCFATTIWQEVCQDDPLSRSTGTALRDKFLRYGGAKDPSALLKDLVGDSVIRNSGGGIIPDISSLCKEVGV >SECCE5Rv1G0329690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:520138794:520141387:-1 gene:SECCE5Rv1G0329690 transcript:SECCE5Rv1G0329690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGGPADSRTRSTVSICIVIGLCCFFYILGAWQKSGFGKGDSIALEITKRTDCTILPNLSFDTHHSKQGSSSDLVSPVKKFKPCPDRFTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLHCLVPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGMNDGLYMMEVDRVLRPGGYWVLSGPPINWKANYKGWQRTKEDLEAEQNKIEEMAELLCWEKVSEKGETAIWRKRVNTESCPSRLEESTVQMCESTNADDVWYKEMKACVTPLPDVQNPSEVAGGAIKPFPSRLNAVPPRISNGLIPGVSSEAYQKDNKMWKKHVKAYSNVNKYLLTGRYRNIMDMNAGFGGFAAAIESPKSWVMNVVPTIAKITTLGAVYERGLIGIYHDWCEAFSTYPRTYDLIHAGGLFTLYSNKCSMEDILLEMDRILRPEGAVIMRDDVDVLTKVNKLARGMRWNTKLVDHEDGPLVREKVLYAVKQYWVGGNQTDAS >SECCE2Rv1G0138440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921621819:921623833:-1 gene:SECCE2Rv1G0138440 transcript:SECCE2Rv1G0138440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNIARRRCRPPEEDKLGALTDDLLLSILVRVDLATAARTSTLSTRWRSLPWLLPDLNLHVRDFLPAPCPGFPIIAALRIFFYSRIPAQHIDQAMVSLTRAARSFLRINHTRTVTKMSLEIYLVGNYSHDIGPLVRDAINNGTVRELDLTIVDDKDFRCKRADMLQKARDVDGFFRTYPNVLPCLTRLQLYNLRFAEGDMLHRTLFDCCKQLQHLSLDHCDAGDGSVWQIDAPSSGLRVLELRYSYLKRLEVLCLPKLELLRWEVWLHNEPPLHFGSVPCLKELFLLCGADIDHPGFSLRRLLDGATDIHTLTLNFQGEKLWIQPESRQLRAAFSKLRKLSIHGIYIEFDLLWTINLLEAAPTVEIFGVEAFEHPCSVPFWARVGVQSVQPSWKTPGFTSCSKWRLRELHVASFSPLVGHHMLFVREVMGRAPKLETVLLKENEEPCRKCEAMGALPTPVGGMFPRSKDEQEAIAKQIRDKRVVSSSSAKIIFSSTVSTVVF >SECCE2Rv1G0076130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:88767787:88770621:-1 gene:SECCE2Rv1G0076130 transcript:SECCE2Rv1G0076130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALFHAYGIDEIGQSVDAVRSTVLDLKRFSKAVKLAGFTPFLSAVDALNQCNAISEGIMTDELRNFLELNLPKVKEGKKAKFTVGVMEPKVGSHITEATGIPCQSNDYIQELLRAVRLHFDQFIDQLKPSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVREWYGWHFPELVKIVNDNYLYAKLAKFVVNKSDLSEKDIPALADLIGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEMVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSDVSSSIFGEKLREQVEERLDFYDKGVAPRKNLDVMKAAIDGLTNTEDDDGKENGDASVKKSKKKKSKAEANGDAMDVDNAAAEAETGTEKKKKKKHKLEEPQDEEMQANGDDETPKKKKKKNRDAESVDPKTGTEGKSKKKKKKSKADDDE >SECCE1Rv1G0012460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:92748273:92751174:1 gene:SECCE1Rv1G0012460 transcript:SECCE1Rv1G0012460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLQMTRWDEILTLPVQNPTILEFSAADITWSMVEGWKDSMDRLALIPFSRVGDFVRGESNNKACPTRFHVEARRRRSPTMTCKPKVDGILEYILYWCSFGPDDYRMGGAVRPSRSSCGKRKTPAGRPNTKRGCVCHFIVKRLIAEPSLALVIYNHNKHVDKKGTPCHGPMDKKAVGTKAMFAPYISDELLLEVMSLLYVGIPVETIMQRHTEMVEKQGGPSNRDDLLTHRYVRRLERKMRRSVYELDDDDAVSMNRWVENNQDYVFFYEDFSDNDTFVLGIQTDWQLQQMIQYGNRSLLASDSKFGTNKLKHPVHSILVFDQQKNAIPVAWIITPNFTHGEIHRWMGALYDRVRTKDPTWQLGGFIIDDPLTDVRTIREVFQCPVLISLWRVRHAWHKNLMNKCSDFERRSMMSKRLGEAISSICRGNGDIELFQAFLEDFIDCAGFVDYFKALWFPRLGAWTAVLKTNPLATAEVASAIERYHHLLKLRLLNEADESIYQRADWLVHKLGTKVHSYYWLDEFSGKDSFSRYWRSEWKTGPNPWQQGLQIPDSDIVIEGNCARVVCQKHKEKSHAILNPGSELALCDCSWSRKGNLCKHAMKSAKVCRDRGLAPPSLALLRYYQALANVVHCPPSDSVICDHAIAVAVSVRTQLDVLLSATNGSSPDTSLFKGPQSTSDTEPREPVVREAGIGNGSEVPADEDSDEDSPACKKRKSRGASDEDETAAAAQVAQVSEAESSQATSLRELDRRQDRPARQEIVRRETSDGGEGTQVMEISDDEEETAAAQITQPSESENSQATCVQELDGAQDSPALQERGGR >SECCE2Rv1G0137820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920108824:920113404:1 gene:SECCE2Rv1G0137820 transcript:SECCE2Rv1G0137820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLPLAACIVAFHLCLLLSPSSALRRLSEAESSLVRHGVGIRPAYHFLPAKNWQNDPNGPMYHNGVYHMFYQYNPLAAVWGTGNLSWGHSISRDLVNWEALDTALDPTAPFDYSGCWSGSATILPGGIPALLYTGRIDNGNVQVQNVAFPKNPADPLLREWVKPAYNPVIPLPSDVPGDNFRDPTTAWVGRDGMWRIAVAAKVGGPNGIASTLIYRSKDFRHWKRNTLPLYTSRAAGMVECPDLFPVAEPGVEEGLLGYVSGTVSGTVRHVLKLSVMNTTQDYYTVGRYDDVADTFMPEVDGERSVEDCRTWRRFDYGHVYASKSFFDSRKNRRVLWAWANESDSLDDDIARGWSGVQTVPRKLWLDEDGKQLRQWPVEEIETLRSKRVVGLLGAQVNAGGVNKIVGVGAQADVEAIFEISSLEEAETFEPKWLLDPQKLCEEKGASVPGGVGPFGLLVMASSDLREHTAVFFRVFRHNHKYKILMCTDLTRSTGRDKVYKPPYGGFVDIDIEQHGRSISLRTLIDHSVVESFGGGGRTCITARVYPEHVENKNSHVFVFNNGTGLVKVSKLEAWRLATAAVNVVHGG >SECCE2Rv1G0071100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:40260821:40271116:-1 gene:SECCE2Rv1G0071100 transcript:SECCE2Rv1G0071100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAAAAAAAFPARFAAAPAVAAAEEMRSPLLRVFGSLRGGRSSVMGRRARFCSSSSGSDSEAAAAAAAEAKAEDAVAAEGEAEGKASSAIVSTNPRPEDFLSVIALPLPHRPLFPGFYMPIYVKDQKLLQALIENRKRSAPYAGAFLVKDEEGTDPSIVASPDSSDNKTIDSLKGKELLKRLHEVGTLAQITSIQGDQVVLLGHRRLRITEMVEEDPLTVKVDHLKENTYNKDDDVIKATSFEVISTLREVLKTSSLWKDHVQTYIQHVGDFNYPRLADFGAAISGANKLLCQEVLEELDVDKRLKLTLELVKKDMEISKLQQAIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSEKFRERIEAKKDKCPPHVLQVIEEELTKLQVLEASSSEFNVTRNYLDWLTVLPWGNYSNENFDVHHAQQILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRQFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNINFLDHYLDVPIDLSKVLFVCTANVIDTIPNPLLDRMEIISIAGYITDEKMHIARDYLEKNTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPALEAVIVTESVEPTDGGSATIVEDEKLNDPAIKDPEEAKEPSLKETLVTEPVVSTETLSTPEGNKDTDGVKEEAADKAVKKVLIDSSNLDDFVGKPVFHAERIYEQTPVGVVMGLAWTSMGGSTLYIETTKVEEGEGKGALVMTGQLGDVMKESAQIAHTVSRAILRDKEPDNKFFADSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMGKPARKDLAMTGEVTLTGRILPIGGVKEKAIAARRSLIKTIIFPAANKRDFDELAPNVKEGLEVHFVDTYNEIYELAFQNETETS >SECCE5Rv1G0338320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597477663:597487225:-1 gene:SECCE5Rv1G0338320 transcript:SECCE5Rv1G0338320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAKALSSLVLLLLLAVASQEAAATITKGDFPPGFIFGTGSSAFQIEGAVSEDGRKPSIWDAFTHSGHYVDGATADVTADQYHKYKEDVKLLSDMGVDAYRFSIAWPRLIPDGRGAVNPKGLEYYNNLIDELLAHGIQPHVTIYHSDFPQALQDEYNGMLSRKFIDDYTAYAEVCFKNFGDRVKYWSTVNEPNIEPISGYDKGVLPPERCSFPFGAHRCANGNSTTEPYIVAHHLLLAHASAASLYREKYQAKQGGNIGLTLLGWWYEPATQTPEDIAAAERMNDFHVGWYMHPLVHGDYPPVMRKNVGSRLPSFTDEELKRVVGSFDFVGFNHYIAVYVKADLSKLNDELRDYMGDAAVKYDLPFLNSKNQLLFGLKSDILSPTPWALKKILGHLQLKYKNPVVMIHENGVAGIADPSAGNTLDDEFRSQYLQDYIEATLESSRNGSNVQGYFVWSFLDMFEYLFGYRKGFGLYGVDFNSKERTRYQRHSAKWFTGFLRGGELRTVALPGMAYSQ >SECCE2Rv1G0122260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:814951425:814953145:1 gene:SECCE2Rv1G0122260 transcript:SECCE2Rv1G0122260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITVEVESGGAVDSLKAKIHDKEGILPDQQRLIFAGKQLGDGRTLADYNVQKESTLHLVLGLVGGDKGSCYPPKMEPNLLELALKYRQHRLVCRKCYARLPLRSANCRKKKCGHSNEIRPKEKLRYH >SECCE6Rv1G0435870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:773118484:773118897:1 gene:SECCE6Rv1G0435870 transcript:SECCE6Rv1G0435870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSSSGKPEAGGEQYRKEEKHHKHMEKLAKLGAVAAGAYARHEKHEARKDPEHARSHKIKEKIAATVAAGSAGFAIHEHHKKKEAKKHARHAHHH >SECCE4Rv1G0259220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:683895215:683895817:1 gene:SECCE4Rv1G0259220 transcript:SECCE4Rv1G0259220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKLVALGFVALLVVGPSNAARVARLSSAEGQGEGGGTGGGTGTGAGAGSGRGDGSAGSSVSTSGSPNRAWATSGGGGSGGGGGGSGGSGYGSGSGSGSSSSTSRGAGRAWIGGGRTDAGGAGGGGGAGRGDGDQGSSGHGDGSGFGSGSSKVDSEGYYGAYGYSNGGGNGDGGGHGEGGGYGNGGGNGSGYGNGEFP >SECCE3Rv1G0162220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:127335258:127336573:1 gene:SECCE3Rv1G0162220 transcript:SECCE3Rv1G0162220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSDELCHCQGCLGKYTLLRDEENPQLAKFERRLPCFGCGIGWSSFLLGFLCPLLWYYATTLYCCKYYNRDPRERPGLAASAIAAAIFTTAAIITLSIILIIWAHK >SECCE2Rv1G0078700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:110990828:111002207:-1 gene:SECCE2Rv1G0078700 transcript:SECCE2Rv1G0078700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein B [Source:Projected from Arabidopsis thaliana (AT3G06290) UniProtKB/Swiss-Prot;Acc:F4JAU2] MEASGFGRDAGPLNRGPGSAPLAFGAGAATTPSPAPQPAPVQFPLARPAVPFVPPSSRFPSARPQLSAAAPFSRPTAPVPIPASSARPAAASPGAPGPVRFPSPLRAVDPGAAAATARHLQPQTRVTAPSIGRPMHPGISSRSRSPPNQSNQRVNVPPENDNGMEQRRLVNYADPLFENGSAQSSVQMRMQPPETGKTARSPPLDISRQFRPSSSLPKFPPAQHAEPNDHVPMPNLSPSKFGIRNQSPFHDARATFSPLLNSNLVPGSGRSHPASGTSTSSSSVLGDVAQQEMDDHMREQRFSTPLQSRTMDHSISKRSRSPTLSYQDVDGAEARHGTGANARRLINYADTLVDDVSIETSKRMRTPSSEFTNMPKSPPSDIRDNIRPAPAGFGSSNVAAQNLRSQADIQRSKASLPKVGSQIKSRIGDVRSPPYQQFCPSDTYSKEYSTPTSSPPKPSIPNSRSVTPPLDDGDDFIPSTELEREKQAKAKRLARFNVELSRPVENINDLAKADKQKQASSTGKVPVRSDDSTMADMDPPELAAILGLCSYMCPEPERAERERKGDLDRYERLGGDRNQTTELLAVKKYTRTAERDADLIRPLPVLQKTMSYLLSLLDHTYDDSFLGLYNFLWDRMRAIRMDLRMQHFFNQEAISMLEQMIRLHIVAMHELCEYSKGEGFSEGFDAHLNIEQMNKTSVELFQMYEDHRRNGVLFSTEQEFRGYYALLKLDKHPGYKVEPSELSLDLAKMSREIRGSPDVLFAREVARACRMGNYIAFFRLARKATYLQACLMHAHFAKVRRQALASLHSGLQIGQGIPISHVVEWLAMEDEDIENLLEYHGFGSRQYEGPYLVKEGPFLNSESDFPSGCSELVHSKKSQRIVDDVSSGPVCAPTGQKATVVPYSSGFPSPASKRELVPPRSALVIPDSAKKEFGPSFAGPVSPTSSGHITSPYSGLFSPKAGNKQFNSTYSSPISPTGSRKGSVPVIPSTSPRATKHTLSHTGWMDGQRVASPKAKGKTTMTDDFIISEDQNGDFVDFSREQTEIPQSEAYTQHVDALVATVVTHPLADGVSSDYAHMHEEEDELRAHGSGSDTDLDDESPSCHQVNLVECVWPTGSLLPGHEYGDHQINDETTDNSSPIVVSPKKTISDERLKMILRKWRQRAADQRSLREQKNALAIAALNSLSLGPPVHQTAVVPKHAVHELDIGHAFKERYTRQQRSWSRLNVSELAGPILTERKPDARCICWKMLVLVPPGAMKSQSNNVASRWLLKKLMGSGNEDNGLLLTSADLSIWRTWLSSPSVCCLSVVRASDQQVIGNEIADSTNCIVFVVSESIPWEMQKARFSSLLASIPPQSCLPLLILSGDTYNEGYDYASQNVIDKLGVSGLSEGKIASSLVVFLAGSCTEGYINGFFDDDKLREGLKWMVNGFPLQPDVILVKTHELLLNYLNPSLELLNQRVAPEVGPEHCISVFNKAVNQLGEEILAAAYKSPNRWPALEIDLLERSSSERMFTEIFLPSIGWSLPSRIQPLVEAVKSLQLPEFSDDLSWLKQGSYMGRQIQDQKLYLEECLTRYLTQSARLLNGAQAVAEAKIMVQKGVDLELRDSNHYLVPNWVTIFRRIYNWRLTRLSTGDFSEAYVLSQRLYQPPAADSNGATQHGLTANIDTIGEAPILEDHDMMPAAPSGLSLDEIIEVSCDLDSDSPPPLRPTPAPLPTPVCDEPPQAPPHVNGEVNLVHGATDDSTHFVPTRMEPRDLVPPAWDDELAKLEQQCAKLQSKIDERLFIYF >SECCE2Rv1G0142020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:938010550:938011645:1 gene:SECCE2Rv1G0142020 transcript:SECCE2Rv1G0142020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQQQKESCVPPGFRFHPTEEELVGYYLARKVAAQKIDLDIIQEVDLYKIEPWDLQEKCGGGRGEGARQAAEDHQSSSEWYFFSFKDRKYPSGTRTKRATAAGFWKATGRDKPMTSSRSRGVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQTSEHAPTQEEGWVVCRAFQKPTPNQRLSYIFPTYSAAPGLGSYYDGRPWLHGEGGRLGFPCQGAQYPLESKQSIFTNIPQHIESPPTTTGVAGCGDAGYDVVQQGQAATSIDWNFLDAASPGPRRLTASASHVRGDERPIGLPRPLEVDHRKL >SECCE2Rv1G0067080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:17502943:17505618:1 gene:SECCE2Rv1G0067080 transcript:SECCE2Rv1G0067080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGTLSYLPLSVATVLFVLVLLGSLRKSRRERGLRLPPSPPSLPVIGHLHLFKKPLHRALANLAAAHGPMLLLRFGSRRVLHVADQAAAEECLTAHDVVFANRPRLPSARHLSNGYTTLGSSSYGANWRNLRRIATVEVLSAGGLLRSAAVRADEVRLAARRLFLDAADGASEPRPARADLKARAFELALNVVARMIAGKRYYGGEGDVPESEAEEAARFREMVREYFAMHGASNLQDFLPVLGVLDIGGAKRRAVRLSRKRNEWAQRLIDEHRAGFDGDDGRRSRGGRTMVGDLLDMQAADPVAYSDKVIRALCLSILQTGTDTSSSAIEWSMAELLNHPDAMTKVRAELDEVVGTGRLLEEVDLPGLPYLQCVITETLRLHPIGPLLAPHESSAACSVAGYDIPAGTMLLVNVHMMHRDARVWEEPTRFSPERFEGGRGEGKWMLPFGMGRRRCPGEALGMKMVGLALGTLVQCFEWRRVGEEEVDMAEGSGLTMPMAVPLEALYWPRAEMTPVLRAI >SECCE1Rv1G0003460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13928452:13931537:-1 gene:SECCE1Rv1G0003460 transcript:SECCE1Rv1G0003460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERADPMLVVVSSTGAVAISSPNAQQLEVMSSVGGDSPAGGATTPAPINPVLLASACVGSSDALGFLFRREDNHEPPMVMPTQEFLHLLTPRRQMATHAPSNVEDGADQPAFPGASRLLAGVTAEGDTAPTQNSSSDLLFAVNKKGGTPLHCAARAGKSQMLSCLIELADSSTRLQLLRKENVLKETALHDAVHVGNKDIVERLLIADPELGNYPEEGASPLYLAILLGRDVIASTLHCKSNGNLSYGGPRGQNALHAAVLRGSVMTEDMLRWNNSLTTQGNSDGSTPLHFAASLWQYPSGFVQLLKANPTTVYQADNNGSFPIHVAASTGAKDIIRVILEMYPSSAGLRTAQGRTFLHVAIMERSLTVVSFVCRTPSLAWILNMQDSDGNTALHLAVQAGKLRMFCSLYGNKEVQLILSNNNGQTPLDLSSSLLPTGMQYMQNNDQRIHMALASAEGKHSFVCRDHIYEKFTQQIKSEDVFNEEKKLNDASQMLGIGSVLIATVAFGATFALPGGYRADDHINGGTPTLVGRYTFDAFVMANALAFICSSVATIGLMFSSSSMVKLMSRQINFRTSVFFVSSSLTCLAAAFALGVYMVLAPVSHATAIAVCVLSPLVVLYRSLEFLLKMGILVQPLHLRMGLVRALKWLAWTIIFRMLKELWPFIIIFGWAAIARKLRNL >SECCE5Rv1G0298880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14221724:14221912:-1 gene:SECCE5Rv1G0298880 transcript:SECCE5Rv1G0298880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLRLFPFLLGTAIGVYAAQNYKVPNLRRLTKRYEEYRRKPEIAGEGTKKKVRVEIDDEEE >SECCE4Rv1G0252350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:613508174:613511002:1 gene:SECCE4Rv1G0252350 transcript:SECCE4Rv1G0252350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKGERPVLPPASRRSLAAGGLGLAAAAYVGVDYLSRASPSLHGRLQPAIWAALALATAARAPFYRRWDAEIRAAPWFLAAMLFMLAALLCEAISVRFVSSVLGLRWHRSTAPLPDTGQWLLLALNERLPQTVVYLLRAHIISLHHYLMLFIMLGFSAFFDCIKGPGLGIGTRYMFVMAVGRFLRTITFVSTILPSARPWCAASRYKIPDHPHAWAQKYYAPYASDPRAIRRVILVDMPYASVQNYTDHYRPDWAHMSFLIDILRPSAGEGPSWYHLVNKAGGGCNDLMYSGHMFVAVLTAMAWTEAYGGWISVGIWFLVLHSAQREIRERYHYSADCIVAIYVGILLWRVTGFIWSVRDSDQARRLAKLDEVQNRLFHAAKDADIDEIRGLLNEVEMAGQSKKIFSQGVILSFAAFMITFTLLFVLLAFSLTSNG >SECCE3Rv1G0193130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:765910467:765913193:-1 gene:SECCE3Rv1G0193130 transcript:SECCE3Rv1G0193130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVASIVDTAFRPLKDYFARTFGYVMSCADYIDALGHEVDELKSKRDDVKRMVDAAERQGMEATSQVKWWLECVARLEDAAARIHAEYQARLQLPRDQAPGLRATYRLSQKADETLAEAAGLKEKGDFHKVADELVQLRFEEMPSAPVVGMEEMLQELHDCVRGGDVGVVGIYGMGGIGKTALLHKFNNDFLIGSQDINVVIYIEVGREFSLDDIQKVIGDRLGLSWENRTPKERAGVLYRVLTKMNFVLLLDDLWEPLNFRMLGIPVPKHNSKSKIIVATRMEDVCNRMDVRRKLKMERLSSQHAWELFCDKVGEHLERASPEIWRPAMGLAMKCGGLPLALITVGRAMASKHTAKEWKHAITVLNIAPWQLLGMEMDVLMPLKSSYDNLPSDKLRLCLLYCSLFPEDFIISKDWVIGYCIGEGFIDDLYTEMDEIYNKGHDLLGDLKIASLLEKGKDDEHISMHPMVRAMALWIASEFGTKETKWLGRAWVGLKEAPGAEKWSEAERICFMRNNIEELYETPNCPLLKTLMLQNNAGLKKICDGFFQFMPSLRVLDLSHTSITELPSGISSLVQLQYLDLYSTDIKSLPRELGSLVTLRFLLLSHMPLVMIPAGVIDSFKMLQVLYMDFSYGDWKVGESGNGVDFQELESLRRLKAIDITVQSVEALERLARSYRLAGTTRNLMIKACGSLTKIELPSSHLWKTMTNLKRVWITNCSNLAEVIIDGSEETDCPILDPCVFMRMGDVIECEQPALDNLQGIILQGLLKVKIVYRGGCVENLSSLFIWYCQGLEELITLSDGYQEAAANEDEQAAGTCKVITPFPKLKELYLQGLPKLRALSSSACMLRFPSLKRLKIVDCLRLKRLKLVAAELKVIQCARDWFDGLEWDDDEVKASYEPLVDERYE >SECCE5Rv1G0373190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856569712:856570140:-1 gene:SECCE5Rv1G0373190 transcript:SECCE5Rv1G0373190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLALLLLAMALTVSTVSGCGYNCPSPSPPSPPPPSCPPPPPPPSPPPSAYTLPAPPPSTSSGSCPNLQVCVNVLSLPILSLGLFANECCPLLYGMADVQATACLCDVLGGVLGLRLDVLVLLNQCNIPCQSNYTCPR >SECCEUnv1G0533100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:26789046:26791710:-1 gene:SECCEUnv1G0533100 transcript:SECCEUnv1G0533100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSCTCCKDYAAHQYWDHMDNRKKRFFKLMLGNFKNGVTIPEKFVRSVGGKISELVKLETPDGNTYNVHIAKELNNLVLRSGWSKVASAYELQEGDFLRFKYNGNSHFKVEIYDPSACEKETSCVVMNHNPGFQKRSVPHDNPMLSPEGERLAKRHNGCCSDRCKTSKMNPAGSPHKPTKKEVPSSEDARNSGELQTSTRSRYFLATGCNLTDAHKVEVDRIEQNIRPEIPLYVKTMSSASLVDEFLVICKDYAIKHLPRKDEFITLCHASHSKTWGAHYNINADDTYHLSAGWLEFVHDNQLQKGDTCVFEVLKRQRSFTMAVHLLKASYHHPPGFPTSSKSLRPEAKSFRPEDKVRLSRFTTLEGLLKTKVYEKVEAIKPEIPVFVSIMMKTSVSSRNPTFAFSLDYGKDFLPGENQIFRLHRPGESAPWKAEFRSFASRRWLVRGWEQFVIDNELELDDVCLFERIENKKKLRMMVHIIRKEEYC >SECCE5Rv1G0356420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:739364427:739364910:-1 gene:SECCE5Rv1G0356420 transcript:SECCE5Rv1G0356420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMCLCFIILAIAMTVSADECEGDRQDMIKECGKYTKWPAEPKLDPSNACCAVWQKANIPCLCAGLTKEKEKIWSMEKVGYVANFCKKPFPHGYKCGSYTFPPLA >SECCE4Rv1G0258890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:680070504:680072432:-1 gene:SECCE4Rv1G0258890 transcript:SECCE4Rv1G0258890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSTDSGHGIFTAAVPSRDTGAESFVSLLRTQGQVDVLCKKYGVPKEFTARPAGDRRANSTPPPGAICVYARALEAGMRVPLHGFFRETLVHFGMAPAQLTPNGWRIMAGFLVLCHSASVPPSLVVFRRFFTLCNVSYKHKNGWYFFRPRRDSPGLRFTGLPNPNSLKDWKHEFFFLSSPELWPCAVEWGEPSRSSLTNPVLTDEDNKLAVKLLRAHGGAAIDLKTYICNSKLAAAMIATASLSWPPPPPPTNTTSNFKDMDPSVYDMMKVLLAEKSAAQAYASASAKKVEAETGSNAQASASAKKRIREEASGEEVSPLSVLNHVLNRPLSSVCSPPPGFPQKWQHFPSRQDGEAARELLQGAVAPPPEPSDVVASSYAAILQAANYVLELEAKLVALERDAAALREQLEEAKNELASAKRAADAEREKARSELATARAELEKTKAELAAAERAVEADVVKTKAELAAAKQAAEVEAVKTKAELAAARAEVVKTKVELAAAKRSAEAEAVKAKAELTAAKRAAEVELVKAKAKLAAAEAELESAKAATVQQLLASEERVRRRAEHALEGYELWRGRHAPAGRAA >SECCE1Rv1G0000490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1866149:1867752:-1 gene:SECCE1Rv1G0000490 transcript:SECCE1Rv1G0000490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGGLDRFKKAQALEPFSVQQSGSAAKSVPAPVKVQPAPLVPPQSSHPGPSQGQHAPQSAGSSIAGQEAAGAPRPAGTQFGGGQSTWQPPDWAIEPRPGVYYLEVMKDGNAIDKINLDKKRHIFGRQVPACEIVLDHQSVSRQHAAVVPHKNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRAYVLRKNTAAFFPTQSLPSDVSLPSPPDSDDEDAVVAYNTILNRYGVSKSDVPLKRKGSSGDASANAANDDNKAVGRPSKKSKRRRVSFRDQVGGELIQVVGISDGADVETEPGPVGVKEGSLVGKYESLVQVTVIPKGKEQTSSKDSASHSGVTDKLQQMLNKVKSTSRGGMYDDLYGDSVPAKLGSSWAYKSDGQPEQVKVVDERKSSKDVAANSADESDDDLFGD >SECCE5Rv1G0373410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:858771450:858771821:-1 gene:SECCE5Rv1G0373410 transcript:SECCE5Rv1G0373410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLTGRQEELCLELSLRPMAPEPHVGFFLCVYCDRKFVTSQALGGHQNAHKYERSIAKRRRQAAAAQQGAPAAAPDERLPCNRGGFVSPAGTAAPAVKAHKHGRSWSEHGGAVVDVDLSLRL >SECCEUnv1G0541470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:97987582:97989488:1 gene:SECCEUnv1G0541470 transcript:SECCEUnv1G0541470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNPTTSRPGVSTIEEKSTGRIDQIIGPVLDVTFPPGKLPYIYNALVVQSRYTADKQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDSSATFPIHRSAPAFIELDTKLSIFETGIKVVDLLAPYRREGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERIASTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATIVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKETLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVALAETIRGFQLILSGELDDLPEQAFYLVVKEIILSTNSGQIGVLPNHAPINTAVDMGRLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQKALEIAEANLSKAEGTKDLVEAKLALRRARIRIEAVNWIPPSN >SECCE5Rv1G0353610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718186629:718188370:1 gene:SECCE5Rv1G0353610 transcript:SECCE5Rv1G0353610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSARGWLVEWSSSVSSLPLTGVVFALELQFDRHTRAILAPDAIFIPPTSMAAAARHVMVLPFPAQGHVTPLMELSHRLVDHGFRVTFVCTEPIHKLLLDALGRNADDGEALDGVRLVSIPDGLADGDDRRDLCKFLDGISRCVPGYVEQLIRETKVRWLVGDANMGLCFEAAKKLGVRVACVFPASAAGLGTLLRLPQLIEDGFFDDKGFPKRRGAFEIAPNMPPMYTSHMPWSIDGAAEGQEVSFRLVSRNTQATSLAEIIVCNSFLDAEAAAFELFPSIVPIGPLFADEEFRKPVGQFLPEDTGCLKWLDARADKSVVYVAFGSFTIFDPRQFRELAEGLELTGRPFLWVVRPDFTTGGLSKAWFDEFTNRVAVNGRGMIVSWCPQQQVLAHRAVVCFVSHCGWNSTMEGVRNGVPILSWPYFVDQFANQSYICDIWRTGLAVTPGEDGVVTKEELAAKLGLVIGDEGIAERAGMLRDAARKSLKEDGSSYENFKRFVHLLSE >SECCE5Rv1G0358460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755662140:755665387:-1 gene:SECCE5Rv1G0358460 transcript:SECCE5Rv1G0358460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAYLKLLHSLSAAASPPRPLSPLTLRRYSYSARLRRGISSSRMNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLITEAGGKVIAISDVTGAVKNTNGIDIAKLMKHSAENRGIKGFEGGDAVDPTSLLTEECDVLIPAALGGVINKDNADAIKAKYIIEAANHPTDPEADEILAKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNRELKTYMTRAFRDTKEMCRSHHCDLRMGAFTLGVNRVARATVLRGWEA >SECCE1Rv1G0033660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:469712677:469712987:1 gene:SECCE1Rv1G0033660 transcript:SECCE1Rv1G0033660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCGCGSNCNCGKMYPDLEEKSGATMQATTVVLGVGPAKVQFEEAAESGEAADSCSCGASCKCDPCNC >SECCE7Rv1G0498720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:644444583:644446007:1 gene:SECCE7Rv1G0498720 transcript:SECCE7Rv1G0498720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQPHFLVLTYPLQGHIAPALRLARRLLTAAPGALVTFSTTVTAHRRMFPAEADAPDGGDGRLELLPFSDGFDNGYDRTTDPAACTDYMASFHAAGARSVGELVEMLGARGRPVTRVVYTMMLPWAADVAREKGVPSALYWIQPAAVFAIYYHFFHGHAGVVTDNRHDQSFVVRLPGLPPLPVRDLPSMLTESTDPSDFYHSVYTTFRDLFDTLDRETLRANVLINTCQELEEGTLAAVGAYNPLPIGPVPPSGEEAGLFKQDDAKYMEWLDAKPADSVVYVAFGSMARMHREQLDELLLGLEESGRPYLCVVRKDVKAEFVDGEATLEPETNARLRNGMVVEWCDQVWVLSHAAVGCFVTHCGWNSVMESLACGVPMVCVPRMSDQQMNAWLVECEWRVGARAEVRNDGVLRATEVRRRVEEVMQEGEAGAGAARRAASEWKRVVAEALGKGGSSDRNLTAFVEAVDSGVSV >SECCE3Rv1G0207170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:918439773:918442790:1 gene:SECCE3Rv1G0207170 transcript:SECCE3Rv1G0207170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFDGGIGDQSEMWDWQSQEFDLQKDLLPVPSTSLWAEANHNEVDSWSLFDEQTPIKECTDIDLPFCDIGDIIIKDFDEGKETLQAKRRRMLQFCPDNAKMDCSLTDGLLENLQVDLDFSSDEHLLQCDGTEELPEQWLIDCSQDSENRSQPEEMKSPSAAVEANISALENSLVEIEEQPIIKVEKKKPPQAKPTPLKAGRNVIRAKKLKVASVAYPFELIKPCGFRGDTTLRDINQKILAPPTYRIKHKIDEESALYQEASAISGKPVVHKTKIHTEGGKGSITITRTRG >SECCE1Rv1G0028030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:392629529:392639836:-1 gene:SECCE1Rv1G0028030 transcript:SECCE1Rv1G0028030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSASLSLLYSPSLSPSVSHLRPRRLRALVIAASASPSARSLRLLEWGKVCRAVASFAGTAHGREATEKQLWGVENVSYDRSRKLLRETEAAVRLLGSSGGALDFSGLDTVAVESAINCVSGGSVIKGQEAMAVVSLMLFVESLQVTIRAAMKQDEDSHNLLLPLTETILDAVVSKPLVKSIQDVIDDDGSVKDTASPELRRYRDQVQALESRLCQLMDKLIRNADNEASLSEVSIVNGRCCIKITGDKSSSFDGLLLSSGSDAGSMIEPIVAVPLNDELQGARALVVRAELEALSKLTDKILLELDNIQILMQETVTLDKVTARARYSIAYDGTLPDLYLPNIEHGIVNAAKDEPASTTSSAQLTKRPWKLFIPNAYHPLLLQQHQENLRRTKKDVASATAEIRRRRIYGQDIAEEDQLASELDFMKIRVSELERNHPIPVDFTIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFDAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSYLTLATTHHGELKTLKYSNDSFENACVEFDEENLKPTFRILWGIPGRSNAINIAERLGLPLDIIESARQLLGTAGAEINALIMDMEKFKQEYHEQLQQAQHFLRQSKELHNDLEVAQKSIVDHSTAQRKRKSRVVSEYAVMARSIIHKKFQQYRESAVAQRVVEEEKAAEKAKSEGAKGPELSSTSAPKKTQNTNSSMVTEANGKIIDEDGGIPEVGDLVYVPKLKNQATVVKIDSSKNEVQVQAGMMKLKLKLKDVKVQKQRTSR >SECCE1Rv1G0042820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:584403680:584404705:-1 gene:SECCE1Rv1G0042820 transcript:SECCE1Rv1G0042820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVTPVGCSTVSELVVQGRDSAAVLEALLRGVSSPDNAGIRELAAEILRCCDRALTALHGGDGDGAVVDVAGGRKRKSGPGCAATQTRPKRRARVNTGEKASRVERRRTAEDGFIWRKYGQKEILNNKHPRLYFRCTYKHDSSCPATRQVQQSEDDPSLYVITYFGDHTCCQGDAAGAVLKAEDVDMQPFVINFGSATATSGSPWLSSPSDTDDVRGSDSGASHSSRAVCSPDEFGVKEAKVESTSGDSHSTDPAPAAAELSSSADFSCASPAWDPLSGCLDWDHFGDSPFDCVSEFMEFDAIPLFQ >SECCE1Rv1G0061820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717524198:717525684:-1 gene:SECCE1Rv1G0061820 transcript:SECCE1Rv1G0061820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKTNGSVQKAGRVSHAQGEGPSWVLVAGGVLLSTLSVRFGCKLKQMFDTKKQNSTSRAKRRHGSCDLHSNLYRFDDETNCYCCVSDGGVEIKQGPTSSLSKPDEPSLPLAKIPGPESSKEKSGVMWTSSPDRLEDHRRPLQYSNSSGSPCVSESGSDIYIKREVIQKLRQHLRKRDEMIMEMQAQIADLKNSLSIEETQTANLQSQLDGANRDLFESEREIQQLRKIIADHCVAEAISRDKPLQAGNWQPDAANGHVNGYTDSSIDDPELHCIGVEKRNGEAERVEMLKREVGELKEVIEGKDFLLQSYKEQKVELCSKMRELQERLSAQVPNIL >SECCE2Rv1G0109090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:672979859:672980277:-1 gene:SECCE2Rv1G0109090 transcript:SECCE2Rv1G0109090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDAIIEVLGIVEDDVRVPCRAEGLVNQMETFSFVFIFKMMLKILRITNDLSLLLQKRDQNVVQAMSLVTDVRTRWVNWRNDGWETLLEDVKAFCTKNDITKYG >SECCEUnv1G0565950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:398672385:398675146:1 gene:SECCEUnv1G0565950 transcript:SECCEUnv1G0565950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVQLPVLLLLMVLSAPAANLQATASPPPDPLQCSSGDGKADCTVSSAYGVFPDRSTCRASAVVYPSSEEELVRAVANATATKTKMKVTTRFSHSIPQLACPGAGDGRGLAISTRWLNRVMGVDAARMEMTVESGVTLRELIEAASAAGLALPYSPYWWGLTVGGMLGTGAHGSSLWGKGSAVHEYVVGMRIVTPAPAADGYATVRELTSADPEMDAAKVSLGVLGVISQVTLALQPLFKRSTTFIQRDDDDLAERVHEFGREHEFADIAWYPGLGRAVYRVDDRLPMNASGEGVFDFIGFRPTSTLVIREARLAEELSEQASDDISKCLMSRVIRTSLSTAGYGLAQRSGWPFIGYPVVGRQDQMQASGGCLMASEDALRTACPWDPRVRGTSFFHQTAFSMPVSRARAFIKEVRQLRDLNPESLCGIDIYNGILMRYVKSSTAHLGKAAVHGESDDMVEFDMTYYRSQDPRRARLHEDVLEEIEQIGLFKYGGLPHWGKNRNLAFVGAARKYPGMRDFLRVKDAYDPDGLFSSDWSDLMLGIGSGALTDNTPGCALEGMCVCSQDVHCAPEQGYVCMPGKVYKNARVCTKV >SECCE3Rv1G0183380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:649531894:649534178:-1 gene:SECCE3Rv1G0183380 transcript:SECCE3Rv1G0183380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDNSITAALSRTTPVFSLRVWVLIAIGIGILMAILFIIVLWLSIRRKNKAVNGLDTTSQTEIPIVSKEINIDKGVDSQSLNDSSEVAFMPVHDKYTQMKSVPPLAETRSLDVDAFSQCSSVYNIEKAGSSYSEDYNSSGPKRAGSSPYGFTSTSPLVGLPELSHLGWGHWFTLRDLEFATNRFAKSNILGEGGYGVVYKGRLMNGTEVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMSQHGILSWESRTKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDTEFNSKVSDFGLAKLLDSDASHINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLECITSRDPVDYSKPADESNLVEWLKMMVSTKRAEEVVDPGLEIKPPKRALKRAILVGLKCVDPDADKRPKMSHVVQMLEAVQKAYQEDEKKHSQMGSMDLESQQSVEELSNSADA >SECCE2Rv1G0100070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:533952749:533961881:-1 gene:SECCE2Rv1G0100070 transcript:SECCE2Rv1G0100070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGANPNPTSTPRQKVVPDWLNSPIWSAPAPTPRHRSPPRAPSPPPPPPPKPQHDPTPPPPRPPARRDGASSDSDSDSGGGGGGDEGAATSSRTHLVAEFKAALERKVVDLAELRRLACQGVPDDPAVRPVVWKLLLGYLPMDHALWAYELEKKRSQYSAFKDELLVNPSEVTRRMEMTISKRKEHSSEGTGFLPRAEIVQDEHPLSLGKTSVWNQHFQESETVEQIDRDVKRTHPEMQFFNGGSSDALSNQESLKRILTIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPDQSNSASAESDAFFCFVEVLSGFRDNFCKQLDNSVVGIRSTISKLSQLLKRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCIHLWDALLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQNYPPTNIDHLLHIANKLRGLVPC >SECCE5Rv1G0332580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:550076804:550079107:1 gene:SECCE5Rv1G0332580 transcript:SECCE5Rv1G0332580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPAGPASRSRIRGGLAPSAPSSRRVVSMAYTAAPHQAKKVPEAKVVKPTRTTPAKRRQQLDQAQKQREELAALQEQLSGLQGKLLEKDEALRSAENLIGQISAANEAVDELKSQLNDKESLVESTGSELHGAKIMLAEKQAALEKLEWEAKMSSTKVEELEVDVASMDVEISALMKVFRKITENNRASHPTERSDDSTLECEPVQLDDTVGDIDTEKMEQEMSAYVTALAAAKDNPTEEFMKAVTEARLRLQAFVL >SECCE5Rv1G0371740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:849699220:849706609:1 gene:SECCE5Rv1G0371740 transcript:SECCE5Rv1G0371740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQVKRIEDRTSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPTGMLCEFANAGMQDTLERYGTTTKDSISIKIVHQDIEKIKAEAEDLSRKVDALEASKRKVLGCNLEECSLEELQSLELQTVKGLLSVRAMKAQRFEEQLAKLRQNVMTLQQHNEELYCQLKKKNHLEVTVAASAMETLADRKDDVVVDAETELFHGLPGSGRR >SECCE2Rv1G0113230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:720206134:720209096:1 gene:SECCE2Rv1G0113230 transcript:SECCE2Rv1G0113230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLHDLFEKGDSNIIGVWGQGGVGKTTLLHVFNNDLEKKAHDYQVVIFIEVSNSETLNTVQIQQTISERLNMPWNDAEPIAKRARFLIKALGRKRFVILLDDVRKKFCLEDVGIPTPDINSQSKLILTSRYREVCFQMNAQRSLIEMQILGNDASWELFLSKLSKEASAAVESLGSQNETREHAMKIAQSCGGLPLALNFIGTAVAGLEEDEWKSAADVIDTNMENINGVDEMFGRLKYSYDMLTPTQQQCFLYCTLFPEYGSISKDQLVDYWLAEGLLLNDYKKGYQIIRSLVSACLLQASGSMSTMVKMHHVIRQLGLWLVNKSDTKFLVQPGMALDNAPSSGEWNEATRISIMSNNITELSFSPKCKNVTTLLMQNNPNLYKMSYGFFRTMSSLKVLDLSHTAITSLPECDTLVALEHLNLSHTHIMRLPERLWLLKELRHLDLSVTDALEDTMNNCSKLHKLKVLNLFRSHYGIRDVDNLNLDSLKELLFLGITIYAEDVLKKLNMPLPLAKSTHRLNLKYCADMQSIKMSDLSHMEHLEELYIESCYDLNTVVADAELTTSHLQFLTLSVLPSLESVLVAPHNFQYIRKLLISQCPKLLNITWARRLHRLERLVVSHCDGMLEIFEDQEEEEQYGEQLKVRDHASDKQEDHAIVETSRNDTGQNDFPKLRLIVLTGLKKLRSICKPREFPCLETLRVEECPNMRSIPLSCRRNYGKLKQICGSFEWWGKLQWENWEEAAYVERKYFIPI >SECCE6Rv1G0449530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863114108:863116052:-1 gene:SECCE6Rv1G0449530 transcript:SECCE6Rv1G0449530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAWACAVDRAAGVANSTRRFFLSFRRPPPPPPGPNPIDILKRLQRQAFYDIMQLRDRQEKVEKVLTLFKSLKIGPFAEESTRVKGLVNFTGALALNSKEGTEPDSSGANSGISSQFAFRTSVGKDSLLAELATDSRYFSQQNDLMGSPLVLSKVMYLANVSDDISVAAVPVGARCDDFSTDPSIQEGQWNPSFHTSLRPPLLLKRHMHAAGLILRSQNFAASLAELISTAAKSSGEASSVFTGFGQISCKMCDDIKLTMSAAWHGPSVIPRKSKPAAGGCLGFELKFDEDSRFGAWVEVKRSKPKLLKWAVTLSDTPENDLGWGVILRRGSEGAAPERIQLEGFLNLHLGQNATLQPGVMFNLDGRRCAPAVVFRSSWFL >SECCE5Rv1G0374980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:865786086:865793398:1 gene:SECCE5Rv1G0374980 transcript:SECCE5Rv1G0374980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQATGVEKAAAPYGSWESPISADAVFAAEKEVHGLAVAGDGRVLWVETRPEEGGREVLVKEGAGADGGNLDVTPQEFAVGSLAQMYGYGGAFAVQGDVVVFSNCTDQRLYRQTIGDNSPLPLTPDYGGSVVRFADGVFDPHFSRYITIMEDHCNSTNPTATLVAVSTNVGEVNEPTVLVRGNDFYAFPRIDPTEKRMAWIEWSNTNMLWDKAQLWVGYFSGEGEVQKRICIAGEDPEFVESPTEPKWSSKGELFFITDRQSGFWNIHKWDEQTNVVVQVYSIDAEFSKPMCFGVSSYAFLRNNDSSQKIACCYRQNGKSYVGLLDHDSGSFSKLDLPFSSVTNIVSGDGSFYVEGASATLLVSIAKVTLDEKRTMATDISIVWSSSDDIKKYISYFSLPEFMEFPTVIPGQHSYAYFYAPQNQIFQGSSNEKPPLLVRTHGGPTDEARGVLDLNVQYWTSRGWALVDVNHGGSAGYGREFRERLLGQWGVVDVNDCCSCATFLVETGRVDGQRLCVIGECAGGFTTLACLAFRQIFKAGASLYGIADLASLRAAGRKCDAYYIDNLVGNEQAYFERSPINFVERFTCPVILFQGLEDTVVPPDQAATIYKAIKDKGVPVALVEYEGEPHVFRKAENIKFTLEQAMMFFARLVGHFKVADVITPIKIDNFD >SECCEUnv1G0562370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:365477329:365482230:-1 gene:SECCEUnv1G0562370 transcript:SECCEUnv1G0562370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSSSRRLCVPSVLLVCLCMMLCRVQGGSSRKLYIVYLGDVKHSHPDHVVASHHDMLTSLLGSKEESSASVVYNYKHGFSGFAAMITREQAKQLAEFPEVISVERSKTHTATTTRSWDFLGVNYQTPASGLLHGSNYGEDCVQKNYGDDVIIGVVDTGIWPESRSFRDEGYGPIPSRWKGKCQLGPDWGINNCSRKIIGARFYSAGLTDEILKTESLSPRDHNGHGTHCASTAAGSAVEAASFHGLAKGVARGGAPRARIAVYKSLWGARMSGNTADVLAAIDDAIYDGVDVLSLSLTGGENSFGALHAVQKGITVVYAGGNDGPRPQTIRNTSPWVITVAASKIDRSFPTVITLGNKQQILGQSIYVKNSSRSNFTDLIYQIDCTAEGLNGTDVQGKILLCLPIQPGDQTAIAPKFIFAQAGQYVLNGGGSGLIFSQYTTDILPNCNGLACIMVDLDTGVKIFEYIYATSSPVAKIEPAHTVTGKEIPGPKVASFSSRGPSRDYADIIKPDIAAPGANILAAVGDSYKIMSGTSMAAPHVSGIVALLKAEHPNWSPAAIKSAIITTARVTDKRGMPILAEGVPRKTADPFDYGGGNINPIGAADPGLVYDIDPRDYNRFFGCTVVRRENVSCDATMLPAYHLNLPSLAVPELRRPVTVSRTVTNVGEADSVYHAEVQSPTGVMMEVEPMVLVFNATNKVNSFKVMLSPMWRLQGDYTFGSITWRKDQKTVRIPVAARMTIQDFYADVA >SECCE4Rv1G0220430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:39338063:39341060:-1 gene:SECCE4Rv1G0220430 transcript:SECCE4Rv1G0220430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEKEASRPRSAAAPGKPGSAGAMAPGRSGSASAAARGKSGSAAGARAPGKYSSAGAVASGKSVWAEMGVAPFQDGQYVRLRNRGRGGYLCADETGRGVSIDHRREMVNTAWVAQILETDTNYFVLLRGAYGRHLAVTRDDAGPGHVGCGAAQCAFDDPEDSHVMWWTTPGKKGSVVLLHGTSASLSALRANGRFRRWHRRVTVEAINRSRVTSMMEWEVQVIPMRVERPPYQLRPGGPDIPWHQGSEETVQVNCVVADDNGSTDGQGREALTLHGRSLMGLGNELAQRLGDGLNFQDITLCIQAGNLAQPTILLTDLPHRDDPVDIVVFRVGTPGHDRLLFPDLDAE >SECCE2Rv1G0108780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:668178365:668182013:1 gene:SECCE2Rv1G0108780 transcript:SECCE2Rv1G0108780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEVDADFVVAGNWKLHGELCKKLYTIVHEVSIAIPALESTKPGSNSGLLALSSLRIAVDKAKNLLQYCSECSKLYLVLTAESVHSKFEKSRYALLESLHQLEETLPEATNSQIPEIAHELENSVFALDQAEKQVGDQVKQIIQNEKKSNGFLDDNELEFFQQTVLRAGIASSAAALTERRALRRLLERAQAEEDTKKESIASYLLHLMRKYSSHFRSGTMDSINSQCSSPSCSFTSISSSIDLHGNLPSLEKLLPRSGSFNFKQIRGLSGSMPLPPEELRCPISLQLMYDPVVIASGQTYERACIEKWFNSGNTTCPKTRKELPQLSVTPNYCIKGLITSWCEQNGVPVPYAPPESPKLKYLRITSLKSSKCLVTNGVSTILFEDAGAKDTKDDKLNTDVALEKCSSHNSRESTSETYEEAEEVSVEKCSFQNNPVEATPERCERWLRVLSKGGGCIDEQREVVEQARFQLKDDDELRDYVGANGITEPLTCFLKMALSREDVQSQEVGTMALFNLAVSNNRNKQQLLSAGVIPLMEQMMQKPETCEAAVAMYLNLSCLEEAQAIIGSSEVIPVLIRCLQEEGYRSDTCRLDALLTLYNLSLHAPNIPPLLSSGVVHGIHTVLAPSSSWTDQALSLLINLALTWAGKKEIAANQAIVGDIVFILDNGEAAEQEKAVSCLWMICNGDEGCSQTVLQEGVIPALVSLTANGTGKAKDKAQRLLRLFREQRQRELEQQQPRVELLEVASQAAAQQEEEQQQQQQQEEEAAAAAAGKTAASEQSGEGKKPARLSRSKSKRLARVITCLIKKWTTQGGGDKCRL >SECCE1Rv1G0058580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701089769:701090629:-1 gene:SECCE1Rv1G0058580 transcript:SECCE1Rv1G0058580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRSAAPPRPSAAAATATASARISFRSRKIVKTPPAKAKPLAAATVPPPAPPAPVLPALSAPGELAAALRHLAAADPLLSEVIASTDAPAFTSTPSLPAFHSLARSILYQQLATSAAAAIYARFLALLPPAADGAVSPAAVLALAAADLRAIGVSGRKAAYLHDLAARFAAGELSESSVAAMGEDALLAQLTRVKGIGEWTVHMFMIFSLHRPDVLPSGDLGVRKGVQELYKLKALPKPEEMATLCERWRPYRSVGAWYMWRLLESKGAATKKAKKPKKGNASS >SECCE7Rv1G0519870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865931751:865934033:-1 gene:SECCE7Rv1G0519870 transcript:SECCE7Rv1G0519870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYDRTADLRALDATCAGVRGLVASGTTQLPRIFRVPDDVHQPPQATSQELPSSSAATVPVIDLNGDDRDDVVAAVRRAAAEWGFFQVTGHGVPEAAMAAATDAVRGFHEAGGGEGSDKARLYSREPARAVKYHCNFDLYQSPVANWRDTLYLRMAPDPPDAGDLPDSCRDALFEYAEQVKNLGNTLFELLSEALGLKPSYLTDIECNQGQIILCHYYPPCPQPELAIGTSRHSDSGFLTILLQDEIGGLQILHEDRWVDVTPTPGAFIVNIGDLLQLISNDGFRSVEHRVVAKNAAPRVSIACFFSTHFHPASTRMYGPIKELLSDENPPLYRETLVRDYIKHYYSIGLDAKTAISDFRL >SECCE1Rv1G0049700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642718477:642720452:-1 gene:SECCE1Rv1G0049700 transcript:SECCE1Rv1G0049700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELLGSGRPALSALAWICGGVVASVLLWQAARLLDQLWWRPRRLERALRAQGLRGTRYRFLTGDVNEYARQTKEASSGPPMPLRCHDVGARAMPFLYSTIQEHGTPCISWFGPVPKVSVTDPALVREVMSSKLARDIEKLKFPALTRLLADGVGNYEGDKWAKHRRILNPAFHAEKLKLMLPAFNACCEELVGRWERSLGPDGSWEVDVCPELQSLTGDVISQTAFGSSYLEGRRIFQLQSEQIGRFMAAVHKIMIPGYMSFPTKNNRRMHQINNEIESILRGIIAKRMQAIQEGESTKDDLLGLLLESNMSDTDENGQSTLGMSSDEVMEECKLFYFAGMETTSILLTWTMVLLSMHPEWQDRAREEVLGLFGKHKLEYEGVNRLKIVTMILYEVLRLYPPATVFTRKTYKEIQIGGITYPAGVMFEMPVIYIHHDTNIWGDDVHQFNPDRFAEGISKASKDPGAFFPFGWGPRICIGQNFALLEAKMALCMILQRFQFELAPSYTHTPHSVMMLRPMHGAQIRLHTIYS >SECCE1Rv1G0055230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678695432:678704915:-1 gene:SECCE1Rv1G0055230 transcript:SECCE1Rv1G0055230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPMQEELMAAPAPIQEDSMPAPSSVRDQAMAAPALIQEEATAAPALIQEEAMSMLAPLQEEVMAAPAPIHEELPPHPVKVLEHAMAYCITSNPPWPMAIIVGFQHYLVMLGTTVFIPTALVPQMGGGNEEKARVIQTLLFVAGINTLLQTLVGTRLPAVIGGSNTFVAPTVSIILASRFSRIADPHEKFVHIMRATQGALIVASTLQIILGFTGLWRIVVRLLSPLSAAPLVVLVALGLSELGFPSVVKCVEIGVPHIILLVILSQYLIPHLWRCFGFSDLTSMVERSTIIISVAIVWLYAFFLTAGGAYSNAVPKTQFYCRTDRSGIVGAAPWISVPYPFQWGVPTFHAGEAFAMTAASFVALVESTGAFIAVSRCACATPCPPSVMSCGVGWQGVGILLGGLFGTANGSSVSMENAGYLYCTRIGSRRVVQISAGFMIIFSILGKFGAFFASIPGPIVGAIHCFLFGYAGAAGIVFLQFCNLNSFRTKFIVGLSMFMGLSVSKYFKDDASVAGYGLNTWQNDVIKVIFSSKAFVGGGLAYFLDNTLQRNDPEVRKDRGQHFWDRFRSAKTDPLSEEFYSCIPGLRENFPLY >SECCE6Rv1G0432070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749536124:749537386:-1 gene:SECCE6Rv1G0432070 transcript:SECCE6Rv1G0432070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKEGGDWSSEWRRPHGSAKLGGLSMVPEWDSLPPELVQAVADRVLSTTGGVDAYMDMRAVCSTWRSAVAKPSPFAGIRFRPRHWVMLDLQPDDLNDEARLFLHVPTGRLRRLHLPALRDNLVAGAADGLLVLADMYPPHLARVLNPFTGDMFHFPAALPRHFTSTSVKGGSRPTLVLLRWGSVCCAAPNSDLFTQTEEAVETYLVSIANFQGDVYCADGRGRVFKLVALAPAADQCDDDDDDGDDDDLLVIPAAALPDVHVYTQEGDDDTKEGDDHSEMPCYLVESAGELLLVRSVDQTLKVFRVDVEHRSLEEVKSLGGRTLFLGQERCVSVDAAKLPSVDGDCIYMLDSENAPMSDSESVSMSDSEDTSMSDSRDKMCVYNLRGDVVDIISSKDFCARPFSLVQVLLRYCDALPKL >SECCE6Rv1G0384120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:60722925:60726204:1 gene:SECCE6Rv1G0384120 transcript:SECCE6Rv1G0384120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRMGLLVLFVAAVLVGPSRGGGEVHVLSVWRRPPSSPSTSAPSSLVLDGDLVDKIWSLCLQDVVGAKEILGTGQTFAYDELPSRSSESELKMTLLMELLALLPPDKSSVTRDCIRANYFSLGMSQEFGNYLEDQRFLLGSDFYRRRRHLADRMVGDAPSSGGEARFPLSLTEPPFTPPNSPNTEPRNRHLEDRPAKKRRGVPPPVSPSDKQHNYIKLVLTVVLPTAAFSFIAAFLIFYCCGCNKSKVSVGEPRDDHPLLHMQLANTPGTSPMIPVSSSQLHKDDQGVRTSKSGAGMSRCFPCCFKTSTDVTTPAQATGGTQNNNVTSDAPKPMPPPPPPPPPPPPPNRKAGPPPPAPPKGSLARFSHLSPVESSHSEGSSASEQASESSEAEVNAPRPKLRPFYWDKVLANPNQSMAWHDIKFGSFHVNEDMIEALFGYGAGNRNNIKDKELAMPEPSPQHVSLLDFKKSCNLAVVFKAMNVRVEDIQDALIEGNELPRLLLETILRMKPNDEEELKLRLYDGDYSQLGLAEQVMKALTNIPFAYKRISALLFMSSLQEDASSLRDSFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVREARLAMESGRTQPSGDDSNKPVQEDGEYYSKLGLKIVSGLSSELVHAKNIAALDADALSASVLQLRRELLNTKEFLNSEMATIDENSGFHRSLVRFVEHAENETNFLLKEEKRLRSLVKKTIRYFHGNDVKDDGFSLFVIVRDFLVMLDKACKEVGASQKKAASQSRSSGSCNPASQLNPQEKQFPAVLDDHLDSSDSND >SECCE4Rv1G0229020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:141598577:141603861:-1 gene:SECCE4Rv1G0229020 transcript:SECCE4Rv1G0229020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDADAGEPAAAAAGPGGAARGVIRWDEILPRRSLRVLLVEHDDSTRQVVTALLRKCGYRVAAVADGMKAWEVMRGRAYAFDLVLTEVNMPTLSGIDLLSRIVAADECNNIPVIMMSSQDSIGTVLKCMQNGAVDFLVKPVRKNELRNLWQHVWRRHSMNSQLNASENNAASNHISVNSGTGSKTGENSDEESDAQSSGSKRETEIQSVEKLPEIVTHNGASSSRELKIQNGPSDRINTKALALKDTDDAPCGNACGTSKPQVFSAEKNVRSKYLHGITSAKVAGQIMDNAIRIADASSCRLSDPGKDLMVTAQPTTSQKCKSPVTKNNAVKPVMENALRENSKGTAIGHPRSCPSHSLDVNFGKQQRSDGHVNQELRDKDNFNHSNSSAFSRYGNKRIEPSAKQLSLPSVHFTYQELVYDKNVQSSGVLPSHEHHTCKTAMQAQVPLDSCTEGPAILCSSSAREDAGTSSSSPRMDNLAHPPYGFIPVPIPVGAAIPYHYSAIMPPIYYTQPPFVQCDPSGINQMGIQRAYHSSYHQNLGKPSEIDEHRQLEENQRLHHSRQILRESGEPVDLLRAHAEHNNQSASCSQDVRGWTVSGETDTNTNTIIAPESGNESGIQNFGYNGLDSDRSRREAALMKFRMKRKDRCFEKKVRYHSRKKLAEQRPRIKGQFVSQKLKSATTTEDAETD >SECCE3Rv1G0212450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:955748538:955753901:1 gene:SECCE3Rv1G0212450 transcript:SECCE3Rv1G0212450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSSDHANGAPAPAAAAGADKKKSRESERRRRRRKQKKSKAPASDAADADAGEEDKPDSKPPVEIEVEYVAEEPDLADGLLADFKSVFDKFTFKEAPAAEDGEKKDEAADAAKKGSGSDSDDDEQDTQQKKKEGAISNKKKKLEQRMKIAELKQICNRPDVVEVWDATASDPKLLVYLKSYRNTVPVPRHWSQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPEGAPPPWLINMQRYGPPPSYPSLKIPGLNAPIPPGATFGYRPGEWGKPPVDEHGRPLYGDVFGILQLDEPNYDEEPVDRSKHWGDLEEEEEEEDDDEEEEEEELMEDEDMEAGMQSVDTMSSTPTGVETPDVIDLRKQQRKESERPTDKQLYQVLEQKEEKIAPGTLYGSSHTYVVGAQDKGGVKRVDLLKNQKSDKVDVTIHPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKHEKDGKSSKKKDFKF >SECCE5Rv1G0375890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869835723:869836564:1 gene:SECCE5Rv1G0375890 transcript:SECCE5Rv1G0375890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHLAPALLLFLIVTSTSPLASAHGGLDKKSQDLDYSSGVNKPEAASDVSASAEAEKKPTVQVGYSSGGKTEKKAIPANAEKKSEAASDVSVSAEAEKKPTGFIGHSSGGKTEIHAIYADDEKKPEAVSDVSASAKAEKKPTGFIGHSSGGKTEIHAIYADDEKKPEAASDVSASAEAEKKTTAADGYSAPKNAQPETPTASTTDAYAAPKTAQPETAAASTTGGYAAPKAA >SECCE5Rv1G0337260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:590130093:590131043:-1 gene:SECCE5Rv1G0337260 transcript:SECCE5Rv1G0337260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRRRRRKNSRPVPEREVDIDAVPGELLELVFLRLPSPSHLIRAASICRRWRNVIAGDGGGFLRRFGSLHGAASDHVVGHYRVDERYGHPRPPGLNPVFVPSSSSSSPWADAVANRNLALDFLPRAKFGDCGWELADIRGGLLLLFHSELAPGLLVCDPLRRCYREIPRSAWFHGCHMLGAFLLEGEGEDEEHAGISLSNFRVTCALFRFGDRNARACAFSSVGGGWTSGAGRSSTPVCHDRELAPIYFAGSSKRAAYWTVGDNVVLALHKENVEIFSSIVLGDAQYALLRDKRHATEYAYQLPWPPTIEACVT >SECCE2Rv1G0074270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75212610:75213935:-1 gene:SECCE2Rv1G0074270 transcript:SECCE2Rv1G0074270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLYACKLCFLLAMLNSASHAVAGNVAPPTPSAGFSLPIVSNHDTAGRLGKFGANLTSIGPHIATVGWPLYGVLVGVGSGQTRHFYKLGLDLVGNLTWMQCKPCVPEVRQEGAVFDSAESPRYKHMKPTDPKCTPPYTPSGRSRCSFYTTSWNVAAHGYLGSDMFAFAGSPGAGGHNRDVDNLIFGCAHTTDGFERLSHGVLAGVLSLSRHPTSFLHQLTARGLADSRFSYCFFPGQSHPSARHGFLRFGRDIPRHEHMQSTSLLFTASGYGQSSMYYIRVVGISLNGKRLNGVRTTMFRRDLQTRRGGSVVDPGTPLTRLVRAAYDVVEAEVAADMHKQGARRSPVPVQGYHLCFGSWSHVHFPSLTFNLYEEATRLFIKPELLFVRVNHHLCFTVVPDEEMTVLGAAQQVDTRFTFDLPGNRLYFAQEHCSADTRATV >SECCE3Rv1G0161650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:119582495:119598397:-1 gene:SECCE3Rv1G0161650 transcript:SECCE3Rv1G0161650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPRLAPQARLLSLVLAVALGLGSAAPGLGHGPESSDRAEADPYSILTWHDYSPPSPPPPPPPPAAPAATCAEDLHGKGDFSTTCELSEEVQLDGDVYITGNGSLVLNSGAALTCEKPGCVISANLSGEVRLGRGVRVVAGWVSLAAANITIADTVIVNTSGLAGDPPDRTSGVPTGTHGDGGGHGGRGASCYVKDGQSQEDSWGGDAYAWSDLEHPFSYGSKGGSTSVETDYGGVGGGILWLFANDLLMNGTVLADGGDSSDKGGGGSGGSIYIKADTMHGAGKISASGGNGLAGGGGGRVSINVFSRHDDTQIFVHGGMSSGCPDNAGAAGTLYDAVPKSLDVNNNNMSTQTDTLLLDFPNQPLWTNVNIRNHAKVVVPLLWSRVQVQGQLSLKSGAVLTFGLTGYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLINGGGDSVVATSLLDASNLIVLKESSVIHSTANLGVRGQGLLNLSGDGDIIEAPRLILSLFYSIRVGPGSIIRGPLVNGSSGDVSPKLNCEDESCPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDVWGLIQGTVIHFNRARSVTVHTSGTISTTGLGCKSGIGRGRLLSSGLSGGGGHGGKGGNGVVNGSRAEGGPTYGNADLPCELGSGSGNDSTGLSTAGGGIIVLGSWEYSLPSLTLYGAIESNGGSLTDAVTNASIGPGGGSGGTVLLFVRTLSLAESSVLSSVGGFGRAGSGGGGGGRIHFHWSNIPTGDEYVPVAAIKGSILASGGISKGPGFPGENGTVTGRACPKGLYGTFCKECPLGTYKNVTGSSKSLCFPCPSGELPRRAVYTSVRGGAAETPCPYICVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLILLALVLSVARMKFAGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHTPAEQITEIVYEDAFNRFVDEINTLAAYQWWEGSIYSILCILAYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMSLIFGGDGSYMAPFSLHSDSVLTSLMSQAVQPWIWHRLVAGLNAQLRLVRRGNLKATFLPVLNWLETHADPALGVNGVRVDLAWFQATALGYCQLGLVVYTVEGEPMTAELDGSPRIKTEQHSLVQDMLADAQLSRSRIKDALMRKRITGGILDSTTLRTLKDRRDFLYPFSLILHNSKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMADVLLVLFVLPLAILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVALICGFVHYKSSTKRHPSTQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVGNLEIQDQAVYSKDPNIFWQS >SECCE4Rv1G0280010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817995481:818001002:1 gene:SECCE4Rv1G0280010 transcript:SECCE4Rv1G0280010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRKRHPAGASSLSFMEQQPGEIGDAEEFPTRSRDVSIQHKDRARGRDIGAPVSASMGTMASLAEKIQMLLVDPPPGCCKLSKDGIYLLKDDVEKLREYLENLSEAEDPPPTAKCWMEEARDLSYEMEDYIDSLLLLPPQDSTPVPVANHIKNTRSLGKRSSHVKTTKIQIIIAETLSDFRKCVQGAIERHNRFDLHSCSTLRRRFVSLGPMLPTQYDEETAHIVIDGRMNKFVNSMANDKDQQLKVVSILGSACLGKTTLAKALYKKIGKQYNCRAFIRVSKKPDMKRLFRDMLSQLLRHHPPQVCEEVDLIDSIKKYLQDKRYLIIVDDLWAASVWDIINYALPKGSNGSRIITTTQIEDVALICCCYQSEYVFEMKPLDDDHSRNLFFNMIFGSENYCPEQFKVILNEIVEMCGGSPLATVSIASLLASQPAMTIDLLTHIQQSLFSANSTSEKTTQALILSFNNLPPHLKTCLLYLSMYQEGYTFCKDDLLKQWMAEGFIDITEGHDVEKVAETYLRQLIGRRFIQPILNYNNEVLSCAVHDVVYDLIARKSAEENFIVAIDYSQNNVELSHKARRLSLLFGDARYAKTAANIIKSQVRSLRFLGSFECMPSIIDFKLLRVLNLQLSSHDGDVDLTGVSELSQLRYLKIACDCHSIVLPYHGFLETLDVMGAVDIDYRLSRDVLFPRLLRLSLPFKGMLMYWSSLHRSLQDLHLSPTTHDLGCSIQDLHFLINRLVNLKTIVMGHGSRVGNIEVVSLDEVAPPPFLQRFEWPPESGIAFHRIPKWFKELENLSILKIAVWELMNCVDILRGLHALTALSLYVQRAPVERVVFDKVGFSVLKYFKLRFTTGIAWIKFEKDAMPNLWKLKLVFYEVPRMDVPPFFTSNHTSRTEKYKHGTPLIIFEHMPGLKDISAKIGGAAADVDYIWRNGISNDLSNPSIINTQPAADYSFDSEESTKQKHQQDEIMEEEPDEYYKQQLDEAPQDEPDGSYKQQPDNTVERPVDKRSAKSLHVFTWEELMSATSNFSEENHLNGTTFSEEIHLNGTTYKGTVDGELMWQARYLEEMHNPHVLRLLGYCYEHKILVYEHMPRGSLKYLLEADLISPLPWLTRLKIAVGAADGLAFLHETCLQKIYTHFTASRIMLALDYTAKLWDFGLAEEDEFWVSGSAGKKMDVYGFGVVLLELLAGRPALNTELFSRKRNLVEWSRPYLRHKDKLHHIMDPSLEGQYSLSAAWRTAKVVRWCINSVPEERPTMRSVVEVEALEPLLLKDGRHSRAAWWTPSSLLTRYGVVKGRSKAKQAQEKVDEPTPAASSLTLRAH >SECCE5Rv1G0339270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:606242431:606245045:-1 gene:SECCE5Rv1G0339270 transcript:SECCE5Rv1G0339270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKPILYGAWISSCSHRVRIALNLKGVDYEYKAVNPRTDPDYEKINPIKYIPALVDGDFVLSDSLAIMLYLEDKYPQHPLVPKDIKTKGLDLQIANIVCSSIQPLQGYGVIGLHEGRLSPDESLEVVQRYIDKGFKAIEKLLDGCDSKYCVGDEVHLGDVFLAPQIHAAINRFQIDMTKYPILSRLHDAYMEIPAFQAALPQNQPDAPSA >SECCE3Rv1G0205530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900580258:900581223:-1 gene:SECCE3Rv1G0205530 transcript:SECCE3Rv1G0205530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARCSLLLTAALVLALSHGTHGHASTGNGLSSSFYDESCPSARDIVRRVIQNARVADARIPASLIRLHFHDCFVQGCDGSLLLDNDLPAIMTEKEVPANNRSARGFDVVDGIKHELENACPSIVSCADILALASEISVELAGGPRWSVPLGRRDGTSTNVESANNLPSPFDSLQTLQEKFRNLGLDDTDLVALQGAHTFGRAQCQFTQQNCSAGQDRETLVNLDIVTPDVFDNKYYANLLHGRAPLTSDQVMLSDPVVAATTAPIVHRFSDSQKDFFNNFAASMVKMGNISPLTGRAGEIRNNCRRVNRKPY >SECCE5Rv1G0364680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802708771:802709943:1 gene:SECCE5Rv1G0364680 transcript:SECCE5Rv1G0364680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLRLRLRLRCRALSQLLSSPSSSPISQLRRLLSAAAPVVSPNPSFAVEDYLVSTCGLTRAQALKASAKLSHLKSPSKPDAVLSFLAGLGLPGADVADLVARDPKFLCAGVETILAPNVVGLTGLGLPRSDIARLASVASNHFRCRSMVTNLQYCLCLLGSYENLLSAIKRRSYILSSNLERVIKPNVAVLREFGLGPCDIAKLCHAQPWLLTCKVERVRAMAVCVEALGLPRRSGMFRHALNAVTFVSKEKIAAKLEHLKKTFRWSDAEVRIAISKAPMVLNRAKEFLQRKSEFLISDLGLEPAYIAHRPVILLYSLEGRLRPRCYVVKFLKANGLLGPDRDLYSAVALSEKLFIERYICPHKEAAPCLAEDYADACKGLVPTNFRIT >SECCE2Rv1G0114320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:733290454:733292488:-1 gene:SECCE2Rv1G0114320 transcript:SECCE2Rv1G0114320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRDVLLSVAQTPHRLRRRALVTWTPAQELNEVRDRSGARMARRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFASYVVAGVSALLSSFCYAEFAVRVPVAGGAFSYLRVTFGEFVGFFGGANILMEYVLSNAAVARSFTDYLASTCGVTEPNAWRVEVEAIAKGYNALDFPAVALILLLTACLCYSTKESSTLNMVLTAFHLLFFAFIIVAGFWNGSARNMVTPGGLAPYGVRGVLDGAAVVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVLIVSALYCLMSVALCVMLPYTEITESAPFSSAFRDKAGWGWASNVVGAGASIGIVASLLVAMLGQARYLCVIARARLVPAWLAKVHPSTGTPMNATIFLGLCTASIALFTELQIVFEMISIGTLLAFYMVANALIYHRYAKLGATRPLHVLLFLLLLTLSSIGFSLARRIDGKWRWGMVLFGAMSVAVVAIFHCTARQGAAGPPSEWTVPLMPWPAAASVFLNVFLITTLKARSFQRFGIWSLVITIFYVCYGVHSTYTAEENEIVNAMIHDANADILS >SECCE1Rv1G0042830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:584410215:584412681:-1 gene:SECCE1Rv1G0042830 transcript:SECCE1Rv1G0042830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRPKCEMSPPPAPPSPSDQRDAVIEELRKGTQLAEFLRQQVELIPEDSRRDAALANVSDISTALASSLSVLQSEKEQYCSSSSSSSYDPGHASGASGGGMRNGPVARSRNRKAKHRRGTYGEELPIKEILTEAPENDRFHWRKYGEKKILHADFPRLYYRCGYSDEHKCPAKKYVQQQNSSDPPMFLVTLINDHTCDTLFPAADDHDQPPSSSSSANNSQLLDFTKASLSSAVGAPQLKKEEDADMCVTVPTYTYDQLSSSSAPFLSPKQWEMEMDVKSLFRRHSGDGGY >SECCE3Rv1G0145790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8328878:8330909:-1 gene:SECCE3Rv1G0145790 transcript:SECCE3Rv1G0145790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRQRQSGRGGAAAGAMAVDGSHTTSSGSKLERKDVEKNRRLHMKGLCLKLSSLVPASSTHHLRHYSTSPPSSIKDAATQLDQLDSAAAYIKQLRGRIDDLKRRKQAALTGNTVGCSSSVSAGDYKGQPSALPVVEVRCQGGSALDVALASEAGRPFRLHEVIAVLEQEGAEVVSASFSLVGDKIFYTLHSQALCPRIGLDAGRVAQRLRGLADAAPTVSTLLA >SECCE4Rv1G0215370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4608703:4614942:1 gene:SECCE4Rv1G0215370 transcript:SECCE4Rv1G0215370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPREKAKAETRDWLNSVVSDLENQIDNFEAELEGLSFKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAPSILIKGVAAVSTTAVLSTKSPTATSPTQATVSTISQGTSQDQAEETTTLESNPESVPQTPPPKGGNLGPSVPVVPTAISTSTAAVSVSADTISSPGPVRPVIPATAPTIFPAAAVRNAPESMPAVAPTPANLSTAVKDDESMSFPPRRPSPAVTEIGLGRGITRGLTSQALAAPISVGPVPGNGSISAIPAINDLSKRNIITDERVNSGGLSQQLVSPLGSKVQPQPVLKTTDAVSSDSSNTSESAVLGGRVFSPPVVPGAQWRPQAPAGFQNQSETGQFRGRPEVTDQREKYLQRLQQVQQQQGNLLNASHITGINQKQFSTQQPNSLLQQFNSQSSSISSQGGLGLGVQGSDAGQTKSDEQQGLADDASVESAATTGPNNKHTNEDDTKAPYSNPPASIAEGTQLSRDSDLSPGQPMQAGMPSSGVGVIGRRSVSDFGAIGDNLSGASVASGHDHLYNLQMLEAAYHRLPQPKDSERAKTYIPRHPSVTPASYPQIQAPIVTNPAFWERLGSDTLSTDMLFFAFYYQQNSYQQYLAAKELKKQSWRFHRKYNTWFQRHVEPQVTTDEYERGSYVYFDFHLADDGNGWCQRIKNDFTFEYNFLEDELSVQPN >SECCE2Rv1G0130150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:873824127:873825436:-1 gene:SECCE2Rv1G0130150 transcript:SECCE2Rv1G0130150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFGDSFADIGNVLENLGPLSRVWRYPYGLSFAEYNGRTHSYSTGRFSNYMVQPDFIAKILGIHEAPHAYNRSFLQLPRYGMTFATGGAGVLAAPKEVATLRKQVDNFEKLLRRKLISPIRLLDSVFLIAISGNDYMPTVSLLDNSDGKIAAKVTDGIVANVKRLRKLGARKILVNNMHPLGCTPRLARSSNYTSCDDHGNMIASIHNSNLEQKLTDSDTVRILDLNTAFTNIINGTSESSPLSEQFKNKLKPCCEANDPKGFCGQIGAPSELLYKLDGHNVKGYFYWDDMHPTHAGWKAVMAQLEGTIKQFLVMD >SECCE3Rv1G0152730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:49930351:49933267:1 gene:SECCE3Rv1G0152730 transcript:SECCE3Rv1G0152730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTHVVLAAGAPALLLVLLQLHVAAAADPPFSCGASSSAAFCDRRLPVEQRAADLVSKLTLEEKISQLGDKSPAVERLGVPAYKWWSEALHGVANAGRGVHLDGPVRAATSFPQVILTAASFNPHLWYRIGQVIGTEARGVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTMTGKYAAVFVRGVQGYGISGAINSSDLEASACCKHFTAYDLENWKGVTRYAFDAKVTEQDLADTYNPPFKSCVEDGGASGIMCSYNRVNGVPTCADHNLLSKTARGDWRFNGYITSDCDAVAIIHNVQGYAKAPEDAVADVLKAGMDVNCGGYIQKHGVSAYQQGKITGQDIDRALRNLFAIRMRLGLFDGNPRHNRYGNIGADQVCNKEHQDLALQAAQDGIVLLKNDAGALPLSRSKVSSIAVIGPNANNAPLLLGNYFGPPCISVTPLQALQGYVKDARFVQGCNAAVCNVSNIGDAVRAAGSADHVVLFMGLDQNEEREKVDRLELGLPGMQESLVNQVADAAKKPVILVLLCGGPVDVTFAKNNPKIGAIVWAGYPGQAGGIAIAQVLFGEHNPGGRLPVTWYPKEFTSVPMTDMRMRADTSTGYPGRTYRFYKGKTVYNFGYGLSYSNYSHRFVSERTKPPSMSGMEGLKATASAAGTVSYDVEEMGAEACDRLRFPAVVRVQNHGPMDGRHPVLLFLRWPNATDGRPASQLIGFQSVHLGASQAAHVEFEVSPCKHLSRAAEDGRKVIDQGSHFVKVGDDEFELSFMA >SECCE1Rv1G0035820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:501672004:501676289:1 gene:SECCE1Rv1G0035820 transcript:SECCE1Rv1G0035820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAESPSPSPSSLSERDDFADILDAELELASAADSAYRGEPPRSPSDDEAEEEDLVVELDAVGQGSHKRCRVEEHHQDQGTATRPEEDAIGSVKDAQIKTCPPHTGFIGGLCFICGKKQDEEDFTGVPFGYISEGLRLSTSEMDRLHGSEVKNLLRERKLVLILDLDHTLINSTRLHDISAAEMDLGIESAASKNDPNRSLFTLQGMHMLTKLRPFVHKFLEEASNMFEMYIYTMGVRAYAIEIAKLLDPGNVYFDSKVISNSDCTQQHQKGLDVVPGADSLTVVLDDTEYVWQKHKENLILMERYHYFAASCRRSGQSLSELMQDERENDGALATILDVLKRIHTIFFDLGVETALSSRDVRPVIKRVRQEVLQGCKLVFSRVFPSDCRPQDQIMWKMAEQLGAVCCSEVDPSVTHVVAVHAGTEKARWAAVNKKLLLHPRWIEACNYRWHRQPEEDFPVPGLKEDKGKEKVAEDAHL >SECCE3Rv1G0169630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:238701484:238702305:-1 gene:SECCE3Rv1G0169630 transcript:SECCE3Rv1G0169630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSMLRSSASLLRAAPARASSSAARPSLRRALGAPPRLLRSPVEASFCVESLLPLHSATAAARMTSMLAVPGRGLGWLTEGKDETR >SECCE7Rv1G0506660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:751419321:751421625:-1 gene:SECCE7Rv1G0506660 transcript:SECCE7Rv1G0506660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKMKKRKHGHDEVPHTSPALPSAQVQQPQLQPTVSNNRCFLHYRPDLRQPKEITYNSCQLKPKVEASDYFDSPSAKSIGKFFSKPAPEPTYEAVLQEQLRETENRAKELKQEWQTSGCTVIVDSWKSKCGKSFVSVLVHCSKGMHFLRSIDVSEITEDFDELESMLSRVVDDVGAHNIVQIVMNDVSPHMQMARQYVLNKYDSFFFTLCVDHCINLLLEKIAALEHVSEVLMKAREITRFLYVHALPMKLKGRYVQEEILSSSYLKFVAVFITLERLVSARVGLVQMFSSPEWVPSGWACLDLFERIQSIVKTDDAFWHAAAKVVKVTNPLIRVLYKLESDICPMGILYEAIHGAKEEICVNIGDESEFYLRITDKIWDGYLHSPLHAAGQMLNPRIFYAAGSHLYTVISSGIAACIIQLGKTHYNPRKASAQLEVYEKKLGYFDTDPAKQQIMELPQVKWWSKHGARVPDLQTLARRVLRQTCFGATRYNIDWSLSEKLHAEWDEMTLSEQEMFRQKEYVHYNSVLARASPLLHGSSVKQHGRVTLVLQDWIRPQKQAAGRH >SECCE3Rv1G0146120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:10350291:10353368:-1 gene:SECCE3Rv1G0146120 transcript:SECCE3Rv1G0146120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWWGDKEEHGTPVVVKMDNPYSLVEIDGPGMDSSEKARRSKNAKQFKWVLLLRAHRAVGCLSWLAGGFWGLLGAVNRRVRRSRDADAEPDAEASGRGRHMLGFLRAFLLLSLAMLAFETAAYLKGWHYFPRDLPEHYLRQLPENLQNLPEHLRHLPENLRHLPENLRHLPDNLRMPEQQEIQGWLHRAYVAWLAFRIDYIAWAIEKLSGFCIVLFMVQSIDRILLCLGCFWIKLRGIKPGLKAAAGKHGKKYAAADDDLEDGDDLSAYFPMVLLQMPMCNEKEVYETSISHVCQIDWPRDRMLVQVLDDSDDETCQMLIRAEVTKWNQRGVNIIYRHRLSRTGYKAGNLKSAMSCEYVKDYEFVAIFDADFQPNPDFLKLTVPHFKGNPDLGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIYLNFFGFNGTAGVWRIEALEDSGGWMERTTVEDMDIAVRAHLQGWKFIYLNDVKVLCELPESYQAYRKQQHRWHSGPMQLFRLCLPAIIKSKIPMWKKANLVMLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPIWVICYVPMIMSVLNILPAPKSFPFVIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRTSSESDIYAMAEDADNAPRPAARLVRGVSEAGLEAWAKTHQLDNKDLQLKAEAEEVTSLAAAIKKTSKAKPPNRIFKKELALAFLLLIAATRSLLSAQGLHFYFLLFQGVTFLVVGLDLIGEQVS >SECCE5Rv1G0310750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:174880582:174880887:1 gene:SECCE5Rv1G0310750 transcript:SECCE5Rv1G0310750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKFFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNIVCCTGLDKDRVHHFAGAVRNCKPPEVYKGKGILYINEVMHRRGMKRK >SECCE4Rv1G0254040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:632084584:632086422:1 gene:SECCE4Rv1G0254040 transcript:SECCE4Rv1G0254040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKCSREESISLEVEEAPPCTGKKAPIVNPRRWSSAANAVAVALIMTLPPILFIFSGHLDAPAVWIKSTVAGLGAPREAESSKKDVLLGGLLLPGFDQQSCASRYQSVYYRKNMTRSPTPYLIDRLRRQEALQRRCGPGTEAYRRASDRLNSGVKDVDTVDGCSYLVLLSYRGLGNRILAAASAFLYAMLTDRVLLVDRGKTMGDLFCEPFPGTTWLLPLDFPLQGYRNLGEDAAESYGNVTLRNETGESSEHRFVYMHLDHAASVENKLAYCDDHRQFLHRVQWVVLRTDGYMAPSLFLNPAYQQELHRMFPRKDSVFYVISRYLLHPTNDVWGMVTRFYNSYLKNADERLGIQIRVFIKDDKPVQHILDQILACTSQERLLPGVLPSAGGPPTTTTRAGARSKAVLVTGLNGWYHDSIREMYWRSASANGEVVTVHQMSREEHQLMFHRMQDMKALAEMYLLSMTDKMVTSGWSTFGYVGTALGGLTPYIMMKPEDEKVPDPPCKRAMSMEPCAQGPPYFECTRKEVDKLLDTGNLVPHVRACEDMSWGLKLNQPISEKDV >SECCE2Rv1G0102200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:574742701:574744220:-1 gene:SECCE2Rv1G0102200 transcript:SECCE2Rv1G0102200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQFAESANNVIIEEANKGLNPGMIVLLVVATTLLLFFVGNYALYLYAQKTLPPKKKKPVSKKKLKREKLKQGVSAPGE >SECCE5Rv1G0374850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:865109932:865111083:-1 gene:SECCE5Rv1G0374850 transcript:SECCE5Rv1G0374850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQIRANNAISHLMALAVVVVMLLQGRSAEAQPSPGYYPSSKVSSTPFSQWYSTLWGPQHQSLSPDQSALTLWMDRSSGSGFKSKRAYRNGYFGVSMKVQPGYTAGVNTAFYLSNNEVYPGYHDEIDVELLGTVPGEPYTLQTNVYVRGTGDAHPIVGREMRFHLWFDPAAAFHHYAVLWNPDEIVFLVDDVPVRRYQKKVEATFPEREMWAYGSVWDASDWATDGGRYRSDYRYQPFVSGFKDFKVAGCEVGAPASCRPVPAGPGGGLSAQQSAAMSWAQQRAMVYYYCQDGSKDRSNYPEC >SECCE7Rv1G0519470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864919046:864921112:1 gene:SECCE7Rv1G0519470 transcript:SECCE7Rv1G0519470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWTGLGQAAMVAQLVGADVGGLISMIVQAAMTARQNRSECEQLARRALMIAQLLPHVQEPEAAQPLAGLGDTLRDAHELVVSCQGRSAAYQFVMAGRTAEKFREVQSKIDSYLILFPVISHIGITRRLERIYNVLVPDDSTRDEPSPFPQSLQLQESTELAQEVLPHGTQEFTLAEIVAATNNFAPDAVIGQGGSGKVYRGTLHDGRKVAIKCLNNSQSQYLEEEFCTELDILSRLRHKHIISLLGSCATVSKDKCLLATPQKKKKRQLTWWRKEPEEPEESGELQRFTVYEYMENGTLFDHIHSDHGSPVTGSWKMRIAVLLGVSRAIEHLHCHANPPIIHRDIKSANILFDANWVPRVSDFGLSVVWDMASEESELKVDRVVGTYGYLAPEYAFYGLLKPASDVYSLGVLMLEVLTGKTAYSQLEDGTDGPLADFALTIIEVGNIEELFDRRPVPEQTPWQLHALKRVAQIARCCVKFAGKDRPAISDIVANLEMAHELMCRDEAGSVDEPCLWPFVEQVDLPSGSPHSSPHSRSSSSAGYHSELVHYKLIIELCPIKFNHVHTLMMGAHFALCDLLIRNLRCWRRGVDNDR >SECCE5Rv1G0328030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:499289735:499293110:-1 gene:SECCE5Rv1G0328030 transcript:SECCE5Rv1G0328030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKRSREEGEHQDLDKEAKAAARLVSLLFGNATDSGPEPSCPPPPSSSSSSDDDKNNSDDDDDFSADGLRARDAALSVSRSIVSIAASTDGDAPSTFACTGTVVAHEASATWIITSAALIRKPESDTEVHEPSAVKIEVLLHNKKAVEGRLLMYDLLYNVAIVSIARANLPAVVLDDLPDTYFLTPGPVVAVARKFETGRLQMKRGETIRAVCDLDCDELMLSTCQIEQNFFIGGLLVDLEKRILGMNFIDERTIPFLPVQIVGRCLRHFKAYGEIKQPWLGIRGRSLHLLESARLEEVCHNFPKPPSGVFVDMIPEATSANCVGVEVGDILNQLDGVVLHSTAQLTSMLLDRMEVAMHERRPVILKALIQRPKDGTTVAAELIVVERPPGECDTLLNNRWRLPPLTVYYWGPPDICDWVDDDSD >SECCE3Rv1G0178130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:493005996:493006247:1 gene:SECCE3Rv1G0178130 transcript:SECCE3Rv1G0178130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGDAPARGSAAAAASLRRRRATGGAAAAGGGGGASTMLQFYTDEAAGRKMSPNAVLIMSIGFIAVVAVLHVFGKLYRTPN >SECCE3Rv1G0156480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:73282538:73283682:1 gene:SECCE3Rv1G0156480 transcript:SECCE3Rv1G0156480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGRSYWSEYVEEYFRARSLEQENTRLSNEKRELERQLAEKTRTAQVSSTRVFTLEHKVRELERHNTVLSGQLSKQREDTRKAGLLFMNAADRYEEEAKKQIRAKSEELENTRKACLMLMNTADTYQEAARKQIKEKAEELEDARKAVFALMKAADTYQEETNKKIKDKVQELKVMGAHVAELDARVESLESRLKAALAKNLELEDDYGKVKAENDNLRLEVEKDASAKAFDAEKEEILTELEDLKMKVEVTQANKDLMEGENDKLQLEAFTGI >SECCE3Rv1G0209590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939577261:939578994:-1 gene:SECCE3Rv1G0209590 transcript:SECCE3Rv1G0209590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAPDRLSKLPDGLLIRILSFAPVREAASTTALSRRWRRPLWLDTSAVNVDYRSYTTGGGGDALGGRVLDDMINANLALLRSRGRGPNKFTYVSYGTGGLTTGHDDTLHAACSPEDDEEELEEEEESDKEVLEEVESASLDGDEFTVGNEEESAPGVVLEHLAEAEEFRLEWLDYGSCIIPYCTGCLPFAALRVLELTGYSLQPYPGLAFPCLEAMRLRRCRTDYATLQDMISAAPKLADVRLEDVSFENAQLEHRIRIRFPAATVILIANCDFGHLNFDACHVELDAPLLRRFRYTVVAFRGTSFSFPFDSPMTHLEEARLALHSPAAWPPSRSMLYSINRVRVLKLTVYSMADLAVVADAMFPELERLEIEELCGWSIQNRGDAAMRAVVSLLRCCTAVRELRFKFRWLEYLEETADPDRTAAMSDFSLCRSINAGDDDEGCCYDLGLHGLCCGCTLECLCLRNSLRRVVVKFDAEELTCFQVRLVKFLAENAMVLNEFVVDGGKGYDSSWIRRKVARWQKQRPPSSPRPPKTPIPQLSEFPPLGGALDPDPVAANTDAVASSIVQQDTML >SECCEUnv1G0561080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:351951862:351952395:-1 gene:SECCEUnv1G0561080 transcript:SECCEUnv1G0561080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRFGMPIQAEAVPRKLADPFDFGGGHIDPDRAVDPGLVYDIDAREYNKFFNCTLGYLGGCESYYLNLNLPSIAVPDLKDHVMLRRTVTNVGPAEATYHLVVEAPSGIDVSVEPSVIKFTQSSSKSATFMVTFTTRQRVQGGYTFGSLTWSYGITHSVRIPIAVRTVIQNFVADTS >SECCE3Rv1G0194360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:780506499:780508548:-1 gene:SECCE3Rv1G0194360 transcript:SECCE3Rv1G0194360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT3G60360) UniProtKB/TrEMBL;Acc:A0A178V8J4] MSSLRNSIPRRAHKERAQPESRKRYGLLEKHKDHILRARAYQRKEDFIRNLKEKASFKNPDEFYFKMINSKTVDGIHRPKPEANSKYTEEDLMLLKHKDMGYIFQAVQSERKKVERLSSTLHAVDDKRANKHIYFAEDREEAKEIRSRIGQSSSTPQFGNIPSRIKRKTANSYKELESRKERVKNLEKLYADMALQKELKKPGRKRKLREEEIVNSESQTVYKWRAQRKR >SECCE1Rv1G0050090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644729390:644730016:-1 gene:SECCE1Rv1G0050090 transcript:SECCE1Rv1G0050090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNALLKLWAMVEDSKSARVTDNLESSFTIHHLTEEKNKLEANYDKLVQDVHELMDFQEDRVVDFRYLQSNLTYQQQCRSEIVADMKAEMAKKDVEYQKLNQKYEVLLNLTRAQATVIQNLKLKNMTEKQLLSEAKMNLELKNAELMKGEEKLNQDKLELKLQVSDLLKGKAKHVEEKGQLENQIVELIKAEEKLKVKIKGIQAILQN >SECCE3Rv1G0189700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:729363175:729363827:1 gene:SECCE3Rv1G0189700 transcript:SECCE3Rv1G0189700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEMCVHMCCAGCEKKIRKAVEKLEGVDAVEIDMEMQKVTVNGDVDQKKVLKTVRRTGKRAVLWPTPFIAGAGAGGAVNLLAQQHQYHPGGAQTYATHASGPTSSYNYYKHGYDDSRLYGANSAVVGGTRATDYFSDENTGGCSVM >SECCE1Rv1G0024940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:335777798:335781671:1 gene:SECCE1Rv1G0024940 transcript:SECCE1Rv1G0024940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVGEERCLDPQLWHACAGGMVQMPLARSRVYYFPQGHAEHANGGGGGAAAELAAAVGPRPLPALVLCCVAGVRFLADPETDEVFAKIRLVPVGPGEAGFREPEGLGPLGSDPAEAREKLASFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTEHGELCVGIRRAKRVTCGGMECISGWNAPGYGGFSAFLKDEENKMMNGGPAGYVKGRGKVKMADVVEAATLAANSQPFEVVYYPRASTPEFVVKAAAMQAAMRIHWCPGMRFKMAFETEDSSRISWFMGTISSVQVADPLRWPNSPWRLLQVTWDEPDLLQNVKCVSPWLVELVSSIPPIHLGPFSPPRKKLRVPQHPDFPLDGHLFNPIFHGNPLGPSNSPLYCYSDNNSPAGIQGARHPQFGLPLTDHQLNKLHLGLFHGGGFNRLDALTPSSRIPKGFVLSSAPAHDSVSCLLTIGTPQSTEKSVDRKTPHIMLFGKAILTEQQMTSSGSRETLSSGATGNSSPISTGLKAGNTSDGSGSSICIGFSSQGHEASDLGLEAGHCKVFMESEDVGRTIDLSVFGSYDELYGRLADMFGIEKEEIISHLRYRDTAGAVMHAGGLPFSDFMKVARRLMIISGENGGMEKPLIECMVQRV >SECCEUnv1G0560170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:344969728:344972643:-1 gene:SECCEUnv1G0560170 transcript:SECCEUnv1G0560170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLAIALLLLHLINHKINMATAWDDQDFFKYCPPSHCSQHGPEIRYPFCLESNNTSSCGCSGQSISKIACSGQDTILVHPVLGPYSVSAIDYKHSSMKITPLVDPCLVLQQKLAISRSSSSPQVDVINDEKTSLYRYLSWSSTISLVCCSREITPGAADGIAGPVSCLSNTSHFFYLVAAYEDMSILPLDCKVVPLSDGVGGGLIPMYYFDDPMSDTLSLSFKECTERILGFAETTVYWYNYYCKECERSGGRCAFSSQRDRQLCMPGPHGSRIKVIAATSSVAAFVVLLVTVATVLYLSLKTRYNAEIHLKVEMFLKTYGTSKPTRYTFSEVKKMARRFKEKVGQGGFGSVYKGELPNGVPVAVKMLENSTGEGEAFINEVATIGLIHHANIVRLLGFCSEGMRRALIYEFMPNESLEKYIFSDDSNIFQNLLVPDKLLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNADPRIGSQDDVYLPEWIYEKVINGEELALTLETTEEEKDKVRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLQNLQMPPKPFVSSENELMP >SECCE4Rv1G0245340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:528199736:528200203:1 gene:SECCE4Rv1G0245340 transcript:SECCE4Rv1G0245340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQREGSKKRARGEEEEVNSPPAKMTALAAAAAADALAEAAFQEAAPAVVEGGDGDGDGDAWKPPPGLFDFPWLHCCGGLGAHSASTLSDLQDVFFRSAVDGHLAAVGVPGDRFIAPPSNMLLFVVLAEWVATADEGEVDPLWRSVLTGGANPAA >SECCE4Rv1G0288720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861555568:861556972:-1 gene:SECCE4Rv1G0288720 transcript:SECCE4Rv1G0288720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANNFEHTSATTREFTLEFLEQITDNFSEEHIIGSGGYGVVYKGILENGEEVALKKLHSMRAVDDMQFRNEFNNLMRTQHPNITRLIGYCYDMRPQRIKYKGEYIFSFVEERVLCFEYLPGGSLDKHISDELCRLDWHTCFKIIKGVCLGLNYLHNGSKDPIYHLDLKPANILLDENMVPKIGDFGLSRLFPSAQTYIATKIIGTPGYMPPEYIERNEITSKYDVFSLGVIIIRIIAGHEGYSKCAEMSSQKFLEHVHENWKKRLHATMPRHISDQVQTCIKIALRCVEFDRAKRPAIAEIVGELNKVGTEESPLQGRHNQTSYSAYASSN >SECCE3Rv1G0152200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:45415984:45416685:-1 gene:SECCE3Rv1G0152200 transcript:SECCE3Rv1G0152200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAGSIVSAKSKRCSLLKAERVLESFRERNASNLPSSDAATYLLTASNAVRDLRFYLLDLRKHLEVKVEAEVDVDSPAAAAAAAASERKKDKRRVKQEQDAVAIQVHQEEEEEEEDRNLRKMAVVSKQGISLVPRQKKKKDKDIVIKQEEGAEEEVIGAEEEPEHKKKRKQELVEVKKEEEEADFVDADLGSDKKRKKKKRSRDGDDGNGNGNAAQEAEVVEHTKKKRRKQ >SECCE2Rv1G0100000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:532243261:532246744:-1 gene:SECCE2Rv1G0100000 transcript:SECCE2Rv1G0100000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSLDNLRGFALATSSSAFIGSSFVIKKIGLKKAGDVGVRAGSGGYSYLYEPLWWIGMVTMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILNERLHMFGVVGCALCVVGSVDIVLHAPMERKIDSVSEIWQLATEPGFIVYSCLAVALALVLMFWVVHHTEQRKMLAYVAICSLFGSLTVISVKAVAIALKLSFNGVNQFVYIQTWFFISVVIICCLVQLNYLNKALDSFNTAVVAPVYYVMFTTLTILANMIMYKDWDSQNATQIASEVCGFVTIVAGTFLLHKTRDMGNTQPDSDSLRADCELQEHS >SECCE4Rv1G0217750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18664975:18666647:1 gene:SECCE4Rv1G0217750 transcript:SECCE4Rv1G0217750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALARAAGGLWPAAGAGMGRGQARGIVVQVRDGNLERALQVMERKMRSSGMERLIKRRTEHHVKNSEKRVLARKALMARVRSQELGKSLRDILIKKIRGQ >SECCE3Rv1G0205760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:901319581:901323054:1 gene:SECCE3Rv1G0205760 transcript:SECCE3Rv1G0205760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGGGGGGMAGMPGAPQNILDAGAQEYYPTAGVPYPPPPFLSLPQQLYCPPPFPVMPPPMPMPMPMPVPVPQPQTVPIPPQIGLPVPTVAATAVDGPASRAVVLSLLPPHTPELEVARAMSPFGDVRTVDAPALAFEGVATVHFFDLRAAENAVTAVREQHMRQQCRLSQLYAATTAWPPQPPAWDWQQDDCRGLVLGQAVWAHFAAASSIPDDGANRGSLVVLNSLPDVSLSELRQAFQAYGPLKDVRESAQRPNHKFVEFFDTRHAARALAELNGRDFFGHRLILEFTRPSIPGVRRRGFVSPRPVAPTPPRLQAAWRPLPSPAKQPPSSTGTGKARGEVVTTSRCSSKSNAGDRSKGGTSHERKGKGKGGKKATIVVDTTSSSPASASEAASAASASGKPLAQKGVIRLGSWRGPKSWRGGWETRFEFKQPDAARSDSNAATAADTDTQEAETRTTVMIRNIPNKYSQKLLLNMLDNHCIEYNKKIDAGEGGGEPFSSYDFLYLPIDFNNKCNVGYGFVNLTTPEAAVRLYKAFHKQPWEVYNSRKICQVTYARVQGLEALKDHFKNSKFPCDSDEYLPVIFSPPRDGRQLTEPELLVPRSPMPSPSSPRKGQAAGVDPLALELMAPPSSSGDGASSTMSTHADEDVHGASGSTDDDDGGLGEELQRLGYTD >SECCE7Rv1G0468190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95632083:95632304:1 gene:SECCE7Rv1G0468190 transcript:SECCE7Rv1G0468190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVVVAVLLVQCCNVVLAARLLEGDGGWLHGGVGAAGALIMQVLPGGSPGAGASNGCTNNPNHSPGGKCNG >SECCE7Rv1G0502900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:701996648:701997322:-1 gene:SECCE7Rv1G0502900 transcript:SECCE7Rv1G0502900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDYVFKVVVIGDSGVGKTQLLGRFTRGDFCLDSKSTIGIEFQTRTVDIAGRRVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDVTRRDSFSHVARWVAELRAHADKSIVVALVGNKADLAAATPGRRVVEADEAEAFAEEQGLFFYEASALSGDNVEAAFLGLLEEIHATVSRKPLEAARGNGDGDDDVLVLKGISLSQELSMMETSAMKTPSRCSSCSS >SECCE1Rv1G0050210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:645447426:645451402:1 gene:SECCE1Rv1G0050210 transcript:SECCE1Rv1G0050210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAASLGLRTGSCGSLPAAGAGAAVPAGRKARGWGLRAGAGDKERLQLLHRALRLVGRRGAGLLLLLAVASAALLCSLFAVVKDDNTSSIIIASNYEVTNAIQNSVYPSTTRPLMMSNDHNKFEHPNRLHLSPDNFTTHPCEGFAVQPILFDKKRTGPRPCPVCYVSVDQAFALMPLQASPSPVLENLDYALEDSVTANFSNPGSAFGGHLSLEQRNKSFDITNSMTVHCGFVRGKKPGRGTGFDISDDDLLEMEQCRELVVASAIFGNYDMIQHPRNVSELSKANACFYMFVDEETMAYVKNSSSLYKDNKVGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLQLVVDPYLLLERFLWRKNSSFAISRHYRRFDVYEEAEANKAAGKYDNASIDEQIDFYRNEGLTHYSPAKLPITSDVPEGCVIIREHVPISNLFTCLWFNEVDRFTARDQISFSTVRDKIKAKVGWMPQMFLDCERRNFVVQAYHRELLEQMIASGRNAPPIAVEPSRKIRPGSSRRAPPSKKPVMKRKKEKKSSSRRRVPKPVTWAMDAV >SECCE4Rv1G0265870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727650106:727650480:1 gene:SECCE4Rv1G0265870 transcript:SECCE4Rv1G0265870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEKPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAAHDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE2Rv1G0065650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:9515451:9516917:-1 gene:SECCE2Rv1G0065650 transcript:SECCE2Rv1G0065650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAEAAWTPRKQVVLYPSPGMGHLVSMIELGKLLAARGLAITIVIVSLPFVDTGARGPFLAGVTAANPAISFHCFPQVEVPPLDSEHPEAVTYEVAGLSIPHLRVFLAGASPAVLIVDFFCSIALDVAAELGVPGYCFFTSAAETLAANLYLPVLHARSAASFREMGEELVHVPGIPELPATHFPKPLIDRDDAAYIWSLRVSPDLCRSKGIIVNTFRSLEPRAVDAIAAGLCTPPGLPTPPIYCIGPLIKSEEVGVNRGHECLAWLDTQPTASVVFLCFGSLGVFNAEQIRQVAIGLEASGKRFLWVVRSPPKDDPAKKFEEPPEPDLDALLPEGFLDRTKGTGLVVKSWAPQRDVLAHGAVGGFVTHCGWNSVLEAAMAGVPMLAWPLYAEQRMNRVFLEEELSLAVAVDGYDKEVVEADEVAAKVRWLMDSDGGRVLRERTLAAMRLAREALREGGESNLTLSRLVEGWMLDNMGEDAGSAEKR >SECCE5Rv1G0303310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:47877783:47880084:1 gene:SECCE5Rv1G0303310 transcript:SECCE5Rv1G0303310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFPLLRWKKKSRSKIVQQDIDIPLHGNVKIYSSKELKRATRNFCSGNKLGQGSFGCVYLGKLKNGQKVAIKVLSSESKQGTKEFLNELSVISSITHHNLVKLHGCCVDGGQKMLVYNYLENNSLARTLFGNAHSSIRFDWSTRAKICIGVADGLTYLHEEIRPHIVHRDIKASNILLDKDLSPKISDFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRWHTDPRLPLQDQFLLETAWTLYESGDLGSIIDRTLKDGFSTDEAHRFLKIGLLCTQDSPKVRPSMSTVAKMLKGECPVSDKIMRPGLITDVMDLKVRTIEPALQLNVSPSMSPLDNHSLVSNLAFAGSTVIRDSP >SECCE4Rv1G0259910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:691023563:691027922:-1 gene:SECCE4Rv1G0259910 transcript:SECCE4Rv1G0259910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:Projected from Arabidopsis thaliana (AT5G55130) UniProtKB/Swiss-Prot;Acc:Q9ZNW0] MDGGGGGGGGRRRELERLRAERDELDARIRLLESELGTGSAAPASPAGEDAAAGVGDGGGGACQACPGLAHADSLPADMIYRYSRHLLLPDFGVEGQRKLSRSSILVVGAGGLGSPVALYLAACGVGVLGIVDGDDVELNNLHRQIIHQEAYIGRSKVKSAADTCRAINSSIKVVEHNHTLKPSNVLEVVRKYDIVVDATDNLPTRYMISDCCVLLNKPLISGAALGLEGQLTVYHHNGSPCYRCLFPNPPPVAACQRCSDSGVLGVVPGVIGCLQALEAIKVATDVGEPLSGRMLLFDALSARIRIVKIRGSSPTCTICAENSVFTQEDFQKFDYETFTQSPMSDKTAPSLNLLPESARITCTEYKGLIDKGEPHVLLDVRPAHHFQIVSLSRSLNIPLSVLEEKLPMLETSMKETMDASTASDKQPSLYVVCRRGNDSQSAVQLLREKGFHSAKDIVGGLQSWAHDVDPDFPAY >SECCE2Rv1G0076580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:92134348:92137615:1 gene:SECCE2Rv1G0076580 transcript:SECCE2Rv1G0076580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRISSQSHNSQPLPIILVLLLLAAAAASTRLILQAAAAAATEQDEEQPITLPGCPDRCGDTRIPFPFGTKPGCFREGFQVTCNDSFSPHRAFLAYAGVNQHIAEIYYKVGWHYPVWRTDHGNTALELVDISVADGEARAYGLVSSLCNAANLSGDYVARCQNLALGERGPFLLSVTRNLLVGVGWRVEPKIMSYLWSAYRNSTYEFSLACLSDLMGMPKLLQLATNGSCSSRGCCQAALPEAAPLTDFGTWFSIEDNPMWQTNPCTYAMVVESDWYRFSTPDLYGVEVLPRRYPRGVPFVLDFSIRNGACPGKGQKPPPDYACVSGNSYCANATGGAAHGYVCRCLEHYDGNPYIANGCQDIDECKLRKLNPELYPCSSDGICKNRLEGYDCPCKLGMKGDGIKGTCTDKFPLVARVIVGGICGIFIIAAISFLILLRKEKQKTREFYQKNGGPTLEKAKFIKLFRKEELKPILRSSNFIGKGGFGEVYKGFLDNEEVAVKKPISGTMLENEQFANEVIIQSRVIHKNIVRLIGCCLEVDIPMLVYEFLSNGSLDDILHGKNKVTLNLGVRLSIAVESADALVYMHSKTNAKIIHGDVKPANILLDDKFAPKISDFGISRLIARDKKHTGSVIGDMSYIDPVYLQTGLLTEKSDVYSFGVVILELISGKKATHSDGGSLVNSFLEAEKKEKKATELFDKEIVVAGDLEILDSLAAIALECLNLDVDQRPSMTEVVERLLIMNRSRKS >SECCE4Rv1G0243890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:507658415:507659927:-1 gene:SECCE4Rv1G0243890 transcript:SECCE4Rv1G0243890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEEQSSLEEPSPPRTPTSWPSQPLLLDPAYARSKSVIHDELRSFRVFLQWCALDHSSPAARAASYAAFLALALAVPAAVSASLRADASLSPASASAVTFNRVATLTASGLAAVSFVTLAVFFRRCGGLRQLLFLDGGLRDDTAFVRRRYERELDRAFRLLAALLVPALCVEAAHKAVFFFSTVRVEPPLPLPHVPWRAVALVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIYHMFDAEACAAADEIFVEHRRIRTQLLATSHRYRVFIICCLVTITVSQLGALLVALSSKDGKSFANSGDLLVGSAVQLSGFFMCLFGAARITHRAQRIVSIASHWHMRMVSAALHHGKPGISTSASDIDAVALTAPLLPGAGCDYKSRQALVTYLWHNSGGITLFGFTLDRGLLHTIFAFEMTLVLWILSKAVVLS >SECCE6Rv1G0381570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:33741462:33744447:1 gene:SECCE6Rv1G0381570 transcript:SECCE6Rv1G0381570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLFNTAMAGMAPEGSQFDAKQYDSKMTELLNQGDTGEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTREDERMLFDIQKFYNVVIEELPANVADLL >SECCE4Rv1G0239550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:410348062:410352771:-1 gene:SECCE4Rv1G0239550 transcript:SECCE4Rv1G0239550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEEEGLEEEHKEDMEASEEEGEDVVVGQMPTFMVPKHINKRVLKNKALSVSLDKKALKDFVTGFHKRKKKRRKEAQRITQEKDRRKRIEARKKRKQEKEIALYGKVVSSENADGENGDGDGMDDDLSAPAPETKTYEDGGTRITVVTSEITHGDDDDPKPVSRSYAKKNCGGVSAKKQPSLGVKKKPPPRRQFSKSKKTKKVDTSRRKNKGKH >SECCE3Rv1G0209980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:941525820:941528491:1 gene:SECCE3Rv1G0209980 transcript:SECCE3Rv1G0209980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTMLSFFEKVGSSSCPGSQPEPTATGVDDSNTAEQGQSSGQSNTLDQNISASVERPQQETVVTTETDYIRDPGKRLQIWQLPPAKQDEARRFYISEGAYQPILQPEEYPFAGTGTHRRRFQKDWFTNHFWLEYSTDTHRAYCLPCFLFSKKPIGRCGSDTFTVKGFQNWKKVNNGKECAFLTHMGRDPNSAHNFAVRCFENLKNSMSHIDKVMVKQCEKRVADARLRLKTTIDAIRWLAFQACPFRGHDESTGSKNRGNFIEMLKILASYNKEVNEVVLENAPRNAKYTCGEVQKEIVSIIARKVQKSIKEEIGNRKFCIMVDEARDESKKEQMAVVIRFANEESIITERFLDVVHVKDTAATTLKDKILAVLAFNNLNVQDIRGQAYDGASNMRGEWNGLKALILRECPYAYYIHCMAHQLQLALVAASREVHEVHNFFQHANFIVNVVSASSKRNDELLANQAAQIEREIELGELDTGRGANQLGSLQRAGDTRWSSHYKSIQSLRKMFAASVSVLRSIASDRSVSQFSRGDAVGALRILISFDFVFILHLMEKIMKITDVLCVRLQTKSLDILNALDCVSNTKVLLADLRENGWESLLEEVNSFCLKHEIDIPDFNRKYVDVTKSRNKHDNTTTLHHYKMDVFNVAIDQQLTELDDRFSLQATELLSLCASLDPRRDTFDRSRICTLVEKIYPADFSSQERAQLECQLPHFQLDTCNHQELKMLPSLAALAHGLVKTAERAFSAMKLVKTRLRSTMGDDFLRHCMIIYIEKDIASKFSSDEIIDIFDLLGSRKANFKLIEM >SECCEUnv1G0550340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:214971839:214974460:-1 gene:SECCEUnv1G0550340 transcript:SECCEUnv1G0550340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPGGHGQLAGTAALFLLAAAIPATTTAMVPSESDALLAWKASLVEAAALSNWTRDAPVCDWVGVSCDAIGRVLELRLERLRLSGGLDTLNTTAFPALTILNLRGNNLRGAIPASISLLRSLVSLNLGNNRFNSSIPPQLGDMPGLQTLSLRNNRLIGDIPHQLCRLLSVSTLDMLNNRLTGNLPDCWCKLKALQYINLSINRLTGNLPKCWCKLRDLRYINLSKNRLTGNLQDCWWNLQALHFMNLANNCFSGEIPATKANDNCSLTFLKLNKNAFVGTIPHIESCDSLATLDLGHNKFNGSIPRQLGDIRSLIKLHLYNNHLVGDIPHQLCNLLSIGVLDLSNNRLTGDLPACWCNLQAMWFMDLSSNMLTGKLPDCWWNLQALQVMDLFNNSFSGEIPAAKASHNCSLTFLNLATNAFSGTIPHLEGCKSLVTIVLRNNRFDSSIPPQLGDMHNLVNLLLNNNSLVGNIPHQLCGLLSIRFLDLSDNRLTGDLPACWCNLEALQLMDLSKNRLTGKLPECWWNLQALEFMDLSHNSFLGEIPEAKASHNCSLKSLHLARNGFASVFPQVLMGCRSLITLDIGNNRFFGAIPLWIVNRVPSLRILSLRSNNFTGEIPSEVSRLSELQLLDMANNSFTGSIPLTFGNFTSMRDPKNMSSMNLQKGTKFWDRININWKGQDIIFHRALKLLIGIDLSDNLLSQCIPEELTQLQGLRFLNLSRNYLTCGIPEDIGSLTFLEFLDVSSNELTGAIPLSISSLSSLSVLNVANNLLSGEIPVGSQMQTLTDPSIYSNNSGLCGFPLDILCANTSFAPDERNGEEEDHLMYYFVIAGIVFGFWLWFGMLFTVKAWRCAFLFFVDGMQCKIMKKVTH >SECCE2Rv1G0096580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:440976647:440977324:1 gene:SECCE2Rv1G0096580 transcript:SECCE2Rv1G0096580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLCYVTFLLILSISNVQLCASVRLLAESPHGQPGAPAPLPPGGLPGNIPANAPANMSDNIPGNLPASLPANMPVNLPANVPPGVLANVPPGMLASVPPEMLANLSTTMTPEMLSKIPPEALASIPPDKLPPNVTPDMLVTLAAMKQQEQQQPGQPAAGGSQGNAAAGLPQIPKMPDLSGLTNLSFPPMPSASLPKMPQNISLFGFDVAIPKFINKMVKEHTDS >SECCE1Rv1G0002450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9117861:9121264:1 gene:SECCE1Rv1G0002450 transcript:SECCE1Rv1G0002450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVSKMPSSSTPLLYLCLLLVSCLLLLQEAHAARHGGISLRSQHMALLHWKATLANPPRQMSSWKENISWTGIMCSAVHHGRRMPWAVTNISLPHAGIHGQLGELNFSALPFLAYIDLRNNSLHGTLPTSINSLSTLSELYLRHNQLTGTIPYEIGDLQSLRLLQLAFNRLTGHIPASLGNLTMLAGFSIHQNMVSGPIPGEIGRLVNLQFLQLSNNTLSGMIPKILGNLTQLNILYLYGNQLSGPIPQELGRLVHLQSLDLSSNDILGPIPTSITNLPKMNQLFLSENQITGPIPPELGNLSMLNELSLSTNQITGPIPLEFGILLNLQYLDLSDNKIFGSIPGSLGNITKLVLLSLNENHMTGSIPREIGNLTNLERLGLYQNQISGSIPKTFGNLQRIQIMSIYDNKLSGSLPREFGNLISLVNLDLGNNSLSGPLPANICSGGRLQYLDVAFNMFDGPIPGSLKTCTSLNLIYLEMNQLTGDISQHFGVYPQLITMALTSNRLSGQISPNLGACTQLEVLHLSQNMITGSIPPTLSKLSNLVELTLGSNNLNGEIPPEICTLTNLYKLNLSSNQLSGSIPTQIKKLSNLGYLDISGNRLSGLIPEELGAYTKLLSLKINNNNFCGSLPSEIGNLASLQIMLDVSNNKLSGVLPQQLGKLEILESLNLSHNQFSGSIPSSFASMLSLSTLDVSYNDLDGPVPTTRLPQNASASWFLPNKGLCGNLSGMTPCYSTLVVGHHKENTLVLILPIVLVVVFIIVAAIVALIILSRKERKPQGVTAEARDLFSVWNFDGRMAFDDIVRATEDFDDKYIIGIGGYGKVYKSQLQDGQLVAVKKLHQTGEELDDERRFRREMEILSQIRQRSIVKMYGFCSHPAYKFIVYDYIKQGSLHGTLENEELAKELDWHKRIVAATDVAQAISYLHHECSPPIIHRDITSNNILLDTTFKAFISDFGTARILKPDSSNWSALAGTYGYIAPELSYTSVVTEKCDVYSFGVVVLELVMGKHPSDLLDGSLSSGEQAMLVKDILDQRPTTPTTTEENHLALLIKLAFSCLESSPQARPTMQEAYQTLIQRPSLVHAPCLLAHLHHIKQGTDADT >SECCEUnv1G0542180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98578892:98579470:-1 gene:SECCEUnv1G0542180 transcript:SECCEUnv1G0542180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSTDMKDRNMLFAAIPSICASSPKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNEVIPEESNEQQRLLRISLRICSTVVESLPTARCAPKCEKTVQALLCRNLNVKSATLLNATSSRRIRLQDDIVTGFHFSVSERFVSGSTFKASTIDLIREGLIVLRKVRVGGSI >SECCE1Rv1G0030160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:424579659:424583969:1 gene:SECCE1Rv1G0030160 transcript:SECCE1Rv1G0030160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGILKNSGSSRMPPHGPSKPPTAPTSAPQVVFGRRTESGRFISYSRDDLDSEISSVDFQDYHVHIPMTPDNQPMEEDGTKADEQYVSSSLFTGGFNSVTRAHVMDKQGPDSDMGRSGPKGSICMVEGCDSKIMRNGRGEDILPCECDFKICVDCFTDAVKGGGGLCPGCKELYKHTEWEEVLSNSSNELTRALSLPHGPGGKMERRLSLVKQGTMNNQSGEFDHNRWLFETKGTYGYGNAIWPDDNVDDDGRDGVPGHPKELMSKPWRPLTRKLQIPAAVISPYRLLVLIRLVALAFFLMWRIKHQNDDAIWLWGMSIVCELWFAFSWVLDQLPKLCPINRATDLSVLKEKFETPTPSNPTGKSDLPGIDIFVSTADPEKEPVLVTANTILSILAVDYPVDKLACYVSDDGGALLTFEAMAEAASFANFWVPFCRKHDIEPRNPDSYFNLKRDPFKNKVKADFVKDRRRIKREYDEFKVRVNGLPDSIRRRSDAYHAREEIQAMNLQREKIKAGGDEQFEPVKIPKATWMADSTHWPGTWIHSSQDHARGDHAGIIQVMLKPPSDIPMYGNIEKSPLDFSGVDTRLPMLVYMSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKAFREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDINMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHSPGFCGCCLPRRRKASASNTNPEETMALRMGDFDGDSMNLATFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPREILDASIVAEAISVVSCWYEEKTEWGTRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTQRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKVLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVMQGLLKVVAGIEISFTLTSKQMGDDIDDEFAELYEVKWTSLMIPPLTIIMVNLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWAGLVSITISLLWIAINPPSSAANQQLGGSFSFP >SECCE2Rv1G0108230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:659246329:659247876:1 gene:SECCE2Rv1G0108230 transcript:SECCE2Rv1G0108230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIDSVQASGRRPAEQLDEALPFVARKKVKHPEKGMEIGSVLGLGCQLAEELRELLEAISLADRTDRENWKGVDCVRSLRPLDMEEALSYADRKKVGKPEKGKEVARWQPAGELEEALSVAVQSGLTAEEVRKFLRVAAWSNLTDYDVRCLAAERGLRDEDAVSLAENFLTMYKIVFSTLHAQLLSSFDCQRFDPELCQWKTFGRFVDERKKRGPDATPFLLFKIKASAKTAKVSWWRKEYGHFPPERSGYTGALRVARLVGGREGPRLEEAGEDGQPGALSYGTDYKSRWLDRRVGVREDGWLSPLNEVAVIRRLPKGEGIYITSYVNQLGVKLDEFLFVLHIAWTVALSFTERWFYVSSEFTEEKLYAVPGVDFLDITVPVKNLIKKLYQMYEQEEQAKKMMLEQQDPHERQEEMRPLLEEQERDKLEDMCRKKEERKKHKLLRREKREAAHQMKMVTRARKEQGKNEEYEEDVFCTPILLCVEEPDLTQPMDEEIWQSEERPKESLEEAI >SECCE4Rv1G0278870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811270894:811273274:-1 gene:SECCE4Rv1G0278870 transcript:SECCE4Rv1G0278870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTDEPTITMETCGDVSDDPAMETIDVLSDVPIVSKSLPIARAVSPNFKVTRGFGGIMENAKVNVDRLYLRQIMTGWDANQSDVIKPNAVTGLGKTAVNNWGIYDGPGSNAKLVAKTHGMHTFAGKWSNWFTLVFVVERFEASTLQVMGANDEDEADNEWAIVGGTGEFTMARGIIQRRVYSVTDSTLTHALTIEFFCRMKEVVPAPTKRGTVGGNIGSLLWEMEGKSQRLENVTIYHVGAVEGFQFSYVDEDEKIRTTGTWGRVHLDPLRKTEIKFGPSEFVKKINGAQRAGGWLSKFEIVTTHKTYGPFGIDNGAPHFSYTVPEDETVVGFFANTDNLFVTSIGVYTI >SECCE4Rv1G0267320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735554028:735554546:-1 gene:SECCE4Rv1G0267320 transcript:SECCE4Rv1G0267320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPPAYHDQKLAAAKRCAREAALAGAKAAAIAAVAAAVPTLVSVRMLPWAKAHINPTGQALIISTVAGMAYFIVADKTIVSMARKHSFENAPEHLKNTSFK >SECCE3Rv1G0165490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:175137503:175139003:1 gene:SECCE3Rv1G0165490 transcript:SECCE3Rv1G0165490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCILAVLFLASVEPAVSSPRRYESIITFGDSFTDTGNAVVVLAEKSRFDPTVQPPYGMTFFGRPTGRYSNGRLIIDFIAEKLDLPFVPPYLSHNGSFTEGVNFAVAGATALNASFFRDIPLVGSFVLNTSSSVQLGWFDSLKPSLCSPARECPGFFHKTLFFMGEIGLNDYSFAVFGMTLPQLRSIVPDVVKSISATTEVLLGQGAKTVVVPGIPPLGCMPPNLVFFPSNESAGYEPSTGCLKGLNEIAMHHNSELQKALDEVRGNHPNARVIYADFFTPVIQMVESPHKFGLTTDVLSCCCGGGGKYNFNMSAGCGMPGATVCNDPSEYLYWDGHFTEAAHRYIAKGWLNSINNCKPW >SECCE1Rv1G0047810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:629680419:629681769:-1 gene:SECCE1Rv1G0047810 transcript:SECCE1Rv1G0047810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASKRIDLAAPLLSVRRHGGLGLGGVLGHGEGCDDATPGGVPFGWERRPGHPKGVGTTQSAPPLPGPDQEAAVRFSDALSRPDSCYTVSCSDAAGATASAAPSPRGSVMMDRFLLAAKEQCLFRKAAAAAANTRAPTSDRLPGRLPVQHLPASHVLRSHVKDTDDDGEEEDKWEVHSTAGFASKRKCGLLPTRCAKSALLLLNPARSTVRRRRGARRLPSDCGSSRRETNPLLPRIGQHPDHDDGTVTRSWEEAYISSLRRSDRGAVRPKATHLGLLLVLDRADGGAADGGAASPLPPPNPKCGRPPTTKADVGGKTPRGLNAVGQGFPPPLPPSQERTVARRDNMVLALPSPKTPSESWLSRTLPSVSNRPPATSFMGIHVQQLRKQHAPSRWCSGDQAKVADHGARTRQIRIYDPHKW >SECCE6Rv1G0405950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:538540603:538542780:-1 gene:SECCE6Rv1G0405950 transcript:SECCE6Rv1G0405950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMERGERAPLLPESHGPKIQEDDSLQAPLLKHKKRGGGKAPAVILLFECLDSTAFNGVSTNLVVYLETILHGTNLASASNVATWFGTSYLTPVFGAIIADTFWGNYNTILVSLAVYLLGMMLITFSAFVPTTTAAVCVAGASCAGTWALSAQTVAFVGLYLVAIGCGGVRSSLLPFGAEQFDDDSAADRDGKASFFSWFYLCVSFGPIISGVFLVWIQQNVSWGLGFGIATACIALAFAAFVLATPLYKRRVPTGTPLKSLCQVVAAACRKISVKVPAEAGHLYEVSDKIDSPQPKIAHTSDFKFLDKAAIVTESDMEERPEEATSWKLCTVTQVEELKILLRLLPVWITSIIASTAFSQMNTTFVQQGSAMNMTILSVPVPAASLASFEVICVLTWVLLYNNVIVPALRSFSSSGDGEPSQLQRMGAGRLLMALTMAVAALVEMRRLHSAARGEEISIAWQLPQYFFLAGGEVFCYIAQLEFFFGEAPDTMKSMCTSLALLTIALGSYMSSFIYAIVEAFTATGDSPGWISDDLNKGHLDYFFWAMAAMCTLNFVVYSGIVKNYRLKTVIS >SECCE7Rv1G0518770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:861861179:861862521:1 gene:SECCE7Rv1G0518770 transcript:SECCE7Rv1G0518770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATKNSAGVAWDRRRELQAFDDTKAGVKGLVDAGVTTLPPIFRHSPESLEGITSSNHDPAAIPTVDLSAAQREDTVALVRRAAGTVGFFQVVNHGVPAELMAGMLEAVRRFNEGPTEAKQAIYSRDQARKVRFASNFDLFSSAAANWRDTLFFHLAPYPAPSEELPEAVRDVVTEYGHAVTKVALSVLELLSESLGLSSDHLRDMGCAENLNAVCQYYPPCPEPYLTWGTRRHTDPGFLTVLLQDGMGGLQVLVDGKTWVDVPPVPGAFIINIGDLLQLVSNDQFRSVEHRVLANKSKDTARVSVASFFNTDMERSARLYGPITDGRNPPIYRSVTARDFIATFNRIGLDGRSLDHYRLDQDTPTPAALEGCE >SECCE7Rv1G0516130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:843448974:843449552:-1 gene:SECCE7Rv1G0516130 transcript:SECCE7Rv1G0516130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATKLVLSVALVVLLSMGLSSAIRVVNHAATADGQGSGGGGGSGTGTSQASGHGSGHGTSEAYDKNSYVYGSGEGSGGGSWEGREEPAAGYGTGGASGSGHGDSSNTDTGGLSTIANGQGGGTGSGGSAGKGSGSGSGDGSGSGIADSYGPDGSSYANSGGGGGGDAGGSDDGFASGSGGGSGSSSGESTP >SECCE2Rv1G0065630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:9283924:9285189:-1 gene:SECCE2Rv1G0065630 transcript:SECCE2Rv1G0065630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGFTMFDVEKLDGTGNFGLWQTRVKDILAQQGILKGLQETKPAKVDNDVWEDMQMQAAATIRLCLADQVMYHVMDEDFPKGIWDKLANRYMSKSATNKLYLKQKFYGLKMQDGSDLVEHVNAFNQLVTDLARLDVKIEEEDKALLLLVSLPPSYEHLVITLTHGKTTVNNEEVTAALLAHELRKQKNATEESTQGLGLAVKDYQLRKGQEAEKKKKKKVQCYRCKDWGHIKRECLELKGGASANAATHGDDSDNSSDVLVVSNRRSTKTKVWMLDSACSFHATPNREWFSSYKSGEFGLAYVGDDTCYRVAGVGDIKIKMFDGVERMLRGVRHVPGLRRNLISLGVLHDGDMEFRIDRDLKTMKIMKDGVTLMIGERTASHLYKLQGSTVAGGVMESGAAGLAVESHGGGGSGPSGSSQ >SECCE7Rv1G0486530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:412615329:412629437:-1 gene:SECCE7Rv1G0486530 transcript:SECCE7Rv1G0486530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigA [Source:Projected from Arabidopsis thaliana (AT1G64860) UniProtKB/Swiss-Prot;Acc:O24629] MTATPALIGLSAGNRLLSTSFGPPNDLLSDKVNSHMTSAAGDAHGCSSLQFAPPAASKLTVAAHRLKLSPHGRAQVMRALRQSAPAAALVLQPPPLLPADEFSLDAIILLQRSMLEKQWQLPFEDEDDGGGDGHHVEAIGSAEDDDGKGRSSSVAVARSGVSARQRRMSGRRRGRSKKGSGAVHLSISPELLQSRNRIYLRGTVSKELLTHKQVVHLSKKIKDGIWLQQQRSKLKEKLGNEPSYKQMAQSLRISTPELRSRMRESFLAREVLTMSNIRLVISIAQKYDKLGVELSDLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALADNSKTFRLPTYLHERLIAIRGAKYTLEDQGVSPTTQNIAKLLNISEKKVHNATEAVNKALSLDQQAFPSLNGLPGDTLHSYIEDQNVANDPWHGFEEWYLKEEVNKLLNSNLTEREREIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHAARRKKLDALLQDY >SECCE4Rv1G0265040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724238638:724241058:1 gene:SECCE4Rv1G0265040 transcript:SECCE4Rv1G0265040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEGGGVILATLRSLFKLDNATRIASVELWVLLTTVLLVWRFVLDFSGPWFGKPSRMFQVLTLEILTQNLVIYTMGLMQLSGARVNDYFQVWAVLLVTLQYSVKIGRPYSRSKQIPLLDLMSSFWAANLLRVQTFYLLRIPLWLIWSLNAVRIIVLFVTSGKAETHNQESMRLVSDYMSYEDTLSAAGDLELAHMTGYKFLVHGEHRVIKEVQEGRREGSCFGRKRVKKQSDRSYKIELKPEGGHENHLVTVEKIWGHTSGSGLLAASADPGKERKDLCLSFALYKLLRRRFYDLPVHELTRQQGKEKIRHLVLGYILEDCDRAFRITGVELSFLQDLFYSKHATVFAGGLMVPLRSLLLSLSMAAATAYIAYPARYIPERMDPADRNRITHGVFITRLMVGIIVLKELLEIFLYLSSRWAKVLLLCKYAQAQHLQCWRHPVVEWAMGFVLLLGRKAQWSQQTIGQQNLLVTFRVPRPGVPPLFTWTKVLRRGVATGTTALEHRTKVAILGSLKNLKDGQLGDYFSNAFGSNKRVKWPSNLKLKADTHIILVWHIATSLCEINFFSKVKELTAVRRPRPLVEVEEDHWWTDNTGDPVWSEQYATAASLSNYCAYLVRKALVPDNPIVAGMVLDEVIKELDYVVSESSTMDLQDVYDRLMETVRKPCKDHDQNWSWGPDVEAATAMEVDQADQGKDYKRLHIGCSITRMGAVLGKHLTKEYEGDAAGLWRDLASFWTGFLLHLAVNTRAVTHGRHLAGDSELITHLWALLSHACFSGNAIHGEEGPDLEGVPNIDTVVNQQQQAT >SECCE6Rv1G0384800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:68716778:68718043:-1 gene:SECCE6Rv1G0384800 transcript:SECCE6Rv1G0384800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRREDDELAIIEALYANERSSANHTRIHTSILTGDRYVREVLEGHEFRCKRDFRMEQYIFRNLVQCLRERCHLRDTNFVSVEEQLGIFLYAVSKNATNRTLQGQFQHSGETISRYFHIVLNALMILSGSVIHLPPINVPLKVASNTKFMPYFKDCIGAIDGTHILINISPNDQDPYRNRKGTLSQNVMVACDFDNRFVHVSSGWEGSASNARVLQDALENNFYVPEGKIYLVDAGYANTPNFIAPYRNVRYHLVEQAKCNKRPQNPRELFNLRHAQLRNHVERIIGVLKIRFPILKCASQYPIDSQAKIAIACCALHNFICSNEGGEQWLDQVESEIDPVKIIDVPSGDMKYTNDIHSLNERRALGSTKRDEIANAMWNDYQDYLRRTRRNTA >SECCE5Rv1G0372760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:854510813:854512194:-1 gene:SECCE5Rv1G0372760 transcript:SECCE5Rv1G0372760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSSTILKPVPHPLVGEKVPLTIFDRAASDLFVPTVLAYLAPAPSNETLKEGLLKAVAPYPHLAGRLAVDQLGRRFLDVNNQGVLLIETTVPANLADVLVQGRVATGVDHLYPTIPEPEEYIGAALLQIQLNRYKCGGLVVGICCHHHTADGHSMSMFFTAWATAVREGKGFTMPTPFLDRAKTVVPRRTPTPVFDHRSLEFMCGDGNAAYDVVPMDRIKNLKVNFTAEFVADLKGRVGARCSTFQCLLAHVWKKMTEARDLKPDQFTKVRVAVNCRGRADPPVSMNFFGNMVLWAFPRLLVRDLLSSSYGSVVGAIGDAVARIDGEYVQSFVDFGKVADTNGEELLATAAAPGSMFWPDAEVDSWLGFGFHQLDFGTGAPAAFLPPDLPFEGLMLFVPSREANGGVDLFMSIAEEHVAAFEHICCSLD >SECCE7Rv1G0484050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:339113809:339116594:1 gene:SECCE7Rv1G0484050 transcript:SECCE7Rv1G0484050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPKGNGGEKPSADQNHSPNTPAAAGAGGDDVSAAAAAAVGRRPFTALSQEEADLALARVLQEQERAYMMLSAHGGDGSDYDASDAGSYDYYDEEEEGVEGDEGSDYEEEGVLPNDEDEEVGDAEGPELDPARYEDDEAYARALQDAEEREVAQRLMALAGITDLGEEMEHDVEDDEDGDSAQDAWEDVDPDEYSYEELVALGEVVGTESRGVSADTLASLPSVTYQAEDTQDSNMEQCVICRVEFEEGESLVALPCKHSYHSDCINQWLQLNKVCPMCSAEVSSSGNNEA >SECCE3Rv1G0189030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721038456:721039280:1 gene:SECCE3Rv1G0189030 transcript:SECCE3Rv1G0189030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVVFDFDKTIIDVDSDNWVVDGLGATDLFDRLLPTMPWNTLIDTVMGELHAQGKTLRDVADVLRAAPIDPHVVAAIRAAHSLGCDLRVLSDANRFFIEAVLDHHGLQGCFSEINTNPSRVDADGRLRIAPHHDFHAGPHGCGLGTCPPNMCKGQVLDRIRASAAADGGRKRFIYLGDGRGDYCPSLRLAREDFMMPRKGFPVWELICENPGLLQAEVHPWSDGKDMEETLLRLISRVLVEESQLLPLDCKLESMPVPVRDGMPMPLGVKN >SECCE2Rv1G0090570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:281392836:281395057:1 gene:SECCE2Rv1G0090570 transcript:SECCE2Rv1G0090570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQTQQQKPNGRRAVGNSQTWTRYGVLLPSQQTRKLKEWVLTDEQQQVVNASGLGHLALTTGFTIDRSLLTAFCERWNNETNTAHFMGFEMAPSLRDVSYILGIPVTGHVVTAEPIGDEAVNRMCLHYLGESPGSGEQLCGLIRLTWLYRKFHQLPETPTINDIAFSTRAYLLYLIGSTLFPDTMRGFVSPRYLPLLGDFRKIHEYAWGAAALAHLYRGLSVAVTPNATTQFLGSATLLMAWIYGYIPLTQPQQKNQNTLLPRACRWNFGGTTRGQGKKVVEWRKDFEQLQLSDVNWNPYKDINPAIVPGYCIAADNICYSRTWLISFNIKEVYVPDRFNRQFGREQGRLHQVPMWARRTWSKGKDWRVEYAPEIEDFHQLVGCCFIPSAEANINSLPNESIAGQSTTRCSRNASQNFSMMVEDLKNDLPVIDRYLEGQVLPVEVASFLERVSTMIKTYSPPQSNERKDEAAQSKNVRAKNPRKRARKPSLSEDPSSPQDSRLDRYTGALVPYQASKCDRVLDGTVPLLNRGEALKENEVMDPWQMSHLTAESSSSGDSSLSEIMRWRREESGTPRSNQGVRRSGRMRVQVKMFKHRDGVGAEETNPIFL >SECCE2Rv1G0101120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:554041843:554044675:-1 gene:SECCE2Rv1G0101120 transcript:SECCE2Rv1G0101120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEETKVEGTREEERADAGAGAEEEEVEVKFRLFDGSDIGPVRCNAAATTVAALKDRVVADWPKDKTIVPKSANDVKLISGGKILENDKNIAQCRAPFGDLPSTVITMHVVVQPSSAKSKPDKKTNKLPKTTRCSCTIL >SECCE7Rv1G0505950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:742802610:742806317:1 gene:SECCE7Rv1G0505950 transcript:SECCE7Rv1G0505950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGWDINITIFGHDNAVFTLQSFESNFNCFVVLPFQTGEEALDSLKRGVAKDEELDLIVAEVHPGNTEVGTLGLFHHILNELEVPLITMCGYDEAASARMTLGTCFNVVKPLDTETVNFLRMRALQHRSIKNHRSETEDEEQDALNANIYSYNLGRFIWSSELHEKFLQAVEVLGASATARKIHQYMNAKDLNLTIQHVASHLQKHRLRAQRQRLSHYEEGYQHYASIKELSEMISSAYKADSAKPNNHPATTQTQFTHGVASAIWDKYPGMVWPHVEGSSAASTMWYNYPGKPWRQVGESSARARVSQTNARSPPVLIHGTKSIWDRYEESLKYYNESLSYKREVLPVKSKALDGYGCKIFRKREETSRSAASGKIVINMESDDMQKDTTDDVHAEVTPQEDTMDEVHAAVTLQKDTMNEVHAAVTLQKDTMAEAHAAVAPHEMNEVPAAAMGAGYLVDLAGNALLDGIDNYQPAAENVQSEPFSDWEEVEKFWRNQMGGQGQEQQGLEPVDLLQIDGIDPEELLQADEAWNQALQPANPANVVDNAPMADEPAAGDALVYDPANQSGVADNVLWVWSPQFAGDDYGMPLPA >SECCEUnv1G0530830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:14198776:14201317:1 gene:SECCEUnv1G0530830 transcript:SECCEUnv1G0530830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSPAKAPRSKVTEPTDDTEYDSLNLEQKKKMWPMERHRSAEVSQAILSKIERDSFQPCHQPQILEVVKGGCTPKSSCDPSGEITADSNGMHRHTITDAAWEALKQSIVYFKGQPIGTLAAVDKSQAELNYDQVFMRDFVPSALAFLMKGEPMIVKNFLVETARLQSREKMVDLFKLGQGVMPASFKVRHSHPTKKTETLVADFGEIAIGRVAPVDSGLWWIFLLRAYTKYTRDSSLADSPHCQRAMRLILKLWLSEGFDTSPALLCADGCSMIDRRMGIYGYPLEIQALFFMALRCALSLLKDSDDDFVCQITKRIKALSYHLHSYYWLDFQRLNDIYRYKTEEYSQTALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSCLATGEQAEAILDLVEARWGELVGEMPLKICYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHIGRNAVELMEKRLGKDDFPEYYDGKTGRYIGKQSRKFQTWSVAGYLVAKMLLDDPSNLRAVSLEDDGHIREPVLKRTNSCPALHMSSH >SECCE6Rv1G0415070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:638992108:638992620:1 gene:SECCE6Rv1G0415070 transcript:SECCE6Rv1G0415070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAYASRAIVVFAAVLAVLFLPSLACRTALAPAPAPALAPAPAPASAILCQDCDSRCQSPSMCDAYVAAAGCGNACSGATPDCEPCMSEVLQSCTASCNEGCTRNCVGQCDCAGSCSTACAEGARRTCQYKCVYSYYAVKSCHECQDSARTRCTDACNTDCKANCVSG >SECCE5Rv1G0333770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:561248151:561253942:-1 gene:SECCE5Rv1G0333770 transcript:SECCE5Rv1G0333770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL5 [Source:Projected from Arabidopsis thaliana (AT4G12570) UniProtKB/Swiss-Prot;Acc:Q9SU29] MYRRHAKRRREAPDHAPQASSKAPVMTAHAAAGASSSSSGGAAGASSSAAAAFYAPPPRRLHFFVRATDSKTIAIHAAADDTVAAVLAHLDGCGYGRDLRLLYAGRQLQPEATVASLGLAPDSTLQLAARLRSTPHPKAWQLASHIAATAASGDAGTDSAHTLDDLVKEYIACCDPGSEQNRHDRRARAKAKAKGDVGSTERHADDYLDIFLQSGAAIALVRLYLSGFSFSRSYAERAIRCFLSTDPLTLPPNVMHVTAPVLLEFCRLLALTAGNKDSLYKSCRYTLASVLCLPLSVPGASKSPTKVIEQVLPFAREIVELVLNGLGSETMLVPRTDLEELSNFFKVLRRQVLLWMPDGSMPKNMYSRECKRTDTWVWELHEMSMNLLKRVDECLKRLEMDLSSLSSDTRGVIENQPIWATRLHILAILTELDFISGIFEDVAHHLRFVLLAHKAPLNALVRCSKRNEHLHWLMKHKDLLCFEARRNLVLMLFSEGKDDYGELHEMLIDRSRLLDESFEYITQAKPSELHSGLFMEFKNEEATGPGVLREWFCMVSQALFSPQQVLFLPCPNDQRRFYLNGTSAVDPLHLKYFVFSGRVIGLALMHKVQVGIVLDRTLFLYLAGRSITLEDISAADPFTYASCKRILEMGAAEIDDLTLTFSRDVHTLGSRETIELCKGGQDISVNISNREHYIDLLIKNIFVDSISDQLANFAKGFGDILANPEHREVFFGCLDLEDFDRMLGGSNNTINLKDWRSHTQYNGYKEKDRHVNWFWKAVESMPVEQQRQLLFFWTSVKYLPSEGFGGLSSKLYIYKTTESADHLPSSHTCFYRLCLPPYPSLKVMQSQLQKITQEHVSCSFGTW >SECCE2Rv1G0096830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:445820768:445825130:-1 gene:SECCE2Rv1G0096830 transcript:SECCE2Rv1G0096830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-type homeodomain protein, KNOX protein, Shoot formation during embryogenesis, Control of seed shattering, Control of internode development, Repression of lignin biosynthesi [Source: Projected from Oryza sativa (Os07g0129700)] MDQSSFGNLRAGEGGSSSSKASSSFLQLQPLSTTTVASGPGPMGGTYYGTPLALHQAAAAAGSSQYQFHHHKSGGGEISQAEAEAIKAKIMAHPQYTALLIAYLDCQKVGAPPDVLERLTAMAAKLDAHPPGRLHEARDPELDQFMEAYCNMLAEYREELTRPIEEAMEFLKRVEAQLDSITGGGHGSARLSLADGKCEGVGSSEDEMDASGRENEPPEIDPRAEDKELKYQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGQFMADGMYRLGS >SECCE2Rv1G0096010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:430718843:430722347:1 gene:SECCE2Rv1G0096010 transcript:SECCE2Rv1G0096010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTVKLIDIAVNFTDGMFKGIYHGKQCHSADLPSVLARAWAAGVHRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIEKGKVVAVGECGLDYDRLQFCPSDIQKKYFEKQFELAEAVKLPMFLHMRAAGEDFSEIVSQNMYRFPGGVTHSFSGTAEERDKLLSIENMFIGINGCSLKTKENLEIVGGIPAERMMIETDSPYCDIKNTHAGIQFVKLIWPSKKKEKYEPGLTVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPHDIDASADAQLESGGATAEQNS >SECCE4Rv1G0253500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:627152280:627154409:1 gene:SECCE4Rv1G0253500 transcript:SECCE4Rv1G0253500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAKIPAVLWLLGAVLALGVSFSPAQGAKTRYHDFFIKESNYTRLCKERTVLTVNGQFPGPTIYARKGDLVIVNVYNQGNKNITIHWHGVDQPRNPWSDGPEYITQCPIRPGGNFTYRVILSKEEGTLWWHAHSDFDRTTVHGAIVIHPKLGTTFPFKKPHKEIPVILGEWWNADVNHLLQEAVRTGGEINISDANTINGQPGDLFPCSKAGTYKIPVQHGKTYMLRIINAGLSNDLFFGVAGHNLTVVGTDGHYTKPFAVEHIMIAPGQTMDALLEANRAGGGRYYMAARTFVSNPNIEVNNSTATAIVEYMDDAPGRTAPPEFPASLPGVNDIDSATAYTAQLRSLGSKDHPVDVPRKVDERMLITIAVNVLPCAPNETCGGPAGNRLAASLNNVSFANPSVDILGAYYRSVRGVFETDFPNKPPFFFNFTDVDNDPVERWATKRGTKVKVVEYGAVVEVVFQDTSILGAENHPIHLHGFTFYVVGRGFGNFDEQKDPATYNLVDPPHQNTVSVPKAGWAAIRFRAANPGVWFMHCHFDRHVVWGMDTVFIVKDGKAPEAKMMPPPPNMPTC >SECCE6Rv1G0408830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:577257436:577258554:1 gene:SECCE6Rv1G0408830 transcript:SECCE6Rv1G0408830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGSPSLVLLAAAMAAALALVASPVAAFPVVGLPETEPPFPNPWLAFQNLSGCHMGDERDGLARLKDYLSHFGYLPEAPSSSPFSDAFDADLEAAIATYQRNFGLNATGVLDPSTVSQMVAPRCGVADVINGSSTMDRNASAGAHGRHLYTYFPGGPMWPPFRRELRYAITATAATSIDRATLSAVFARAFARWSDATTLRFTETASESDADITIGFYAGSHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAEAWVADGQGDAASRPGAVDLESVAVHEIGHLLGLGHSSVQGAIMYPTIRTGTRKVELESDDVQGIQSMYGTNPNFKGVAPTSPSTSSREMDSSAGASSRPGSGFVAVVVAVGLLLLLPL >SECCE7Rv1G0466390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:78491346:78493220:1 gene:SECCE7Rv1G0466390 transcript:SECCE7Rv1G0466390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSVVPLIDYFARREFLAAGLRPHSVTLPYPDGGSTATCTVHYWAPAGEPRLPPLLLIHGFGPRATWQWRCQVGPLSRQFHVIVPDLLGFGGSSWDSPSAPPPSEATQAAALAALLDSVDGLKGKRVAVAGTSYGGFVAYWLARAAGPRRVGPVVIASSDLLKTAADDRAFLKRAGKGWSGAHELLLPAEPAAMRRLMEMAVHRPPPAMMTPDFVLRDFIQKLFMDNREQLTHLFKGITVGTDKFQVTPLSQEVLIVWGEHDQLFPVDKAYAIQRSLDGKAGVEIIKKTGHAPQLEDPARFNKIMLDFLMAADPACTNGSSL >SECCE2Rv1G0084450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:175044150:175045702:-1 gene:SECCE2Rv1G0084450 transcript:SECCE2Rv1G0084450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGCIAVIVLLLISWREGEAAMFTFVNRCPDTVWPGVLSNAGTARLGTTGFELPPGASRAVPAPSAWSGRLWARTGCAQDGASGRLVCATGDCGSGTAECAGAGAAPPATLAEFTLDGTGGLDFYDVSLVDGYNLPVLVEASSGERPGGASSAGSCAAAGCAADLNAMCPAELRSGGGAACRSACDAFGRPEYCCSGAYANPGTCRPTSYSQVFKMACPRSYSYAFDDPTSTFTCAGGPDYTVTFCPGATPSQKSTTVPAATTPTQTTPTPTTVPRMETPTTTVPATDTPAMMPGMTFTDANQDSMPMPMGGEAGTGGGGGGIQGQGVILGGASNDAWLANMATGDATGAAATASTRLVAAPLVLLALHLVR >SECCE3Rv1G0204790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:897077119:897078874:-1 gene:SECCE3Rv1G0204790 transcript:SECCE3Rv1G0204790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSEERMFEMDAEPASPTESAPTQHQTTCHIIEGVLGGCTPLEMEIYERAMEHVRDMGEERKRSSLKKGLMVRLRKDGYDASLCRSSWVATTQHPGGDHEYIDVHVAGDGGAGRTRLIVDIDFRSQFQLARPAPWYAHLSARLPAVFVGPPDRLRKAVALLCLASRRSLRESGLHVPPWRRSSYMQAKWFPSPAALPDAGDGDAAAAQHQQSQWSVARPGAAGPRRSGLSAEMDGQDGPAWAQ >SECCE2Rv1G0067630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19557814:19559388:1 gene:SECCE2Rv1G0067630 transcript:SECCE2Rv1G0067630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTQLLISEDMLANVLRRVAPRDLAACRCACKALHAFIDARRLLRADLLPLSVGGIFINFHGTRLSELFVRPSTGPAISGRFDYLPRPDGYPHHQRPDCRTVKDHCNGLLLLGDHGEDLFVVNPAIRQWESLPPRLPVSPDFACQEYLVFDPMASSHYEVFVILIPLYKAKPGDFHYNSTKHRVDPAMEESEWPPSVCAVHVFSSRSGRWEERPFVRQGKAAGTVADMRRHRDGSLDRRHAVYYRDALYVHCKTDFVMRISLSNDKYQVILPPMDNDNEQNNYWRNLHLGQSEKGVYLASIHESHLQVWVLEELCGETNWALTHNKSLTPILDYDRPVLGPWVLQDINFNEYLKEYEGHNERANNLEYLMEKKLELLNSGKKELLEEKVDWDSENDNVLHKEDEVDDCGNGCLSILGFHPYKEIVFLDVSMYRGLAYHLKDSKVQDLGYLYPTTNHLALPNECFITESFPYTPCWIGHQ >SECCE7Rv1G0524080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884468560:884479905:-1 gene:SECCE7Rv1G0524080 transcript:SECCE7Rv1G0524080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAMGIHSLVLLLLLLLASSSASTDAVLGRKAGAAVQAPAAPGKYAVIMDAGSTGTPVHVFRFDKRMERVDVGDDIEVFATVNPGLSSYAGRPLEAAKSIEPLLEKAESAVPRSLMNRTPLKLGATAGLRLIGDAQAEQILEAVRGVVHTKSKFQYNPSWINVLEGSQEGSYLWVALNYSYLLGKLGGEYAKTVGVIDLGGGSVQMAYAISADAAAAAPVVKDPYVTKEYLKGRDYNVYAHSYLHYGAMAARGEIFKAKNGPSSSPPGGVVYSQHDAIASPTGAAYYKCREDVTKALKLSAPCEVKNCTFNVAWNGGGGAGQADLYAASSFYYMASRVGLIDSEATSGKTTPAAYGAAAEKICPLSLEEAKALYPRARATDVPYLCMDLVYQYSLLVDGFGLEPTKEITVVEKVKHGEYFIEAAWPLGEAIEAVSPTKRLQDA >SECCE7Rv1G0508940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:776259901:776260212:1 gene:SECCE7Rv1G0508940 transcript:SECCE7Rv1G0508940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE3Rv1G0192400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:758200413:758207423:1 gene:SECCE3Rv1G0192400 transcript:SECCE3Rv1G0192400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKATAGPARGSSRAGDGVISEIREKHKLELENLTLTKHPFRTLHFFMLAMLQYLKRSAAYILSKRALFVLLIVMVVIPGVVLLVTDGLHKKHVQEFLNYARFVLWWVSLGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRIDLKTAPYDTILLKQAPSWLDKKCSEFGSPMYPSSDHSVMIPVFDLLPQVQLEAVLWGIGTALGELPPYFISRAARLSGSELEAVKELDVAPSSEDGPIASTVNRTKRWLLSHSQHLNFFTILILASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKAIIKTHIQTLFIISLCNNQLLYFMEKELIWIFEHIPGFSATLPSVIAKLHSAKEKYLSPPVSVSPSSQMEDKQWNFSFALVWNTVVWLVLVNFFIKIVTSTAQDYRKRQQDIEMELITDSSPQNHSKTN >SECCE5Rv1G0371120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845562855:845563361:-1 gene:SECCE5Rv1G0371120 transcript:SECCE5Rv1G0371120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELALVRPETDDSGAAGQGAGNGGLADDEARPLFSCLFCDRKFIKPQALGGHQNAHKRERAACWNPYVYGRQSAASATTSSRAAASKKSIPMATHGSGGAAAAPPLHVVPEAGTLALSVHGVRAAAGPFSGVHDDVGDLLSWGRSSAAEPASESNTGVRIDLELRL >SECCE3Rv1G0154100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:58931736:58932047:1 gene:SECCE3Rv1G0154100 transcript:SECCE3Rv1G0154100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEEEAPVTVKAQEAVEVKLVLTKPTWVDVEYSYCDSDEEVDSDDEDAETFLARIRSEYNAHAQKFKADNPWLKWRDYSAHPRQEEEVGAVVDGLVSVSL >SECCE5Rv1G0359710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:763605269:763605964:1 gene:SECCE5Rv1G0359710 transcript:SECCE5Rv1G0359710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTIGQRFGGYAEELERLLQTLGGYEQPRYRTRRQETHGIQVGVVTQLRLRSVQQYHPRRDNINLTIRRCSFEMGVQDLARRALLRLCRTHFDSLRETEYRYFIGAIIPDTAPHHQEMAAAEGGALGRLARAQSRVAESVAEELTDVYHRLGDAQRRILELEERLHGGAPPQVPEPIPVVNVSQGSAEEEETQADEPAPAAAPAAAGISSFAPAALFRTPAQGNSGWLDD >SECCE2Rv1G0105520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:621069311:621070705:-1 gene:SECCE2Rv1G0105520 transcript:SECCE2Rv1G0105520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSNDKPVPGMHELPRPAMDRGKTKADHHAVAVAPKLDLQDDSESESGSESIEIADLKKRMWKDQLLLMKLEGSPGHERRATGQRPGTDDLAQAEKEAEMPESRYRRKAMLRAQDGVLRHMLRMMEACNARGFVYGIVDETGMPVSGSSDSLRGWWKEDVGFERTGPTALAGPSTALESPGSSFLHGLLDIQDSTLGSLLSALIQHCEPPQRSFPLDRGLPPPWWPTGQEVWWGLQGETQAHQGPPPYRKPHDLKKAWKISLLSAVIKHLSPRFDQMRKLVWQSKRLQHRMSARDAETWSRVITQEETLDRQVQRALRITPLQEEPGDDDDDNEPLDAERGLHVDKRKREVGKESAGGGSGRELVALPGIDGVAEADRNSIDELMKMYYSCLQGTDGGEQEIKDVAAGGGEQSNTSSAETGVLDAATVHDDMFGDFLSVADVVDMSDFPGSPIWHWGSSDLD >SECCE7Rv1G0467970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:93822977:93832402:1 gene:SECCE7Rv1G0467970 transcript:SECCE7Rv1G0467970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRSPPPNPTPPPHPPAGALLRLADAPAAAPAGPDPAAVRAECDKALACLQRGNQPKALRLMKEALARHGEGSPLLLRAHGTVHSRAAAVLTDPAARARHHQAALLAARRAVDLAPDSLELAHFRALLLYEAATDNRAYEEVIAECERGLRIDDPSDPEPHSLRLPAPDPDQLRAELRNLVQKANLASISTWVKTLGGSDDKLGFFRLADDPLELQLLPAAPAPRRPNEIKKATKTVEERRKEIEVQVAALRLLEQQQQQHNAAAALSSSPPQSQQGDEPPSSSSQSSAAGHRGDRRKGGSRKVAVSSASDQRNQLRAFWVALPVDRRLALLKTSISELKAYYKTHKDKDVAALAPAVLDEVVDFATSHGCWEFWLCGICEERYPDVAHSLREHVSALPRPVQAMLPQEIDADWAAMLIGSSWRPVDVAAALKALEDEQVDTIGQDRDKDSMSSDPWSIKDKSDTSESSASPHNEECDGFGVVMREGARKWPLSDDDERAKILERIHSLFQTLVKHKNISMNHLNRVIHFAMDELRVMPSGSLLLNHSIDESPLCICFLEASSLKKVLKFLQDLIQSCGLSRSTEKDGELGDGDCFPKNNTIPEGVTLDPVSSSLILDGRVFGGKSKSDPENVDTDEFLSWLYAGSPPIGEQLSEWNCMLVDRTSQGMQILDMIDKEASALKNFCEMKHEQLSIEEGVLAVNNIIREEQRLRDRGGRYSYQGYEELLRNRQEELLETRFRSSEYDAISNVLKEVRSSHFGYDEGFSGMTSRQCDFDGSAIDDWRLHDFMHPSDSLVPSVVLRMKEHVTTELGKIDARIMRSVALMQQLDLKLEPAAFVDYRSILLPLLKYFLRNHLEELADKDARERSDAARDAFLAELALDAEKNANKGADKKPSHEKSKDKKRMKDSRRSKDLKDLSWSDQYIVRQDSADEETSEQAQTLVDCDDFDGKLSLSDEYSNEQEEEHRHRVQLEAEERKLEETLEYQRRIEEEAKQKHLAEQSRSTSSAPDIGTNGYSTDVNSSVHQDNIQSAPNNSSPAYLEGIKFGDFRFPKVPPQEKNYSSDFCGVDLPEKTENNRREKPNGLRSTGAHALTSSNMDFTKPALKMNGVGKYAQNTKLSTNPLIQRSKSSTSQPHKKYIQGAVHNGDDSASSRQNGTTAPRWSSSGKVADFSSNSYQDGRQNEVPPVLSSDDPWNANKAEKADKGAISPAIICIEDDSDKRFEEDLRKAVHQSLAGASNGKEVYGAGLKNAAGEYNCFLNVIIQSLWHLKRFRHEFLKTSSLHKHIEDPCAVCALYNIFVDLSKASEGQGEAVAPTSLRMALSKSYPNNRFFQEGQMNDASEVLGVIFECLHKAYTSPADCHAKSHESNSIGSWDCANDFCIAHCLFGMDVYERMNCHSCGLESRRLKYTSFFHNINASSLRTAKMMCPDPFDDLLKTVIMNDQLACDPDVGGCGKPNHTHHILSSPPHVFTVVLGWQNSKESVDDIAATLAGISTEIDISVFYRGLDQGSKHFLVSVVCYYGQHYHCFAFEDEHWVMYDDQTVKVIGSWADVVIMCEKGHLQPQVLFFEAAN >SECCE4Rv1G0254030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:632071064:632072101:1 gene:SECCE4Rv1G0254030 transcript:SECCE4Rv1G0254030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPLSSGAAHQSVPGRYVLPVHKRPSPSVNGKVAPTVLPVVDLGGDDDGRIAEEIVRAGREFGFFQLVNHGVPEEVMGAMMRAAEEFFGLPADEKMAYYSTDGKKLPRFHTSLRNGAGEEVLYWRDCLKLGCHPTEWPDKPRGLGAVLEPYTAAVRAAARRVLLLAAVGLGLEEEHFEGSLSGGGMMNVNHYPPCPDPSLTLGAGPHCDPGLVTVLMENVGGGLQMLLHGDGDTGSGGMMWVDVDAAPGALVLNFGHQMEVVSNGRLRSAEHRVVTGARAARTSLATFVWPEPGCTVVPAQELVLATGEAPLALYKPYSYGEFLGAYVAEGGVRKAVMAHLKQ >SECCEUnv1G0569630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:446614076:446615107:-1 gene:SECCEUnv1G0569630 transcript:SECCEUnv1G0569630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLAGFFYRITADSAEPRGHAVNYADLSAFPCPRLPLPPDTTDCFFSLEDSCDGLFLTSIRTGTAAAGASRYMVSNPATCEYIVLPHSGYAGDYCRAYLGVDSGVSTQEFHVFEFVLEQSQSLIVREVNIYSSKSDVWASMKSQWDSQVSLCWGQPGVFHKGCLHLLIHQRGLAIVDAQGLRWRIIPLPISVDPSFAGFIGKSAGQLFYIDSDGTEGHDSLTFSTISVYVLGAEIYEWDVTQLDDKCIHWKLLRKLSNVAPNVLFQLGFDLEVIGVHPHANIIFFMAHWNNELIAYDLDHQESTVVYHVEPNYQKFRPFFSYVPLFSRLPLDGGMRLATPN >SECCE4Rv1G0227800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:127995547:128001755:1 gene:SECCE4Rv1G0227800 transcript:SECCE4Rv1G0227800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGKKKQKPSVSPQPSPRTPLSRAREGTGGCILDLPSTAAEAAARYPALVPRGGAGCFTGTVFDVVSRGGIRGGEGRLWLSGDAMASSGLRHGCLVSVSLISSSSNSLDEFPFDSLFEECTRFFDLDVDNDLISNEAGVNFVTAKVFPSCEVQKNGIKLSWDLACTLGYPVVGRPLLISPLYTSQAAKQTDGGEYLRVIKCSDLYLSLVPPKVAPSNHNKSESDCHPVRNVMVMESPKRIPSTSPCRNESHDGVSYSGSSLCLDQATAKSVLADDKINDLLQISASRWLGGRHLLKGNYVPLPMCGKLSMFVVLRAETDGSALDVVHEKSNSMSNAEVSGKLVETPALFLVDRTTKVHLSDLSSSKEFGSDKLGFPQEYSMCADTGNEDSNHNQRLGGLSEVSAKLKEMISFSLADQISLPRNGLHDLPRYKGILLYGPPGTGKTSLASSCAYDLGANLFTINGPEIISQYHGESEQALYDAFTSAKQAAPAVIFIDELDAIAPARKDGGEELSLRMVATLLKLMDEIGRNDRVILIAATNRPESIDRALLRPGRFDQEIEIGVPSPGQRLDILHLLLSGVHHSLTSEEVECLAFATHGFVGADLAALCNEAALSALRRYISVKESSTEPLGDRATHAEKTNIQEIDGLLGYEISSLSSSLSKLTMSTKDYSWTNRGDIVESSELDDKEDELLLLVTKDDFEQAKMKVRPSAMREVMLELPKVQWEDVGGQARIKKQLIEAIQLPQKCPDAFERLGIRPPRGLLMIGPPGCSKTLMARAVASEAKMNFLAVKGPELFSKWVGDSEKAVRSLFAKAKANAPAILFFDEIDGLAVTRGHGSNGISVADRVLSQLLQEMDGLDQKIGVTVIAATNRPDKIDIALLRPGRFDRLLDVQPPDEADREDIFRIHTRSIPCSHDVNLNELARLTEGYTGADIKLVCRETAVAALDENFDIPEVATTHFKSAIDRVSPSDMKFYQELAARFRRLVDDTDKATMPGTHTEPAI >SECCE1Rv1G0037030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:516220730:516221911:1 gene:SECCE1Rv1G0037030 transcript:SECCE1Rv1G0037030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGAVVVVFLAAALAAECILDPVDFLALQAVRRSLDDMPGSAFFDAWDFTADPCGFPGVYCDGNRVSALALGDPRAGSPGLTGRLDPALGRMSALTELSLVPGRVQGELPASLASCSNLRFLAVSKNLISGGIPDGIGALSNLRTLDVSFNQISGAIPPSIASLPSITNLILCHNQLTGGIPSFPDSSPLLRMDLKHNALSGGVPSLPGSLQYLSLAANHLTGNVDSVLPRLTRLNYLDLSMNQLQGPIPASVFTLPLSVLQLQRNFFSGLLQPTSDVTIPVVDLSYNRFWGPLSPLLAGIGQLYLNNNRFTGDVPSRLVQELVGTGGLQLLYLQHNFLTGIEISPSSSLPSGVSLCLMYNCMVPPVYAPCPIKAGTQNTRPADQCPEWRG >SECCE3Rv1G0193860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:775485949:775486605:1 gene:SECCE3Rv1G0193860 transcript:SECCE3Rv1G0193860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGLYLPIPSHLLFPYPEISHGFDEFLACTHTHTCCNVPSWPAAAHTHTCLRAHTQVIAPGEDYAVEQEDQLRNPRKPLGNREAVRKYRQKKKAHAAFLEEEVKKLRAANQQLLRRLQGHAALEAEVARLTGLLLDVRGKIDEAEIGAPPFDERCSFGSVVCAAAEAEPTAPCFDSGSAEVSAAWGACEIDGGGIVSGELGVPEVVDAVASFVNSPA >SECCE5Rv1G0353170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:714448985:714450699:1 gene:SECCE5Rv1G0353170 transcript:SECCE5Rv1G0353170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSLTVLLSFLFLAIAAAEPASGTAQHFLGVNYGRLGDDLPPPHRALELARSAGAAAVRFYDANATFLAAAASSGLGFVPGVPNELIVSLAASQRAADAWVASTLLPFRRNRRLRYLFVGNEMLSDPTTKSRWSRVVPAMTNLHRALRRHGLGRVKVSTTLGMDALVGQNVFPPSAGVFRPDIIDLAVRPLLAFLERTDSYLFFDAYTYFTWSANHTIVPLTYALLEPSPAPGFQYHDPGTGLSYTNLLDQMLDAVIAAMCRAGYCGVRLALAETGWPNAGDLNEFGANVRNAATYNRNVARHLASGAGTPRRPGMRMPALVFALFNENTKGGPGTERHWGLFYPNGSVVYEVDLTGNRPAASYPPLPPATNDLPYPGQLWCVLRTDRRGAVANETAVREQVATACADEAALCEPVRPGGECHLPDTVAAHASYVFSAHWNRFSKQYGGWCYFGGLAVETTVDPSHGSCKFPSVIPN >SECCE6Rv1G0379570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:14982960:14984810:-1 gene:SECCE6Rv1G0379570 transcript:SECCE6Rv1G0379570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWSLAGATAALKGSPIDALVRSFLLEGRSASAGARAGAGFTHGPHALRWAYDNALGLVFVAVYRRVLHLLYVDDLLAAVSAEFARIYHPRRASYDEFGERFRQLHLEAEARASRPPSVPAKPGPAASSPGALQPHGGGRGGGGGGESEKMADESGKDDSDAEPSRKEKHSSAADGSINGVEENSHAGHGGVVVKREEEGGPNNGAFNFENITKFLFPRNDKGGDKPKKPAQKDKKKQRPGRDKKPVPKDKKPSELKLDYSNPADHGGGAGEQTAVNQGKSMMDKDEAVRSTAKDGWFSSMFRSIAGSNAELKESDLQPALKSLKDRLMTKNVAEEIAEKLCESVAASLLGKKLGSFTRISSCVQTAMEEALVRILTPRRSIDVLRDVHAAKDRGTPYVIVFVGVNGVGKSTNLAKVAYWLLQHDLTVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYERDPAVVAKGAIQEATRNRSDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAVDQLTKFSQKLTDLSTAPTPRSIDGILLTKFDTIDDKVGAALSMVYVSGAPVMFVGCGQSYTDLKKLNVKSIVNTLLE >SECCE5Rv1G0347910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:671446847:671447315:-1 gene:SECCE5Rv1G0347910 transcript:SECCE5Rv1G0347910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIPSLLAALLAGCVLLAVPTPVAATDHIVGGSLWSIPTSNDYYNNWAGNRTIFAGDNLVFRFDAGMYDVVEVGRWEYDACSAVDQYSDTLKSSPAVFYLDFAGVKYYVCTVGNYCSLGVKLYIIVQQA >SECCE3Rv1G0144130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3231779:3233962:1 gene:SECCE3Rv1G0144130 transcript:SECCE3Rv1G0144130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAVPGCLRRALLNRHRPLDPRLSSLACCARSLDDEEEHSQRSVGDGDRRGRFHPVIARAVRTSSWGAAREISFRECVRLYGLPRSIGLFALLMQSFLPRRIGEVRCLIQSVVDHCGNAGPELFQLAPMLTSNLGGSMTLLHVYATVIRVFVELSMFEDALLTYVEAKKVGVELQVCNFLLKRLVEGNQIMYARSLFQDMKSSGPSPNVYSYSVLMSMYTHGAKLCLEEAQELLSEMEMEGVRPNAATYATYLYGLCRAKQVKSAWSFLQMLRQRGYPCNSYCFNAVIHGFCHEGQVHKAIEVFDGMKKCGFVPDVHSYSILVDGLCKQGDVLTGYYMLVEMARNGITPNLVSYSSLLHGLCRAGRVELAFELFKRLKDQGFKHDHVVYSIVLHGCCQHLDLEICYDLWNDMVHHNFVPDAYNYSSLMYAYCRHRQLKEALEVFELMISDGICPNIVTCTILVHGFSNEGLIGEAFLFLDKVRQFGIVPNLCTYRVIINGLCKVNKPDDVWGIFADMIKRGYVPDTVLYSIIIDGFVKALDLQEAFRLYYKMVDEGTKPNIFTYTSLINGLCHDDKLPEVMTLFKHMIGEGLTPDRILYTSLIACYCKRSNMKAALEIFREMETEGLSADSFVYTCLIGGFSKVLAMDGAELFMEEMINKGLTPTVVTYTDLIIGYFKIGDEKKAMEMYNSMMQAGIAPDAKLSCILSLGNDGDDFGDSQEEKDVS >SECCE5Rv1G0339410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607309999:607312100:-1 gene:SECCE5Rv1G0339410 transcript:SECCE5Rv1G0339410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSAYYGPPKPEPDMVALIHHAVAAGVTLLDTSNIYGPHTNEDKVQLATKFGILAGVDGERAIRGDLAYMGELKKLVKEGKIKYIGLSEASTSTIRRAHAVHPITAIQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGTKLVDTLSEQDFRKDLPRFQAENLEKNTMVFDRVSAMAARKGCTALQLALAWVHHQGNDVCPIPGTTKVENFNQNVAALSVKLASEEMAELESYASADVAGDLYHAFLNTWKDSETPPMSSWKAE >SECCE5Rv1G0335350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:572690224:572693067:-1 gene:SECCE5Rv1G0335350 transcript:SECCE5Rv1G0335350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSPPSASPSPDPKTVKEGQVVVRARNLRNGAQFIPAKAFKARKLYYTNGEAGLLHVPKEDAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDAQKMMAMGGGMGFNPAMSLSAEKDSLDIIQHDWALPKMERHAEEVLRKLLKN >SECCE3Rv1G0196560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:810003048:810006071:1 gene:SECCE3Rv1G0196560 transcript:SECCE3Rv1G0196560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) UniProtKB/Swiss-Prot;Acc:Q8RX71] MGGGSRSRAKEAEGESEVRPGGMFVQRRDGEEGPTLRLRVSHGPALRDVFVPAQATFGEMKRILAQATGLEPERQRLFFRGKEKRDDEFLHASGAKDGAKLLLLEKHVPANVEQKVEPVMMDESMMRACEAVVRVRSEVDKLSAKVCELEKSVLAGKKVVDKEFVVLTELLMVQLLKLDGIEAEGEARAQRKAEVRRVQNLVETLDKLKARNANPFSDSAKSVSVSTEWETFENGMGSLNAPPARFSSTQNDTDWEQFD >SECCE2Rv1G0086170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:203905076:203906273:1 gene:SECCE2Rv1G0086170 transcript:SECCE2Rv1G0086170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD19D [Source:Projected from Arabidopsis thaliana (AT3G54940) UniProtKB/Swiss-Prot;Acc:Q8VYS0] MAARLVLLLLALVNVAPPRRHAGVSAEDVIRQVTDTGHGAGRPGLLPEAQFAAFVRRHGKQYSGSEEYARRLRVFAANVARAAAHQALDPGARHGVTPFSDLTREEFDARLTGLVGARDVLRSVRGMPTAAPATEEEVAALPASFDWRDKGAVTDVKMQGVCGSCWAFSTTGAVEGANFVATGKLLNLSEQQLVDCDHTCDAVATSECNSGCSGGLMTNAYKYLMSSGGLMEQEAYPYTGAQGPCRFDRSKVAVRVANFTAVPLDEDQMRAALVRGGPLAVGLNAAFMQTYVGGVSCPLICPRALVNHGVLLVGYGARGFSALRLGYRPYWLIKNSWGEQWGEGGYYKLCRGRNVCGVDSMVSAVAVAP >SECCE1Rv1G0002510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9243306:9246760:1 gene:SECCE1Rv1G0002510 transcript:SECCE1Rv1G0002510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLGSKMQPMLYLCLLLVACILLLEEAHAALHGGISLRSQHMALLHWKATLASPPLQMSSWQENTSPCNWTGVMCTAIRHGRHMPWVVTNISLPDAGIHGQLGEINYSSLPFLTYIDLSNNSLHGPIPANISSLSSLSYLDLSINHLKGKIPFELGGLQSLTQLVLAFNKLAGHIPASLGNLTVLTTLAIHQTMISGPIPGGIGSLVNLQNLQLSNSTLSGMIPKTLGNLTQLNNLYLFGNKLSGPIPQEIGRLVHLQYLELSVNDFSGTIPISITNLTKMNQLFLSENEITGPIPPEVGNLRMLNQLALHKNQITGSIPPELGNLTMLYELFLYRNQIVGPIPFELGTLLNLQALDLSGNQISGSIPDRLGNITKLILLNVFQNKITGSVPQEIGNLMNLEILDLHQNQISGSIPKTFGKLQSIQQLSMYDNQLSGSLPQEFGDLINLVELKLTENSLSGPLPANICLGGRLQLLLASSNMFDGPIPRSLKTCTSLVSISLQRNQLTGDITHHFGVYPQLILMRLASNRLSGQISPNLGASTQLTVLHLAQNMITGSIPPFLSKLTNLVELTLDSNHLSGEIPPELCTLENLYSLNVSSNQISGSIPTQIEKLSNLGYLDISGNRLSGSIPEALGACMKLQSLKICNNNFSGSLPGAIGNLASMQIMLDVSNNNLSGVLPQQLGKLQMLEFLNLSHNQFNGSIPSSFGSMVSLSTLDVSYNDLEGPVPTTRLLQNATASWFLPNKGLCGNLSGLPPCYSTLVAAHKKGKILGLLLPIVLVMGFVIVAAIVVIIILARKKRSPQESVTAEARDLFSVWNFDGRLAFDDIVRATEDFDDKYIIGTGGYGKVYKAQLQDRQIVAVKKLHQTEEELDDERRFRSEMEILTQIRQRSIVKMYGFCSHPAYKFLVYDYIQQGSLHGILENEELAKKLDWKKRIALATDVAQAISYLHHECSPPIIHRDITSNNILLDTSFKGFVSDFGTARILKPDSSNWSALAGTYGYIAPELSYTSVATEKCDVYSFGVVVLELVMGKHPRDLLDGSLSNGEQAMMVKDILDQRPTTPISTEENSLALLIKLALSCLESSPQARPTMRKAYQTLIQPSSSSTTVPFSALTLQ >SECCE6Rv1G0399820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:443937261:443937699:1 gene:SECCE6Rv1G0399820 transcript:SECCE6Rv1G0399820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLEKRMDAAEQQIKELREDLNRRFDELVKMIRKGVPPAANEGDSCKEEEEEEEEEEEEEEEDVQQIRRRDNLRGRPPQQRVVQCASRRPIYVEASEGEEYDDALEEPDLYVYRRGA >SECCE1Rv1G0035800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:501616667:501617374:1 gene:SECCE1Rv1G0035800 transcript:SECCE1Rv1G0035800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLLDSPPQLPAAGPWGSLFAVQEPGKPRSASVALPFAPKKMPAYRYGMKRNLEMCTEALGCETGGVDPAAEDAFEGVERKRKYREEEEEEEAKVEPTERRARVLPPPLTTLAAGATRMRMVHERRGGRLEVYAVRASGLEAERSGGRLRLRLRPCAGCNAAAAVCSSQQEPQEAEEDEAEEVDQQQRQQEECVVPKYVRRERCLKVESGATAARCSIKWEQEQAAAFWVATT >SECCE5Rv1G0340400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:614726003:614726857:1 gene:SECCE5Rv1G0340400 transcript:SECCE5Rv1G0340400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQWSSPSTSTHSDDQHAAAVWSSPPKRPAGRTKFKETRHPVYRGVRRRGSAGRWVCEVRVPGRRGCRLWLGTYVAAESAARAHDAAMLALGGRSAACLNFPDSAWLLAVPSTLADLADVRRAALAAVADFQRRETASSAATVPVDEVFDTSTADNTGSWATPQPCAAADGLFNVPAAALASEMFDFEFDVSWVMDLGSRATAQPSCAAADGVFEVTAAALGGDTFDLELGISGGIDMGSYYADFAEGLLLEPPPPADATEACWQNGDYYGDGGGDTALWSQ >SECCE5Rv1G0345130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649491040:649491676:-1 gene:SECCE5Rv1G0345130 transcript:SECCE5Rv1G0345130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTKTLARLAKKWQKVVAMRRKRLTGSSSTSAEETKGSCSISCSSVAGKGHCIMYTADGVRFEVPLAFLSTTVFGELFRMSQEEFGFAGVDGGRITLPFGASVMEYALCLLRRSASAEMEAAFLYLMAMPCHYYAAAHPGVFRT >SECCE1Rv1G0057420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693479071:693480285:1 gene:SECCE1Rv1G0057420 transcript:SECCE1Rv1G0057420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKKPHSQPAMVSWSSIPLDLAGLVLRLLPAYADRARFAAVCPQWRAAARRQQQQLPPPLPLLALPDGTFYSLPYTKPFRFPGCGFAGYQSACGNWLVFPRDDGCFLVDPFSRATVTLPALSSVRLRPPNAVARWSEDGMAKFADPYTTWMHIKDSDKLHISKLILCSPNLVAALVGIGHTSQILMCQPGALSWSVRAYDPCKRFEDMSFYQGKLYAVANDENLLVVNISQDQSTGDPQVSRIGQVIKGEPWYAVVSPDNTIPCKKLYLVEGHGALLMVRRAIWCQVPRPGVRGKVVATESTFEVFEADFEHSRWVKVSALRGLMLLGRRCSRVLSLSGIPDDHIFFLDDDEENLVEYGYDTENTSYGTYAIGSGRIRSAHPDISWKRGDEMRLAAWLFPQD >SECCEUnv1G0531690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17731946:17732635:1 gene:SECCEUnv1G0531690 transcript:SECCEUnv1G0531690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSCWFLVFAWVVAWELPLTVAGAEEQQGEGCSSSAKTCGNLAISHPFWLADREAGRSCGPLDFEVSCSNNNISFLRSSGFTGFAIMDISYEDRNLRVIDVHKEEDFNVTNGTCDFPSWNTSSKLAVPFKVNSANLNLIFYKCTKRVALVEVRCANASNVFVRAGVRFDETGNYGGYALEGCKAIVVPVMGSSGEANASDYEQLISDGFLLTWDLPPARKFIGQIIF >SECCE7Rv1G0504400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722469861:722470835:1 gene:SECCE7Rv1G0504400 transcript:SECCE7Rv1G0504400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWLKLCIALTCALLLSSSCHGLQVGYYKKTCPRVEAIVRDEVKRFVYKNAGIGAGLIRMFFHDCFVQGCDASVLLDPTPANPQPEKLSPPNFPSLRGFEVIDAAKDAVEKACPGVVSCADIVAFAGRDAAYFLSRMTMKINMPAGRLDGRVSNSTEALDNLPPPFFNLDQLIASFAAKGLTAEDMVVLSGAHTIGVSHCSSFVSDRLAVPSDINTGFANVLRRQCPASPSPANDPTVNQDVVTPNALDNQYYKNVLAHKVLFTSDSALLATPATTQMVRDSAYIPGQWEAKFNKAMVKMGAIEVKTGYQGEIRRNCRVINH >SECCE2Rv1G0066890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:16384465:16385580:1 gene:SECCE2Rv1G0066890 transcript:SECCE2Rv1G0066890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITKVDLRGLEPGRPGWDEARDAVTASMVAHGCVVVHVQHVGMDEDIRQALFGRAMPELFALPGEAKQRNANSDVQYGGYIGQIPGMAYESLRIKDVTDAGHISDFAGLLWPQGNPAFCDTIGEFTKNAVSLERTVTRMVLEGLAVRDEHALDSHRDQLCYSLRMSYYGICPEENATKVTLPEHRDYDMTTTIVQHEVEGLEVQLKDGSWFAVPPEPDTVVIVAGELLNVVTNGRVRACLHRVRTPSNLERFSALLGCMPTQGSTVRAMEQLVDEDHPLVYRPCDPYEYCAFQYSEEGRKSGDALMAFCGVEKDLDGAAV >SECCE2Rv1G0121650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:809583956:809585353:1 gene:SECCE2Rv1G0121650 transcript:SECCE2Rv1G0121650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASAVHVLVFPWPRQGLINPMLHLATALLDAGAHVTFLHTEHNLSRIARAPPPRLRLLSIPDGLPDDHPRHYMELIESMCTTGSAAYRALLLSSLLPADDVPPVTCVIADGTMPFATDIAEELGIPALAFCTFSACSSLAFMSMPKVFELGENPFPAGDPVCGVLGMEGILRRRDLPRGPGVCSTEQTGGGNPMILKIGEGVAHSCKARALIINTSASMERPALAHIASRTRDVFVVGPLHARSRSAASASLWREDDGCMAWLDGHDDRSVVYVSLGSIAVISYEQLTEFLSGLAATGYAFLLVLRPGMIQMASSALLREAVAVAQAGKARIVEWAPQLDVLRHRAVGCFLTHAGWNSTLECAVEGVPMVCWPFFLDQLMNSRLVGAVWRTGLDMKDVCDRAVVEKMVREAMASGEIKAAAQAMAEQLRQDIADGGSSSSELGRLVRFIRELSIKSGPEPRIT >SECCE1Rv1G0015310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:123211117:123216943:1 gene:SECCE1Rv1G0015310 transcript:SECCE1Rv1G0015310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATVVAVASDGDDGDRRRPLLETGNEILPYPESPSRPQPPGADAKLEQQKPQRVASLDVFRGLTVAMMILVDDAGGAWPGINHAPWFGVTVADFVMPAFLFIIGVSAALVFKKTPNKIATTKKAAFRAIKLFILGVILQGGYIHGRHKLTYGVDLDHIRLLGVLQRIAIGYFLAAISEIWLVNNTSVDSPVSFVKKYFVEWIMAIIISALYIGLVFGLYVPNWEFKVQTSNSTFSNPSNDVGFKTIQCGLRGSLGPPCNAVGFVDRVLLGESHLYKNPVYKRTKECSINSPDYGPLPPNAPDWCLAPFDPEGLLSTLMAAVSCFVGLHFGHVLIHCKTHSQRMMSWLLASTVLTVSGFLLQLLGMPFSKPLYTVSYMLLAGGVSGFLLLLLYCIVDVIHIKKPLILFQWMGMNALIVYVLAACELFPALIQGFYWRSPENNLVDATESLLQAIFHSKRWGTLAFVLVEIVFWCLAACFLHMKGVYLKL >SECCE4Rv1G0239890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:428236461:428280647:-1 gene:SECCE4Rv1G0239890 transcript:SECCE4Rv1G0239890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase PASTICCINO1 [Source:Projected from Arabidopsis thaliana (AT3G54010) UniProtKB/Swiss-Prot;Acc:Q7DMA9] MSHDDPPPAPAKKKSPAEEAAEKRREKLTPGSLMKAVIRSGRGDATPADGDQVILHCTIRTMDGIVVNSTRREHGGKGIPLRFVLGKSKMILGFAESFPTMLKGEIAMLKMEPRIHYAEDDSPVTPPDGFPKDDELQFEVEMLDFFKAKVVTEDLGVVKKIIDEGKGWETPREPYEVTARITARTTDGKEILPSKGVPYFFTLGKSEAPKGLEMGIGTMARKEKATIYVSSTYLTESSLMPQLKGLEEVHFEVELVQFTQVRDMLGDGRLIKRRVVDGSGEFPMDCPLHDSLLRVHYKGMLLDEPKSVFYDTQIDNDGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGAHVRWEIELLGFEVPKDWTGLTFKEIMEEADKIKNTGNRLFKEGKFELAKAKYEKLLREYNHVHPQDDEEGKIFANSRSSLHLNVAACYQKMGEYRKSAEACNKVLDANPVHVKALYRRGMSYMLGGDFDDAKNDFEKMVAVDKSSEPDATVALVKLKQREQEIEKKARKQFKGLFDKKPGEISEVGVESKSGGDTAGSGEAVTSKYRDGRGKSSSQSAESDHAFDEERLWPSARRIFSSLGLNRCTIL >SECCEUnv1G0534030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35630654:35633093:-1 gene:SECCEUnv1G0534030 transcript:SECCEUnv1G0534030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAESGQAAVVAGGNHPQLSKSAKKKLLKQERQAARKAERKVAEKERRREDIERRRREWEESLAAAPSEEAREQMLAARRETRLERVGKRVEERGARAERLRRAADGAGQKVVLDLEFADLMRPNEIHSLTQQVNSPLYLHAWCLPRCLLPSFMFRTXXXEAKSYLEAFADCKENLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITQKKAVDQGIQSAKLPIGNYLKMSSSQVLTVNQVFEIMQKFVETKDWKTAFFHVIPPRKRGEAGAADDDDAPEGAANGDLDKCLDEEVNDDDGGGGDGDEEADVANKRHCVRSESGKS >SECCE2Rv1G0120800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:801923986:801938534:-1 gene:SECCE2Rv1G0120800 transcript:SECCE2Rv1G0120800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMELEDVVLSWSAQEIADDDLYRDKVETIPCNFKSLDHYLTSYRVPLIEETRSDLWSCLELISEAPSSKILSMEVAGKPGSYFMDVDFWDNDAGFSTGDYSARNGDIFILSSIKPEAADDLNRYGVTYCLAMTTGISMDDEYQKGFRVKVAKNIGSEEDLNKLKHAIFLNNIMTNIRIWKALTFDKHMNGNFTVIKSLLSPTNLGEDVCHICVKQDGGCLASFTEQLMPITLNQSQVDAIESVISAVQCGHVNLMKLIWGPPGTGKTKMVSALLWVLACLKCRTLTCAPTNVAVVGVCTRFLQTLKDLNEHIDNICLPSSLGDILLFGSRSNMDITEDLKEVFLDFRVDELVECFSSLSGWNYRIASMISFFEDCASRYDMHLEDDGKTDPVCFLDFIKKQFDAAAIALKRCIMNLWVHLPGRCFSRDSVINISSLLNMLEKFGTLLCDVDLTNEGLKRGLGCLSTENSVCAQPISSIEKELDRARSSCLKLLKDLLHSLNLPTGVDKNWVQSYCIRNATLIFCTTSSSYRLHHMNIAPLDVLIVDEAAQVRECELVIPLRLHWLKHVVLVGDDCQLSAMVKSKVCKEAGLETSLFGRLVMLKFDKHLLNIQYRMNPWISSFPNAKFYERKILDGSNVLSPAYNKDYTCLPFGSYVFINVTDGREDKEGTGNSRRNMVEVAVVLHLIQTIFTSWKRMDKGLSIGVVSPYKAQVDAIKSRLGKKYDTCDGFHVRVKSTDGFQGEEDDIIILSTVRSNERGVVGFLADNRRTNVALTRARHCLWIVGNAHTLSKSGTEWTDLVADAERRKCVFNATNDAAICKLVVQVKQELDELDDLLNADSAVFSNTRWKVVLSDEFRKSFTKLKSTQLRKEVLQKLIKLGDGWRTTVKNLDIPGVSHLAKVYKVSSLYLVWSTDVEKTEGRYFQIIRVWDLLSQQNVARTVQRLENLFSMYTDDYLDHCRRVQTQGKLEVPMVWHIEHDIIRFNKDCKVDAHDEHDLVDTSYAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEEQIIIQFPLTSFILGRSGTGKTTVLTMKLIQKEQQSLIASQGLNFDAADLSGVDDNNIMPLKNGGDSFVKQVFITVSPKLCSAIKNHICGLKRFGTGDVSDQSSILHMYDIMDDLEEFTEIPDNFCDLPNEHYPLTITYRKFLLMLDGTCRTSFFDAFYGEMKTSFERGHSRSRAVQTFIELKEVTYEKFAAFYWPRFNADLTKKFAASTVFTEIISHIKGAYQASRPYIGKLGREDYVMLSDKRFSSLNNERRDRIYDIFLEYESMKCTAKEFDLSDFVNSLHSSLVSEGYHGDMVDFVYIDEVQDLTMTQIALLKYVCMNIKEGFLFAGDTAQTIARGIDFRFEDIRSLFYTAFLSETEASNGKKPHLSDMFQLSQNFRTHSGILRMAQSIMSLLYFFFPSSVDKLNPETGLVYGEAPVLLESDNDENAIMTIFGESKTKHGNLHGFGAEQVILVRDAAAKKQIIDLVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLKNKWRVLYGYMKDKDIIGHSEVISHPGFDRSKHYLLCSELKQLYVAITRTRQRLWICENTEDYCRPMFDYWKKLCLVEVRLLDSSLIQAMQTGSSSDDWRLRGTKLFNEGQFEMATMCFEKAGDAHREKLARAAGLVATANRVISTNLELGKASLQTASEIYESIGMHEKAATCHIKLGDYKKAGMVYMQKCGTSKLEDAGDCFAMAECWSEAAEVFLKAKCYTKFFSMCSKGKTLFNLGLQFLEQLEEEHSLENSKSLEVSAIRTKYLDNCAQHYFERGDIKHMMPFVKAFSSMDHVRAFLNSRNLVDELLSLEMEMGNFLEAARIAKHNGDVLLEVDMLEKAGLFEDATRLLLLHIMVDSLWSSNSRGWPPKRYLEKEQLLSRAKEMAEKVSECFYCFVCVEADALSDVNKSLPSLNCTLLEGRKCANLIVELVASRSILDVHLQSRASGYNIELGPGSEDENSCTDMLASNQMSPQTLFYVWNHWKSIIIKVLSHLCHTDGPESNDYAIMYEDLCAKYFGLRKDGEVDRYVVLNVNASWLSTAGRNSLQQDGNRCFLGAPQCYSCAQCFWMNELSSVGFSVLKKLESIVQISPKPSSSYTLVRSILIINEIAKFLEEPQFSMTKSSMKLRSFFVLCERRFFELAFLVWRDGTRRSLLRLLDSPAAYGLIADSLSANLRPANKNLTHGHVGRTTILLLHVAQLDEELLSRLLQYLDNNSKWAEFFRCFKRFLDSGGDRSSLILNFKLALDFTFNVKWKEELDYISPICYVGLMECLGFMASSYLLQKGCIYCTKSLLVNMLECCTSKVYIDTCLVSKSGSDSDLDRLAYSSSGSFIYRTIMTILTTKHMLQEWVHKTSSPSSTSYKPVLLRLVVTLYPLILTLSLGDCYEVTNNLLRNEVFKDLPLEFSQKIVHTLQMKPRKPGNFTRVLADALAAIGDNMVVIGSPEDPVVCRNLNAYMISKEDLHDVPKIMALLCPEEPSSVKQETPLPEKTDGDKFSIVISGNIPKAVLDNEMERSSEIDSIEVLFEKLATLRAGREGLRDPQAVIEFLISALPWLESGIIPGIDKLLLEDIRRICSEFENGSDRAKRNACLAVEKLLQSENKLPLIFRLLTAARLCMFTNQMREKAMNDRRNDAAAANVQPHAEADGCSDDDEPDTGEAASTSKKAVQKQKGKKKSKKSKGRGKK >SECCE4Rv1G0226570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:111365765:111366808:1 gene:SECCE4Rv1G0226570 transcript:SECCE4Rv1G0226570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPTPYASLLNDAPPADVDGADADLDLCPDGGLCWPDDPLDSVIGYMSMLDDGFLEGLGLGSSPPPGPVGDGQDYSKAALEGMKADADLGSLAEERPLSLRDAGAPGCRKRSASYVFDSKPEGLPPARARSLSCSTTITSSSTASGSRSLSPTSGGTESPREPASGDDDLRWTLPRKPHHRRTVRRRAPSWSFALPPPLMSAAAAYRCHGDSNANDDKDKDYVLSRCKKGRGNGGPRRARRQQKKTEDKICTHCHAAETPQWRAGPAGSSTLCNACGIRYKMGKLFPEYRPSTSPHFSSLEHSNRHRNVERIRDRKKKLKVMSPELPVSPDADDKLLLESVNMKCS >SECCE6Rv1G0437040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:780746841:780751101:1 gene:SECCE6Rv1G0437040 transcript:SECCE6Rv1G0437040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMASIMSEVLLFLPFILLALLSFYTITVAKCHGSWTKRRPNLPPGAVGWPFIGETFGYLRAHPATSIGQFMSQHIARYGKIYRSSLFGYPTVVSADAGLNRYILQNEGRLFEVSYPPSIGGILGKWSMLVLVGSHHREMRSIALDFLSSVRLRNVLLPEVEHHTLLVLRGWLPSFCSAVFSAQHEAKKFTYNLMAKNLMSMDPGEEETERLRLEYVTFMKGVVSAPLNFPGTAYWKALKSRATILEVIGRKMEERLEQMNKEDSSTEADDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSVALALAIFFLEGCPKAVKELREEHLEIARRQKLRGECKLSWEDYKEMVFTQCVINETLRLGNVVRFLHRKVIRDVHYNGYDIPSGWKILPVLAAVHLDSSLYEDPNSFNPWRWKGNTSTVAPNNNFMPYGGGTRLCAGSELAKLEVAIFLHHLVLNFRWELAEPDQAFVYPFVDFPKGLPIRVHRIAQDEGEE >SECCE6Rv1G0417720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659438324:659439781:-1 gene:SECCE6Rv1G0417720 transcript:SECCE6Rv1G0417720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEPLLAEIVKRITKTSDLNSLSLVSKQLCTVEAEHRDAIRVDCGLDPSTEALASLFSRFLNLAKVEINYSGWKPSNGDQLNNQGLRVLSSHCPLLSDLALSFCSYINDSGLGYLAECKNLRSLRLDHASAVTSTGIFQVAVGCRYLSVLHLVDYTAVNNGEWLEYLGRYGSLVELVVKDCDGISQYDLLKFGPGWSNLQKFEFEINGNYWKSGASDPSFVSGYPYRYDICCDNLKDLRLAHIITEPEIGLRFLLGKCKALETLYLEYVIGLKENEMIALFQRCNNLTTISLRLMPLHCEGYEFRTPLTDISLKALAVNCPMLQVVELTFTFCEPSWPTEIGFTQEGIVALIQSCPIRALLLNGANILYDEGMEGLSSAQFLETLELVDCMSVTDAGISLIIQAPCMSSLILRKCENVTDRGMAELARSQKLESLAVIGCHRISQESVQGAAKSVHYSAEIESHGSLKGMDMKWNRKRCRSP >SECCE6Rv1G0379060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11790116:11790704:1 gene:SECCE6Rv1G0379060 transcript:SECCE6Rv1G0379060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQQERVCQVCKYPTEICARGLGFSQLSLTISRRFKCTMVIPCKLGENLTCFWRSEMKLQMTPTGEWYEFDVGKRGRKYYLTGEWEMFASIYRINHGDKLHFNVGSLVHEHLVVGHVRRLSGDVAMPRCTIAEYEAEQ >SECCE4Rv1G0256670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:658727541:658728911:-1 gene:SECCE4Rv1G0256670 transcript:SECCE4Rv1G0256670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQVVWTAPMTSFMLDHLCKVVGDGVRTSTGFKKCQLARCATAMNEHFQLTLTHANIGNHNRTWRRKWGTILRLRGLSGALWDDEQSMIVLDHEHYTNHIKDHKEDEPFLNKPLKHYNEMAIIHGNTMATGQYTKGSNDPLGTEVNDISDNEASPLEEGSKSSNGGDAVAPNPKRAKTKSSVDEGLQSTLMAVGERLAIAIERSVSTDNSTTSISTNNSTNNSTNNSMVGLWEGMKDIPFFGIDYLAHYFAYLVENPNIAMAFQLLEKDQKSIWVARYVKNTFPPMDG >SECCE6Rv1G0437770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786206573:786208970:-1 gene:SECCE6Rv1G0437770 transcript:SECCE6Rv1G0437770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQHLGNATSVASLLHQLKDVWKSPRGTVLQIEALALVAIFLSFFLAVFGSCRRWSNNFLIQKGFMAANALFLSLGTYSIGLMQSSPVKSEMYPIWAVSLLALLCCVDSAAASGLDNRSQLWKMLYQLCLYFGYVLLMSITTISSDIGNIAICVLSAVTFIKGFHRSMALVLPSSMRNMIREFPRNSIKNLTVDDRLDVMIGYIQEVNMGHIASMRCEGNNKMLESKLNSCKDVCLSFSLSHLLHRRFLGGASPAQPLVKNCKRALKVVEIELAFLHDILYTSNTFLHYYEAKSASIWAFASIIGICFVGAVAVIPGARTSRRASPDTIFVDTTIVDFVITGVVLVSLALLQVLQLLSCWTSNWARVAFACDYAREEEVVLSWGMRLRASLLKINWYDYPWQNKLGQQSCFGPFGLQYISRELKEMLRGSCTGSTVDLHPDVKASIGHFVDNEIKSNEISSWASSKVENGQSGFPDFSLSCKVNDTLRGAAEVSDMIYVSCILIWHVATCYCELAQQCDRSNGGGHHVTTEKDHRSVAMHLSKYCAYLTIKSVYGQFRQEATRVGKGLSSAAAGDKLSAMDLRCADHRTSVCIDDVIVSVGEPIYGLGVKLGKGLQSMDAAERWKVLADFWVKALLYAAPSDNVEEHMQHLSQGGELITHLWAMLYHAGIHRWQLNPPAPNHINIGWDYIFRIRSWEDLEPSHKV >SECCE4Rv1G0222720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:62134838:62135758:-1 gene:SECCE4Rv1G0222720 transcript:SECCE4Rv1G0222720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAAGMFPMFAPPPPPPFHYAFAMQPPPYFYPPPMSPVRPSFAVRVRSVWASNFEYESENLRSVARNAQHVAIDVHYPGVVHHPSQDHNALTAEQRYALVKSNVDDLTPLQLGIALYDSHGGYLAAWEFNLSDFRPLADPHNENSLAYLAGRGLDVNALRDHGVSADMLRKKLFESGLIGARCGRSRSWITYAGAYHVAYMLKIVTGGAPLPRDVAGFDGAVRRYLGDQVYDVARMAAGCPDMPLGLGLEGIADHLGFHPPLGSPRLAAAAGVRAMQVFMRLKYGELGGNVQRYQGLLQDIHKQC >SECCE4Rv1G0292410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882476459:882481708:-1 gene:SECCE4Rv1G0292410 transcript:SECCE4Rv1G0292410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLGKAVLDGALGYAKSKAAEEIALQLGVERDVDFIKDELQMMQSFLMTADEERSQNKVLTTWVKQVGVLAYKVEDSLMDFGLHSEKKPFFWCIPRNPWDRRRIAKEVKELRAKVEDVSGRNLRYRLIRESSGSKPTAAEEQASIATAAMFGINEARLATLEHQKSSEVDLHQLITSKDVDLRVIAVWGTSGDIGKTSAIQEVYDDPEVLKMFGFCAWVRLIHPFSPQEFLRSLVRQFYESSHDGVEKAEQVTSVGANVLAKMEMMNQSDLVIVFNKVLCSNSYLIVIEDLSTIVEWHCIKKYFPDNKKQSRIVVSTQQAEIASLCTEKPYQVSELKQLSCDQTIYLFHKKNSEHQISMDDVRVAMYDTSNKARLAAVEEKPEAMHAPCSAKPISDSNTVTTSEKNTSSPISEIQEEDQEPHNAGEENVLNSTARKKFDRSRTPSLADELLCGRETEKSFLIKLVGQPDVNQGRKVISVWGMGGLGKTTLVRSIYRSQELGGWKRAWATALRPFNPEVLLRALALQLWNTVEEKKRIALMNLQELKQELARLLALKKCLIVLDDISSTYEWELVKQCLDNAERIIITTREKKIAKHCSREDKNMYSLQVLKFDAALDLFIKKVFKDNIVKNDLAPAMMEQARVTLQKCGGLPLAITTIGGFLATKPKTTIEWRKMNDCISTELEINPEFMTIKSVLMRSYDGLPYHLKSAFLYLSIFPEDHKIRWGRLMRRWIAEGYSRGMQGITAAELCRRYFDELLDRSMILPGEGIYCQKINSCQLHDMIREICISKAREENLIFTLEEGCCLSDTQGAIRHLVIGSNWKRDKDVLESMLDLSHVRSLTVFGEWRSFFISDNMRYVRVLDLEDALGLRDHHLGQIGQLRHLKYLSIRGCRDILCLPNSFRNLRHLETLDVRDTTISEFPTIITDLWKLQHLRADGRDPFDPEGRGEIVHKYDIFNLYRFRKGPLDGVILPKGIGKLKALHALGFVDVSRRNGNATIKEFGELTQLRKLKVGGLSYRNINELWSAIAGHNQLQSLSVKTDNLGEDLLDGCLGEDLLPPSSLESLTLDGKLVNVAEWIHKLQNLSKLVLRYSRLEQDDVIQALGVLPNLAVLRLDSYSFRGTQLHFQRSSFPSLMALELMYLSELQSLLFEEDAMPKLELLKIDACDELKDISGLPALKSLKEIRLHGSENMKEVVERQVAEHMKHVRLN >SECCE5Rv1G0350310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:689677499:689679663:-1 gene:SECCE5Rv1G0350310 transcript:SECCE5Rv1G0350310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMEHDGGAEVVVTPGELLGPSSSLEAGRGAYADGRSVRASVTGRRRFVAPAPGSSDQRSTVEVVGHKAHGAVPQPGSIVIARVTKVMARMASADIMCVDSKAVKEKFTGMIRQQDVRATEIDKVDMYQSYRPGDIVRALVLSLGDARAYYLSTAKNELGVVSAQSIAGGTLVPTSWTEMQCELTGQIEQRKVAKVE >SECCE7Rv1G0508790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:774555533:774555802:-1 gene:SECCE7Rv1G0508790 transcript:SECCE7Rv1G0508790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDKDRSASKEEASRRQDIERRRAEARRKVEQMADTVQFNDPWIHHSDVTKSPEELLQARQHAWRYQARLIEMARRRDFAQAMQIHG >SECCE2Rv1G0132720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:893761799:893763739:-1 gene:SECCE2Rv1G0132720 transcript:SECCE2Rv1G0132720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFCSIPRGRRPSAPHGAGHQPLPPPEWIEPYTDLADPSPYTAASAAPPTPSPWLPRVVSLVLRAPPATLAADLRAFCRTFLLRLSPAFVAAALRSPQLLPHPLPSLRFFRALPSGTDDLAAHPQHLLGCYVSLLHSFAHAREDTPDAAGHAGRLVAELRARGDADGLLGHLTPPSAASLVRSLAALGLADELLWAWNGMRLAGVEPSRVTYNCLLDGLVNAGLLDTAVNVFDAMSTEDPVRPDVVSYNILIKGYCRAGRVQDAMARLDHMRERGELSPDKITYLTLMQCHYSEGTFSQCVALFQEMEERGMGKEIPQHAYVLVVGALCKDGKPFEGMAVFERMLKRGCPAKAAMYTSLIDSMGKFGRETEAMSLFERMKSSGLELDAVTYGVIVNCLCRFGKLDEAISCFRSCEEKGIAVNPIFYTSLIDGFGKAGMVDQAQELFEEMKVKGFVPDSYCYNVLIDGLAKAGKTDDACALYKRMEADGCDQTVYTYTILIDGLFKEHKNEEALKLWDAMIDKGITPTAAAFRALANGLCLSGKFSRACRILDELAPMGVIPETANEDMINALCRAGRFKQACKLADGIVGKGREIPGRVRTMMINALRKAGNTDLAVKLVHSKIGIGYERSGSIKRRVKFQTLFA >SECCE1Rv1G0001120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4043527:4045077:-1 gene:SECCE1Rv1G0001120 transcript:SECCE1Rv1G0001120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLSTPLLTSHHHTPLINLLLPPPPPLIDQEKLRLSAMEPIPLLTPYKMGQFDLAHRVVMAPLTRQRSYGNVPQPHAAVYYSQRATAGGLLIAEATGVSDTAQGYNDTPGIWTAEHVEAWKPIVAAVHAKGALFFCQIWHCGRVSTFELQPGGTAPLSSTEKGVGPQMSFDGRLEEFAPPRRLTVEEIPAIVDDFRKAARNVIDAGFDGVEIHGANGYIIEQFLKDSANDRTDEYGGSLENRCRFALEVVDAVIKEVGGHRVGIRLSPFTDYMDCHDSDPHSLALHMSTKLNDHGILYIHMIEPRMAIVDGRRVVPKRLLPYREAFKGTFIANGGYDREEGGKVVTEGYTDLVAFGRLFLANPDLPKRFEVDAELNKYDRMTFYTSDPVVGYTDYPFLE >SECCE3Rv1G0203590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:884180425:884185080:-1 gene:SECCE3Rv1G0203590 transcript:SECCE3Rv1G0203590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAIIRGRNCVVWRFSCQKNPTSVTINLNILGNNGMSDDVHYGKSASDHATNKGAGLESLAKHQLLHGLVDSRKERHKVISPWQALRFASTNAARRPEFDSGNEHDDDQHGQQEKDCVDSTAHPGPAKAKPEVKNEEERSVKQKFLSSVPVIGPAMKGVAAVSSHKKDEFFSTLQHYWLGLRLLWLDVRISSRLLLKLANGKRLSRRGMGQLTRTTADIFKLVPFSVFVVVPFMEFLLPVFLRIFPNMQPSTFKDRMKEQEALKRKLKARMEYAKFLQDIVKEVAKEVKFTRSGENKQRAEDIEQLIKKVRTGSYVDNGEILGFAKLFSDELTLDNMSRPRLLNMCKYMGIQPYGTNNYLRFMLRRKLKCIKDDDILIQSEGVASLSEHELRQACRERGHLGLLPVEEMREELQDWLDLSLHCEVPSSLLILSRAFTVSGKMKPEDVAATLSSLPDNIFGSVGLSLPSEDALSARKRKLEFLKMQDKLIKEEENEKEGMVEVEDKDVPFNKFGVKEAGDLARKRMLEKQEELCKISQALALLSSASSTSKERQEFLSLVHREIELYNSLREGSTAEDANEAYVAAKGSKSGTSSVSSALMKKINGMLRELTQEIDDVDTAIGDGWQLLDRDHDGKVTPEEVAAAAAYLKHNLDKAGIEELIGSLSKDKDGKILVEDIVKLGTQAGEAEPDDE >SECCE2Rv1G0109560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:678482090:678482887:-1 gene:SECCE2Rv1G0109560 transcript:SECCE2Rv1G0109560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHLAAAVLVIFLPLAVSAAGGKAAAAKAPTAPPAPPNITAAMAKGGCKAFAALVAASPDAHSTFQSAGDGGVTAFCPSDDAVRSFMPRYKNLTADGKASLLLFHAVPVYYAPRSLKSNNGVMNTLATDGSANNFNFTVQNEGEQVTIKTDASDHAARVKSTVYDKDPIAIYAVDTVLEPVELFEPADSPAPAPAPAPVADAPKAPKKQARHRPVADAPGPAAEDAAPADQKKNSKKSAASAAPCLPWASVLRVAVAVAAALA >SECCE6Rv1G0396330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:364000023:364000703:-1 gene:SECCE6Rv1G0396330 transcript:SECCE6Rv1G0396330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSELIMALLVVVLAAGCCASADAVAYLSKLPVTLSVTASPSPGQVLHAGEDVITVKWALNATQAGADADYKNVKVSLCYAPVSQKEREWRKTHDDLKKDKTCQFKVTQQAYASTGQVQYRVALYIPTATYYVRAYALDASGTQVAYGQTAPAAAFNVVSITGVTTSIKVAAGVFSAFSVASLAFFFFIEKRKKNN >SECCE5Rv1G0354350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722917512:722918604:-1 gene:SECCE5Rv1G0354350 transcript:SECCE5Rv1G0354350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTRPALPVVVLAVVVLGLATDGQGQLQNGFYTGKCRGNDVEAVVQGIVQARFASNSDIVAHLLRLLFHECGVNGCDGGLLIDGTGTEKTAKPNLSVKGYQLITAIKTELEKRCPGVVSCSDIEILATRDAVAASTGRRYTVRTGRRDSRRSVATDVNLPGPDDTVPKAAAFFRNLGLSSDDMVVLLGAHTVGVTHCSMIKTSRLYSYGGKAGATDPSMDPELASTYKTYVCPNTASSDNNIVFLDDRSSASKLDNSFYKMLQRRRGALMVDQNLYNDSSTRWMVDRLANTDHFTWLFPQALIKLGEVRVLTGTQGEVRRVCSKLN >SECCE2Rv1G0064480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4086221:4087618:1 gene:SECCE2Rv1G0064480 transcript:SECCE2Rv1G0064480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPLTVLDTCKKALGTAASVAGYVMLARGMARDILPPELRAALGQAAAFVRTRLGSADKERHTLVIRRDFDSGYSENELFDAARKYLTTKISPRTTPCLGLGLFPVEPDRSSSWSTLLCLEHDGSATDHFDGVDVVWKYVRAGRGEDGTIGECFKLSFDAKDADTVSERYVPFVMSTAEQLRMRARALKISKNDGALWQRDINYHHPATFATLAMDLSLKQAVMDDLDRFLKRKEYYLRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSVVPDNSALQMLLINMRNKSILVIEDIDCCLDAKSREEEGSRRMPEPAADPDINTPRRQRAQRKQTTVTLSGLLNFIDGLWSTSGEERIIIFTTNYKERLDQALLRPGRMDMHVEMGYCRWEAFRTLARNYHLVDDHALFPEIQQLLKSVEVTPAEVSEMLLRKEDPGEALQVLKEFLEGKKTNG >SECCE6Rv1G0396760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:375978571:375981071:1 gene:SECCE6Rv1G0396760 transcript:SECCE6Rv1G0396760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKIGINGFGRIGRLVARVALQSPDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDSKTLLFGEKEVAVFGCRNPEEIPWAAAGAEYVVESTGVFTDKDKAAAHIKGGAKKVIISAPSKDAPMFVCGVNEKEYKSDIDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAMTATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTVDVSVVDLTVRLAKAATYEQIKAAIKEESEGNLKGILGYVDEDLVSTDFQGDNRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSTRVVDLIRHMHSTK >SECCE3Rv1G0145960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8891722:8894331:1 gene:SECCE3Rv1G0145960 transcript:SECCE3Rv1G0145960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITKVLLDAQHPDDGIRSAAEADLKRLQELDLPGFFLRLSSELSSDDSPPESRRLAGTILKDSLCSSDDRLHPINDPLVERWFSLDPSIRSKIRESSLSTVLASPVTDARHASSQVIAKLARIEEWPDLLGRLLANAAQRQGVSPLKQQAALEALEHVFKNKHTYLKKDQVDNVLNSLVLEASHVEDDLSREVRLAAVRALRNVLWYEGWRIFKDDDERTRIMAAVLRTAKFKEAEFRQITFECLAAIASRHHTKLEPYMETILGLTTEAFKGGVESVVLASIEFWSAACEGEISRQDDLRDDYDDDDGDDVLLALDCGFIGKPLSSLAPLLLETLLKQDEDDSLKDISTSAMECLGLAATSIGDAIVPPVMRFFEANIIASDWQSRKAATFALGFILEGPSLEKLAPVVDPLLDMMKDPNMQVRDTTAQTLQRLFEHRHSQGSPNRIITNENLPRIMAVLIESSKDVPQVSDKVCGAIYFLARGYREDTKSKSKSNSSELSPYVKPVIDALLSASELAKETPLRLPACAYAYEALTEIARVANIGEHEVSSAIFFSMSIIMRRLNVVLNHDGVVISSGDKMNCGLLEALLCGLLQVIIEKMGNTYYGYELRDSAQCVLLLLCRVITSDSSSARDKAALAVGALAHALGPDFGEHMPMLLQYFNVKRLSPTNLQVMCDICRVLGDKVAVPFCDQIMDVLYKGLSVVALKPSILACFGEIAPVIGRNFERYLETVMKKLTEAANGKYRAYVLEEGKVAYDDQLREGIFKAYSGILQGIKDPKSGLMVGAGLMEFTEAVCKERMRDKSVAYAAVGAMSDLRLSVGSWTEEMSKEVWNIT >SECCE4Rv1G0267520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736495632:736496145:-1 gene:SECCE4Rv1G0267520 transcript:SECCE4Rv1G0267520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTLAYLDQRLAAAKRCSREAAMAGAKAAAVATVAAAVPTLASVRMLPWARAHLNPTGQALIISTVAGMAYFIVADKTILSMARKHSFDDAPDHLKNTSFH >SECCE4Rv1G0242880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:490808120:490808353:1 gene:SECCE4Rv1G0242880 transcript:SECCE4Rv1G0242880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMVLEDERGLNLPCFYDNVRTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE4Rv1G0289010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:863186803:863187918:-1 gene:SECCE4Rv1G0289010 transcript:SECCE4Rv1G0289010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLEMMLVVVIVLAATTSGALAMDIKDKDLASEDSLWALYERWSEHYRVARDLDDKTRRFNVFKENARMIHKFNQGDAPYKLSLNLFGDMTDEEVHRTYGRCSNIKSNGRQHRQQGRFTDNAINTRKGLPSSVDWRRRPSAVTNVKLQGAHCGSCWAFAATAAVEGINSIRTRNLTSLSTQQLVDCNKENGGCKGGFAKLAFKYIMQSGGIETDAKYPYVGHEDGHCSVPKPNHNRVVTIDGYKQVAPNDVVALEQAVAAQPVVVGVDSNSTAFQRYGRGVFVGPCGTNLDHEMTVVGYGTTDKHEYKNPIRYWIVKNSWGADWGENGYIRIARNVRGQPKEGICGILTDASYPVKFSRKGETDIMKRN >SECCE7Rv1G0509440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:780752043:780752624:-1 gene:SECCE7Rv1G0509440 transcript:SECCE7Rv1G0509440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPRSVGVAERALRGVADLIKLLPSGTVFMFQFLSPLVTNNGHCAAYNKVLSGALLALCGGFCAFSSFTDSYVGSDGRVYYGVVTRRGMRTFSNDPDAGAARDLSGYRLRVGDFVHAALSLIVFATLALLDKDTVSCLYPAMEANERTMMAVLPPVVGGVASYAFMMFPNNRHGIGYQPTRATEDFQHKH >SECCE5Rv1G0372450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853102518:853102880:-1 gene:SECCE5Rv1G0372450 transcript:SECCE5Rv1G0372450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRLLAVAVLATLAAGAWCAAPVTFTVEKGSGKKKLAVLVNYDMPGDTLSELEIMQHDADEWVAMTKGEGGLWTFESADPLVGPFNFRYFTPKGMKNVYDSVIPDNYTIGTTYTPQG >SECCE3Rv1G0178240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:495322882:495334185:-1 gene:SECCE3Rv1G0178240 transcript:SECCE3Rv1G0178240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASFRPLPLALLLAACLSALVLSVAHARTPRLEPTIRLPSQRATGQEDDDSVGTRWAVLIAGSNGYYNYRHQADICHAYQIMKKGGLKDENIIVFMYDDIAHNPENPRPGVIINHPQGGDVYAEVPKDYTGKEVNVKNFFAVLLGNKTAVSGGSGKVVDSGPNDHIFVFYSDHGGPGVLGMPTYPYLYGDDLVDVLKKKHAGGTYKSLVFYLEACESGSIFEGLLPNDIGVYATTASNAEESSWGTYCPGEYPSPPPEYDTCLGDLYSISWMEDSDVHNLRTESLKQQYNLVKKRTAAQDSYSYGSHVMQYGSLDLNAEHLFSYIGSNPANENTTFVGDNALPSFSRAVNQRDADLVYFWQKYRKLAESSPEKNDAWKQLLEMMGHRSHIDNSVELIGYLLFGSAGGPMVLKTVRPAGEPLVDDWSCLKSTVRTFESQCGSLAQYGMKHMRSFANICNAGITPEAMAKVAAQACTSIPTNPWSATHKGFSA >SECCE6Rv1G0451280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871907233:871908674:1 gene:SECCE6Rv1G0451280 transcript:SECCE6Rv1G0451280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKHAPVSVLLVLFVFSVILTLVAQPQKSFFRSRPQPLLIDNDGGGTTAHADASGGCDYSDGKWVKDATTATLYGEDCPFLDPGFRCERNGRNDSSFRHWRWQPRGSCHLPKFNATDMLERSRNRRIVFAGDSIGRNQWESMLCMLASAMPNGSRIYEQSGKPLSRHKGYLSMIFMDYNLSVEYYRAPMLVMVDRILPVASNKGASVTRGAIRLDTLPRHATRWAGADVLVLNTGHWWNEHKTIKSGNYFMLGDRFNMKMDIKEAFRLSLQTVKDWALTNPRLSTSGYLFFRSYSPSHYDNGTWDTGGSCADQQDPLMMTTGESDQEYSWINTMISSTARRTSRQQKNNRVVFLNITHMTGLRRDGHPSRHREPGTPLDAPEDCSHWCLPGVPDAWNQVMYGHLVSTGYGTRSVKK >SECCE7Rv1G0463070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:50869536:50869916:1 gene:SECCE7Rv1G0463070 transcript:SECCE7Rv1G0463070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQVAAPATTAWSYVEHMARCERQVERRQLFLRSYHFSRDADVSPVRARTRRVVWAGARRLRRAAAKGLRRLRARIRLCFGWTTPALRRRSSPRRASHGFRYGRIPRPRATKASPAANAASVCFW >SECCE3Rv1G0170430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:252731020:252732922:-1 gene:SECCE3Rv1G0170430 transcript:SECCE3Rv1G0170430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHTICKRTSANHIHGKPITNPRSKIKLEDLPLDVLCTIVSKLSPKEASRANILSSNWRYICATGCYKLCFTVPAGYPHHILERREYCQRMQEFINNVNAVVRNCHDKLVEEFNIKLQFDSMFVDHLNDWVSFAASAQIRKIAFYLYPDNLSLWDPGRYKFPFHLLDSESISRLECIQLSFVSLRPPLEFSGFPSLRKLDLEFVDITRTDLEVILSNCCYLKWLSLVRCTVKDELKWDRPLSNLRHLAVVWCDITRIDLPILKLDTFVYHGDFVPIVINRDFMLENAQLWLFKANFDGAVSAVLNSIPMVQNLTLQILKAGIETQSLLNSTCMFSHLRCLQLLLGITLVDINKLPRLVSILRAAPSIEKLEIHFTAGGSHLCEAHKGTADKPQYLQHCEYNYLKSVHMTGYKGARGQLEFLVHVVEKAPALEALTVDTALQVHDVDYVRHFCRKNECSKRAALHARSCLGAILSPNVKLCVM >SECCE1Rv1G0010400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:62027672:62030170:1 gene:SECCE1Rv1G0010400 transcript:SECCE1Rv1G0010400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIHLRHCSTMPMSRVCLRRRLSSSSSSFSNCTSPPSPTWSPHAAFAAATERVRAGTLSPQDAHHLFDVLFQQATRVPERSLDGFLAALSRATPSEACGDGPALALALFNRIFREEAGMRVAPPTIFTYGILMNCCCRVRRPDLGLAFFGRILRAGLKTNQIAANTLLKCLCCAKHTAEAVDLLLHRMSDLGCVPDAFSYNTVLKSLCEDGRSLQALDLLLQMVSKEGGACSPDMVAYSTVIHGFLKEGKVDRACNLFNEMIRQGVVPDVVTYGSIIDALCKAGAMYKAEFFLRQMVDSGVRPDEVTYTSMIHGYSTLGRWKEVRKMFREMTSRGLTTDTVTWNSFMDSLCKHGRTKEAAEVFFSMAAKGTKPNIVSYTILLHGYAIEGSFADMMSLFNSMKCGGIVANCKVFNILIDAYAKRGLIDEAMLILTEMRGEGLSPDVVTYSTLISALWRMGKLTDAMDKFGQMVVGTGVQPDTVVYHSLVQFLCTHGDLVKAKELISEMTNKGIARPNTALFSSIMDSLCNEGRVTDAHHIFDLVTDIGEKPDIIMFSTLIDGYCLVGEMDKARRVLDAMVSAGIEPNVITYSTLVSKYCKRGRIDDGLILYREMLHKKVKPTTVTYETILNGLFRAGRTADAKKMFNEMIESGIMVSISTYNIILGGLCRNNCADEAIVLFQKLRAMNAKFNITTLNTIINALYKVERREEANDLFAALPASGLVPNASTYGVMVQNLLKEGAVEEADSMFSSMENSGCAPSSRLINDVIRTLLEKGEIVKAGKYMSKVDGKSISLETSTSSLLLSLFSGNGKYREQIQKLPAKYQFFDGIS >SECCEUnv1G0561380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:354439291:354440577:-1 gene:SECCEUnv1G0561380 transcript:SECCEUnv1G0561380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETAAPQPEAVSLPEDAIREILVRVEGTAALFRCAVTCKSWSRLVADTSFLRRRWPDDHGASCFLAGFLAPKRLDRTDPNFRTGEPMFATFFVPTPRSVFACRSLSSFFPDADACLLDGAEPLTARRGLLLVHLSPDVAAGYKYGKSVVCLAVCNLLAGVCEVLPPLCGHFNFTESGYTILTSADCSSSAEQQSLQPGYSTFFKVLIIGMMKFGPPSLYTFSSSESRWSKPIKLTFDTAGGIRDCKHPDAIVSRGKVHWSARNWYPDYSLDMDMETFHISQTMIMDRTTYSETNEDPQLAVTAEGTPLVLLLSRPGLQLKVCTRQDGRKSEDGAIVKATWLTTRTIELKPPSQIKRRPEKIYLRLLGEKSGTMLLKDSQRKIYIADVETGEMEEFELPDGFDGLNRRKVVLLEMDWLALFVSRLRKW >SECCE7Rv1G0467800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:91582241:91586255:-1 gene:SECCE7Rv1G0467800 transcript:SECCE7Rv1G0467800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARVALEPLAEEPGAEDESGARRRSGLQATLHRWARILSGGAAGDDARPAADLRVLLSVLACPLSPVPILPRLPRHVASSAQYIIEQFRATTGCGKLEGTAKSMYAAGKVRLAMLQEPAGAGGGHANGNGTAGRCHEGSFVVWQLAPGMWLVEMAVAGHSVAAGSDGRVAWRRTPWLGAHAARGGSRPLRRALQGLDPVMIASIFSTAEHAGEKQVDGEDCFVLRLDVGPLTLSSWSDGTAEVIRHGLTGFFSQRSGLLARLEDSQLTRIQSPGAAAMYWETTIASTLADYRAVDGGVTVAHAGRSTAHLARFGVGVRAARVVTRMEESWTIDDVAFDVPGLGPDAFIPPEEVRQSRFYDAMAAGGGK >SECCEUnv1G0559850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:341847481:341850130:1 gene:SECCEUnv1G0559850 transcript:SECCEUnv1G0559850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKENLQLEEPLLEDSAVHRGDRIVDIKGAPAPEAKHRTGSWKACKFILVTECFEELAYYGIQFNLVTFLKTILQESNVSAARNYTNWQGTCYIAPLVGAIVADSYLGRYLTTLAFFAVYLIGMAAMSVSASFPAACTGLDCLQDVGSSSSSQSAVFFLGLYMMAIGAGGIKPCVSSFGADQFDDGVPAERLKKNSFFNWFFFSIYIGSFVSGTVVVWVQDHCGWVVGLWIPTMFIALAIASFLLGSGSYRVQKPLGSPLARVSQVVVAAVRKRNVGVPRDASLLYELSEVESMAESDGAKKLQHTPVLSFLDKAAVVSSAEELYSDPWRLCTVTQVEELKIVIGMLPIWATGIVYFSVLAQFSSTFLEQGRMMDTAVGTFAIPPASLASFDAVSVILFVPIYDRVLIPAARRFTGNERGFSELQRFGIGLFLSVLVMAAAAVVEARRLALDRAAAPPMCILWQVPQYLLVGASVVFACVGQSEFFYNEAPESMRSLCSALGLLTVSLGSYLSSLVVTVVSGVTTRGGGAGWIPDDLNEGHLDRFFWLIAALSTLNLAVFVWCAKRYKCKNVS >SECCE2Rv1G0081930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:146695898:146697146:-1 gene:SECCE2Rv1G0081930 transcript:SECCE2Rv1G0081930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPEAHAAAAYPRMSPEDLAPPPPPVVAPAGSNPYVLSSPSSGPPAKSTGENLREMLGSVGKRFGEAARKTEGIAGDVWQHLKTGPSITDAAMGRIAQVSKVISEGGYDKIFQQTFECLPDEKLKKAYACYLSTSHGPIMGVLYVSTAKLAFCSDSPVAYVTEDNKTESAIYKIVVPVPHLRSVTPTASQQNPAERYIQVVSVDNHDFWFMGFVNYDSAVKCLQEAAPGGA >SECCEUnv1G0556940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:317130739:317131116:1 gene:SECCEUnv1G0556940 transcript:SECCEUnv1G0556940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGRADDGHRGHLGPPGFGRRRGRQQSTPRAERRTSKSRSAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTR >SECCEUnv1G0532110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19439117:19439569:1 gene:SECCEUnv1G0532110 transcript:SECCEUnv1G0532110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 53 [Source:Projected from Arabidopsis thaliana (AT3G62420) UniProtKB/Swiss-Prot;Acc:Q9LZP8] MSSPSRRSSSPESNIDGGSGSGSAGDERKRKRMLSNRESARRSRARKQQRMEELIAEASRLQAENERVEAQIGAYTTELSKVDGENAVLRARHGELAGRLQALSGVLEIFQVAGAPVDIPEIPDPLLRPWQSPFAPQLATAGGMPDAFQF >SECCE2Rv1G0110240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:687802525:687805925:1 gene:SECCE2Rv1G0110240 transcript:SECCE2Rv1G0110240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRLLRSAVGRLRTAAPAGRAAFSGAAAATEARATVREGVIAAAAAAVAGSGLGLWLMPPALADSGEVARAAAPGQIAAAGQISAAAGAVEERHEKPKRFLLADSYRRRVFFNYEKRIRLRSPPEKIFEYFASVRKPDGEMFMLPADLMRAVVPVFPPSESNVVREGRLRGEPSPGELHCAPSKFFVLFDTNTDGLISFAEYIFFVTLLSIPESSFSAAFKMFDVDLSGEIEKEEFKKVMALMRSYNRQGAAHRHGLRTGFKVGQSVENGGVVEYFFGNDGNEPLHFDKFTSFLKELHEEIIRLEFSHYDVKSTNSILAKDFALSMVASADMNHISRLLDRADTLGNDPYLKHLRITFEEFKAFADLRRRLESLTMAIFAYGQVNGQLTKQDLKRAAQHVCGVELTDRVVDIIFHVFDTNNDGHLSSEEFLRALQRREADIHQPAARGGPMGWLNPKNRSSLLQMLR >SECCE1Rv1G0019210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:225124183:225124947:1 gene:SECCE1Rv1G0019210 transcript:SECCE1Rv1G0019210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLASWAAEERWMYPAFLAMYAVIYCVGQLGLFRRWEWRHRLDGASCLISLAHGSAAALGAVAAIAAQPAGNRGFAAPNSRIEDHVLDYSVAYFTMDLLHYLAFLPGDILFIAHHLATLFVFFTCRYVVHHGAYALLVLLFLAEVTSLLQNVWTLAGIWRAEVPAAARVYNTLSPPFYVLYTIVRGVAGPLFFLKMSLFYLSGQAVDLIPWWVRISWIIVVGAAITVSNFWIWNLWKILFKEWKQSIGKKDT >SECCE4Rv1G0287790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:856829281:856830442:1 gene:SECCE4Rv1G0287790 transcript:SECCE4Rv1G0287790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSCRTWHRLFAFFLLSSVAYGQLSPSFYAKSCPTLELIVRATMIKALLAERRMGASLLRLHFHDCFVQGCDGSILLDDVGSFVGEKTAFPNVNSVRGYEVIDEIKRNVEQFCPGVVSCADITALAARDGIFLLGGPTWAVPLGHRDSTTASLTQANSDLPGPSLNLDQLIAAFAKKQLSPRDLTALSGTHTIGFSQCLNFRDHIYNGTNIDPAFATLRKRACPAQAPNGDTNLAPLDVQTPLVFDNAYYRNLVAKRGLLNSDQVLFNGGSQDALVRQYAANPALFASDLVTAMIKMGSLSPPWNPHPDQA >SECCE2Rv1G0074770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:79510826:79511954:1 gene:SECCE2Rv1G0074770 transcript:SECCE2Rv1G0074770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECHRTVSLIVLVLVLLLRWPALSSASVPVSRTITVDSKGGGDFRSVQSAVNFVPDGNRQWVRIHVRAGGYREKVTIPREKGYILLEGDGHWNTVIFFDDYAHGSTDDLMRRGGLGSEAMGTYETPTFRVYADDFVARDIAFTNTHNAGNKSKVTQAVAALVDGDRIAFHRCAFNGFEDTLCDNTGRHYFRECFIKGGVDFIFGYARSIYDGCTLVSNMPLRGRHAGWVTAHARRQAGDPGGFIFKGGELRGTGLQYLGRAWNKYATVVYYHVNMSSVVVPKGWAPWYAGNQTNDVLFAEVGCTGPGSNMSGRVPWEKHLSEAEVKKFVDMSFIDDGWLSKQP >SECCE1Rv1G0009180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:52966040:52966657:1 gene:SECCE1Rv1G0009180 transcript:SECCE1Rv1G0009180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARLSVVPISLLLLASLAAAAAAAAAADPEEGDLRISVQYATEEESRWLDRWAEKYKAQGSGDGFKIQPATGEESAHINSMSFTGGGYDGHIEFDDDHPFGRMVVDAFHSRPRPSTPTENDDLQKPNMEESHSRAEHDVKDL >SECCE5Rv1G0328490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:508256422:508261711:-1 gene:SECCE5Rv1G0328490 transcript:SECCE5Rv1G0328490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPALLCLRASTAALRRHGVPLVLLLLLIGASGVAAQGGGGVPRRRRQVVDVGVILDRKTWVGNISWTCMEMAMEDFYTDASHARYRTRLKLHLRDTGPGAIDAASAGVDLLKNARVQAIVGPQTSTQAKFLAELGNKSTVPIISFSADCPSRYGLTPYFIRTAWNDSSQAEAIASLVQKYNWREVVPVYEDDDDTNIKFIPDLVDALKQVDTRVSYRCKIHPSATEDDMKTAISNMKQNWTSVFVVRMSHALAQKFFQLAKQEGMMSKGFVWIIAYGLTDIFDVVGSPALDVMQGVLGVKPHVQDTMELQNFRQRWRKKYRLENPGTSLSEPTVSGLYAYDTIWALALAAEKAGFVNSDFRPSLTKNGSTDFDRIDTSKAAEKLRGALLKVFFWGISGKFHIEDMQLVSSNYTIINIVRQERREVGFWTPGSGISGSPKMKSDLNTIIWPGHIETAPRGWLLPTNKKLKIGMPVKPGFEEFVRFDNGTPTGFCVDVFEAVVNDLPYDVPRYYQEFGDGKGLSNGTYDELVYEVYLKNYDAVVGDITILANRSLYVDFTLPYTESGVRMLVPVQDRRQKTAWTFLRPLTADLWLGTGAFFVFTGFVVWSIEHRINQDFRGSPASQIGSVFYFSFSTLVFAHREQILNNLSRIAIVVWLFVVLIVQQSYTASLSSILTVEQLQPTITNLEEVVRNGGNVGYLNDSFLPGLLKRLKIDESKMIAFDSPVEYDKALSTGKVAVIVDEIPYLKVFLSKYCEKYTMVGPTYKFDGFGYAFPRGSPLTPDISRGILKFASDERMVQMQKDLYGGTSCPDKDDSQTSSSLTLHSFQGLFIITGASSILALILHAVITIYNNRHEFSSDGSQSPWRRWPAILSKLFHGDDSPSNTPDKDEAATENVGSAVDTPLSIRIPSHIVEHLSDTDTGSPLEGEGTPGRELSIQNTEPLSFAYMHSERGQNRVASLSRSGSSIRRRQISIE >SECCE3Rv1G0158400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:88041114:88042210:1 gene:SECCE3Rv1G0158400 transcript:SECCE3Rv1G0158400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRHVLTQSVTFLVMAIALLVLVPEGVMLATGVKTPAMAVLSESMEPAIKRGDMVFVHNMSNEPFRAGEIVLFKIDGFEHPIVHRVIKVHKQRDTGETRILTKGDNNSVDDRFLYPIGQLWLQQHDIIGRVACYLPYVGWPSVLISEAYKMLPDGRSTTTPQVHWVTL >SECCE5Rv1G0326090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:473680998:473686504:1 gene:SECCE5Rv1G0326090 transcript:SECCE5Rv1G0326090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 22 [Source:Projected from Arabidopsis thaliana (AT1G59990) UniProtKB/Swiss-Prot;Acc:Q944S1] MSLSHLRHAPLALRLALPSIACSSPATRRLLLFAPARPWRLLSTASKPRSLATAAAAEADNTSAGADGFFAEDSTSWKSLGISDRLASALHGTGLERPSLVQAACIPHVLTANDVIVAAETGSGKTHGYLVPLIEKLCTKSSSTEDGDSESIAARTDNIVLVFCPNVMLCEQVVSMANSLLDVSGEPLKRAAAVCGPKGWPAVRPDILVATPAALLNYLFDYDPERRRREKFLRSVKYIVFDEADMLLCGSFENQIIRLIHMLRFDEKLLSRAEDSGKEVPLEGSDEYHEDSGSESAEVSDIDDENEDGHIQDGAVKAEKAHVGARRDWRRVRKVYRRSKQYVFVAATLPQSGKKTAGGVLKRMFPSAVWVSGAYLHRHNPRLERRWIEVTTDTQVDALLDAVKYGLKSEVDPELGPNRTMVFTNTVDAANSVSDILRRVGIPCILYHRESSLEERTANLQSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGRSGIVTSLYTDANRDLVRAVRQAEELAQPVERAFSRKRSFRNKLKKQARLLEPATLLS >SECCE5Rv1G0337530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:591859023:591860951:-1 gene:SECCE5Rv1G0337530 transcript:SECCE5Rv1G0337530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRRAPAASSFMALLMVATAVVVSLLGGGAEARKSSSNITVVGSVYCDACSNNTFSKHSFFLKGARVLIQCNFKVNSSAAPEEISLEAERTTDQHGVYKLDVPPVDGFECREGHELRSACRATLVRSSSSACNVPGLRCSTQHIALRGSRGAAACFLNLNALNFRPAKRDRKLCHSGGNDGGNAFGSSLFFWPFLPLFWPPPFGLPSPAAPGAAGGTVSFPWPFHVPEWLVPFLRPPFMPFPLYQPAPGSSSAPPPFERFPPPQRTAARP >SECCE3Rv1G0180050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:560419367:560421178:1 gene:SECCE3Rv1G0180050 transcript:SECCE3Rv1G0180050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLPLPATPYPPKPHESPRPAPLHAALASLSQQGSGHGSLGDAFALVSRAERRSSPVGPEVYVSLLQCCVASGSLRAGRQVHAAAVKRGPYYCRHAYIGTKLAVFYARCGALADAERVFDALPKKNVFAWSAVIGLWSRAGLHARALDGYIDMLEAGVPADNFVVPNVLKACAGIGMVGTGRALHGYAWKAGLRECVYVLSSLVDFYGKCGELEDAREVFDAMTETTVVTWNSMLMGYINNGRIDDAVELFYQMRVEGVLPTRASVLSFLSASADFEAPDWGRQGHAVAVSSGLEMDVILGSSIINFYCKVGLIEAAEAVLEQMVERDAVTWNLMIAGYLQDGQIDKALITCRKMLQSGLRFDCVTLASIIMACMISCGMEMGRVAHGYAVRNNLESDKAVACGLIELYMSTQRTEHARRLFDAMSSRDMVMCRVMISAYADRGMSSQALKVLYQMQHEGISPTAACWDSVISACMKNEQIDKALEVFNEMLLTKTRPNLRTWSLLITSLSRNGKHCEVMNLCCKMQEVEPAPSPTIFSTALVAMKAAASVQYGKAMHACIVKKGLLLSKSVIQSLLTMYGSFSDRRTVESLLSLLADAQ >SECCE6Rv1G0406570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:547112647:547116671:1 gene:SECCE6Rv1G0406570 transcript:SECCE6Rv1G0406570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQPLQTVSYSNSWDSVCAPAATEPCQTSGLDSVDDEYMPSAPSFSDSQQPHSESISAIVDESNKLCSPPSMDKEYDVEQLQLESEELLDDSGVLDGETKQQSEPLTTDKPSSADEIEKWGAETKQHSPPLHNTKHWSSNRALESSDNENKPLSLFSRFRQPHSVGAGLRNLGNTCFLNATLQCITHTVPLLKKLRCADHSTPCPYDEDGFCSFCALKEHIEESIRRSRSVLVPARFKDNLSKLSSDFRPGQQEDAHEFLRCLLDNLHKCTLDPKSKGKPSSFDEESIVKQVFGGRLKSQLTCRECGHCSETFEPFLDLSLEIDQVDDLVAALESFTKVEQVGDDENKLTCESCKVQVCKDKRLVLDKAPDVIAFQLKRFTNLDNSIEKIDKYVAYPSELDLKPFHNNPDKEELKYDLYGVVEHSGLPNYGHYVCTIRSSPSTWHLMNDSNVDSITEFSALHQEAYILFYVRQGMFPWFSSLLEEASSGASPVSVLDNIDTDCLISSNRSPGNKFEIGETSECKTSPVAVEPTKRSSVDASNSTNKEETSPLRASLQDDVGKRRAPSATEISNLERPSTPPPRPKRLSSLDDFDVFKFEEFEDKDTPLMPKFEHQPKVKKQKAASASKSVKGSCVDKNATRLMRGMTSTRRKGLLDCMISQQNEHESRRGSRSDPLGKKKRKLDTNSVAVLQY >SECCE5Rv1G0335610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:575919391:575922751:-1 gene:SECCE5Rv1G0335610 transcript:SECCE5Rv1G0335610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAFNRLMSMQRGRRRRPTQGRDGLVTSVAKRKGSHDDNSRSDKKLRYSGPSLPEAVWHYIHSLLPLRDAARVACVSRSFRRSWRCFPNLSLTTQTLGLKGGFDGISSKIALDLAKRTDHILKNHSGIGVKALELEIREFPFFSTSCDDLNRWLDIAVRPGIEELYLHLYLSHADVYNFPCTLLLDGSGKSIRHLHLSACAFRPTAGVGCLRSLTSLELYDVHITGDELMCLLSSSVALEKLTLVYCHELIFLEIPSLLQRLSHLVVQECLNLKVIKNKAPYLNSFEYSGALVRLSLGVSLQNLAIDASGWDAIHYACANLPCMVPNLEALNICSSYARDILVVPGKFLHLQQLCIGEFTPDYDYLSLVSFLDACPSLETFILSVSHVHLAILSTEGGSEGVQNAEPACMEQESVLGDSFHDLRQMPGHMHRNIKDVQIIGFCSSKSMVELTCHILENSKLLKRLKLSTCCNGEILCSYNNNRKCLPMSRGMMMEAHKALLAVERYILGKVPSTVELKVEEPCSRCNALEI >SECCE7Rv1G0511780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:805011967:805013127:-1 gene:SECCE7Rv1G0511780 transcript:SECCE7Rv1G0511780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAGVSVVDGVDLCRPCAGSACETSADCGYHLLVVQGYSRAKKKAPTGESIISRPFRVGCHTWQIELFPNGDNPSCADFISLYVCRLDDYLNMAVEAKFSLSLIDQAEKQKPVYILGTETCSFPHGGSRGHRKFMRKDALERSENTKRDGFTVRCDIMVCSAAEDDTAVGTASPRYICQHFSTLLESKVGADVTFEVSGETFAAHRCVLAARSTVFMAQLFGPMKEGTTSSVIRIKDMEAKVFRALLSFIYTDSFPEMEKEVIQEEAQDAEQGQEEDEMRLQWLRGLFTAADRYDLQHLKFICEKQLSEDIGVSSVASTLLLAEQHDCHGLKMACFKCIQAQSPSCLKRVMSTNGWRHLMTSYPSILNEVIAKLASKKRKKHSK >SECCE6Rv1G0402120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:480246813:480250208:-1 gene:SECCE6Rv1G0402120 transcript:SECCE6Rv1G0402120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLL1 [Source:Projected from Arabidopsis thaliana (AT2G35350) UniProtKB/TrEMBL;Acc:A0A178VV40] MGSGASRLLTACACSRPAPASVDAEPCLDDALGHSFCYASAAAYSSSFRHGISGAALSANSSVPVPLYLSSADAGAGSMPQNYSSAFHTSSSFSSAPLQLSNLSSGPLFLSGPIDRGAQLSGPLDAAVPFSGPLPTKPAKRASSSSRGLSSRFRKPLFGSLRRSVSEKHQPALMVPLRRDDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVANLYRFLLRELRGIFYEEADRDSKRLWQFLADGDDDDNELDFSGSGRFAMSLARLKERRFNMWAHAAAVGDDEISREWGPKKLEAAPAVRDHGAVLGALTRALASTEAAYLDMTDQSMGSHPELAVTGACLLVALVRDDDVYVMNLGDSRAIVAQRADDDHACGLGTVRMDDIGVGLEIESRPVGYPMIGLEALQLSIDHSTSIEEEVQRIKREHPDDDQCIVNDRVKGRLKVTRAFGAGYLKQAKLNNGLLEMFRNEYIGDAPYISCIPSLCHHKLTSRDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPEGDPAQSLIEELLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTVMVVSLEGRIWKSSGTYV >SECCE2Rv1G0102680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:580673173:580673687:1 gene:SECCE2Rv1G0102680 transcript:SECCE2Rv1G0102680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVSVSAAALVLAAVLAAAPRLAGGKDECGATPPDQEALKLMPCIAAGKDPDSKPSDRCCAAVKEIGERSPACLCAVLLSKIVRRVGVMPEVAITIPKRCDLADRPIGYKCGNYTMPSLQLKD >SECCE4Rv1G0222770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:62549459:62550703:-1 gene:SECCE4Rv1G0222770 transcript:SECCE4Rv1G0222770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTKPPRQRAWPGLAPDVLRDVRSRLPSLADRVRLRAICRPWRMSVKLQPCRPPPHLPWIALPDGTALDFAHNSAYRLRIPNDDGPCYSAGESMFLHHHNDGRCSVVNGFSGAATPLPELAALLRSHMVYPCDEKKFESKSSENMRIRKVAMSSVAASPNHERLVAVLASNCSKSRVFISTCRPAGEINSCAVTQEISTILDIAFFQGKMYAQVRIFQELVAVDLTDGWLDKPTPPGVQPQPKAFTSWIWPPDLQPEMYLKQFSHNNNPNEQVQQYLVESNGKLLLVRRYYRAPPPRIGRKQHLSCRFEVLEADLSDGPGLGLWNKARSLDGWALFVGATCSKSFRASDVDGAREDCVYFLLDHGNPLGRAGVYSVVHKTVLPFMSASRRVKPRRWSWDSMRFPAWFFPVEV >SECCE4Rv1G0274550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785530099:785531981:1 gene:SECCE4Rv1G0274550 transcript:SECCE4Rv1G0274550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRHRRRRRRARPQQAAEPSPPPPAPASLTSREVAVAARPSKKICDTSGSISSPSSRSHAWENLLDSLLHQIISLISSFHDFLAFRGTCHSWHAAASSFPSVYTFSFPPLHLKPDVSQESYNSDRKSQLVDPSKKSLSHRCSAPGITPHPMRYLGCSYGYLIFSDRKHCHLVDVYTGTKVKPPKFQSEINTLIYLGILTAPLNSPNSRLILFSRMSMLQWQVGTNSWTEHPHVGELIHQIVLFKGQMFAMDFVQRLHTIHIAPELSIQEVAVMWEESMFVGLHSKPWLVVCGDMLLLVDLSVSMDQLFGFPGTFQVFRLDFSVEPAKWVKMDKLDNWALFLTNDRRNPTFSCMNPERWGGKSNSIYVPTKSEDFYEPWTAIEVGQPVPSSTHRMSFSSAATAHCSPLNSLWVLPSLVYGVDQ >SECCE3Rv1G0185390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:674253521:674254749:1 gene:SECCE3Rv1G0185390 transcript:SECCE3Rv1G0185390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRATTSRRGKLTMTMGRNLAAVLVVLACLVSLASAQQVKTTDTRWSYRLPLPDGVSGAESFAFAGKDGVYTGVSDGRVLRWSGSAAGWSTFAYNANYRKIPLCSDSGVPPEEKESICGRPLGVRFNRKTGELYIADAYLGLMKVGPEGGEAQVLATEANGVPFHFLNGLDVDQATGDVYFTDSSTNYPRRFNTEIIMNADATGRLLKYDARTKLVTVLKEDLPYPNGVALSRDRTHLVVAHTVPCQAFRYWLKGPKAGQYELFADLPGYPDNVRRDGQGGFWVALNQEKARLNATAAPVKHLVGVRLGADGVEVEELTAARGVTLSEVAEQNGNKLWLGSIELDYIGVLA >SECCE5Rv1G0354740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:726530739:726532096:-1 gene:SECCE5Rv1G0354740 transcript:SECCE5Rv1G0354740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTALRPLSPATATTPAYPATATATATVTPVTPVRRSVAVRALRDYGSIPKREPFSSSRSILDEFFKQEKPLVQRTKDHITDYCTTLEGDDCCSCWDAYFELNKLEQELPQEEISRMVKDSRSDPRYLISSIHHRSDLRKKMAEKAHSSAPSNSPGQTAKPRPFPVPDGLPKTQEEIDEDEEALMPESPYTRLLRRMGRFPDWYTPRPDHETD >SECCE5Rv1G0304010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:53116092:53118194:-1 gene:SECCE5Rv1G0304010 transcript:SECCE5Rv1G0304010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVLVLLAVVAPLCRAAAGQGAKPLLPGGPSCSTADNYTDGSPYKRNLDELLAGLPAAAGGNGWFYNGTAGAPGTADQAFGLIMCYADRNATQCRECLAGAPAGITTACPGSRNVRAAYDACVLRYSPPPSFFSTADLAVNFFVRTIALAVDPDKMLNAWLTLMTDLTGRAAGSPSRVANATTPYDGDPATLVYGLAQCTRDLNATECTRCLVSVVGQLRRRFTNETGGAIKAFSCYVRYELGAFDITLPPEPPQPPPPPSSPQPGGSSSSSRTALVVGLSVGSAALLVILGSLVCISLRRRRRKRQQEREQQLEEGSFFDGDDPAMEDDFEKGTGPKRFRYGELAIATDNFSDERKLGQGGFGSVYRGHLKEMKLDVAIKRVSKGSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDTHLYGRNNAAVLPWPTRHEIVLGLGSALLYLHQEWEQCVLHRDIKPSNVMLDASFAAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMVTGKTNAESDVYSLGVVLLEIACGRRPLVTSEHEDDTMHLTQWVWDWYGRGRVLDAADERLQGEFDGKEMECVMVVGLWCAHPDRSLRPTIRQAVNALRFEAPLPGLPSRMPVATFMPQVGTFTTSSADTGASSVATGVGSSSTGTSSVATGGTSSSILTASSTETSSLLK >SECCEUnv1G0530660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13802175:13803126:1 gene:SECCEUnv1G0530660 transcript:SECCEUnv1G0530660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKIALPSAVLVLRLLTLALLATSLVLILVDKFHVSDAEAILLDVQAKEITFKDVYAYSYSCSYVVAIAVAGCVYTLLQTPFAAVSIARRKTMIGGSEGVALLLICADVVCALVIATGAAAGYGYTVDAKSNADSTYRSWELFAAATWSLIPPEVTQLHRDIGRFFMLAFSSAGLMLLAAACMALVIMISVYALVPR >SECCE2Rv1G0133410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:896511633:896511932:-1 gene:SECCE2Rv1G0133410 transcript:SECCE2Rv1G0133410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAVIMCVVFVAVVPLSAVVGELHCKPQLLEACNPAIGEGKAPSELCCSSLHAQKVCLCAYLEDLRYRPYIGGPYLRKTITSCGINPGVCPNTSGN >SECCE4Rv1G0266050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:729232061:729233413:1 gene:SECCE4Rv1G0266050 transcript:SECCE4Rv1G0266050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSQKFKILDVPPVVQELVAGGVQEPPGQYVVPEQERPAAVSEMPEPIPVIDLGRLSANSAEEFAKLQSALENWGFFLAVGHGMEPSFLAETMSVSKEFFKLPLEEKQKVSKIAYGDTLSIEGYGNESVVIENQVLDWNDQCFLIVEPECKRTYTLWPTQPPSFRDILSEYTVKCRAVANLVLQNMAKMLNLDEEYLTNKFGDTSYTLVGFNYYPPCPKPDHVFGLRPHTDGSAITVNFIDADVSGLQFEKNGAWYNVPIVPSALVVNIGDVMEILSNGFFKSLMHRVVTNTEKERLSLAMFYSLDMEMEIEPVPELLDDKRPARYMKIKNKDYIAKQTYIFATGKQTIDTLKI >SECCE4Rv1G0217710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18608501:18614047:1 gene:SECCE4Rv1G0217710 transcript:SECCE4Rv1G0217710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFDALWKRELLALSKYNVNGKDKPITVRNNYPKPPVPCFEVTEDFDLQKVEELMIERDIKLAKSMPNDIIIPDPAPKWVGDAFLDIYAKLELILVKDSVRCFLRFFENCEGQGMASYLTITAQTLTFIVSFNALRCAKVLLEGMAPELYGMHANPNCINKYGYFVLHEAAERFSVDMIKLLLRHGASPNVRTVGNDVIENLLPLHVAVENTCLHKYLEDNLEDNLSRSQNHLEYIYKLIHLLCLPEMKIFLDTTRLLAEKTNNLLQELWNYIEDGKIIHSAVLLLAAQEQIRGGTSSKINGSSKKNGFDIISKCIMRRSFALRWDEGSHGMAPELLEERKTLTDCAWLLVDVISHAGEDLSAYIQAHSEVPHVEVFQHVSSILKDYGFCPTGDPMDTVNLQPYDCRKSNRDSCKGLTHANMAVMESANLDAAEEKAVRKKVGGGWDPTYTKRSFIPYWRSVLQARLPLKVYPAYASSDPRPNHKLGPMRRTLPFTSNNQPRRCFVTAAIGAFRLLKVLK >SECCE7Rv1G0510410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:789604140:789604733:1 gene:SECCE7Rv1G0510410 transcript:SECCE7Rv1G0510410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEQPSHPCRRWALPLESGTGHRLTPRANEPIYVTDVFLVDAESAGGQGAVAACVEIGTRNLLLGLVSAEYPHVELQTPVMLDEEFRFYVMGPGDDANADADTNTDADANADAAVVMFEGFLVTNTEEEAADDKDEEYAEYEEREETDGELDRYVARASSAGGISKDARQVVAITIAFLGILSSVSMLAFLGLIK >SECCE5Rv1G0328890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:510727788:510730550:1 gene:SECCE5Rv1G0328890 transcript:SECCE5Rv1G0328890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEHKPLAAMVVVQCIYAAMALWAKAMFSRGMSPMVFVVYRQAIATLVLVPITLLANRKRLKEILCIGTTGFALVFLASLVGATANQYMYYQGIYLGSSSMATAMTNLIPGITFVLATSVGLESVEMRKPRSMAKIFGTAVCVGGAMVMAFFKGPSLLHHSLGVGDAGLDLHDLVLNSPASRNWVTGALFLVGSSSCWSLWLILQVPICKSYVDPLTLSAWMCFLSTAQMALLSSCAVPDLDAWKISSLFELMGCVFAGAVGSGVTFYLQSWCITVRGPLYSAMFNPLCTVLTTVLATAILHEQPHIGSLLGACAVVAGLYIVLWGKAGDVKSARMASHAEDLEKTWSDSRLLHAESTIAEPLLADDNRIEN >SECCE7Rv1G0480750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:273334499:273337586:1 gene:SECCE7Rv1G0480750 transcript:SECCE7Rv1G0480750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGSPGGMGKQGGLPGDRKPGDGAAGDKKDKKFEPPAAPSRVGRKQRKQRGPEAAARLPPVAPLSKCRLRLLKLDRVKDYLLMEEEFVASQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVSVLSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTAKMTLAEDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >SECCE5Rv1G0359650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:763177586:763187671:-1 gene:SECCE5Rv1G0359650 transcript:SECCE5Rv1G0359650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAMGKQQREEALLRAAAAGDLGLLKNMARWMGSRGRGEAAVLAAVEDGQGDRALHLAAGAGRLEVCRYLVEDLRLDVNQLNFIGDTPLYLSALYGRADAARYLLDHGADPLAGKVHSPLHGAAKEGRCEIVELLLSRGINVDLDSAQGTPLHAAAISKHHDIIKILLEHHADPNKVYGLGFTPLSWAIRAIRPMPREPLECVKLLVKAGADLNFIDFDGGSYVMLAVKFESPGIMKLLLDAGANPNIPDECGRTPIEVAASKGSRDMVEMLFPLTSPISTLPDWSIDGIISHVKHFGLKPRDEEMYAKRRTEVKRKASEAFKRGDYYMASEMYSSTRAFDPNPDEYATIMANISLCALRAGNGGAALSYATMCRMGRPLWPKACYREGAALMLLKKYERACEAFADGLKLDPTSGDIANALREAQEAAKNARCPGK >SECCE6Rv1G0443370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:822566028:822566363:1 gene:SECCE6Rv1G0443370 transcript:SECCE6Rv1G0443370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVESSTSAYSPPSLRHKLRTTVCGCFGSPSSPGSGGERPQSGGRSRWRRRVAAAGEFRYDPLSYALNFDEGGSGSDDGAEAEDAAFRHRNFSSRLPPSPAPASRAVAIA >SECCEUnv1G0567580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:416483805:416484967:-1 gene:SECCEUnv1G0567580 transcript:SECCEUnv1G0567580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEGGSSSEKRRAEWASLQLDLVQLIADCLLSTSGVDEYMVMRAVCPSWRSAVAKPSPHAAVADPRFRPRQWVVLHGADDDQGRPLFLNVTTGRFRRLRGPLLREYILVGASDGLLVQGDRERPHAARLLNPSTGDMLPFPAPIPPEEDWLHDAALDEEGMFYLQSMVTYAGNIYVLGFEGTLCKIVWTSDHWYAERILEGLTSIEFHDDRTVEVVTAVALVESAGKLLLVKEGKTSIQVFSIDVEQKLLEPIESLGSSALFVSRGSCILVDADKLLSIKPNCIYRTSFIAYRTGRIYAWCDLGDGEKKFLTVSSQVPVYCPGTERGSIIHEGPLSLAQSLPKG >SECCE3Rv1G0173220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:322882362:322899808:1 gene:SECCE3Rv1G0173220 transcript:SECCE3Rv1G0173220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSDYISQCQYILDNASTPYALMLASTSLVKQVSDRSLSLQLRLDIRNYVMNYLAARGPKLQNFVTISLIQLACRITKFGWFDDDRFREIFKEATDFLALASQDHYLIGLKILNFLVMEMNQANSAMPLTLHRKIATSFKDQFLLQIFQISLTSLHQLKSEVPDELRRVPISLALRCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTSVSKEALECLVRLASVRRSLFVEDPARSQFLSHLMSGTREILQTGQGLADHGNYHEFCRLLGRFKVNYQLSELLNVEFYGEWLGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGDTPSLLDETVPKITEGFITSRINSVQASFADNSPDPDNPLENAESLQDQLESLPYLCRFKYESCSLFIINIMEPLLQAYTARSRLPASGDAAELSVIEGQIAWMVHIIAAILKIRQTVGCSQDSQELFDAELAARVLQLINITDTGVHAQRYQEISKQRLDRAILIFVQNFRRSYVGDQAMHASKLYARLSELLGLTDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRENFPFLEEYRCVRSRTNFYYILGCLVFMEDGPVKFRSFMEPLLQVAVNLEASADAAFQTDVVKYAFTGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLRAISLLTDEPEVTTPLLKFMSEFVLNKAQRLTFDSSSPNGILLFREISKLIVAYGSRILLLPNGTNIYRSKYKGIWISLTVLSRALCGNYVNFGVFELYGDRALADALDISLKITLSIPLSDILTFKKLSKAYYGYMEVLFNNHITINSVLNLDTSTFVHIVTSLESGLKGLDTGISTQCASAIDSLAAFYFNNITAGDNPPSPAALNLARHIGELPSLFPQILKSLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSDLRAQILASQPVDQQQRLSQCFDKLMTDVTRSLEPKNRDRFTQNLTTFRHDFRAK >SECCE2Rv1G0068480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:23564754:23566459:1 gene:SECCE2Rv1G0068480 transcript:SECCE2Rv1G0068480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTRPLPPLTVMDSLATSTARRWALCTLLCLCLFSAGLLLGSRPFFFPALLPPPWEHFSRLQQQLPAPRPPPPSHAAAYHDYDDSMAPAPDAGPGDLGSEEEEEEPAPVPAPAPASDGDGEGRECDVFDGSWVRDPARYPLYEAAECPFLSDQVTCRRNGRPDAGYEQWRWQPRGCGGRLDGSGALEQCRNRRLVLVGDSLNRNMWESLACILYTALPDRSRAQVHHASSEHKIFRAMDYNCSVEFLWSPFLVQLETKPDRTKALKLDQLPAMLQQVTGADVLVFNTGHWWTHTGKLRAWDHLERNGMLVKMEGEEAFSRALRTWARWVDDNVDQTRTRVFFRSVSPEHKGSNWCYNQTAPIAGDEAITPWFPKSMVSIVEGSIRSMRTPATYLNITRLSELRIDAHPSVYSINRDGKPLSLEQRRQPMVYADCSHWCLPGLPDTWNVLLLASLTRRPSSNVQL >SECCE4Rv1G0291640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:877246682:877248692:-1 gene:SECCE4Rv1G0291640 transcript:SECCE4Rv1G0291640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGAPYPCETQVDEHVRQKMASLPIPDDLVADIFLRLPTPADLIRTAVGCISFHNIITNRSFLRRFRKLHNPPLLGFLDNHRVFHPASPPHPSALAASAVAVAADFSFSFLPGASHNWAIQDIRDGRVLLNKIHGDGISQRWRISGDMVVCDPLHRRYLLLPPIPENLVNPVEDPHFTEEAYYLYQIFLLPPCNNDEEATAVEETSFRVVLMAWCETTMIAFVFSSSTRQWRAIPSLGWDTLVANLPLVVNLPLRGYCLFAARQYAYGCFYWMLHLCKKILVLDTQKMEFYIANPPPQALSLSFLDIAIVEAGEGRVGMFVPGVGRNGLEFYTMWRNNGGTYMQWKLEKTISVHFLHYSAGSNGKHLFIYDVEIPSLGYGCFSLDIKTFQLKRVCSSKTWIPNPLAYSNFPPSILASPTIASGTQEGEEEQGAETQQAEEHVDSQHGGSAGDGVDAGGHPGMPRIRDGAD >SECCE5Rv1G0364730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802803207:802803461:1 gene:SECCE5Rv1G0364730 transcript:SECCE5Rv1G0364730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKILQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDECPIIWGACNHAFHLHCILKWVNSQTSTPLCPMCRREWQFKG >SECCE1Rv1G0062170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718474831:718480746:-1 gene:SECCE1Rv1G0062170 transcript:SECCE1Rv1G0062170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K4-specific demethylase, JmjC domain-containing protein, Control of stem elongation, Control of transposon activity, Panicle developmen [Source: Projected from Oryza sativa (Os05g0196500)] MMGTECITASLGEDSEPSVPPGFGPPPGFGPFAAFALQGTQKDAKPADAHHPSPAQVFQNEKKHVESLEGQPHSAQSRNDTHCSTSGSHTCRQSLRNRPPVDYSRFDNMSDEDSDVEVVEKAATSLVRRRQQLPKGVFRGCAACNDCQKVVASWNPDGARRPVLDEAPVFHPTEEEFKDTLKYIDSIRPTAEPYGICRIVPPPSWKPPCLLKEKSTWESSTFSTRVQKVDKLQNRTSSKKSTRGGMMKKRRKLSDPEENSKRFGFEPGPELTLQKFQKYADYFSEQYFKKDASTNSPPSVEDIEGEYWRIVESPTEEIEVIYGADLETGSFGSGFPKLPPETKSDIEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYLHWGAPKMWYGVPGKDAVNLESAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVQAYRCVQREGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPVGQNAVELYREQSRKITVSHDKLLLGAAREAIRAQWDILFLKRNTADNLRWKSVCGPDSTICKSLKARIETELAQRENLCSPSQSRKMDAEFDSTDRECAFCYYDLHLSAAGCPCCPEKYACLLHAKQLCSCDWDKRFFLFRYDVNELNILADALGGKLSAVHRWGVSDLGLSLSSCVKREKVQDSKTVRRLTDGPRRSYMSQASTVSLVPSLVCNEQKDKGNKMPSSASPEASNACPSVEQMKLGNVSPSKQPSVKDEPSCPTNNETNRLQYNGGLGDQKSSAPVLPVSSSQSFSSNVVTRPFNTSSESTKSVHGLEVLKASRESSLQAGEYRSSFVDHRNRSPTMIHDGTNMKPSLDGSNTSHRLIASDSNATLCHSDKDHALITPETNATIMLEKGNSQLRTVSSQQFNQNVSRTPGVSQEASSSVFVPIDPSSVQNPHGRFPAASAHHGNLTFNQQSNDGWFQRKPESQSAVEVRARGHPPVTAQHAHSSVAAQHAHPSAVAQHAHPSAVAQHAHPSAVAQHAHPSAVAHPAPEMHNRNGGPALEMHNRNGGPQRGPRIANVVHRFKCSVEPLEVGTVLSGRMWSSGQAIFPKGFRSRVKYWSIVDPIQMAYYFSEILDAGLQGPLFMVTVENCPGEVFINVSPTKCWNMVRERLNMEIRRQLSMGRPNVPTLQPPGSIDGLEMFGLLSPEIVRAIEARDRDRICTEYWRYRPHAATSYQHTLPQNPPSIVLRGLFQRASPDELRALRSLLASNTNLDDRSRQQATHMLDEEIAKQWR >SECCE6Rv1G0437560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:784700253:784702625:-1 gene:SECCE6Rv1G0437560 transcript:SECCE6Rv1G0437560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPNIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGVLDNEVEEETPVAIMAEGKQHALAIGFTKMSAKDISTINKGIGVDNMHYLNDGLWKMERLE >SECCE7Rv1G0515750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:841197804:841198514:1 gene:SECCE7Rv1G0515750 transcript:SECCE7Rv1G0515750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTWQPQPEMGDVAPAGSQPTQPFPGNPAHLSPQEQLMYEQSQEYHRQLQQQQQRQLQQFWAEQWSEIEQATDIKNHLLPPTRIRKIMRADEDVRMISAEAPALLAKACEMFTLEMTMRSWMVTKEDKRRVLKRSDVAAAVARTDVYDFLLDLFPSDETKEGVLLPRTWQGQQPMGAPAGANPYYYAPQQQVPGAPMAFGGPSTYVWQEPQVQEQGHAHASTYVWQEPQQQQEGP >SECCE4Rv1G0286450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849394811:849401187:-1 gene:SECCE4Rv1G0286450 transcript:SECCE4Rv1G0286450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSGDVRGGAEAVGGGATGHGPAAAGQGQGGPNEALDHFLQGHGLRGLYTPLELSFSASKLRDMDALSKSDPMLVVYTKMDGRLEEIGRTEVILNSLEPLWITKAMINYQFEIVQPLVFRIYDIDTKYHNTPVKMLNLAQQDFLGEAFCNLSEIVTKFNHSLTLNLRNGSGHALRGTMTVHAEETASSRMAVEMTFHCLNLDNKDTFSKSDPFLRVSRVSESAVAIPICKTEVINNNLNPVWRPITLTSQQYSSRDDPLLVECFDFDASGDHELMGALQTTIAQLENLYKSKAGANFYSRKGQKKLKGQLFLDTFQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRTPQSLHYIDPSGRLNSYQQAILGVSEVLQFYDKDRRFPAWGFGAKMPQGPVSHCFNLNAITNDCEVVGFEGIMSAYSSTLSSVSLSGPTLFGPVINKTADIASHSVQYGNNRYFVLLIITDGVITDEQETKDSIVRASDLPLSILIVGVGNADFTQMRTLDADLGKRLQSSTGRVATRDIVQFVPMREVQGGQVTVVQSLLEELPGQFMEYMRSRDIMPRPPQHASAPPAYPPPPPQL >SECCE3Rv1G0184280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:661132309:661135326:1 gene:SECCE3Rv1G0184280 transcript:SECCE3Rv1G0184280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGVGKTSLMNQYVHNKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNANKSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCSSKGNIPYYETSAKEDYNVDEAFLSVAKLALEHERDQDIYFQTVADPVPETEQRSGCAC >SECCE6Rv1G0434560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:766714115:766714366:-1 gene:SECCE6Rv1G0434560 transcript:SECCE6Rv1G0434560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMHCAIHVVLGVVMRRSICRLHEVVAMAVELGTALLVAVRFSGMAFRRTPAPTQVVSGSTHYYYYAPVAASMVGMSRLDRH >SECCE2Rv1G0064700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4926236:4927148:-1 gene:SECCE2Rv1G0064700 transcript:SECCE2Rv1G0064700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSSRAAAALTWRLGARPAAALGRRANHTRRPGAMVLELDAAGASPATAEGAGALKRRLEEAIDGAMARMSEPEWAPFRPGTSYYAPPRPAGAALGLLELVTRGGGIGVLPPQLSDDEARAIASSSRGYPCSAYFVDGRFPDEEAAGLVEDADLAEEEE >SECCE3Rv1G0182450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:628462500:628466079:1 gene:SECCE3Rv1G0182450 transcript:SECCE3Rv1G0182450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMAVALLLLAAAAAQARADPACYPRVFSFGDSLADTGNVLYLYGNDSYEAATRLPYGETYFHKATGRSSNGRLVIDFIAEALGLPFVPPYLSGRSAEDFAGGANFAVAGATALSPDFFWENGVPAFRADTVHLDMEMEWFRDLLDLLCPGDVADCMDMMSKSLFLVGEIGGNDYNLPLFYGVPFEKIRTFTPSIIAKISSTIVELVELGAKTLLVPGNLPIGCIPAYLTTYKSDKMKDYEPETGCIRWMNEFSQYHNKLLVDELENLRKLHPGVVIIYADYYGAAMEIFSSPEQFGIEDPLMACCGGGGPYGVSATAGCGHGDYKVCDDPQKYASWDGFHPTEATYKGISDGLLRGLYTQPPISTTSSCPQTTELASPIEYKVLHDM >SECCE2Rv1G0082690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:155283957:155286991:1 gene:SECCE2Rv1G0082690 transcript:SECCE2Rv1G0082690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha 1-2 subunit of 20S proteasome [Source: Projected from Oryza sativa (Os07g0614100)] MSGGVGESSSSRRGTAAKPPRYDRSITVFSPEGRVFQVDYARNSVKLEGITSVAVRGADSVCVITQRTKAPADPLLDTADPAFMHLFQITERLGMLATGMPADGRALAQQARDEAAGFRYKWGYEMPPKMLAQWLGDRAQVCTQHAGMRPYRVVAMVVGIDEEKGTPELFTCDPAGQVLGHKATSVGLKDQEAIEFLEEAMAGNSSLSFQETMEMAVSALRHVLEDNNQGHDIEVGVVRKNYPTFRTVLRRIYKNPVAGQTILDGEGR >SECCE4Rv1G0294970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:894566237:894574712:1 gene:SECCE4Rv1G0294970 transcript:SECCE4Rv1G0294970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISVARCFWDKTILITGSTGFLGKLLVEKILRVQPNVKKLYLVVRASDAASAEQRILSQVLGKDLFNTMREKHGLSGFEKLVEEKIVPLAGDIGTQNIGLDSSKVDDLCEEIDVIIHGAATTSFYERYDVALASNALGAKYICEFAKKCRNLKMLLHVSTAFVTGTREGLLLEKALQIGETLRPGYYLDIKAELQLVEKVKTELRATKRGGLDQSSEKTAMKELGLKRACHFGWPNVYTFSKAMGEMLLAQERGDIPVVIMRPAMVTSTFEDPFPGWIEGARTIDALIVAYTEQAFPCFVGDRKNIMDAVPADMVVNATLVAMAVHWNEKGQVIYNVCSALQNPLSGYVLEDACWDYFSKHPRVQEDGKPLQNKRPYVFKRFTLFRAYLILVYKLPLEMLHGVSLLFCGLFSQSYNKHNRRYNFLMLLVKLYAPYAFFKGCFDDTNITRLLTEVKRDGDGSDIFNFDVRSMDWHSYLLNVHVPAVIKYGRKN >SECCE7Rv1G0484500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344050922:344051629:-1 gene:SECCE7Rv1G0484500 transcript:SECCE7Rv1G0484500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSVSLPSRPHTKVEEELHSLEASISSASMTVETISDGLRRLGDIYNTIEEIMCLPSNQICSSQQRKMLEGETECSLELLDLCNAMHEDFTELKSIIQDLQVATRKGDDTAVQVKIQSYTRLVKKAKKHFKKAAKKVTPDKVDCRMVRLLSEAREITTSLLESTVHILSKQIAVPKWSLVSKAFQKKNSVVCKEEQLQVLECSVGDLEAGAGILFRRLLQSRVTLLNILSS >SECCE5Rv1G0373400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:858672040:858672411:-1 gene:SECCE5Rv1G0373400 transcript:SECCE5Rv1G0373400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLTGRQEELCLELSLRPMAPEPHVGFFLCVYCDRKFVTSQALGGHQNAHKYERSIAQRRRQAAAAQQGAPAAAPDERLPCYRGRFVSPARTAAPAVKAHKHGRSWSEHGGAVVDVDLSLRL >SECCE2Rv1G0123410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:823762361:823764971:1 gene:SECCE2Rv1G0123410 transcript:SECCE2Rv1G0123410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIPGESATQSQAHGCSSYHFSFPSQPPDIRNWFSSYQYESPEVPELDVDPPGVDNGSETQDPLEFRVAGHSFLKHAPSDDGGTDLKRDRFGSQAEPAEVSTRRDTLLIGRSTVEQGTKRKQSLRRLFGSGFLDDHEEGTQTEARVMSPVQRSAVYPPWNRNWVGLQNRKRSHEGMVEYSELPADSEGTCIAETQAESRVALPVLRSAADSPWNCNWIGLQNRKRSHEGVVEHSELPTDSESTCIAETQTESRAVLPALRSAIDPLWDCNWIALRNRKRSHEGVAEHSELPTDSEGTFVAETQLNPPGGQETKHSKRSVNWGGTSLAADTEEGLPEDGIESKCLADAEKTKQSLRGLFEADFLDNEATQTESRVVLPVLRSAVNPRWDGNWIGLRSRKRSHGGVIEHNELLTDSESTCIAETQVNPPGGQQTNHSKHPVNCGGTSLAADTEEGLLEEDIEHSNLPVNFLTKGIAGGQKTKRSLRELFGAGFLDDGDEANESETQAVSAVQRNTVQPLSNCNAVGLPHIEQIHEGTAGYSELPADCVAISSGETQENPPGGQVIEHNGLPVYCRSTSSAADTEGDFVEDGIEHNKLPVNSHNKVLAGIEKTGTEHYTLPVNSNDIGSAVTGESSPGDEINHSKPTMEHMKTEGTAAADGFVAISRKLKPTEECRRNKIPKVSIRRRNTTLQENSCILGTNSLGQGSMRSPLSDRTNLSEDARAPAPEVSGKWKCPRKGKPYVAPPMKQLRLEQWVRRV >SECCE5Rv1G0354430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:723456666:723459780:1 gene:SECCE5Rv1G0354430 transcript:SECCE5Rv1G0354430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPLLLCCTTALVILFLPLCASDDRLIPAKPLSPGTTIISDGGAFALGFFNPSNSTPAKLYLGIWYNDIPEFTVVWVANRETPVTNNTSSAPRLSITNTSNLIISEGNSHGNVLWTTPNVTTTLGSSTPEAVLLNTGNLVIRSSNGTTLWQSFDHGTDTFLPGMKLRINYHTRADCLVSWKGPGDPSPGRFSYGGDPDTSLQMFLWDGERLVSRSAPWTGYQVISLRQYQQPGAINVSTDPILYMAFINNDDEIYLTYSLFQGTLHIRFVLTYFGQYQLQSWSSRSSAWVLVYNWPSVKCNQYGYCGPYGYCDETAPVPTCKCLDGFEPANMMEWTSGRFLVGCQRKVQLRGCSDSFLALPGMKSPDKFSLVGGVKGTFKECAAECNRNCSCVGYMHAANMSSGRFGGEGKTCLVWDGELVDTGMIGENIGETLYLRIAGVRRKSTTLRIVLPVLGCSKNEKLGQHRKTRMDGVSIFGELGGENNPDDEEFPFVRLEEIIIATLNFSETCMIGQGGFGKVYKVTQKNCCWIGQHVLM >SECCE3Rv1G0155160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:64373969:64377712:1 gene:SECCE3Rv1G0155160 transcript:SECCE3Rv1G0155160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFYDEDGEQLMDPDARSPSPERGAQPYDGLEDDLGDDDGADWNRDRSPTPVHGGGGDDGSSSRPRKRLLKKGGGGKGDGGGMPADDLEDWGEDAAAGLADDDVGGDRDADADRKRKGSSSLRDLARGGGKDKHEKKRRKEDVGMVREKRGSSSSGGKGSGGGRGGGDRGEDQDEEGEKEIQELWNTIAGDGSEDDEEGVRTLDDDNFIDDTGVDPADRYDNDNEGHSPRRFAQAEEAEEDDEIERLFKGGKKKKKNDRPRADIGLIVEQFIAEFEVASEEDANLNRQHKPAINKLMKLPLLIEVLSKKNLQQEFLDHGILTLLKNWLEPLPDGSMPNMNIRSAVLKLLSDFPIDLEQFDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKELVDKWSRPIFQKSTRFEDMKRYDGERAPYRRPQMKKPSSSSSGMESRDDDLDADFSQRKSGQSGSRQHASRPEASPLDFVIRPQSKIDPEQIRARAKQQVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMVKYL >SECCE6Rv1G0393790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:284559395:284559745:-1 gene:SECCE6Rv1G0393790 transcript:SECCE6Rv1G0393790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPRGYIARRRRTKMRSFASNFRGAHLRLNRMITQQVKRAFVSSHRDRGRQKRDFRRLWITRINAATRVYKVFDSYSKLIHNLYKKKLILNRKMLAQVAISNPNNLYTISNRNN >SECCEUnv1G0544600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:130781862:130784380:-1 gene:SECCEUnv1G0544600 transcript:SECCEUnv1G0544600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNIMTHLTNTSKFCTHSSFTQISSHLGKNGDGAFQFPVFHEMHPCIQESVLQIANVQKTWYSFEEDRIHKDRFLMAIGLGTPAIMNLVTIDTGSTLSWVQCRPCLIRCHKQDEEAGRIFDPLQSSTYQSVGCSTEDCGYVHETLGISFGCNEEQDSCLYSLRYASEEYTAGSLAKDKLTLGNNFSIDGFMFGCSGDDRYNAADAGIIGFGGASYSFFRQVVRHTNHTAFSHCFPGNHRNGGFLSIGPYDRYNLQFIPLIEYDGSHGDNPVYAIQQLDMMVDGIRLDVDPTIYTTRMTILDSGTIDTFILSPVFRAFDKAVTAAMLAKGYAREAAGKNKICFTSTGDSVNWRDMPTVEMEFVRSTLKLPSENVFYRVSADQICSTFRPDAAGLTGVPILGNRATRSFRVVYDIQDTKFGFQARAC >SECCEUnv1G0541000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:92954101:92957772:-1 gene:SECCEUnv1G0541000 transcript:SECCEUnv1G0541000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLAEVAKEEVAMLIGVSDGIKDLSIKLGDLKNFLADADRRNITDESVRGWVGELKRAMYQATDIVDLCQLKAMEQGQTKDRGCLNPLLFCMRNPLHAHDIGTRIKMLNQNLDDICKRGSCFNFIKLEAYQDQKTTRSPAIDRKTDSLIERSGVVGEKIEEDTRALVEVLTREVVGDKNGRLIVVAIVGIGGIGKTTLGKKVFNDEAIEGKFTKKIWLSITQDFTDVELLSTTITAIGADLPRGGGAQNKALLVDALKNAIKDKKFFLVLDDMWDVDAWNKHLMTPFSYGGPGSRVLITTRHDTVARSMKALHPYHHVDKLAPQDAWSLLKKQILTSEENEPEVDMLEDIGFQIVAKCDGLPLAIKVMGGLLCKKEKTRRDWQDVLNDDIWSVSHMSKELNYAIYLSYQDLSPYIKQCFLHFSLKPKKTLINDTEIVSMWVGEGLVEGDTYSRSLEEGNKYYKELIVRNLIEVDPHYPSQLVCNMHDVIRSFAQFVARDETLVGHNGDTIKTNLRSPSYLRLSIETKGVGSDEFDWRYLREQKLLRSLILTGNLKSQPGDSLTIFPSLRLLHIESANIAALVESMYQLKHLRYLALKRTDMCRLPENIHEMKFLQHISLEGCESFMKLPDSIIKLQGLRFLDMDDTLVNSIPRGFRALTNLSALFGFPSYTDGDWCSLEELGSLSQLNKLSLESLENVSSALLAAKARVNAKKQLIYLGLKCHGRVGEVSDSEEQTIEAVFDVLCPQPCIEHINIERYFGRRLPGWMTSTAMVPLESLKILVLEDLPCCTQLPDGLCTLPYLEWIKVADAPVIKCIGPEFVQQYNQRHRPSSQLAATFPKLQQLNLYGMEQWDEWIWETEVKAMPLLEELCIRRCKLGRMPPGLMSHVVALKKLTIWNVQGLNSLENFVSVVELDLYDIPELAKISNLPKLQKLEISYCRNLKTLKDMGALRRLQLRVSRRENQLPVYLQTVKPSHLLLTCNLAVLTSMAAGESSADWDKFSHIKQVEAYAEDGQDEKKWHVLYTSESCNIQTNIHQRRLVEEEE >SECCE3Rv1G0195760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:802248883:802250016:1 gene:SECCE3Rv1G0195760 transcript:SECCE3Rv1G0195760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGIFSVKSAYRLATQINERDNPPSSSSSSLPDNRSIWNLIWQAKVPQKVKITGWRIATESLATRENKQHRTLEEMATCTICGMENETEFHAVIACTKAKVLRSEIRKAWQLPAEEKFQYRGDDWLLCLLSACDEVTRRRILLLIWRAWHLRNNIVHEEGKASVTVSVEFLKKYELEVIQCMDLQGDEKGKQTLFPESKMSIEQTAKSKHWVAPPTGWWKLNTDAAFMSTDGQASAGWVVRDQLGTVAISGCQRLEACASAEEAEALALKFGMQELTTRFRGKVIVETDCASLALLLKPETPNKSALHHAIADIKLVMQNFQEIAFSVINRTCNRVAHELAALARVHGDCSMLATVPTDILPLVIKDSNSCLISL >SECCE6Rv1G0382790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:47380857:47381900:-1 gene:SECCE6Rv1G0382790 transcript:SECCE6Rv1G0382790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPNPMVGFNLPSDRLRSVKRRLSEQAIGPPFFYYENVALAPRGVWRTISRTLYDVEPEFVDSKYLCAAARKRGYIHNLPIDNRSPLLPLPPKNIFKAFPDYEKWWPSWDPRRQLNCLLTSVASAKLTERIEYALASSGSLPSPSVQKYVTDECRKWNLVWIGKNKVAPLEPHEMEYLLGFPRDHTRGVCKMERYKALGNSFQVDTVAYHLSVLRDMFPDGINVLSLFTGIGGGEVALHKLGVHMKTVVSVEISEVNRRIFRGWWNQNQTGGSLIEIPDVETLTNDTIESLTRRLGGFDLIIGGSPCNNLTGSNRHHRDGLQGEQSALFYEYSRILNVVKSVMAKM >SECCE2Rv1G0072470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:55593530:55594290:-1 gene:SECCE2Rv1G0072470 transcript:SECCE2Rv1G0072470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDAARNVVGNVISFGLFLSPVPAFWRIIKNKDVEEFKSDPYLATLLNCMLWVFYDILCVIFGSAMYASPLTIMGKVIKTKSVEYMPFFLSLVSFLNGVCWTSYALIKFDLYVTIPNGLGALFGLVLACYYRPTPKKEKSVKLKYD >SECCE4Rv1G0264130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:719372720:719377863:-1 gene:SECCE4Rv1G0264130 transcript:SECCE4Rv1G0264130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKADIKAEPKAAETKKAVGWEWKAPASMVLVQLFITGMILLSKVSIGGGMFIFALLAYRSLFGAAFILPLALIFEKGKWREMGWHATGWIFLNAFIGYAVPMSLYYYGLRDTTPAYAVIFLNIIPLVTFIFSLVFRMETLQIWSIAGSLKVVGVVLSVGGTMLISLYKGKMLHLWEPVLHHMGQNTTDVVGNHLRGTIFLVGSSITLACWYLIQSKVMKVYPYKYWSSMVTCLVGGFQTALVGIILSRDKRAWKLGWDLNLLTIFYSGALATAGKYSLNSWVVAKRGPAYPPMFSPLSVVFTVLLDSIFIGDEITTGSLFGTTVVIAGLYIFLSAKSKEVQDK >SECCE6Rv1G0378700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9954202:9955079:-1 gene:SECCE6Rv1G0378700 transcript:SECCE6Rv1G0378700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGLPSDILVEVTARIAGESTTPLDDIVSLRRSCKVFRDATAAREVGRCMAVDREWRLHWWDKERFLSVLGECAATGNPEASYILGLEEICNRRGKESGLQHLHRAMKHGHVVAAYTIGMIMLRDAYNLEGIEEAMGYLEGCSAARTKSKIKIISVRGEAASVVRRLTMRRWRTAVPAAPCADPRCGEMETAEAWDEDDEQRRFCSRLCRWKHEYRKFVQRI >SECCE5Rv1G0343940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:642726264:642727214:-1 gene:SECCE5Rv1G0343940 transcript:SECCE5Rv1G0343940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRRRRRKNSRPVPEREVDIDAVPGELLELVFLRLPSPAHLICAASTCRRWRNVIAGDGGGFLRRFGSLHGAASDHVVGHYRVDERYGHPRPPGLNPVFVPSSSSSSPWADAVANRNLALDFLPRAKFGDCGWELADIRGGLLLLFHSELAPGLLVCDPLRRCYREIPRSAWFHGCHMLGAFLLEGEGEGDEHAGISLSNFRVTCALFRFGDRNARACAFSSASGGWTSGAGRSSTPVCHDRELAPIYFAGSSKRAAYWTVGDNVVLALHKENVEIFSSIVLGDAQYALLRDKRHATEYAYQLPWPPTIEACVT >SECCE7Rv1G0467920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:93093541:93095056:-1 gene:SECCE7Rv1G0467920 transcript:SECCE7Rv1G0467920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLQRTLLAVLLLASTASSSATASSDGGGGRFDPTRAVHVSWRPRAFLYKGFLSEAECDHLVALAEEGGLQKSMVVDRLTGKSVMSQVRTSSGTFLPKKQDQVVATIEERIAAWTLLPQENGESIQVLRYESGQKYEPHVDFIRHTAKGLHSRGGHRVATVLMYLSDVNMGGETVFPNSDAKTLQPKDDTWSECARRGYAVKPVKGDAVLFFSLHPNGTTDADSLHGSCPVIDGEKWSATKWIHVRSFDSRPRVPSTAGCEDENDLCPRWAANGECAKNPRYMVGTTGFPGFCRKSCNACTTTL >SECCE2Rv1G0075880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:86470160:86471413:1 gene:SECCE2Rv1G0075880 transcript:SECCE2Rv1G0075880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKPPFRPTFHSPSLAAGPLRRHRHPHLANKPFSVAVAVAASPSDLLASVQSVASAASVLASIVLVHESGHFVAATSRGIHVSQFSIGFGPALASFRLGAVEYALRAIPLGGYVGFPDDDPESGFAPDDPDLLRNRPVPDRLLVVSAGVIANLIFAFLIIYVQAVTVGVPVQALLSGVLVPDVVPGSAAARSGLLAGDVILAVPGAAPDPSVPALVDLIKSSPNKRVLLKVSRAGPAAGDKQQFLDLTVVPDTSADGTGRIGVQLSPNYRATRVHPRNVSEATVLAVREFRALGGAVLDGLRQTFLNFSQTAEKVSGPVAIIAVGAEVARSSADGLFQFAAIINLNLAAINLLPLPALDGGTLALILLEAARGGQKIPREIERRIMSSGILLVLVVSMFLIVRDTLNLDFIKQNM >SECCE6Rv1G0438350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:789094353:789095542:1 gene:SECCE6Rv1G0438350 transcript:SECCE6Rv1G0438350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMARSTSWRTSKLVCTSLLLSLLLLTCFVEHASGHGGIDHGDGEDEDDSGHGDGEAAVDRSVLRSRGLIAVKVWCLVILLVFTFLGGVSPYFYRWNEAFLLLGTQFAAGIFLGTALMHFLADSTSTFSALTHSPYPFSFMLACAGFLLTMLSDVAIVAVANRQRVNQAAPIPKEAEEEGESTSATHAHPMLMTATSSFEDAVLLIVALCFHSIFEGIAIGVSATKGEAWRNLWTIGLHKIFAAVAMGIALLRMIPKRPFLMTVLYSLAFAVSSPLGVGIGIAIDATAEGSAADWTYAISMGIATGVFIYVAINHLMAKGYRPQQPNYFDKPIFKFLGVLAGIAVMSVVMIWD >SECCE4Rv1G0274780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:787281847:787283076:-1 gene:SECCE4Rv1G0274780 transcript:SECCE4Rv1G0274780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIVGSPGTWSGMALRVSQCVFAAAASLAMVSGFGFYNYRAYSYMNFTLVLQFLWGMGFACYDIFALKNKKDLHTAPELVLLIVIGDWVLAILMFSGSCAAASVTVFFMKDVNFCAEYPRLPCSQFKLSVALAFITWSLQAASSFSGFWLLVSFF >SECCE1Rv1G0004490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:19093193:19095244:1 gene:SECCE1Rv1G0004490 transcript:SECCE1Rv1G0004490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAGLSAGSSKVDVAIDLGNPLLNRTVDGFLKIGAVGACRVAAEDAFDCLHKGSVSKRKLEQTLEKMCKEGAYWGAVAGVYVGMQYGVERVRGENDWKNALIGGIASGALISAASNNKGNKIAQDAITGGAIATAIEFINHLT >SECCEUnv1G0555170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:297470701:297471456:-1 gene:SECCEUnv1G0555170 transcript:SECCEUnv1G0555170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEEEVKLLGTWASPFVLRAQLALSFKGVSFENVDEDLGSKSDLLLRSNPVHKAVPVLIHNGKPVCESLVIVQYVDEAFRGPPLLPAEPYERAVARFWAAFIMDKLEAPWQKVFTAKTEEEKAEWMEQTLAAVDVLEAGLTECSKGGSFFGGDNVGYVDVVLGGAVPWVYWTEVLYGTRGSSIPVGSRSWRRGWSASARWTRPRLSWRMSRRGWSSSPR >SECCE3Rv1G0147020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:13175826:13180717:1 gene:SECCE3Rv1G0147020 transcript:SECCE3Rv1G0147020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKGLGQLLAALAAALFLRVVAAGAGPALLPPAEEDEEGDLEAGEGVRPVTIRWARVTCALKNKRGDMARFLLSNLSGEAKPGRLLALMGPSGSGKTTLLNVLAGQLTASSSLHLSGFLYVNGQPMSQGGYKIAYVRQEDIFFSQLTVRETLSLAAELQLPDTMSPERKEKYVNDLLFRLGLVNSADSIVGDAKVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYSKFDDIVLLSEGEVVYLGPAKEEPLKYFASLGYQCPDHENPAEFLADLISTDYSSAESVQSSQKRIENLIDEFANKVLITEFNSPVTQSEGSEFSTKLAQKSTSKKRRGWWRQFRLLFKRAWMQAFRDGPTNKVRARMSVASAIIFGSVFWRMGKTQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMAKLHPTVSRFAKFCGIVTVESFAASAMGLTVGAIAPTAEAAMALGPSLMTVFIVFGGYYVNPDNTPVIFRWIPKASLIRWAFQGLCINEFKGLQFEHQHSYDVQTGEQALERFSLGGIRIADTLAAQGRILMFWYWLTYLLLKKNRPKYQQLLPPSEEDQSKQQVE >SECCE3Rv1G0195870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:803497384:803498481:-1 gene:SECCE3Rv1G0195870 transcript:SECCE3Rv1G0195870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP2 [Source:Projected from Arabidopsis thaliana (AT2G30400) UniProtKB/Swiss-Prot;Acc:O04351] MGRYKFRLSDMIPNAWFFKLRDMRGAARGGGGGAASPGGASRAGRAGRAPSTPHRASYYYTPRAGDAVGSPLHPRASDAHFPPLPLSPPRRSSKRRHRRRSVKLAPSLSGSSVVSSPVSTGCRCRRKPELVVVQAPDTPPCRLDKFVGYDNDGDNSADSGNEALKKPTVAVLGDGGLGGKLITSATDIIIDLRTKKRPDKVLLPPIVTRPKPARRTPEDLDLEDKHIDILARAAQRSATPFSDEQSKAAKPRRSVSSSARRLKTRGNTPRVASCKKSKPPAPAPSPAREKAPPLAESFAVVKTSQDPRRDFRESMEEMIAENGICTAADLEDLLACYLSLNAAEYHDLIVDVFEHVWAGLADISM >SECCE1Rv1G0037240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:520595049:520600862:1 gene:SECCE1Rv1G0037240 transcript:SECCE1Rv1G0037240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] MATPTSPPPPPPTRASCGSFLQELQELWGEIGPDELERDRMILQLEEDCLNVYRKKVEQTRKQKADLLQMMSLGEAEIEKILSALGERESFSRVEKLGGTLMEQLAKLEPVLDDLRRRRDERINEFLAVQLHIVRLQAEISGTINHGDPAAPLVDETDLSTGRLAELKTQLTELQTEKNLRLQKIDAQIKSINEMCNMMSLDLKKTLYEVHPSFVELERIKSMSISDSTLDRLAGKVHALNQEKKQRLRKLQDLGSTLIELWSLMDTPIDEQKCFDHVTSLISVSPNTVMPQGCLAHDLIEKVEIEVKRLKHLKASKMKELVLKKMTQLEEIYRSVHMHIDSDHEWRILTELIDSGRADLSELLTDMDDRIAEASDLALSRKDILEKVEKWTSATEEEGWLGEYEGDQNRYNAGRGAHINLKRAEKARVLVSKIPSLLETLTTKVKAWEKEKGMSFMYDKKRLLDSLEKYTSERQQKEEEKRRSRELKKLQEQFAAEQGAPYGAKPSPMRPLSARKPLGQSTNVNIVGGTPNSRRVSTPVSRKGALSCGKMKDTGKTAASIPANYVSLPKDCSDNSYM >SECCE1Rv1G0005120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:23722893:23725639:-1 gene:SECCE1Rv1G0005120 transcript:SECCE1Rv1G0005120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKHYDESYVDCFINFDTMEEPSMEDLLGTRQPRAVAAQPQQDAATLVHQAHPNPAPDAAQINLAAPPSGDVGVQYLQAPAPPPIQHQQLPCESYFPGFHDEIFMPEPIPQVHPSIQVQDAPSVHMQHHQAPAPMHEGDQYRHVRAALADHEDHQMLDESLLHDLMQMLTPTANVHVEAAPSDHVQHLQTPATAVQHQHALGQSSAHHFHGQMPGPGEALVHMQHRQGPTPAQMPDQPQAPAAPSALVHQIHDKSSDYSFGDIVLDDALLQDLMQMPSPMVHDIHASPADHAQHVQAPVPAFQHHQQMPAESSTRGFHNQMPGESAVRCFHAQVAGDASTCGSSRTPMPECQEDILSPRSSGCSSMVREYLMENDQFDIAEAPLMSDGGSNGVPSVGLMEDEEGFVPRFVPLVPGRLQCSQCHVVRQIRFQCEIPLVHIFLHSVTHTSFEDAIRNHNVSARGTFEHAILDRHHFAVGGQVPRAERMYIDFRNRTGEFVLNFLANILGALRMETGGTLEDSYETVQRVPARSNVNPPGPELGNDALQQVETTMLNMIINSTVVNAEAAQPAPLSLSAEPEPAIAATTNTVHEILTPPNIFDSFVPEEHPISEAAKLQQEGTASLSAEEEGEVEMRQYLHEMMLKARRELDMPYGPVQKFCRGNTYTWMWRRISTLNRRIINFQEKSLNVTLNGLLRIKTEVNEAVAEKERLLAEIVRGMKKQRESRGKNDSEAGTSGTKKAGGASN >SECCEUnv1G0547670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:172608874:172610767:1 gene:SECCEUnv1G0547670 transcript:SECCEUnv1G0547670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWEWEDGDEAARTGEEVPVDFDFFSFFAKPKDYYKILEVDYDASEETIRSSYIRLALKWHPDKKQGEEKATSRFQDINEAYQVLSNPVKRQEYDKKGVLYVQDQNAADYLNRHKGLILTCNGLGVRYSVW >SECCE4Rv1G0221800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:52675034:52675376:1 gene:SECCE4Rv1G0221800 transcript:SECCE4Rv1G0221800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMKLSLTFVLLLSALVVFGDAQATCDIIRCIQGGYITCKNYPGQKLNGCACVCAPEDGKGCVLYLDDGSTNKCTKR >SECCE4Rv1G0250760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:596174905:596175983:-1 gene:SECCE4Rv1G0250760 transcript:SECCE4Rv1G0250760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVQHPWLLLLLVLLPAVSPATGRTPVFDDTYVPTWGADGYHLVNQGTQVRLSMDKRSGAGFCSKSTYGSGFFRMRMKVPGGYTAGVVTSFFLMSLPPQSSDRDEVDFEFLGNVDGQPITLQTNVFVNGHGYREQRMKLWFDPAADFHEYKILWNPHQLVIFVDDVPIRVLRNLTGRVPEYEFPAKRMGIWASLWDGSEWATDGGRIKIDWNRAPFTAGYQGFDVDACANTSSTPCHSTNWWWNARRYRRLSARQRAAYENVRKTYMNYDYCADKDRFKNTTMPVECSYTT >SECCE4Rv1G0241280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448332493:448332684:-1 gene:SECCE4Rv1G0241280 transcript:SECCE4Rv1G0241280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKKRTSMSKKRIRKNIWKKKTYFSIVQSYSLVKSRSFLSGNEHPKPKGFSGQQTNNKILE >SECCE3Rv1G0208240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:929278882:929283135:1 gene:SECCE3Rv1G0208240 transcript:SECCE3Rv1G0208240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Histidine kinase [Source: Projected from Oryza sativa (Os01g0923700)] MTGAGRSGPARGGGEGGGMEGKGGAAAAAAGLVGFLGMGLDRVRLPLPEKASGKALRGHGHARHGSREARERCWRWRMLLWVVGWTLISLQIFHYFNSSAVDKRRDSLASMCDERARMLQDQFNVSMNHLQALAILVNTFHHSQSPSAITQATFARYAERTAFERPLTSGVAYAVRVTHAERDQFERQQGWSIKKMYSSPNSQGPGDAAVAEIRGTADEYAPVIFAQDAYKNVISFDMLSGADDRENIIRARESGKGVLTAPFQLLNGRLGVILTYSVYKSEPPANARPQERIQAAVGYLGGIFDIEALVDKLLHQLAGKQSIMVNVYDTTNEKPISMYGSSDTGGGMYHNSTLNFGDPSRRHEMHCRFMQKPPLPWLAITSSLGIFVIFLLIGYIIYATVHRIAKVEDDYEHMMDLKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELDAVPFDVRLVCDEILSLFCGKAQEKGLELAVYVSDQVPQTLIGDPNRMRQIITNLMGNSIKFTERGHIYLTVHVIEEVMSSLDVETEIQNTYNTLSGYEVAKRRHSWQSFRLSNMDIHSSELSFAPIMPETIRLIISVEDTGVGIPLEAQSRIFTPFMQVGPSIARIHGGTGIGLSISKCLVHLMKGEIGFVSKHHVGSTFSFTAVLTRARPNANVSKSPGFKGVTALVVDHRPVRAKVTKYHLQRLGVKTELTADVSQVIPKMNCASLVTRLVLVDKETWLKESHSMPQLLSKLRSKDQSDPPKLFLLENPTNSIKSSSNISREHNLNVIMKPLRASMLEASLRRALGGVDKAHVKNGLVGNSALGSLLHKRQIIVVDDNAVNLKVAAGALKKYGAEVICADSGKKAIALLKPPHSFDACFMDIQMPEMDGFEATKRIRMMERELNERIERGEAPPECANIRRWRTPILAMTADVIQATYEHCLKCEMDGYVSKPFEGEQLYREVTRFFQNHDHVQ >SECCE6Rv1G0388560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:139353200:139355369:-1 gene:SECCE6Rv1G0388560 transcript:SECCE6Rv1G0388560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRFGHEASAAERRTPAWLGPLLGAEFFKPCATHPYLVKNECNHYCLNCAGEDDAICCTQCISAHRNHHVVQSSYREVIRVSELKAVADISQVQTYVINYDRVVFLNRRPQAPQHVVKCVGPAGACLECGRGLVDANFLLCSLSCKLDGMVSDPNLTFIVDPRCNREDAVLEREREDEEDNGLPEPSNRPSGWISYRRRPRKGIPERAPFY >SECCE7Rv1G0521610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874329751:874334679:-1 gene:SECCE7Rv1G0521610 transcript:SECCE7Rv1G0521610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGRGACRALLLALALLLAVHTSPATAAPKSYLVMATQRPASWSALLTPITTQFRIFYIFEQINGIGLQIESSLVQALKLLPGVAVIEDKLYKVQTTRSWGFLGLQGSDGEWENVANSGQGVIIANVDTGVSPTSASFRDDGTLPKPSNWAGGCQQGYYGCNNKLIGARVFNEGIKLQSEQNGEQLNETEVNSPWDYEGHGTHTLSTAGGGFVPNVGALGHGTGTAKGGSPRAHVASYKACFKEGCSSLDILRAILTAVEDGVHVLSLSVGSPASDYVADTIAIGTAYAVTQLVVVVAAGGNDGPVAGSISNLAPWMLTVGASTMDRIFPADVVIGTKTIMGQSLSNSTDQPCAMISGETANAAGQSAANSSLCLPGSLDPAKVSGKIVVCTRGGANGRVAKGQVVKDAGGAGMVLCNAAASGDNVIADPHIIPAAHCSYSKCQEILSYIQSAASPMGMIKTRDAEVGVKPSPVMAAFSSRGPNTITPQILKPDIIAPGVSVIAAYSQEVSPTGLASDSRRVAYMVESGTSMSCPHVAGIAGLLRAKYPKWNPNMIYSAIMTTATTRANDGLGIRDETGGAATPFSYGSGHVNPVSALDPGLVYDTTTHDYLNFICSMRPTDTEGLLPVSLPLPVDMLWTLLIPVFLGTNSNPFQCSKGTNRPEDLNYPSISAPCLPSSGSFTVKRRVRNVGDGAASYTVSVTQPAGVTVTVDPSTLSFDGKNPKEEKHFKVTFQVYDSAMAADYVFGGIEWSDGKHHVWSPIVATTKCG >SECCE2Rv1G0118480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:782832429:782832884:-1 gene:SECCE2Rv1G0118480 transcript:SECCE2Rv1G0118480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKEFSLETCTATNSRAGGSGVSMAPNGFLEAFLGEQTGCKGSATKTKGEETADQTTMTKMAVDQKTLAEKAAMPAAAHETAEQQEQEVEDIDKLLEKAKAEYAQLMDNLFGGDQPNVKWVKYPSYMSYPGMEADMEADGLKFDEEVER >SECCE1Rv1G0024650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:329183346:329183702:1 gene:SECCE1Rv1G0024650 transcript:SECCE1Rv1G0024650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFAPICIYLVISPLVSLIPLGVPFPFASNSSTYPEKLSAYECGSDPSGDARSRFDIRFYPVPILFIIPDPEVTFSFPWAVPPNKIDLFGSWSMMAFLLILTIGSLYEWKRGASDRE >SECCE1Rv1G0052710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:663667476:663668573:-1 gene:SECCE1Rv1G0052710 transcript:SECCE1Rv1G0052710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFAQTAISEEPQRPRMSAPASLCTSSVVRGTHTFKIAGYSLQRGIGVGKYLSSAAFDVGGYFWRIEYYPDGEMEMKLGDYASISLDFASTNSEVRASFEVRLIDQANKLPPLVLLPQKMPIVFRSHPPTYVGKDFLQPMVYLQDDSLVLECSITVLAESKVAVTVTSFDIQVPPSDLSNNLGELFKAGKETDVTFKVRGKSFHAHKIVLAMRSPVFKAVFFGPMGDMTRRTMNIQDIHPAIFSALLHFIYTDSLPSMGHLYGDDGEEMVKHLVVAADRYAMERMKVICERILCKNINVQNVTTNLSLADRYHCNHLKNACLEFITSPDRIHDVVASEGYAELKRSRPTIIADIFARATKSRKI >SECCE2Rv1G0116850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:767907041:767907509:1 gene:SECCE2Rv1G0116850 transcript:SECCE2Rv1G0116850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLSSPLPPMRQQRVAATSSVLVSLFFLALFVGSSEARRLLVHGATASASKTPPAPCKTKDLSTADAKDACVDRFGTKVKAGVATPSAAVGTPVVGRVSRQFSHRDLQVVGTAFHLDYAGPETHPPIHN >SECCEUnv1G0533610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:31729698:31731393:-1 gene:SECCEUnv1G0533610 transcript:SECCEUnv1G0533610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARPRRASSSPPPAAAAALSLLLLFPMFLGSQASGYGDDSGAGGMTALQKHAAFFDADKDGVVTFSETYAAFRALGFGYGASTLSATFINGVLGPQTRPENDTARMSIYIENIHKGIHGSDSGAYDSQGRFVPEKFEAVFARHAKTVPDALTSGEVDELITANRQPSDYAGWAGASAEWKLLYSIGKDKDGLLRKDAARGVYDGSLFARVVHERRASQEETQA >SECCE2Rv1G0090660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:284950715:284950948:1 gene:SECCE2Rv1G0090660 transcript:SECCE2Rv1G0090660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRTHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRIGP >SECCE1Rv1G0033270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:465048136:465050538:1 gene:SECCE1Rv1G0033270 transcript:SECCE1Rv1G0033270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEASGKKDYSTAILERKKSPNRLVVDEATNDENSVVALHPDTMDSLDLFRGDTVLLKGKKRKDTVCILLPDDTCDKTKVRMNKVVRNNLRVRLGDLVSVHQCPDVKYGKRVHVLPVDDTVQGIAGNLFDAFLRPYFLEAYRPLRKGDLFLVRGGMTSVEFKVVETDPAEYCIVTSDTEIFCDGEPVKREDEERLDDVGYDDVGGVRKQMAQIRELVELPLRHPQLFKCIGVKPPKGILLYGPPGTGKTLIARAVANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNAPAIIFIDEIDSIAPKRDKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVELEHVSRDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDTIDAEILDSMAVTNDHFKIALGTSNPSALRETVVEVPNVSWEDVGGLEGVKRELQETVQYPVEYPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGNSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDVESRLQIFRACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDMERERRLKENPEAMEEDEVDEIKAAHFEESMRYARRSVSDADIRKYQAFAQTLQQSRGFGTQFRFADQPAAGTTAATDPFASSTTAAEEDDLYS >SECCE4Rv1G0296970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:905622271:905625721:1 gene:SECCE4Rv1G0296970 transcript:SECCE4Rv1G0296970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVTREQLHPAKGRASWVKDFDDAVKQVLYLLRDSDGTAQGKTKAFFFGGWCGKGLGASAVLRAVAEHLKSRRTGSDTRKHFGKIIHVDCSLWKNRRTMQRAIAKELNLHHLKHVFDKQDEEDDFRGVDDSSRAGIDSIGSVINRSLQNERFLMIFHYGGDEDIDITEFGIPIVNYGEGKLLWTNHGRFQFPRKTLKVMPSSVNIHMYFEGDEYVWKSQVHALLPKEAAEVIGYTGMDEINPSIVVDCFLYSPFLTKQLDGKSSSVDYGWATHACNYWICDGILEGNRAWEVGNALHGVIPPLAYFPEEVKYLAILFDRNIKPYEGWNSIISNKQAAENIPNIPVNASSYFLTFEGDGQPEELEHDLFQLATNLRVLKLYKCRFDFSSPPFRCCQNLRFLWLDHCTNTGKEEGEVACFPDLMVLDLRSTNYVSLPQMIELMTNLRELNTKRVSWRTISHACKKLQNLHKLRLTESSDVITLDNCSAIDMMNLELLDFSGNTHMESLPDMSSARSLKVLVLDGCPSLKHVAFERDPPLLESFSFDGYGPAENWTHHIQLPRKELRPKYPADRIGEAKVTKISLEGCARLHSIFLRALFNLKELNLSGTAIKTIDLGAMDVPQLKKLLLLGCEQLCRLFWDGQNPRLDVLYVDTNQGRMGSGVCCGERGSVSIEACICCTDGRFLWSPAKALDARTVSDSKVHLHISSLIHSQVNITKSIEEIGPRQENPVSKGPLLPYNDIILAKDVTYPPLVWDHQQLRPLGCHIEIGEGSHDLETMLMDSGYFSSNVRSMHVHDNSSVTAIPQTPAVWYRLEWCHAERCPKLLALFTSGHQNFHSLRTLSAFDLPIAHYILGRGLRNVGNSTFGALQHIYLHNCPRLVFVIPISRFTLPSLASLQIAYCSNLQHVFPLDDKHSEEIASGVKFNNLKHIKLYHLHKLEQICEARLTASAMETISLRDCWALRRLPAVGSKLPMVDCEKDWWERLEWDGLEANHDPSLFKTRHSAYNKKTLLRVSVLR >SECCE3Rv1G0210610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944283162:944288519:1 gene:SECCE3Rv1G0210610 transcript:SECCE3Rv1G0210610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQQPHPLPPVPAEGAAPLAAPAGAPRPYELAVAAAELRPVDCNLAALCDHVQAEGFGSGAFSDVVVEAMGATYRLHRLIISRSTYFRNMLHGPWREAGAPTVVLHIDDSNIDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQGLCTICTDFIISELWTSNFLQYQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHTLLTSDELWVPNEEKRFELALFALLAKVTVSDVEVSGNENLDLMASSSTVDCSMGKGKSLMNETGEKQLMESELQKLKLHDKMPSKAANNIIDVPNVVIPQDTTPHSIPQNAEASKRMENDISTGGPSAESTSYQFNENMWLSSEQTKNYFSRTSSSNGLVPCEWGRPTAPLWGGRVVGRRQVRCSRGSSSLSADEYNTFMNIFERGSLLYCNMSFDALLSVRKQLEEFEFPCKAVNDGLWLQMLLCHRVQAIVADTCRNCCLTSNSCACKQAYVSTHSHYRQEHDRTSASGTAGSIYLADAQGDGNGMFSPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQSFANDESEPRVDHNGDIPGDGLTALVNLSQESNASHHQAESIFEAGMQTRYSGTATVSTPGGSSLQMQESKEHELGSSWESADNTAISLDMRTPLSHFPPFRFGVEFEDVHRLADGQVKHSAEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAELLDPLRKAHMYIDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELADLLQGGALRIAAVVQLV >SECCE4Rv1G0265420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725813889:725814314:1 gene:SECCE4Rv1G0265420 transcript:SECCE4Rv1G0265420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHRNHTRAPAMLLSLLFLACFSLHAQCRIIEGMDNDKISLPTGLCIYSKGCSGNCCYTCLVLADCFVSMEECKQACQKDTPTTFNRPSPSI >SECCE1Rv1G0016910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:144917353:144918207:1 gene:SECCE1Rv1G0016910 transcript:SECCE1Rv1G0016910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQQRRVKLIVSYGGRIQRAQGQPQRYVGGEHLLLSVLSSVSTRGFRDLLAKRVGFSDFSVKYCYSGEGLGSLCHVDTDQDLRGMLDLMVYRDLQVRLFNDLNTRRFRVYLFRDAAAAPSPTSQALGKPAPMRRSATSPALLPAKPANVDGRPSQGLAAPAPSLVPRITTSPNPLWETSTASTVPSKPPLAPALARRIASSPLLMADSTDDTTSLTTTTSTSAAIVATQCTQPHPAVFRPTELGYPVCQAAPVFFVPVMPQVIIYQPTIILVPVFNSKVAMG >SECCE1Rv1G0057590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694123420:694124427:-1 gene:SECCE1Rv1G0057590 transcript:SECCE1Rv1G0057590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDKPFRFPGCGFAGYDNVCGSWLVFSRDDGCFMVNPFTRATVTLPALSSVRLRPPNASAKSKWAEGGSVESANLYITWMRINKADNLRISKLILCSPNLVAALVGIGPISQILMCQPGALSWSVRAYDRIEDFQDMSFYQGKLYAIAKDENLLVVNISQDHSTSDPQVCRIGRVIEGDCPSWYYGVFEDNSQACKKLYLVESRGMLLMVRRTIWCQFPEPGTDVELMGGQNEFEVFKADFEHSQWVKVSTLGDDQVLFLGRRCSRSMSVSQYGLPGDRIFFLDDDEDNRLEYAYDEENSSFGVYSMRFRSICSGGPNISWKRCAEMYLAAWLFP >SECCE3Rv1G0193710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:774158789:774161798:1 gene:SECCE3Rv1G0193710 transcript:SECCE3Rv1G0193710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGGAGGGDSLARRAWRQYLLQLQRHPLRTKMITAGCLAGVSDSVAQKLSGYHKIEKRRLLLKMIFGFAYGGPFGHFLHKVLDYIFKGKKDTKTVAKKVLLEQITSSPWNNLLFLFYYGYVVEKRPFKEVKTRVKKQYPSVQLSAWMFWPVVGWINHQYVPLQFRVIVHSFVACCWGIFLNLRARAMSLKQS >SECCE5Rv1G0319070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:370669152:370672880:1 gene:SECCE5Rv1G0319070 transcript:SECCE5Rv1G0319070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSAPPATPRLLLVLAVLLYGFCCCCDGRFVVEKNSLKVTAPDSLKGSYECAIGNFGVPQYGGTMVGVVAYPKANRKACKSFGDFDISYKAKPGSLPTFLLVDRGDCFFTKKAWNAQNAGVAAILVADDKDEPLITMDTPEESGRADYLENITIPSALITKSFGDRLRKAVDSGHMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGPAQILEKKGYTQFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVYKVAKENKKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPNADEENPVLKAEQDAQIGKGARGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFQETTEPAVCLSEDIQTNECLENNGGCWHDKAANISACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKETRNGWTHSACTDGGCKCPDGFKGDGVHKCEDVDECKERTACQCKECKCKNTWGSYECGCGGGLLYMKEHDTCISKDAGARVGWNFLWFLLFGLAAVGIAGYAVYKYRIRSYMDSEIRAIMAQYMPLDNQGEIPNHSHHIEM >SECCE1Rv1G0058970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703335689:703336911:1 gene:SECCE1Rv1G0058970 transcript:SECCE1Rv1G0058970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHRMLILMSLSLLLHLCAAEAAAGHAEEAQAQALLRWKSTLLNSSSLSSWSRAAPTCSWDGVTCDDHDHATELELSLCNLNGTLDALYSAALGSLTVLSLYDTNLIGAIPVNISLFLNLTTLNLGSNNFVGPIPYQFSKLKHLTCLDLSSNRLSGQIPRSLSVLTALESLNLGQNNLTGGIPEELGMLHSLAQLELNNNSFFGPIPASLGQLRMLEYLDMGGNHLGSTIPSELGNLTRLVKIDLSWNKLCGGLPTTFSRLWHLESFVMGNNNLDGTVPLDFFTNWTNSLTRFDIANNSFTGSIPPEIVRWENLCWLFLSGNVFTGTIPTELVSMQHLSMVDLSNNHLNGVIPSEIGNLSRHY >SECCE3Rv1G0150470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31938472:31939991:1 gene:SECCE3Rv1G0150470 transcript:SECCE3Rv1G0150470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPEDGDLAARLPEDVLLDVLRRVTGPRSLAMSRCVCKAWQAIIDGEGLLRRQLPFSGIFICFRRLCLPEFFSRPASAGQPAISGKLDFLPRAVELRPSGDYYIQDHCNGLLLVQGGYIDDHYVVNPATRWWNALPLCPDNHSEPGGISHGISYGHYYLAFDPTVSSHYQVFQIPYLRWGRDGTDPSEETSEWPPSIYILHVFSSRTGCWVERMFVRQGDAAGTIAKACVLFEGQQNSVYWRGSLYVHCQSDFVMRISLSEDKYSVIKPPMVIGWSSYLGLSEKGVYCASFVENAHILVYTLTESCDQFEWILKNDYDLKPVKMFDGQVNGPWILEDINYEKFRSHLPNIDKEEVIQENFEWNSDDDDFHENLDIVEVHHRPYFEIEVLGFHPYKEILFLSRSEDCKFNAMAFAYHLNSFKVENLGSIYPTGHDYFSCSIPNESHEIDSFPYTPCWIEETPERVN >SECCE1Rv1G0023720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:311004869:311006779:-1 gene:SECCE1Rv1G0023720 transcript:SECCE1Rv1G0023720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSSTCAICNGDMRRGGGGGSGFTADCSHQFHYRCFSGSLASSRQACPLCSARCRDLPSFRSSKSSTPSQPPPASRAPAQPFFRPMEPRLFDDDDPVVRAPRLLGDRHHGAASATSSGSLAVALSTHCEYSSLARDAPHENFAVLVHARAPGTGGAATGGAPARARAPIDLVTVLDVSGSMVGSKLALLKQAMGFVVDSLGPADRLCVVSFSSGASRLMRLARMSESDGGKDLAKRAVQSLAAGGGTNIGAALLKAAKVLDDRLHRNAVASVILLSDGQDTYTVPKRGRDANYDALVPPSFIYTGAGDRSAPVHTFGFGTDHDAAAMHTIAEATGGTFSFIENEADIQDAFAQCIGGLLSVAVQELRVDIACPQSGVRVRSVNSGRYRSHINVDGRSASIDVGELYADEERRFLLLMDVPRARSTTDVTHLMQVSCAYRDMVTGRPTNVDGEDAVVLRPSRAVSLERSAEVERERVRLAATDGIAAARAAAERGAHKEAAEILQSGQRAVARSAAARAGDSICVELSRDLQEMSTRVADRRRYELSGRAYMLAGLSSHAQQRATSRQMSGDSETTRRRAEAALGTTVISTTGATASYSYVTPAMMDMLDRSRRSREMTVLSQQRSKERRRSAHY >SECCE3Rv1G0163790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:152719237:152721055:-1 gene:SECCE3Rv1G0163790 transcript:SECCE3Rv1G0163790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor EFM [Source:Projected from Arabidopsis thaliana (AT2G03500) UniProtKB/Swiss-Prot;Acc:Q9ZQ85] MDSSPSDLTLDYTPNGNGGAAAGGGYPKQASPVVDHHHHLSAEQATTQKLQEFLARLDDERLKIDAFKRELPLCMQLLNQAMEAYRQQLEACQMGSHGGAAARAPLVLEEFIPLKNIGIDAAEKAAGNAPSEKASWMVSAQLWNGPAAGDAAAKGPQTPKERSEHPLDTSPMLGGLDGGGGNGVGAFLPFTKEKSCMAESAALPELGLAPAEKDREADRKPYHDDGGSNGSVVSRRDVGAPPTSTAPEGQSVPPPLQTNRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPAPSAPPAGAPQLVVLGGIWMPPDYAGQGAGPTAIYGAHPATQAHYTAAVSAQEYYQNAAAAAHHGHHMQHHPAASMVHHRAVAPPPPPPPHSAYKVHPVSAAGSQGSSEGRRSSGGGRERSESIEEEGDEREDEDEEDDDGMAGNRGAEQINY >SECCE7Rv1G0496220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:610015146:610018452:1 gene:SECCE7Rv1G0496220 transcript:SECCE7Rv1G0496220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGGGGGGSWSIHGRPDVTSRYDVLGRAGSGAYADVYRGRRRSDGAPVALKEVHDAVSAQREADALLAAASESSPHVITLLDHFPGGDHDDDVLVLEWLPLDLDAVVREGRRAGGLPAGQLKRWMLQVIEGVAACHRSGLVHRDLKPGNLLISEDGVLKVADFGQARILQEQPSDEPEIPAAQEPETLTAADYLHEIDQLRAKSTYGDVDRMSLQDGNTSCLATCSTADIDDDPYRASYSYDAEEDIGDEESGAFTSCVGTRWFRAPELLYGSTSYGQEIDLWSLGCILAELISLEPIFPGQSDIDQIGRIIGVLGNITEESFPGCSNLPDYNKIFFSKVEKPTGLKASLPSRSASEVSIVKRLLCYDPAKRASASDLLNDPYFTEEPLPVPTEALQVPASKGEDDDSSAEEWGNYRDGNSDSDIDEFGSMDVTKTDKGFSIRF >SECCE5Rv1G0375500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868423610:868435331:1 gene:SECCE5Rv1G0375500 transcript:SECCE5Rv1G0375500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLSLCLVALSTLLAIWFLRLSGRNSKRATATKQLPPGPWTLPIIGSLHHVVSLLPHRQITELSRQHGPLMHLMLGELPTVIVSSAEVAALAMKTNDLAFASRPHTVTTEIFGCGGKDIGFAPYGDHWRQMRKICIVELLSSKQMKRMEGIRAEEVGNLLRSISAAASTGATINVSEKMSALSNDVVTRAVFGGKFAQQSEYLRELSNALALLSSFCLVDVFPSSRLVRWLTKGERRMKTSCASMHRIIADILDERKATRAAAGYCSKDDEDLLDVLLRLQEEDSLAFPLTTEIIAAVLFDIFSGATETTGIVLGWAMSELVRNPEIMSKAQQEVREILCYDRAVITNSDHVKLQYVQMVIKEVLRLHPPAPLIPRATREDCTIMGYDIPNGTNVFINVFAILRDPRHWKNPEEFKPERFENNNMNYHGTYFDFIPFGAGRRQCPGIQFGSSLLEMTLTNFLYHFNWKLPDGASLDSFDMSEKYGISVGRRYALQLKAIPHM >SECCE5Rv1G0361500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:779920868:779921397:1 gene:SECCE5Rv1G0361500 transcript:SECCE5Rv1G0361500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAEECSSMKALVVEDNEFQRMVLSMKLRKFQCEITLAMNGKEAIDLFLEGKKFDIILCDRDMPIMTGPEAIVKIRAMGETDVMIVGMSADDDAMEIFISAGADIFVPKPIKVDDLGSIIQEIINKKKNTMV >SECCE2Rv1G0067610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19504019:19505572:1 gene:SECCE2Rv1G0067610 transcript:SECCE2Rv1G0067610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTGVLPEELLADVLRRVAPRGLAACRCACKALLGIIDARRLLRTDLLPHSVGGIFINIHSQRLSEFFARPSTGPAISGSFDYLPPTDGYSQYRGKIEDHCNGLLLLEDYFENYYVVNPATRQWDSLPPHPSMSKSKEIDADFRYQEYLVFDPTVSRHYQVFVILNPRCKTEPGDFGYDRMLDALDPVTEKSEWPPSVCALHVFSSRSGRWEERSFIRDGEAAGTIADMRRHSDWYYDKHYAVYCRGALYVHCKSDFVMRISLSNDKYQVIKPPIHDELGECRKLHLGRSENGVYLASICQASCLLVWVLDESCGQMNWVLKHNSCLPPILDYARPVLGPWVLQDINYNGYLKERKELSEGGEDTEDMKYLKENKLELNSGKEELVEEKFEWDSENDNILHKEDVVDAHGSGYFEILGFHPYKEIVFLGVSMHRGIAYNFKDSRIQDLGYLYPTTCYLALPNECFITESFPYTPCWTGHQ >SECCE2Rv1G0129220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:867263355:867264824:-1 gene:SECCE2Rv1G0129220 transcript:SECCE2Rv1G0129220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSVSPSARAPRDRLSYLPDFLVGNILSYLPTKEAGCAAALSRRWRNIFCNVHAISFEEREGERAKDWDSSYFEAEEMKSCSGEILDDVCSALLCRRRTAAAHVPLRSFRFAFDDCHVWNAVHVDQWLSYLLRTNRHHELHLDLCFWLGPICQRRGKVCDYGGEEEEAGSGTDNSDAEEEGRRRKWRPWSYVLPRGLFSCTAIRTLRISNCRMNLPKTVELPFLETLSITAPRRDGGRSVQRLISSCPSLVDLTLYSIDRLSTVSVLDKRLRRFALRCCHNLRSVRIDASELTSLDYSGAAPAESLLSLHGAAGIPSCTVNFCQVPSTDRFTRFMEKISAARHLHLHHQHVPYSCFEGFPSFSGLTRLALQGALLIPGASRRVLEQAPNLEILTLFMESPAVPEGLTAPDESSFSVPCLRSRVKEINMVHYQGDALQRMMARLLFRNALVLERMCVVLVKGPYALQYGLKKEIEGWMVAGHVEKIFL >SECCE2Rv1G0064200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:2445216:2447890:-1 gene:SECCE2Rv1G0064200 transcript:SECCE2Rv1G0064200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVGALSGMMEALPGKLGELLQQEYELLSGARGDVTFFQAELRTMNAAVLRCEAMEEPDVQTTSWIAQVRDLAYDIEDWVDLFAHRVDAGAHDATSHRVSRWIRRLATIPDRHVIATELKELRARVVEVSELRNRYSLGRHMPSHHAPAVDPRLLALYADSAGLVGMDGPRDEVAGMVTGAGSDGLSLRVVSIVGMAGSGKTTLAREVYKLVSAGFKCRASVSVGRSSDVAKVLGDMLSQVDGEYSRGRGDAGDVNQLIRRLRQHLQDQRYLVMIDDLWSIQTWEIIKHCFPGNNLGSRIITTTRNDAVAKAGAGDHVYKTCLLNEADAEALFTQKTFGSVGGCPAHLKDVSAQIMRKCGGLPLALVSVSALLASKVRARDEFARSGLEWRTNSELGGMKQIIKLSYSDLPANLKACLLHLSIFPENHEIEIERLARRWIAEGFISEQRGTSIVETARNYISELIGRNLIKPSQLNHDGTHRSYVLHPVIHDFIICKSMEDNFVALVHPQQQEVPPSNATVRRLSLLNGDKHDHAAAQIDGAKVSRARSITVFSHTGRTPHLNELSVLRVLDLEGCQGPLCLDGLNKLLLLRYLNLKGTDVSELPAQIGELRCLETLDVRSTKVKELPPSILRLEKLMHLLAGNAKLPSGISKMKSLLTLSCSNIGKSADADIIQELSEIASLRELELFCNALRTSEDKKQVAFPSDGFQSLKKLSIRCSLPSVTFVTDALSKVEVLELKFEEGLSKESSGVSGVEHLSGLKHMLIEFPQHDAGAAAAMAAVKKVAEKVHRNCQVIIVNVDKKTDG >SECCE4Rv1G0260160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:693659549:693661007:1 gene:SECCE4Rv1G0260160 transcript:SECCE4Rv1G0260160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFHDGKHVRLRSRVHDAYYLHADDDGESVSISRRRYSLNAAWTVHIYHGDGSHLLLHSAAYGRYLAAASKPAPFGHRGFRAEQRDYDEPVLAAIMWQAVEAGSGGGVLIRNIGGRYLRASGKLGRYLRWNARVGVEYSDSVSAMMHWIVEPIRPRARPPLIPGPIRTRVPGDLSVIMLGRKPGGWRRIRFVRASDEGLYNEDGWSALRIRGRSVYHLRNALACRVGDIDINGQRRDMAMCVRAGLYGRLTPLVVNLPHGRYGETLDIVVMLSGTPAYDALRHPDVAAK >SECCE6Rv1G0402070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:479588154:479588861:-1 gene:SECCE6Rv1G0402070 transcript:SECCE6Rv1G0402070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHHIAMELVGPKAGGSSSAVAVHHMFVVVVDGVETDIHEGTLHGSPGKVTVTSPGNLSADGLRSVVVRGGGGGAVVFSLCGDAAAEGVQSASFVQCGVARVDGAREVSVSRCRSLDAEQAGKVTVERCREARLRGGGLLRATRCRRADVESFGEVRLARCKGVRADWCGSVEVLMCRAVDANRCGAVSGDRCRRVNVAGCGSVAVTHAVVKTVEEEQLQSQQTVSPQSSGSE >SECCE6Rv1G0432850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:754793455:754796861:1 gene:SECCE6Rv1G0432850 transcript:SECCE6Rv1G0432850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAIVIILLLLPFVVGAEWQVCGSSGNYTSNSTYQANLLLLSSTLPNKAVSNTSLFATAVAGHDPDIVYALALCRGDTTDTSDCESCVAAAFPDAQQICPNNKDATLYYDACMLRFSHHNFLFPNSTEDEMNWITLRNNLNFTTGGDSARHLLLMRLNSTAQAAANSSRRFITSRLGVNLVPTVYCLMQCTPDLAPDDCAACLQLVLKHTLKSLDGAQGGRILGTWCSMRYELYHFYEGVPMLAIPAVNGTTPVHPPAAAPPRLAQTVQEQQGSNSRKRLLWIIVVVATLLSIILRVICSIVWMRRRRKGKVNLNNQAATNRPEQDELAWTLEEKSSEFTLFDFSEILRDTHNFCKENLLGQGGFGPVYKGQLPDGMQIAVKRLASHSGQGFTEFKNEVELIAKLQHNNLVKLLGCCIQGEEKLLVYEYLPNKSLDFFIFERNRTTFIDWNKRRVIIEGIAQGLLYLHKHSRLRIIHRDLKASNILLDQDMNPKISDFGLAKIFSSNDTQGSTKRVVGTYGYMSPEYASEGIYSIKSDVFSFGVLLLEILSGKRNSGFYQYGDFLNLLGYSWHLWEGGKWIELLDASIAKEICTSDARRYINIALMCVQESADDRPTMSDVVAMLNSESGILPEPNHPGYINLRVSKTHEFFVPCSNNDVTITEEPHGR >SECCE6Rv1G0443730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:824045018:824046238:-1 gene:SECCE6Rv1G0443730 transcript:SECCE6Rv1G0443730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSNNKMASPSPITDVPDHLLAEIFLRLPTPEDLARASAACLAFRRISTDRSFLRGFRCLHAPPILGFLDRNGFHPALPSAPAVRVLAAAADFTFSFLPYHRLWTVQDVRDGRVLLARTIGKHGQPPVFRDLAVCDPLHRRYVLLPPLPHGLAALLGHPFPPVSEACCKGFLVPLDDDEAAAGQTTFKVIFMAHCKITLAAFVFSSSTHQWQAATSKDLSDLSLGKRDMEEMSRVQPYIPRRHYAYGCFYWDWVRFGMKKLILLDTAKMEFSTADLPPGDWSKEGISIVEAGEGRLGFFGFRGDTSSDLSYIIARTKGESPTQWQMDKTISLDYGYKYCMRNATQRYLLLTRIEALENRLVVGYFSMDVKTLQLQRVYEGHCYSNYQTYIYINFPPSLMSSRRI >SECCE6Rv1G0407470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:558477911:558478648:1 gene:SECCE6Rv1G0407470 transcript:SECCE6Rv1G0407470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-6 [Source:Projected from Arabidopsis thaliana (AT5G47670) UniProtKB/Swiss-Prot;Acc:Q84W66] MDMGFPGAANGATAAAAGNGSGGGQAPAVREQDRLMPIANVIRIMRRVLPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVEPLSIYLHRFREFEGEARGAGVGHHHHGLPPMMLKSRGAPAPMVPHGDMQMHAAGMYGGGAMPHPHHPFHMPPHHGQYPQYEMYGGEHGMAAYYGGPYPPGNGGNNGDGSGSSGNGHGGESTPPAGNFEHHQTFGYK >SECCE1Rv1G0062760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721049642:721051136:-1 gene:SECCE1Rv1G0062760 transcript:SECCE1Rv1G0062760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRRTEEQPVRASSWNHYRLVDREAGHGGQLPPTKDDVPAKCASFTCFGCTPARLEGASPPKLSSSNTRQQLSSSTSRKGTNNGSSINGSGRKGCLKSNSKRDSSDRGSIVSDGEEQPRESLEEVQTLKTGMERRKVHWTDTCGKELFEIREFETSDEGLSDDEGENDGFKKCECVIQ >SECCE7Rv1G0520840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:872023093:872023721:-1 gene:SECCE7Rv1G0520840 transcript:SECCE7Rv1G0520840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTMIKKPHAVFVPFPAQGHITPMMKLAKIFHCKGFHITFVNTEYNHRRLVRCRGPDAVAGLPDFRFATIPDGMPESDADATQDIPSLCYSIMTTCLLPHLKNLLCDLNGVVGAPPVICVVTDGVMSFSMDAAVELGVPCVLFWTASVCGFMGYRNFQFLLDEGLIPLKDEE >SECCE5Rv1G0323800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:440232938:440233432:-1 gene:SECCE5Rv1G0323800 transcript:SECCE5Rv1G0323800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKLAILLALAMAAAMVNLSQAQNSPQDYLSPHNSARAAVGVGAVSWSTKLQGFAQSYANQRINDCKLQHSGGPYGENIFWGSAGADWKAADAVRLWVDEKKDYDYGSNTCAGGKVCGHYTQVVWRASTSIGCARVVCNNNGGVFITCNYEPAGNVVGQKPY >SECCE1Rv1G0029230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:411153356:411154354:1 gene:SECCE1Rv1G0029230 transcript:SECCE1Rv1G0029230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAR DEFICIENT 4 [Source:Projected from Arabidopsis thaliana (AT5G52810) UniProtKB/Swiss-Prot;Acc:Q9FLY0] MPAPPSHPFVYIDAEALHAVLPFPSLISHIRAGLPAFSGDIHCPHRVSFPVPTSPSAALLLMPSWCAHPSLPYLALKAVTSFPANSPHRPSIHATVSLFSSASGVPLASVDGSALTLLRTAAVSALAASLLVSPSRRPSTLALAGAGALAPYLAEAHLSALPSLSRILVWNRTKAKSAALVAKLRDAHPGVAVEEVDGMDEAVSAADIVSCATGSQEPIVRGELLKPGAHLDLVGSFTPAMRECDDEALRRGRVFIDFEAALEEAGELVGALQRGVLRREDVAGTLAELAAGTVAGRRSDDEITVFKSVGTAVVDLLAAQLAYENYTASKNA >SECCE6Rv1G0380200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:19786153:19786440:1 gene:SECCE6Rv1G0380200 transcript:SECCE6Rv1G0380200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVAVPTEFKLRNNIDCSWRVTVKLINGRVTLDQGWATFTAVHQIKIGYMVTFKLLTPDTLNVIIFDDDDIEVVNKCGKHDKAFAAGD >SECCE7Rv1G0466950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82442432:82442665:1 gene:SECCE7Rv1G0466950 transcript:SECCE7Rv1G0466950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILNSTRIFMKAFHLLLFNGSFIFPECILIFGLILLLMIESTSDKKDRPWFYFISSTSLVISITTILF >SECCE1Rv1G0000690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2248133:2248735:-1 gene:SECCE1Rv1G0000690 transcript:SECCE1Rv1G0000690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGQLVVTLGGALAKEAATHGGALLCKEAAALRGLLGKIRQSKAELESMQAYLQEAERFKDTDRITAIFVGEIRRLAFRIEDVVDEFTYKLEDCKHGGFAGKMKKRLKHIKTWRHLAAKLQEIQGQLQDANRRKRDYAVTGISASFARSTNLGQPLHFTRDEDLVGIEENKERLIQWLTGGGDDLGHPGVGDAWCW >SECCEUnv1G0557450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:323893232:323893603:1 gene:SECCEUnv1G0557450 transcript:SECCEUnv1G0557450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRVRLSPARELSGYYDSDSTEFCQFGSSIYDFTFMDVDKILPFSSTLGWHSLNVNGEVQKRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSQIGQPFKVPAESMSRQETTWRTETS >SECCEUnv1G0548150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:180595938:180597008:-1 gene:SECCEUnv1G0548150 transcript:SECCEUnv1G0548150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRRSDDERGSRSRRSRHPRHYLYFVVDDWTDGYSIYKVDVADLDGDPSADLDSQAGRLPGPPVFRLECSHSRCRNPRFAALGSRIIAMDYQQNKATTRVLMFDTATGGIAVGPCVPAQLQRLYRFVPACNSLYVVGTLTSEDPAYFRFGVLAANAEEGGWAWNAGLGGPCNMWQVVCTAAHPDGFTIFFSTYYGITYSFDTETREWKHQGDWMLPFQGHACYDGELDAWVGLRLGSDELEPGTVCCCDMVPTGGGEGQTPPSWKIVKEKIVCEDRDRTLGTTLTRIRRGTYCLVEYRAGKGGGPQDWRLFYATAFRLRYDKDGELRATARRTRSYTSPRKSRDWKFNWWVFGM >SECCE2Rv1G0130940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:879776948:879786252:-1 gene:SECCE2Rv1G0130940 transcript:SECCE2Rv1G0130940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVGPAGDIPAEVALPDGAAPAVPGVADEHICVDVTRDAAAGAGAGEDGSGDEEQRRNRRRFLLGGQLQSNATSQVALVGTDFCPIESLDYELIENDVFKQDWRAQGRGHILRYFALKWALCFLVGALTAAAAFVANLGVENVAGAKFVVTSNRMFARRFESAFLVFLFSNFLLTMFATVLTVYVAPAAAGSGIPEVKAYLNGVDAPNIFCFKTLVVKIVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSRKYRMTCKWLRHFKNDRDRRDLVTCGAGAGIAGAFRAPVAGVLFALETVSSRWRSALLWRAFFTTAVVAVVLRALIDICKRGRCGLFGKGGLIMFDVTSGYVNYHVIDLPPVITLAVFGGVLGSLYNFFLDKVLRLYNVINEKGRTYRLLLAATVSVCTSCCLFGLPWLAACKPCPADSREACPSIGRSGNFKKFQCPMHNYNDLASLFFNTNDDTIRNLYSNGTDHEFHITSILVFFIASYFLGIFSYGLALPSGLFVPVILTGAAYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLIISKTVADAFNANVYDLLVKLKGFPFLEGHAEPYMRQLSVSDVVTGPLQTFNGIEKVGRIVDTLRATGHNGFPVVDEPPFSDTPLLYGLVLRSHLLVLLRKKEFISSSTASASDASKHFSPDDFAKRGSGKHDRIEDIELTTEELEMFIDLHPFTNTSPYTVVETMSLAKALILFREVGLRHLLVLPKTSKRAPVVGILTRHDFMPEHVLGLHPYLFKSRWKKVRFGKTAFSNFF >SECCE2Rv1G0098680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:491236985:491238169:1 gene:SECCE2Rv1G0098680 transcript:SECCE2Rv1G0098680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSKPPSVPPAPSLQPLPSSAVRQNSRIDLREIKSKIVKTVGPERAKKYFQHLERFLSSKLSKNEFDKLCLVALGRENLPLHNHLIRSILFNASAASGPPAINASKMGEDVTSSEHTMVPHVWNGDTLSKHVKDKHSLSRSVNTLTQHSSQTHGDTVNRENGAPNLIGLKRYTQFRQSEHVEPFTKRSCMGKAPLNFHGSLHSNGPSAINARESLGEEITQHAQVPVQAPIGIQFGGANFSQAKKPSAIASFSSDNSSICCYDLGELCDTLSLRKKMEKTAQMEGLEGVSVECADLLNNGVDVFLKQLIGSCVELVGARSQHGKLSHAALKQRLGRKIVNGVSLQNHTHVQGGIIPPGTKSISMQDLKAVSELNPRLLGVNASGLLEKINSHD >SECCE2Rv1G0097870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:470495720:470499641:1 gene:SECCE2Rv1G0097870 transcript:SECCE2Rv1G0097870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVNTSGGKDYPGRLTLFVFFTCVVAATGGLIFGYDIGISGGVTSMNPFLKKFFPEVYQKKQMESSANQYCKYDNQLLQTFTSSLYLAALVSSFFAATVTRVVGRKWSMFTGGLTFLIGAALNGAAENIAMLIVGRILLGVGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAAALINYGTNKIKAGYGWRISLALAAVPAGIITLGSLFLPDTPNSLIERGHPEAARRMLNRIRGNDVDISEEYADLVVASEESKLVQHPWRNILQRKYRPQLTMAIMIPFFQQLTGINVIMFYAPVLFETLGFKGDASLMSAVITGLVNVFATLVSVFTVDRLGRRKLFLQGGTQMLMSQLVVGTLIAVKFGTSGVGEMPKGYAAAVVLFICLYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMLFTFVIAQAFLTMLCHMKFGLFYFFAGWVVIMTVFIALFLPETKNVPIEEMVLVWKGHWFWRRYIGDADVHVGANNGKGAAIA >SECCE4Rv1G0249880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:587602092:587604765:1 gene:SECCE4Rv1G0249880 transcript:SECCE4Rv1G0249880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFLAATALPILISLLAVRARGADDYTAFVYAGCSQARYAAGTQYAADVDTVLSTLTDTADSTPYANYTPPSGAATGLVGLYQCRSDLPAAVCGVCVKASASKLASLCNSAAGGAVQLRACFVRYGNDSFLGKPDNTVLFKKCGGGSGDAGVAALRDAALGALQAASSPAADGSYRAGAAGYVQAMSQCVGDLGAKACTDCVSVAASQLKAGCGDASAGEVYLGKCYARFWSNAGTGGTGATPGGGNVIGGGNAGPIGDGSNGIPGGGNGIAGGGTVGVPGAGTGYGYGFVPRPYGDVQDGSGKTLAIIIGIVAAAAIIIIFLSFVRRARAANGKS >SECCE7Rv1G0494070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:578473564:578489389:-1 gene:SECCE7Rv1G0494070 transcript:SECCE7Rv1G0494070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLETTRAAHEDLERLDRLVVRELQRDPANARDRLFQSHRVRHMLDLVISTSDKLVEIYEDKDGARKDEISSHLTAPVQSDIFPKYYERLKEIRDYHRRNHSARFISETDDYEELLKEEPAIEFTGEEAFGRYLDLHELYNEFINSKFGSLMEYSAYVGTFAQTEKISHSLKATRQCKEYFEHILEYLTSFIYRTEPLQDIDKIFTKLQSEFEEQWANGEVPGWENKGTEKKSESQESAVDLDYYNTVEELVELGPEKLKEALTARALKGGGTVQQRAERLFLLKHTPLEQLDRKHFAKGDDLKKEIALVEVKMKRLCEILDEVIVRTKENAEKKLTLTYEEMEAEREEEEVQVDSESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRDYERHFKEWRHQHGMRCLGIPNTKNFNEITFIEEAKALWERIQAKQGLNKWRPDLEEEYEDKDGNIYNKKTYTDLQRQGLI >SECCE1Rv1G0003500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14097234:14100309:-1 gene:SECCE1Rv1G0003500 transcript:SECCE1Rv1G0003500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFAAADLREIMDKKNNIRNMSVVAHVNHGKSTLIDSLVIAAGISRQEAGDVRMTDTRVDEAERGISIKATGISLLYEMNDESLKAHKGERDGNKYLINLIDSPGHVDFSSEVTAALRISDGALVVIDSIEGVCVQTESVLCQALGEMVRPVLCLNKMDELFPGLQGEVVKHKFTGEQTYQILNNVIQNANASMATHGDARLGDVQFYPEKGNVSFSSGLHGWAFTLTSFAKMYAPKVGVEESYMVKKLWGDNFYDHTTKRWTKEKTSSKTCKRGFVMFIYDQIERMIQACMENQKDELWRMLQKVGVNLSDDEKNLTGMGLVKCVMRTWLPASTALLQMMICHLPSPLQAQKYRVENLYEGPLDDKYAKAIKKCDPEGPLMLYVSKMVPASDAGRFFAFGRVFSGRIAAGMKVRVMGPSHVPGMETDLYVESVQRTIIWMGKKQCDVPDVPCGNTVGLIGLDACITKSATLTSEKEVDAHPIRAMKLPVCPLMFVTVNCKVPTDVAKLVQGLKRLAKSDPVVLWSQVEPGTFTVAGVGELHLEICLKDLQEDFMDGVEVVVSSPPVVSFRETVRKSCDPVERKSRNKLIQYSLSVVARPLDEELVEAIEDGRLGPRTDHAVRSEILAKHGWDKDLGDKIWCFGPDAVGPNVIVNRCKNIIQNLELAKKVIVAGFEMVANEGALAKEKLHGICFEVHNVNIHADEAVRGTAAQLNEMVRTSLIESQLAAKPRLLEPTYTVAIQCPKSALSAIYGILQQRNGSVYEEFVREGTTLHILKAYVPVYDSFGLCKAISAATSKLVTPQCIFGYWSDMCSDPFQANAPAGQMVLDIRNRKKMGRPSFQK >SECCE1Rv1G0059790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707806809:707807389:-1 gene:SECCE1Rv1G0059790 transcript:SECCE1Rv1G0059790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCMPLLASLLLLALAAVASADKAPEGGFLVTGRVYCDPCRAGFETNVSKNVEGATVAMDCRPFGGGESKLKAEATTDKQGWYKIEIDQDHQDEICEVVLTKSPDPSCAEIEEFRDRARVPLTSNNGMKQQGTRYANPIAFFPKEPRKECGGILQAYDLKDAPENP >SECCE1Rv1G0039250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:546960013:546962688:-1 gene:SECCE1Rv1G0039250 transcript:SECCE1Rv1G0039250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPRAMRSLSPLYYLLLLIGAAMVAADPWSTDCPSDANYTRGGVFQANLDALLSVLPAAASAASGFAQKATGVAPDEAFGLAQCRAGVSASECRTCLDVSVRDVATKCPGQKWSVLFYDDCFLRYSNVSFFRAADTSQQAHLCNPHNATQPELFKTQLGALMSNLSSRAASSPRLFAVGTAEFPITGIYGMSQCTDDLASDYCDRCLTVAVSYIPTTCDGKQGGRVALRSCYIRYETYRFYNAQADEAAMSPPPPAVNGSDQSGPAGRAGNDDATAKKIALFVSVPVAAVLLVVLTVALYLCKRKNKKPHEHVRISSAESEDGDGTDMKSSESLLYDLSTLRAATDNFSEENKLGEGGFGPVYKGTLQDGQDIAVKRLSQTSQQGQVELKNEVVLVAKLQHKNLVRLLGCCIQEEEKLLVYEYLCNKSLDKILFDPARRQELTWGQRYRIIQGIGRGLLYLHEDSRLTIIHRDLKASNILLDPDMNPKISDFGLAKLFSVDASVGNTSHIAGTYGYMAPEYALHGIFSAKSDVYSFGVLVLEIVAGRRNNFGQYPGTNGEDLLTTVWRHWSRGSVSGLLDGCSAEGLQPTEMLRCIHVGLLCVQEDAHLRPGMAAVVVMLNSRSITLPVPTPPAYVVSGRAGALGSSTTREAQAPAGGVRGPYVNDASVSDLEPR >SECCE7Rv1G0464440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:61525443:61526222:-1 gene:SECCE7Rv1G0464440 transcript:SECCE7Rv1G0464440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPAPIKTGSWSPEEDALLVALVRQHGARRWSVISAGVPGRTGKSCRLRWCNQLSPDVQHRPFTAQEDALIIAAQARYGNKWADIARLLPGRTDNSVKNHWNSNLRRCQRRARAMAAAAAARAAASSRSSSGSAARAKTQQQEHVMVMNGMPPAAVHGAGTAVINDPPTMPSLTLTLGLPQMAADGVLEKAKAKEKEKIPPPVGVGDADVRLMAAMRQVVKEEVERQAGHLLYSVVMANTATRADGTSSSDRPTNGHH >SECCEUnv1G0530570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13696758:13698507:-1 gene:SECCEUnv1G0530570 transcript:SECCEUnv1G0530570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLAVLRDYAARGDLDKIIFNGDDVLFGDDYTFPANLPTAFASKQSGRPYPLSAAVFLAQHNDLKHTDFLQAARLRRIPPEGDDDPDADDASTAHVRALERPLKDRGAVLDARGRDFLAIYHAALRREEDRLRNKDAAPAAGRHEPSAAAAAALANPKLDKSLGDGFVPIILVPSASQTLITIYNVRDFLEDFVFVPSDEKMRAMKGSPKPECVTLQKKHVRGAGGPVAFEVRDKPASLKPDDWARVVAVFVLGKEWQFKDWPFKGHVDIFNKVIGFFVRFEDDSVDSAKVVKQWNVKIISISKNKRHQDRPAALEVWDRLDEFVRARS >SECCE6Rv1G0432390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:751716135:751719485:-1 gene:SECCE6Rv1G0432390 transcript:SECCE6Rv1G0432390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALCVALAVLLLLLSIDPVLVAADDEQLLERFKAAVRNRGELGGWTRGDGACSFPGAACVRGGRLVSLSLSGVPLDVDFGTVAGTLLRLGGIEVLSLRAANVTGSLSSSGAAERWRCGQKLVGLDLSGNRALRGSVADARVLAGACDGLRELNLSGNALVAGGGGKGDGGHIFARLDVLDLSDNNITGDGDLSWMGGVRRLNLAWNSISGPLKVPAFTNCSTMESLDLSGNLISGEVLPGVLSGCTALVSLNLSSNHLKGAFPPDITLLASLSYLNLSNNNFSGELPGDELAELPRLSWLTLSLNHFHGSVPDSLGRLADLRMLDFSSNQLTGAIPSSLCRSTGSKSKLEVLYLQNNYLTGGIPATISNCESLESLDLSLNYINGSIPTSVGSLARLRDLILWENKLEGEIPVSLGGARGLEHLILEYNTLTGSIPSELMNCKNLKWIALGSNRLSGSVPAWLGRLDNLAILKLNNNSFSGRIPPELGDCKSLIWLDLNDNQLSGSIPPELARQSGKVAIGFMIVRPHIYLRNDEHSNKCRGAGSLLEIGGVRLEQLNRMASKNMCNFTRVYILDSGEHTLKSNGSMIFLDLSFNKLDSEIPKELGKMNYLAIMNLRQNRLSGAIPAELAGSKNLGMLDLSHNKLEGSVPSSFSLLSLSEIDLSYNRLNGPIPEMGSLATFPESQYANNSGLCGFPLPPCQPFLKPQGGASVVSTTSSNKYNLPIIILSAVTLAFVIIAISLYYISWRNKVDNGKIKSSDGPTSPASLRLVSHLELVRSTGNFSEDNMLGYGGYGKVFKGQLSDGLMVAIKVLDMRSTCAIRSFDAECRVLRMARHRNLIQIITTCSNMDFRALVLQYMPNGNLETLLHCSQQGETQFGFRERLCVMLEVSMAMEYLHHGYHEIVLHCDLKPSNVLFDEDMIAHVADFGIARLLQADDNSGFSINMHGTIGYMSPEYGSYGKASRKSDVFSYGIMLLEVFTGKRPTDAIFVGELSLRRWVHQLFQADQVVHAMDRRLLQCLDMDISFLVPILEVGLLCSSDSPGDRITMSDVVLKLNNVKTEYTKHISSTLGSVSQ >SECCE6Rv1G0379310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13051949:13055839:-1 gene:SECCE6Rv1G0379310 transcript:SECCE6Rv1G0379310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14760) UniProtKB/Swiss-Prot;Acc:Q94AY1] MAKLMGGSAGFHVQGAPMQASRPPSLSFRTCAQLEISRFCAIPRFIGVKAVSASQQHIRHRFSSIRASALPCMQDDTTRYFDFVVIGSGVAGLRYALEVSKHGSVAIITKAEPHESNTNYAQGGVSAVLCPKDSVESHMQDTIVAGAYLCDEETVRIVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGKEIERALLQAVENDENISVFGHHFAIDLLTCQNNGEIFCYGVDSLDTKAQKVVRFISKVTLLASGGACHIYPTTTNPPVATGDGIAMCHRAQAVISNMEFVQFHPTALSDEGLPIKPAKIRDNAFLVTEAVRGDGGILYNQSMERFMPLYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKILAHFPNIAAECLRHGLDITQQPIPVVPAAHYMCGGVRAGLQGETSVKGLYVAGEVACTGLHGANRLASNSLLEALVFAQRAVQPSIDHMVDADADPCLAEKWARPVLSVSIKDSALSDIIERTKKTRMELQSIMWEYVGIVRSTNRLKNAEWKIGDLESEWEEFLFGRGWKPATVGIEACEMRNLFCCAKLVVKSALARRESRGLHFTEDFPYLEESKRKPTVIFPTAIQELTWSSKPLQRQLQCK >SECCE6Rv1G0409360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:586827271:586830606:1 gene:SECCE6Rv1G0409360 transcript:SECCE6Rv1G0409360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFLKVLVSNLDVLAGPIVSLAYPLYASVRAIETKSPIDDQQWLTYWVLYSFITLFELTFAAVIEWLPFWSYGKLFFNCWLVLPYFNGAAHVYEHFVRPVIVNQQVVNIWYIPKKEGSDKPDDVISAAQKYIEQNGSRAFETLVNKFKTTNARRSILEEVEVERRARAARELEARDVNPFFHPNY >SECCE4Rv1G0277160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:801969794:801971724:-1 gene:SECCE4Rv1G0277160 transcript:SECCE4Rv1G0277160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARSFFASNNGKKKPAASARNPFDSDSDDDGGMVQQRRGPARASSVPTPAAAPARASSVPIPAVEADQRGALFAGREAPSSSSSSSAAAAARGRYRNDFRDSGGVEAQSVQELEGYAAYKAEETTRRVDGCLRVAEEMRDTASKTLLQVHQQGQQIRRTHAMALDIDQDLSRGEKLLGDLGGLFSKKWKPKKNGAIRGPMLTRDDSFIRKGSHMEQRHKLGLSDRPHRSNARQFLSEPTSELEKVEVEKAKQDDGLSDLSDILTELKGMAIDMGTEIEGQTKDLGYAEKDFDELNYRVKGANTRTRRLLGK >SECCE4Rv1G0243390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:501684422:501684886:-1 gene:SECCE4Rv1G0243390 transcript:SECCE4Rv1G0243390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPAGDVLPALPPIRTRAAAADPAPDHKSASTTTVPEAEPLQTPVAAEEEEVVAESRTPAAVADEEEEEPRTPTSEESKLRPPTECPGAPRKPVALVGTRPSPKRPLRFLDVPRDLSAVFMSLPPKKRIRAPPCLVAFRPRCGAAWGHGSAL >SECCE2Rv1G0069150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27357674:27361622:-1 gene:SECCE2Rv1G0069150 transcript:SECCE2Rv1G0069150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEADTAEMTGPLLAGAPSAAEAVPPWREQLTVRGVVVSAILGVLFCLITHKLNLTVGVIPSLNVAAGLLAYFLVRTWTAALNMFGIVSKPFTKQENTVIQTCVVACYGLAVSGGFGSYMLAMDQKTYELIGTDYPGNRAVDVKNPSLSWMIGFMFVVSFLGIFILLALRKVMVLNYKLTYPRGTATAMFINSVHTSNGDELIEKQVSCLGKYLSASFLWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTFYFDFSPTYIGCGLICPHIVNCSALLGAIISWGFLWPYVSTKAGEWYPANLGSNDFRGLYGYKVFISVSLILGDGIYNLIKIIYATIKKVRNARAKQGRLPLVWVQDDGKISKLSVKETLRNEFFLKDSIPPWLERSGYVGLAAISTATIPMIFPQLKWYLVLSAYVFAPLLAYCNSYCTGLTDWNLASTYGKIGVFIFASWVGQHGGVITGLAACGVMMPIVSTAGDLMHDFKTGYLTLSSPKSMFVSQLIGTALGCVIAPLTFWLYWTSFDVGNPDGMFKAPYAIIFREMSIVGVEGFSVLPQHCLAICSFFFFAAITINLMRDITPNCVSKFIPLPMAMAIPFYIGANFAMDMFVGTVILFVWERVNHKESEEFAGAVASGLICGDGIWSVPSAAMSILRIDPPMCMYFKPSFTYG >SECCE3Rv1G0209800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:940863394:940863633:-1 gene:SECCE3Rv1G0209800 transcript:SECCE3Rv1G0209800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLWILALVAAACAFFCGPVVMMHGPGAAGLLISRAAFEAFPKLYYYLLRTYGPAAAVFVFRHLPQWLIWILTFIFGM >SECCE2Rv1G0112680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:713100332:713101188:-1 gene:SECCE2Rv1G0112680 transcript:SECCE2Rv1G0112680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEAATVIPVYDVAPGQGAPSKAPAAAASAPPAAAPAAATTTAPRKFPMRFFRRSDRGSRCMAFLDLLLRIAAFGPALAAAIATGTSDETLSVFTEFFQFRARFDDFPAFLFLMVASAIAAGYLLLSLPFSAVVVLRPQTTVLRLLLLICDTIMVGLLTAAAAAAAAIVDLAHSGNERANWVPICMQFHGFCRRTSGAVVASFLSVFILVLLVVLAAFSIRKR >SECCE6Rv1G0442610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:818058813:818059811:-1 gene:SECCE6Rv1G0442610 transcript:SECCE6Rv1G0442610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAMLVLPVLLGLFYGASDINCSIVHGNTTDHRSLLDFKEAIRADPRGALRSWNENIHYCMWSGIKCSTMHPERVTVLNLANLSLTGQITPSLGNLTFLWELTLSNNLFSGQLPPLNLLIRLDTLFLQNNLLQGNIPNALTNCSKLRLLDLSFNMLVGSIPRDIGSLYNLRGIDLSNNSLTGDIPPTFGNNTYLVELRLTHNQLKGSIPENLGKLPNIGEYRAVFLGQNRLSGRVPATLFNLSKLIILDLSANKLRGTLPYNIGNLSASIQWLILGANYLSGILPPSIGAIKNLTVLDLGGNNFVGPIPYSIGNLPKLWKLDLSNNHFDSW >SECCE1Rv1G0008260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:46095139:46099263:1 gene:SECCE1Rv1G0008260 transcript:SECCE1Rv1G0008260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRTGGARVQRLESGAEDGSTEDEEERSPATASEGSEAEGFSAQEENGGGQEEELEAEEEEDDSGMGSDELEITQLGEAGAEMCQVGDQSVALPLDLYDLPDLGGVISLDAWNGLLSEDDRLRLAAFLPDLDQETFARTLVELLRGDNFHFGSPLAALLDHLKGGLCDPRIVLYRRGARFAERRKHYYHLQRYHNSMVLGLWDTKDCWKSCNGYSLGEKLRAMDAMKAQRKQKELGLVARAGSETDTESRESAEQFLTRPKPNKMGLNKAGKLGKERSKGVLRLGVSKAVGEEYTGGSGRDATVALSKLSRQDNAYGYDAGVAHRGKLRRSIDGLHSEDLGYDRDLPRIRSQRPLMKPVKKEVATGYGINPYGNNYHDSQTGSSYYHGRNAIGNQGVTLAASFEPPYSEIASSAKYSERDRIYGGKGAQNKAPKVEERDWPAGSHADLLNDWQRGQPEGDYRSRKPQVGHGVKVKSYKSIEQQINGSHSGSDPRGKISQVKINVKSNSQHGRIGQKDSRSKAARVQSEETESDSSERFEDGAGMNLVEEQPDLQYSELHRPAYGAKKSNKLGKSIKTNYPAPTADFEPYQTQGKRSHRGKVAEPDYLRDVHVEVAEQIGEVMRPPSARSERKRKGIANLDMHGYDNSELHDSNEKANESLRSPESERLASRAGYAVQDSNGDFDVSERVNMPLASCSSGSKRQKGRVELTSLDEHGEHAPSGPKVVEISGSSKKKSKKKPDTITDAITVAEPAPVVPEVIVVPVEPEKPKKKYVPITPTIHTGFSFSIVHLLTAVKKAMVAPAEDTPVAATATPTEVTPVAATATPTEVTPVAAKQPDGEENRKWFNSEEPSKTPQEPSATEQAQPGNEVGDTSAAEQTAQSNSPALTVQDLVSRIKTNPGDPNILETQEPLQDLVRGVLKVLSSRTAPLGAKGWRALVAYDKSNKSWFWVGPLPSGTSYSDPNEETSAEAWGIPHKMLVKLVDAFANWLKSGQETLKQIGSLPPPPAPNPANLDLKERFKDLRAQKSLNTISPSSDEARAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTSKARGHPMLLPDRPPHVTILCLVRDAASRLPGRTGTRADVCTLLKDSQYLNHAESNKEAAVNQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRKDSDPADPGAGNDDPEDEGTPNAKKQKKADADPTASGEDKDGVQDPSNSGLEGDLELDAVPSSTNDKETSKLVSIDARPETSKLVSIDARPETSKLVSIDAKPETSKLVSIDARPDIGSSRPSVDAVARGTADGNSSRAPEKKHNMALPVQFTSREFNKGADREGSKDIMDATPA >SECCE6Rv1G0424440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:700435501:700437414:1 gene:SECCE6Rv1G0424440 transcript:SECCE6Rv1G0424440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSTSPAFHLLPLLLMFLLVTNEGATLNITNQCSYTVWPAAVPVGGGMQLDPGKWWTLDVPAGTAGGRVWARTGCIFDANGNGPCQTGDCGGKLVCARNGQPPNTVAEFALGQFNNTDVFNISLVLGFNVPMEFLPVPTHGRPDCSKGPRCAADITSQCPSNLKVPGGCNNACARNRSNSCKPTTYLRFFKQLCPDAYSYPKDYSSSTFTCPTGTNYQVVFCPPINPSSLLPSPSASIPTRKTSIGSPSDNGISFPAGLFVMIKVVLARTILGCIFFLISAYVHFVLHKRRHQVLEGREEEFGNLKGTPMRLTFQQLAAATEQFREKLGEGGFGSVFEGQLGDERIAVKRLDHAGHGEKEFLAEVHTIGSIHHINLVRLIGFCAEKSRRLLVYEYMAKGSLDRWVYCRHDSNAPPLDWRTRCKIIANVAKGLSYLHEECTKRIVHLDIKPQNILLDENFNAKISDFGLCKLIDRDMSEVVTRMRGTPGYLAPEWLTSQITEKTDVYSFGVVVMEIISGRKNLDTSQPEESIHLITLLEEKVKSDQLADLVDKQSDDMQVNKQEVIRMMRMAMWCLQIDCKKRPKMSEVVKVLEGTIKTENNIVYKFVATTPVNFGMAGDACSSAPPLASDVSGPR >SECCE3Rv1G0187860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:705891997:705895245:-1 gene:SECCE3Rv1G0187860 transcript:SECCE3Rv1G0187860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELGDGDGRPVEGEEQEEPWAGGGGGEVVRLRAKRALVGAGARVLFYPTLLYNVLRNQFEAEFRWWDRVDQCILLGAVPFPSDVPRLKQLGVQGVVTLNEPYETLVPMSLYQAHGIDHLVIATRDYLFAPSLEDICRAIDFIHSNASQGGTTYVHCKAGRGRSTTIVLCYLIKYRGMTPEAALDHVRSIRPRVLLAPSQWQAVIVFSTLTTGRLPVRSTNLNCYLEGTKASIPDRDIEDCTMEFDYDDSGLPLCQVMVPRPSSPTGCVDAVFITEADLEGYDAYVDTGKDVVSFEVVASRKPIMRRLSCLFGSLKVTSNCEPAPSRFTEVRVC >SECCE4Rv1G0295810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:900166923:900180382:1 gene:SECCE4Rv1G0295810 transcript:SECCE4Rv1G0295810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGAGHRSRGRREAEGPDAPPPPPPTYRSLAAPVATPVDKFALLPAFLQVRGLVKEHIESFNYFVTKGMENIVKANDRIEALEDPSIWLRYTGIRVGVPSMRLDFSTETLTPQVCRLIDRTYSAPVTVGIEYTTKVRGTTSYPHEGSAIIGYMPIMLRSRACVLNGKDEDELARYGECPLDPGGYFIVKGNEKVLIIQEQLSKNRIIIETDSKRRVTASLVSSTHQRKSKTMVVMEKEKIYLQLNQFTKLIPIMVVMKAMGMESDQEILQMIGRDPRYGDLLFLSIQECASERIYTQEQALQYMDVKLKYPDSGNLKESLSKLILRDVFLAHVPANNGNFRAKCIYTSVMLRRMMDAILNADTFDDKDYVGNKRLELSGQLLSLLFEDLFKTMNSNAVKSMSAQSRKAQTDSFKQIIEKNVTITSGLERAINTGNWDISRFGMSRKGVSQSLSRLSYIASVGYMTRISPQFEKTRKTSGPRALQPSQWGMLCPCDTPEGELCGLTKNLALLAHVTTDQEDGPLINLCYSLGVEDLSVLSGEEIHAPGSFLVMFNGLILGKHRQPQIFANAMRTLRRSGYIGEFVSIFVNEKQHCIHIASDGGRVCRPLIIADKGRSSVQEHHMKQLRDGILSFDDFLRDGLIEYLDVNEENNALIALYEHENQDDVQRSRITHIEIEPLTILGVVAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLFRADPLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAIKKYMVTMQKYGNNISEKIVKPERDKDGVLMQNNMQALDEDGFAAPGQIIHNHDIYVNKKTPKIIPDDTANSPAVRLTDRDYKDSPAVYRGDDDETTVVDRVILSSDTNDQLTIKCMIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMLELVGGKAGVSCGRFHYGSAFGEPSGNADKVEDMSYILVKHGFNYHGKDLLYSGILGHPLETYIFMGPIYYQKLKHMVLDKMHARASGPRVTMTRQPTEGRSHNGGLRVGEMERDCLIAHGASMLIFERLLLCSDPYKVQVCGTCGLLGYYNHKLKTSFCSFCKNGENMAQLTMPYACKLLFQELQSMNVVPRLKLTKE >SECCE4Rv1G0244340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:514276386:514279208:1 gene:SECCE4Rv1G0244340 transcript:SECCE4Rv1G0244340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSDDPDISPESSSPAAAAGGEIWGTLEELLLACAVSRHGTASWESVALEVQSRSPSAAARLTPTSCRLRFRLLHRRFAAGADKDGGGESDPTAAVSAAWVDELRKLRVAELRREVERYDLSIGSLQSKVKRLKEERERSLSGETPPALKDERETGNDSPEEAGGENGLSGEASARSCKESNSSDLKPPPGHDSGGAAAADDVAEVKDEPAAGEIAAKDEASGESAAGSKEADAVKENSDVQSSASPSRKRRRRLRKVGGGDVASTSAPVPLPAAEAEPLLAFLESVRTSKSGAVFERRLESQESGKYKGTIRRHVDLETIRSRLESGGAAGGPDSASYASASEFYRDLLLLCANALVFFPRGSAEHAAATRTRALVSKRISATLHKDGLGTEGKAAALVGGGSSAGGAKKAKADAEVAGSLLEKAAPIIVCRKRSSIAKAAAANKEKVDKADTDEDEESDDGKKKGSGKDNARGTRTNKGRAPVRNAAPNQKTGKASESAAAERMKKSDKMAGGSSSAGKAPAAAGGVIKKRNAVDFLKRMKQNSVPSTERVSLLETLKLSATEQKKAAKADGRKEPGSSSGSKKAADTASGGRRSVGRPPKRAAAPPTPPPSKRAKDERPTTRKRGKK >SECCE3Rv1G0163250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:146354920:146356233:-1 gene:SECCE3Rv1G0163250 transcript:SECCE3Rv1G0163250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSVERLAQRLVTPAEPTPAGPLRLSWLDRYPTQMALIESLHVFKPAPDRDGGDAGPAGTIERALAQALVQYYPLAGRLGFTEEGGLLQVDCGGDGSGVWFTEAVAGCALEDVEYLEHPMMIAKDELLPPTPAQEKDARKLVLLVQVTTFACGGFVVGFRFSHAVADGPGAAQFMAAVGELARGRTTEDLTVEPQWGREAIPDPAGAVIGSLPSPAGAKRLEYLAMDISADYINHFKSQYNSSHTGSWCSAFEVLVAKAWQSRTRAAGFEEDSTVHLCFAMNARPLLHASLPRAGAGFYGNCYYIMRVSAPAGKVAGSSIPEVVKIIKDGKRRMPSEFGRWATGEAGADGGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRIAAFHEGMLDMN >SECCE7Rv1G0518190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857943489:857944769:1 gene:SECCE7Rv1G0518190 transcript:SECCE7Rv1G0518190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVVTKSSPVLVVGPPGGDDAVVRLTSSFDRCAPPFGVTALLLFDRPIPEPAETIRAALSGALAHYRPVAGRLAADADGELLRIEGAAGVPFVAASASCALADVTVPLLGGLAARYPGRFCQHAEPLLLVQVTEFACGGFAVAATWNHVLTDGEGMAQFLGAVGELARGASPAPSVTPLRADDGSLPRLPPSTVAARKQGASSVLNTNLALLDVTVPAGLIGRVRAEFDAAGLGDPCTVFEAVAAVLWQCRTRAAVVSVSDEAPVTLSFSANVRRLVGARPGYYGNCAVLQSLTSTRGAVANGAATDVARTIRRAKERIPDLLAHGGAATEQEAVAVALTYNTLLVSSWRNLGFEAADFGSGRPARVTWHGKGTAVPGCVVCPPATDGDNGGVSVMSLCVRPEHADAFLAELAAMSGRREAVNL >SECCE5Rv1G0368200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826996712:827000312:-1 gene:SECCE5Rv1G0368200 transcript:SECCE5Rv1G0368200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G66055 protein [Source:Projected from Arabidopsis thaliana (AT5G66055) UniProtKB/TrEMBL;Acc:B9DF91] MPPPSLPLLLPFSSPILHPPPTSASRPRRSLSLSLSPCPRPLASPSPSFAVAAVNDDEYDYDDDVVLGDCLVFEDEAFETPDLDLGRPPPRPGRAARGGGSLVPERWKDAVEEINLTKKEKRRIAHGLRFGSRLDRRVPSAVAAPDEYRAYREGRLDAELGHGARDYLESPLGSKSRAYSEVRLDTEPGRAASDHVEPLEEKPRAPEVEAPLPPPPGTRAAPRNPRTAMDAVSLEDIAELFNSSQYVPAETEDGKSARSRRKLFTDEEKLLLNRRLPDLEAAASSKWLPLHTLAASGDFYLLDNLLKHKVDVNALDKDGFPAIHKAIISKKHAIINYLLRNSANPSVYDRDGATLMHYAVQTACNQTIKTLLLYNVDINRPDDYGWTPLHLAVQTQRTDIVRLLLLKGADRTLKNQDGLTPLDLCLRLGHDVRTYELIKLLKNFRISKQHNSF >SECCE6Rv1G0379750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:16705282:16705778:1 gene:SECCE6Rv1G0379750 transcript:SECCE6Rv1G0379750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHTIRIAALALVSVHLLCSATIAQCQTIAAGVDKDDIHELSLTCRKKCSFFNCCACCILTDWCYTSFARCARNCGEPFSHSIEMLAAAKVTPETVLTRSAVRTDGLMRGAIPEEFSNCHV >SECCE1Rv1G0025730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:359040462:359042467:1 gene:SECCE1Rv1G0025730 transcript:SECCE1Rv1G0025730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGGLGSIGGGGNGRSHGSGGKAKLLALGKGLPEQVLPQEKLVETYLQDTSCDDPATRAKLERLCKTTTVRTRYTVMSKELLDEHPELKTEGTPTLTPRLDICNAAVLELGAAAARAALGEWGRPAADITHLVYISSSELRLPGGDLFLATRLGLSPNTVRTSLLFLGCSGGAAALRTAKDIAENNPGSRVLVIAAETTVLGFRPPSPDRPYDLVGAALFGDGASAAIIGASPIKAEEDPFLELEFSTQEFLPGTDKVIDGKISEEGINFKLGRDLPEKIESRIEGFCRILMDKVGIKEFNDVFWAVHPGGPAILNRLEVCLELEPDKLKISRKALMNYGNVSSNTVFYVLEYLRDELKKGAIREEWGLILAFGPGITFEGMLVRGIN >SECCE3Rv1G0179420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:539820998:539824633:-1 gene:SECCE3Rv1G0179420 transcript:SECCE3Rv1G0179420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAMVWDPWLIVAQIVCLQCLYYLGLGLFMALLVGTRVPRLTLLYLFDFATLTPRTITGWCAIGSFLLAAIAGAGFMFYVIERAKKCLDFAATLYIIHLFICIIYGGWPASGTWWVVNIVGLAIMSLLGEYLCIRRELKDIPVRLRASV >SECCE4Rv1G0286570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849648305:849648771:-1 gene:SECCE4Rv1G0286570 transcript:SECCE4Rv1G0286570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKRAATIAALCMLLIMSVPSQQRVSAMSFCNCYQQCYPGCRQSAPWWLCNVNCAGNCDAGDREDALAACIMVCSTDSVCGPVVAPTYSQGVADCIAECNKRWGQ >SECCE1Rv1G0039000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:544794071:544795125:1 gene:SECCE1Rv1G0039000 transcript:SECCE1Rv1G0039000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKMIIVCSVVGSLGVLSAILGFSAEGTKLTVLDVYVGLGVCLYPQNPALGLGVCAAIFLAVAQIIFAAFGGCCGCCKSRAIPSQSKRIIGVVCAVFSWIVAAFAFGMLVVEAAVNAAGTREPSASGYCYIPIDGIFAGGAALALVATALGITSYVMLRKQPDTVAETAAPKEGEQMPAGAAGISTGQPQFPPQPPQRQAPPAPPNYPQYSAPPTAPPQGQQYDQAPYPHYPPPPAMGYGAHAPNQQQQFPPPTAEGYGAHAPNQQHPSAPPKVHEQV >SECCE7Rv1G0518640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:860389791:860393246:-1 gene:SECCE7Rv1G0518640 transcript:SECCE7Rv1G0518640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALFSLLLLLLVAVASPAAATITRGDFPPGFIFGTASSAYQIEGAVAEDGRKPSIWDTFTHSGYSVDGATADVTADQYHKYKQDVKLLSEIGVDAYRFSIAWPRLIPDGHGAVNPKGLEYYNNLIDELLAHGIQPHVTLYHFDLPQALQDEYNGMLSRKFIDDYTAYALVCFENFGDRVKYWSTVNEPNIEPIGGYDEGYFPPRRCSFPFGVGCDNGNSTTEPYIVAHHLLLAHASAVSLYKEKYQDKQGGKIGLTLLGLWHKPATQTPEDIAAAVRMNDFHIGWYMHPLVYGDYPPLMRKNVGSRLPSFTAEELKRVLGSFDFVGFNHYGVAYVEADLSKLDLKLRDYMGDAAVKYDSMPLLNSKNQLLFGLRSGFIMSSSPWALRELLEHLQVKYKNPVVMIHENGAASIVDPSSGNALDDEFRSQYLQDYIEATLQSSRNGSNVQGYFVWSFMDVFEYLFGYRMIFGLYSVDFTSKERTRYQRHSAKWFASFLYDDELRPVALPAKDYYQ >SECCE6Rv1G0427510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:719771229:719774135:-1 gene:SECCE6Rv1G0427510 transcript:SECCE6Rv1G0427510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEIKQVAASQTHNPVKPDRPPRAGANKQPPSMEYQLKEYLLLLATLVATVTYAAGLNLPGGVWQEDTHGGPHIAGDPILPDTHYRRYLAFYYCNATAFIASLVACLLLVFLDEKTKWANVLRAVMVLDLFGLMGAYAAGSCRDKFTTIYSSLLVSAVFAYITCIFVFYALRQVRVPTKTKQGKGDPGKEHVEEEHDAKGDYKKKDKEVEQGDPEKQDKEEEHDANRRGDEHDVLMLLATFVVTITYVAGLGPPGGFWGSTQDGHRVSDPIMQEHYFARYQAFFVCNTTAFVASLLIIVLLMNKELSEAMTEANKAFSRETSKTFIAFVALYGFIVLALTGLVGAYAAGSCREPDATIYVVCLAGAVLAYIFLQVVIPKAMGKEYCVLNPSGWLAKIYGKFNSDSGAQQDDKLLEKNRSLVMLLATLVVSITYSAGLDPPGGLWPDDRDGHKVGDPVLHTTHPTRYKVFFYSNSAAFVTSLVVIIMVRCEFLLQRHILHAAMILDLFGLITAYAAGSSRDLATSIYVVALAGAIVVYVVIHMVFFTLEDNMDHQDADKLDKRRDMLLLLAILAATLTYQAGLTPPGGFWSADDKFGHHAGFPVFLDNYPRRYSAFFYCNAASFMASVTLIVLLVNPTLYKPGIRCYALYVCTVVGMFGLMGAYAAGSSRHLRTSIYVFILVAAVLAFLTIQVVIHYKGRPSGQEGSTNESSEKVATASHTDTRTEVKNLREYLMPIGVLAASVTYQTGLKPPGGLWQDSNNGHTAGNSVLHDIDRSRYRAFFYSNSTSFMASIVIIVLLLPWNGLKLPLGPMYAAIWLDMLGLLVAYAAGSTREWETSSLVIALVVPVLTYIAAYAAVVLFGKSAHVATAGPMENPHEAPNMEKKSGMT >SECCE7Rv1G0455690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8049054:8052480:-1 gene:SECCE7Rv1G0455690 transcript:SECCE7Rv1G0455690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCMSARIPIFLLVLFSSISASSPTPTNGNRSDIDLAALLTFKAQLADPHRILAGNWTTGTSFCHWLGVSCSRRRQRVTALSLPDMPLIGSIAPHVGNLSFLSVLNLTNTNLTGSIPAELGRLYRLRSLLLKGNSLSNAIPSALGNLTRLEFLSLALNQLSGQIPLEMLSRMHSLREISLRSNHLNGQIPQYLFNNTPSITLIYFGKNKLSGIIPHAIGSLSMLEYFSLEFNQLSGLVPQAVYNMSRLQALWLGGNGNLTGMFPNNQSFNLPVLQFISLSSNKFTGRFPSGLASCQCLQVLDFSANFFVDVVPTWLAKLPRLEVLELGSNKLIGSIPAGLSNLTSLSKIDMPYNNLTGEIPPELGLIQELSHLYLGTNQLTGKIPASLGNLSKLSYLALEMNQLSGQVPTALGKNAALNNLDLRENNLEGNLDFLSALSKCRKLQFLWLGANSFTGVLSGHVGNLSSRLIYFNVGDNKLIGGLPVEISNISSLERLGVENNLLTGPIPESIAMLENLGWLDLSGNDMLGPIPAQMGLLGSLERLSFHANKLSGSIPSSFGNLSLLENIDLSSNQLSSMIPASLFHLDKLITLDISHNYFVGALPVDVSGLRQIYQMDISSNFLIGSIPDSLGQLSMLIYLNLSHNSFKGSIPGPLEKLSSLASLDLSFNNLSGSIPTFLANFTYLTTLNLSFNSLEGQIPEGGVFSNLTLQSLIGNVGLCGAPRLDLSPCLDIPHSRNKHVLQILLPTLTLAFGAIAICIYLLFGKKPKGGEDKHFVNANDVIGHQIVSYHELIRATNSFSEDNILGCGSFGKVFKGQLSTGLVVAIKVIDVQLEQAIRTFDAECQVLRMARHRNLIKILNTCSNLDFKALVLQYMPNGSLEMLLHQTKSTVRLGFLERLCIMLDVSMAMDYLHHEYYNLILHCDLKPSNVLFDEEMTAHVADFGIARLILDDNSMACVSMPGTVGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGRRPTDAMFGAQLTLRQWVHQAFPAELVQVVDSQLLQGSSLSSCSRGGGFLASVFELGLICSSDLPDQRMTMRDVVVMLKKIKAEYTKLIATMPHSAAP >SECCE7Rv1G0471610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:132385584:132386240:1 gene:SECCE7Rv1G0471610 transcript:SECCE7Rv1G0471610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERKMKLRFSIPSKPKVKPATPPAAFSVATATPGPAPRFVTVFDPSETLTPAPAAPVVIAPLPDSRKPFPAAAAPAAPVVIAPLPNTRRLAEAPAFVLGGSDGPSSSAAYGLTVRNTDSKRKLDPEDDAAREPPPPPGPSGEDLMLRRFKEDMAVLPEIQGTDEYDEVPVEGFGAALLAGYGWKEGDPIGKKYKGMGAVKVREHGRRRCGTQGLGS >SECCE6Rv1G0451240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871698243:871701557:-1 gene:SECCE6Rv1G0451240 transcript:SECCE6Rv1G0451240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTEAPSRPPLPPPSKGGKFVTILSIDGGGIRGLIPATVLKFLESELQRIDKDPNARLADYFDYIGGTSTGGLISMMLAGPNKNGRPLKSAEEIIQFYKDNGEKIFTPQTVGWWKFICELYVYFFGKRTDEALDLMASKETSISSLSGFKVKNLYALMHSKYSNDHLREAIAKVFEAAGSSELALKQTLTNVVVPAFDIKDNQPVIFSTHQAQKGAVMNPLISDVCVAATAAPTFFPPWGFTIEDGHVTKEYNLVDGGIFANNPTMLAIEEIWKRTILEQEGFLPAGMTSMIASFTGKPLPSSQVGNVPDSKFCVLSLGTGVVTHSYTAKQAQNWGVLPWFYNLREKSMPLVDMLSFSGGTLVDYDVTLYFKSRDSEDYYLRIQDVGLKGASEAMDDASQENMNALVQIGEKLLDKKVHMIDFDTRTYERVDDAETNKEALTKLAQELVAERKRRLSTAV >SECCE7Rv1G0497910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:633394693:633398888:-1 gene:SECCE7Rv1G0497910 transcript:SECCE7Rv1G0497910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYLGVLTGLALLFMTLLLMLMARLPSADGASTAKSKISAVFVFGDSIVDPGNNNHRLTEAKADFPPYGQDFPGGKATGRFSNGKVPGDMLASRLGIKELLPPYLGDDLPLSELLSGVVFASGGGGYDSFTSIPVNARSSTEQLELFLEYKERLKALVGEDEMTRVISEGIYFTVMGANDLVNNYFTFPLRRHQYNLPSYVKFLVSSAVNFTKKLNEMGAKRIGFIGIPPIGCCPSQRKFGSRECEPMKNEAAELFNSEIATEIDQLNSERTIQGSKFIYLDIYYNLLELIQQPSFYGFKEATEGCCGSTLLNAAIFVKNHHACPNAYDYIFWDSFHPTEKAYNIVVDKLFQTTIQYLV >SECCE7Rv1G0459480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26029388:26030101:1 gene:SECCE7Rv1G0459480 transcript:SECCE7Rv1G0459480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLDCGAIDCGDCGDCCVECFDSCDEPCFCFVCFSDAISGKALLRILCGLVIFAVLATVVTLLVIALVPRRVGVSMEDAALARLALVDKNATATALAYDISIAVAVHNGNWFMPAQHTAPLYAELLFDGARFARVGLATAGSVVRPRRTEVYHATAADDSASVALGSAGVADFVQEKAAGQFQLQVKLVGEVMYRPHHKKHRLDAICRVELALSTATSPAMFKKVKCDVQKGHGVH >SECCE6Rv1G0405320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:529473232:529476237:-1 gene:SECCE6Rv1G0405320 transcript:SECCE6Rv1G0405320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGGGAHKAASGSAPSASAAAAAPNPTAMLSALMGKRAKLQEEVRSIERQVYDMETTYLQESNQFGSVLKGFESFLSSSKNTANLKRSRKFQVDERLFSLSSVTSPAVEEQLAARDEAREYAGRSKGASTPANGQGKPKKGGRPGGRDGKRIRPSNDPDLDDEDDY >SECCE5Rv1G0311790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:207510536:207539651:-1 gene:SECCE5Rv1G0311790 transcript:SECCE5Rv1G0311790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAFHPNLPHAHGHPSHPNPTSGLLRLLPSCRRHRAHRRRGLGLAVSACASSAASPSAAGRGDRSEAASSLERCLAASAPSAAAPAAAPPRVPPAMKGGKQYGAFGAVTLEKAKLDLSQRKKKIMPELATGGGGGDIGKRIGHGGGDGGDDDGDDDDYFDDFDDGEEEDGGLFRRRIVIQELFNREFIDAVMQEWCKTMISLPAGLRQAYEMGLVSSAQMVRFLSVFSRPTHTRSFSRALPGWLSRGLVGRTLADPSFPHKMAFEFLATFASSVWWEMNIRKERFEQEWDLAVVNALTASCCNLVVLGLLAPCRSYGSTSRFDFQNAIEKLPNNIFEKSYPLREFDLQKRISAFLYKAAELSLVGVVAGSIQGGLSKALSVRKDGRLSVTLPNVSTNALGYGAFLGLYANLRYQLLCGLDQYTIKRFDVLGMAIFIGTTLRLMNIQIGESSRRAWLGEEADPQYSDRLLRAYTRPVEVTTAADQQESRWFISKDAVVSGLGLLGIKQGGPEAQLSKPRRKRVIRKKVASG >SECCE1Rv1G0055730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:682746651:682747058:-1 gene:SECCE1Rv1G0055730 transcript:SECCE1Rv1G0055730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKPTTPWGYPALGRRTRKRKKYSDSFILRRRK >SECCE3Rv1G0211070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946937338:946939487:-1 gene:SECCE3Rv1G0211070 transcript:SECCE3Rv1G0211070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAASRRHSPAPGLGGRRRSGRGGVAPVRCSGSPAQEFAALASVFRRRLVVGASTAAAAAVGANFGGVTSFLLGLSPELGRSLRLDVLYPVGGFTRCLDSDNGFEFIYPANWVGDQTILYNQIKRAELQRSLDPPPLTSGGSSTRPRNVSGPVAAFGPPGSNGELNVSVIVSTVPQDFSIESFGGPKDVGEVVLRRIARTKRNPDISATLIDAALREDTVNNVKYYKLEFRVESPSFRRHNVAVCCARDGKLYTLNAQAPESAWRSVQEEFFAMADSFSLMVDA >SECCE7Rv1G0515660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:840377370:840378380:1 gene:SECCE7Rv1G0515660 transcript:SECCE7Rv1G0515660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTDATRSVQQLKIHGFCATSAMSTKDSIKSRWNVDGYEWEIRIYPAMFSVSVELAFLSEARTPVVRANLSCRLVDPRGVVEPSERKSNSGVFRGLPTVFVHLGRRRDLVASGYLHDDTLIVECTITVLKELPMPTIPVKEAPSTPPSNFHEHFAELLRSGTGSDVAFLVSGESFAAHKLVLAARSPVFMAEFFGDMKEACSRSVEIKDMESAVFRALLGFIYTDTVPELDQELEAVATMAQHLLAAADRYGLERLKVICAGKLSGGITVDTAATTLALAEQHNCSHLKAKCVQFIVSTPAILDAVVASEGYKHLEESCPSAVTSIVISMRRGRN >SECCE4Rv1G0290410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:872617465:872621631:1 gene:SECCE4Rv1G0290410 transcript:SECCE4Rv1G0290410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRALREVVGRRRAGAAGIGGGRRFSGITAAAAAPAGVAERGDGGGGKAVNLFTAVNQALHIALDTDPRSYVFGEDVGFGGVFRCTTGLADRFGKQRVFNTPLCEQGIAGFAIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNEFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHVPGLKVVIPRSPREAKGLLLASIRDPNPVIFFEPKWLYRLSVEEVPEGDYMLPLSQAEVIRKGSDITLIGWGAQLAVLEQACEDASKDGISCELIDLRTLIPWDKETVEASVSKTGKLLISHEAPITGGFGAEIAASIAERCFQRLEAPVARVCGLDTPFPLVYEPFYMPTKNKILDAIKATVNY >SECCE3Rv1G0191770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:751244782:751271983:1 gene:SECCE3Rv1G0191770 transcript:SECCE3Rv1G0191770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAALEADLRALSAEARRRHPAVKDAAEHATLKLRSLSNPREIAQKGDILRIFLMACSVKSVKLSVIGLSCLQKLISHDAVASSALKEILATLRDHSEMPDESLQLKTLQTMLIVFQSHLRPESEEDMSQALGICLHLLESSRSSDSVRNTAAATFRQAVALVFDNVVLAESLPTGKASPARLGSSASSVANNVTRSFGQTLSLAFSYGELTMREDLTDVGKLGLRLLEDLTALAAGGSARWLHAQSLHRTFALDILEFVLSTYVPIFRALLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEVFLNMLVKVTRLDLPLWHQILVLEILRGFCVEARTLRSLFQTFDINPTNTNVVENIVKALALVVATIQASDSSEETLAAVAGMFSSKAKGIEWSMDTDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDAGELESPRCEVDRLVCSGQSALLCVAMVNSTWLTILDSLSLILMRSQGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEGDKRSILLSPGSKKPETSMDQRDNIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRTIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLFESSALMNVAAVKSLLSALHQLSSQHISGNSQLSGQQIGSIAFSVERMTSILVNNLHRVESIWDQIAAHHLELANCSNPQLRNMALDSLDHSICSVVGSEKFQGISSAPHPLKEYQLVEESETRSFEYAVLSPLVIIYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVADASEKDVISLGFQSVRVIMNEGLATIPVQCLDECILVTGAYGAQKTDINISLTAAGLLWTATDFIVKGLSGRSVQKANHLNEEVQLGVSTEEGDIPTSEEGVKRNPLQQLVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLCTHGQKLSLSMWEDCLWIYVFPMLEHVSHLASTSSKDEWHGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLLLGGIARLLRSFFPLLQQLSKFSSGWAILLAFLKNSILNGSKEVALAAINCLQTFVSSNCPKGNLESSFVKSVLDIYELVLQTSPNYKSDSADKVKQEVLRGLGDLYVQAQSLFNDDMYLRLMAVMHLMIKSSMNSSDYDSELGSIPAVQRGILEIIPMLRPTTMLSSMWSPLLLELLCYLNGEESPLHRNSKEIREQNSDALANGTKRASVERGHINGSGTKGDTVVGCGWGILFIEKLVPIMVNLFLEAPPNERCSASPQVIQGLGRCMNTRRDNPRGTLWRVSAECFNRVVTDEVRQENAECGSDMNSYRLSRARFWKEVADVYETFLVGSCGRVLSSDVPSADSATADESLEMTVLTVFGESVLKLQKEAPVEVLQRLVNCLDRCASRTGSLPIQTVGLLPLHCSRFSLGCLQMMFSLCSCISKTSSYPAVSETSKVSISILTKRCEVILGQFLADENDLGDRPLPSVRIEETVCVLQELARLILDIETANALNIPLYLKDALRENQSHGRAHLLSLLPTFSELVVSREARVRELVQVLLRLISSELGLQRLT >SECCEUnv1G0528510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:4719735:4723727:-1 gene:SECCEUnv1G0528510 transcript:SECCEUnv1G0528510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G64050) UniProtKB/Swiss-Prot;Acc:Q9FEA2] MAASNFMGSSSRLRVGLLPSVAPRLGRRALAARASADGGPVRVRFAPSPTGNLHVGGARTALFNYLFARSRGGKFVLRVEDTDLERSTKKSEEAVLSDLSWLGLDWDEGPDIGGDFGPYRQSERNALYKEHAHKLMESGAVYRCFCSTEELEKMKETANRMKTPPVYMGKWATASDAEVQQELEKGTPYTYRFRVPTEGSLKINDLIRGEVSWNLNTLGDFVIMRSNGQPVYNFCVTVDDATMRISHVIRAEEHLPNTLRQALIYKALGFAMPLFAHVSLILAPDKSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDATKLKWMNGQHLRSLPSDLLIKDFEDRWRSTGILLESESGFAKEAAELLKEGIDLITDADAALCKLLSYPLHDTLSSDEAKSVVEDKLSEVASGLISAYDSGELGQALAEGHDGWKKWVKSFGKTHKRKGKSLFMPLRVLLTGKLHGPAMDSTVILVHKAGTSGAVAPQSGFVSLDERFKILKEVDWESLQKQQESPVESAVPAAS >SECCE2Rv1G0109970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:684845492:684846496:-1 gene:SECCE2Rv1G0109970 transcript:SECCE2Rv1G0109970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAVAKKGDAKAQAAKVAKAVKSGSIKKTAKKIRTSVTFHRPKTLSKARDPKYPRISTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >SECCE2Rv1G0116330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:763187359:763188693:-1 gene:SECCE2Rv1G0116330 transcript:SECCE2Rv1G0116330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSITRKEIEGFWRRKEEERRLAAEKEAARAKAKTLKMEDYMLFEQMIREILEEGGEGDKGITKNRMMVTPSTRVAELRIGIKHWWKKSTYAYLNEPAVTATMDDNGRSRRAVTYIPQDRCLKVCSSIPASFVIF >SECCE3Rv1G0196810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:812040210:812045232:-1 gene:SECCE3Rv1G0196810 transcript:SECCE3Rv1G0196810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIISKSGKALASRQYVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEELYLLLITNKQSNILEDLDTLRLLSKIVPEYSPSLDEEGVCKAAFELIFAFDEAISLGNKENVTVPQIKQYCEMDSHEEKLHKLIMQSKINDTNDLMRRKVTEIEKNKIDRGKTGEGFAPTRTQNNFNDMNIRGGPGSDIGPIFTDKTKGRSSAPSPAPSKMPGGMKLSKAHKPNQFLASLKAEGEVILEDTQLSSIQSRTSSSIPPSDPITVTIEEKLNVTAKRDGGVTNFDVQGTLALQVLNDTDGYIQLQIENQDAPGLSFRTHPNIDKQLFNGQKIVGARDPTRPFPSGQNETPLVKWRIEGLNESSLPLTVSCWPSTSGNTTVVNIEYEASDMFELHNVVFSIPLPALRDAPSVKQIDGEWRYDSRNSVLEWSVILIDQSNRSGSMEFSVPAADPSTFFPISVGFSASNTFSNVKVTAILPLQGGSSKYSQQLRLVTANYEVI >SECCE6Rv1G0421540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:684213124:684216103:1 gene:SECCE6Rv1G0421540 transcript:SECCE6Rv1G0421540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRCPLLASPLPAGGAATASCSGSSRPSRFHLRRRRSPPPLLAVSSDSSKPLASSSTAGGADPDEEPVLPLLQELADCLVLPPKFLSLLPRDLRLDLNDAAFDLSNGPVLNECGQEVGDLLLDLAKAWEMANTEASNNLAKQLPSMAPYLTASAKSAFGKRLASAGKKFQTMGQYGNGEFKKISATMIKIGKVLSKRPVIQAEVEATKEKRKLKFAGAEFELTAQNAYIGAALGLVFGFFSWQLAQGVQSSPDDSQPLKVPLLLLGYTSTALSAAAAVGLVVLALQMNPEDKSD >SECCE4Rv1G0261630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:703059488:703061819:-1 gene:SECCE4Rv1G0261630 transcript:SECCE4Rv1G0261630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFHDRQQVWLRSRVHGTYLNANSDGKTVSLRGRRASFKAAWTVHIYRGNDGMYLLLHSAAYGRYLAATATRAPLALGHLGFRVELRDYDQPEVEAIMWRAVEAGSGEDVTLGNAGGRYLRANGRHLPWNTRVSVDDADNMSTMMCWTLQTVPPIMDVPIPHYPVRPPGNWFGLRPGVWRTIRFVRANDEGFYVEEEGWAAFPFRGRSVHHLREELATRVNLHIFNLVACVRAGRHGRPTPLVSNLPHGGSGETLEIVVVQFGTRAYGRLRYPDVHAD >SECCE4Rv1G0271620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:762564846:762567403:1 gene:SECCE4Rv1G0271620 transcript:SECCE4Rv1G0271620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRGGRDGPFGAGDPFAGFGRLGGAPMPGLFGRGRDPFDDPFFTQPFGGGPGMFGPGLFGPMGVPGPMFGPMGGPGMFGGFGPGDGFLEEAPPARSGAGGGPVITEIDEDEEGGDGDGQANRGAHVQEPDDGSDGMQGGQVQLRRDPSRASGGGQPQSRSFTYQSSTVTYGGINGAYYTASKTRRSGSDGITVEESKEADTTTKEATHRISRGIHDKGHSVTRKLKSDGKVDSTQILHNLNEDELPGFEESWKGNAGQHLPGWNQNAGISNGDNSGNRGTNGARQPAQSWALPGMQLQRDPRMQQQRDPRRHDSGQPKAKSSRIIPIS >SECCE2Rv1G0118820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785831716:785832234:-1 gene:SECCE2Rv1G0118820 transcript:SECCE2Rv1G0118820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLLGAGAGAAAFTGAAAGKALPRPCFLAARPHTVSGGRLCLQTPPRATPANDAVENVKGAAGEAGDKVSEGADSVSKAAGDAGANVQEAAEGALEGAKDLGEKAKQATEEAWDATKEAAQGVADNVAAAVDDVSK >SECCE5Rv1G0371420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846929564:846930280:-1 gene:SECCE5Rv1G0371420 transcript:SECCE5Rv1G0371420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILEATLLLLLLLLVGSAAGSDDVLAASCSGARSFAADSPFASSLHQLMSLLETKAPAIGFDIASSGGVHGLALCRGDVARATCAECIRSASAQAQRLCSSKKDAVIWLDACMLRYSDQPFFGEVDADHSAVVPGGAQGAARSSEFDNEVTGMMKRLTRTAYLSPLLFAGGAVKAVGGTQRLHGLAQCTKDMSGGDCKLCLKAAISKLLARGCAKEGGMVLGGSCSLRYDLYPIFDS >SECCE3Rv1G0200810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:857603568:857605508:1 gene:SECCE3Rv1G0200810 transcript:SECCE3Rv1G0200810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMLMSGVNGVASGRSLLQAARPSSASTPFSRLALSSSSSAAAYYKHMPSLSVRTMALFGKKAKAAPAKKVVAPKPKTEDGIFGTSGGIGFTKENELFVGRVAMIGFAASILGEAITGKGILSQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDEQPTGLDKAVIAPGKGFRSALGLSEGGPLFGFTKSNELFVGRLAQLGIAFSIIGEIITGKGALAQLNIETGVPISEIEPLVLFNVVFFFIAAINPGTGKFISGEEDD >SECCE4Rv1G0240340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:447972139:447972849:-1 gene:SECCE4Rv1G0240340 transcript:SECCE4Rv1G0240340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRYWNINLKEMIEAGVHFVHGIKKWNPKMAPYISAKRKGTHIVNLARTARFLSEACDLVFDAASQGKRFLIVGTKKRPTDLVASAAIRARCHYVNKKWFSGMLTNWSIMKTRLSIFRDLRAEEKKGKFHHLPKRDVAILKRKLSTLQRYLGGIKYMTRLPDIVIVLDQQKEYIALRECAILGIPTISLVDTNCDPDLANISIPANDDTMTSIRLILNKLVFSICEGRYLYIRNR >SECCE4Rv1G0252860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:619308642:619310276:-1 gene:SECCE4Rv1G0252860 transcript:SECCE4Rv1G0252860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIAYEEQRRRQVEENKRKLEELKLHHLSAAVREAATPKPSPAKSLKRKRVPREGGEDTPIRRSGRVASLPEQPNYRFEDVFHVLEKKIRRGRRTTTSTRSDLINRVYATDKAREYATTMAEELQAKLGSGYPSFVKPMTQSHVTGGFWLGLPGSFCRKHLPKRDEKLTLKDEQGVESETLYLALKNGLSAGWRGFAIKHNLVDGDCLVFELINRTAFKVYIIRQNSYYER >SECCE3Rv1G0208680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:934282325:934287678:1 gene:SECCE3Rv1G0208680 transcript:SECCE3Rv1G0208680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDIPNVFFKLSKRKADDNLQNLHALLFGRKSNAHFLKRNLSQFSGFVWTDNPEKQRNKIKEKLDKMNKEKLVDFCDILDVQAKNTLKKEEVSAKLLEFLESPCITRDVVISDVKKGKKRRRKSKGTSKATAEGASGEKKKRKRRKQAVEVEKENDDEDDAGPAGSEDSPMGESDDDSEVKETKSDEEAEATPVKKKSTDDKQGKKEAGSKAKEKDASVKKTPTKSVKSVSKPEVEPGSKKAAKKTSKNPTKESKTPVDKAKKKVAKPKKGDGKENQDNSKARKKQGAKASGENKGKGKVAPTTKQLHGVVSNILKEVDFNTATLADILRKLGDHFDMDLMDRKSEVKRIIEEVINSMSDDEGEEENEEEDAEENGKKEEDSKEDPDEEEEK >SECCEUnv1G0528490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:4688549:4691383:-1 gene:SECCEUnv1G0528490 transcript:SECCEUnv1G0528490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELKKLIGAPYYIECSSKTQLNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >SECCEUnv1G0542250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98629961:98630488:1 gene:SECCEUnv1G0542250 transcript:SECCEUnv1G0542250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHFCECYFDLSGPILCPVLGSITPLFIPNSSIRPIRLIGLCVSLITFLYPPVPRIQFDPSTAKSQFVESLRWLPYENIHLYMDIDGLSLFFVILTTFLIPICISVGWSGMRSFGKEYITAFLIREFLMIAVSCMLDPLLFYVLSESVPIPMLCGAEHLLFAGIKLFLCRGLVQ >SECCE6Rv1G0379630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:15731414:15743952:-1 gene:SECCE6Rv1G0379630 transcript:SECCE6Rv1G0379630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEDWRRTLSRVVSAVAALNITAPRAFDTEVAGIGSATGFVVDKIRGIMLTNRHVVLPGPVVAEATFANREEIPVYPIYRDPVHDFGFFRYNPASIYFHEHEEIPLAPEAASVGLEIRIVGNDSNEKVSILEGTLARLDREAPNYKKDGYNDFNTFYMQAAAGTKGGSSGSPVVDCQGRAVALNAGGRSSGVSAFFLPLERVVRALNLIRACWDTYGIGSQPESVYIPRGTLQVTFQHKGFEQTRRLGLKIETEKMVRQVSPAGETGMLVVHSTVPNGPAHGELEPGDVLIRMNGDVVTKFLTMESLLDENVDKEISLQLDRGGIPVTVTLKVKDLHSITPNHFLELSGAVIHPLSYQQARNFRLECGLVYVAEAGYMLSRASVPRHAVIKKLDGVKIKDLNELIDAFSNLSMGAEVVLQYVTYTEPHRMKDASVRIDQHGCYMPPQLYHRNDTTGVWAAKPAMPLESEYMVSFRQGGHTDVNSKGIPRNSGSTKGSDFARTLPGFTSLAEREIEPALVRFEVFVPPICMIDGVHAKHFVGAGLIIHHSDSLGLIAVDRNTVAISISDITLSFAAHSIKIPGEVVFLHPAHNFALVAYDPSALGDGASVIRAAELRPEPALRRGDPVYLVGVNESLQVISRETKVANYAPINIFSGDCPRYRAMNMDVIELDYAGYEYSGVVTDEQGRVQALWASASRQVYGCTSKGDDQFVVGIPIYLISQVLEKIISGAPGPFRLINGIWRTMPSVRLLEVELYPVMLSDTRSYGLSDSWVQALIEKDPMRRQLLKVKGCFAGSKAKNVLEDRDMILAINKEPITCFLDIDNACQNLVGSDEMLKITIFREGKEIDLIVGTDLRDGSGTTRLVHWCGCIFQNPHSAVRALGFMPKEGHGVYVSRYYNGSPGHRYGLCAPQWITEVNGRPTPDLESFIEVLKGLEDGVFVKLKTVLLSGESCVLALKQDLHYWPTWELSFEPKTATWRRRTIKSLQPAAA >SECCE6Rv1G0439970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:800428135:800429417:1 gene:SECCE6Rv1G0439970 transcript:SECCE6Rv1G0439970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVFGTPISAQTVIATGEYKEPITQKDVADYAMKMINAGGKDVNAQKFVDNLKDRYGNGIAVKCLIYNATGATLNFAIYNDWHGHIYDTPYPSDIQNGQWGAFLHVHPSGAAAGSAGAVVYRSKVPSSSSSCDWLFCWTVPYVGGNGVYTEIREEGHYPSVGSWDYIYNVKLANSNLNSTDNNYGYVSKTDIGEGTTMNARGVFQFPY >SECCE1Rv1G0035340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:497804167:497805090:1 gene:SECCE1Rv1G0035340 transcript:SECCE1Rv1G0035340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRVISSLTDIDEVLQELNITQVNEANQVRFQLHEQASLEEAADISVRTRPGRQGFILVNPELLECKSSTKRALERSFNNMLDRSLELTDQELHGVEASIGALEALVLTADNQMAPNGPPLVERNRGVQHVIYPCPPFMRFPSFEHDTHHQRVPYQAAYGAQPEMSEAAARDRRAQRAIWRAKLRLLKRRQVILREKNYEMMSEMRTEIKRIMEERSDLGAGYADVEFPPLA >SECCE4Rv1G0249100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:578830767:578831885:1 gene:SECCE4Rv1G0249100 transcript:SECCE4Rv1G0249100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHRIINSEEQTLGGGMSNPKRRQGPASHRDLAGKRSRPIHKQQKHLYLVLDDWTKGFTIRKIDVDALDSGPDLELEPPVVRLVSPAPVYPVNFTAVGSNIFIASNNHRGTMVYDTETAGLAIGPLLPDTLLGGTRIFAATADTQQLYALKYRICDMQRAFEVMSTACIEDWYPNSFPNPSQDWSWASVPSSPPFPKDCLITAYAMHPDGRTLFVSCRNSRETRRHTYSFDTKNHKWRFHGDWVLPFQGQGYFDGELDAWVGLREDGYVCSCRVPSRTRTLSGQPDWKVADDELFLEHPGSLGATLTYMGNARFCLVETEELEFDGFMLYITIFGLKYSREGELQPTARRTTKSCRVPRNLMQFSPVAFWL >SECCE3Rv1G0145930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8826862:8829530:1 gene:SECCE3Rv1G0145930 transcript:SECCE3Rv1G0145930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPSSHHPTLLRRLLTRRRAVLACAAVTVLAAAAVLLLAAPRTEVPGRALLVSDSLLLPRPRQEPHAAAAGRSPDDAPSLPPAPAPPPPLEAKVSLPSKISPAPSMFSAPVPSPAENFDDGSTEEPEHPDMKENPLKESAPFLPEPISSGPPTMSSDVISEDPGKSGNAEPTPRPEIPLWSTKADEELIYAKKEIADAPLVVGDPDLYAPLFRNVSVFRRSYELMERLLKVFIYHDGAKPIFHSPELKGIYASEGWFMKLMEADQNFVVRDPNRAHLFYLPYSARQLEHNLYVPGSNTLDPLSIFVKNYIDMISAKFPYWNRTKGADHFFVACHDWGPYTTKLHDELRKNTIKALCNADVSEGVFIRGRDVSLPETYVKSARRPVRDIGGKPAAERSILAFFAGQMHGRVRPVLVKYWAGKDADMRIYTRIPRQITRRMNYAKHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFDDALDWSAFSVVVAEKDVPRLKEILLAIPESRYVTMRSNVKKVQRHFLWHTKPLKYDIFHMILHSVWFSRVNQVHQVEQ >SECCE4Rv1G0294280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:889824601:889828401:-1 gene:SECCE4Rv1G0294280 transcript:SECCE4Rv1G0294280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASEATMKSLLRKLGGLLAQEYALIRGVRGDIQYINDEMASMQAFLVDLSSTSPQGHDHRMKDWMKQIRDVTYDIEDCVDDFAHRLSHDPGGQVICAFVMSNVYEVWTWRSRRNIASNIAELKVRAQQIGERRKRYGVENPKSGVRESGAAATGRFQAAENQQASLELVGTKKPVGVDKDMKKLGEWVTLQQPAAASLTDPSSSAPTSQTGAKKQDQGILSILGFGGVGKTTMAMALYQKFGDQFDCRAMVTVSQSSDMEEILRSIHSQVMPQSKDGNEQQGSSGVGTSEKKRLVAAIGSMWDTVMPKGQQDDEQCGGSTSDIARDLRKHLEEQSYLLLVDDVWSASMWDKIKKSLSLPNCKSGSRIIVTTRFQAVATACITDEGSDLVHKVVGLSDDQAKELFMAESNMSEENQKKIPPGLWEMCGGLPLAIVIMAGHVACNPDKVEKDWMEVCTRLVLDSGKPLGQDGVTMILSHCYNDMPAEIKTCSLYLCIFPKGRKISRKRLTWRWLAEGFVSEKDGLSAEDVAETYFNHLIKRKIIRAVEHSSNGKVKSYQVHDMVLEYIVSRASEENFVTVVGGHWLMAPPSNKVRRLSLQGGDSKYKKGMDGMNFSHVRSLTLFGSLTRLPSNSLKLGIVQVLDLEGCNDFKKKHAKEICKMLLLKYLSLRRTEVDKIPKKIGKLQYLETIDIRETNVTELPSTVCQLERLVNILGGNKRTRKALKLPDDLKKETMKSLRILSGIEIDERSVAVVADLHHLTELRKLAIYKLNIRNGSKLFRELSSAIEYLGGYSLHTLIIDDGSSEFLKSLSTLSFPPKFLNALELSGKLVELPQWIKKLDALTKLTLSVMVLTTDALRQLSKLKTLFSLTFSHTSGKVDSEIGATIGENKADGEITVPASGFEELKLLRFSAPLVPLLTFRDNAMPKLERIELRFSNLGGMQDIENLTSLKEVHLIMHDKAGDVTKLIVDEMATAAKEDDKGPRIIVDQYHE >SECCE7Rv1G0506610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:750718591:750720357:-1 gene:SECCE7Rv1G0506610 transcript:SECCE7Rv1G0506610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRRALLALALLLAVALAPAPAASQPPSWAFPNARLRAAYVALQTWRRTAIFSDPANFTANWSGPDVCAYNGVFCAPHPADGGVVVVAGIDLNHADIAGYIPDSLPAGLPDLALLHLNSNRFCGVLPDTFLHLRLLHELDISNNRFVGGFPEVVLQLPSLRYLDLRFNEFEGSIPPALFDRPLDAIFLNSNRLTRPIPPNLGNSPASVLVLAHNRLGGCIPPSIGRMAETLNEIVLIDDDLTGCIPPQVGMLRKVTVFDVSSNRLQGPLPATVAGLVAVQELNVAGNLLEGAVPASVCGLLSLRNFTYEDNFFSGRPGCAVATADGRWNCIPGAPAQRPPAQCAAAAARPFDCSRAQCQAAPAPGRRGGGRQPPSPRGGPSTGPRAPGRSTPSSPLTPPGSSTPSSPSPPGGSTTPGTAAPPPPTHSESPGHAMPPSSAPPFQGFSPPSSGNQPPSASSPSSHQPTTPPPGYTPPPLTPPTAPTPSSPLPLPAPGPWTPPPPPSGEGGAPSSPPGGPLFPPVHGLPYSSPPPPTPTLPPVHGVAYSSPPPPLLPPVYGVSYSSPPPPMPPVHGVSYGSPPPPTTGP >SECCE7Rv1G0476910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:212698800:212707024:1 gene:SECCE7Rv1G0476910 transcript:SECCE7Rv1G0476910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVRPSNATLLARLGEGTARFELLEDPAPAPAPPVWPRLHCFARIGSSLRGGWSAALNKVEHYGVQRVTGDGRCMFRALAKGMAKTRGIPLTPREEVQDADDLRLAVKEVICDNQTERQKYEEAIIAITVDESLKRYCQRIRRPDFWGGESELLVLSRLCRQPIIIYIPEREYRGRGNGFIPIAEYGLEFSKDSKEGKKRVPVRLLYSGKNHYDLLI >SECCE1Rv1G0041930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:575367260:575368519:1 gene:SECCE1Rv1G0041930 transcript:SECCE1Rv1G0041930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRRRLLGLSGGLHRSLAAAATHPPWVMLNREAQVVGTPSAAAHLAEPPHVSELRLPEHLVEAFPAPDRDVLQLRSGAISGGSGDGLIFLSVLNARFAPPAAGEQGAGRASTYLDPAHAPRVRRLVCNPLTSELSRLPDFVSHPKYDFMLATQMAMGVLTQADRGQGPPDRFVAALLDGEQMLRFRSETGEWEAVEVSPCLIPLPRPRRLTLDQETLAFGGRLWWVDLSWGALSADPFSDRPEVSFVQLPRASVLPEGAQDQARSLGVNDWPMHTPFRYRRLGVSQGRLRYVEVSREEPFLLNSFVLDDEGCGWMQEHRVVLNKLWASHLFLPLQQGATTRIVLIDPVNANVVYLAVDTLAVVAVDMAREEVIGNYPYSDAACIPCVLPPWLGSTQIPSAGSDSLVLIARVHTLQHNA >SECCE1Rv1G0022100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:278781925:278815085:-1 gene:SECCE1Rv1G0022100 transcript:SECCE1Rv1G0022100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSAVLRLATPPRAVSSAAAGNISLVDGSLRAVLHPGADDADSAAAGCSHKWRMVIAYDGTKFKGWQYQPSPPTIQCFLEKALIRITKLDRKELCLVGAGRTDTGVHARGQVAHFTTPFAYRCLDSFHSAINGLLPPDIRVREISAACPEFHARTSTKSKIYHYKIYNEAVMDPFHTNYAYHSAHKLNPHAMQEAANHFVGVHDFTSFANAVHNDRVRSPIKKISRFDVTKMDAIIQLEVEGTGFLYRQVRNMVALLIQVGREGLPPEIVPKIIAAKDRKELAKVALSAPPHGLYLMSVKYDREILKPPVGSPPVSFGRTHQISRCKLLFY >SECCE5Rv1G0304730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:61520903:61522513:-1 gene:SECCE5Rv1G0304730 transcript:SECCE5Rv1G0304730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLTAISPLLFLFFVLLILKLVIGRHASPTRGQRLPPGPWQLPLIGSLHHLLLSRSGDLPHRAMRDLSRAHGPLMLLRLGAVPTLVVSSAEAAREVMKTHDAAFASRHLSATLDIISCGGEGILFSPYNDRWRELRRVCVHELFSQRRVLSFRPAREEEVARLLRAVSDGCRGGQAVNLSEKMCRMTNDSVVRAAIGGRCRHRDEFLHELDEAVRLTGGINLADLYPSSGLVRRLSVAARDMVRCQRNIYRIVQSIIEERAGADTAERDEDLLGVLLRLQKDGGMQFELTTEIISTVIFDIFSAGSETSSTTLEWAMSELMRNPRVLHKAQSEVREAFKGQDRLTEDDMVKVRLGYLHLVIKEALRLHPPAALLLPRECRETCRVMGYDVPKGTKVLVNVWAMGRDDRYWGDGEAFRPERFEKSAVDFKGADFEFLPFGAGRRMCPGMSLGMANMELALASLLFHFDWELPCGVRPEDMDMTETFGITVRRKSKLWVHAKPHLRCEN >SECCE6Rv1G0411040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:605305858:605316894:1 gene:SECCE6Rv1G0411040 transcript:SECCE6Rv1G0411040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPSSWRGSNNGGHEERLPLRGGGLETETRAPHAHAVDHHEAGRGRHRRNCVRAALLLCLLTLPAFILVVWNLRDESSPRMLFDVDDLPKDDDDDDQDMRDDMPDDLSPSAQIDYDRLLGGLLIEGFDERSCRSRYQFARYHRNAARVPSPYLIERLRRQEALQKKCGPGTKSYNNAAKQLMSTQSINGTADCNYLFLTIHAGMGNRMLEITSAFLYALLTGRVLLVDRYKEIANTFCEPFPGTSWLIPSDFPLSYNEFTEGSPESYGNMVRSNVIRGNTYRSLASARPPYVFLHLDGDYAFHDKLFYCQDDQHFLQGVPWLIMRTDMYFVPSLFLIPSFQDELSKMFPEKDTVFHHLGRYLLHPTNDIWYSATTYYKAYLAKADKVVGIQIRIFEKKGILQRNGPFPHVLEQVLSCAQGEKLLPQIGVTDEAAPRNNRTIALLATSLSSWYSDQIRERYSEHRTVDGTTVKVYQPSHEEYQKKRNRKHNMKALAEIYLLSMSDVLITSGFSTFGYVAQGLAGLTPWIMYRPENHVVPQPPCRRAMSIEPCFHQAPYYDCKAKRDADLGKVVPYVRHCEDVSWGLKIVNETQL >SECCE3Rv1G0179100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:526777723:526779234:1 gene:SECCE3Rv1G0179100 transcript:SECCE3Rv1G0179100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTDAAPRLDDADRTLYSSFCGAANSLSQLYSQAMAQQKLSFQAGERHAFEKLHQWILRKHDEESRLTVADIMSHIQHEIDYGGTDAHVSPRVHQHPMSVNPFTSIQPSAGSYAQATSGHAPRPSLSDQSKNTIFSNALSSPVRRSLQTYHLTQGAGNGGRNTEANSAGQNREANSASSNDTSMDMVSDSAGNGYY >SECCE2Rv1G0107090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:645285488:645287053:-1 gene:SECCE2Rv1G0107090 transcript:SECCE2Rv1G0107090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRQWSIKFPNLAIASTPADETLGPDGLATIPTHSPPAAMPPFRRWADLPADLLCRIGDSLDLKCYASARGACTAWRCALSPPSPSLLVVLDDARCCPSAASLPTHRSFELKNILSGGRCVGSSNGWLALSVCLYGGQSMFSLFNPITATEILLPPLIYESRWVSKLVFAPNPARDDFAAAAICDIDRLAYVTAGARRWAILDPVRLSSGDQLADVVYHEKGRVYCLTRYGDVHVLRLPERRRRKPIVVEDPAGPSEPEMPLGRPALTLQGRRQRNLRMLCYEHRRWREQQLPIATMKATFCPDVFMPLRRVPPGSVGPDLNAPATVEPMLSECNLPFDPATCFAAPYNTVSVFTSAKNLVFCDGNLYQIWRNASCTVTLQLPGGGHRRVAENEILVLRYYPRRQPCWDAVTDLGGYSVFVGRNNAVSMYAEDVPGLKGNCVYWIGGRGRDQGMVFDMGTGRSTPCLPVAGAGVVPGPPQSTICWYFLSDIVNNCNISAGRKVYQTRARVRAEREQDLED >SECCE7Rv1G0464720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:63776604:63776981:1 gene:SECCE7Rv1G0464720 transcript:SECCE7Rv1G0464720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAWWPLLAAAVPALVAGQAIRVKRRRDEEQRLKAARGREKSSDEVFVCERVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLKRCQSECLKLSSTIM >SECCE4Rv1G0285930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:847171172:847173353:-1 gene:SECCE4Rv1G0285930 transcript:SECCE4Rv1G0285930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAARVLRCWPAWSAALSAARPCVVGRASWLAPNTARRFLTTNKVHGLFINYIDYCRPHLFARPSSNSMIDAMLDFLPDDDKDRRRWWWSVLDHSNGLLLCNMETPELCVCNPATRQWTFIPWAWRRVGGIYLAFDPAVSPHYNVLVIPAVPEPEKPAKQAIGKNNKEKPLDPHEDNNDPRRLMESEWPPTPWRLNMFSSRTGQWEERDFIRQGEPAGTVGDMLVDQAEPSGMGPRQRYAVYHQGALYVHCRGFFVLRLSLSDSTYQVIKTPSYGENDVFVKPYLGRSQKGVYFGVIEESQLRVWILSELSEQQMEWVLKYQHDLAHYAQHVGKYGRQMDAHWMVHDVLDTDHENGDVAAITLPKERFDWDSDNDDFFTIKFDADVFPKHFDILGLHPWKEVVYLTTIFEVVGYHLKTSKVQFLGNSRPSPKTYYYGHSNGIYEAFVYTPCMAAELIEGNIDQPSS >SECCE6Rv1G0419650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:671534965:671536267:-1 gene:SECCE6Rv1G0419650 transcript:SECCE6Rv1G0419650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGRGGGGGSGGGGGRRQERQALMVAFAVALLMGTAVYFRIWARQSDDPSFTADDREELRRQFEHANLDAMDESAEWRMKYDTELAKNRELHDELLKVKTSLSASTKRFEVLQKDNEMLKRQTESMKLECNCTVPLNITQE >SECCE1Rv1G0005210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:24676300:24677656:1 gene:SECCE1Rv1G0005210 transcript:SECCE1Rv1G0005210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKHVHEQQAILPQDHDCTEQDHSPSSGNQRRDGDGEASPFPSTDEASEQQPPGVDLPEGAIVEILSRVPYRSLCRFKCVSKPWLALCSDPNVRKRSPQTLSGFFFKDGGRFNFRNLSGKGPPLVDPSLRFWRGIYYCFAIEQCCSSLLLCKCLKSRPKEDESDYVVCNPMTGQWTALPPIAWLDEEDGAMACFEPIRDIFLGFDAAAPSRFVVFVPLTNCFCEFTELAIYSSETGHWTKVQSEWGYKTILVGNSECVYLNDTMHLATHYGSVVTVDSEGKVWREIDMPEHSPDTNQLASIGRSQGRLYAWQIDDDHDCQLYVWVLEDYGAGKWTLKYTVNVPELFGRQPDEDDIGYKVFAIHPDCDLIFLTDEEEMAVSYDMENQKVNATCTFSQEFEDVLPYVPCFAEWASDAR >SECCE2Rv1G0065880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10481481:10485964:1 gene:SECCE2Rv1G0065880 transcript:SECCE2Rv1G0065880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTASRLLLPALLLVAGVAGGAPSLEFHHRFSARVRRWADSRGHDLPGGWPAPGGAAYVAALAGHDRHRALSAAGEGGRPPLTFSEGNATLKVSNLGFLHYALVTVGTPGHTFMVALDTGSDLFWLPCQCDGCTPPPSSAASAPASLYIPSLSSTSQSVPCNSDFCGLRKECSTTSSCPYKMVYVSADTSSSGFLVEDVLYLSTEDTHPQFLKAQIMFGCGEVQTGSFLDAAAPNGLFGLGVDMISVPSILAQKGLTSNSFSMCFGRDGIGRISFGDQGSSDQEETPLDINQKHPTYAITISGIVVGNNLMDLEVSTIFDTGTSFTYLADPAYTYITDGFHSQVQANRHAADSRIPFEYCYDLSSSEARIQTPSISLRTVGGSLFPAIDPGQIISIQQHEYVYCLAIVKSTKLNIIGQNFMTGVRVVFDRERKILGWKKFNCYDTDSSNPLSINSRNSSRSTPESYSPQETKNPAGASQLRHVSSSPPVVWWHNNSLLLMMFVFLHLPIF >SECCE4Rv1G0252580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:615354909:615356081:1 gene:SECCE4Rv1G0252580 transcript:SECCE4Rv1G0252580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPKRLLSLLCDGGASALPTSPMISLHRHLCTTATTTTSADSPFSIQDYLVNTCGLTRAQSLKASRSISHLRSPSNPDAVLAFLSGLGLSSSDIAAIVAADPKFLCSKVDETLAPRIAKLRDFGLSPSKIARLVLIGAPALRSCDVASRLQFWIPLFGSFDELVKAVSRGALGGGALLRRDIDTVVKPNVELLLRCGLKIPHLAKTGLSGTWVIVASPEKLQVLVARADELGVPRGSGQFMYALATVSCVTQEKLAARMELLKKTLSCSDDVLKIAIVKHPSILRSSEDNLRSTVEFLINKVGLEAEYIVHRPALITYSLKMRHVPRYIVMKILQGKGLLSSDYCSVIAASERYFNSRFIDSYKESVPELADVYVAARAGKIPPHLQP >SECCE4Rv1G0217850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18831563:18831949:-1 gene:SECCE4Rv1G0217850 transcript:SECCE4Rv1G0217850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVDFASGGTNPPHIHPRATEIGIVMKGELLVGILGSLDFGNKLYSRVVRAGETFLIPRGLMHFQFNVGKTEASMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLTKALRVEAGVVELLKSKFAAGF >SECCE4Rv1G0222660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:61634200:61636023:-1 gene:SECCE4Rv1G0222660 transcript:SECCE4Rv1G0222660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type IV inositol polyphosphate 5-phosphatase 11 [Source:Projected from Arabidopsis thaliana (AT1G47510) UniProtKB/Swiss-Prot;Acc:Q5EAF2] MGNCSSFSLPKWRFSKLYTNGMISIDDGGTHEGIKTIRIQKACEFTASSVLCVCIITWNMNGKMSVQDIGKLVSSSRKFDLLVVGLQEVPKCGVEQVLQEAMADTHILLCQKAMQSIQMFLFGAKSSEAYIKEMKVDKHAVGGCGGVIGRKKGAVAMYVNFSGIRMVFVSCHLAAHERKVEKRNSECRHISHSLFSKNDIHYAKSADITVWLGDLNYRLEGISSMPARKMIEENRQSKLRDRDQLLQEAEKGQVFNGYCEGTLSFKPTYKYNVGSSNYDSSYKIRVPSWTDRILFKVDPSSGLDAVLSSYEALDCVSSSDHKPVKAHLCLKVRGGDS >SECCEUnv1G0551060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:228631148:228633618:1 gene:SECCEUnv1G0551060 transcript:SECCEUnv1G0551060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKADRKITSLKQLQGHIWRTGFERKELQGVVFEDVPEALKNWQSQGMKVYIYSSGSREAQRLLFGHTSYGDLRQFLCGFFDTTTGNKREARSYFEISQSLGVDNPSQILFITDVLQEAIAAKSAGFEVIISIRPGNAPLPANHGFRTISSFSEI >SECCE1Rv1G0050070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644397642:644401828:-1 gene:SECCE1Rv1G0050070 transcript:SECCE1Rv1G0050070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 55 [Source:Projected from Arabidopsis thaliana (AT2G34260) UniProtKB/Swiss-Prot;Acc:O80775] MEALHEEMPFDLSFHPSAPLVATSLITGELHMFRYADGLPLERLFMAKPHKESCRAVRFVDSGTAILTGSADMSIVASDVETGKVIARLDDAHDDAINRIVCLTETTVASGDDDGYIKVWDTRQRSCCNTFHRHEDYISDMTYVASSNQILATSGDGTLSVNNLRGNRVKSQSEFSEDELLSLVVMKNGQKVVCGTPSGALLLYSWGHFKDCSDRFLGHAQSVDNLLKLDEETLISAAADGVIRLVGILPNQIIQPLAEHSEFPIEALGFSHDRKYLGSISHDKMIKLWDMEELLGGPQLNHQNDMPEANAAVHHDELPEANAAIHHDELPEANAAAGQHDELPEANAAAANPNQLAEADSDDDGMDVDMEPSSSNVSRSKKKGKGKRLDGFAPDFFADL >SECCE5Rv1G0348080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672451372:672456397:1 gene:SECCE5Rv1G0348080 transcript:SECCE5Rv1G0348080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAVRTTPPCAYQTQDSPMRPQVPRFYYKKRSVGHNRTGKDDGSPKIQPPSSPVSRQSLPTSAILTYHAGGFYEIDHDMLPPKSPIHLKSIRVVKVSEHTSHDITVSFPSLLALRSFFSNFREPSAGPELDERFVMSSNHAARILRRRVAEQELEGEMHHDSFWLVNPCVFDFSASSGPATAQDELSSPEAPPAPPKAPVVTSCLLATLKCDGAGWGVRRRVRYIGRHRDVAKEASTGGYETEVSVKELQRPAQEDERRSSIRAKRKRDEAEGSKDKPGNPAKKKKSKAYKSPKKQKRRHVESRDGDPRRGKDRWSAERYAAAERSLLEIMRSSGATLGAPVMRQALREQARKRIGDTGLLDHLLKHMAGRVPDGSTDRFRRRHNADGAMEYWLEPAELAEVRRQAGVADPYWVPPPGWKPGDDVSPAAGDLLIKRQTEELAEELNDVKRNMEQLSSNMVELGKEAKSEAERAYSSWKEKYQKVVKANEKLEKQVSSLKDSYENVVQKHSKLKKEVRSLKDKYDFVVEKNDKLEEQMASLSSSFLSLKEQFLLAEKLKRIAIEEVTVGQNEGRRALDFGGGEQSQSQSQSRQRSVVTGVQAQAGEKRTARKSSFRICKPQGTFLWPSSSGTDMSGSGGGSSGISMDHHHQQQLPRRVEVVMAEEQAMLGAGDYFSTPPSASSTTNAGKLLALPSPRSPLQPQPLFAAGFTVPALHPFAGLTLRHMDSPSSPCAASLLQQGGRRMAMPNLEAGGMSTVGTDLALATPSYC >SECCE3Rv1G0213950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963298882:963304300:1 gene:SECCE3Rv1G0213950 transcript:SECCE3Rv1G0213950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPARQHTLVLLVLLFLAAAMSPTLLLTSASHLLLRLSHSARNPSPSPLRLLSLLPASSPLRAFCPRARPSRAVCSAYSASASASAMADDATSNPLLADFDFPPFDRVEPAHVRPGIRALLARLEGELEELERGVEPAWERLVHPLERIVDRLDVVWNVVDHLKAVKDSPDLRAAVEDVQPEKVKFYLRLGQSKPIYEAFNAIRNSSDWDSLSDARKRVVEGQIKDAVLGGVALEDEQREKFNQIQQELEKLSEKFSENVLDATKKFEKLITDKKEIDGLPASALGLAAQTAVSKGHENASAENGPWMITLDAPSFMAVMQHAKNRALREEVYRAYLTRASSGDLDNTDIISQILKLRLEKAKLLGYKNFAEVSMARKMATVDRVQELLEKIRAASWDHAVKDMEDLKAFVKDSGSAEANDLAHWDLNFWSERLRESKYDIDEEGLRPYFALPKVMDGLFSLANKLFGITVEAADGLAPVWNSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSSVLARHGSSVRLPVAHMVCNQMPPVGDKPSLMTFREVETVFHEFGHALQHMLTRQDEAFVAGISGIEWDAVELPSQFMENWCYHKNTLLSIAKHYETGEPLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPSGPVSVYDVDRRVAEKTQVLAPLPEDRFLCGFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDVGLDNEKAIEETGRRFRETVLALGGGKSPLEVFVAFRGREPSPEPLLRHNGLLPVAA >SECCE1Rv1G0059210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704308404:704309132:1 gene:SECCE1Rv1G0059210 transcript:SECCE1Rv1G0059210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDATATLATELDRLLAMARELEARVGADQGVPGAARELCAALAASVDRAVRLAARGGNAGGRASVNCQLRSGRKAAAVKVRTEVRVASMQDLGPLDDGLSWRKYGQKDILGATYPRSYFRCTHRHTQGCAATKQVQRATADPLLFDVVYLGAHTCAQAAVLAGTDQQPPPAAFGQEQQSPPEWVQWLAEPVTPFSFPSSPASSWCQLTGSYGYAAAGGGLGVDMELEGQLDELFLNLPEFF >SECCE1Rv1G0061690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:716914003:716914203:1 gene:SECCE1Rv1G0061690 transcript:SECCE1Rv1G0061690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSILQVFIVFLIAQVCLLMMMAAPLAQAAGRLMGYNPVCCPRDIFCCGFGGVMSNGTASSINP >SECCE7Rv1G0473340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:156843215:156845525:-1 gene:SECCE7Rv1G0473340 transcript:SECCE7Rv1G0473340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAARKNTGVLALFDVDGTLTAPRKEVTPEMLEFMKRLRENVTVGVVGGSDLVKISEQLGKSVITDYDYVFSENGLVAHKDGKLIGTQSLKTYLGDDQLKEFINFTLHYIADLDIPIKRGTFVEFRSGMINVSPIGRNCSQEERDDFEKYDKVHNVRPKMALVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLDEFKEIHFFGDKTYKGGNDNEIFESDRTVGHTVTSPDDTVQQCRSIFLSK >SECCE7Rv1G0513530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:823059015:823062253:-1 gene:SECCE7Rv1G0513530 transcript:SECCE7Rv1G0513530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGDDLRLDFDKLPIKRHEAIDEAGNEHFPPDTRSEEQRLAAIRRVDFSWVVERDAKKAKKAAAEDAARKAWPWQGLHESLQLAQQELAVVLDLISTVEANDTLAVAAIPKHKPLRDKVLVDMAVSAATKLQRLRHLGRYFKQSAKTMEQQFQKEARFYGSLIRLQQNWKVKRQRRNAPGSNSFMFDVVDTSQLDTAVMPRLSFIPIDQDSAGTLSVQLPQKSCRFLSLQFRGDSASGVEGYASKAKGVSSTTSAAEDDASENDNVNKSVKQAHSILRNIHKSIFEEQVFDMVIHEAFFQTQGVNVTGMHEDFLQLAIGQESLLCLSLANSELDSDSEMAGHEEHSNSEANLVSATTNGKQEPLKSDASGFLNPKSLEIYLLHMFHENIVRKVREKYRNIVRYQSPAQTAEPAGDECGSLGHFCMTVAHKIFSNEVQLELDSVVRRVPYLHLQSLPTWHSRTSSWSLCLRFPQPILAADRLTKPSDNGEPKYKSSRTQFNTKIVLKDGQISLLGEGSPSIAGSLTRKPSDEYLINSYSCDLEDLPTMVLQQVASQVINWLHEEAQVLGMSVTRDFLGLYFDLDHDDTTLGLVAHVDPDDAYGCVLWYLTVDHPAEEDEKVPAADDPWAEEKHRFLGYLSLEVLYSTLMDLVNLCGTGAHPLR >SECCE6Rv1G0388420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:135367924:135370083:1 gene:SECCE6Rv1G0388420 transcript:SECCE6Rv1G0388420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARFAPRVKSPRLGRSMWAEAAPLRPEPHPPPFFSVTPLQDLNARLKRLVQSGRLLDAHALFDGAPHRDEASYSALLAGHATAGDVAGAMALFSRLRASSLPAADPFVLSLVFKACAAAADASHAASLHAFAVRSSAVSSVFVATALADAYAKAGRLALALRVFDEMPLKNVVSWTTLISALSRAGRRHDAVRRFAEMRASGMPCDSHALAAALTACADTGLLSRGREVHALCAKLGFDATPYVANTLATLYARCGDVHLALAAVGRMGSRDVAAWTTVISSYVQTGRAKEAILAFVAMLRDGASNAARPNEYTYAAVIAACAHISCVYLGEQLHAQAAQRGFASARSVANSLVTLYARAAGRLSAADAVFQESAAKDVVSWSAIISGYAQEGLAGEAFALFTEMRRYHCPRPNEFTLASLLGACATAATLDAGCQLHALAVAAGLEHHAMVRSALIDMYGKSGNMSDADVVFSNRMKDDVVSWTAMIVGNAEHGHSERALELFEEMCRVGLKPDHVAFIGVLSACCHAGAVELGLRYLNAMSKSYGLEPAKEHYGCVVDLLGRAGRIDEAEELIGGMAANERDGVVWTSLLRACAARGEEETGKKAAERAMEAEPWGAGAHVAMANLYASKGQWCEAAQERHMMKQKGVVKGVGWSSVTVGGEERGVGVFVAGDRTHPQDNVIYEMLDLIYYGVGMARYVPDQMNLASEVELMVNTY >SECCE1Rv1G0048460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:635297989:635302124:-1 gene:SECCE1Rv1G0048460 transcript:SECCE1Rv1G0048460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFQNLLGAPYRGGDAVFAGDSSVLLSAVGNRVATTDLAASSSLTLPFESSTNITRLATSPSGDFLLACDEKGRALYANLRRRAVLHRVSFKAAPSAVRFSPDGELIAVAVGKLVQIWRSPSFRKEFFPFHLLRTFPGFAAGVTSFDWSPDSASILVACKDLTARLLPVKRGTGNKPFLFLGHREAVVGAFFATDKKTGRVHGVYTVSKDGAIFTWNLVEGNDAASPPSPGTPEQESEQNGEPEIDAGSRKRKSLGELEEPSTTPLHLAKWELQKKNFFMQAPAKLTACDYHRDLDMVVVGFSNGVFGLYQMPDFVCHCQLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSETYILKQQGHYFDVNCIAYSPDSQLIATGADDNKVKVWTAATGFCFITFSEHTNAVTAVHFMANNHSLLSASLDGTIRAWDLFRYRNFKTFTTPSPRQFVSLTADQSGEVICAGTLDSFEIYVWSMKTGRLLDVLSGHQGPVHGLMFSPISAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLINFWDPFDGLLMYTIEGRRDISGGRLMTDRRSAANTSIGKYFTTLCYSADGSYILAGGNSKYICMYDIGEQVLLRRFQITRNLSLDGVLDFLNSKNMTDAGPLDLIDDEDSDVEDGIDQQTRGSLGHGLPGSMANRGRPIARTKCVKFAPTGRSFAAATTDGVLLYSVDESFIFDPTDLDIDVTPEKVEEALAENQQQRALVLSLRLNEDSLIKRCIFAVDPSNVRAICSSVPFKYLQRLIDAFADLLESCPHLEFVLLWAQELCKVDGSYIQQNSRTLLPALKSLQKSITKLHQDLADTCSSNEYMLKYLSSAGTKS >SECCE5Rv1G0315100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:285989409:285998804:1 gene:SECCE5Rv1G0315100 transcript:SECCE5Rv1G0315100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEYSIDLKLSELLKQARPSAISLRAAGEATDAVAELIKSVLPQQAAPEAASGFVRDLGLAAEKLAFSFRPPEVVRFAGSHAAGAVTRPDVAADLLVRLPKECFHEKDFLNHRYHAKRCLYLCVIEKSLRSSPLIRKVSWSTFQDEARKPVLHVYPATEIAELPGFYVRIIPTASSLFDVSKLNLSTRNNVRAYTIDGINQPTPRYNNSILEDMFLEENAECTGSTFANWKTLQEALVLLKVWARQRTSIYSHDCLNGYLISAILVFLTMDSGGSIINRSMTTRQIFRVAINFFATSKMWSKGLVIQPMKKRTISKEGIAHLLKTFDVAICDVSGHVNLAFRMTKSAFSELQDEAACTLNCLDKCRDGGFEELFMTKVDFGAKFDSCLRINLKGNSKVTALGFCSDDESWRVLEKDVQSLLQQGLADRTKMIRVLWRSTPSEWNIMDGFSEFGSSPLIVGVMLSLLEKSYRLVDIGPNPENRDEAIKFRKFWGEKAELRRFKDGAIAESTVWETETWERHTIIKKIADYVLTKHLLLRQEDLTHVVDQLDFCLLVGGQDPVSSSGALLEAFDTLAKQLRLLDDVPLKISTVQPLDSAFRHTSVFPPEPHPLAYEKNSQRLPKFAATCVRSLEVMIQLEGSGNWPLDPVAMEKTKSAFLLRIGESLEDRGMFVTASEDEVNVLTSGYSFLLKIFHERGLVVQKQAGDSNTQSAPSEDKELFFRSQHSSMINGLHGIYQAYGPVVRLAKRWISAHLFSSFISEEAVELVVAYLFLRPFPFHAPSSRVTGFLRFLRLLSSFDWTFSPMIVDINNDFNLKDEKEINENFMLSRRSYEQNPHDIEPAMFLATSYDKSSEAWTKQSPSKSVLKRIASYAKSSAELLTNLIMHGQSGQYTWECLFRTPLSNYDAVILLHKEKLCRPHHVLFPAEIPNGKLVIQGKPSNDFHPYMPLSKSVVRSLHDTRDKLLVNFDPTAYFLRDLKCAFPVTFKLWHDSIGGDAIGLTWESSKKRGRDEGDEAMADPTSILKEIGDVGKGLVRSVYLLKAPKLE >SECCE4Rv1G0244100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:509612334:509615001:-1 gene:SECCE4Rv1G0244100 transcript:SECCE4Rv1G0244100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHDSRRRFGASCLVAVLFLWLCAAFVCSAREQRPLRELEEKVVVRSYGPYASFDRSDSSTLQVLKDASINGGALQLTPDTRNNDAYLVNKSGSVLLKQPFTIWRPLPDDEVPGASNGTGTGRAPQPQAPRVRVVSFNSTFSMNVFYDKAVPGEGLAFVIAPSLDGPPPGSHGGFLGLTNATLQAAGPSKNRFVAIEFDTFNQSYDPSDNHVGLDIGSVVSNATANLADFNITIATNAQTSANYTVWIEYDGVGRRVTVYMGGKGKPKPAIPVLTRPLDLSEHVPEQAYIGFSASTGATFELNCILEWNLSIETFPKKEEKEWIILVAVFVSIAVVAIAIAAFFLARMSRARRKIQRSQTRLGHTLSHLPGMPREFSYEMLRKATKNFDERLRLGKGGYGVVYKGTLPAEPGETEPTEVAVKKFIRDDARCVEDFVKEVDIINRLRHKNIVPLIGWCYKKGQLLLVYEYMPNGSLDQHLFRRGGVQEQRAAPLSWASRYGIVADVASGLHYVHHEYGRTVLHRDIKASNVMLDASFSARLGDFGLARVIDFDRSSFTDIGVAGTHGYIAPEYSVGLKATSQTDVFAFGALVLEVVTGRYALRDDPSCPLLVDFVWRMHGRGALVGAVDQDLGTAGYDADEAARLLLLGLACSSPNPGDRPTMPEVLQIVSKKAPPPEVPLFKPSFVWPPEGGAAHFSLSDIEMTTSSGGSFVGTGNGSSTRATQDTSYDSFRRPPSAPINSQEYFPALSSGR >SECCE3Rv1G0156060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70143472:70143830:-1 gene:SECCE3Rv1G0156060 transcript:SECCE3Rv1G0156060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSMRIFMKAFHLLLFNGSFIFPECILIFGLILLLIIDLTSNQKDRPWFYFISSTSLVISITALLVH >SECCE7Rv1G0523370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:881877962:881881975:-1 gene:SECCE7Rv1G0523370 transcript:SECCE7Rv1G0523370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLGLGFPGPGGGYDAMAGSAADDEDEEAMRGLYAGAGAAPAPAEEEEEEIDEAYAVAAMKAGEERGIGKEGLRKRLVREGEGTQHPGAGDEVEVHYTGTLADGAKFDSSRDRDAPFRFTLGRGQVIKGWDLGIKTMKRGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVELLSWASVKDICKDGSIFKKILAEGEKWENPKDRDEVFVKYEARLEDGTLVTKSDGVEFTVKEGHFCPAISKAVKTMKKNEKALLTVKPQYGFGEQGRPASRGEAAVPPNAMLHIDLQLVSWKTVTEIGNEKTILKKILQEGEGYDRPKDCSTVKVKLIGKLEDGTMFVKKGHDGEEPFEFKTDEDQVIEGLDKAVLSMKKGEVAFVTVPPEHAFGPDETKQDLAVVPPNATVYYDVELVSFDKEKESWELKDNAEKIEAAAKKKDEGNVWFKMGKYARASKRYGKALDFIEYESSFSEEEKQLSKPLKVSCKLNKAACKLKLKDYKEAKDLCTEVLEIDSTNVKALYRRAQAHMHLVDFDLAEVDIKRALEIDPENRDIKMGYRRLKEKVKEYERRDAKFYGNMINKLSKLEDDEGRGSSQGPSKKPCSLWPLAPFFTTDGSKLWLVLRLLIPVMILVALCVAYYVQSGVHEIDCVNC >SECCE1Rv1G0015270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:122175949:122179385:1 gene:SECCE1Rv1G0015270 transcript:SECCE1Rv1G0015270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRRVLALVSQLLQRRVLPAPRFLSSAAGGALDRLNSPPFARQAAPRNPAPPSPWDRLGGQKRTMFIQTQSTPNPQSLMFHPGKPVMEVGSSDFPNARTAMTSPLAKALFAIEGVTRVFFGSDFVTVTKSDETSWDYLKPEVFAAIMDFYSSGQPLFLDSNTAAAMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFEPETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQEFDGDEEAELAGQME >SECCE1Rv1G0061610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:716613437:716617518:-1 gene:SECCE1Rv1G0061610 transcript:SECCE1Rv1G0061610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVTIGVMRPLLGKLAELAGGEYSKLKGVKKQASFLEKELSAMNAALEKMELMDELDPVARDWRDHVREMSYDMENCIDDFVRQFGGGHAKLGFIKKTARRLKTLRQRHRIADRMEELKTLALEANERRIRYKINECNPNSSSVAIDPRMSAIYGEAAGLVGTDGPRNELINLLTGKEEKLKVVSIVGFGGLGKTTLAKQVYDEIGGQFKCTTFVSVSQRPNMTGLLYRIQLKLGMSQSSQASEVQDIVEDIRIFLTRKRYLIIVDDLWDQPAWNIISRIFPENANGSRVIVTTRVEGVACGACCSHPECIYRMKPLNSQYSKNLFFHRLFGFEDDDMSEFEEISAEILKKCGGLPLAIITIASLLASRPKRLRDEWESIRNSLGTQLALNPTLEGMKSILNLSYIHLPLHLRACFLHLGIYPEDYEVERDDLVRQWLAEGFVNNLHGQDMEVVAKSYFNELVNRSLIQPGRSEHGELLSCRVHDMMLDLILSKCEEHNFMRVACNYEDVEREHGWKYKVRRISMNFNGGGAANAIISRTIPSNLSQVRSLAHFGGSNYMPPILHFKYLRVVLFRNFGGSIDLTAISQLLQLRYLKVSTKHYIKIPTEMQGLVHLETLDLDCKWLPIPSDIVHLARLSHLIVPQGSELPKGIRNMKSLRTLDGFDLWGSSLEDIVGLGELINLRCLGISVDFMTTFWKPEVVALASSIGMLHDLRHLCISSSFTDMNDMLGSKLDPSHHIKVLKLGDFRMSGVPKWICGLRCLSWLELTVGTCNDEFHALGELPSLIHLSLRLSSIPEDNSIIFSTGSFLVLEDFRCLSRHDVTAYLAFEEGAMPKLRRLGLQFNKAHWSSATPAGLEHLMSLEHITVDITCDYEQFPEKVHRQAESVFRNCTELHQSRPSFTVRGHGCYYH >SECCE2Rv1G0128990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:866002117:866004443:-1 gene:SECCE2Rv1G0128990 transcript:SECCE2Rv1G0128990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASHILIKHEGSRRKASWRDPDGVAISATTRDDAADLARALRDQIAAGELKFDAAARDNSDCNSAKRGGDLGPFEKGKMQKPFEKAVIALKVGEMSDVVDTESGVHIILRTG >SECCE3Rv1G0202510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:874590513:874598011:-1 gene:SECCE3Rv1G0202510 transcript:SECCE3Rv1G0202510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRKERRVVAVAGAAALVAVGLNLAFSAVAAHRRRKRQELPGFNAQVNLSAAEIKRLADRIIAKSKETYDAVAAVPLDKVNFANAIAPLAELDAQQFPLVQACVLPRMVSPSEDICRASAEAEKRLDSHFLLCRQREDVYRVVKAFTERGEIIGPEATRFVQCLVREFERNGAKLTQAKKKEMEKLKSLIDDLNLKYIQNMNDRTKFLLLSEEELAGMPLEFLKDLEETDGKRKVLLTGYHVTPILEHCKVGSTRKQIAVAYGQKGGTQNVAILEKLVQIRHKLARLLGYSNYSDFAIEPRMPMTARKVLEFLEEMSEQLSDLANRELTVLKELKMKEEGDAQFGMEDLLYYMKRGEQHKVDLDIGEIKRYFPVKLVISGMLKMFQDLFALRFEEIKDVEVWHDTVRLFSVWDASSSDLLGYFFLDIFSREGKYDHTCVVALQNGYMCSNGSRKVPVAVLLSQCPKEFDGNSALLRFPEVVRIFHEFSHVVHHISNRATFSRFSSLRLEGDFAEIPSLLLENWCYESISLKMMSGFYQDITKSVTTEACQSLKRRRDMFAGLKLKQEILLCLVDQIIHTSENVDIDDLIKDLHPKVMLGIPLLEGTSPASCFPRIAVGYDAVCYSYIWSEVFAADLFTTKFKDDLLNQHAGLRFRNKVLAPGGSKDPLEIITDYLGREPSLQPFIQSRTRNAL >SECCE4Rv1G0294060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887915544:887917073:1 gene:SECCE4Rv1G0294060 transcript:SECCE4Rv1G0294060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRAAELTTLLESRMTNFYTNFQVDEIGRVVSVGDGIARVYGLNEIRAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGKGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRGTNESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSSTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPVINVGLSVSRVGSAAQLKAMKQVCGSSKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVPKQPQYEPLPIEKQIVVIYAAVNGFCDRMPLDRISQYEKAILSTINPELQKSFLEKGGLTNERKMEPDAYLKESTLPYL >SECCE6Rv1G0447820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:851842942:851843684:1 gene:SECCE6Rv1G0447820 transcript:SECCE6Rv1G0447820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYVGHMLAQLAADGVGTMLGVSGEIDKMGDKLRDLKNFLADADRRNITDETVQEWVGQLKRAMYEATDILDLCQLKAMERGSSTTLDEGCFNPLLFCMRNPSHAHEIGTRIKALNKRLDSIKERSIAFNFINLGSYEDRHSSNALTSHHGNTSRETTGDFDRLAVVGEKIEEDTRALVAQIMQTSEEVSNNNIMVVAIVGVG >SECCE7Rv1G0522170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876241627:876244327:-1 gene:SECCE7Rv1G0522170 transcript:SECCE7Rv1G0522170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSRSYQIVVHIFMLLCCCSIWSPHLPRATSSISFTSNFSRPDYDARDLVFQGDAYYDSRSRMIQLTPSIGNSVGRAFLATPVPLWDAVTGELARFTTSFTFQIKVNDANNTGDGLAFFLGHHPPASIPNFQREMGGGNLGLFNKSVGTVATGDDRAVAVEFNTYLNDAFDPSGSHMGIDINSIVSRAYTNATVPGRNLTSGLPMTCNITYGNDTKILAALLQIGDTTYRVNTTVDLKQFLPNRVAIGFSGATGVVVELHQIVSWSFDSTLDPPQESPGSRPPAPTTTLSLAEIITGPVIGVIAIVCIFVGVRRWQLFTRKKHYRALARGLGPIGYHRLACATNEFAEENKLGQGGSASVYEGQLASPSRPVAIKIFKQTSSCAGRKAFEDELKIASRLRHRNLVELIGWCCDGQRSLVEFICWWRDDRHTRLFLVYELVPQGSLDQHLHEGKSWLPWSKRYEIILDLGSALQYLHVDCEQQQQCIVHGDIKSSNVLLGSSNGAKLGDFGLTRFVHQETGSKTTDLLQEMVSGRDPTASLDGMPPLSSWTRRWSWVRSLYHDDAILEAANDRLIGGESSTERQQMERVLLVGLLCVHQDPISRPSITRAMDSLRSEDLKLDITPLAPMTISLP >SECCE1Rv1G0005920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28585718:28589635:1 gene:SECCE1Rv1G0005920 transcript:SECCE1Rv1G0005920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLARKKLKQKLHTLLPGGGAEGEAAAEARKIKVRLVASKRPRPKRPGPRPPRTKSAKNKKASPEKSPAPSVEAEGPEEKAELEPAAALKEPAVVGEADPVSKEELERRRKERRKEEKEKRKKKKEKMRARKLAEAEAAASKKSDGEPGKQDVEADGAEDLEEPEVDEADLAQKAEVMRRREERRKEKKEKRRVRRLMEAEAARNKKSDDEAEKQDLEVAGADQAVPDDSEHNLDVTMVEGEAEKIKSEEVKEIKSEEAGQAGVSENPIVSQDSEQSIKKVYVGGIPYYSSEDDIRSFFEGCGSITALDCMTFPDTGKFRGIAILTFKTDAAAKRALALDGADMGGFLLKVQPYKANREKVKSNHEKEDFAPKMIEGYNRTYVGNLAWDVTEDDLKKFFSDCKISSIRFGTDKETGEFKGFAHVDFSDSTSLAIALKLDQNVIKGRPARIRCAVPKKENQKPDDNATSDSSKNSIRTCYECGTPGHLSFACPNKKVSEAISSENKGNTDSAEALSKKRRTCYECGVPGHISSACPSKKASEVIADETKANIDSATTALKKRRTCYECGIPGHLSSACPNKSAPEVIADEYKANTESATTASKKRRTCYECGIPGHLSSACPNKSAPEVIADENKANTDSATTVSKKRRTCYECGVSGHLSSACPNKKATEISPEEAKHVGGSSMEPSAVSVENKPIDDTSSAPPKKKKRRTCYECGIAGHLSSECPNKAK >SECCE3Rv1G0189600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:727839620:727843351:1 gene:SECCE3Rv1G0189600 transcript:SECCE3Rv1G0189600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRAVARARRRIPGARPVSTAAAGKSGREVMVPRFGGPEVLEMRHGVPAPDLRPGEVLVRARAVSINPLDLRMRAGYGRCIFEPLLPLIIGRDISGEVVATGTSVSSFYIGQEVFGALHPTAIRGTCADYAVLSQDELTSKPSTLTHVEASAIPFAALTAWRALYGTAGISKGQRILVLGGGGAVGLSAVQLAVAAGCSVSATCGAQSIEQVLAAGAEKAIDYATEDTESTVTGKFDAVLDTIGVAETERIGINLLRRGGHYMTLQGEAASLADRYGLYVGLPAATATLLKKQMQYRCSHGIEYWWTYMRADPDGLHEIQRLSGAGKLQIPVEKTFPISQVKEAHEAKEKRLVPGKVVLEFD >SECCE5Rv1G0365730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:808879718:808880364:-1 gene:SECCE5Rv1G0365730 transcript:SECCE5Rv1G0365730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNMASATSRFMLAAGIPSGTNGGVSSRVSFVPSNRLGLKLVARAEEEPTAAAPAEPAPAAEEKPEGAVATKAPAKAKPPPRGPKRGTKVKILRRESYWYNGTGSVVTVDQDPNTRYPVVVRFAKVNYAGVSTNNYALDEIKEVAA >SECCE7Rv1G0475530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:189003517:189004245:-1 gene:SECCE7Rv1G0475530 transcript:SECCE7Rv1G0475530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold domain containing protein [Source: Projected from Oryza sativa (Os03g0251350)] MENHQLPYTTQSPATGAAGGAPVPGVPGPPPVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSAALGFAAGGVGAAGGGPAAGLPYYYPPMGQPAAPMMPAWHVPAWEPAWQQGGADVDQGAGSFGEEGQGFTGGHGGSAGFPPGPPSSE >SECCE5Rv1G0360630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:773496046:773504650:1 gene:SECCE5Rv1G0360630 transcript:SECCE5Rv1G0360630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKQRVRARGDGAGGELAAEGDAAVAGGAGAAGGGHSPSTVFVSNLPYTYKSSDLETVFSEVGPVRRCFMVASKGSETSKGFGFVQFATVQDAERAIQQKNGFAVAGRKIRVKLAIQRASLKERLQKKESVQVDDSNAKDDEDDNSTPVPVKHETSQKADTGPPQLLTKDTKVAKEVSINATDKAKSSEKQRIAKTVIFGGLQDFSMASEVFRLAGEIGTVVSVNYPFPKEEMELHGLARDGCTSDAAAVLFSSVKSAWEAVVLLHHKEIKGAIVWARQLGGEGSKIRKWRVIVRNLPFKVTTKEIMDIFSCEGFVWDVSIPQKSDDGKSKGFAFLSFTRKQDAENAIKNGNGKVVAKRTVAVDWAVPKNVYAVAAKSDAKDDELEDISDKGSDEESSEDNLVGDDSDDNCELDQETSNRLPEDDFKSEADISRKVLENLIKSSEKSERSGVESSDFDTDSETENDTPEKGSDIDTDSETEKDTPKKKQPQSPAAAKLVESKDVTKAESIIPASKPAAVMLAESKHVAETESTVPSLKPKKEDAGLDRTIFISNLPFDISNEEVTERFSVFGKVQSFFPVLHKLTKRPRGTGFLKFSTAEAADAAVSAANAAPGLGIFVKSRPLNVKKALDKESAHKKELEKGKNEVEDRRNLYLSKEGEILPGTPAAEGVSDVDMNKRSWLAKRKAEMLVSPKFHVSRTRLIIYNLPKTLTINDVKKLCREAVISRATKQNPVIRKVNILNNEKKGQGAAQKHSRGVAFVDFQEHEHALVALRVLNNNPGTFGTERRPIVEFALEDVEKMRLQKIRNERNGRAKEAAQERRALGDQSTTDGPRPNKKRPFGKGSKRELQDVPSKLSDSGKGPSDDLSVPGGPNTTVESTQGDKRQSQRPAKRARQSNKGTSSVSDGNRTDAAPNAAPSGPSPAHTQADARRKRRNRNDGEQKRDKATKRARKDASGAGGVDKSLAEQYRSKFLQHGVNKTKAS >SECCE4Rv1G0238160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:369258772:369259815:1 gene:SECCE4Rv1G0238160 transcript:SECCE4Rv1G0238160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMVDDPSAAGAAASSSFPDADAYGNGDSEDIDFPVDPIPNPPFSSAPVAAPASATATVGERRPLFQRLWTEEDEIVILRAFAEFTAQRGTAFASHQYDTEPFYEEMRRRLQLDFSKSQLAEKLRRLKRKYRNCVERLRCSGNTFSFRSPHEQAVFEIARNIWRPSSDKHGRDPNAADSEDDATVTATNAAANGDAKSPSSSKAHRRGRRRRTADLAADASEALQPYTLGSMPIKMEDSLPAFFPQVSMDGAEPVVAPVMNTESSVLTPLFKEMVRAMIGIGGCPSPLGLGAKVPEQPSAVLGIPMQGEKWRQQRILELEVYLRRIDLLQDEVKSALEELKSTPPT >SECCE3Rv1G0209010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936061782:936063122:1 gene:SECCE3Rv1G0209010 transcript:SECCE3Rv1G0209010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLYILLGLLLLHAPSCSSAANDTLTGGQVLTIGAKLVSRNGKFALGFFQPSSTISKSHNASWYLGIWFNKIPVFTAVWVANREEPITDPLAQLKISSDGNLVIVNYAVTQSVVWSTHIVNRTQISINTTSALLLNSGNLALTESSSSDILLWQSFDYTTNVLLPGTKFGRNKVTSLNSLAISDKSVIDPGLGSYSIEIDTSGVILKHRNPLVVYWYWASTTSSLKLIPIVKSILELDPRTNGFINPAYANNNVEEYYMYTSPNESPSMFVSLDISGQIKLNIWSQAKQSWQAIYAQPVDPCTPSATCRPFTVCNGNAEPPCDCMESFTQKSPQDWEFSDRTRGCIRNTPLHCTSNTNMTSSTDMFHPIAHVTLPYNQQSIDFATTQSKCEEACLSSCSCTAYSYNNSRCSVWHGELLSVNLNDGIDNTSEDVLYLALPPKICQA >SECCE4Rv1G0292640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883305398:883306771:-1 gene:SECCE4Rv1G0292640 transcript:SECCE4Rv1G0292640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLRNSRSVFSRLMTLRRYCNPTKPSPAPRSCSGVVDSIRGLFFRPVKPAAYCPTPALSQPVALSRGQPSIPRPRGWYRDPWKVARASGAAVLLPYATMFTLFAAVSYDETVPFTNRTHRVVFSPEFDRELGDDLFEKIKKERSKDILGPSDPNTVRVRRIAADVIRGIQDIFPTDGLGDDDAKQGPQTGHLRDLQWEVIVIRGESDNACSLGGGKIVVFTGLLDFLETDAEIAAVIAHEAAHVVARHFMELTILIPRILRRVLPFSRRTELEADLIGMMILAAAGFDPRVAPEIHQKRESTVLNDYIGTHPSSKKRAQVLSQGDAMKEALELYSKQVCAGKGADRRFPYGGRISDTLMSE >SECCE1Rv1G0040060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:556654122:556654322:1 gene:SECCE1Rv1G0040060 transcript:SECCE1Rv1G0040060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSGDNKCRLSKKRSGKGAMATRKSGGGPSSFPRRCARLVREQRARFYIARRCVAMLACWRHYS >SECCE3Rv1G0213250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:960255661:960267039:1 gene:SECCE3Rv1G0213250 transcript:SECCE3Rv1G0213250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDQARELEALEGILSDPSAESVKLSYGLLKYSTGGFSNQIGQGGFGVVYQGDVDNEMIAVKMISNAHGYSDKEFMDEITCLMMAKHKNIVRFLGYCADTQGEIMEHNGSYVMSEVHKRLLCFEYVPNGNIQQYLELEKPHRDNWPIRYQIIRGICHGLHCLHDKQICHLDLRPEKVMLDDQMEPKIIGFALSRIFGEGESRMFTKNTFGTRGYIAPELISHGEISLKSDIYALSIIIIKLLSGNDTDLNNWDQSLDVDCTGVRRCAEIAQKCMDLDRHNRPTIHEVISDLEELESMIPQPSVNQKTSQRLKLAISTQQHRRRVSPVKITREAINRRDLEHILHHKDVEPGTIDFLLLKKITNNFSEERKIGSGGFGEVYKGVLSDESIVAVKRLFTSKAYVDGPFQNEVECLMKVKHRNIARFLGYCALLKGEMVPKHPNDKRRILANNPEKLLCFEYLSNGNLRSQLKDASCGPEWHTRYLMIKGICDGLNHLHNNRIIHLDLKPENILLDNDMMPKIMDFGLSRLLDEEKSLTRTLNIIGSRGYMAPEYWRGGIITFQCDIYSLGVILIEMVTGHRNLLNIENELEIWAHRLGSPEAHTTTLEQVKVCLEIGQECVGHNSESRPVIQDIMRRLDEAETYNCSPGNAASTSSV >SECCE4Rv1G0250020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:588707307:588707729:-1 gene:SECCE4Rv1G0250020 transcript:SECCE4Rv1G0250020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSAKSRRFAAACGVLSRCIKAAEARPVALPLMPGAEVPAQQDEHAAGPAPEHAQMTIFYGGQVLVLDDLPADRAAQLLRVAAVSGTARGDGDLPMARKASLQRFMEKRKGRVAARAVPYSRPDGDAFSCSRLTLTL >SECCE3Rv1G0191920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:752479385:752480493:1 gene:SECCE3Rv1G0191920 transcript:SECCE3Rv1G0191920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWFYSVDRDDDLMSLMGLLSPPPAVVHQGSAFAPYQRRKTTSSLVSTGRRQRAPGRANVHRSMHEYLRRVNGLASPSDGAQAGARAGETIEPQVPRSSAFRHITRERLRRERLSQGYADIHALLPLGRAPNGAKNLVLAAAVDYIRELEGRKGRLGARNAELVRSSESDGASGGGMVVKVRGEGGCPSMAGVLEAVLRRLKAMQELRVTAIRSWCCDGAMCMDVAVESTVSSFEVGMRITNALMMELEEIKSGRLQDPVKRSSNFSCQVVSGELMS >SECCE6Rv1G0427050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:717425108:717426672:-1 gene:SECCE6Rv1G0427050 transcript:SECCE6Rv1G0427050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERTEAWWGRRAWALLSAVRERAPLVQCITNLVSMDIAANALTAAGASPAMLHCLREIPDFTPRCHALYINVGTLSEDWLPSMRAAASAGRPWVLDPVAAAASGFRMEACLELLALRPAVVRGNASEILALASRSDSSSSFKGVDSSHDSGEALQAAKALARSSGVVIAVSGAVDFITDGKQVISASNGVAMMQKITATGCAVTALIAAFVGVDPSDALAAAACALAIFGLAGEIGMESAKGPASLRMHLIDALYGLDEQTVTSGVKIALVP >SECCE5Rv1G0321170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:397884523:397889355:-1 gene:SECCE5Rv1G0321170 transcript:SECCE5Rv1G0321170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMCRKRASSFSEEHSHAEASSSPPSKRARFRADGGSPKPCGVVDSDLVAVIRARFPSVRLEFIEKALEECENDLDSAMKYLLPLHLEPTEYNVDPVYQSPNEMSTEVQVPNEGIAECNEVPAPIGSVPSAENLQSGITQWVEILMNEMASASNSDDAKARASRVLEAYDKSMSSCIRTEAMQKYQKEILLYKEQFEAVIKENAILKKAVAIQHERQKEHEERNQELQQLKQLVMQYREQIRSLEINNYALSMHLRQSQQGNSIPGHFHRDIL >SECCE7Rv1G0492100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:543616306:543619383:1 gene:SECCE7Rv1G0492100 transcript:SECCE7Rv1G0492100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEIPPTDRREEQPAASLPLPAAFLEFLGENGLDSALYSKADTIPRYIRLKPGTESSGVTEIESELKCDLMKVPWLPDFYAIPPEIQIAGSKAYQQGKIYGIDAASGAAILALDVQPGDHVLDLCAAPGAKLCMLADMLGSTGSLTGVDVAKHRLAACRTMLQKYSLGDRSRLFVADGTSFSILPVISNLGSMEGSVGIEDNGSIFPEWTSKRSWKDRQKSKKAKAAGSPHPPSTSEPELIYYGKDSGLVGLQKRYVLRPSTDAAACTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLNVERTGNLLHLQLGLLTNGFKLLKTGGSLVYSTCSLTVAQNENVVQQFLSKHPSAELLKIDPADSWPCRSGGIQKTLRFDPATSQTSGLFVAKFVKL >SECCE1Rv1G0063110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:723393330:723394644:1 gene:SECCE1Rv1G0063110 transcript:SECCE1Rv1G0063110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRCAARRLGGSLLQRTQAAVAEEGRLLAPSRLMRSRHLSTQVSGERSQVPERLLLEPGLIMRNHQLASEITKRLQEPSAEEYEVQCRMEALVAKWDKLAELHKEELEKSAPDAFELGVKAYGNAAKSVVRVAAKSLMYFCMICSVILGDK >SECCE7Rv1G0470950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:125659985:125661172:-1 gene:SECCE7Rv1G0470950 transcript:SECCE7Rv1G0470950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRPAAVLDAGQATTTTTTTRQSPACCKRSRTRIRSTAGYEEETCLGKGGFGCVLLARHRDTRKIVAIKYLNRPVGSQQPLAAAELLREARFLEACDGNPYVVGFEGLVRNPDNGAFGLVMEYVAAPSLHKFLRNSRGGQPLPESTVRAIMWKLLTGAKTMHSRHVVHRDIKPGNILVGQDGELVKFCDFGLAISMSELPPYNQAGTPFYVAPEVLLGQRDYDALVDTWSLGCVMAEMLTGKTLFLADDEDDARDNEIIQLWSIFRLLGTPDDRTWPGFTSLPLTGKALKLLPPGHQHNKLRDLFPLEKLSEHGFQVLEGLLTCNPNQRLTAATALKHRWFAAPRPAAAAPKVDALPFPKKKAPRIKFVPSAMPQKNLLKIPLAVWKAAQQV >SECCE2Rv1G0090330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:275552567:275557776:-1 gene:SECCE2Rv1G0090330 transcript:SECCE2Rv1G0090330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSRGGTSSLLLLLLCLHSCLRCSVSAAGSGDPYVGVTIGTAVTNLLSPSDLAAFLRAQRITHVRLYDADPRLLSALASSGATAIVGVPNDELLALGSSPATASAWVARRVLPFAAANSTTPGLISAIAVGDEVPTALPSALPVLLPAIQSLVAALAAANLSSIPVSTPLPFSIVLEPFPPSQAYFNQSLAKSFLLPLLSHLANTSAPLMLNLYPYYSLMQSSGVVPVDNAMFKPLPPSLEMVDPNTLLHYTNVFDAMLDAVYVALKNLNVSAGIPVLVTETGWPSYGDRKEEPYASKDNANTYNSNLIKHVTEKPGTPMRPGAQASVYIYELFNEDLRPGPASEANWGLFHGNGTPVYLLHVTGKDGFLGNDTTDRTFCIAADDADEKAVQAAMDWACGPGRSDCTAIQPGEGCYEPNDVRSHASFAFNTYYQSQGKAGGSCYFQGAGMVTTTDPSHDSCIFVGSKLYSNVTKSDGANTTTTQTSDAEGSAVWRLRTGREKGFLSVLRLLLSLMVVVIMTNSNFWT >SECCE1Rv1G0056030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:684594209:684594774:1 gene:SECCE1Rv1G0056030 transcript:SECCE1Rv1G0056030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKADAPFLNTPIEYYHAMASIYGTMGAKGQNARSGNDLLSIDLEDEENGEVNTSPNVGESSDPKAPPKKKAKIKNVVEDPLVITLKDGFKLVAEALVKFSGDYDDIPDDLWDVISTLPDFEEEHLAHYYAHLVDNPKTARAFMKLTKINKSVWVSRYVKNF >SECCE4Rv1G0231760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:188516223:188517167:-1 gene:SECCE4Rv1G0231760 transcript:SECCE4Rv1G0231760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGVVALYGNNKAVVEPSAKPAAATFSVKVGLAQMLRGGVIMDVVTAEQARLAEEAGACAVMALERVPADIRAQGGVARMSDPDLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGAVRALRNMDEDEVFSYAKQIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILANVSAGLGEAMVGINLSDPNVERFAARSQ >SECCE7Rv1G0521320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873357533:873357907:-1 gene:SECCE7Rv1G0521320 transcript:SECCE7Rv1G0521320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVVVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE3Rv1G0190490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:739982646:739984104:-1 gene:SECCE3Rv1G0190490 transcript:SECCE3Rv1G0190490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARAFPPCLPGPGRNDLFLGSVGKRAPAVRVRAVDGPSAAAAVADLPPAEVTWQIVVGAVAGVTPFVVAGVEFGKRIIEQKKCEICGGSGLVMKEDLYVRCQGCGGFLPWQSWRRFFKG >SECCE4Rv1G0272310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:767942109:767946915:-1 gene:SECCE4Rv1G0272310 transcript:SECCE4Rv1G0272310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRHGGGPPSNGDNPGPGGGWETIQNKKKKSGQASGRGQWAPRTSSSNAPPTTARQAWNGNGSSRPSGNNWAQPFGRGSTARGNPRVSSQAKSTEPEFQAPNPGITPHLVNGWQWASRPRPSGPKSNKDDAASSGFDPEMDNPQVEDSSDDDDLNDDDDMSDDYDSDAFEISFETRKMNKWFKSFFQEIDNLSVDQIHERQSHCPACQNGPGAIAWYKGLQSLVTHARTKGSRRVKLHRELAALLEEEISRRGSSVVQPGEHFGRWNGLPESNDRQIVWPPMVIVMNTRLEKGEDDKWLGMGNQELLEYFSAYDVTKARHAYGPLGHRGMSVLIFESSAVGYMEAERLHKLFVHQGTDRDTWNKRRVPFLPGGKRQLYGFLAIKEDMEDFNKHHPGKSRLKYEMRSHNEMVVAPMKKMSEVNQELTYVKNRGVKTEQRSKAVQETLDVVAQKLRETEEENIFVRRKAKEKHSEYEEEMKSQEKFFHDQVENIHKALDDKEREFERLLQEERAKARQCDVDSGTTENRRLRKEHVQWFMDSQVKDVQEFEAEADQLIKAHEEKKVQLKKEYAAKEVELEKEFDAAFTGLMEKHKPDTFQASNSS >SECCE6Rv1G0443340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:822246886:822253028:-1 gene:SECCE6Rv1G0443340 transcript:SECCE6Rv1G0443340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) UniProtKB/TrEMBL;Acc:Q9LFE2] MALSQGPKNNYRCDRSLQQFYTGGPFAVGRAPRGEGQGEEAFLACACGSELRVVSATDASAIGEPIDGDSEAVTGIALSPDSRLLFAAGHSKLIRVWDLASRTCIRSWKGHDGPVMAMSCHASGGLLATAGADKKVCVWDVDGGFCTHFFRGHTGVVTTIMFHKDPKRLLLFSGSDDGTVRVWNLESKKCVAVLNAHFSTVTSLALSEDGLTLLSAGRDKVVNVWDLRKYTSKKTIPAYEMIEGVSFIGPGSGILACLGVEAAKLKEKTDGYFLTVGERGIVRIWCLESAVCVFEQQSSDVTINSENEESRRGFTATNMLPDDQGILCVTADQQFLFYSCTRTDEGTFQLNLYKRLIGYNDEILDLKFVGEEEQYLAVATNLEQVRVYDVASMSCSYVLAGHTEIVVCLDTCVSASGKTLVVTGSKDNTVRLWDMEKRSCIGTGKGHLGAIGCVAFSKKSKNFFVSGSSDRTIKVWTWDDTLIDAGGEVPLKAKAGVAAHDKDINSLSVSPNDGLVCSGSEDRTASIWKLPNLVSSVVLKGHKRGIWSVEFSPVEQCVITSSGDKTVKIWHVADGSCLKTFEGHTSSVLRASFLSRGTQFVSCGSDGLVKLWTIKTNECIATYDKHDGKVWALAVGKKTEMLATGGTDSDLNLWHDCTMEDKQEDFLKKEEEVLRGQELENAVSDSDYTRAIQLAFELRRPRRLLELFSQLCRKADPEDPIEKALVGLPKEGLRVLLEYIREWNTKPKFCHVAQFVLFRVLRSLPPTDILEIKGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLTRMSVVDPDVDAGTTPSDVTNDSSMNNVEMAPAEPAQETPEKPGRKRKSSKTTQSSKKSSKKVKASSSAVSVEA >SECCE7Rv1G0494110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:578934818:578936755:1 gene:SECCE7Rv1G0494110 transcript:SECCE7Rv1G0494110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILLPPTPCAANSTSRPSGDSIPHRNRKPTTRRQRCRITASCSSSALSAARVLIANDPTPRAFSALFKAASSSSLSHSLGAQLHAQAVVRGFLGDVDNTILSTAILNFYASCREPDLARRVFDRMAHRNAVTWNALIKGYAQAGRRDEALALFRCMRRQDHVAPDKYTFPALLSGIGRNHGGDCVQELGGAVHAQVVKSGLDKDPFVGASLVSMYAATGALEDVKLVFDDVDTLDPVVWSSMISAYVNCKEEEAALLTFYKMLCQDIKPRQFVYSSLFAICGSMSMLEMGRQVHAHSLKNITDKDAAMTNALLTMYSDCGCIDDAWRVFDSNDIVDVISYNSMILALGQHARPKEAVELFKRMKFSGLIPDEVTLLNLLSAFNHAGLVHEGLHLFDSMLDIEGIKPTYQHYACVIDMLARSGEIEASMKTIKEMPFEVETPLLRIVLGACSKHRDIETGMKIAEMLFEMEPYEPTNYILLGNICARLGKWTEAEKVRSLMEKRGIDGDDAFSWIEMGQTTHRFGVDDRSHPISEEIYRNLDRLIGSIKAAGYVPDTSFATHSTEKDRREESLRYHCEKLAFAFGDLASPSGDTLRIMKNLRVCGDCHSAYKYFSLVTGRKIVLRDNHRFHHFYKGACSCGDYW >SECCE7Rv1G0514470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:834356459:834358531:1 gene:SECCE7Rv1G0514470 transcript:SECCE7Rv1G0514470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAHSPSPWPPPPPPAVPDSLTSLLGSLSTPSAARLLPCPILAFSQLRRLLPTPHLLLRPIVSILHLHGSNPRLGLQLHALSLSLGLSRDPLLLRRLVSLYCSHPSLLPDAASLAAGSTCPVPYNILMSSCLGHGLPRQALAAYQQMVSSAAVTPDAFTYPSVLRACAEARDLAMGRAVHMHAAGSGMDGHLFFQNALVSMYAKCGDLVAARRVFDGMGHKDVVSWNSMISAYATAGQWEEAVELFQKMRAEEAEVNSVTWNTIAGVYIKIRDHKAAVALIREMVTGGAEVDFVTMVIGLNACSRVGWLRLGKEIHGLAVRMCCDGAESVSNALITMYARCKDMDCAHRLFTMLGCPGVVTWNTMIASFALSDGAEEASRFLREMVCSGVQPNYVTVVTYLALCARVANLQHGRELHTHIVKHGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMEDRDMISYTSMIAGYGMQGKGSVALRLFYQMIDSGIKPDNIIMVTVLSACSHSGLVTQGEELFDKMTSSYGIKPQTEHYTCMVDLYARAGQLEKAEGMLSRSSFIPTPAMWAALVGACHDRGNIIIGERAARRLLEMRTENAGHYVLIANMYAAAGCWDELAEVRKLMRDLGVTKAPGLAWADLGNGFTPFLVGDRSNPLAPEIYEVLDELSEQMKNINSCSDLEMVEELVE >SECCE2Rv1G0132790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894022304:894024622:1 gene:SECCE2Rv1G0132790 transcript:SECCE2Rv1G0132790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLSSISTNSFRAPSRKPRAPLAALAAATERVREGTLSREDAHHLFDELLGQAAAVSERGLNNFLAALARAPPSAACSDGPALAIALFNRMSPGAGARVVSPTLCTYSILMDCCCGAGRPDLVVAFFGRLLRLGLRLNAISFSNLLKGLCQAKRTNEAVDLLLHRMPELDCAPNVFSYNIVINGCLKQGEVDKACNLFHRMIQLGVQPDVVTYTSIIDALSKSGAMDKAEVVLRQMVDQGIGPNIRTYTSLIHGYSASGQWKAAVRAFKEMVSVGVLPNAVTLNSFMDSLCKHRRTKEARDIFDSMAAKGQKPDIFSYSTVLNGYAKEGCFVDMTDLFNSMVRNGIVPNHHVFNILIKAYAKRGLMDEAMHMFEVMREQGVNPGVFDYLVIMDSLCKMGRMDAALHKFNQMVNQGVSPNKAVYQCLVLGSCSHGHFVKAKELISEAVNRGLCSNSVFFYPVINDLCKEGKVKEAQDMFDFILGIGQRPDVIMYTSLMDGYCLVGKVEEALRVLDAMKSAGLQPTAVTYGTLLNGYCKIGRIADGLSLFREMSLSGVKPTTIMYNIILDRLFRSGRTVSAKEKFRTMSESGIPVGVDTYNIVLSGLCKNNCTGEAIELFKKLRAMNVKIDVITLNIMISAMFKTRRIEEAKDLFATIPATGLVPSVVTYSLMMTNFVKEGLLAEADDIFLTMEKASCAPDSRLLNHVVRVLLEKGAVVRAATYLAKLDAKQLSLEVSTISLIVSLFSMKGKLREHVKLLPVKYQPPEISD >SECCE7Rv1G0495390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:597731150:597731941:1 gene:SECCE7Rv1G0495390 transcript:SECCE7Rv1G0495390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAAAVRSVWADNFKAESDVFSQIAPDATHVALNVQYPGCVVRGDGRKSHYDMTAEERYQVIRANVDLLKPLQVGLAIRTADGRRFAWEFNLGEFDVASAFDARDPDSIAYLARCGVDLGRLPKSGIRGYELRWMLQASGLLKARASWATFAGAYHVGYFAAMMSGKKLPGDGDAFVKMVRLLLGSSVYDVKLLARQHDRSCVGALRYIVKQLGVVQPDEPKSTPAGTGSTLALLAFETLKEKLGADAEKYRGQLCGLQAV >SECCE5Rv1G0335500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:575591140:575596356:1 gene:SECCE5Rv1G0335500 transcript:SECCE5Rv1G0335500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPGLLSAAAALLVLLLAVAGAAAQEAAAAGDVRPEEIAAKARAQEEAVLAAELGQLRAKVSALESSIAAQTLELNSKDGGIETLEKVTGEMSQNIATLQNEITSLQSKGSIAAKEQAGKVNARAIELEKQIEKLKKDIEAQNNKKATMEARATDAEKKVQELNAKLDRLQKTSGEQKVRIQKTKNALKAAEEELMKVQLEATAKSEQLGEVHGAWLPPWLAAHAAHYMELMSSHWSEHGKPAVNNLLQKASEKTVQAKEWAEPHIETAKAKWIPVIKENWATAKKNAEPYVQMVSAKSVELYQASKDAISPHVVKAHELADPYFEEAKKLSKPYIDQVAKASKPHVDKLKITLKPYTEKAGQEYKKLLETATLYHQQTQVTILDYMHQHELLKQFANGELVWFLAAAWLLMPVCVLYTLLTEVFCVGKKKKVPRRDKGKVLVNGHRRHKRRHAEK >SECCE3Rv1G0147540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15318763:15326362:1 gene:SECCE3Rv1G0147540 transcript:SECCE3Rv1G0147540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAAALVITSLLCWAAAAPVHASHVLQSREAKEVAQELCTGYHFQPPKHWINGTYFLSFDLSASACLFGLYHLFYQYNPKGAVWGNIIWAHSVSTDLIHWVAHQPAIYPTRPIDVNGCWSGSATMLPDSVRHHNVAYPANISDPFLREWVKPEFNPVISANHGINTSAFRDPTTAWYGPDGYNICCTWYMCKHWRLVVARKDNMSGIAVLYRSRDFKKWVKTHHSLHVGLTGMWECPGLYPMAVAGGNRHHQMGVDTTELHDRVMAKEVKYVLNVSLELTRYDYYTVGTYDHDKDRYTPNPAFPENYYGLRYDYVLWGWANESDTVTNDRHKGWAGIQAISRKIFLSRSGRQLTQWPVEEVKSLRSKHVNVSNKAVKGGEYFKVTGFKSVQSDAEKFNPAWRTDAQGLCNTFNSHDKGGVGPFGLWLLASDDLEERTTVFFGVFKTNDTNYVVLVCNDPIRSSYESHLYRPTFAGFVNVDIAKTKKISLRTLPRKAIGDDAHHFVFNNGESDIKIKVTNLHAWEMKTPMMNKQLEQ >SECCE4Rv1G0235370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:331195145:331238745:-1 gene:SECCE4Rv1G0235370 transcript:SECCE4Rv1G0235370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSASFSAALVVEDFPSLESDDKMEMPPDKYREVFDLAQCGARAFRERRFDEAISFYSKAHNLRSGDPIILSNRSSAFCLISQVLRERSAADSEYQPLNGLDPTTHAELALKDAEKVVTTHSSSARPYLLKAYTLILLERYQEARESLLAGLQVDPLSHILQTCLSDLDRNTNAAAGARCPRLDRTDDFECTLCFKLLFEPVTTPCGHTFCRSCLHQAMDHGNKCPMCRTVLFIGPRTYPISVTLSNIIQKNFPEEYAERRSEHENMTHAGIDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVAIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDEDGYRVAEIEWFQDVSLPEGSQERRDLIERANEALELARTYITRTRETIRPVRRTRHFDLESIPGPHDPEKFSFWLVNLINLRPSDKLELLRLCDTQERISLGIRLLGNAEEGCRVQ >SECCE1Rv1G0045130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:608477451:608477978:1 gene:SECCE1Rv1G0045130 transcript:SECCE1Rv1G0045130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKALILITVAVTMLGTALGVSHTVGAPHGSWDLQTNYSQWASRIRFTTGDELKFQYSNTVHNVVEVSKAGYDSCNGTNPIATFPSGNDVVPLPAVGIRYFICGVPGHCVAGMKVQVNVLSKKVRTVQRCRRVGNRRRCQNETVLSSAAAAHFDHSAVARLSLAVLVAGFTLFF >SECCE3Rv1G0190930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:744619172:744621266:-1 gene:SECCE3Rv1G0190930 transcript:SECCE3Rv1G0190930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAAEAVPGRKTLPDECKTLWQIAGPVILTGVFQFLIGFVTVAFVGHIGKVELAAVSIVVGVIEGLGFGLLLGMGSALETLCGQAVGAGQLHTLGVYMQRSWIICLATAVALLPVYIFTDPILRLLRQSPEISAVAGRYARWCVPQLFAYAVNFPMQKFYQAQSRVWVMTVISGAAVGLHALLNWVVVARLGRGLLGAAMVGNASWWLINAAQFVYVVGGSFPEAWTGFSRKAFASLGGFVRLSLASAVMLCLEMWYYTAVIILVGCLKNPEIQVGAVSICMNYNIWTLMVSVGFNAAVSVRVANELGAKHPKAAKFSVVVAVTTSAAVGLVFTLVTLVARKQLPRLFTDDELVVKEAAKLGYLLAATIGLNSIQPVLSGVAIGAGWQSLVAWVNIGCYYLIGLPLAAVFGFKLKLNATGIWVGMLIGTVLQTIILFVILFRTKWQKEAMLAEERVRNWGGNVELPTVQDAR >SECCE4Rv1G0273090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:773874962:773875886:1 gene:SECCE4Rv1G0273090 transcript:SECCE4Rv1G0273090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKIMALLMCLLGTSGGTSGAFCIPRPRSAAFTPRSAASIFTANTPPPMVDWRAHGAVTPVMDQGILGSCWAISTAGAIEGLHKIRTGRLVRLSSQQIYDCSNKSMIESHLRAYDWVLRNGGVASEETYPYADRVQDCKREKLHMISASIASFVWTIRTEQELLLAVSRQPVTVKMAVEPASFLNYAGGIFSGPCGHAGHSMLAVGYGALADGRKYWILKGSYGVHWGDHGYFYVQRGPGHDAGLCGIANYAAHPV >SECCE5Rv1G0343600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:640074074:640074490:1 gene:SECCE5Rv1G0343600 transcript:SECCE5Rv1G0343600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAVGHGLELELELGIWFAGLFSAPELAAAELLRQLSVLGEAEVTKSSRCLASSSSEGLSFHVEERVVEQTAVSRELDRTARKRYRPLSELYSDTIPVTSAAVAATVKKKRRKGRHDRDGSWSSSESEATRYGGEY >SECCE1Rv1G0062390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719474720:719478716:1 gene:SECCE1Rv1G0062390 transcript:SECCE1Rv1G0062390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQDIRDGVASLFVMLSKESFVLFRIEFLVVLVTVMFLVMFIIDVFRRHIRNTMATAIFSILDAVSDSTVLYLLGAMQRASFTNQLFPVWALVLVSARYNIDFISGYGVHDRHGRRFREWSNVVKLLGTAFLNSRGSRFTLPLWSLLALLVLRSSYRFSAYNLALRSVWHGKSSEVVTEHMRTDPHTDNWKPGDCQPENDLVGYKYLVHGETHRRIKLKKPRYVLHIDTAQSVKQTEHGFSSLVTLDKIWGCRRYLLRPNNNHKNDPKDLCLSFALSRLLRCRLKDVTLKEEIFLINRKLVKTKILEEQNTDRAFEIMELQLSFVNEYFNTRYPMVFWNGFYSLFSNLLLSMVNLALVCWLVVDICKDYSNDPPQNHQYNAPKNNRAHVVHGFNVDMFITFIFIFLMVFKEIWEMVSYLISDWTRLLLVCKYAQWNKETTRSRCMEGTISLLFRSRVTTKKWHGLIDQYVFLESYNDRPRFWNLMHKITTGMVPKKEDGATLRTAINVPECVRPAILKKLCASLEKLSSVDIVHDQPDNLNSHHEERGQPLPKVITSLSDADSERMKRYGWACFDLPTCSHVILVWHIATSLCEMRLAQDHHVDLSKHGFMSSLMSYFTSCCSSEPYLVDVDEKTKEKKKVNEKLHDKLQEMYVTANSLSRYCAYLLVSKPDLVPDSFYVPNMVLQETVTHARDDILKNCDSLQSRYNKLMKEAEKAIKDADDIMKKEDVVRLGAKLGKELIDQETEEECWEILSGVWADLLVHIGPTWNAEAHKQCLESGGEFITYIWALLWHCGIEKSTLWPVEDVYGNDTPGTPPQGSSVQNNSVQHAQQPCAAGPKEEREEADIQRPKMQQVDAAERNGWTKTLSADTVDHQNGQSGNVAVRGMQNYGNTCYFNAVLQSLLALDKVRSTILELDALIGDLGQELQKLFKKTTNANGARDVLVPEKLFLHMCARNSDFSPGVMEDSNNMLCSLLDSLNNEEPTMVESLFRSQVVKHVSNKKCEHTSVTTEDLALSLAIPSMKPASIEDCLNLYATGVIEDWHCMDCSDDHAAASAAGNTSLKQKDSNIRQPEQPDNKTYGKESSHSADGQTRTSNQNRGQLPVLDGNSNEMEEIHKKRKEGEKICRAATVQYRIVKVAPILTIQLKRFDYSNPDKADKLEEHVGFQDILDITKFMDPGHLGDDEYKYHLVAVIVHSGPTLREGHNFAYVRVSKIGGQQQESCGTPTWFRASDESITQVLLEEVLECQAYILFYERVEQPKAKSVPEGHPPTDQ >SECCE3Rv1G0209460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939049171:939051874:-1 gene:SECCE3Rv1G0209460 transcript:SECCE3Rv1G0209460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMGGDAGEGEWLKVAELRAMAEAQDPHVQEVDNLTLRRFLRARGHVVGKASAMLLKFVAWRREAVPGGVMPAELVRAELSNEMAYMAGADRAGRPVMLAFPAKHFSATRDMAGFKRYIVYLFDAMCARIPRGQEKFLCVVDLKGWGYANCDVRAYIAAIEILQSYYPERLGKALMIHVPYLFMKAWKLVQPFIDANTRDKFVFVDDKSLEETLRREMEDGQLPEMYGGKMPIVPLE >SECCE6Rv1G0429410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:735517183:735519373:1 gene:SECCE6Rv1G0429410 transcript:SECCE6Rv1G0429410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENGIELAQVPPSSGAGSSFHETGQVDQSGHGDIAIDVRILNLSETIDDEMRAGSRPPPPRAQIFRVPERLHAADKDAYEPRFLSFGPYHRGDSVTEEVRRGNKSKDDDLAFALQVGGPPILEYIKYIASIEAKARSCYGGDVAMEWDEFCTMLLKDGFQLIGLLETFEPQEEEEEEEEEEDASRGAGESSNQEQCHPKTSILSSLSHDLMMVENQIPFFVIQGLYNLRAGDGETARKTIAEIAWKIVGVIMNKIPTASKCDEQCEHLVHMCHAYLKPSCLQVQVQVPAKTSATPGAYRRFRRATEYYEAGVKFRRWYDADAGAGANRPLLDVSYSNGVLRMAQHTVDDKTNYILRNVLAYEQCYHRSATRRDTSYVAAYVVFMSQLLSTPEDVALLSRRGVIEHMLGNDADVCAMFRGIADGVVFDPAGEHYLKPIGVALQAHYKSRFHRWRAWVMRHRFGNPWLAAAWVFGAMAVLGTIVQTVLALLSYVNQAPTHKVLGPGI >SECCE5Rv1G0305660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:75573154:75574431:-1 gene:SECCE5Rv1G0305660 transcript:SECCE5Rv1G0305660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLSSALSSMEVMLDALRQRGIGKPDDKPKEEPPPALPTRPTVRGRPPSIHRPAPGAPWSQRPPLAPLPPPPEGEEEEEAKEAQRREVELELERRAVRAEEEAKQKDDDVRLKEEEIAGLRQQVELYEARLAEWEAKMRSVEEELQRQTAALQMSQAAAAAAAARSAAGSTSHRREPTLSDGVAQAEEPPAPLPTRAEEAPVKRGESLFRGASVNVKPQQQQQQRGGELTVAVAPVVLSSISKKGHAEHHLATEFARETQAFEHAARAVTEVKPGTMSVDELKMLRRQFAAWKKEYEARLRKTKAELKKRVHSEKSHDQQQAAHGGRRRWCGWWRTIKAPKFRAPKRCCTCACAIKLPSLPSCKFPSFPSCSFSCCCFRRRR >SECCE7Rv1G0479160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:248769657:248772748:1 gene:SECCE7Rv1G0479160 transcript:SECCE7Rv1G0479160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPTPFRAKRKSVAAPAKTPAPKPKSVTTARGKMTTSATTSAVSAGAAPQPRLRRAFGTVRSSNPLAEKPAPPPPQKHSKLSPPPPQKPLKVSPPKLQKPAAKVSSPPPQKPAKVSPPPQQKPSKLSPPIPAKAARPSRPAEKPLLKKACPGPDLAAKAKKKSQRVSFQDDVAALAAPRSGEKVKASIEESAGRTPLVPVKALEKKPAKVVAAETPFFSAQNCSSCTLDQLESASYWLAQIHLAESVGKHNVSAAFFRLAFECQAQPFHRIRSELRNYVVRHESASTLTPLFHELLVSHAMAVNQLKFDTDGSEKVDTLAATTTVNQKLDATTLVHECSERDCAGDLVDVGEVGIIKQGEEEMDQPSFEQKLDESFEFDDSEAVIVDRLAEEHSEFEKIIGVKGPCDSEIVQPACHSSVGRLSLRGSPLARGASERRLSSGSHLDKLSPSTGSLSAKRLSSSGSPFNNSPFCRGSLQRLTSSCPSSKKSSAKGGLSSKRMSSGGCSDGEHNDTAGAGDSSRVIQEGESGCHATVELMKLKELGEYEDDAIDETQ >SECCE6Rv1G0378640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9535543:9536343:1 gene:SECCE6Rv1G0378640 transcript:SECCE6Rv1G0378640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMSLSSSTFAGKAVKNVPSLALFGEARVTMRKTAAKAKQVSSSSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQIVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLAEDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVSNNAWAFATNFVPGK >SECCE1Rv1G0025360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:355409815:355411397:1 gene:SECCE1Rv1G0025360 transcript:SECCE1Rv1G0025360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRVADASEYLAITGWGIDDVKLAKKAWVFVGQQCKKFSISPVNYEFEVHAMSAEKLPFILPAVFTIGPKITATGAEASDKRDLEAQLLLYAKLIAPLHKSRSHVHELVKGIIEGETRVLAADLTMEEIFKGTKTFKEKVFNRVQLELNQFGLVIYNANVKQLVDVPGHEYFSYLGQKTQQDAANQAKVDVAEARMKGEVGAKEREGLTRQNAAKVDAETKVLSVRQQGQGLKEEAKVKAEVQVFENAREADIAAAKAELAMKKAGFDKQAKVAEVEAAKAVAIREAELQMEVERKNAMRQTEKLKAEQLSKATVQYETQVQESNALFYSRQKAAEAALFEQMRSAEARKAQADAQFFEQKMAEDAKLYAKQKEAESLALLGRAKTEYAASMLQALGGNYYALRDYLMIDGGMYTEMASINAGAVNGMQPKISIWSNGGGADGAANAGKEAAGVSALQQVAGVYKMLPPLLSTVHEQTGMLPPAWMGALPKDGAAN >SECCE5Rv1G0312840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:248624008:248647542:-1 gene:SECCE5Rv1G0312840 transcript:SECCE5Rv1G0312840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASSDSAEIATASKRPFDPSLADPSPPSKLQWFSRSDISPADTDSGGEAGAENEAMAGARLSRTQRYLVAVEYDGTRFSGSQQQPDQRTVVGVLKEAFHKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHDPEVVKCAVNHFLHKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGPERPSIFEKNSAWHIPEDLNIQAMKKACNILVGHHDFSSFRAFGCQAKSPVRTLDELTVTEVFPVMFFPSSLERAEMESPDGSLVYSRASLMGSSGEGSDVSSTTSGKSVCENGQQFGKRLRHRCFVVTARAQSFLYHQVRLMVGLLKAVGIGDLTTADVERILDAKTMTAAPPMAPAYGLYLDNVKYDSIV >SECCE6Rv1G0422380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689626181:689629563:-1 gene:SECCE6Rv1G0422380 transcript:SECCE6Rv1G0422380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTPAAAPQPRNIEDDCLPPNSTLCRHDEEQHVQVCISLTSYIVAFQFFSYTTSKNCDWISTMPDDILIEILSLMTISEAAMTGFLSTRWRHVWKKIDHLIRDCYTFGMQELEKSRYHENHLLWNDVATKFVNKVNGLLRSRYGNKIKEFTIGFPSTSAHASNLDHWVDFAKPLQKNSSLTWITSMNTASEPYEFFLGRFVYGRACSLDELTLFNCSIGTIPANLCGLSYIKSLVLARVSIVDETISNIMSNYCALESLVLQYCHQLIHLMASHARLQIMVVQFCKSLVSICIRADNLESFAYMGHKINIDCEHAQFLDMLHVYLVNKDDCALDFISAFPKLPKLEFLVMQFPTCLQVHRVLQHASRFAGLTTVILILMKPWKEDISSVAYLLKSAPLIEYFGLHGCCKLQQHTQLNISWPEDFILSRLYTIIIGGFSGEFELMELVHFLLRSTSVLDVFGIDTGAMEPLLVRSNEDKLQDDTRRHYAREMAYAHFVPKVPSTAKFHII >SECCE2Rv1G0065440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:8444483:8445181:-1 gene:SECCE2Rv1G0065440 transcript:SECCE2Rv1G0065440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKSQLLALFLAIVLTAATPPPSEAAVVPAKAPAPSANNDVVAKPLAWCMIPCLPILPPILCVPPIFCPPTPPSAPPPPSPFKTHPKECLPSLMGLMPCKGFLTNSTAPKPPRQGKCCDGVRSLFQNFPICLCHVYTDGELNKLMAAPVDGAQFFGLSSACNTSPSDFVPCYDVPPMRAAPTPPKAAP >SECCE4Rv1G0238560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:380755219:380762876:1 gene:SECCE4Rv1G0238560 transcript:SECCE4Rv1G0238560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGADGGGDTEMGGWSELLNTSTKLLEQAAPTPHFPTLQRNLDQLEVLSTKLKAKTIRAEAPSQSLSATRLLAREGINAEQLTRDLKSFELKTTFEDVFPSEATSVEEYLQQLHEMAIVSSIQGAQKDNLKSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNANLAGCPSRYALMPSSASSPQASSGLPAAEVMPIPNKTIIDSKSSVYAGVVKDLNDARGHSLPFSPATDFRAAYESLSLDDTGSKSVTMQKVWHLIQALVGEGSTHRTVSRKMSLVVGARRHLEWGHEKYIIETINSQPALAALGGSVGNLQKIRAFLRVRLRDHGVLDFDASDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAYNFAPLLAEWITTNGAVSSETALTASEECEKMLRMGDRPGRPGYDRKKLLLYAIICGCRRQIDRLLKDLPTLFNTIEDFLWFKLSALREYSSSSSSNVANEGLVPYTLEDLQNYLNKFEPSYYTKSGKDPLVYPYILLLSIQSLPAILYLSKEVGEEGYHVDAVHISITLADHGILPEGVGSGQKMGVMDACAEAASIIRQYGSIYLRNGNLDLALEYYAEAAAAMGGGELSWIGQGNADQQRQRSSMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAQRCEEAGLYDKSVEIHKRVGAFAMALQTVNKCLSDAVCALAHNMSDGESRAVALIQSGNEILETARYSSEASVQDKDLISEQQIVLRQLEAILHIYRLARAGQTFDALRETIKLPFLHLDPESPNVSVDVFRNLSPHVQACVPDLLKVALNCMDNVRDTDGTLHAVKSKIANLVASNMSRNWPQDLYQRVAQCI >SECCE2Rv1G0067400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18795218:18798037:1 gene:SECCE2Rv1G0067400 transcript:SECCE2Rv1G0067400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLPRGCLLLLVLCVVHSMLPGSSGCLTEERAALMDISSWFMSANSVVPSSWGHGDDCCSWEGITCDNSTRRILRLDLFRFYQSIPTYGSDGSMSIESTEVACWNLNLTIFSSFRELQLLDFSGNYACLRNFDGLQGLRKLKYLNLSDNSFIGDIPESLSNLVSLEVINLNRNNMSGALQNIGLDNLQNLRELHVGSNQLSGSLPASVFGLPRLEYLDLSENLFEGHIPINSSWKCSSLLQTIRLSKNKLGGKFDFFWLRNCTKLKQIDLSRNTHLLVQLKMHGWVPKFELRTLMLSWCNLDKSMLTEPHFLRTQHHLEFLDLSNNDLPGSMPNFLLTNEATLVYLDLSNNSLVGSLYPSWQNQTDLQLLNISLNRVQGQLPANISSMFPKLRILDVSHNNISGVVPSSLCNIGSIEIMDLSNNKFAGEVPSCLFTDCSALIVLKLSNNNLGGVILDGASNLSAVEIYLDNNKFEGTLPRNLSGNVQIMDLHDNNMSGALDISLWNLPSLEALSLARNSLTGHIHPQICKLKSLRLLDLSDNYFVGLIPNCSSTLPLQFLSISGNSLSGTPNAFFNSSFITALDLSRNQFAGNLEWTRYLSEISLLLLGRNKFEGQISSNLCHLQYLSIIDISHNRLSGSVPRCIGGIPFEDPEPEAYTFYWPRTSGYLFGGGFGGFDDMGFSYNSHYELQGFTFTTKWIPRTYGPNFFMSMSGIDLSANMLSGKIPMEMGNLSHIKSLNMSNNFFTGCIPATFANLGEIESLDLSENRLSGSVPWQLSRLSYLAVFSVACNNLSGCLPASGQFSTFDMDSYKGNNNLRSCTSSLGPMAPNGVTGSAAGDSDPILYVVSAVSLVLAFWATVAFLFCHAFGRRVVLKL >SECCE2Rv1G0103680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:593468901:593470753:1 gene:SECCE2Rv1G0103680 transcript:SECCE2Rv1G0103680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPIMINVAAVCLVLSTLAAAGVWSPAPPPPAQQHGEHVLREGRRVVIVEYERELPLTPGHGDAKETHVLPPHALDGVEAKETVSDEARGTFSNAADKVAGAAEDGKEKLSNAKESATGKVFGAVKRCKDRLCGAAKGLEEGARDGVSRVKDGAEDTVTAARETLSDGKDTAEEKVFDAASEAKGAAMGAKDKVSEAAGGAKEKAAHIKDGAAGTVKSAKDKVSEAAGEAKEKAAHVKDKAAETVTNAKGKVSEAAGEAKEKASHVKDRAAETVTSAKGKVSEAARNARDKVSDIAERAEDYAEDAAESAAEKVVRAEEVAKAKAGEVTKNLTDIARRAREVASDAAGYLLGAPMEAARTATAVMHLLGFATAYGACVWVTFVSSHVLAASLPRQQLGVVQSKLYPVYFRAMAYCIGLALAAHLLGRERSSFAARAQSFNLLSALGLVLANMLLLEPKATRVMFERMKVEKEEGRGRDMSDIIDPPAVTVATAATTTTATPTAAGARSPVDGTTGTATVRAAKTTTTTTSVTAPDADTAKSKAVRLNKRLKQLNGYSSLCNVLSLMALTWHLVHLARRLQMSTAC >SECCE2Rv1G0084340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:173954716:173958060:1 gene:SECCE2Rv1G0084340 transcript:SECCE2Rv1G0084340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDELESMTAFLQDLVEGGNRRKQVKIWMKQVREVAYDVEDCVDEFTYHLGSTTSVSGLAGLFHRCIRFLQTVRVRRQIAKQIQELKARATSISDRNSRYGGNHLISGAEGNTFAAQPAPSNITSLDIRTPTLFPEITKLVGIEVRQSNLVNWLVDENVQRLMVISIFGFGGLGKTTLAMMTYQTASASFDCRAFVTVSQKFDVKALIRDILRQIIQPVDDNGPERTVNPLKGIEEWNVEQLANKLRSHLEDKRYLIVLDDIWTISAWEGIQFSLPNSHTGSRIIVTTRMKTVAQACCLHEYDRAYEIEPLTGSESSELFFGRIFGNRENCPTALKNISEKILGKCGGIPLAIVSIAGLLASASVYSYDRWEKIYNSLGLELETSPWLEKLKKILELSYNDLPYHLKTCFLYLSTYPEDHKVRRKSLLRRWIAERFVSEKRGLSALEVAEKYFNEFLNRSIVHPVEMSFDGKVKTFRVHDIMLEIIVSKSVEENFITLMGEQHTLAPQEKIRRLSIHGGSNKNISTSKSLSHVRSLSIFADGEMLQFAWIKLLRILDLEGSRFARNGDIRNICKLFQLEYLSLRNTYVTELPVQIGNLKKLETLDVRDTAIKHLPPHITSLPNLSNLLGGRRVYNYSGLYPISDFWGMHIPNKLGNLEMLTTLAQIEITDSTSRYISQLGKFSQLRKLGVMMFVDDAVNWMSLIAAIAKLSSSLRSLLIWRPDGVMNFRILDTLSKPPVFLKSINFRGKLQQLPEWIGSLANLTELTLRATELESEEHLKVVSQLPSLLYLRLHHGAYTGRALTFSASEFPSLKLLTIHLGVHQALNLGFEEGTSPKLHRLELSFFENASIRQPSGINFLANLQEVWVHADPCSNSEAMMHHLMEEASRIPADLLSHSRRNNGSQPAQ >SECCE1Rv1G0040710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:565260130:565263325:1 gene:SECCE1Rv1G0040710 transcript:SECCE1Rv1G0040710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSSSPSSQPVRVVLRVRPLLPSEASSAAAPPCVSLIGSHPSGEVTVQLKDQHTSRSECYKVDAYFAKEARVSDVFDQEVSAVIPGIFEGINATVFAYGATGSGKTYTMQGSEDLPGLIPLSVATILARCTGTWCSVEISYYEVYMERCYDLLEPKAKEIMALDDKFGNLQLKGLAWVPVRSMEEFQEVYSIGVQRRKAAHTGLNDVSSRSHAVLSIRVSNDTVKGKLNLIDLAGNEDNRRACNEGIRLQESSKINSSLFALSNVISALKKNESRVPYRESRLTRILQDSLGGNSRAVMIACLNPVVYQEAVHTLSMAARSGHMVTNMPSASKEQTPKLKVDMEAKLQLWLKSKAKTKSIQRMNGLSSPTGWRTPSSMSHMKQPLSARASGRAKAMDQDGVKIKKVLFDSAVHTTAENTPKPSSRDEVKTTKKEVPPLLTPCKEDKSESPLRKALSPIPPNMMIPCNANCPPSLEPKTPIGACHIVEKNPDGTPLDKFNALGSNLKESLIQQYLEFLNVANKEELQQLKGIGEKRADYILELREDSPRPFQSLSDLGNIGLSTKQIQDILRKTATGIFK >SECCE2Rv1G0066780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:15353291:15353731:1 gene:SECCE2Rv1G0066780 transcript:SECCE2Rv1G0066780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMAKLALLLVAAAAVASTGNGSCDYEFTVTTSDIQGAGTDSNVEVAVWRDSTTDKLTSEQSGSGAKFNRGSVDKFKFTVDTECQKICRLRLRLIAQGLLNLGSAWHCDIVQVDEIGPNGYHAIKNFPVEDWMYDGGELIVENC >SECCE4Rv1G0216680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12172436:12172924:1 gene:SECCE4Rv1G0216680 transcript:SECCE4Rv1G0216680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRRAAALLLLLALACTPALAARDVASSASKAKAKPTPAAGKKPAKPYVPPATKPPGSGAVNGGGGMPAIPGIGSIPGFSVPGMGGGWGGGYGGPDGGYSRGGTAASTTVCADKGPCYKRKLTCPNKCFSSYSGAGKGYGGGGGGGGCTVDCKTKCVAYC >SECCE4Rv1G0226040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:103413400:103414098:1 gene:SECCE4Rv1G0226040 transcript:SECCE4Rv1G0226040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDTIHEHNERDLEAGHVTPPSSLVTGKAPRPGRDRRRSSCRRFACVALLVVAAVGIALAAFLGALYVALDPKMPLYTVHALNVTAFGMDDDMTARAQFDAAVRFENPNRAIGISYEEGSSLAVWYGGYRLSEGALPAFYQGHRDAAVVHVAMSEARLRGTGVVEAMRHVNAAGGELPLVFRGEVPVRVKVGPVTTAKVTPRVRCDLVLDRLSIEGGIGVKKMSCNLKLW >SECCE3Rv1G0172020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:281930960:281944351:-1 gene:SECCE3Rv1G0172020 transcript:SECCE3Rv1G0172020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRVCHRLVRLRGLPSSLRLPLPSTMAAPQPGCKTLRPSTTSSPSPAGPATKRSRTMATDAAASPASAGCSAMKAEFTGHAEYLNALNDKRERLVKASRDVTMNSKKVIFQVHRISKNNKEEVLSKAENDLAAVVNQYIGKLVKELQGTDFWKLRRAYTPGVQEYIEAATFCRFCKTGTLLGLAEINDSLLALSDKSIEPLQINVLDYLLGVADLSGELMRLAIGRISDGEVEYAKNICAFVRDIYRELTLLVPLMDDNNEMKKKMEVMLQSVVKIENACFSVHVRGSEYIPMLGSSGEPDYAFFGAADYDQ >SECCE1Rv1G0042030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:576104480:576105878:1 gene:SECCE1Rv1G0042030 transcript:SECCE1Rv1G0042030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLRAVVSGRLRRSLCTAAAASPPWAMVDRDSHQMKKSEGSVSFSFARPPAVSYVTIPIFGRAFMSKPPPDGGLYSDMLRARVLAASAHGFLLLGTLKSRYRAHPLMGLDLPAEVLLKVAPLELIYHKFTRFVCNPVSGQLFRLPEFEEAEKTLTFTDGMAGMGILTQADGDGDGLNGRPKRYAAAQLTEVDGGRRFLLRRFSSETGDWDEQVLPSPLPPQRRMHLGHEVLDFGGRLWWVDVSWGAVCVDPFSDRPELCPVELPPDSMLLNQQGETEMEQLVQHRHMGVSAGRLRYAEVDPLHIRSFVLDDDQSGCWTLEHQVSVADLWRNGGNAKATASISAIDPLNTDALHLSVDKIHVSVDMRGRTINKSSVCVNDSQMASGSYLPCVLPSFLWSSPIPGKNKTLDMAKDKTLADVLVRSDRQQTK >SECCE1Rv1G0045290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609216568:609217947:1 gene:SECCE1Rv1G0045290 transcript:SECCE1Rv1G0045290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACSLAWIRSLWSLAIHPAYLCSLVLRALPRLLRLTPSSLKKFCKHPPLIKTMEGASPELPQDILMSILATLEIPDLVRAGSVCSSWRSAYSRLRSLGHYTRPQTPCLLYTSESAGDSVACLYSLAEKRTYKLTLPGPPIRSRHLIGSSQGLLVTVDDRSEMHLVNPITGQQIDLPSVITLEHVKPIYNDSGALHKYEYSCHSAKMVYGPPSILALGELRNKFHYKAFVFSHTCNGYIVALIHNPLGQLSVARAGDDSWIWLPPYTNYHDCLYKNGLLYAVTSYGQIHAFDLSSPVVTMKMITREPEPEDWFLNTYIVQAPWGHLLQVWRLYEHCDLEPEPGAFVLWNTGKLNIYEVDASGEKIMKNSCLHDHVLFLGHNQSLCLAAEDYPALKGNHAYFTDDSVLWTRGFKNSPRDMGILDLGNNSTEELVSPQLWSNCPAPVWITLNLRKMNLAFN >SECCE4Rv1G0217610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:17673639:17675033:-1 gene:SECCE4Rv1G0217610 transcript:SECCE4Rv1G0217610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGSRPGESNLVEPRGLPSGMAMQQPWWTGTGFGAVSPAVVAPGSGAGISLSSNPLGGGVAKGAAQGKKTVDDDDARAQSSDDDSPRSREPKDGSFDEEKQHATSRMPALASDYLAPYSQLELNQPIASAAYPYPDAYYTGMVGPYGAQAVTHFQLPGLTHPRMPLPLEVSEEPVYVNAKQYHGILRRRQSRAKAELERKAIKARKPYLHESRHQHAMRRARGTGGRFLNTKKDENGGSNGGRSEASKGEQSSEYLRVPPDLHLRQA >SECCE6Rv1G0377340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:1096686:1101755:1 gene:SECCE6Rv1G0377340 transcript:SECCE6Rv1G0377340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVHAGGCLRVSSPTLRSIGFSKEYGGKSELIIEDAPLLVRLILPCTYSRDGGDKCLAIRVVRAPKLEILGPLFLVISRTLVSQGISPGSSANSMRTVKILSLRCSGQELNAVLNVLRWFPCLEKLYIVFHGQREVDKNNEPPYSGLHPVECLQDHLKTVVFKAFVGNDKQVYFARFFVLNARVLKKIEFEGWFMEYNSTSLGYLHNQLHAENRASQDAKFEIRQYINTTGYHLQEQIHDLSVADPFRTAL >SECCE6Rv1G0425590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:708079084:708079671:1 gene:SECCE6Rv1G0425590 transcript:SECCE6Rv1G0425590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACAQDQRSAWLEDDAARRCNPCWLWYVLLVFLLILAVAGFYIDETDDDVRRLYSIAIDSFSGLDPTMDLGQRPMLDPEFNFTFRVTSRSLWVSECVQPGIYVEISYRGISLASSGTMTERICAGPRNATDQAGIARGVGVLVPGSVLNGLATDLRSGEQIFDVMLRGRMNYDRRSCGPIRVGDRGSLRRECSS >SECCE7Rv1G0475100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:182436175:182436408:1 gene:SECCE7Rv1G0475100 transcript:SECCE7Rv1G0475100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRIGP >SECCE4Rv1G0222610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:61178045:61178724:-1 gene:SECCE4Rv1G0222610 transcript:SECCE4Rv1G0222610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLWDDVVAGPRPETGLDKLRRAAATQPLAINTAAGEAMKQSQSMPTTPTTPVTPSSSSTPPRGGSVWRSVFHPGSNLATKSLGANLFDRPQPNSPTVYDWLYSDETRTRSNHR >SECCE7Rv1G0460160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:29633876:29634965:-1 gene:SECCE7Rv1G0460160 transcript:SECCE7Rv1G0460160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVPAMGFFHGLMLEAKKHIAVRFDNDESKYKVAWDIIDKRWDRKLKTPLHLAGYYLNPHYYYPNKSEIEHDGSFRAAVITCICKMIEDEETQDIVIEELNMYQDQQGSFGHEIAIRQRRNKNFNPAKWWLNHGTSTPKLRTLASRILNLTCSSSACERNWSVFEEVHTKKRSRLLHDRMRDLVFVKFNSKLRNKRENKNRDPIEKDVDDVLADYDNEFITGKEPTAEGDEEQDKAHEDASEEAPNRASSSQGQAKRKRSSRPRKKIKTVSLQSLMAMRVETMPQAASSSESESDKSPSDSAEE >SECCE1Rv1G0005550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:26180930:26183180:-1 gene:SECCE1Rv1G0005550 transcript:SECCE1Rv1G0005550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDNANGLCVAQPARADPLNWGRAAEELSGSHLDAVKRMVEEYRRPVVTMEGASLTIAMVAAVAAGSDTRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGGHDHVLPAAATRAAMLVRVNTLLQGYSGIRFEILETVAALLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRANSVATAPDGSKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILSLLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMALAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFMVALCQAIDLRHLEENIKDAVKSCVKMAARKTLSTNNNGHLHNARFCEKDLLLTIDREAVFAYADDPCSSNYPLMQKMRAVLVEHALANGEAERDVETSVFAKLAAFEQELRAVLPREVEAARTSVENGTTTQQNRITECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFIAMNQGQHIDALLECLKEWNGEPLPIC >SECCE6Rv1G0401670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:472536855:472537808:1 gene:SECCE6Rv1G0401670 transcript:SECCE6Rv1G0401670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTCKLCFRRFASPRALAGHMRSHSVRVLAAKQQISSASSASTSFTAAADEDVSFKKPLPIYALRENPKRSLRVTDVAFSDRESEAESTPPHPKRAHAAAAGGETEPLSSVSDAATPEEDVALSLMMLSRDSWPSMGRGDGDGDYSDDGSDGGYTLPAPDPAPVEKRTRFQCGACKKVFRSYQALGGHRASHVRGGRGGCCAPPVAPTPPPPPQPLAPLPLMERDGDEDMDGKGLPRECPYCYRVFASGQALGGHKRSHVCSAAAAAAQAHADAAAAPPDPIKAFGMIDLNIALPFEDVELSAVSDPRFSYNPGS >SECCE5Rv1G0326610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:482262344:482274260:-1 gene:SECCE5Rv1G0326610 transcript:SECCE5Rv1G0326610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVPKKKRKVSLPENEEVAARIFEKHRSMAAQQPGGLPDHQARALSAAYSGVCTAKESIRTPEDLARLKGVGGWVVDVMKDSFPGSSVDLSPPKSNTPGDTGKKRKRNKPYVPQLNSAAYAIVITLYREMFRGAESMKKQELIDAAEASGLSRKAIGPNNYKSKHGNSSSDFYTGWSCMKNLTDKDLVVKKSNPAKYYLTEKGKETARICLAKSGLDDPAGPLMAPSHPESVMLSASDSDEQEGSNPLIGSENFSERSGSPNSKAGNSNSFSTKNCRATNSPLSSRGMFGQQSFSAMGSAENSLLAMPPRQYDESFLDSYKVVLILDDRDNFGARARRKVVDNIHTQFDVPVEIKHLPVGDALWIARHKQLGTEYVLDFIVERKNVDDLLGSIKDNRYKDQKLRLKKCGLRKLIYLIEGDVNTVDGSESVKTACFTTEVLDGFDVQRTTGYADTEKKYGHLTGSIIDYYSRNFSAGADTSRLCLTYGEFVKRCSDLEKVTVSDIFALQLMQVPQVTEETALAVTSLYPTLLSLAGAYNMLDGDRRAQEEMLKNKSGMVNAGASKNIFKLIWAEG >SECCEUnv1G0568470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:426623902:426624195:1 gene:SECCEUnv1G0568470 transcript:SECCEUnv1G0568470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEEIGYNSESGFVWMLQKKKNEHNFKKIGQTVSYDTEVTAFVEKGKIKKVTGVTIEGMSLVEVYVDDSSADKVTVKTDTGLSDTHDASAFALGE >SECCE5Rv1G0330160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:525677877:525678824:-1 gene:SECCE5Rv1G0330160 transcript:SECCE5Rv1G0330160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPRSSIGHILPGAGFFAVGLWHLFNHVRLFSQRPDSYVAPVWFPVPRARYLEPALVIAGSALEFVMEMFVDHSTFLPFDADGSIPSDRLHNHEHAIICLALIVYAGAAVHLDRARAPGRRALGLLLVSVVFAQELLVFHFHSTDHAGVEGQFHWILQVVVAACLGTALLGVGFPRSFAVSLARSACIMFHGVWLAVIGAMVWVPSMVPKGCSLVREDGRDTVRCHSKASLHRARALVNLQFGWYLSFMTVFVLAVYLYVCSRYPAEQAYARLLPKAGDEEEEHDLEARKCGVPRDGGIDVHARGFAPLEIEV >SECCE7Rv1G0473780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:161348210:161349712:1 gene:SECCE7Rv1G0473780 transcript:SECCE7Rv1G0473780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMDARGGVAETHRVQLPDTAVLSDVKSFLAANLSAAQPVPAESVRLSLNRLQELRSPDPSATLSALGLASGDLLYFTLSAELLSQPPPPQILPRNPSPDTASIGQIASGSKSPGEAGGSSSLPQNPHIQPVSSSPPQNLHIEPVSSSRPGTLHVEPSLPVASDPPDVVMAEAVHAPKSLSNLVIGILKRQMEAENAGGADGTVIHRLAVSLQAALVDAGFLAENPMGSRVGLLKDWASGAAATLTLKYTLPELVAMLPEGEEGKTVVLNCSLMPNFVMIYGCVPGARSEVRRLCLELPKLVPLLYLDSNEVGATEEKEILELWRVLKDELCLPLMISLCQLNGLRLPPCLMALPGDLKAKVLEFVPGVELARVQCTCKELQDLAADDNLWKMRFELEMSPSSKGSGWSGNWKQRFVAAWRVDNSRRHHKRPPSPRFSGYGWGIGTRNPLNFPVIGGDSDRLPFINHNILGRSFGNQRRNISPNCNFDGHRQGFP >SECCE4Rv1G0243070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:495581987:495587221:-1 gene:SECCE4Rv1G0243070 transcript:SECCE4Rv1G0243070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPQVDKKMLGELEVMGFPAVRSIRALHYSGNSNLESAINWLLEHESDPDIDQLPLVSREISIECGDKSNEVRNSVQGMRTHAQERKAEEQTTAGSQKETSEVERELNANEHEEEDRKRVLALHKSTRDEEEKARGRIRNQLQEDKRDRIQAAKDVMEAKRTLEEKQRKRMMESRKAEQEEEKRARERIRQRIEDDKAERRRGLGLPRENTVASAPIVTLAKVKPIEPVVTSEQLRDCLRTLRKNHKDDNARVRRAFQILLKIVANIVKNPEEEKFRRIRLSNPVFKDRVGNLQGGVEFLELCGFQKLRNNSYLVMRRGKVDVALLNAAGVEIASAMENPYFGLLSK >SECCE6Rv1G0389920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:166120514:166121206:1 gene:SECCE6Rv1G0389920 transcript:SECCE6Rv1G0389920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLAFGAAATWPEAVVQCLLFVLAAGMLVALHSLPRRVAHRLRRGAGGSSAAQSRRHFALGAQLLARARAAAPKPPGPLARAALAEADRAIALDPRDAAPLILKALALDLQGHRLPALRTLDVALTPPLARSLEPRERGDALAKRAEIALALNRRRRLDQAAADLTEAVRLSPENPRAHALLGECYERKGLTAEARNSFKTAASIDPSLATAHDGLRRTGAGSEVNESD >SECCE3Rv1G0159700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:98726105:98729964:1 gene:SECCE3Rv1G0159700 transcript:SECCE3Rv1G0159700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance complex-binding protein [Source:Projected from Arabidopsis thaliana (AT2G40550) UniProtKB/Swiss-Prot;Acc:Q501D5] MVGPQYDLVGNPLGAVRATFERAAAAAAAESGGRDPVAAFRSKDWGASEVFRSFLFEQGGLDKVPLLDASNLGLIKPNTLVRFRGMVQDMLGNEFYAGAFKDGSTWRTNKFTDFSPFTMPHPCDSHLWERHLFHCVPVPGQNSWTLESSPGPDVRQMANCLTPEQREKRKRDGDDDAMDVSENGNGESSSCSKKPKEDDVQIPSSSAEMPASENVPQMNGNDHHIPGSSFSCLVKIYDMPESQVKLNDVAEFIGVYTFDPELAAPNDNSDDIMYDLIEDVTAQLPPSKVPRLHCLVLRKLSSVDFLAKHPAVEPSPSLLKSIRQSLLSHLTLVLGKDDLAANCLLLHLLSRLRTRVDVVTVGRLSLNFTGFNRESVSIFGKQLNTLIQGLMPYSQAIPLSIEYLNTATLQPRKDNKSGRLVTGVLQLPQGSHLTFDETLLQSGSLASKGVENTVLLKNLMESQMVEYDFEYYKLEMATDVQLLTLSEGKSNILPSDLVVPFRPSTVSAVNATSEELESWRWYLATVRSLPQSSEPEIYQTIQDEMVSAMRNDRSLGCTELSRWLTMAQITASSFGEKSLSLEHWQMVKELERLRKERMQ >SECCE7Rv1G0517340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:853291366:853291779:-1 gene:SECCE7Rv1G0517340 transcript:SECCE7Rv1G0517340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAAEKTPAAGKKPKAEKRPPKSKEGGGDKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE4Rv1G0283120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:833165125:833166246:-1 gene:SECCE4Rv1G0283120 transcript:SECCE4Rv1G0283120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLAATMRSSGLRLPPPRVRPRFCSSTSAQGGQQGKRLDLNKASKDELLREVESMGKQIDEAWEAARRENEKVPGLMKDMFGTMKMWFGACILLEIVSYSAPMLRPAAEAQP >SECCE4Rv1G0216910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13319804:13322959:1 gene:SECCE4Rv1G0216910 transcript:SECCE4Rv1G0216910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNSHIRLFDVNSNSPQPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITSFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLEKTLVGHQRWVWDCVFSVDGAYLITASSDTTARLWTMSTGEAIRVYQGHHKATVCCALHDGAESAPS >SECCE7Rv1G0475490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:188691534:188694551:-1 gene:SECCE7Rv1G0475490 transcript:SECCE7Rv1G0475490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSWFGWRKAKQGTGAGGGEKEGEEEDRAKVVVDGSGIRELVEDREAFGMFAETKFRQLDADGDGRLSVRELQPAVADIGASLGLPAQGSSPNADHIYSEAMSEFSHGHGNQEGVSRAEFKEVLSDILLGMAAGLKKDPIVILRIDGEDLRDFVSSPRYETAVAAIFSQVVSGDLSLRQCLLAAVQHLGVDHGMPPASDPWVVENVVEPALQQLSADELQQPASRGVFFEQLKKLLGGVAEQLQERHVIVAHTENTFDGSGVRRLLGNKFELDKLLDSVWRDVPAEHRKKAPKDKEHLRVALDKMADAASLPPHGAVAQVDAVVDEVLKVADSGDGKAVEEAEFKKLLTEVLGAVMLRLSGEPIFFSTSTVVHEPLSSSALLPSPAVASPPSE >SECCE4Rv1G0249270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:581428386:581431385:1 gene:SECCE4Rv1G0249270 transcript:SECCE4Rv1G0249270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSMATAILSITAVVLLLAVQDSHGAKLCMDSTFPRAVNGSLSFCGYNGTACCNTTDDAAVRRQFAAMNISGTPCGDLVKSILCARCNPYAGELFTIGTSPRTVPLLCNSTGVSSRVSGVAAATGYCAKVWDTCKDVSMPGSPFQPPKGGASAPKLAEVWESEGDFCGALGGESICFDGEAAAFNATRVVPPVNGMCLERVSNGSYINMAAHPDGSNRVFLSNQAGKVFLATVPPQGSGKPLELDVANPFLDITDEVHFDNEFGLLGMAFHPDFAKNGRFFVSYSCDKTQSASCSGRCACNSDIGCDPSKLGADNGAQPCQYQSVIAEYTANSSSGSPSMATSANPTEARRIMTLGLPFTTHHGGQILFSPGDGYMYFMMGDGGSVGDPWNFAQNKGTLLGKIIRIDVNDMPSGNSTPSWGNYGIPKDNPFSVDPKFAPEVFAMGFKNPWRCSFDSAKPSYFFCADVGQSLYEEVDLVVNGGNYGWRVFEGPQSYPALSTPGGNTSVDSINAILPVMGYAHNTVNNNVGSASIIGGYVYRSMTNPCLNGRYIYADLYAQSMWSGIETPENSGVYNVTPLTFGCSKTSPIPCDVAAKSPLPSLGYIFSFGEDNAKDLYLLTSKGVYRVVDSSSCNYACPIKSSTQEVVAPPTSSPSSAFNAQTSTVPATMLAGVLLVLLSLGF >SECCE2Rv1G0130710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:878166125:878169689:1 gene:SECCE2Rv1G0130710 transcript:SECCE2Rv1G0130710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol kinase [Source:Projected from Arabidopsis thaliana (AT1G80460) UniProtKB/Swiss-Prot;Acc:Q9M8L4] MAGKGKEEQEVYVASIDQGTTSTRFIIYDRRASPVASHQLEFKQHYPEAGWVEHDPMEIMESVKVCMAKTLEKAAANGLNVDAGLKAIGITNQRETTVVWSKSTGLPLYNAIVWMDVRTSSICRRLESELSGGRTHFVETCGLPISTYFSALKLLWLMENVDAVKDAVRTGDALFGTIDTWLIWNLTGGIGGKDRDGKELLGQHVTDCSNAARTMLMNLKALDWDKPTLEALGIPAGILPKIISNSEKIGVVASGFPLAGVSISGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEVTQSTHGLLSTIAYKLGPDAPTNYALEGSIAIAGAAVQWLRDSLGIISSASEIEGLAGSVQDSGGIYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGESGEVKSAEGEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADTETTALGAAYAAGLAAGVWTKEEIFAGLHKENTTVFRPKLDDAHRKKRGDSWYKAVSRSFDLADLSL >SECCE3Rv1G0165800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:179172181:179172684:-1 gene:SECCE3Rv1G0165800 transcript:SECCE3Rv1G0165800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTTPLKRLALKEEKNGNFGREFVKCESKPEGQIVKKCYHLEWMDYYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPAAVARLEYPTVVDVELKAELKKMNKNFKQLVDLKKQSNLIALGILALGIFYLMAISR >SECCE7Rv1G0526230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894283551:894285074:-1 gene:SECCE7Rv1G0526230 transcript:SECCE7Rv1G0526230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLRRVRPRTGTAGGEDRLSALPDDLIRLIVRRLDTRTALSTAVLARRWAHIPRDLPELDFRISDVIPPEYHRTVALRRRNMPRDTFLAEMLDRLMARCEFDTIAAFIDGITGFLEADGGPADGDARRRANTLRLEFFQTHDGGCAINRLIAAAVGAWGVRDLEVVARQASCDVLQAPPYRFPHDHDCLKDRLRSLTLGNYCTLPPLHSYGALTTLVLRDMAASTPVHVYQRVFTECARLQVLHLISCCCAQDYVVVDAPCSQIRELILDQCKFMATELRHLPMLVSLACCLTDTYRLIFGLVPSLMHTNLTFAAESWIVPRRCRDYFSRYIIGMPPTMTDLVLRFTGLRRWMVPNPPDKPLLNLKKLLVADLPANWNITWPRGLLLAAPSLEVLHIHVPCSEEEPNYGSMRMTSLEALQRHHRLKELAITGFLQRHIWFLKYVVSVCTSLQRVVLLKDDNHVRYNGLWDWEMVEQQPSPWSNDDEMVVRRIINTGCRPLVELSMG >SECCE2Rv1G0101470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:565826959:565839196:1 gene:SECCE2Rv1G0101470 transcript:SECCE2Rv1G0101470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLLSSASRAIAAKPHPPQTLAAAAAGATSTTRKLAAMAGNREAFRVAVGNTLERRLFYVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGSCYRADHLLKDFCKEKLENDNTLSPEKVEELNHILAVLDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSAGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFGDVSDLEFLMFPREDQLTGGSATRLRLGEAVSEGTVNNETLGFFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGVPLEAHEKFAEPRQVEKLVITPSKKELGLAFKGNQKMVLEALEAMGETEALGMKAALESKGDVEFKVCTLGKDVTIKRNMVSINMEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRIGKTDDEQLNVFRFPPLVAPIKCTVFPLVKVEKFDVVAKKISKALTTAGISHIIDITGTSIGKRYARTDEIGVPLAITVDSTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTALATDEEEASET >SECCE2Rv1G0109400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:675427713:675431759:1 gene:SECCE2Rv1G0109400 transcript:SECCE2Rv1G0109400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPSQSNRAGCNGSVGSPSDDPYGVAAMMNFDGYSELCGSPSLADQLFSLLNDSSTHQMFAMWSSLGSSPRASGVSEDMQLDAYSSVPGDQKVDLVSSVNPAETGTGRMAKSSGDLGSDGDPEQGSTSLVPRPIAGGNVLADRMLMALSLFRKSLGGGVLAQVWMPVEQEGHVVLSTCEQPFLLDQALAGYREVSRHFVFSAKEETGLQPGLPGRVFISGVPEWTSNVLYYSKPEYLRMEYALHHDVRGSLAMPIYDPSKGSCCAVLELITKKEKPDFDAEMNNLRHALQAVNLETAKDCIDQKVYSANQKAAFTEILDVLRAICHAHMLPLALTWVPSSNGSDGGYVGHDSVLDSQSGKTTLRIHESACYVNDAKMQGFFHACTETHLEKGQGIAGRALKSNLPFFSPNIREYGIKDYPFAHHARKFGLHAAVAIRLRSTYTGDDDYILEFFLPINCTGSEEQQMLLNNLSSTMQRICKSLRTVSEAEVDKADACTAVMYKATSGSCLPTGQSESSSRGDEPATEEAFQDLSLIDKQGGMSEQAQSSTMRLAEKKRSTAEKNIGMDVLRKYFSGSLKDAAKSLGVCPTTLKRICRTHGISRWPSRKINKVNRSLKKIQTVINSVHGVDSSLQYDPATGSLVPAVSLPEKTSFPSCDAVSSPSVGRTVDEKSGPKSEQGYSSPEGWERESCQLQRPDAKRGEGDEFHMQTSNYSGSGDHASYGANVAHHINSEGTLGPLYPTGAVSTGCIEPSACILPSIKITRDQIVGRNSPPMQQADIDMFDDREGRAHTHPSTSGMTDSSSGSASSHPTFKKNPARPLKDKSSPALTVKATYNGDTVRFKFLPSMGWYHLLEEVAKRFKLSTGVFQLKYKDDEDEWVIMANDSDLQECVDVMDSMGTRNVKLQVRDLPCLISSSGSSSCLQLEGHNS >SECCE2Rv1G0089310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:255656486:255665594:-1 gene:SECCE2Rv1G0089310 transcript:SECCE2Rv1G0089310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPWCFTVLLVISSLRPPMATGNTYATVCGKTGKYKANSTYQQNVQSVTTYLGNEATFSGGNGFATRTEGTDPDRVYGLGICRGDTPDNVTCYECLSSASAEASTLCPDDKDATLFYDGCTVRFSDEDFLSFSFWPWYNEPEVVLNNTNMVNPAAVASSFDVLVDTLMNKTAEHAAAASDAPQKKVATGEALFDGSDPETKIYSLAQCTPDLTYGGCKNCLRLVMDKMALTRVPGALGQRVAGVRCNVRFEVYAFYIGEAMVRIDGTPAPSPAPSKSRLPGPPEPPNPASPPSKGGIKNKIWIVAVSVSLVFILFCILLAIIWAQSRRLHKRILRSQSQEVFPPSTEEAIMLWRMEEGRSELSMFEFSQVTDATNNFSEGNKLGEGGFGRVYKGQLPNGLEIAVKRLAQHSGQGLNEFKTEIHLIAKLQHTNLVRLLGYCIEGEEKILIYEYMSNKSLDFFIFDTTRGALLNWNRRRHIIEGVAQGLLYLHKHSRLRVIHRDLKASNILLDENMNPRISDFGLARIFGSNESHANTSRVVGTHGYMAPEYASEGQFSIKSDVFSFGVLLLEIISGKRNNGFHQTGNIGNLLGYAWLLWKREKWCELIDPCLDIKHPNMEIMRFINVGLMCVQDDAVDRPTISDAISLLMNESTSFPDPKKPAYFRNKGEDLDLEEQYSVNLLTGSPPDGR >SECCE2Rv1G0089550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:258181555:258190362:1 gene:SECCE2Rv1G0089550 transcript:SECCE2Rv1G0089550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MSSSSRVRVGTLVPFAEGKSVSPNASPPMASIPIFEGSNVLGRSNLVAVDKRVSRKHLSLRALPDGSVEVVVEGTNPIVVRSEGQRRKVCAQQRAKVMHDDVLELIPGEYFMKYVNMSDERKSSASVESHDLKRGKRHSEEDSVVAKRNRQVMEDEALARTLQESFAEESTSVTKVLSSLDSAGSSERNKERTHSVGPLKDVLPLTFRLMRVQGLPSWTNTSTVTIQDVIQGEVLLAVLSNYMVDMDWLLTACPSLRKVPHVLVLHGEDGASLEHLKKMKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRVVVHTANLIHVDWNNKSQGLWTQDFPWKEANVMSTNIGFENDLVDYLRALKWPEFRVNLPVAGDVNINAAFFRKFDYSSSTVRLIGSVPGYHVGPNMKKWGHMKLRSVLEECVFEKQFCKSPLIYQFSSLGSLDEKWMSEFACSLSAGKADDGSQLGIGKPLIVWPTVEDVRCSIEGYAAGSCIPSPQKNVEKDFLRKYWSRWKADHVGRCRAMPHIKTFTRYNGQNIAWFLLTSSNLSKAAWGALQKNNTQLMIRSYELGVLFLPKTLQSVPQFSCTDKSHPNLDKLALGKNIKTKLVTLCWKGDEEKDSSAEVVRLPVPYQLPPQLYGPEDVPWSWDRRYTKKDVYGSVWPRHG >SECCE7Rv1G0508060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:768503991:768505952:1 gene:SECCE7Rv1G0508060 transcript:SECCE7Rv1G0508060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSLWQLLAVAVALAAATRAAAAVKAGRSLHRDFDAVWGKRNARFFDDGRVVELALDRETGSRLESKDRYLFGRFDLDIRLVAGESAGTITSFYICTGGARHDEVDFEFLGNVSGEPYILHTNIFSDGKGEREQQFVLWFDPTADFHTYSILWNPLNIILYIDGTPIRVFKNNEANGVPFPTRQPVHVFASIWNAEEWATQGGRVKTDWSEAPFVAAYRRFDAGSACVWQGGASPTRCGGDHLPSSPSSWMTQRLDWWSWMTLNWVRMNYMTYDYCADRKRYPHAFPTECIIPIGRI >SECCE4Rv1G0249540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:584392791:584394236:-1 gene:SECCE4Rv1G0249540 transcript:SECCE4Rv1G0249540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDVFQHYRLNPTDVEAVTYYLPRLIAGQLHGAEKLIHDVDIYSCEPKDLAANIPPVPQAASSGDRFFFTTRKSKNGSKTQSVRTAGGGTWTVNSTTAVKHAGFEVGEKKNLSFRKNGKSTGWVMEEYKCLLPEAIVSDGVKVFCKIHLAQHPPDAARQESAAYNHRCQAEPQGEPVTASTHAQKRPATAAAADPYPPRPNKRMRGAVPIPAPATPSFLMYDEAARAMYGPLAHTNFPVQDAQAPAVAVPAAIEGASASCESTATSYHSDVASSSHDKQLQAQAPAISSQSDVPESVKHYSQQQMIVPEAVSSIARSTSEEDVFEPLEPVSSLPDWEADGFDIEELMRMMEDDPIVVEPVTGANTGVEMGRQEPLYLDDGLDQGMLEDMLQSDCPYPTSRSEDVAMHNPASHDADKEKRCNAVSDLDAPSLQGQDKLPFDPFEAAWKAEEALEKEKRDNDPANLHAGGHSNFFSPASVY >SECCE4Rv1G0216340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10429735:10436978:-1 gene:SECCE4Rv1G0216340 transcript:SECCE4Rv1G0216340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPEQFRGQSRLPRFAEPLRYDLVLRPDLAACTFSGSARAAVAVSAPTRFLVLNAAELAVDRSSIRFQDWAPTEVAQFEEDEILVLGFDRELPLGEGVLAMDFTGTLNDQMRGFYRSKYEYKGEARNMAVTQFEAADARRCFPCWDEPAFKAKFKITLEVPAELVALSNMPVVKETVCGSLKTVCYEESPLMSTYLVAIVVGLFEYIESSTIEGTKVRVYTQVGKTSQGKFALDVGVKSLDLFKDYFATPYPLPKLDMIAIPDFAAGAMENYGLVTYRESALLYDEQLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVESIFPEWNNWTQFLDETTSGLRLDALAESHPIEVDVNHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEETGEPVKDLMTTWTKQQGYPVIYAKLNGQDLELEQAQFLSDGSSGPGMWIVPMTACCGSYDVNKKFLLKGKTDRMHIKDFAASQSGQNFWIKLNIDQTGFYRVKYDDELAAGLENAIKAKKLSLMDMIGIVEDSYALSVACKQTLTSLLRLLNAYRHESDYTVLSHVTSVCLSVNKISTDANPDLSSDIKQLLIKLLLLAAKRVGWDPKDGESHLDVMLRSLLLIALVKLGHEETINEGIRRFHIFLEDRKTPLLPPDNRKAAYLAVMRTVSTSNRAGYDVLLKIYKETSEAQEKSRILGSLSSCPDKDIVVEALNLMLTDEVRNQDAFYVLGGISLEGREAAWTWLKDNWDHVVKTWPSSSLISDFVNSTVSPFTSEEKAAEVSEFFATRVKPSFERALKQSLERVRISARWIESIKSEPSLAQTVQQLLLQEF >SECCE6Rv1G0407590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:559573073:559575406:1 gene:SECCE6Rv1G0407590 transcript:SECCE6Rv1G0407590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPVISSALPNQSDNLRTVAGAKPEQNKGAPNGRQNNTNDVVDISDSDSDSDDFFEEEAPPTHYKSNGKASSDSQKTGGKASSFSNGEGSKGGKAFSAGKGGKGSASNAKPIMSDAELKLQLDMPPNSILLSNCEAAEMLQKIQGHMAILSEDPKIKIPQSFDKAFQYAKEGNHFTSAKSVKEILEPLKDYGVNNGEICMIANIGPETIEEVYALIPSLKATRSINEGKIEEALAALANIKASK >SECCE5Rv1G0336320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583684516:583685034:1 gene:SECCE5Rv1G0336320 transcript:SECCE5Rv1G0336320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLVVVATLVIMLQASTSALARHHHHAKPDPCDDAEGDNPEPGMRHKHKKPHCPSTPGGHGGTPGIMTVNGFEKGEEGGGPAACDGKYHSDKDMIAALSTRWYAGGRRCHKTIRITSKRDGRTVEARVVDECDSNHGCKDDVVDTSAAVWEALGLDTDVGVVPVTWSDA >SECCE4Rv1G0286480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849435000:849435311:-1 gene:SECCE4Rv1G0286480 transcript:SECCE4Rv1G0286480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCEUnv1G0537650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69475638:69477448:1 gene:SECCEUnv1G0537650 transcript:SECCEUnv1G0537650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLCLAALGLLLGLVLIVLMFKGKPESKMMWGGASATQLPPAGTAFSWPLVGNLPEMMLNKPAFRWIHKMMEDMGTDIACVRLGGVHVIPITCPKIAREVLKKQDANFASRPLTFASSAFSGGYKNAVLSPFGDQWRKMRRVLTSEIICPSRHRWLHDQRAQEADSLTSYVYTLATAPWSSAVDVRHVARHYCGNVIRRLVFGRRYLGEPRPDGGPGTMEVEHMDAVFTSLGLLYAFCVSDYLPWLRGIGLDLDGHEKIVMEANATVNRLHDTVIDERWRQWKSGQREELQDFLDVLITLKDGQGNPLLTIEEVKAQSQDIIFAAVDNPSNAVEWALAEMTNMPEVMRKAVEEIDQVVGRERLVQESDIPRLPYVKACIREAFRLHPVAPFNVPHVALADTIVAGYRVPMGSHVILSRMGLGRNPAVWDEPLRFNPERHINTTADNDVTLTENELRFISFSTGRRGCVAASLGTAMCIMLFGRLLQGFTWTKPAGVASIDLSESKHDLFLAKPLVLHAEPRLLGHLYPAASF >SECCE2Rv1G0138980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:924694957:924695404:-1 gene:SECCE2Rv1G0138980 transcript:SECCE2Rv1G0138980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYTIRIIALALLSLHLLCSATIAQCRIMDDTDGEKINLPNMLCTTPSWRHECAAEGICFCCLVNPLCYSTMEDCKRECRKSLSSEDILVAMTSPPTLPAP >SECCEUnv1G0532800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:24015509:24015763:1 gene:SECCEUnv1G0532800 transcript:SECCEUnv1G0532800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGFSYAQVHVKQERLRRKISDGEKAAATTAMSKSMAGEEEKKKKGSMAEEEKKASCNSWTAGRVHPFASSPAAAAPKGGHR >SECCE4Rv1G0261580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:702733559:702744801:-1 gene:SECCE4Rv1G0261580 transcript:SECCE4Rv1G0261580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIDNKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGRLFEFSTSSCMYKTLERYRSCNFNSEATATPETEQSNYQEYLKLKTRVEFLQTTQRNLLGEDLGPLNMKELEQLENQIEISLKHIRATKSQQSLDQLFELKRKEQQLQDVNKDLRKKIQETSAENLLQMSCQDVGPSGSSVNANQANQQEHFHPACDPSLHIGYQRNFLDQLNKE >SECCE5Rv1G0355880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:734994712:734995149:-1 gene:SECCE5Rv1G0355880 transcript:SECCE5Rv1G0355880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMTMAGASSPSKKSGLRGPRPQPLKVASAPPSSRPSTKKAHGGGAPVIVYEHTPKVVHARPHEFMTVVQRLTGKPAPAPALFPGYDVPVAPMQEGAGAGDPLLLTLGQHPPMAAGQLMSPGGFIFSPNTMQSIQELCSTPCAN >SECCE4Rv1G0238810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:387849488:387874726:1 gene:SECCE4Rv1G0238810 transcript:SECCE4Rv1G0238810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MLRNAPMVTARNNSTMNPFPLLIPLRLPLPRSPALRRAMAMPSTSSSSRPPILHSTSKTPNPPRLLPLVSRAAAPRLLLLSFAPPAPGRRLRTAAAQQPAYENGEEEEDEEEDEEYYSEEEDEEELDVETMEEEARRAAADLAARLDRELRVDGDVREKRRTMRDKTSTSKHIPDSKLPKVAVIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDQEFMVIDTGGVITLSKSQAGVMEELAITTTVGMDGIPMASREAAIARMPSMIEKQAVAAVEEAAVLLFLVDGQAGLLAADIEIADWLRRNFSHKCIILAVNKCESPRKGQMQALEFWSLGFTPLPISAITGTGTGELLDMVCSELKKFEVLEGLDDVEEDENRVPAISIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTELTTDDGKKYKLIDTAGIRRRAAVASAGSTTETLSVKRAFSAIRRSDVVALVIEAMACVSEQDYKIAERIEKEGKACVIVVNKWDTIPNKNNESTTHYEQDVREKLRVLDWAPIVYCSAINGNSVEKIISAASLVEKERSRRLGTSILNQVVREAVAFKAPPRTRGGKRGRVYYTTQAAVRPPTFVLFVNDAKLFPEPYRRYMHKQLRSDAGFPGTPIRLLWRSRKRTDRQQRRSNTEARGALVAAS >SECCE7Rv1G0526610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:896132995:896134185:-1 gene:SECCE7Rv1G0526610 transcript:SECCE7Rv1G0526610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRVLDLEGASQVEYGDLKKMVKLMCRLKFLSLRGCGEICHLPSSIGGLRQLQTLDVRHTSIVTLPVNITKLQNLQYIRAGTAATTKTVPQPSNRSGVRRPVGVVVPRGIGKLTALHTLGVVNVSASATKAFLEDLKKLTHLRKLGVSGINKSNSSKFVLAITVLVHLESLSVWLEDRNQDCLDGIKLPLPVGSLRSLKLHGLGDRLPKWREQLTMLTKMDLEIVKLTEDHVSPQSGQLTPEGKKKPTKDVLKFLSELPSLCILRLRVKQLQNDELNVSIITNDLEEDSFKKMKIFEIACGSSSSSKVTFGEKTMKKLEQLTVEFCSGSSFFGLKHLRELKEVLLKGSSCDQALKADLLAKLADHPKEKKPVVKMEEPLCTDESIVQGRHTFCC >SECCE5Rv1G0356310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:738694297:738700578:-1 gene:SECCE5Rv1G0356310 transcript:SECCE5Rv1G0356310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAGDLPAASTRRERRKERKKQRRQRARREAAAAARAAAEARAADPEEGRRLRELEEAEADASARARRAFEEAERRWLEKAASRAAEEAAAAAAEEEARSTEASSRDKYNDDHRDEAEDDGEWEYVEDGPAEIIWQGNEIIVKKKKIKIPKKTEDKPLSQEEERPTSNPLPPQSVAVASQRREPSLSARELLEKVAQETPNFGTEQDKAHCPFYLKTAACRFGVRCSRVHFYPDKSCTLLMKNMYNGPGLVLEQDEGLEFTDDEIEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSFHLRGNVYVHYKALDSALLAYNSMNGRYFAGKQITCEFVAVTKWKSAICGEYMRTRFKTCSHGVACNFIHCFRNPGGDYEWADWDNPPPKYWTRKMAALFGPSDDAVFDKASDTPDFERLHSSDRKRLRSSDDRYVSSRHGDGDAHKRHPSRVFSHSREEQSNHMMKYGHTRHRREPSAANDQRGQEIEDDTGRYCSPMENERVSQAHKHEEKRRDCHGDGARGYNGKIKSRKHRSERHESLESGCSDWPSEFADAGTSRSPSGSKSTNRYSNHKRSRWQSSDDPNLERGNSAVDKSSRKAHIAKSSSRHYVEEDDSYGEKGSGRGKSGKHSAHRDDPDDRWLATNSDVDSDAETQFLRSSSGVGKGGRNDNAPPDEDDRHQRSSSRSARSRGKDDKGSRKRKKHHGETRKNSDSDDDGIRDLRSHARRSRSRSSEEGLSLHKRRKGGRGHDS >SECCE2Rv1G0110880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:695100402:695102703:1 gene:SECCE2Rv1G0110880 transcript:SECCE2Rv1G0110880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAILVSALVLLSPVGAELQRVQHSPKEDGSLTVLAVGDWGRGGQFNQTLVAEQMGVVGEKLAADFMISTGDNFYNDGLAGDNDTAFFKASFTDIYISSSLQKPWYIVLGNHDYTGDALAQQSPAIREVDSRWTSVNKSFIVEADIVDFFLVDTSPFVLKYWNESKFDWRNVAPRDTYIATLLQDLDDALAASNATWKVVVGHHPISSGCEHGNTTELREHLLPLLKAHGVDMYLNGHDHCLQRISSVDSPVEFVTSGGGSKAWAGKFKATSDKMEFLYDGQGFLSMELTAAEARLAFYDVWGAVLHSWGLAKSAPASIIS >SECCE2Rv1G0137790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:919682297:919683527:-1 gene:SECCE2Rv1G0137790 transcript:SECCE2Rv1G0137790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAAKRVGTPLADAEGTPKRPRTSRHPSLSPSPSQARSQAPSPSQSRSRSRSRPRLPLARMSPPSYIGSPTYAPYDGRSPSRSRSRSYSRSRSRSRSRVSEEMDGNGRPVWRPHSYREHSGQHGDGEYSVRIGDYDRLFVCRSCHRMLSSPVYECPDGHVTCSRCRDNIGDNRCNYCAANGCVRSRAVEEFLGRISFSCRNQQYGCEVFLLHHEMRAHERTCHYDPCFCPVHRCGFAGPAYDLESHLATIHRWEVINFRYGESFQAPAFDSAIFRCEDYGELFHISSSREGLGTALSMICIRPDNAFEEEFTYELKMPAGGRRHRLQIQSTVWNTSLRYGIGEGSDVFLLVPDKLPGVENGCAVEVCIRKVVAGN >SECCE6Rv1G0430460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:742166489:742167645:1 gene:SECCE6Rv1G0430460 transcript:SECCE6Rv1G0430460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCTLGLLGALVVLSLLVSPIACSRKLAKADKNQTTTNPSSSAAYGGGWLPAGATYYGNPNGAGGDGGACGYQTAVGHRPFSSMIAAGSSPLFMAGMGCGACYDVKCTSNSACSGKPVTVVITDLSPGNLYPGEPCHFDMSGTALGAMAKPGMADKLRAGGVIRMQYKRVPCKYPGVNIAFRVDQGSNPFYFKTLIEFVGDDGDLKAVALKEAGSGAWTAMAQDWGALWRLNNGRRLRAPFSLKLTSDSGRVLVVNNVIPANWKAGATYRSLVNYR >SECCE7Rv1G0509510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:781518565:781519044:-1 gene:SECCE7Rv1G0509510 transcript:SECCE7Rv1G0509510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASATGAGAKGKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAKRVGTGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIVPRHLLLAVRNDQELGRLLAGVTIAHGGVLPNINPVLLPKRTAEKEPKEGKSPKKATKSPKKADKKA >SECCE6Rv1G0421410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683817577:683819016:1 gene:SECCE6Rv1G0421410 transcript:SECCE6Rv1G0421410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAPAGQKAAHAVCLPAAAQGHIIPMLDVAKMLHARGFHVTFVNTEYNHARLVRARGVAAVAGVPGFRFATIPDGLPSSDDDVTQDVVSLCKSLTETCLEPFRRLLAELNDPATGHPPVTCAVADIVMDFSMEAARELGLPYVQLWTSSAVSYVGVRHFRLLFDRGLAPIKDVKQLTNEYLDTPVDDVTGLRNMRFRDFPSFVRSPAPDDYMLHFALSIAERAVGASAMIVNTFDDLEGEAVAAMEALGLPKVYTIGPLSLLAPSSSINMSLWKQQEECLLWLDDKEAGSVVYVNFGSITVMTNEQLVEFAWGLAKSGRHFLWIIRPDLVKGDTTVLPPEFSAETAERGLVASWCPQQEVLNHPAVGVFLTHSGWNSTLESMCGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDSDVRRKVVADLITEVMEGENGKVMKKRAQEWREKAVRATKPGGSSHRNFDALIHDVLAPRS >SECCE7Rv1G0499580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:655195016:655198636:-1 gene:SECCE7Rv1G0499580 transcript:SECCE7Rv1G0499580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSTLSFPLLTATVLYSFFTLFAPTSSLVITTTLVDEPNIDLEALLCLKRHLSNDGKALAPWNNTLPFCSWPGVTCGKRHPSRVTALDLGSLGLDGEIPPCIGNLTFLTRINLQDNLLTGGIPPEVGHLRRLVQLSLPWNNLTGAIPNSLSTCSSLQIIDLGSNSLDGEMPQGLTNCLNVTEIYLDNNMLHGSIPDGFGVLPKLSVLYLVNNRLHGSIPDGLGMLPNLLTLAVSSNNLTGNIPYSLGSSSSLTNVVLSNNSLTGGVPPILANSSSLRKLDLEGNHLTGEISPALLNSTTLETINLARNQFWGPVPPFSRFSPLETLYLSHNNLSGSIPTSIGNASFLRSLLLASNNLQSSIPWSLSRIPQLEELDLTYNNLSGTVPVSLYNISTLTYLGMGVNHLVGDIPETFGNTLRNIQKFIAQGNKFQGRIPTSIANATNLELILLGDNAFHGIVPPFGYFPNLTTLDMGKNQLEAGDWTFLPSFANCTKLEILKLDENDLQGNLPNSIGALPKSLQLLMLRANKISGPIPHEIGDLINLTDLRLEQNLLSANLPDSLGNLQNLIVLDLSRNRLSGQLPLSIGNLSQLNELHLGENNLDGPIPGALARCQKLDALNLSCNSFDGSIPKELFTLSTLSEVLDLSYNKLSGPIPTEIGRLINLSPLNISNNQLTGEIPSALGECLHLESLHLEGNLLDGRIPPSLAALRGVSDLDLSRNKLSGQVPEFFEAFSPMSLLNLSFNNLEGPIPTGGIFQNASKVFVQGNKELCAVSSPLNLPRCQTDSLEHMHTSNILKIVGLSAVSLVLLSFFGVIFLKKRNKVKQEAHPSVKGLKKLTYDELVKATNGFSLANLVGSGKYGSVYKGRIESEEHAVAIKVFKLEQLGATKSFLAECEALRNTRHRNLVRVITVCSTIDRAGHEFKALVLEYMINGNLESWLHPAVHEYHPKRPLSLGSRIIIVVDIAAALDYLHNHCMPPMAHCDLKPSNVLLDDVMGACVADFGLAKFLHGHTSSGIHNSTSIVGPRGSVGYIAPEYGFGSRISTEGDVYSYGIIILEILTGKRPTDEMFKDGLSLSNFVEQSFPEKIGEILDPAITPSYGDEEARNTLHQENHPMVGTMSCIIELIKLGLLCAAEAPKDRPAIQDVYSEVTAVKEVFSALQG >SECCE4Rv1G0261100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700280312:700280752:1 gene:SECCE4Rv1G0261100 transcript:SECCE4Rv1G0261100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTARRSTPELVAPARPTPRETKILSDVDDCYDLRFYSIGVEFLRCRPNGRPRTSPAKAVKAALAEALVYYYPIAGRLREVPGNKLVVDCTGEGAVFVEASADVALEEFGHPPLRPPYPCVEELFCDAGDTKVVVGKPLFFIQV >SECCE7Rv1G0474620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:174499344:174504181:-1 gene:SECCE7Rv1G0474620 transcript:SECCE7Rv1G0474620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETAMAGYGPDKPARCSVSFDTPCGSLLRELEQIWTEIGEREQDKDRMFQELEAECMRVYRRKVDSANADRSQLHQSVMAKEAELKALVASIGENTTQFKVNEKHASLKEQLAAVTPLLDDLRAMKEERIKQFSNVQSQIETINAQISDHNYQHDDGSSKRLNHDHDLSTRRLADLQMQLRNLQKEKSDRLQKVFVYVDEVHCLCAVLGMDFAKTVKDVHPSLHGTNSDNSTNISDSTLEGLTQTILKLKAEKRTRVSKLQEIVGKLHKLWNLMESTEQERRHFSEVAAVLGSSEEEITSPSVLSLETIQETEEEVERLTKQKASRMKELVLKRRVELENICRNAHMEPDTSTAPEKIVALIDSGLVDPCELLSNIEAQIAKANEESHTRRDIMERVDKWLSACDEETWLEEYNQDDNRYSAGRGAHLNLKRAEKARLLVQKIPTMIDNLIDKTFAWEDESNTPFLYDGVRLVAILEEQKLRRVQKEEDKKRYRDQKKLQNILLKEKELIFGSKSVPRKTSSFNRRTSGHHPNGNGAGFMTPMPRRVSAGSATPELLTPRSYSGRYNNYFKENRRMTAAPLNFSTASKDDSMSSFASVSGSEPDSPLVLH >SECCE4Rv1G0261850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:704482906:704483316:-1 gene:SECCE4Rv1G0261850 transcript:SECCE4Rv1G0261850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE5Rv1G0371790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:849843018:849844435:1 gene:SECCE5Rv1G0371790 transcript:SECCE5Rv1G0371790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSDETSEDSVMNISSSCDGIIKLPATMHDPNFNGTDADVNVLCEHGEPAERFVAFEGMHTGMRFLGCAKKEGIIYGVVQWIDFEWPDSMEKALAKLWDMYEEIKSARTNDNLESSFAIHNLTEEKKKLQENYDSLYADVNALLDAQQQRGLELNNQKEEKQCLDVKIAELENVVGNLKAELSKKDEEKKKIQEDYDSLYADVNALLDAQQQNGVELNNQKEQKKYVDEKIAELETVVGNLKAELSKKEDEKKKLLQKYDTLVNLTAAQANVIRNLKFNHLKEKERLTEERHKLQHHISELQKAEEKIKQKLQGVKDILDE >SECCE4Rv1G0291330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876355943:876357463:-1 gene:SECCE4Rv1G0291330 transcript:SECCE4Rv1G0291330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGGAHGDAASKFTLPVDSEHKAKSFRLFSFTNPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLAMGAICDLLGPRYGCAFLVMLSAPTVFCMAVIDDASGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIQKCGATPFVAWRIAYFVPGMMHIVMGLLVLTMGQDLPDGNLASLQKKGDMAKDKFSKVLWGAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFHLDLRAAGTIAACFGMANIVARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCIWLGRASALPASVTAMVLFSICAQAACGAVFGVAPFVSRRSLGIISGLTGAGGNVGAGLTQLLFFTSSQYSTGRGLEYMGIMIMACTLPITLVHFPQWGSMFFPASADATEEEYYASEWSEEEKSKGLHIAGQKFAENSCSERGRRNVIIATSATPPNNTPQHV >SECCE2Rv1G0131710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:886540721:886541251:-1 gene:SECCE2Rv1G0131710 transcript:SECCE2Rv1G0131710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEDEKKITLKSLEGEEFEVDEAVAMESQTIRHMVEDECADNAIPLPNVNSKTLSKVIEYCKKHVQASPKPADSGAAVDASSSTSAAAAAPAPTEDMKSWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEKIRKTFNITNDFTPEEEAEIRKENQWAFE >SECCE2Rv1G0118610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:784046353:784051139:-1 gene:SECCE2Rv1G0118610 transcript:SECCE2Rv1G0118610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAGCSSVHPAPCRQAGHRALLPLPAAPQFVARFRSYRRLRLTPASAAVHASRIPPTEARCSRALSVSRHRPPPLHRIRSGTPCHAYVEKMFVAETANLLNMHQKEREARIRKQLHKVELPPSPYDTAWVAMVPLRGSPHTPCFPQCVEWILQNQHENGSWDINDFGSSANKNVLLSTLACVLALEKWNVGQEHIRRGLHFIGRNFSLLMDEEITAPTGFSMIFPGMLSLAIGAGLQFPVRQTDIDEILHQWEMELKRQAGEKSYGREAYMAYVAEGLGNLLDWNEVMKFQRKNGSLFNSPSTTAAALVHNYDDKALEYLNMLVSKFGGAVPTVYPLNMHCKLSMVDSLEKIGISRHFSSEIEEILDMAYSFWLQSDEEIMMDVATCAMAFRLLRMNGYDVSSDELSHLAGASNFHNSLQGYLNDAKSVLELYKASKVSVSEHELVLDNIGNWSGNLLSEKLCSEGVQGLPIFGEMEYALKFPFYTTLERLDHKRNIEQFDARGSHILKTEYLPYGINQDLLALAIEDFTFSQSIYQDELLHLDRWVKENRLDQLQFARQKLTYCYLSAAATIFPPELSDARISWAKNGVLTTVVDDFFDVGGSKEELENLMALVEKWDEHHEDDFYSEQVKIVFCALYTTVNQLGSIASAVQNRDVKNHLIEIWLHLLRSMMTEAEWQRSQYVPTMEEYMTNGVISFALGPIVLPALYCVGEKLFGSAVKNQEYSELFRLMSTCGRLLNDSQGFEREGSEGKLNSVSLLVLHSGGSLSVEAAKNAIQKSIVASRRDLLRLVLKEGTAVPRACKELFWKMCKILHLFYFRTDGFSSPKEMASAVNAVINEPLKLPS >SECCE2Rv1G0075560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:84779814:84780875:-1 gene:SECCE2Rv1G0075560 transcript:SECCE2Rv1G0075560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRAMGVVLSAAKSGAGGRAGSSSRFLSGPSDSRRLAGKVAVITGAASGIGRVTAAEFIRNGAKVVLADVQDDLGRAAAQELGGPDTACYTRCDVTDEAQVAAAVDLAVARHGRLDVMFNNAGITGGNYAGAPIESLDMADFDRVMAVNLRGVAAGIKHAARAMAPRGQGCILCTSSTAGALAGSGPHAYSVSKTAVVGMVRSAAAELAARGVRVNAISPYAIATPMGARAVREMLGLPPGGAGGEEEEEVVRRVFEEDFNEMGGGVVLRAEDVARAAVFLASDDARYITGHNLMVDGGFSVAKPLNVPAS >SECCE5Rv1G0312700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:242600758:242601684:1 gene:SECCE5Rv1G0312700 transcript:SECCE5Rv1G0312700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSGCAEVDTSRAFRSVKEAVAAFGERILVTEAQFRPAAHANHRVVRERSSWRDAVAIASSKEKLEGSVRPCDLIRESHSTNPNAIAVAIAKHEGNISEPARIVMPVSNAQPMCLVTLSPRSQASSSRSLARGHGYDKQDRKEANLMIMSSIKKVEEEAAKTRLEAVQVERRLADLELAMANLNAKLHRALSRLAYMEADKAAAARASIQQRDINTSALTVWAEAKPERHPLRHLLRLDDADEEVKHGQGREMTTTTTKRKTQKQKPIMPLVVPLISEVLFSKKKRMNDKESLYLNELYSLLRLS >SECCE5Rv1G0366600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:814534185:814537928:1 gene:SECCE5Rv1G0366600 transcript:SECCE5Rv1G0366600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEAPTCYVGVARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHLRVKQKQDTLGVGVDNPQNKWAYDTTQFDDILKKLKVQSTTPAKEVEDVSSSPDSTPKKDKPAKDEVAKVTRPQGRYKKRERGKSVRGYSAVDLEGILVRKKENDCEVDQEVQPSCMEEPDITIGPVAVSQAEDVNWWGHKFGYVSGGFLGAKSRKNKSSSRKDNFNVRQMFGEDDQENLYNLVQDKATSGKQGLGIKDLPMKVGGQRWKGNKTSLGDSDDENSSQSELSEVEEDEDEEGSASDAEVNEVQVKHVKEVCVDAKPKTKFKKLCKKILRQAPSQSMKLKELKEAVEEQSTILSDFSCRREALSFLKRKLQGSKKFNLEGKRVHLVS >SECCE5Rv1G0373730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861090339:861091761:-1 gene:SECCE5Rv1G0373730 transcript:SECCE5Rv1G0373730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPVPSVQALVAATGGDGVPPRYLRPDVAAEAVTGDGDGEAQIPIIDHRRLLLELDHGGEESARLHRACQDWGFFQLVNHSVPEDVVESMKVDIQQFFQLPAETKKRFAQEQGQLEGYGQLFVVSEDQKLDWADMLFLYAQPPERRKTKFWPDEPATFRSTLDRYSGALKDVSDSLLATMAKNLGLKREAIADKCIRGMQSFRMNYYPPCAQAEKVIGLSPHSDANLLTLVLQVNHVQGLQIKRNGSWLPVKPVPGAFIVNIGDMLEVFTNGRYRSIEHRAVVDPKEERLSVAAFHFPDMDTMIGPLKELTAHEDDAYKTLDLESFMKIFFATKLEGKSFLDQMKLN >SECCE4Rv1G0260530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:696488381:696489039:1 gene:SECCE4Rv1G0260530 transcript:SECCE4Rv1G0260530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELGLAPPNRAGSCGKRGSAEAFGIKKPTLPLFLRDEDDGDGDHGNGEDGTGDARDWEMGNERKMLVGWPPVKSAHRPRSNGHVKVRMEGMPIGRKVDLSRHVSYHELHHTLRLMFPSSTSHHGDPYAVTYEVGDGDWMLVGDVPWEEFSKSAKRLKILM >SECCE1Rv1G0018010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:172974107:172980519:1 gene:SECCE1Rv1G0018010 transcript:SECCE1Rv1G0018010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGDDYKREESVALIVIVSLAALSLLSLIAAFAYYCYITRKVSRRLNSHPLPKRSSSPPPLPLPAPVIPPQGKESPSSNSASDGAAAGALVVGAERGVQVFGYRQLHAATGGFGRAHMVGQGSFGAVYRGLLPDGRKVAVKLMDRPGKQGEEEFEMEVELLSRLRSSYLLGLIGHCSEGGHRLLVYEFMANGCLQEHLYPNGGSCGGISKLDWPTRMRIALEAAKGLEYLHERVTPPVIHRDFKSSNILLDKDFHARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVQILDPSLEGQYSLKDAVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKNRSSSKPCNSNAQVSRPLD >SECCE1Rv1G0000250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1002745:1005854:-1 gene:SECCE1Rv1G0000250 transcript:SECCE1Rv1G0000250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWLSLSFLALLTLLVIWLLKLSVGKSKPAKKQLPPGPWTLPIIGSLHHVAGALPHRALMQLSRRHGQLMHLMLGEVPAVVVSSPEAAALVMRTNDLALAGRPRGVTLDIFSSGGRGIAFAPYGDHLRQMRKVCVMELLSSMQVKRMEGIRAEEVGSLLRDIMAAASTGADHTINVSEKVMALSNGVVTRAVFGGKFSQQGEYLCELGKAIKVLGGFCLVDLFPSSRLVRWLSNGERQMKKSCGRMQRIISEIIDERKATRAAGVGASSTEDEDLLDVLLRLQKEDSLEFPLTTETICAVLFDMFAGATETTSTTLAWAMSELGRSPEIMAKAQQEVWEVLGEGQSVITNNDLVKLHYMQMVIKETLRLHPPISLIPRSARDDCTVMGYDILKGTNIYINAFAISQDPKNWDNPREFRPERFENINLNYNGTNFEFIPFGAGRRQCPGIQFASSVVEMALTNFLYHFNWRLPDGSSLVSFDMSEKFGLAISRRYDLQLRAIPHVWSKTSK >SECCE2Rv1G0089980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:265030277:265034041:-1 gene:SECCE2Rv1G0089980 transcript:SECCE2Rv1G0089980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin-2 complex subunit H2 [Source:Projected from Arabidopsis thaliana (AT3G16730) UniProtKB/Swiss-Prot;Acc:Q9LUR0] MEDGSGGAGGEGSTSGGRFPILQANRDPESNWEVDVAKSLEEYLLKICSGEISAEDGAHSFNFAEAALLLQGSVQVYSRKVEYLYSLVLHALEFLSQNKQDQQEKGSSEANESGPSTTANKEDDMFMGLDDVPAETRTTLDNNLDLDDLRRKIVRPPANLLVFEGDCVDSEASELDSYLLATCGFYGDFLLLDPCDASAVFEFLQGKKSCKEDILAPRRTPGKARNNVFTSPNVRSGGTARRRTPGKTRDENIDPTQDSEQSHEMIADQSQEDGWPHDPVDHNSPIIMPPPDDEDPGCPDLGDDSDDEDPYKSLDPHEPSNLKIKPYKRVKAFSRQVIGAPKKKTLASIFPVAKMDGVVSPELTKYFEVQVSQQEKPDVSQSVPLYEKLRESFETGEANCHTSGDLKDDKQTNNFDDIDGPDTPNDPYVDMDIDDDMPSYSVEIDDDLGPVTQDSMDGHKSLDDLCRSHLDALLASIAEAEQQTELDARVSTWKERIELALEEQDRNPPFDIGLYGEQILDTLSSRTDTGIASFSEIVSSKPKYEVARTFSALLQLVNGRSVDLDKGQATNDLICYTAANPFHVKLIGPNRRPEMEARFARKRVKSPQRGCGEEGEPSRAQPKSSTKQPPKNGKVSVKSAVRLTPEGKRRRKSAQLLQPFDLESS >SECCE7Rv1G0471340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:129536484:129538034:1 gene:SECCE7Rv1G0471340 transcript:SECCE7Rv1G0471340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPARRVFQYISSPRRPPPSPLPAAPAAGEAMASEADADSVYHIVTAAPTPSAMESALSASAVPLSAPLLDLVLRRFRFAHGDPLRALSLLSLAADRCGVAPSPFALDTALYVLGRARRFSHMWDLVQSIHRLCPDAITARTAMVVLGRVAKICSVRETVASFRRLLRLFRGREGTESADLFNALLRTLCQEKSMSDARNVYHAHKHEFQVNRHTFNILLSGWKSSEDADAFFAEMREHGIDPDLVTYNSLIDCHCKNRGVEKAYKLLDEMRGHEIAPDVITYTSLIGGLGLIGQPDKARDLLKEMRELGCHPDVAAYNATIRNFVIAKRLGDAFALMDEMASRGLMPNATTYNLFFRFYYWAYDIGSAWLLYERMRSERCFPNTQSCMFIIRLCHRHGKVAEALELWGDMVENGFGSFTLVSDVLFDLLCDEGKLEEAERCFHQITDLGQKPSSVSFRRIKILMQLANREESIAGLTEKMARFGRLPPEDCQRVRHPAESTPNEDDTDVIVAT >SECCE5Rv1G0322850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:425668941:425671540:-1 gene:SECCE5Rv1G0322850 transcript:SECCE5Rv1G0322850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGLIEFLSLGEMAEAKAAPLLAREDGGTAAAEGSGRGGGATWAQTLGNVVVSIVGTGVLGLPYAFRAAGWLAGTLGVAAAGCATLYCMLLLVECRDKLEEEETEEPCDVLYTYGDLGEKCFGTIGRCLTEILIFLSQAGGSVAYLIFIAQNLHSMLTQFMSPDGFIFSVLLPMQIALSFVRSLSSLSPFSLFADACNVLAMAIVIKEDIRLFDHPFADRSAFNGLWAVPFSFGVALFCFEGFSMTLALEASMAERKKFKCVLSQALVCIMFVYACFGVCGYLAYGEATKDIVTLNLPNSWSSSAVKIGLCIALALTFPVMMHPIHEIVEARIRSSQCFQKFSQNVGGPNWLASHASRIMVVTILAVVASCVPAFGAFIAFVGSTVCALLAFVLPTAFHLSIVGSSMSLWRRLLDYGFLLFGLVFAVYGTFTAL >SECCE3Rv1G0155300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:64959979:64962635:1 gene:SECCE3Rv1G0155300 transcript:SECCE3Rv1G0155300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAHAPDEFNKWWETFNNGGAAEDEPMVDSDSDFEQAEQGAEEEDAGVVAGERDRKVDPDAHAPAGREVSSGAARDYDVAEEEISEDGHFQLESVLRGMKSEAAAGRNAPQVSAAETQNEEGQEEYVSEDNYVGDAFQGKKRKATMTAGKLQTVGSGILNKNAHVAEEISEDSHFQHEDGLQGRNREAAGKLNTPWASGDEIQNEEDQEGYVSEDRIGGGQQGWKRKTTVAPSKQQMTGIEIRKKNAYGECLRAENQMLRLQLVRKTKELEAEQIRRLELELYFMKKENEFLKKQLEELTAENEYYKKTAKPQKTRRLCRFCKEYVFDHDYRNCPERRASACSEEDDGNY >SECCE6Rv1G0399370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:438140052:438141320:1 gene:SECCE6Rv1G0399370 transcript:SECCE6Rv1G0399370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGMELKGCVCRIKNCAGQLLSMEEDLVTDLDDDSWDLVWRDLRLKATFLYIDLSRVISRSENDERRKALTLLANKFFYCTDELGDAVTSRSVPVVKMCYNDTAQALRELLAALAPPQ >SECCE5Rv1G0323090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:429880791:429884258:1 gene:SECCE5Rv1G0323090 transcript:SECCE5Rv1G0323090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLRSPAPPSAGVLCRRRKSMRVRASWQELAGVLVFSAVPFAAVKAIANSPLGASLRRRLESRKASAAAEADALRAAAREARSSSFWYGGARPRWLGPLRYDYPEHLAGEFPGDYGFDIAGLGRDPVAFANYFNFEILHCRWAMLAALGVVVPELLDLFGIVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGIIVIAICQVLLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLGLSKDPVAFEELKVKEIKNGRLAMVAWLGFYAQAAVTGKGPVQNLVEHLSDPLRNNILSPFL >SECCE5Rv1G0363090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:790851038:790854588:-1 gene:SECCE5Rv1G0363090 transcript:SECCE5Rv1G0363090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 16, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G12600) UniProtKB/Swiss-Prot;Acc:Q9LHK1] MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRADETSGDEQKKVVEVLMINSQSGPGLLFPKGGWENDETVEQAAAREAIEEAGVRGDIVQFLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELASWPEQSTRRRTWLTVPEATTQCRYQWMQEALLTGFSEWHDNWSKGGGAGDTI >SECCE6Rv1G0431630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:747031260:747031777:1 gene:SECCE6Rv1G0431630 transcript:SECCE6Rv1G0431630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQLPTVLLAVCATFLALAAPLLAGDPDMLQDFCVADYKSLNGPLRLNGFPCKRPENVTADDFFSSALALPGNTGNPVGSAVTAANVEKLPGLNTQSLALALFAASPQVPTDVLARALQIDGGLVEAIKSKFPPM >SECCE4Rv1G0244280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:513381326:513383448:1 gene:SECCE4Rv1G0244280 transcript:SECCE4Rv1G0244280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAFGTLEGVVGEITRLHRSLPARPTLDDVEAAEALARAADREERARLDAVEALRRSPLVPEELFYVAQEMHRALAGFQCREQKRDATRILELDALHALFDDLIQRASQCVPSTSTGATPRITSTAAAGAASSSSASSAGPLPAAGRSSLVTNGFSADRTVGTGMGRFSMDDSYVKKAKAPMWDGGIVAATPRKLGGTAAANSAAVRLDDSYGDDKEKMSLIKLASMIEVAAKKGTRELNFKGKLMAQIEWIPDSIGKLTGLVTLDISENRLVALPPTIGKLSSLTKLDLHANRIAQLPDSVGDLRSLICLDLRGNQLTSLPSSIGRLANLEELDVGANHIVSLPDSIGSLTRLKKLLVETNDLDELPYTIGHCVSLVELQAGYNHLKALPEAVGKLESLEILSVRYNNIRSLPTTMASLAKLKEVDASFNELESIPENFCFVTSLVKLYVGNNFADMQSLPRSIGNLEMLEELDISNNQIRVLPDSFGMLQHLRVLRAEENPLQVPPREIALKGAQAAVQYMAEYAAKKTTKSQPVKAKKNWAQFCFFSRPNKRKHDRIDTDMID >SECCE2Rv1G0080970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:134624407:134625903:1 gene:SECCE2Rv1G0080970 transcript:SECCE2Rv1G0080970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISSSILCAEFWHLPVAMPSSMYAATQGRASNGIGNGDGRPHVLVVPYPAQGHMLPLLDLAALLAARGLALTVAVTAGNVRLLAPFLAACPSVATVVLPFPSSPFLPARCGENTKDLPAHLFRPFMASLAALSAPLLSWCKSQPRGVTAIISDLFTGWTLPLAEELGVPHVAFSCANVHYLATTHSLWRRMPTRRRLDDADETITFSEVPGSPSFPWRNLTWMFRMHVPGDEVSEMIRRIFLWNTESSCFVANSFAALEEAYVEHPLPDLMAKRVFAVGALSDAVRNCDERGGKPAVAPAKVAAWLDGFDDGAVVYVCFGSQQALSPAQAACVAGALALSSVAFVWAVRSGTVVPDGFEAAATAASRGMVIRGWAPQVEILRHRAVGWFVTHCGWNSVLEATAAGVAMLTWPMGADQFINASVLAEAGVAVPVAEGADTVPDAGKMASVMAAAVAKEGESVRKRAVELGRKAAAAVAEGGSSYNDLEELVRVLSDVD >SECCE5Rv1G0317120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:337168460:337168693:-1 gene:SECCE5Rv1G0317120 transcript:SECCE5Rv1G0317120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE2Rv1G0132090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888343586:888343890:-1 gene:SECCE2Rv1G0132090 transcript:SECCE2Rv1G0132090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAAQLKDRFFGLVDRVAGCGRAGVRAEAPKSAPAPAVQEHVEIRPRGPGVSGGSEAGVN >SECCE3Rv1G0183920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:656975002:656980344:-1 gene:SECCE3Rv1G0183920 transcript:SECCE3Rv1G0183920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRATAPRRVGSAAAAQAAAGEPYNILPIHDLLAEHPSLRFPEVRAAAAALRAVGGLRPPPYSQWRADQDLMDWLGAFFGFQRDNVRNQREHLVLLLANAQMRLSSADFSDTLEPRIARSLRRKLLRNYTSWCGFLGRRPNVYVPDADPRADLLFAGLHLLVWGEAANLRFLPECICYIYHHMALELHRILEGYIDTTTGQPANPAVHGENAFLARVVTPIYGVIRSEVESSRNGTAPHAAWRNYDDINEYFWRRDVFDRLGWPMEQSRQFFRTPPDRNCVRKTGFVEVRSFWNIYRSFDRLWVMLVLYLQAAAIVAWDGETWPWQNLMGSRHREAQVRLLTVFITWAALRFLQSLLDIGTQLRRAFRDGRMLAVRMVLKAIVAAAWVVAFAVLYKGIWSQRDSDRGWSRGTDSRIMKFLYAAAAFLIPEVLAIVLFIIPWVRNALEKTNWKICYALTWWFQSRSFVGRGLREGTFDNVKYSIFWVLLLAVKFAFSYFLQIRPLVKPTKEIYRLSKVTYAWHEFFGQSNRFAVFILWLPVVLIYLMDIQIWYAIFSSMAGAFVGLFAHLGEIRDMKQLRLRFQFFASAMSFNIMPEEQHVNERTFLPNRLRNFWQRLQLRYGFSRSFRKIESNQVEARRFALIWNEIITKFREEDIVSDLEVELLELPPELWNVRVIRWPCFLLCNELSLALGQAKEVHGPDRRLWRKICKNDYRRCAVIEVYDSTKYMLLEIIKERTEEHGIVTQLFREFDESMNLDKFTVEYKMSVLPNVHAKLVALLSLLLKPNKDVTKIVNALQTLYDVVIRDFQAEKRSMEQLRNEGLAQSRPTSLLFVDTVVLPDEENATFYKQVRRMHTILTSRDSMVNVPKNLEARRRIAFFSNSLFMNIPRATQVEKMMAFSVLTPYYNEEVLYNKDQLYKENEDGISILYYLKQIYPDEWEFFVERMKREGMSDMKELYSEKQRLRDLRHWVSYRGQTLSRTVRGMMYYYDALKMLTFLDSASEHDLRTGSRELATMGSSRFGSSRREGSAGGSGYYSRASSSHTLSRATSGVSSLFKGSEYGTVLMKYTYVVACQIYGQQKAKNDPHAYEILELMKNYEALRVAYVDEKHSTGAEPEYFSVLVKYDQQLQKEVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNRHYGIRKPKILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLGRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFYYTTIGFYFNTMMVVLTVYAFVWGRFYLALSGLEEYITKNTSTTNNAALGAVLNQQFVIQLGLFTALPMIIENSLEHGFLNAVWDFLKMQLQFASVFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFLKAIELGVILVVYASYSSSAGNTFVYILLTLSSWFLVSSWILAPFIFNPSGLDWLKNFNDFEDFLSWIWFQGGISVKSDQSWEKWWEEETDHLRTSGLWGSILEIIIDLRYFFFQYAIVYRLHIANESRSILVYLLSWTCILLAFVALVSVAYFRDRYAAKKHIRYRLVQAIIVGATVTGIVLLIEFTSFQFIDAFTSLLAFLPTGWGIISIALVFKPYLRRSETVWKTIVTVARLYDILFGVIVMTPVAVLSWLPGLQEMQTRILFNEAFSRGLHISQMFTGKKGHGV >SECCEUnv1G0530060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:10495805:10499957:-1 gene:SECCEUnv1G0530060 transcript:SECCEUnv1G0530060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISALLTSAGINIGLCVLFLSLYSVLRKQPANVRVYFGRRISEEHSRLREAFILERFVPSTGWIVKALRYTEEEVLAAAGLDAVAFNRMLVFSIRIFSLAALLCVFGILPLHYYGQNIQHLRIPYEDLDIFTIGNVEKRSRWLWVHCLVLYIISGVACILLYLEYRHIARLRLLHLKRATPNPGQFTVLVRGIPKTTKESCSSAVDDFFTKYHASSYLFHQVVYKVGKVQKIMTGAKKACRKLKHFTDTTVDQSCKAITYRCCLCGASSNSFHLLPTDEVVPSRGKADLDNSSLNMDNEECAAAFVFFKTRYGALVASDILQTSNPTKWVTDLAPEPNDVYWSNIWLPYKQLWIRRIVTLLGSIVFMLLFLAPVTFINGLSQLDQLQKRLPFLNGILKQPHHLVQLITGYLPSVILQIFLYTVAPIMMLFSTLEGPISHSERKRSACCKVLYFLIWNVFFVNVVSGTVLKQLDFFSSPKDIPVQLAKVIPGQASFFITYVLTSGWASLSSELMQLFGLIYNFIRKYVLRMKEDTEFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQLLNVYRTRYDTGGLYWPIIHNTVIFSLVLTQIICLGVFGLKVSPVAAGFTIPLIILTLLFNQYCRTRLLPLFSTFPAQNLIDMDREDELSGRMEHIHHGLHTAYCQFPDSEDIQLEEIRTVGNDEDGGGCSSGKSSDKGSPDELNGKGSSDGSNGKETLKEQQQPRRDLSHPTLKGLPVSRLQNAVRCVTFLIRLQKRGLS >SECCE1Rv1G0011530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:78759934:78761517:-1 gene:SECCE1Rv1G0011530 transcript:SECCE1Rv1G0011530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDLSSRRRRLPLRRTRHEDRLNALSDDLILQILGRLDTRSALGAAALCRRLAHLPRELPFLDLKVSDALPPRYRRWLDLLNEAVTSDALRGCSRRLRPIVGRYERRAMRAMVSSVRSLRARRHRRACSRLSLEFFAFSTSATINRLVVDAVDSWGVEDLEVVAKSTEPITHLRPVYTFPRGRISRKPGESRLRSLKLVNCLPPPLEGFTALTTLLLRDLPCSTPAAVYEGVVAACPQLRVLHLISCSFDNDTARWVVFDAPMSEIRELVADGQLMTVKLRSLPKLERLTAVDGSVLLCSDANVPCLADVSLVFSIGPLDHSIVNHLIAMFMLFLKDAAISLRNLILRFTGPETWILPNLKKNQFSLMPNLKKLLVADVPSSWDVSWPRILIQAAPLLESLHVHVSQSQCQHDEEPDRQNISSYLQMQQPSSSCQRHGHLKELVVIGFQSTSRIHHQLIYLVRFAVDTSTALRRVAVFKHGHVEDKGGPWDWEMVSKQSTWSNEEKLAVLDCCSSSTHQIEVVLG >SECCE2Rv1G0139560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:927954642:927954950:1 gene:SECCE2Rv1G0139560 transcript:SECCE2Rv1G0139560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPNRFMSAEENFEFVRTADCYLNVSIAYRILLTVPMTVASAERSFSKLKLLKNYLRSTMSQERLNGLAMCCIEKNMLDSIDLDTLIDDFASKNARKSRFS >SECCE2Rv1G0082700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:155287241:155288862:-1 gene:SECCE2Rv1G0082700 transcript:SECCE2Rv1G0082700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGLFGTAAVAVVVSLAVHVALNCPIQPVPSPPPPSAARYPPNNILQGLEKLGEGQLSAPEDVYVDAAAGGTLYTATRDGWLQRMRPNETWEQWRFVGGTGLLGIAPSADGSMLVCDADKGLLRVEEGRVTILASTVEGSTIRFADEAIEASDGTVYFSDASTRFGFDRWFLAYVESHPTGRLLKYDPRTGKASVALDNLAFANGVALSQDEAFVIVCETGGFRCTRLWLKGEKAGQAETFVDDLPGSPDNIQLAPDGSFWIALIQRSPWLDLVMRWTFTKRVVASFPALLDAIHAAGKGAMVAQVSEDGEVLRVLDDTQGKVINFITSLTEYDGHLFFGSLATNFVGKLSLAKVAAQAQGQAAVSS >SECCE5Rv1G0338610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:599229434:599232552:-1 gene:SECCE5Rv1G0338610 transcript:SECCE5Rv1G0338610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSVIAASRMLARRRAAAAIMPVASTDDCAPDNDANGSSSKKKRRSTTKWRRSAPILGDDDQCAPGGECFAKRYRLGAELGRGEFGVTRRCEDAATGEALACKTIRRKRLRRAADAEDVRREVEILRRMSALEGAGGAVVRLREACEDAEGVHLVMELCEGGELFDRIFARGHYTERAAAKIGRTIAQVVKLCHDNGVMHRDLKPENFLFAGKAEDSPLKAIDFGLSVYFEPGERFTEVVGSGIYMAPEVLMRSYGPEADVWSAGVILYILLCGVPPFWGDTDERIAESIIRGEINFEREPWPKVSQTAKDLVKMMLDPDPATRLTANQVFEHPWIKNADKAPNVSLGELVRSRLKQFSSMNKFKKKALGVVAKNLPAEQIENYTQMFQMMDKDKDGTLTLEELKEGLQINGHAVPETEIQMLLEAGDIDGNGTLDSEEFVTVLLHIKKMSNEEYLPEAFKYFDKDGNGYIEMEELMEALGDDELAPDEQVIKDIIRDVDTDEDGRISYQEFEVMMRSGSDWRNASRRYSRANFSNLSHKLTSMKQDQSKQQLTH >SECCE3Rv1G0173040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:316275149:316276735:-1 gene:SECCE3Rv1G0173040 transcript:SECCE3Rv1G0173040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFFNSVFSAAPAGEHEEEEGEGEGGEGEQAAERDGGGDSGGGWSFGGLMKTLAEEIEGQREEQDEGGQGGKAAVEEVQGEEGGGSGDGWSFAGLIKTLAEDLPQRDEQEAAEDEEYEQEAEAPEEEVGEEGVSGGGWSFGGLMKTFTSRSESVLEGYRRDLQDLGSGLRVETTALRAAAARAAAALPGALEAGASVASDRLESVGQAVDDLGAAAAGLLSHANEALRSVDADGEDATGDGSSQLSESASGASWRSSLPSKKYTRFEAHVLALRADPTTFTEEPEDAEGFSRWQEAFSVEERNDEIEGVLRESPGLESFVERLVPSVVAYDMFWCRYFFKVDKLRQAEDVRTKLVSRAMSKEDEEELSWEVDDDDDDNQGDHTQGTVTIPDKKEEQIVESVSHEALVEGKQEVAVNEAEDKEMVLVPPKDDNGESSGKASTPKSSNGTGQEEKTEAGDSCKESDLSLVSRPSVQEEDLSWEEIEDVGDQDEKKAGSPRSSPTGKVDDIRKRLNSVEDDEDLSWDVDE >SECCE7Rv1G0481370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:283340584:283343056:1 gene:SECCE7Rv1G0481370 transcript:SECCE7Rv1G0481370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 6 [Source:Projected from Arabidopsis thaliana (AT3G62390) UniProtKB/Swiss-Prot;Acc:Q9LZQ1] MERQRSGSAPSRLASPKSLLLISFASSSLLFSFLFSLFALRFGRPLHLPFAASIGANGSATAGPPVRGSDGGPGAEVEAEAFIGGRGGALGEDSAVEGDRRGRAGGLPVGSAMKADEAGPGGGIFETPAGGAVSERPGVAEAGGYSPRGLDSTVEAKGAVQEGEDGEKLAKDSVSEKPNSAEGENLSKADVVSTRSNLVNASASGGAAASVEKLDRAKSAQAVDFSMEVSGPALGTKGDGGHTGEKGDSSVPGAYASQQVGQQKISNRSAAKNNSGEAPVHPNTEQDANVIQEAVQRKMDLARSSIAQCDVYDGRWVFDESYPLYASNSCPFVDQGFSCEANGRREQKYTKWRWQPRNCNIPRFDARKMLEMLRGKRLVFAGDSLNRNQWESMMCLLREAVSDPARIHETRGRKITKERGDYNFKFLDYNCTVEYHVTHFLVHEGKSRIGQKRAKTLRIDTIDRSSSKWKGANVLVFNTAHWWSHHKTKAGLNYYQEGDTVHPHLDASTAFHRALTTWASWVDRYIDPRKTQVFFRSSSPSHFSGGEWNSGGHCRESTMPLIDTRARPVPERNVILEQVAKKMKTPVTILNITNLSGLRIDGHPSVYGSKAGDLTTSSTQDCSHWCLPGVPDTWNELLLYHLVSSHKNGFAS >SECCE3Rv1G0185870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679014829:679015590:-1 gene:SECCE3Rv1G0185870 transcript:SECCE3Rv1G0185870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQADVIRDAVGLDDPLVALTLGSIYAAAPTPPPKPRRSSPPTPPPSQPASATRRRLNDSAPGQRRRPSNEPPSDDDAAADAPPAPFPWATERPARHDTLDSLLRRGITSVEGEARCRRCNRTATLAYELAPKFREVREFVVANRHSFDDRAPDAWMNPVLPDCAACGEKRCVWPVIAADKGEINWLFLLLGQMLGCCTLEQLKYFCKNTGRHRTGAKNRVLYYAFLEMCRQLEPRGPFDDTVADGNGFLHS >SECCE2Rv1G0129460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:868498594:868502392:1 gene:SECCE2Rv1G0129460 transcript:SECCE2Rv1G0129460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIAGCVEALLRGSLEAGGGGAGEATSLAAVLQQAQAQLGVDLSHKAPYIRDQMDLFFGPRLQPPPPPPKAQSHNPTPLPASAPPPAPAMTQAQPQLPLEVQQQMLQMQQQQQQQFAAIQPQFIFQTMPPLPPVASGGGAAGTNNNAAAVSAPPPPVPAMAFYPPPPLAFRYANALGGVATGGTLSFQQPAPGVGAITSPTAAPQAVGDNKESASKRKRGGPGGLNKVCAISPELQTVVGETAMSRTQIVKQLWAYIRQNNLQDPDDKRKIICNDELRIVFGTDSTDMFKMNKLLAKHITPLDPKDQPSEAKKIKAANAAPQQMPTINQNQPYVVVSDALAKFLGVEGTVPQDDALKYLWDYIKANQLEDPVSASILCDSSLQELFGCESIPASGLSDLLAHHFIQRT >SECCE7Rv1G0482230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:302691792:302693656:-1 gene:SECCE7Rv1G0482230 transcript:SECCE7Rv1G0482230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASTRLPPLLLLLAATSAAVANAQLSENYYGSSCPVALLTIRTTVATEVLLDRRMGASLLRLYFHDCFVQGCDASVLLDDAPGFTGEKGAGPNAGSLRGFEVIDRIKLLLELICPRTVSCADILAVAARDAVVDLGGPSWTVLLGRRDATTASASLANSDLPGPNSNLNDLLAAFSKKGLSSTDMVALSGGHTIGRGQCQNYRNRIYADTDIDGTFAASLRGDCPQGGGNDGNLAPLDAPSPDYFDNSYFSGLLSHRGLLHSDQALYDGGSTDGLVRSYASNNYQFGSDFAAAMVKLGNLGVLTGAYGEIRVNCRTVN >SECCE7Rv1G0474390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:171624920:171627764:1 gene:SECCE7Rv1G0474390 transcript:SECCE7Rv1G0474390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRIVLRDLDSIDSPASMATSKKVVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDAADKFRVESGTQPDIDLATITDRMEVKKAVQSGNVQEAIEKINDLNPTILDTNPELYFHLQQQKLIELIRVGKINEALEFAQEELAPRGEENQTFLEEIEKTVALLVFEDVKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWTQNQLNEKAAYPRINNLSTAALEDPAI >SECCE4Rv1G0231810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:189126358:189126997:1 gene:SECCE4Rv1G0231810 transcript:SECCE4Rv1G0231810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPELARARDVLSVSADEHARVDALSSAASASLSSATTHLSPSFFEGFALRGIRVLRLRPGFIHCSYTVPPSLTDSTTGCLAAGAVVALVDEIGSAASISEGQNLKVSVDMSVAFPDLSQARPGDRLSITARALGHKGAYSGTHVLFANAATGNVVAEGRHSLFGNMKKSPPKPAATTHRSNL >SECCE1Rv1G0040670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:564890440:564895972:1 gene:SECCE1Rv1G0040670 transcript:SECCE1Rv1G0040670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASLARAAARSARSARPRQGFSLGGLRAPPSSPLPPAHGGDAGALGLVRGYLTASLGSPAAVKTSEWRYLLASPQFRRLFCSGSKKNYENYYPKGKKEAPKGDGSNKDSKQESDTDGQWNFQDGTFKQLQNFLGPLLLLGLMFSSLSSSSSDQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYVRTTPQTNGQSQNTDTQITTVDVPSRQAPSKYKYFFNIGSVESFEEKLEEAQENLGIDSHDYVPVTYVAEVNWFQEVMRFAPTAFLVGLLYFMGKRMQSGFNIGGGPGKGSRGIFNIGKATVTKMDKNSKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFMSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLTKLKLDNDPTYFSQRLAALTPGFAGADIANVCNEAALIAARTDETQITMQHFESAIDRIIGGLEKKNKVISKLERRTVAYHEAGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQREDGFEMNKPYSNQTASIIDTEVREWVAKAYKRTVELLTEKKEQVALIAELLLEKEVLHQDDLTRVLGDRPFKAAELTNYDLFKQGFQDEEGKTAEPAKNAEVPEDGPAAALPDVVVPT >SECCE2Rv1G0110100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:687229294:687236709:1 gene:SECCE2Rv1G0110100 transcript:SECCE2Rv1G0110100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MEPGLSIESGSAIRVAVLPVGGPIPPQCLRDYAALVAAHARVDLASLRPYYSEHQKSPFSHQPWDTGCLRLKFVLGGCVPSPWEDFQSSRKVLAVVGICHLPSSPDLARVAADFLDAARTYPSALASRCFAFCPTDAQLLEERKDGIIMFPPSDQKSLELHMLTMIQDLAASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPADANAHYTTAIDLARLTGDVFWHAGALEGSVCALVVDRMGQSDPVLEDEVKYRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRREVAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGSLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTMTTNAYHVQSRKTSKPDHASLKELGASNSNADSGKAHPQSVVSLFESQWSTLQMVVLREILMSSIRAADPLTSWSAAARLLRSFYPLITPAGQSGLASSLSNSADRLPWGTRCADPCLPFIRLHSLPFHPSQRDIVKRNPHKKEWWIGAGPTGPFIYTPFTKGGTSGASKQEINWIVGEPVQVMIELANPCSFDLVVESIYLSVHSGNFDAFPVTVNLPPNTSKLVLLSGIPTQVGQVSIPGCIVHSFGVITEHLFKEVDSLLLGAAQGLVLSDPFRCCGSSKFKSVNFPSISIVPPLPLLVANVVGGDGSILLYEGEIRDVLITLTNAGTVPVEEANIALAGKNQDSVISIAHSTWKSALPIKPGGEVTFKVTLRAWHLSLTDLEADGSRSPTNPRRIAREGINPFLNIHYAGPSADKGNGEVSLPPGRRLAVPLNICVVQGMRLVRARLLSMEIPARFSEAHLRPVSGKDNISDESNMLHNDISLLKIDPYKGSWGLRLLELELFNPTDVVFDVDVSVHLDGTIVPEDNTADVACHKTRIDRDYSARVLIPLEHFKLPVLDASFFVKENGSDEHLGSKAATIAEKNAKAELNASISNLISKIKVRWHSGRNSSGELNIKDAIQAALQASILDILLPDPLTFSFRLAKDAKPANDSNHSTVENVGPSACENVLRCKDPISAHKMTHMEVQIRNNTKEIIQMNLSISCKDVAGANCFEENSATVLWAGVLNDIQLEVPPLQEVTHPFSVYFLVPGDYSLQSSSVIIDATDVLRARAKAESPDEPILCRGSPFHIHVVGTE >SECCE4Rv1G0276310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797678505:797685928:1 gene:SECCE4Rv1G0276310 transcript:SECCE4Rv1G0276310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEKARLVDIMSLLLLRRRSYTLVNSGDQDTVLDNTPGDLLVALTQLIQKALAAAYYPAKWFGAVVEFLLNFVAANGGLFGIIGSIMRCNLVIPLDREAPHFRTAIALVDGRTELKPSPQAAASNMRQLWAKNGECTQGGTFEVTVMAAKIAYENAAYIENIVANVWGFNFVGYYSCWNKFLEVSATQAFVMTDRGKDASVVMVAFRGTEVFSTQDWSTDMNLSWLRLSGMGHVHAGFLKALGLQEEDGEDAAHAFPRDAPAVVPAGKVVAYYELRRVLREQLAEHPRARVVVTGHSLGGALAVLFPAVLALHGEWDIIGRLGAVHTYGQPRIGDDAFIDFFQAKVAAAYLRVVYRYDIVPRVPFHAPPVAGYSHGGTCVYYDGWYDGRLLGREEVPNPNYIDPRHAPAMYRGALGDLLRAMFLWVKAQGEYREGAVSLLYRAVIGMLVPGIASHSPRDYVNAVRLGRIRTAAG >SECCE4Rv1G0265890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727802356:727803835:-1 gene:SECCE4Rv1G0265890 transcript:SECCE4Rv1G0265890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKPAFRWIHQVMEQMGTDIACFRLGGVHVIPITCPRIAREVLRKQDEIFASRPMTFASCVASGGYVEAALAPFGAQSTKMRRVLTSHIVSPSRHKWFHNKRAEEASNISWYLYNLTGEEGSNVDVRHLARHYCGNVIRRLLFGRRYFGEAGNDGGPGKLEMEHMDASFAAQGILYSFCVSDYLSSLLGLDLDGHEKIVKEANATLDRLHDAIIDERQRQWNAGERKEVDDLLDVLITLREPTLSMEELKAQAKLINLAAVDNPSNAAEWALAEMVKNPVLLARATEELDRVVGRERQVQESDIAELNYVKACIREAFRLHPIAAFNVPHVALADTFVAGYRVPKGSHILLSRMGLGRNPATWEQPLHFNPDRHMGGGGNLELTENDLRFISFSTGRRGCVAPMLGTAMSVMLFGRLLHGFTWTKPVEVRDIQLTESKHNLSMAKPLVLHAKPRLPLHLYRL >SECCE7Rv1G0513110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:818341262:818343763:-1 gene:SECCE7Rv1G0513110 transcript:SECCE7Rv1G0513110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPRWLTFPSKRKFTMSLMIMAIFVVLALSFSPTRAAAATVSARRPLRGNDTLVSTQGKFELGLFSPAGSSGDRFYLGVWYKNIPGQTVVWVGNRATPLSGVASAVLRVSADDGNIELVGSTSSSASPVVVWSSNLRSSSLSSSPGSNTAEIRDDGNLVLVDGGGNSSNVLWQSFDHPTDTHVPGAWIGENKLTGEYQTLTSWRNAQDPAPGMFTDMIDPDGTSEFFYMWNRSRAYWRSGVWTGEVFERLPDATGSITSYEETPAYRRLTNVITDNTTITRLVLDVTGQAKLYIWVPARQSWQLFWTAPTMQCEVYALCGAFGVCDLRSQLPCRCPPGFAPVSEEDWTLSDWSAGCRRSSRLTCAHSGSTTDVFLDLPDMKLPDESLAVAVAQSKVECESTCRRNCSCQAYAFSAGGGGCTVWHGEIRNLEQLYADSGVSGSNLHLRLSEAGLQVLHGTNRKKHGRTLWLVLAIPLAGVAALGASTILAWRILLARRRRLASMENEKGSCLAVYSYGDLRAATKNFSERLGGGSFGSVYRGVLKRHKGDNAIHVQVAVKKLESLGGRQGDKQFRAEVSTLGLIQHVNLVRLLGFCSSGHEKMLVYEYMPRGSLDSYLFGSGASPSWHERYNIMVGVARGLAYLHHGCHECIIHCDIKPENILLDEDISPRIADFGMAKLVRRDFSHALTTMRGTIGYLAPEWISGQPISAKADVYSFGMVLFEIISGRRNTEGYSEVEAAGSGSSDSWTFFPVWAVGKVVQGEVGAVADPRLRGNVRPEEVERACWVACWCIQDKEAQRPTMAQVVQALEGAVQVHAPPVPRALQHLVTLT >SECCE3Rv1G0187590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:702384458:702395968:-1 gene:SECCE3Rv1G0187590 transcript:SECCE3Rv1G0187590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLGDDDSCLLTPLIPATPPPLPPRATARLHPLPLLVGALFATYYHLFVAPAPSYYQSLFLTLGSNDTAAAHLRALTVRPHLAGTEANALAAEHVVSTLSSLSFPTRVTPYEVLLSYPVRRSLSLSAPGRDATAFALVQDTYPGDPYAAASAEVVPTFLAYAASGSAAAEVVYANYGRTEDYAYLASRGVNVTGKVALARYGKVYRGDIVKNARDAGAAAAVIFTDAKDYTPGKAFPDGPWMPASGVQVGSTFKGVGDPTTPMWASSEGCERVSVAGAMATDDMPGISALPVSGRDGEEILRLVGGDVAPEDWQGGEGAPVYRLGPGPAVLNLSYTGNETIATIQNVISVIEGKEEPDRYVILGNHRDAWTFGAADPNSGTAALLELAQRLSKLQNKGWRPRRTIILCNWDAEEYGLIGSTEWVEENRAMLTSRTVAYLNVDVGVSGSGVDASATPQLDELLKQASKKVQNPDNGTESLYDLWMASDSALIGRLGGGGSDYSAFVQHIGIPSVDMAIGSGYAVYHSLYDDFTWMEKYGDPMFRRHVAVASIWGLVALRLSDEEILPFNYSSYVTELENGAVDINKRVLGMPVSLSPLHKSIKQLNRAVLKVDSELQALQSWKFWSPWRNDPLRVRDLNDRLMMTERAFTEREGLSGRPWYKHMIYGPSLYNDYGAEVYPGVDDAIQTAKKANTSESWQSVQHEIHRIARVISQSALVLSGGLT >SECCE2Rv1G0089320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:255895811:255898710:-1 gene:SECCE2Rv1G0089320 transcript:SECCE2Rv1G0089320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGLLGVLLLLLFLKPRAIAAAYSEYSCNGTTGNYTARDAFGANLARLTAELPASASTSPSLYASAAIGASPDKVFALALCRGDIRDARTCSGCLDNAFQQLRALCAGERDATFYHDLCTLRYSGEDFVARPDDNNPVINAMDVNGSTYAAWDSRNATSRSFFLSLVGTLFGEMSMYGAYNSSVRRFASAIMYINPQLPTVYGLAQCTPDLSPGQCWHCFQGLQEQTRQWYDGREGGRILGVRCNIRYEGYQFYEGMADVRIGTHGDSSSPTESNGSNHRKTLIIVLCVSITVFCSMLVGCLLLIRRLRKGAGNTKLEQAHKRNNSKTEEALKLWKIEESSSEFTLYDFPELAAATDNFSEENKLGQGGFGPVYKGKCSDGAEVAVKRLAAQSGQGLVEFKNEIQLIAKLQHTNLVKLVGCCVQEEEKMLVYEYLPNRSLDFFIFDQERGPLLDWKKRRHIVEGIAQGLLYLHKHSRVRIIHRDMKASNILLDKDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGLFSVKSDVFSFGVLLLEIVSGKRNSSGHGQHYGEFVNLLGYAWQLWRDGRAFELVDPTLGHCSEVADIMRCVKVALLCVQDNAMDRPTMTDVTAMLGNDGVPLPDPRRPPHFHLRVTSDDEEDGAGGSGARTRSTHFTGSCSTNDVTMSTIEEGR >SECCE5Rv1G0310430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:169205487:169205801:-1 gene:SECCE5Rv1G0310430 transcript:SECCE5Rv1G0310430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTRLSTEKAVVIFTPSNDCPMSYTVTTLFSGLGVCAAVHELDKDPRGRDMERDLARRLGRTPAVPAVFIGGKLVGSTDRVMSLHLGGKLVPMLKAAGAIWL >SECCE7Rv1G0482520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:306823870:306824783:1 gene:SECCE7Rv1G0482520 transcript:SECCE7Rv1G0482520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEVTAASGSSVRAGIIVWTNSMTKTMLGFLAGLVADGKRTSSGFRDVHHRQCAAVLNEQFKLSVTGEQVKNHLKKWRKIWTRIANLKNLSGALWDEDTCTIRLSEEHYAGHCMTHKADAPFLNTPIEHYHAMASIYGTMGAKGQNARSGNDLLSTDLEEEENGEVNTSPNVGESSDPKAPPKKKAKVKHVVDDPLVITLKDGFKLVAEALVKSSGDDDDIPDDLWDVISTLPDFDEEHLAHYYAHLVDNPKTARAFMKLTQTNKSVWVSRYVKKNF >SECCE4Rv1G0296480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903279538:903279968:1 gene:SECCE4Rv1G0296480 transcript:SECCE4Rv1G0296480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRSAIACFMVMLVLLGSSMTAENCEIKRDRMTLCIKSECLYHCQHRWYYKITQSWCDGVVFGFCNCKICIVV >SECCE4Rv1G0272740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:771237208:771238930:1 gene:SECCE4Rv1G0272740 transcript:SECCE4Rv1G0272740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAQYPSSPNSPDSYPSPGSYPSPGSPDGYEEEVVPPRNVTCKDTDGKRPGCTSTCPDRCPQQCIVLCPDCKTFCHDEVKPAKPVPPPAMFVFGDGALDVGNNRYLPKVETEEGYPPQVSQSSSGRFSNGANLADTIATSIGFQESPPPYGHGFNMWGTNYASAGSGIAISTNGERSISLPKQLEHFKATRSQMEAKLGGDAKLRELLSKSFFLIGTGGQDLDPRWNVDLGYPRSQTMLQELITLYGEAISSLYDMGARKMAIVNVGLIGCKPQPYNYNYVCDQKLNENATAFDAALKPLMASLSSKKPGLSYSIGDFYGFTTAVFTNPANYGLVNTKDSCSQWGYPDWTYCYNPDRYWFWDPEFMTDRAAKLTAAAFYYGPPQLTFPITFKTLLEKN >SECCE6Rv1G0427630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720334785:720336059:1 gene:SECCE6Rv1G0427630 transcript:SECCE6Rv1G0427630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPKDLILVAVSLFALACKVAGDGGGRPLVTAVTKDATTSLYTIPVKSGRPLVLDLSGPIVWSTCDDGASHNTLECNDINCMRAHRFHPPGCPHTGYGMPDVNNPYRCKCTAHPHNPVSGDTASGDMTRVGLSANATDGINPLGPVSFTAVTSCAPDSLLQGLPAGAVGVAGLARSSLAFPAQVAGTQGVANSFALCLPINPGNGVAIFGGGPLFVLGQPISEMLGGSTPLRKHGDSPGYYIRASKGITVDGVRILPGDHAPLTIGLSTTIPYTELRSDVYRPFIDAFDQAMERWGAAALRVPSPAEAPFELCYNNSKLSQTRFGFFVPRITLMLDGGTSWQVFGMNSIARVNRATACLAFVEMKAGYGYGSGGAAPAVVIGGFQMQNMLLVFDEEKQTLGFTTGQHLITGLSCGHFNFTMPA >SECCE7Rv1G0472990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:152345563:152352679:1 gene:SECCE7Rv1G0472990 transcript:SECCE7Rv1G0472990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPALHRIQRRRIPAACSCLLLLLALLISPAAAAKSSGRPITDKEIRENKAACYTDVENGLWGFACRSSTTEKENCVLRCLSPECYNLIYGGDPLEEGELDYVRSHEYKYCMHKVSLGESLDGVKGSFSYS >SECCE6Rv1G0406300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:543100296:543105682:1 gene:SECCE6Rv1G0406300 transcript:SECCE6Rv1G0406300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASASGSLEIVPHSGDVEVPPPADVPRQDSLFRDATRPAHGGHHGQDDWVRTLRLGFQCVGILYADLGTSPLYVFSNTFKYGVGHEDDVLGVLSLIIYSFLLFAMVKIIFIALYANDDGDGGTFALYSLISRYARVALIPNQQAEDDLVSSHRHLSATRRRAQWMKNLLETSKPAKLTLFFLTIFATALAISDCMLTPPISVLSAVNGLRLRAPHLTTDQVVWITVGILILFFAVQHLGTDKIGYTFAPVVVVWLLLIAGIGVYNLIKYDIGTLKAFNPKYIFDYFRRNKKKGWVSLGEILLCFTGTEALFADLGYFSIKSIQLSFSFGLLPSVLLTYIGQAAYLRKHLDMQISNAFFNSIPSTLFWPTFVLALLASVIGSQAMVSCAFATMSHLQTLNCFPRVKILHTSRRYSGQLYIPEVNFFLCVASCIVTISFRTTGFIAKAHEICVALVMVITTLLMTIVMLLVWKVNIWWIAAFFVVFMSTETVYLSAVLYKFTQGPYFPLAMSAVLMVIMVVWHYVHVKRYKYELQHTVSPDEVRHLLERHDLKRVPGLGLFYTELVQGIPPIFPHLIEKIPTVHSVIVFISVKHLPVPHVDVQERFLFRQVEPKESMVFRCVARYGYRDTLEMAGDFVATLVEYLQYYVRDLSLYCTAEPLRTSYPSIRIDSFRWEKKPSGHGHGIHAEEMLTPIQSFSELTMHQVGMSNRLPQFQATKMNLEEMLRIEEDQKVIQREVDNGVVYILGETEVVAKPHSNLLKKIAVNYIFDFLRKNSRKGEKMLSIPRGQLLKVGITYEI >SECCE7Rv1G0510990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:799536384:799545532:1 gene:SECCE7Rv1G0510990 transcript:SECCE7Rv1G0510990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAAELRETHRLTGHADRAWSLAWNPNPGPGAGPVLASCGGDKTVRIWKRAPDGVWDCSDVLDGVHERTVRSCAWSPDGRLLATASFDGTTAIWEYSGGDFECVATLEGHDNEVKSVSWSQSGSLLATCSRDKAVWIWEVQPGNEHECVAVLQGHTQDVKMVQWHPVLSVLVSVSYDNTIRVWADDGDDDWHCVQTLTEADNGGHSSTVWSVSFNQKGDRMVTCSDDCTLKIWDTSADLSQPTTGEGHESWRHLSTLSGHHGRTIFSAHWSSEDVIASGAGDDAICLFAEEKSTTVEGPLYRLILKKGKAHDMDVNCVRWCPQDPRLLASASDDGTVKLWELRGNLLD >SECCEUnv1G0533860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:33701348:33703376:1 gene:SECCEUnv1G0533860 transcript:SECCEUnv1G0533860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLAAAVPWSYLLYGLAGSALLWQARRLLDRLWWRPRRLERTLRAQGVGGTPYRFLMGDLKDFGRLNDEAWAKPLPLRCHDIVPRVIPFLHNNVRDNGKPCFSWFGPVANVAITDPELIKDVLSNKFGHFEKPQFPALTKLLANGLTTHEGEKWVKHRRILNPAFHLEKLKLMLPAFSASCEELVTRWKELHGSDGSCEVDVWPELQNLTGDVISRTAFGSSYLEGRRIFQLQSEQAKLFVGAVQRIIIPGYLFLPTKNNLKMRKNNKEVESILQGLINKRMRAMEEGEKSENDLLGLLLESNMKDVDENGQPSQGMAMEDVIEECKLFYLAGMETTSVLLTWTMIVLSMHPEWQDLAREEVLGLFRKNKPEYEGLSRLKTVTMILHEVLRLYPSATVFSRRTYKDMDIGGVRYPSGVFIEVPVLFINHDPDIWGSDVNEFKPNRFAQGISKASKHPGAFLSFGWGPRVCIGQNFAMLEAKMALSMILQHFEFELAPSYMHAPHTVMTLQPMHGAQIKMRAI >SECCE5Rv1G0328500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:508264576:508266781:-1 gene:SECCE5Rv1G0328500 transcript:SECCE5Rv1G0328500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AUXIN RESPONSE 4 [Source:Projected from Arabidopsis thaliana (AT1G54990) UniProtKB/Swiss-Prot;Acc:Q9FZ33] MTDDPAAAGPGREVPPPPPPARPPRPLSVVSALPFWFYLTAAVSLLALLLPHLLSPHASPPLPPLLRRHLSDGRLLKLHPGPDLFAFTSRPAAHSAAHHHPVLVLPGLAAGSFSFRRLLSSLSSHGLVAAAVDLPGQGLSPPPAAPPARTNPLREIMDRGIFHAFEHLVETGEVPFQETAPEPSHSFYAASEAAAAVARAVDALGLAPVHLVLHDSALAAGAAFVSANPAAVQSVTLIDATATLPAFPAAVLGVPVLGRLVLRVPALFKGLMRLSCARGMDAEEADAHRAAMRGQGKRDAVFEAWKAMNQSFDLTEWRSSSEEVKRLPMMVLWSGSWSDMWIDEGKKVTKALPDAKFIYHYGGRWPQVDASEEISKLIADFVTMLPTTATEHRSQNMDESSDEPADAQSNHPAL >SECCE1Rv1G0018530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:198659991:198665460:-1 gene:SECCE1Rv1G0018530 transcript:SECCE1Rv1G0018530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNGAEIKAPPPVHRRPPRPRGGGFTPEGFVAALRRRLSSGAAVAARASFAADSGDESAPPEPSSSHRRRDSSGDTSSAGGSGDFTAFSFRAAAPVHRKAKESPLSSDAIFKQSHAGLFNLCIVVLVAVNGRLIIENLMKYGLLIRAGFWFNSTSLRDWPLLMCCLSLPAFPLGAFSVEQLAFRNVITDAVATCLHIILTTAEIVYPVLVILMCDSAVVSGFLLMFIACIVWLKLVSFAHTNHDIRQLTISGKKIDNAPSTADMDNLQAPTLGSLVYFMMAPTLCYQPSYPRTENVRKGWLIRQIILYLIFTGIQGFIIEQYINPIVVNSQHPLKGGLLNAVETVLRLSLPNVYLWLCMFYCFFHLWLNILAEILRFGDREFYKDWWNAKTIDEYWRKWNMPVHKWIVRHIYFPCMRSGISKEVAVFVSFFVSAVLHELVVAVPCRILKFWAFLGIMLQIPLITLTSYLKSKFRDTMAGNMIFWFFFCIYGQPMCVLLYYHDVMNRIGKTE >SECCE7Rv1G0523030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879921364:879926993:-1 gene:SECCE7Rv1G0523030 transcript:SECCE7Rv1G0523030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDARPSRYRRRAGLPVLSLLLALALLAGGASGQQAPPVPPELRGVEAQLTGLTNDVARTISDRFSFCVSDTQEDWNGAFNYTSDLGFVQRCLADTRGDMPQRLCTPAEAKFYFTSLYNPKGEKNLFLGTNINCGINTWSPGCEPGWACSVGPIPVANDNETIPLRATNCQACCEGFFCPRGLTCMLPCPLGSYCPRAKGNSTTGLCDPYKYQITPNSTNGCGGADKWADFGSTEEIFCPAGYHCPSTTSKLSCSSGHYCKLGSTTEEKCVIKGSCKENEENENIIILGACLVGIIGVSLLVVYNCSGQFLTIRERRKARSRENAIQLARQQLRAHQGWKAAKQIARKHVTGVQDHLSRTFSRRRSFRQQPDLENSSHRVQEARLMGSVKDHEMSDSAVFSAQNTSEISEVMPSVVMDISNDGEVVANKDKPVPKGKHRSTQTQIFKYAYGEIELEKARQEENKNMSFTGVIAKVKELQKEMTRPLLKVEFKNLTLSLGKRKLLRSVTGELQPGRVTAVMGPSGAGKTTFLNAVAGKVTGYQVTGSVLVNGAQGSIRSYKKIIGFVPQDDVVHGNLTVEENLWFSANCRLPARMSHRDRVLIVERVIESLDLQGVRNSVVGTVEKRGISGGQRKRVNVGMEMVMEPSLLILDEPTSGLDSSSSQLLLKALRHEALEGVNICAVVHQPSYTLYNMFDDLILLAKGGLIVYNGPIKTIEDYFSTLGIHVPDRVNPPDHYIDILEGIVKPESGIKAKHLPVHWMLYNGYEVPSDMKDDLKAMGEQSPDIDVNRSMSGSTPHCLPAGVSNAFAEERNRLEHRLSKPSDLSSRKTPGILKQYKFFLGRVTKQRLREGRLLGVDFLILGLAGICLGTIAKLSDPTFGMPGYIYTIIAVSLLCKIAALRSFSLERLQYLRERESGMSALAYFLARDTIDHFNTALKPIIYLSMFYYFNNPRSSIGSNYVILLALVYCVTGIGYTFAICFNPGSAQLCSALIPVVLTLLSTQRTTPTFLKNVSYPKWALEGFIIANAQRYPGVWLITRCGLLFRSGFNIHNYQLCILVLFIYGLFFRIVAFVAMILLKKR >SECCE1Rv1G0051700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:656526627:656527643:1 gene:SECCE1Rv1G0051700 transcript:SECCE1Rv1G0051700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLESDMPSNFIYPSPAVAKMMRRWNYREGSGLGAHGQGIIAPIEFTVRCPKAGIGHCEKPYDNGLYVPLLPPVEEEWNKWSGLSRALRLELECYEKILTLLRDMTLHGDDSVKMTDALTAMVKSKKVIKGNRKLGMWKATLPSSTLRYIIEQVITPRMTADAREWTPSWDPDCHHWLRPLIPLIGHLPESLYDIVEGKISNGGYDVVSPWKEYLGPTQWDTFSQRHILPKLAQFTRGLRITPPKQTDASFRTLMLWAPLVHVDDMVLILEAELFFDKWEGALQHWLQAAKPSFGEATAWCTGWKNLYTPELLANERVLAHLEAGVHIVDSLVDYTS >SECCE7Rv1G0516600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:846708981:846709904:1 gene:SECCE7Rv1G0516600 transcript:SECCE7Rv1G0516600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTTSCFTFLKEALVLPTRNPKLFAPILILLAAIAFLNPAVNVVSIQPLTAEMLRHVAEMKTADPSSAEYARLLEEIRQEARQLVLISVALTVVTLALGFAKQIVAFSAASTTYSGERYSLAELLRRVTKWGNLRGPLVTLAVVAALQLTFMALLGVYFAKVMRHAEVLSVEGALFALAFLAFLYLAVVAAVGVAVSVADEGCRGVRALLRAWRLMTRVSRKEGALLAAVMVLLPTVLSPMYVLALAFAKKSMAVGLCLLFGYVLLSAAVELFYVAAATVYYYEAMKSKEAFDAYAKIPSTEANV >SECCE7Rv1G0513910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:827520462:827524288:-1 gene:SECCE7Rv1G0513910 transcript:SECCE7Rv1G0513910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGQPRRPKQPEDDAAAAAKAAKLRELQVQVLHNHHTCTYTEEALGLSFKLLEINPEAYTAWNYRKLALQHNLKELSDPEAIKSTVDNELRVVELALRQNPKSYGAWYHRKWLLNQKLAPVDFKREYGLLDKLLKVDARNFHGWNYRRFLAKFMGVPEEKELQYTMDKISENFSNYSAWHNRSILLSNLLVQRSEGFESKQKIFSEEFELVIQALFTDPGDQSGWFYHLWLLAQTSTPDNPQLISSWPSNGAKLSLFPTRKNDDQNTVSSPSSICCYSLKEGIIPIVLYFNDPVKGLNPSNVKLNSDFVFDKDVQWRPLVITDSGYSNCWATYLKITNKDCSTPQQFSVEVSIPCSDDIMSRSGSHCNCPVHFTFTIELGNNNDEAHDIDLFHDPISWSSSESFQSHGKPSCVTFDQLNITSALVQEQSRWNLDRLSEEIDLFRDLPDENSKFAKLTLARLLLACAAIKSRGNSLIERKGYCEEALGFFSDLIHLDPSHKRYYEDERSLVLMDQLTCDMETFMKYCSVQAKSNSAPLNHVQLCRLSLTRIGFTERMLWVQTLDLSHNKLRSIEGLEALQQLVCLNISNNQISSFTSLEPLTKIVSLKALDLSFNEIGAHPIDTTRYMCSSPFSHKVERCEAFEECRKKNVNVEEFWDAILFFKLLNLVQLDIKGNVVANKDSFATVVTTLSPALKWLDGTCIH >SECCE4Rv1G0241290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448333608:448335824:1 gene:SECCE4Rv1G0241290 transcript:SECCE4Rv1G0241290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTYQYAWVITLLPLPVIMSMGFGLFLIPTATKNLRRIWDFPSVLLLSIAMVFSVQLSIQQIKGSSIYQYLWSWTVNNDFSLEFGYLIDPLTSIMLIQITTVGILVLIYSDGYMSHDEGYLRFFVCISFFNTSMLGLVTSSNLIQIFFGNSWESSACQKAFVTNRVGDFGLLLGILGFFWITGSLEFRDLFKIANNWIPNNGINSLLTTLCALLLFLGAVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLLARLLHLFISLPFIMSFISLVGTITLFLGATLALAQRDIKRSLAYSTMSQLGYMMLALGIGSYQAALFHLITHAYSKALLFLGSGSIIHSMEPLVGYSPDKSQNMNYFLWGTLSLCRIPPLACFWSKDEILSNSWLYSPFFGIIASFTAGLTAFYMFRIYLLTFDGYLRVHFKNYSSSKESSLYSISLWGKRIPKGVNRDFVLSTTKSGVSFFSQNIPKIQGNTRNRIGSFTTSFGAKNTFAYPHETGNTMLFPLLILLLFTLFIGFIGISFDNGGIGNGIAELTILSKWLTPSINFTQESSNSFVNSYEFITNAISSVSLAIFGLFVPYIFYGSAYSFFQNLDLINSFYKGNPKTEFLDQIKKYTVGLTELTEFFDKGVVDAITNGVGLASFCIGEEIKYVGGGRISSYLFFFFMLCICVFIHFSFLS >SECCE4Rv1G0233030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:223831504:223831737:-1 gene:SECCE4Rv1G0233030 transcript:SECCE4Rv1G0233030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGQRTGP >SECCEUnv1G0536010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:61755804:61757216:1 gene:SECCEUnv1G0536010 transcript:SECCEUnv1G0536010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASCSLARVVSFAHFGLRILPKFLALSPDSLGKFRKVSPPMGTETLVTKVSLPMETETVLRKLPELPQDVLMEIFSLLEIPDLMRAASVSTSWRSAYTSLCNELELYKRPQTPCLLYTSESAGENVACLYSLAEKRVYNLTLPDPPIRSRYLIGSSHGWLVTADDKSELHLINPITGQQIALPPVITIDYVEPIFDDAGTIVKYGLPKQVYCADSGSKLVDPKILPHALDELRDHLYVRAFIFLDPSAGSYIVVLIHGPEGELSFARIGDCKWTLLPPGWDYKQCIYMHDLLYAFTGTGRIDDFDLSGPTVTRNIIIDEIDNYISCDMWYVVQTPCDGLLQVRRKIELIDAASEDLIAIKTRKILLYKVDMAAEELVEINGLQHHVLFLGRNQAQYLDAEEYPQLKANCVYFADDEEHNWKYKPNPRDIGVLNLDHGSREEIISPLWSSWPSPIWITPDLTVMNLSLYE >SECCE1Rv1G0008940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:50768573:50770162:1 gene:SECCE1Rv1G0008940 transcript:SECCE1Rv1G0008940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHAHATTCVTCPPPSQGRLITVLSIDGGGIRGLIPSTILACLEAKLQELDGPDARIADYFDVIAGTSTGALVASMLAAPGDNKRPLFEAKDINKFYLDNGPKIFPQKSRGFLTSVWNLYGAVTGPKYDGKFLHDKIKSLTNDVTVADTVTNIIVPTFDVKYLQPFIFNTYEARVDPLKNAHLSDICISTSAAPTYFPAHYFTTHDPDGKLPDREYHLIDGGVAANNPTMAAMSMITKEVMRRNPDFTHGKPAEYNNYLIISIGTGSAKMAEKYTAPDCAKWGVLRWLYDGGFTPLIDIFSHASADMVDIHAAVLFQALRIEKNYLRIQDDSLTGHTSSVDIATEENMKALIGIGKNLLKKNVSRVNIDTGMYESVDGEGTNEEALARFARKLSEERKLRQTNLNSK >SECCE2Rv1G0101280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:559143058:559143742:1 gene:SECCE2Rv1G0101280 transcript:SECCE2Rv1G0101280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDHLSDLCSMTDTKAALKLRKRRPLQTVNIKVKMDCEGCERRVKNAVKSIRGVTAVSVNPKMSKVTVTGFVEPSKVLAKVKSTGKVAEMWPYVPYSLTTYPYVGGAYDKKAPAGFVRGAPQAMANPGAPEVRYMNMFNDEDVNSCAIM >SECCE1Rv1G0050310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:645866921:645870026:1 gene:SECCE1Rv1G0050310 transcript:SECCE1Rv1G0050310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNPFDLLGDDEGDDPTQLLAKAAALAQKAEAKKAAAPAAAGKGGARTVANLPTKPPPPGQAGNDNRGGGPPSRGGYGRGERGSGRGGRGGYGQNREFGSEDTNGYRGGYGARTGGEEGAQDRERAPRQPYQGGGRRGGYREGEFGDDSERPPRRNYERHSGTGRGYEVKREGAGRGNWGTTSDEFVAQETEALKQDEKAPAPEKQGAPEDAPHADENKASKDGAAIVEEEKEEDNEMTLDEFEKVMEEKRKALVALKKSEERKVEIDKDLQAMQLLSTKKGNDEVFIKLGADKEALKKKENAEREERAKKSVSINEFLKPAEGERFYGGRGRGGRGRGDRGGFRGGFGGGGYNGPPPAPAIQDQNQFPTLGGK >SECCEUnv1G0529490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7808025:7809627:1 gene:SECCEUnv1G0529490 transcript:SECCEUnv1G0529490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHSNFVLSPNSALVESIEFNSQSLYLHKMHGGAESNQLIIIDPKDHGFGVTAANNWAIKDGPGKDANIVARAKGLHMNAIQWYNSFVIVFEAERFKGSTLLVMGVTHPEVNDWGIVGGTGEFSMARGVIKRRVHETINGQGEIQELTIHGFCAKQSFPTTTKIEPSWGGNRGSAQDITAKPRRLESLTIHYGFVIDSLAFSYIDQDGESRAAGPWGGSRGQIENIKLGPAEFVKEISGTVGEIDSRTVISSLKFVTNLKTYGPFGRGQGKNFNYSVPENKSVVSFFGRSDKYLDAIGIYMA >SECCE7Rv1G0457960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19555366:19555878:1 gene:SECCE7Rv1G0457960 transcript:SECCE7Rv1G0457960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASEVDPAVEPHVVVGESSSAGGSREEAPPEAAAVETEPADGEEEGECGLCLYMKAGGCKEAFVSWEECVQAAEKEGSNMVERCFQATTNLKKCMDAYADYYAPVLQAERTVSDQAEAAVAAATADTNKNSEESAPSPDTDWVVVEQAASSTAAEGVKKVEAIMDEA >SECCE6Rv1G0401760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:474012584:474018339:1 gene:SECCE6Rv1G0401760 transcript:SECCE6Rv1G0401760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPDRHSLSAGRGHHRDGLKGWPDLWLLPGKPPHSPTAAMAPPPQDLAPDQTLAFSDELLLRVLAYLPEPHLTASASLVCKRWMLLSGRLRRCLAVRDWAFVTHRLPYRFPNLAVLDLFPASIAAPAPPSRASPVLTCGAVSLTLDPGADPPLGSCRFLADDVLDRGLAVVAARFPNLRRLSATAASESAGLMDIAGGCATLQELELHRCTDLALRPVSAFAHLQILRIVAASSPLYGTSEDGGVTDIGLTILAHGCKRLVKLELVGCEGSYDGIAAVGRCCAMLEELTIAEHRMDGGWLAALAFCGNLKTLRLQGCARIDDDPGPAEHLGACLTLESLQLHRCQLRDRHALHALFLVCEGARELLVQNCWGLEDDMFALAGLCRRVKFLSVEGCSLLTTRCLESVVTSWNDLQSLQVVACNKIKDEEITGALSELFSNLKELKWRPDNKSLLAASLVGTGMGKKGRVFFKRILPGHQRVKGKVLSYPAGVAA >SECCE2Rv1G0134820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:903230207:903230548:-1 gene:SECCE2Rv1G0134820 transcript:SECCE2Rv1G0134820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEDFRACHDIASLAKMMVELERHAMFPTVYRLVELALLLPVATTTVERSFSSMKINKTELRSKMTDGWLNDLMVCYIEREIFKSIDLGKIKQDFQNEGRALPLPGSSRRN >SECCE1Rv1G0045960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:613822293:613823504:-1 gene:SECCE1Rv1G0045960 transcript:SECCE1Rv1G0045960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVGVGRATRSRMRGSNSMSVLLVPLLLLAAAAGVSSRATYTGSSSTTAGAGVTTSTATGAGGKKRSGTGKKQQQVPALVVFGDSIVDPGNNNGISTIVKANFAPYGHDFGADHRPTGRFCNGRIPTDFIASRLGIKQLLPAYLAPNLTRHDLLTGVSFASGGTGYDPLTAQLASVISMTDQLRMFDEYKAKVRAAGGDAALSEILCRGVFAVCAGSDDVANTYFTMHARSSYSHASYASLIVAHATAFLDGLLAAGARRVAVISMPPIGCVPSQRTLSGGMGRECSPGHNEIAELVNAGMGTAVDTLKAKHPGARVVLMDIYGYLLDMMVRPEGYGFKESTLGCCGTGMMEVAVLCNGVTSAVCGDVEEYLFWDSYHPTEKAYRILVDFVYDNYLKDLIA >SECCEUnv1G0539450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75782342:75785470:1 gene:SECCEUnv1G0539450 transcript:SECCEUnv1G0539450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCTVCLDIDECRASGSNNCTIWSFCHNTDGGYTCSCPHNWIGDGYKTGTSCTEAISPSGLNVCTHPEKNPCTYPAYCGDGQGVVSCDCPPGMSGNGWKSGSGCQEHFPIHTALGLLVGLGVGGSISLICLVPGIIFFMQTLKARQAKRLRAKYFKQNRGLLLQQLVDKDIAERMIFNLEELEKATNKFDEARKLGGGGHGTVYKGILSNQNVTQVPLLVYEFISNGTLSDYLHVEGVLSLSWVDRLRIALETASALAYLHSSALTSIIHRDVKSANILLDHRLIAKVSYFGASRGIPIDQTGVTTAIQGTFGYLDPEYYQTSRLTDKSDVYSFGVILVELLKRKKPSAVIHSEGGSLIAQFILVMSQDKLCEILDPQVILECMEGAKDVASLASTCLNLKGEERPTMRQVEMALERLLVANKNTGQGRSAEQNYTSAQISNNNDDNRRQYSAEQTLLLSASFPR >SECCE5Rv1G0308780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:137916448:137916762:1 gene:SECCE5Rv1G0308780 transcript:SECCE5Rv1G0308780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLASVTRPDISFVVSKLSRFMSNPGNDHWHALERVMRYLNGTMSNGIHYSGHPAVLEGYSDSNWISDVDELYATSGYAFLLGGGAMSWRSCKQTILTRSTMEA >SECCE4Rv1G0248620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:573206731:573210250:-1 gene:SECCE4Rv1G0248620 transcript:SECCE4Rv1G0248620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGAATESFPESSEPLLPTKRRWEGEDGDEAAFHEFNGASFAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVMIVLAAFLTDASVELLMRFSRVVGAPSYGAVMGDAFGWWGRRLLQVCVVVNNVGVMIVYMIIIGDVLSGTSSGGEHHYGVLEGWFGTHWWNGRFFVLLVTTLGVFTPLSCFKRVDSLSYTSAISVALAVVFVVITAGIAIVKLIRGQIPMPKLFPAVPDLASVWELFTAVPVLVTAYVCHYNVHPIHNELKESSQIKPIVHTSLALCSTVYITTSFFGYLLFGESTLADVLANFDSNLGIPYSSVLSDAVRVSYAIHLMLVFPMIFHALRLNLDGLLFSSARPLSSDNRRFGVMTAVLLLVIFISANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPHSIAKKWDKILAIFMIVLAVTSNVVAVYSDAYSIFHKKSASSNA >SECCE3Rv1G0195510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:798365460:798366803:1 gene:SECCE3Rv1G0195510 transcript:SECCE3Rv1G0195510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQVKRRFGRCPYCRAMIYQDPQAVIYYCSKCRTPIRGKNPEPTDDTEYSLAQLEILSFDTMSTYSDETDPPNGGDLEPSSRDNGVASSSSAYRPYSAIRTGPRSGDLGRYDEAEVRGSGSPLHARVSELRPASRRTRRPASADLDAPKDGGGEFDVPRTRSASSYGRRASPLNSQELEAAMGMASNGPAAGAASRSPLADPGFQQDLLHALENLRKLIVAVEEPLRVDAPRLAPGLPPKSAPGSNSAPQKVTRRDSRILRRLESQLAQALPATDSGRRLDKPTTSSSLSSWMSASASALAPVSAPASASSSRRGASARHLICRPVMGGTPFVLCDKCEEILLLPAGLSVDKCARLQCGGCDEMLEVTLPARGGGSATDRPRKIFSAPQPAGLDAEEQHTRATARSRLSGEQLRQGPDQGPLHHVLGYSSVSSVLRSRRYDDDDS >SECCE3Rv1G0157130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:79900941:79901961:1 gene:SECCE3Rv1G0157130 transcript:SECCE3Rv1G0157130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNLNAPVKQEIVVHNAGAQGRGGGGGAIGEASEHGTRRTEATPTVRIEANMLDCPICSSPFKPPVFQCKGGHLVCGRCVAKLPWKQCQRCDHGGDFHGCPFVDAFVSSARIKCDHHGCGRQVTYHKLDEHKSTCPLAPCKCPVPGCGFEGAPPALPLHLSAVHSMPVHAVQYGKVLQLEVPVSEPRRLLFAEEDGRAFLVVGGSLGLGVPIALSVVCIRAGAFPPPHYVAKVWANGPSVAANDRTDTVRADIQVTSSKEPGTVAVEELTFLTVPHKLLAGAGPSRTVSLHVRIDMIIF >SECCE6Rv1G0427650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720346696:720347982:-1 gene:SECCE6Rv1G0427650 transcript:SECCE6Rv1G0427650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPKDLLLIAVSLCVLACTVAGDGGGRPLVTAVTRDAATSLYTIPVKSGRPLVLDLSGPIVWSTCDGGASHDTLECNDIDCMRAHRFHPPNCPHTGYGMPDAGNPYRCKCTAHPHNPVSGDTASGDMTRVALSANATDGRNPLGPVFFTAVTSCAPDSLLAGLPAGAVGVAGLARSGLAFPAQVARTQRVANSFALCLGNRERDGVAIFGGGPLFAANGRSITDMLGGDTPLRKHGESAGYYVSASRGIFVDGAQVRLDTYAPLTIGFSTTTPYAQVRRDVYRPLIDAFNQAMERDGAITAGARLPSPAGSPFELCYNSSRLSLTRFGYFVPTVGFGLEGGTGWAVQGINSMALVIGRHMACFGFVEMKEGDKAGYGGGAAPAVVLGGLQMEENLVVFNEEKQTMAFTGQINGRGLFCSNFNFTVPA >SECCE7Rv1G0469450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:109111349:109115884:-1 gene:SECCE7Rv1G0469450 transcript:SECCE7Rv1G0469450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLYRAAQPELPRIPEQAKTTLEGLIADDPLRVSASSPEEDGAASNGAGEIGGGDDAAASSPSASSDSKSSAPAGKHSDVSQDEGWITIPNKELPGDWSEVSDMLQLRPLDRPFFFPGEQVHILACLSASKQDMTCISPFRIAAVMSKSGNSPRHPTNKSSPVSENGDANGTAGEDSSHGAEDNMESVELDDKVSSPSKQDIVETESLLRMEDRKQEIETMLQKFKRSNFFVRIAESDEPLWSKKRVSATKTADEQSYSDSQGNNTVSRSTAYNTISDKGFFDGSTSGGVARDTVRCYALQNGDIVVVLQVNVGVSNMVDPVLEVLQFEKCTSSNYMRENLVNGLPNGYEDPCQELLSWLLPLDRTLPPPRSLSPPTLNPSISHKQSYSAPGSQIFSLSHFRSYSMPSSSFALAQLPNIRSPPISENQEFVPEKPAKTPDVINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWSRKVEIIQPIEVHSFSAKCTAENLLCVLIKNIAPRHVQDIVVFIDAITVVFEEASKGGSPLSLPIASIEVGHGHSLPNLSLRRGEEHSFILKPAIMSSRDRRINSDVPLTLSLPKMSGAATNTSTPRVSELSAGLTDQYAVLISYRCNYTESKLFFKQATSWQPCAASDLMISVSSELSLRNPSPSARVPQLPVQVLTLEATNMTSENLTLTVLAPEASGSSSVVSLNSAPTTPDGSYDNLNQPMRRSGLGKHGTGFRRLNSVVAGSPKESDNGGNRTSTSAGCTHLWLQSAVPLGCVPARSSTTVKLELLPLTDGIITLDTLQITIKEKGLTYIPEHSLEIHASSAISAGRS >SECCE1Rv1G0058400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:699358754:699359199:-1 gene:SECCE1Rv1G0058400 transcript:SECCE1Rv1G0058400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSAWKARWLRPEAYPIFAATGVAVGICVMQLVRNITTNPEVRVTKENRAAGVLDNHDEGRRYARHPFRRFIDGKSAEIMPGINSFFTAPPKN >SECCE5Rv1G0344320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644747493:644750846:-1 gene:SECCE5Rv1G0344320 transcript:SECCE5Rv1G0344320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLRLSVAIVAVTLLAPRGAAGYPWPLCGQAGGFPADSAYKASLSLLAATMPKNASTSPDLFATAQAGAAPEKVWALALCRGDASASYCFSCLDQAFQDLISSCDYKDATIYFDSCVLTYSNIHFRAADDTKYSPTYSIRNLANATADPAGFQRLVAALVNATAGSAAFNSSTRLYASGQADFDKELPRVYSWAQCTPDMSPDRCWGCLVRLMRELPTFFTDGIGARVLGIRCSLRYETQPFFNGTVAVRLSATSARAPAPAAVPNVAAVGKGRKYTVPIMVPIIMLPVLSAISLIACFLLWRRLGPLTEEKQPYPSYSAEVEDVESADSMMIDIITLRTATGNFAESNKLGEGGFGAVYKGTLPDGEQIAVKRMSKSSTQGVDELKNELALVAKLKHKNLVWLIGVCLEQQERLLVYEFVPNRSLDLILFDSAKREQLDWAKRYKIIDGIARGLQYLHEDSQLKVVHRDLKASNILLDMNNIPKISDFGLAKIFGRDQTQGVTNRVVGTYGYMAPEYVMRGNYSVKSDAFSFGVMVLEIVTGRKNNDDSFGQSEDLLTTVWEHSMTRTVLEVVDPCMNGVFLEKDVLKCVHIGLLCVQENPADRPTMSTVVTMLGGETFTLQAPSKPSFCSRRNDACAD >SECCE7Rv1G0513920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:827528652:827531158:-1 gene:SECCE7Rv1G0513920 transcript:SECCE7Rv1G0513920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGWRRLGSKLRQRWGWESRLRARGFSSAPALPPPPHMESVGFIGLGNMGSHMARNLLRAGYRVSVHDINEDAMKKFSDDGIPTKRSPLELSESSDIVITMLPSSAHVLDVYSGQDGLLGNGGRLGPWLYIDSSTVDPQTSRKISMDMSRCSLNEKKGYAEKPMMLDAPVSGGVPAAEAGTLTFMVGGLEETYIAAKPLLLAMGKKLIYCGGAGNGSAAKICNNMAMAISMLGVSEAFALGQNLGIKASTLTDIFNCSSARCWSSDTYNPVPGLMAGVPSSRNYDGGFTSKLMAKDLDLAMASASGVGFKCPMGSEALEIYRKLCDEGCEFKDFSCAFRHFYTGKDEK >SECCE2Rv1G0140630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932064131:932064882:-1 gene:SECCE2Rv1G0140630 transcript:SECCE2Rv1G0140630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHGQHHRLVTVPAPTPMPREGEAAPSSYAGMGMGMGTVDVVGRDAAAQALGAVVQLHFDKTVEKKRSADAQKQELWRLFLAFFLFLALVLSAVAGGGRLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSAGEVVAAADVEVPYQEPHETYLAKFRRSWAIHFAFLIATFAFSVAAAVAVLCF >SECCE5Rv1G0366890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:816683164:816684184:1 gene:SECCE5Rv1G0366890 transcript:SECCE5Rv1G0366890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKKIVVKLEIHDNKDKQKAMKAVSVLVGIDAISMDLASRKMTVFGTVDPVDVVSKLRKGWAAYIESVGPAKEPEKKEEKKEEAKKEGDGAKKEGGDGKKEGDGGKKDGDKKEEGDGKKDGDGAKKDGDKKEEGDGKKDDAAAKKQDDQKKPAPLPPFPHHMPLQHHMPPPYMFNADYMMNQYRPAPPAYPPPYVPPQHYYVRNMSMEENPNSCVIC >SECCE4Rv1G0220920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:43133346:43139183:1 gene:SECCE4Rv1G0220920 transcript:SECCE4Rv1G0220920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WDR5a [Source:Projected from Arabidopsis thaliana (AT3G49660) UniProtKB/TrEMBL;Acc:A0A178VK59] MAAPDADETPASPGYALRATLAGHRRAVSAVKFSPDGRLLASASADKLLRVWSSADLSLVAELEGHEEGVSDLSFSPDGRLLASASDDRTVRIWDLGAGGGARLVKTLAGHTNYAFCVAFSPHGNVLASGSFDETVRVWEVRSGRSLRVLPAHSEPVTAVDFDRDGAMIVSGSYDGLCRIWDAATGHCVKTLIDDESPPVSYSKFSPNGKFVLASTLDSTLRLWNFSAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYMWDLQSRKIVQKLEGHTDTVIAVSCHPKENMIASGALDNDKTVKVWVQKDGDQ >SECCE6Rv1G0388170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:130609119:130609826:-1 gene:SECCE6Rv1G0388170 transcript:SECCE6Rv1G0388170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLGGQAVAYPQGRMPAESAVPDGHPTPTVKTKLCNKYNTAEGCKWGDKCHFAHGERELGKHTFINNSMPAHMGPRPTGHFGPPAMPSPAMTTPAGFGASSTVKVSVDASLAGGIIGWGGVNTKQISRVTGAKLAIRNHESNEALKNIELEGTFDQINNASAMPRELFFRISGGANASPPSENLAGGSHGAGGDGQGSNFKTKLCDNFTKGFCTFGDRCHFAHGENELRKSAGA >SECCE1Rv1G0050320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:645872464:645876871:1 gene:SECCE1Rv1G0050320 transcript:SECCE1Rv1G0050320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPRRLALLLLLLPLLAVLLGSAASAFSIPATAAAELTVASHPPASLRLPPAEPLAGEGRGPFCTRVHLRGRASRLRDPSRFFHALRLRANASRPDALDLCFHRNATVGPCKCAASQWHKVPKSGLWAQSLSPYDHRILDFRMPADPSRSVVVSTEEEFLLHRVVFLVLGMVLMALAHTLSQSLVFYYGGAMTIGIFLVVLIILFQGMKLLPTGRKSSLAIFAYSSVVGMTTYFLHYLSGLLRSILVEMGIAEDMHNPLGIFLLVCVILAGAWFGFWGVRKLVLTEEGSVDVGVAYFVEWAILIVSAVLILQSSLDYLLAFAALVFCIIIKTVSRIEGMSGFIRHLLSGLSKGITRCLSRYEDLGGYSNTNGAHKDGFSKLHGEYLKHTPRRNSPLSGSQKKTSSQVLDRDSYYSTYHTTPERRKFTKEEYEAFTKEETRKGMQQLLSSPDFNRWALANADRISVTPAGSGRSSSSSQERHRFFGLF >SECCE6Rv1G0400700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:457019108:457021260:1 gene:SECCE6Rv1G0400700 transcript:SECCE6Rv1G0400700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, DNA-binding intermediate protein for SLR1, Modulation of gibberellin signaling pathway, Regulation of plant growth and developmen [Source: Projected from Oryza sativa (Os02g0643200)] MSSSSSSSAVFNLDHLAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRALLLPPAAPPANQLQFGHSLLSPTSPQGLLDEVAFQTPSLLMDQASTNLSGFTGRSNSSCASNLPAMPMPAAKPAQQETEQSAKSAPSANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGFKRTFKAQDGAEDMLLKDGLYAAAAAAAANMSVTPL >SECCE4Rv1G0227540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:123602285:123602863:1 gene:SECCE4Rv1G0227540 transcript:SECCE4Rv1G0227540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLLLASAFILAGSAFFYRYDAGISGNKTGGTTTTHLHFYMHDDYTGPRPTAMRVVSGRAVSTGDNATALKTAPRQFGDIVVLNNALTVGPSGSSARVGTAQGWAVRVSEGGIVSDLTLHLVLEAGEHRGSSLTARGRIDMDATVRESVLIGGTGRFRYARGYMLTKNYDYSLATGGVVEIDVYVQHD >SECCE3Rv1G0180950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:599700562:599709543:-1 gene:SECCE3Rv1G0180950 transcript:SECCE3Rv1G0180950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNMKTLQQALAKASAVIEKTVTTTVQEVTGPRPLQDYELLDQAGSGGPGLAWRIYTARPRDAAASTPYPVVSVWVLDKRALSEARARAGLSRAAEDAFLDLARADAARLVRLRHPGVLHVVQALDETKAAMAMVTEPLFASVSNALGCLDNVGKVPKELKGMEMGILEIKHGLLQVAETLDFLHNNAHLAHRAISPETVFITSSGSWKLGGFGFALSVDQATGGLASSQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKVGSTCDIFSFGCLAYHLVAHRPLLDCHNNVKMYMNSLTYLTSEAFSNIPTDLVADLRNMLSVDAASRPSAMAFTGSSFFRHDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQQMILPMVLTIAESQDKDDFELSTLPALVPVFTSASGETLLLLVKHADLIISKATNEHLISHILPMLVRAYDDTDPRLQEEVLRRTVTLSRQLDMKLLKQSVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKTGIVEILQTLRRCTAVDHSAPTLMCTLGVANAIYKQCGVEFAAEHVVPLVFPLLTAQQLNVQQFAKYILFVKDITSKIEEKRGVTVTDNGHTEVKVSPSISNGIHSKPTSGGLGQTGQMPAAKSTSWDEDWGPTKKTSAPPLSFDSSAQTKQPSKDPFDFSAQTNQPSTLPFDLSTQTKQPSTVTQVAVSTIPSAQPLPSLQSLAPSSRHQTSGSCVPVDIEWPPRMSTSSDFNAPFSANKDSKSGGLSNDGVDDVDPFADWPPKPSSAASISAVERLPSTNQSISGLNTGNIGFGGSSTSLGQMKTNQVSWSAKPNNTNIMGMNSTASYLNQGNSSLGYGNPIGGPSSGLSNAASSIAGQSIRQPKSDFGSLSQLSSGTQGPPRLAPPPSAAVGRGRGRNQGQSALSRASRTPNSNVSSEQPPFLDLL >SECCE4Rv1G0270700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:756189697:756191756:1 gene:SECCE4Rv1G0270700 transcript:SECCE4Rv1G0270700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEVFIVGAGPSGLATAACLSKLSIPYIIAEREDCIVSLWHKHTYDRVKLHIAKEFCELPHMEYPTDSPTYLPKDQFLRYMEDYVKHFNISPKFNTSVESCMYDEARKCWVVMTHRKVDGPTMYACKFLVVATGENSVGHVPEIAGLQSFPGEAIHSSSYKSGRDYVGKSVLVVGCGNSGFEISHDLAVHGANTSIIIRSPLHVMTKELIHLGMILSTWHLPLKLVDFILIILAYILFGDISKYGIVRPTIGPLTLKAKTGRSAVFGPISCIRGNLIEFVDGSERYYDAIVFATGYKSTANMWLKNDMSLLNSDGIPKNDFPNHWKGANGLYCVGFARRGLAGIAHDANIVASDIHANIAMAYFN >SECCE3Rv1G0195470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:797810405:797814995:1 gene:SECCE3Rv1G0195470 transcript:SECCE3Rv1G0195470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLGTEIGKHDYDWLLTPPGTPRAPTLEVAEKAPPSNVPKRAVTRSSSTTRASRLSVHETENGHSAAPNRPVRSMSRPSNRSSVLTPSISSVSSRPTTPTKRTSTLPSSKPSAPASRPVAARSSTPVKTRPPTLDKTRPSTPVKNCPYGSGSTANSTSVKTTSAQNSRSSTPTSRPQSVSSSSSSTAPSLSRPSSSSGRIPAICRTSSSSSTASVTRSSSRSSTPTRQPIMRPSAPSIARSPSVGRISGNNNLTSSGRSAASRGRMSAPSSAPSSRPSSPNTRPRAPVRPLDIPDFPSDTPPNLRTKLPERPLSAGRARPGIGLGTRSTLNAEPVTSAPVKKISVPAITRNKFSDAPSKTPSLTNGHQNRQTERSVMDGQPARPSRSATSEENGFGRTISRKSLDMAIRHMDIRHNLGGIRGASLFPHSIRSSAPKGRSARMSDPGHHTSNGEQDAYADSGSINGHFSGDSIGALSHYGGSSTDSPDRESMGTKETLSELDMYGSSRYEEMLLREDTKSTDWLHSVDDKSDQSPVFDHRFEPLPEPFGPL >SECCEUnv1G0532180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19554862:19556375:-1 gene:SECCEUnv1G0532180 transcript:SECCEUnv1G0532180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRLLGLSATAVSGRSLSACLRRSLSTAAAASAAPSPPLPPAASSSHPPWAIIDYTTAVDWTSSAPGVCFVPEDPPAVSSIFAPAHLIDPTARPTAANTKVRYLAGNVVQVVFGDVGATSGDGHLLLSYREIRAEGPVTLSTLAGNPEVDRFVCNPLTGQVLRLPDFAGSRRYFVMHHMGLLTQADRGLGCGPPDRFAVAEFVLNGAAIVRFLSDEGKWRTVRPVHGDPSLPRPMVMNQETVAFGGRLWWVDLTLGAVSVDPFADRPEIRFVELPSGSVLPERARADEGDFRKAEENVMFMREVAKHRRIGVSDGRLRYAEVSPHEPFLLSSYALDDDQRSGWKLEHQVALRHVLADGGYPWGQNSAPQIAVLDPLVGSVIYIKAGEDVVVVDMHNGRVIGASPLEEEYNYLVPCLLPPWLGASRIPMSVRSKHELQSKTGIGTIDLVRLM >SECCE5Rv1G0320270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:385566440:385568102:1 gene:SECCE5Rv1G0320270 transcript:SECCE5Rv1G0320270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRYAAGLTLRRSASPLDAAVSRFLGSAGCGNPAAGLPLLRQPATPPAAAAATAAASRFFSNGGRPGSSNTNSSLGKHIEKTKEDVYPRTHKAPAKIRIILKSFNNQKNNLKELAPYMHKVGLPESRSLYTVLRSPHIDKKSREQFSTHVKKVFVEKTAETHELAKKFFWLKRLRILGAQYEVVINFKTRLGKKIGCSKGGGLLRHPKQGSGIE >SECCE2Rv1G0140370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931366788:931367562:-1 gene:SECCE2Rv1G0140370 transcript:SECCE2Rv1G0140370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMDAGRQSEVTCVDFWANEFGMRVRIARRELGVPFEYVEEDIRVRERSDLVRRMNPALHMVPILTHHGRPVCGSVNIVEYIDDVWGEARLLPGNPVDRAHARFWAHFVDHKVFGAQVRFLESKGEEKDAAKEELVEQLKRLEEVLGDKGFFSGDEFGFLDIVTIPFSSMFHGYEQLGRFHLDVECPKLMRWVKRCRQRESVRAVLPDDTEMYELHKQLYGIE >SECCE2Rv1G0125470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:841247929:841248516:1 gene:SECCE2Rv1G0125470 transcript:SECCE2Rv1G0125470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVAVTAGDGCVLKRCQQHGGQDLKEKKGSKRKAEEELKICSIKRKAAGIDCPELEQGATPTAAAAARREEAPWRKMTRLPQEEVDSILAEEMDDDRLPPEYKALKQLNPELIPSPEEEMDEDVVSFYDVVREFYEIGEDFREFQAWVRAEYAKNGYVEVDDDYLRHQEEMEAMNEEARKEALKAFDFSGLLD >SECCE7Rv1G0481070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:278849734:278850351:1 gene:SECCE7Rv1G0481070 transcript:SECCE7Rv1G0481070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAMFPKATRKASVGGRAWRLLRLAVLWARKGSAAQSLRLLKTLRHSRVGLGGRRDDRLHYGEREFSIDETPAFRFRTPSARVLRFIPCIAPSVPDTPGVYGDDRYFFRDDRERDASCAGDLYDDQPSECGLESLDDGADDEQLLERAMMEASVGPVGAAEGGDDAGVDVKADEFIAKFYAQMKLQRQISWLQYNEMMEKSVC >SECCE6Rv1G0414250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:630965374:630972980:1 gene:SECCE6Rv1G0414250 transcript:SECCE6Rv1G0414250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDMEELPQTPRSVAGDDPDLSLFSDEADLAAAILARLGGSRREDDEHLCAIAASLAQTVRDQGVPASTVAYFAAAAAALAPLARAGSAAADGHVAGALLAFLSAAVPALPTAVVRARGREVADDVARVLEFPSTPDSGVRAGVRCLAHLISAGDKSSWQAVEPLYAVVLRLVTDDRPKVRNQSHSCLRDILLSFQRKAILVPASDAIARCFERFLLLAGGSNDANTGSAAEGPKGAKEVIHILNALKCCLPLMASKPSNTILKYFTALLGLRQPIVTKSILENLHAVGDSPTVQLKPDMLLDLMCSLGLSVSTERKSGDELASIARLLNIGTRKVYSQNKNIFVVKLPLVFTSLGDILASEFEEARFCAVETFKGLIDNCIDENMVSQGIDQIKARHQGVRSPTVIEKICAILEGLLDVRYSDVWDKSFYVISLAFDKLGESSSDLLPEALTNLADMQNMSDDDFSSRKQLNACLGSAIAAMGPKNVLDILHIQSICEENEWILPILERHIVGASLQFFLRDILGIIRAVEKSIPKLLKDDKLFSAKRAEGYVYSLWSLLPSCCNYPCDTSSSFKVLQNVLCDTLQNQPDLRGIICSSIQILIKQNKEALSINNKDDILVEDELSKSERRAKERYTKELAEENLKEIRAFSSKFLELLSSIFLSSSKDTIGFLQPAISEIASISDKDVVSKFFLDAIRKLLDATKAVNAQQVDDGSMEIEDNSNTNNMTRALLLEFAASLMPGLTAKSINVLFSYVKPAIKDSDSMNQKRAYKVLSMLLKDAEFIERNLDVLLELMISSLPCQFPSKRYRLECLHHLIVYILKDLSKLRKREIVSSFLTEILLALKEANKKTRNRAYDVLIEIGRACEDAENDGRNDSLHQFFDMVAGGLAGQTPHAISAAVTGLARLTYEFSDLIGVAYKLLPSTFLLMQRNNRELVKANLGFIKALVARSKADVLHEHLKGVVEGLLSWQSDKKNSLKAKVKSLVEILVKKCGLDAVKAVMPEEHMKLLTNIRKINERKMRKGNSSEDGEAMSMASGATRQSGWNHTQMFSDFGSDDEDSNGPFSKQHTVASRNESKASTRSNRKRQDKNLQEKFIDHSTGEPLDLLDQKTMRLALKSTGKKRAAPEDDDDDEIEMDPEGRMIIREERERRKKKPVVSHDEEADDKTSVRSQSVKRRKVASTGWSYTGHEYSSKKAGGDLKKKDKMEPYAYWPLDRKLLNRRSDRKQSARKGMASVMKMAKRFEGKSASGALAAKRTQKHKQRKNK >SECCE6Rv1G0429630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737052608:737053057:-1 gene:SECCE6Rv1G0429630 transcript:SECCE6Rv1G0429630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHTGLLLVAILFILLTATRATLTKAPIPAPAAKPTAYEMLGRYGFPPGILPQGVHDYELRPDGSFEVHFTDECKLRIDGYDIHYSTRVAGNIKNDTISGLEGIKVKVFIAWISIEDVGRNGNELRLHTRVISKSFSVDVFSSSPKCN >SECCE2Rv1G0074710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:79180747:79182237:1 gene:SECCE2Rv1G0074710 transcript:SECCE2Rv1G0074710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSWPWQSLLLFSTLSLIFMLHSRRKGKELPPGPPALLFLAKFLALRRSIFDLPQLLRELHARHGPVISVRLFRPLVFISDRHLAHRVLVQSGPTFAHRPDIFEPGLLFTSGARNINAAPYGPYWRLVRRNLATVMLHPARVSLFAPARRWTRDGLVRDLLAVAGLRAVTVRPLFRRAMFELIVYMSFGARLGPEVLDEIQELQLWIVRSILSYPIFYLFPSLTKRLFRKRWAAHMAVRRRLCEIFVPLIDARRVRDDDTPAPCYADSLLALRVPEEGDRPLTDDEVVSLCSEFLSAGNDGTATVLEWIMAELVNHPEMQARVYEEVRTKPELSEGNLQALPYLNAVVLEALRLHPPVHFLIPHGVQSDGAEIGDYKVPRGAEVNVQIAEVGRDETVWTAAREFRPERFLDGGEGCDVDITGRKEIKMMPFGAGRRICPGYALGMLHVAYLVAGLVKELEWLPPADGERVDMTEVLEFTTVMKQPLRALTIPRS >SECCE3Rv1G0177170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:458765218:458778171:-1 gene:SECCE3Rv1G0177170 transcript:SECCE3Rv1G0177170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSKIDQEEAVCRCRDRKRLMADAVQARNAFAAAHSAYTVLLKSTGGALSDFAHGEAPDPSMIASHSYQADVAAAAAGSSASAPPPPTTTTVLIPPSPPPPPFMGFPHGSLQRSSSTPNIPMPDPRAATKNRPPASAAIQEEEDGHIITDSDDDDDDDDDDDDDDDDDDDEDDEDDDDGDDEDEDDHHEHDDFNMDDTVHGQPPKRGVMDSRGSSPVTPPPPPQLNPSPVTPASATPPPPMPEAQMATWDYFFGPTPTPPPTLEQQTDDTWMDRREKESVPEVKAPVMNPAVSEPSAQSRGAEEWAERPTQTALEKTKAIDELAANLPPSKPIVRKPPKAPGLPPEVHHQHASSMGSVETRKGKIMMVSASLLQIIAQLDDNFLRSSESAHDVSKKLEATRMHYHSNHADSRGHIDHSTKIMHVITWNRSFKNLPDQEDLGVNFEIDERFETHATVLDRMLAWEKKLYDEVKAGELMKIDYQKKVDLLHKQKKRGVKLETLEKTKAAVSHLHTRYIVDMQSMDSTVSEINRLRDKQLYPKLVDLVDGMANMWSSMHRHHKSQFLIISGIRAFEVPPVPRETTDLHYKQTCELRDIVREWHMQFEKLMDHQKGYIRALNAWLKLNLISIESNLKEKVSSPPRQAEPPIKNLLYAWHDQLERLPVELAKTAIKSFTEVISNIVLLQEEEVSLRQRCEETRRDLDRKRAQFEDWHRRYTERKASQGEEANPEAANTPSLDLVNERRIAIEEVEIRLKEEERLHLRLARQVREKTLANLRMHLPELFRNMADFSFFCHDMYSSLRKSAVLPMLRDEVQG >SECCE4Rv1G0257410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:666931281:666934537:1 gene:SECCE4Rv1G0257410 transcript:SECCE4Rv1G0257410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAAELQGRRPPPWRRTVAVQAALCLALYGAFSLGEPQLRPRGGGALGVGGGRRGGGVSFLSVAGGARPPADQARLLRQMENTTRVYEVKLVLDVAQFDNDPLLQNGSLHFQSLNIPWYSTTSHGQIVSNFLKKVKMPYDQILEIVGVDTGPLEDLLHDGKMSNSSREQITWLEQTLALTSNNWKIVVGYDPLVDCNEVRTTKTTKIYEPLRHIFEKYAVNAYVSTGGSCGHFHHDNSMLYIQNPIPGEQTNLDGFFLHRVSPLEMETLLINLEGEVVQRSVVHQHGREAM >SECCE3Rv1G0164630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:165084301:165085146:1 gene:SECCE3Rv1G0164630 transcript:SECCE3Rv1G0164630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRVGRAFAPRADDVLLAMQPKCGTTWLKALAFIVATRSRHGLGAADHPLLTRHPHHLVPYVEIPGAAGGHVVDLGALPSPRLLATHMPMSLLPPETRSLGCRVVYLCRDPKDTLVSRLHFENKLAARRGDAGPSMDDAFRMFCEGFSPYGPFWDHCLEYWEESVARPDTVLFLKYEEIKSDPARVVRRLASFLGVPLTEEEERSGMAEEVARMCSFETLTGLEVNQVGGVSHGSKVHVDNSVFYRKGEVGDWVNHMSREMGEKLDRIVQEKLQGSGLVF >SECCE3Rv1G0181090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:604761763:604767078:1 gene:SECCE3Rv1G0181090 transcript:SECCE3Rv1G0181090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSEAPGGIHKERACRKSEQNIESLFQQHATGVGSAAYPQGRDEAARQLQLIAMEPQAVQNRDDLFVWPWMGVLVNVPTERKNGRHVGESGNRLKEKLSCFCPQKVIPLWNYRGHTGNAIVEFGKDWTAFNNALAFENHFESEGYGKLDWKAYKHRRPGMFGWIARADDQKYPGPIGDYLHKNGDLKTIADVENEEARKTNRLVANLASQIEVKRRHVEELECKYNETTTSLDMIMEQKDQLLRAYNEEIHKMQQLARRHSQRIIDENQKLRSELESKMQNLDLRSKQLDELAARSEFDRRNLEHEKEKNGVKTKHLKMATLVQQKADENVLKLVEKHKLEKQVAVDKIIKLEQQLDAKQKLELEIKQLQGKLEVMKHMPGEEDSESKRRIDELSEELQDKYDEMDAMESLYHTLLIKERKSNDELQDARKKLIDGLQTITTGRANIGIKRMGELDLKSLAIACGRKLSKEDAEVTAAILCSKWEAEIKKPEWHPFRVVMVNGKKRELISEDDATLQALREEYGEEVYSLVTKALVEVNEYNPRGRYAVPELWNYKEGRKATLKEALQYVLKQWRTHKRKR >SECCE5Rv1G0371430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:847157109:847161841:1 gene:SECCE5Rv1G0371430 transcript:SECCE5Rv1G0371430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESQAPRYVKLTRDQEAPAEDIYPGELNQPVHVPRPGCRRCAECGQVLPESYQLPADEPWSTGIFGCTADPASCRTGCFCPCVLFGRNVAALKEDTPWTAPCVCHAVFVEGGIALAILTSIFHGVDPRSSFLIGEGLLFSWWLCGTYTGIFRQELQKKYHLKNSPCDPCMVHCCLHWCGNCQEHRERRGRLAEREDGVQMTIVDAPPVEEMGMPRESSCCL >SECCE7Rv1G0508120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:769397519:769398721:1 gene:SECCE7Rv1G0508120 transcript:SECCE7Rv1G0508120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATL43 [Source:Projected from Arabidopsis thaliana (AT5G05810) UniProtKB/TrEMBL;Acc:A0A178ULT1] MEPSRRLLLSDYDGAIMSPLPSPSTSSAAPFKPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGPYGSGGGSGGGAAGERRNSGVERAVVESLPVFRFGALRGQKAGLECAVCLGRFEPTEALRLLPKCRHGFHVECVDTWLDAHSTCPLCRSRVDPEDVLLLPEPPKPSTTGPPEPPEQKAAAAAAVATVKDKSKDAALAPAPAPSPAWRRIAGRHSTGSVRAPGRVGPTSRRSADLEVGGGDGGAATVGCFDVAKVRKDRVLMVEPAAAVAEPDPVAFDRRFGHRILVSTACGCDDETAPAAKQRWSDLRPADLMFVRSEMLVTEAGRYSCSAAVNSGNRGRTEISGRCLSEIAGVSRLPPIRAGAEPRAGSARRWPGSSWWARGSPGVNGPST >SECCE2Rv1G0065860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10473423:10475796:1 gene:SECCE2Rv1G0065860 transcript:SECCE2Rv1G0065860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRHRVPSSSLPLVLVAVVIAAGRCAAQLPVPARTEGFVYGGHAAAPAWGEAVVVEAFFDPVCPDSRDAWPPLQRAAAHYGARRVAVVVHLFPLPYHSSAFMACRSIHTVHKLNASAVYPLLEKFFKYQEGYYNMPTYTKTRATVVVEIANNLVAPVIGEANLAAYRAGFNDSQSDQATRISFKFGCARGVTGTPYYFVNGIPLSDSGSPMDYNKWISTLDPLVAKM >SECCE7Rv1G0481380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:283343613:283350882:-1 gene:SECCE7Rv1G0481380 transcript:SECCE7Rv1G0481380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSKASDSSSHRDGPKRPDQGLGVGTSSLMALHGKLTQLKRQIQQARLASIKEKLEANRRALQKHTCGLFDVAALAEAASRGSESSNVLSQLAAEGRSRIVGWNLARGSGEREVVHVQEENLSADGTLVLSSSGDSAQSIVLQLVKLPLVDKIPPYTTWIFLDKNQRMADDQSIAGRRRIYYDSAGNEALICSESDEEIPQPEEEKHVFTEGEDQLIWKATRERGLSQEDINVICQFIDASPSEIEGRSEFLFENHEKNSVSSDKTESQLPLDKTVDVVLDSFDNLFCRRCLVFDCRLHGCSQNLVFPSEKQPCGSELDGNKSPCGDQCYLRKREGFQDIRKHDYASSATHNMESRSTLHKVGTDMVSESEDSNREEEIIKSSISVGTSRSKISFESAEKHTTLPSGDASETENVSTDMLVRSLGKRKVSKGPRSSDDFPYKKPRMLASDIPHVLNKHSTSEIGDSRTDFREFGGNQLDDPNKKTSNKDSCGGSPTSTTEDAARNINKESSANNLFSSSREHTLSHWSTLERDLYLKGLEIFGKNSCLIVRNLLCGLKTCMEVASYMYNNGATNMSKSISGDFTETEQDYMEQGVVVRTRVCRRKGRTRKHKYPSKAAGHPAIRKKVGDGKQCDRQYTPCGCQEMCNKNCPCVENGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAASRECDPDVCRNCWVSCGDGSLGEPPERGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKNPVHKNDYLGEYTGELISHKEADKRGKIYDRANSSFLFDLNDQFVLDAYRKGDKLKFANHSSSPNCYAKVMMVAGDHRVGIYAREHIEASAELFYDYRYGPDQAPAWARRPEGAKKDEASGSHRRAHKVA >SECCE7Rv1G0515890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:841939472:841941150:1 gene:SECCE7Rv1G0515890 transcript:SECCE7Rv1G0515890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGASLRATFGERSGALLTEAQELAALCEADVGVLVFDGAGRQMDYCSPHTSWSELMQRYQVITNNKFQGINHDDDRHQQLLAEISRLRRERDRLEASVRRQTGDDLPSAATAGLGDLEQQVECALGKVREMKQKLLEQQLDESFHRVHILEDQNSFLRHMMSEEGRQRAAVEASAVVAELILPMQPATLFGGFFPEVEEEGASTSLRLWPRQFPGCGN >SECCE6Rv1G0453840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:885149629:885152144:-1 gene:SECCE6Rv1G0453840 transcript:SECCE6Rv1G0453840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPADKPMIVVQYKGEEKQFAAEEISSMVLIKMKEIGEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEDIEKMVQEAERYKAEDEELKKKVEAKNALENYAYNMRNTVKDDKIASKLPADDKKKIEDAIDGAIGWLDANQLAEADEFEDKMKELEGVCNPIIAKMYQGAGADMGGMGGEDPPAGGSGAGPKIEEVD >SECCE4Rv1G0233910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:257276490:257278795:1 gene:SECCE4Rv1G0233910 transcript:SECCE4Rv1G0233910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGNSGEYKARSPLGMVIAVLLCCFFYVLGAWQRSGYGKGDRIAVAVTWKTACAGASAVGLSFEMHHTGGVANATTSGLGGEPPWIFAPCAAVLADHTPCHDQDRAMKFPRKNMVYRERHCPLDGERLRCLVPAPPGYVTPFPWPKSRDYVPYANAPYKNLTVEKAVQNWVQYEGAVFRFPGGGTQFPHGADKYIDQLASVVPFADGSIRTVLDTGCGVASLGAYLDSRGVMAMSFAPRDSHEAQVQFALERGVPAFIGVLGSVKLPFPPSSFDMTHCSRCLIPWSGNGGMYMIEVDRVLRPGGYWVLSGPPINSKANHRKWERAEEDLAGEQKRIEEYAQMLCWEKVTEMDEIGVWRKRTDTAACPAMPPAVRACDPANPDDVWYKNIETCISPSTTAAGEELQPFPERLKAVPPRISSGAVQSFTVESYEEENRRWERHVKAYRKVNYKLDTKRYRNIMDMNAGVGSFAAAIFSPMSWVMNVVPTAAELSTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGVFSLYMDKCKMEDILLEMDRILRPEATVILRDDIDVLLRVDKVAAGMPWKTMMANHEDSPHIREKVLYAVKHYWTADSDKSSQEKKATSPEDKGSDSEV >SECCE3Rv1G0149220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:23221790:23224503:-1 gene:SECCE3Rv1G0149220 transcript:SECCE3Rv1G0149220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLLLLLLLMPASASAIGIVCGSGGNYTANSTYHSNLAVLNATLPANTSSSPQLFLTATANATANSTAGVVRALALCRHDTTNLTACRECVASSFSYAQKMCPNHTTATVYYDYDETDALKPGCLLGFSGDRDFLSPASGTTGNGTFFQYFNAETFIPGNAGVVAAAVRQLLAQTARDAAATARRFATGFMDNIGPGTTTTLFSLAQCTPDLSAGDCLACLQRLVGSANATNYLRLGGRIFRLRCNVRFEAFMFFDDKNTRRIPSPSSLAQAPAPAPTPAGKRHGVKPWVIALSVAASVALVALCFIVCCLRRLRTKNTKGKGALQGKRAHEFQEGDEVWEMEAELSEFAVFDFNQILEATDNFSEENKLGEGGFGPVYKGRFPDGVEIAVKRLDSDSGQGFIEFKNEVELIAKLQHRNLVKLMGCCSQGEEKILVYEYLPNKSLDFFIFDEDRKALLDWDKRIAIIVGTAEGLLYLHKHSRLRVIHRDLKPSNILLDSEMNAKISDFGLAKIFSSNNTDTNTTRKVVGTYGYMAPEYASHGIFSIKSDVFSFGVLTLEIVSGKRNSHECGAFINLLGHAWQLFEEESWRELIDASLVPNIHSTEMMRCINIALLCVQENAVDRPTMLDAIAMLSNKTMILQKPKHPAYFSLSTAGNKEAPTTTQSCSVNDVTTSTVTPR >SECCE5Rv1G0304570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:59627575:59631380:-1 gene:SECCE5Rv1G0304570 transcript:SECCE5Rv1G0304570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKAARSKASQLGGIWRRRVGAPFAALLVAAVLVLLVFTGRFPQGPDASSRFTPVHVDDSSPRTVRDRPISSTDQDMELETSDSSKQEGEISDSSKQEGEGGDPKNELDESSMEAMEEQKQPPEDTPETKPASQGTTPANSDLDGEARMVTTTAPDQEERNAGGSGSAPYTKCTPPPNSTVCDLSNSRFDICELCGDARTIGQSSTVMYVPHTQTSDSEEWSIRAQSRKNLPWIKKVTVKSLNTSQPAPKCTSKHAMPAIVFALGGLTANVWHDFSDVLVPLFLTARQFDRDVQLLVTNNQPWFSKKYMTILSKLTRYDIIDFDSDDQVRCYPHVIVGLRSHGDLGIYPNLSPQNYTMMDFRLFVREAYGLPAAKVAIPYKADRDDPDKKPRIMLIDRGKTRRFINAPYIVQGLEWFGFEVVKVDPKMDSSLDEFARLVDSCDAIMGAHGAGLTNMVFLRSGGVVVHIVPYGIEFMADGFYGKPARDMGLGHVKYGISPEESTLLEKYGWNHTVIKDPEAIRSSGWDKVGEVYMSKQDIVLNMTRFGPVLLKAIDFIM >SECCE5Rv1G0371090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845447951:845453162:-1 gene:SECCE5Rv1G0371090 transcript:SECCE5Rv1G0371090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERVRDVGILAMDIYFPPNCVLQEELEAHDGVSKGKYTIGLGQDSMAFCTEVEDVISMSLTVVKTLLKNYNIDPKCIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISLESKFRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYKTFCKRFEKIEGKPFSIVDADSFVFHSPYNKLVQKSFARLYYNDFLRNCSIVDKDSREKLEPYSGLSSEESYQSRDLEKVCQQVAKPLYDTKVQPATLIPKQLGNMYTASLYAAFASVVHNKHDVLVGQRIVMFSYGSGMTSTMFSFKINEGQHPFSLSNIASILDISNKLESRHVVPPKKFVEALKLMEHRYGAKDFVTSQDTGLLVPGTYYLTHVDSMYRRFYAVKGDADATPVTNGH >SECCE2Rv1G0078940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:113393419:113395759:1 gene:SECCE2Rv1G0078940 transcript:SECCE2Rv1G0078940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAGRRLLLLRRCAAGRRLLGTAAEASPGGEEAGGEAIYVRKPSSSSTRDDTSVSMPMSFMTGSVVGKRFYRDATVRRADDGNGWTVMLDYRTLKTPAKRPLKLHSRTLAMAVAAEWEYQEQDGIRPFTMPLMKLACTALERVPLTRTKIITNLMQKFHQDLVFCRSPADDELTKGVYEKQKEKIDPILDWVNTEFGFKPVAYTSFLGGKQDERLAKAVETVLKDANDCELASIDAMAAAAHSLVIPLAIFRGRLGIDESIELIRLEEDHQVDRWGLVEGGHDVDIADLKVQMSSAVVFLQLSWLK >SECCE6Rv1G0394730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:304505144:304509251:-1 gene:SECCE6Rv1G0394730 transcript:SECCE6Rv1G0394730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGHACSGLGSLLLLLLLLGPAAAQKGSTWKTLNGDAPAVIAKGGFSGLFPDSSDNAYLFAASTEDSAQWCDVRLTKDGVGICLPDIKMDNCTTISDLFPKGKKTYRVNGVSTTGWFSVDYKSTDLSTVTLLRAILSRTNRFDGTFPIVPVEVALSQYKAQWLNVQHDSFYSQFNLSMRSYILSMSKQYTVDYISSPEVSFLKSLVGRVGRKTKLVFRFLDEGLVEPSTNQTYGSMLKNLSSIKTFASGILVPKHYIWPVTADNYLQPSTSVVDDAHKAGLEIYAADFANDFALSYNYSYDPLAEYLRFIDNGAFCVDGLLTDFPITPLEAIGCFSNLNNTKADHGTPLVISHNGASGDYPDCTDLAYQKAVDDGADVIDCDVQVTKDGIPICMSSIDLMDVTTVASSQFASQAGVISDIKAVAGVYTFNLTWEDIANNLKPMISNPFGKISLSRNPRNRNAGKFMRLSDFLAFAKGKDLSRIMITVEHASFMAEKLGFGVVDAVIKAVDDSGYSKQTAQKVMIQSTNSSTLVKFKQLAKYNLVYKIDEVVKDAAPSSLADIKKFADAASISIKSVYPETSNFLINQTNPLVKSLQSAGLPVYVYLLMNEFFSQPYDFFSDATSQINALVHKGGEGGGVDGLITDFPGTAHRYKLNSCRNMGDKTPYYMLPPQRGGLLGVIQDKTALPPAMAPMPVLTDSDVAEPPLPPVSNSTAPAPSHAAADVSVSIPITATVLVLCASLLI >SECCE7Rv1G0492650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:554106576:554108031:-1 gene:SECCE7Rv1G0492650 transcript:SECCE7Rv1G0492650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTAAAPSFFSSAAPPSLLHAGSRTTVASFPRGSPTTVALSLSVSASAPTSPWAPAANPKYHNAKVDAGDEDVDGGDLLRQFTREVGRAGVMHEVRRRRWHEDARDKRKRKSRDAAWRLSRRRFKGPYPFDDEQESKEGTADDDGRDNWELPGGEFPSFR >SECCE1Rv1G0015510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:125600170:125613797:1 gene:SECCE1Rv1G0015510 transcript:SECCE1Rv1G0015510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERPSQGRKEVWQGESLRTRMKRVKVYRLEDNGKWDDQGTGHVTIDHIEGSKDLALAVVDEEDNDTLLLHNITPDDIYRKQEETIISWRDPEKALELALSFQEAESCSFIWENMCTIQLELQSKMLGSHEVRPQQTVKSLEAPRDSLSRGKSLAFELPPLELSSLSVILKTIMLCDGTQQTRVADLILKDRDFFPKIVSLFRTCKGLGDMNGLHMIFRLVKAIILLNSAAIFDKIFSDAFILDIIGVLEYDPEARNVQNHSAFLKEHAVFKEAIPIRNASVVSKIHQTYRICYIKDVILLKVLDEATLASLNAIINANNAFVVCLLKDDTSFMQRLFATMRSSNISAESKRELVLFLREFCTVSSSLQPAQRMQLSRDLASRGVFDIISDVLRSQEKVLVSAGTDILHYLTQDPNLLRSYIANHEENSREGISLLGLLIEGIVTDFGGEMLCQFLEILKVLLDGCTADTVAQCRDFIELFYEKCFDKLIHIIESSRVEEYSAKLEILYNICELLCFCARHHPYKIKITFFGSNSMEKILTLTRRRERSLVVAAIRIMRTIIGAGRNDELLISHVIEFNTLKPIIEVFVENGNRYNMLHSTVLELLDFIRKENLKSLVVYVVESFWDQLLMFEQLTSIQAFKLKYQEYMDIAGETQTTSVDHTRKADERGLDKEEEDYFSKDRPKSGGLVDNKPSPKRPVKADEALNTPMASLSSLDSKDADGNILKKPKLEDKQSPVSPASSIKNSDGNDDAGKVSPGSPDQQQHAQESLGSGNQTGDDCMKDAGDSSSEIPAKTADSEPSTAG >SECCE3Rv1G0154820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62464452:62465414:1 gene:SECCE3Rv1G0154820 transcript:SECCE3Rv1G0154820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILESLLGSCASKLQNIITDEAILILGVEEELKQVLRRVELIQCCIYDAEKRRKKEQAVNNWLGQLRDVIYDVDEILDVARSKGKKLLPDDPSSSSSKSAECKGFSVSSCFCNIWSRRDVAVQIRSLNEKTENISKDKIFLTFNTSIQSTRNGPTSKLIRSSNLVEPNLVGKEIIHSSRKLVDLVLAHKESKSCKIGIVGTGGVGKTTLAQKIYNDQKVKGSFKLHAWICVSQDYNQITLLKEVLRNVGVHHEQGETIAELQRKLAETTEGKSFFLVLDDVWHSNVWTDLLRPALHETTAGVILVTTRDDQITKRIGV >SECCE5Rv1G0321740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:409402929:409403411:1 gene:SECCE5Rv1G0321740 transcript:SECCE5Rv1G0321740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDWITARYLLSSILGRNPLVVDHVDEESFPVDHAPAAGRGRPAEAPVWQPPPAVFAAPSVAGTVCAVCTEEIAVADPVVRLPCAHWYHAGCIAPWLGIRSTCPMCRAELPAREEAGEAGAVGREKPPRAEAGTSDTAVRRDASSYGYVAAGGVLSG >SECCE7Rv1G0482930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:315767435:315771025:1 gene:SECCE7Rv1G0482930 transcript:SECCE7Rv1G0482930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTPVMVRQGGQVVLRQLPPGFRFRPTDEELVVQYLRRKALALPLPASVISDVHNLYSLDPWDIPGAIEGEKYYFAIRPATGAKRGGRTTTASGCWKPASARERPVVVSRCGRNHLVGVKKSLAFVPRRGKGKGSKAPPAPAQTGWVMHEYRLALPHHHKNGCCLAEAGTEEWVVCRIFQRDRSSSSSNRQTPGIHGTDAHRTMPPSPSSSSSSCVTSGGSSSDLQEEVSS >SECCE6Rv1G0420130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:675199312:675200070:-1 gene:SECCE6Rv1G0420130 transcript:SECCE6Rv1G0420130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHHHHHHHHMIPGQEPSAGDGAPQDNFFLGPAGVGIFGGVGGASGAGSSSSGAAGGAGTSAGGSGGGGPSPSGSSPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLKYMDQFGKTKVHTAVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREVRETQARARGISYEKKKRKKPSSSTSAAAGPSSEGSPPPGPSGSGGGDTSASPHFIMP >SECCE5Rv1G0367540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:822028959:822032374:1 gene:SECCE5Rv1G0367540 transcript:SECCE5Rv1G0367540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFRSGGKPPGPPAKGKPSTRESGGGGGGREEPEPYRRWPSAGGGAGGRVLDAPRLRAFTLAELRAVTRGFKPEMVLGEGGFGRVYKGWVDDRTLNPAKSSAGVVVAVKRLNAESVQGLQEWQSEVNFLGRLQHPNLVRLLGYCGDGDGDDRDLLLVYEFMPKGSLENHLFRRGGSCEPLSWATRLKIAAGAARGLAFLHSPETQIIYRDFKASNILLDHDFAPKLSDFGLAKSGPAAGRSHVTTRVMGSYGYAAPEYVATGHLYVKSDVYGFGVVLLELLTGLRAHDPNRPSHQANLVEWARPYIAGGRKLTGLMDQRLAGQYPPKAALRAARLAHRCLCGDPKARPSMDDVVARLEEIDARGSRDSLPPRPRPVPATAARRSPYRGSPKPA >SECCE2Rv1G0103390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:589444515:589448531:1 gene:SECCE2Rv1G0103390 transcript:SECCE2Rv1G0103390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGQQQQQGVSLQPHRFDHPRMQYPQHGGGRSRVPPFARGGRGHKHFYRPPPPPPSIQAGAPARHRYEVLMEAGRLAAEYLVAKGVLPPASLQRGGGAGAGWGQMPPAPPLPQAQEAPGFYDSRRNGRQRLDDEYGNPNPRSRRNHGGDYNGGDNGNYNGRGKRKFGAYNRNSEWGRDRERSRDYLDSRNYGEDDEEDRTPGYRRDRRASAGIDEVGSSVSGVAGDRPTSKLEAVGESELEDTGSKVSSNSNVRKDVDAVQEVQNENEANKMEEDSKVSDSEVVEKGINSESIHNNASSCVVEEGEINHSPVPSDDKPDDGGIMDEKAEHDKSLDDKAEDEKGSSVENNLHGGCQSLLSNCNFARAPTRPRSVPAHRNGASTHRDTALAKQVDLAPLMVIDEAANDSSLTNVQGDNKDDLVCLEHTDPSLACNQMVEHVRLQEAAAQTEIRDVQEQNSTAQHYTVQEIKECDGLNSTLASQHDCPKLQVREEVQIYNIDTPPQDKDLIDSADKGKTADSVELLPNIKDEAVVTIKEEELGQSSSFKICDLNLIGSPELADIRNDPGFGQCSNVVCSMEEQNQQHFDFGTAVGNSASNTDRYAQIPLNDKVIQIIDIEDDPPIEPSVSDALRPKSEMVYPSMDNIMNSSVNTNIFPGTQDGYNIAIPDFLGADMPCYPPLHADLHAEMGLNDPEVITVMDDPIYDSLGDIGFMEVWDQQPPDYKFF >SECCE3Rv1G0198710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:835084091:835089006:-1 gene:SECCE3Rv1G0198710 transcript:SECCE3Rv1G0198710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMYQKQLLDAPFALNGHCADQPRHAPAAAAAAAPSPYQPNPSSSSGAAPSPHAQTDGAGEPRRLFHALVGGILQRGAGGGNGAAGDLGALVSWAREVAVDPCAKRPADRPMKRQVLSLRRARYLKTEDVADQAELPSFAKKRKYRTNNQSERPAKGPTPTRKSERLARRMVLMTSVLLTQRKKIGVGEQFQAEIPDWTGPPSDAELSWYRNDPSISKMLGSRTWPPEGYVLQTNIVVAGQGRPESCYCPYPGSFYCREYHINTARDRLRSEIGQVFTEWKFDLMGEQVSKMWSIEEQLKFAALEQLVPVFENKSFWAIAAKHFASKTRVDLVQYYLNVFLMRRVLNQCRLSVLEIDSDEDEAEEEEDEDQSDGSNSSQRPQDVQEVKKIF >SECCE6Rv1G0404530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:520559449:520561311:-1 gene:SECCE6Rv1G0404530 transcript:SECCE6Rv1G0404530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 4 [Source:Projected from Arabidopsis thaliana (AT2G42910) UniProtKB/Swiss-Prot;Acc:Q680A5] MEVAAAAAAVAVPRHKVKKQINLFYCAECEELALKVAAASDAIQLQSINWRNFPDGFPNLFINNAHDIRGQHVAFLASFSSPAIIFEQISVIFALPKLFIASFTLVLPFFPTGTFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLHRLSLLPDADNVTIAFPDDGAWKRFHKSLANFPVVVCAKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLAAHGATKVSAYVTHAVFPNQSYERFMTANSAGPDDQFAYFWITDSCPQTVKAISQQPPFEVLSLASSIADALQI >SECCE2Rv1G0081970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:147000341:147001513:-1 gene:SECCE2Rv1G0081970 transcript:SECCE2Rv1G0081970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAGGGGGEPARSLEIAAKLLAARDLVGCKRFAEHAVDADPLLPGADELLAVADVHLAAQRILPSGRPDPLAILQLQPNPDSADVKRAFRRLANLLAPGRNPHPGADTALRAVEEAFAHLSESTPTGTTSAPAAPGGASAAEDTFWTVCPHCCHVHQYERLLVGRSLMCASAGCRRAFVATELPAAPPIVPGTDFYYCAWGFIPMGFPKPADLSTDWKPFFPMPRDSSAPQPASQPAPTDNFGKQNAENNVGHTNANAPPSNAHTGNKSAGGGGTVGGPPRGKIKKTAARKKVGGGLKKHASGGVESGIEPSLLGPDWSGNGESGHTEISRGININEVAKATDDNMMLHFGADGDIGFDLDVDASDDIMENLQNLPFLREDDNSRRMF >SECCEUnv1G0551860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:240780053:240783025:1 gene:SECCEUnv1G0551860 transcript:SECCEUnv1G0551860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCDCIEPFWPTDELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTMHTKTVAIVMTVAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKKKADELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGSSLQLTHTLRHQIPAGSSVQINLPVVNQVFSSNRAIIVPHTSPLARIRPVQGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSYAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSFELDISAFNLHAVFKEVMSFVKPIAAIKKLSVSAMLSPDLPLSAIGDEKRLMQTILNVCGNAVKFTKEGHISLLASVVKSDALREFRSTDFHPVATDGHFYVKVQVKDTGCGISPQDLSHVFTKFAHTQSGGNQGYNGSGLGLAICKRFVSLMGGHIWLESDGAGKGCTATFVVKLGACDAYQQPAVPLVWPSHAVSDPSGPARREERGLSNLKPRYQRSI >SECCE7Rv1G0511640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804549057:804550958:1 gene:SECCE7Rv1G0511640 transcript:SECCE7Rv1G0511640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SVR8 [Source:Projected from Arabidopsis thaliana (AT5G54600) UniProtKB/TrEMBL;Acc:A0A178U954] MAGMAALQGAMGALSVSAASTSAFWGNPLAATFSAAPSGVRFMAKTSPIEMRLKRWERKKCKPNSLPMLHKMHVRVGDTVQVIAGREKGKVGEVVRLYKHNSTVIVKDLNLKSKHKKGTEDEPGEIVMIEGPIHSSNMMLYSKEKNVTSRVGHKILEDGTKVRYLKKTGEVLDSVDNWVKVFKEGDSESS >SECCE5Rv1G0298530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11811985:11817045:-1 gene:SECCE5Rv1G0298530 transcript:SECCE5Rv1G0298530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSLPRCYERLLGKDHARWPPEARLMEAAHDGDVLEIKKIAKKLDVHGHGIPVTVANTTFHGVNALHAACGLGRLPVYRYLIEEINMVEIDKPDTRGYTPVEHALTEGHLPAVRYLLDRGADLHQRRKDGTMSTLLHSAAALGHSEIVKFLLSRGADIDALSFFGTPLSLAALRGHASTVKILLQHNADPNKGTRRLGPLGMAIGISSVSCVKLLIQGGANVSGGSPCDIPLVAAAEKGLTEVIKCLLEAGANPDVPDTFGKLPIELAAEYGTQEDVEILFPFTSPIPTVTNWSVDGIISHVEMEIKQLEDDDFVKRRVSDLKQQADEAFGKQDYLNASVFYTQALRMDNFDAKLLSNRSLCWARMGHGEWAYDDADKCQELCPNWAKSHYRLGVALMCMKEYAGAHHSLSRALQLDPESQEIMKLLLEVIELK >SECCE4Rv1G0282240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:828710656:828714306:1 gene:SECCE4Rv1G0282240 transcript:SECCE4Rv1G0282240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFATSRPASLALCGFLIFLNVFAFLLAVGAEQRRSTGKVVPDEYDERSYCLYDTDASTVYGVCAFFVLLLAQLLVTGVTRCLCFGPALSSRGCAVAAFALSWLTFLIAEACLVGGSAKNAYHTKYLGYYTKHDLVSCAALRKGVFAAAAAMMLINLAASLVYYWSYSKVADGGFIKHQNEAGVGMTDYGLDRGGGPGL >SECCE6Rv1G0437310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:783084495:783089063:-1 gene:SECCE6Rv1G0437310 transcript:SECCE6Rv1G0437310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSTDAATKDMEALHVDVGQTQETKDILKKASGVESDATSSKELKVALSNYGAPGAQSSPPEYDVDAQVDGPSEDGAAASAVAKNKKKKSKAKKKKDPLQQTNPPSIPVDELFLSGDFPEGEIQQYKDDNLYRTTSEEKRELERLQKPMYNSIRQAAEVHRQVRKYMRTIVKPGMLMVELCETLENLVRKLIKENGLQAGITFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDSAFTVAFNPMFNPLLQATRDATNTGIKEAGIDARLCDVGAVIQEVMESYEVEINGKVFQVKSVRNLSGHGIGPYQIHYGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKARQLLTTINNNFGTLTFCRRYLDRIGETKYLMALKNLCDAGIVQPYPPVCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >SECCEUnv1G0534010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35541250:35543875:1 gene:SECCEUnv1G0534010 transcript:SECCEUnv1G0534010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTETEKKKTPVALAPIAKPLAGKKLSKKTLKLVRRASEAKCLKRGVKEVVKSIRRGSKGLCVIAGNISPIDVITHLPILCEEANVPYIYVTSKEELATAGTTKRPTCCVLVMTKPAKGEITDEVKEKLESEYKQVVTEVAEATSSLF >SECCE5Rv1G0331860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:541738302:541739029:1 gene:SECCE5Rv1G0331860 transcript:SECCE5Rv1G0331860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTRSGEPEEAEAEAEMDDPRSPPVRGARTSRSHSEAERKRRQRINTHLATLRSLLPSASQMDKAALLGEVVRHVRELRGDADGGAVAGVAVPGESDEVGVEEERWDARESAKRVRAWVCCADRPGLMSELGRAVRSVSARAVRAEIATVGGRTRSVLELEAGQAAGASRPALHAALRAVLLTREDQLLAVEGYKRQRFSGLISQGLGS >SECCE5Rv1G0374460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862693878:862699576:1 gene:SECCE5Rv1G0374460 transcript:SECCE5Rv1G0374460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALASADLHIASYPAAAPAPAVAAAAWGSSRRAAGPSSGRVALRAARGRGRLAPVVGAPRTALSVRCNASSRDGRITQQEFTEMAWQSIVLAPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTRLLDATEKYIQRQPKVLGEDPGSMLGRDLEALIQRARNFKKEYGDSFVSVEHIVLGFADDKRFGRQLFKDFQITVENLKTAIESIRGKQNVIDQDPEGKYEALDKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLITLDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQVVLFIDEIHTVVGAGATSGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTVSILRGLRERYELHHGVRISDSALVAAALLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRSVLKLEMERLSLTNDTDKASRDRLSRIEAELSLLKERQKGLTEQWEREKSVMTKIQSIKEEIDRLNVEIQQAEREYDLNRAAELKYGSLNALQRELQKTEDELNEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEDELHKRVVGQDPAVKAVAEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALASFMFNTEDAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVVLFDEIEKAHSDVFNVFLQILDDGRVTDSQGRKVSFTNSIIIMTSNVGSQYILNMDEEGGATDSAYESMKKRVMDAARSVFRPEFMNRVDEYIVFKPLERKQINSIVKLQLARVQKRIADRKIKLDVSPAAIEFLGSLGYDPNYGARPVKRVLQQYVENELAKGILRGEFKDEDSISVDTQVTVPSNGQLPQQKLVFRKTNEESKPAAAQDEKFLPTV >SECCE6Rv1G0387780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:122740725:122744359:1 gene:SECCE6Rv1G0387780 transcript:SECCE6Rv1G0387780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMSCSIYIYRCHPRHLLHIESSGKARAAKASMTQAHSKSHLHQFWDGLQIQINGGRDSFAIELLPSLGATITHSNKLKKFVVSPYDPCYRFWELFLIVLVVYSAWVCPFELAFLRDLPSKLVLVENIVNGFFAIDIVMTFFVAYVDSKTHLLVDDRKRIAVRYLSTWFIFDVCSTAPFQPIILLFTHKGNDLSFKVLNLLRLWRLNRVSTLFARLEKDIRFNYFWTRCSKLISVTLFAVHCAGCFNYMLADRYPYPENTWIGAVMPTFRSESLWTRYVTALYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTQKFRDSIYAASEFAARNQLPVSIKEQMLSHFCLQFRTEGYNQQAMLNGLPKGIRSSIAYSLFFPIMRRAYLFHGVSSSFIAELVMEVQPEYFPPKEDIILQNEGAADIYLIVSGAVNMITTINGNEQIYAKVTNGDMFGEVGALCNIPQPFTFRTAELSQLLRISRTRLREAIQNHREDNDILMNNLLQKLKLPENLPEVSQPDRRFSSKYDLFHIPREEQMLQWPHQYYTEQKSIDLGSKVPISGDGPDSTKLFGQVPQQEDIHNKSNCKYRLSDEMMDKEEDLNEARINCETKTSAEEFCIKIKSEDKTAASSQQTIPAKIQPGSPHRTSENISRSRYQDYSDIKASKKRVTIHIHPHNATGSTVQNGKLINLPGSLEELIKIGRQKFPDFQPTKVVSRDYAEIDDIGVIRDGDHIFFLQI >SECCE3Rv1G0163420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:148005323:148006756:-1 gene:SECCE3Rv1G0163420 transcript:SECCE3Rv1G0163420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSTVSRALVLVGVVLTAQMFLCMAYVGGDGFSVEFIRRDSIKSPYHDPSLTAHARVLDAARRSTSCAAALSRSYAHADAPSADGAVSELTSRPFEYLMAVNVGTPPTRMLAIADTGSDLIWLNCSNGDGVPDLAAARHAHAPAPAAARAPASAPPPGVQFNSSNSTTFGLVSCGSGACRALPDASCADSNCRYLYSYGDGSQTSGLLSTETFTFADDQGTRGDRKIRVANVNFGCSTTMIGSFIGDGLVGLGGGELSLVNQLGADTSLGRRFSYCLVPYSINASSVLNFGPRATVTEPGAATTPLIPSEVKAYYTVDLLSIKVGNKTFAAPQHSPVIVDSGTTLTYLANELVDPLVEELTRRVKLPPAKSPVDLLPLCFDVSGVREGQVAAMIPDVTLALGGGATVTLKAENTFVEVQEGTLCLAVAAATSEQFHPLSIIGNIAQQNMHVGYDLDKGTVTFAAADCARSSASLYI >SECCE3Rv1G0153040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:52104213:52107380:-1 gene:SECCE3Rv1G0153040 transcript:SECCE3Rv1G0153040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYLAMKVNTVGKLILLVIVTFNAHNVVVICSSSFLHGNDTDRLSLLDFKDAISIDPQQAFMSWNDSTHFCNWEGVLCRVKAPRRVISLNLTSRGLVGKISPSLGNLTFLHSLALTENTLTGEIPASLSHLHRLQTLRLNNNTLQGRIPSFANCTELKVFHVAFNNLIGQFPTKFPPHLQMLQVSGNNLTGTVPTSLANITTLTHVTFSYNHIRGNIPSEFADLSSLQYLYAAVNQLTGRFPQAILNLSTLIGLDLGPNGLSGEVPPNLCASLPNLQILVLAENFFIGNIPSSFTNASNIYDVDLSINNFTGLVPTMIGRLTKLSYLNLAQNQLQANSKQDWEFLDNLGNCTELQMFSLSWNRLSGQVPSSLGNLSNQLQKLYLGENQLSGDFPSGIANLRNLILLSLGANQFKGVVPEWIGTVKTLQLVDLGGNYFTGGIPSSLSNLSQLGWLYLDSNQFIGHIPPSFGNFPMLQYLDIYNNNVQGKIPLEIFKIPTIFIIKLSSNNLDGQLPTDIGNAKQLVHLILSSNKLSGDIPNTLGDCESLEDIELDSNIFSGSIPASLGNLGSLKVLNLSTNNLTGSIPTSLVKLQLIEKLDLSFNHLNGEVPTKGIFKNATIVRIDGNQGLCGGVLELHMIACSVMPLNSIRHKRSVMLKIVTPIASMVSLAMVIFVLFLWRGKHKRKSVSLPSFATKFPKVSFNNLAKATHGFSTSNLIGRGGYSSVYKGKLVEDENEVAIKVFNLETRGAQKSFIAECNALRNVRHRNLVHIITACSSIDSNGNDFKALVYELMPGGDLHKLLYSNQDREGPSDLYCITMAQRISILVDVADALEYLHHNNEGTMVHCDLKPSNILLDDNMIAHVGDFGLARFKVGSTPSSQCNSSSSSVAVMGTIGYAAPEYAGGGQVSTAADVYSFGVILLEIFIRRRPTDDMFKDGLDIVKFTEINFPDKVLEIVDPQLLQELEETPVALKKTSVNCLLPILNVGLCCTKSSPGERINMHEAAANLHGIRDTYLNAN >SECCE3Rv1G0146110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:10266885:10275260:-1 gene:SECCE3Rv1G0146110 transcript:SECCE3Rv1G0146110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMGMAHSPLLPALVLVITSALVVADPEAMLLSQGCSLYNVTPTAAFLSALNSTFAELRANLSAGGGFATVFRPRIVAPTFALAQCRPYVTGRDCVACFDAAAARVHPACGAANGGRAILDGCIVRYESLPFFNQSTGPGNRQVCNGSTVAGGAFNGAVQALVSDLAIAVPRFPRLVAMAAGAGVYAMAQCVETVGAGACAQCLEVASSNIDLCPPNSDGRAVDAGCYMRYSDKPFFPANETADLAPYLRSGKSRVKGAIVGGILGGVAFLLLLGLLASLWIWRSKKLQKPQRGDILGATELQGPTNFYYRDLKAATNNFSEKSKIGEGGFGDVFKGLLKNGKIVAVKRLSVMQTSRAKEDFESEVKLISNVQHRNLVRLLGCSRKGSECLLVYEYMANSSLDKLLFGERRGTLNWKQRFNIMVGMARGLAYLHQEFHVCIIHRDIKSSNVLLDDDFHPKIADFGLARLLPGDHSHVSTRFAGTLGYTAPEYAIQGQLSEKVDTYSFGIVILEIISGRKINDTRLEPEAQYLLETAWKLYENENMIKLVDESLDPEEYVLEEVKRIAEIAFLCTQSAAASRPTMSEVVMLLLSRNSPEIQPARPTFIDSTSRVRGETSVSSSSSASKATVSMSQLSAR >SECCE6Rv1G0393020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:273030503:273038325:-1 gene:SECCE6Rv1G0393020 transcript:SECCE6Rv1G0393020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSMPVLSLLVNALLLFVVLQSDANAFATPTDNIVRQLSSVVKWPRGSPPHSSKQSSHPQYDGNAAVQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEYSRGRLVAGSFQGHAGHIDGKPIDARFKRPTGVAVDDTGNVYIADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIVLPQEDCTYQDAALLSSDIILVIGAVVAGYLFSVVQHGFGSSTSEKIEASEDDKQESSSAGKPPLVVESLKEEPSAGWPSFGTLVADLLKLAVEGVGNLVLNIALLRMQRVKRKTCLTPLKDRLVMPEDREETPVAQKLSSTPMITKTLHAPSAANDTVAKVQKSIKSSKFRDSTLSSKHRSTKRQEYAEFYGSTDTPQASAKVPKDRLRHRHQEKSGDVAYGTGHPEPKPSEMKVTDYSDPKYDHYNMRSKYGADSGCRY >SECCE6Rv1G0426180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712095452:712096120:-1 gene:SECCE6Rv1G0426180 transcript:SECCE6Rv1G0426180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHHPTDEYRILLTRENDDPATNAFYIFALGSTQSPRNIGGWPRDNVTLWGDDVLLRGCLHWRLEKHESRSNNIMVFDTTAESFREMCAPIVSHRADLFKMHGMLGMTNFINEAKIIDIWMMRDYESEVWALKYRVELPVSYLSVQFGKTVGDVVVHSCDGDMLVLVRFGEWLLHFDIHGKLVASFHRNGLRLGKHWLKQTLVPHTFFTTLDDYSVNRQCF >SECCEUnv1G0562200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:363176322:363176723:-1 gene:SECCEUnv1G0562200 transcript:SECCEUnv1G0562200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITNLGVAAMLVILVQVSTLCAVAQHHGVMTLNGFEKGQDGGGPSECDGKYHSNKEMIVALSTRWYGGGRRCLKMIRITSEQNGRTARAKVVDECDSSNGCKDSIVDASAAVWKALGLNTDIGEVPVTWTDA >SECCE4Rv1G0232550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:204379529:204379762:-1 gene:SECCE4Rv1G0232550 transcript:SECCE4Rv1G0232550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILENERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE3Rv1G0206660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:910224311:910225698:-1 gene:SECCE3Rv1G0206660 transcript:SECCE3Rv1G0206660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQDDCGKWRQIPAFGDWNMWEEMPVTQYFEPAATFFFTAQAGEDDVDLFKVPHFAANPYTYKKCVVRVKKGEENERPNANANAGAVPGRRKKGGRKQQQQHHQPGKKEQQQQRRKKPKAKAKAAAVDEDLYKISPNVICKVQKKKLLRNLLGGCLGLNCIA >SECCE5Rv1G0343550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638866701:638867380:-1 gene:SECCE5Rv1G0343550 transcript:SECCE5Rv1G0343550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEADDDDLWGAVTTSPSASPPPLSSSSAAISTALSLNTRLQLLAASGVAGSPFHPGGACYRNVAASPPSFFSSAAASFPRIAPVDAGPARRALEREMCYGHGAPAWPGPPGAGAGATAPVDRRKKRMIKNRESASRSRARKQAHVTQIESEVHQLREENEQLRLKYDQLKASVEVSVPVRKTLQRVLSAPF >SECCE7Rv1G0482110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:300695187:300695624:1 gene:SECCE7Rv1G0482110 transcript:SECCE7Rv1G0482110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSVHHGQHNGISKPPVHHHGKGGGKGKGGGKGIKVVYISSPMMLTASAEEFRAVVQELTGRDSNVADHDAPGVSSYYSSSYSSFGRASPTAGATAAAGGRALPPAMASTADYAAGAGAMPPPFQSMYDQTGGAGLLYGQDYW >SECCE7Rv1G0459000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23883713:23884579:-1 gene:SECCE7Rv1G0459000 transcript:SECCE7Rv1G0459000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCWLMLLFLVFLLPATSARSCHADDLRALRDFARNLTGGGVILRAAWSGTSCCRWEGVGCNGASGRVTTLRLPGRGLAGPIAGASLAGLAWLEELNLANNRLIGTIPSWIGELEHLRYLDLSDNSLIGEVPKSLIRFKDITSAGRSLGKAFTNMPLYVKRNRRTLQQQPQPNTISGTNNSVRSGRTNVVSGNDNTVISGDNNNVSGSNNTIVTGSDNTVVGSNHVVSGNKHVVTDNNNAVSGNDNNVSGSFHTVSGSRNTVSGSNNTVSGSNHVVSGSNKVVTGDE >SECCE4Rv1G0221940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:53008497:53008790:-1 gene:SECCE4Rv1G0221940 transcript:SECCE4Rv1G0221940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYVEMLDMGVRIAARFHSHCPQTARMYYKPPQSTSTSSSTGAAEAASTDRKAAGFDGGEGVSAGFRPFAASGGLGAGVQPRFGFDTAQVLIYEVV >SECCEUnv1G0570260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:464960704:464960943:1 gene:SECCEUnv1G0570260 transcript:SECCEUnv1G0570260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGDAHARGGAEAAASLRRRRTTSGGAAGGGGGASTMLQFYTDEAAGRKMSPSAVLIMSIMFVAVVAVLHIFGKLYR >SECCE5Rv1G0351540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:700912382:700913815:1 gene:SECCE5Rv1G0351540 transcript:SECCE5Rv1G0351540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSDGEGAGGGVPRSHPSNIPLPMSHSDPNYSGTDDECSNRQSSSSATGGGFYNDYPSSFSGECSPYNMSPWNQTMASPWSHHSEASMAAPAMAPGTSLISSLVREEGHIYSLAAKGDALYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGLHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRSALWIRHSDAVSCLSPTDAGQGLLYSGSWDRTFKVWRISDSKCLESVVAHDDNVNAIVAAYDGLVFTGSADGTVKVWKREVQGKGTKHSPVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNCWEGDSKLVHGGVLRGHKKAVFCLAAAGALLFSGSADNTIMVWRRDAGVHSCLSVLSGHTEPIRCLAVVEYNKENVAAAAAETGDNSGVGRWIVYSGSLDKSIKVWRVTDEPADTLLGGSVGEGSQMFDRYPGDPFGASSSSSFR >SECCE4Rv1G0240540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448048819:448049058:1 gene:SECCE4Rv1G0240540 transcript:SECCE4Rv1G0240540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMRTTCTWTERPYEALLFPGIGFEPFLRSLGGRRRRLPSGGARAISEIPLWKSSDSNLVSDPRAKGQSQVDSFYGA >SECCE2Rv1G0121020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:803928714:803930722:-1 gene:SECCE2Rv1G0121020 transcript:SECCE2Rv1G0121020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRSVPRCTTTTPGARMPCSLQALVPTKARQPRRLTCKATGRRVDRRDVLLGLGSAAAAGLGTRRGRGAIAAPIQAPDLGNCHPPDLPNTAPDTNCCPTSGTGIADFVLPPASSAPLRVRPAAHLVDAEHLAKYERAVALMKQLPADDPRSFEQQWRVHCAYCDGAYDQVGFPDLEIQVHNCWLFFPWHRFYLYFHERILGKLIGDDTFTLPFWNWDAPAGMTLPAIYASTSSPLYNERRDPAHQPPFPIDLDYNGTDVIVPTDEQIDQNLRIMYRQMVSSAKKTRLFMGQPYRAGDQPDPGAGSVENLPHGTVHRWTGDPAQPNGEDMGNFYSAARDLIFFAHHGNIDRLWHVWRGLRPANADFADADWLDTAFLFYDEEARPVRVRVRDCLDTAAMGYAYQDVDLPWLDAKPAKKSAGTPVPAAGALPATLGETVRVTVTRPQVSRSSKEKEEAEEVLIIEGIEVADHFKFVKFDVLVNEPESGGDGASGYCAGSVALTPHMVRTNKKKGSVKTVARFGVCDLMDNIGADGDKTVVVSLVPRCGGELVTVGGVSIGYVK >SECCE5Rv1G0304480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:59262150:59263444:-1 gene:SECCE5Rv1G0304480 transcript:SECCE5Rv1G0304480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSLLTGKKAKDKGVDDGLPAPAAKEKRRWSFRRPAASLSARDTSGTSGGHGKGQLASTSSHCFSEVHVVTVQDQHAVNAVAREVAITAATAPPEGSARDAEEAASLKIQSAFRSYLARKALCALRGMVKLQAIVRGQLVRRQADMTLRRIQALVAAQRRARAERLRLRLLEDGTPPARTSRRSPQNHCSPRKPLSVAMQEENGKGTGEADSGARRNSCCSTPAKAEVYYSQKASPGPSGLTSDLSVRTFSGRFEEEHYSASISAAGSEASGRHRGKACHAHAASYMANTESSRAKQARSQSAPRHRPEAASPSRSYERPPSGSGGRRRASLDPRDLAGQVRAPSPRTSVDAGRVTPQDRPGASLAGSECGSSSSTALLLASAAAARTAR >SECCE4Rv1G0291410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876527942:876530751:1 gene:SECCE4Rv1G0291410 transcript:SECCE4Rv1G0291410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLELLASLAAIVIVLLVEVAVLSSFAAAQLQPDYYAGVCPNLEGIVRYSVKQSMVKSPISAPATLRLFFHDCAVMGCDASVMIISPTGDDEWRNHDDYSLKPEGFQTILDAKAAVDSDPQCRYKVSCADIIALAARESVSQSGGPNYTVELGRYDGRISTTNNVMLPHVNDNLDSLNTFFYTLGLSQIDMIALSGAHTMGAADCGFFQHRTRGKDPSMNPSFDAQLQGTCARQNFAFLDDVTPVGFDNSYFKLLQNGRGLLASDQVLYTDKRSRGTIDYYASNQGIFFYDFSVAMTKLGRVGVKTAADGEIRRDCRYPN >SECCE7Rv1G0504260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:721526157:721535209:1 gene:SECCE7Rv1G0504260 transcript:SECCE7Rv1G0504260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRGASLALLLLLALSAALAPGRAAATGVFEVRRKFPRHGGSGAGGGKHLADLRAHDARRHGRSLAAAVDLPLGGNGLPTETGLYFTQIGIGTPAKSYYVQVDTGSDILWVNCVSCDTCPRKSGLGIELTLYDPSGSSSGTEVTCGQEFCVAARGGVLPSCLPAAPCQYSISYGDGSSTTGFFVTDFLQYNQVSGNGQTTPANTSITFGCGAKIGGDLGSSSQALDGILGFGQANSSMLSQLAAAGKVRKVFSHCLDTINGGGIFSIGDVVQPKVSTTPLVPGMPHYNVNLEAIDVGGVKLRLPTNIFDIEKSKGTIIDSGTTLAYLPEVVYTAMMSKVFAQYGDMPLKNDQDFQCFRYSGSVDDGFPVITFHFEGGLPLNIHPHDYLFQNGELYCMGFQTGGLQTKDGKDMVLLGDLAFSNRLVLYDLENQVIGWTDYNCSSSIKIKDDKTGSIYTVNGHDISSGWRFQWHKPLFVLLVTALCSYTMF >SECCE4Rv1G0227060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:116995429:116997729:-1 gene:SECCE4Rv1G0227060 transcript:SECCE4Rv1G0227060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEQATASRRRKAAPEARGGEGIDALPSEVLQHVLSFLPVAEAVQTCVLARRWRDLWKSMPVLRITCEGRILNRRGVRRLNKFVNHLLLLRDRSAPLDAWEIELSTFHSQDEPQVNLWIRHALLCQARVLCVHLSRDNNSFELLEDLPLISPHLTRLELCNVVLNDSILNFSSCPALEELWMKGCYIQADMIMSQSLKRLTILDCIFYPNERARISVPSLVTLELIECWGRTPLLESMPSLVTGSIKLADCDDCCGKEAGGSCVDDSCENCSSIEDDSGDCVLLNGLSEAKSLALIAEPCVFILKRDLMWCPTFSKLKTLLLNDWCMKANLGALMCFLQHTPVLEKLTIQLSQAPSSRMGTEGSYNLTGQPFASNKLKVLEIKCEKIDERVHRILTFLSTYSIHVEQINIQQNIVSSEEPKDFPQEPRAGPSQARTPRVLPSQNAQLRSMAEQIVVRQEQIEVEQQQILALLGQIQVEQQQVIAHQEEIQAEQQQIIAGQQQQRHLLTQILAWLQEHSARKTPPAAPCAAGSAHTERLE >SECCEUnv1G0528880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6134268:6134921:1 gene:SECCEUnv1G0528880 transcript:SECCEUnv1G0528880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSTDRRAMLGCFPVVGAGRRSPPSGPGLLTYDDGSTASTSVSVSPPSSASTSSPAFLDDVDPDPADDADLSSAIASRRLSLAPPGRSNSIVDSSSEHQHEHAAAPCPPCSSSSSSMIPDGAEAVRSVTMSTDAPRAEFLKSMLEMAEALGLDPRRGADRARMHDLLLCYIAINDSDTLRDILGAFTDLLCILNGNPTGDADGTASTATADRATHR >SECCE2Rv1G0108020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:656977622:656991884:-1 gene:SECCE2Rv1G0108020 transcript:SECCE2Rv1G0108020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFMQVFERRDWVTGQMRQQVDSHAESLACAFLAVGHRPPPWLLPSCAAGLQELNGKPIVPGLLFAGSQITTPATNRTVFQPPAVPSTSLRNVGVPNVYAGLDSICDTVDTNQHEVPQQKQVSVDQEIVEPSSEVNMFSVVKRSRSRQRHIEDRSREKGQATNSGIRDVMQDEMQRSELAPAGSNKTTASLSSKPCGDGENNAETTTSLPGQEKGFYANQDRSTEFVKCSKDDDLGNQGVKLDCSQNQIVSSDNNVKVSVRSSFGVQVTGTVCHALPETYLSVEPKKLQFDGVESVCMNLASEQTMQQPICALESANLDLTEAHPLNEDPSSTSYSQAPQEQHLLARTSLEFKETDVETPLGPTSPVTQNEMLNGKAAYDVVNRHSGKLGDVQIKFSALTKACNISVSNENDESAVPEVMSSVSARRTSEMDSTERNCRTSAEDLQQNGTEQDTSLVENAVKENVNSWTAENDKRRSSQPSVQYFLPSVSHEKNILLESDRSSAACDQKRSVQDGVEVSDSLSSKRRRIRHRSDFDLSRTPCTNSSSLNHQLDIPGHMLTTGNFSEKSHPSGPYFTRSSGSCKSMSLVSEGGNAASDIHGNGNSSCGRRNTTSLLNGVLDNSPTASTSRSALEGRAFKNSQEQKQNKLEVEFLTIAALPYCSGILSHNEENCTQQEGTCFEGQDLNVTAASVADQEMAPEMDNLSSPIAILNQGNYSGTELFTRFPSYGQASAPNALFHEKLWYDSNECGRKYKSYDPKGQLGDESFDCDGSMPVMEIFDVSDQLDSPIIGKRSFESLHDSHQLGTICSDPTEKHNTNTVSSLHPLLATTMSGKPRNCPLSDDLQYSASNSCSGMDSFGCGLDSFFISDGVASCSSNASSRQEINETPLTPSVEKYSQKLSLRSGSGSEHMGSIPELEVFRIDEDNSIPEEDEYQDMAPGSVDVNYSCQRQSGGTALQDITGLCQNNGNSPSNSTRSMDKGNIDLSPESGSSELTHHSNIRNDSINPRYNHPTSVKREGKVSRSLHDRSGTTEIIKSRSGRHRSEANIDKQGTTEIINSRNGRHRSEANVDKQGTAELLNSKNGRHRSEANVDRQSKPSNIVANVTSFVPLVKQKLQSTTVSVKKDVKVKALKAAEAAKRLEEKKQKEQEKRKAAAKAERERLKQEKEQKQKLEEEQKKRREVDAAAKKRQREEGEKRETMKRRKCMDEARKQQKQPMDRRGTKDGKDARQKASDNMEPKKNLVDVGKNQVKPDETTEHALRYKANESKDEKGVAVDDRNASFGSDAKENILNSLEESYTMTPYKDSDDEDDDDYDEHVQEARRRRKFVPSWARKENLDNILLSNKTLNPREIFARKLSFNISEVLSAHAPQRVLR >SECCE4Rv1G0258130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:675485526:675485837:-1 gene:SECCE4Rv1G0258130 transcript:SECCE4Rv1G0258130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSLIQREKKRQKLEQKYHLIRQSLKKKIRSKVSPLSLSEKTKMREKLQSLPRNSAPTRLHRRCFLTGRPRANYRHFGLSGHVLREMVYECLLPGATRSSW >SECCE3Rv1G0166910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:194538399:194539154:-1 gene:SECCE3Rv1G0166910 transcript:SECCE3Rv1G0166910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVTLQYIPNDSTRRGTFKKRRRGLMKKASELAILCDVRACVLVYGEGDTVPEVFPSHAGAVKILNIFKKMPELEQCKKMMNQEGFLRQRIDKLRDQVHKFARECREREIKTLLLKAMCGNLPGLVGLNIEELTSVGWKVEMLLKGIGDRIAKLHGQPSAPYMTGDMDMVPPTINQAPHVQEGWLDMVRSGGDLGALGYSDFSGSHDVASTSSSVGYSDSDMMQPIDLGFGWQWGADLGASSSPFLPM >SECCE1Rv1G0033490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:468167623:468168182:1 gene:SECCE1Rv1G0033490 transcript:SECCE1Rv1G0033490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQERSELDRMAREGETVVPGGTGGKTLEAQEHLADGRSRGGQTRKEQLGEEGYREMGQKGGETRKEQLGEEGYREMGHKGGETRKEQLGEEGYREMGHKGGETRKEQMGEEGYREMGRKGGLSTMEESGGERAAREGIEIDESKFKTKS >SECCE2Rv1G0105210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:616156659:616157576:1 gene:SECCE2Rv1G0105210 transcript:SECCE2Rv1G0105210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGSYPNKVIPSGSEAYISPLHEALRGTRAMPVFNDFLASESLPLDLPSMGNSSTALHAQPEPTMMPVNNSSMMPHQVNVGLAPLPPQLSDTIINPLSMVNTIVSQPNNAEIHQDEHEMFTHEDFLSNPLWNHTNSYQHMHTSSMESPSFTSLLQTDPNSTVHTQFSTTGALEDGSIYGMPTRHVPENIQNGRLLYDASYGVPAPISPFMTTSHVPRQDKTPLVGPNEIFDSAILHDSTSLGNYTCKICGRKFITSQAYGGHMSSHAKERKKQLQS >SECCE5Rv1G0344820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:647503402:647505729:-1 gene:SECCE5Rv1G0344820 transcript:SECCE5Rv1G0344820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASEDEAGSERCCGSYSPSADVSGSETSSDCSAPTRRFPFSSSSASASRGLASSSSSQLPTPSAAFFPSNPASHLSEIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPLAPARKAMWTREMDWLLSVADSIVELIPSVQELPDGGGQFEVMVPRPRSDLYMNLPALRKLDAMLLAMIDEFKETDFWYVDRGIVVDDGGGGPCPSSSSSSCGRPSSVRQEEKWWLPCPRVPPKGLPEEARRKLQQSRDCANQILKAAMAINSDVLAEMEIPEAYLESLPKSGRSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKTAPQAKSKKSWGGKVKGLVGDTKSQVLSQRADGLLQSLRLRHPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNTIARIDDVIYVDDATKKSAAAETVSIFNRGTGAPVQKKISPSPFSIQHTPYASPFATPTFCSSTPVNGNSPGRAPQPPPSKKNPPAKPEIKVEKLFSGDVEKVWTYAGNLSARKEAGDAPERD >SECCE5Rv1G0322180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:416997045:416999421:-1 gene:SECCE5Rv1G0322180 transcript:SECCE5Rv1G0322180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L17-1 [Source: Projected from Oryza sativa (Os09g0258600)] MVKYSTDPANPTKSAKAMGRDLRVHFKNTRETAFSLRKMPLNKAKRYLEDVLAHKQAIPFRRYCRGVGRTAQVKNRQPNGQGRWPAKSAKFVLDLLKNAESNAEVKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINPYMSNPCHIELILSEKEEPVKKEAESQIARKA >SECCEUnv1G0536500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:64598846:64599964:1 gene:SECCEUnv1G0536500 transcript:SECCEUnv1G0536500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGGALPVVDLAPFFGGDGADDTGARARATEAVRAACQATGFFRAVNHDVPHELMARALDLSAAFFALPDEEKAKVRPAEGASASPLPVGYARQPAHSADKNEYLLLFNPELGLNHYPAEPAGFRDALEECYAKLTELGLLIQDILSECMGLPPGFLAEYNADRGFDFLTALRYFPATSSHENNGIGAHEDGNCVTFVLQDGVGGLEVLGEDGRWLPAKPVEGSIVVNVGDVLQVLSNKKFKSATHRVVRRPAAHRHSIAFFLNLHGDKWVEPLPAFAADLGEPPRYRGFRYNDYMQLRMRNKTHPPSRPEDVVHITHYEIL >SECCE3Rv1G0153190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:52706732:52708287:1 gene:SECCE3Rv1G0153190 transcript:SECCE3Rv1G0153190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSILGAMKLAPSAPATTAKPAWRGPSSLHFHLANAGAAALVAASLLVADPALAFRGGGPYGQQVTRGQDLTGKDFSGQTLIKQDFKTSILRQTNFKGANLLGASFFDADLTGADLSDADLRNADFSLANVTKVNLTNANLEGALVTGNTSFKGSNIYGADFTDVPLRDDQRDYLCKIADGVNTTTGNATKETLFCK >SECCE2Rv1G0109190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:673466952:673467880:-1 gene:SECCE2Rv1G0109190 transcript:SECCE2Rv1G0109190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPMAMLPFLALGVAALVLSAAGLVAAQKCGCRANECCSQYGYCGTADAYCGKGCQAGPCTASGGGGGGSGSGVSVESVVTEAFFNGIKSRAGNGCAGKSFYTRPSFLAGARANPNFGKGSTSDDGKREIAAFFAHVTHETGHMCYIEEIGGAKQNYCDRKYTQWPCSSGKGYYGRGPLQLTWNYNYGAAGKSVGFDGLNNPEKVAQDPEVAFKAALWFWMNNVKQVLPRGFGATTRAINSGECNGGNAPAMNARAGYYRAYCKQFGVDPGNSLTC >SECCE4Rv1G0265710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727107922:727109436:-1 gene:SECCE4Rv1G0265710 transcript:SECCE4Rv1G0265710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELWLTWATLAVVSLLCYLANPTRRAGTGRMPPGPTPLPVVGNLLCLRDGNLHHTLARLARAHGPVMTLRLGLTTAVLVSSRDAAREAFTRHDRRLAARAVPDAARGLGFADRSMVWLPSSDPLWKSLRGIAAAHAFSPRALAAARAVRERKVRDMVGHFRGRAGTEVDVGQSMYAGVLNLVSSALCSVDVVDMGAAGSGQGVRELVEDLIAVIAKPNVSDLVPFLRRLDLQGWRRWSAIRIGKIFHILDGIIDRRMANTSTDEKLSHGDFLDSLLELFSTGKIARDSVTAILFDLFTAGSDTTALTVEWAMAELLRNPGVMAKVRAEIDDALGGKEAIGEADAASLPYLQAVVKEAMRLHPVAPILLPHRAVEEGVDIGGYAVPEGSTVIFNAWAIMRDPAAWERPDEFAPERFLGMADADKAVEFRGKAFEFIPFGSGRRLCPGVPMAERVLPFILASLLRAFEWRLPDGVSAEELDVSERFTTANVMAVPLKAVPVVVT >SECCE5Rv1G0331570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:539729207:539730190:-1 gene:SECCE5Rv1G0331570 transcript:SECCE5Rv1G0331570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHPGGVGVVRASVAREPLFTFGVIADVQYADIPDGRSFLGVPRYYRHSITVLQRAVRSWNSQNAGVKFCVNFGDIVDGFCPKDRSLSAVQAVVAEFDRFHGGPAYHMLGNHCLYNLTRSELVSVLRMPGRAYYDFSPWPGYRFVVLDAYDFSAVGWPRDHPVAAAARRFLEERNPNSDKNSPTGLAGEDRRFVMFNGGVGVEQLRWLDGVLRDASVRAETVVVCSHLPLHPGAASPAGLMWNYEEALAVVHRHGCVAACLAGHDHKGGYAVDACGVHHRTLEAALECPPGTDAFGHVDVFPGSLSLVGSGRMASTDMPLALPRQS >SECCE2Rv1G0084210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:173169413:173170267:1 gene:SECCE2Rv1G0084210 transcript:SECCE2Rv1G0084210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKNTMALVALLLSCSSMTMSSAARHLEEAVPKKEYPPHPIVPELPKPELPPHPTMPELPKPEPHPLVPEVPHPMVPETPKEPGVPHPVVPEVPKHELPPHPSMPELPKPELPHPAVPETPKEPKVPHPVVPEVPKHELPPHPAMPEIPKPELPHPAVHEVPKETQAPHPIVPEVPKEHGLPHPIVPEVPKEPEVPHPVVPEVPKEHELPHPAMPELPKPEMPHHVVPEVPTEPHVPHPEVPIEHELPHPAMTEVPKHEMPLYPKAELPPKPEFHFPEPESKP >SECCE5Rv1G0346570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:662563648:662565860:1 gene:SECCE5Rv1G0346570 transcript:SECCE5Rv1G0346570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCSHLAPLLLVALAALSLSLSPTPAAAARFACNATAPRASTCQALVSYTPPNATTLAAVRALFQLRSHRALLASNNLPPSTPTTAPAPSPVRVRLPCLCSGGAGATFQRPTYKVRAGDTLDAVARGAFAGLVTYRDIAAANNVSDPNRVAVGQDLWVPLPCSCDPVGGEPVVHLTYVAPAGSSVAGIAEEYGTTEETILALNRMPDAKSLLAGQVLDVPLRACSSAISSSAIDRNLRVPNASYILTANNCIMCGCSSTTWQLDCQPTQGLTPSCPAAKCGDLFLGNTSTSATSTCESTTCSYAGYTNSTSFTILANLTTSSVCNAAGISPAAQPSHSSASRLGSPARWSELIVGLHVALLCLGFLRRD >SECCE1Rv1G0044350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:602316805:602317473:1 gene:SECCE1Rv1G0044350 transcript:SECCE1Rv1G0044350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAMVVECFARACGLVIVNTVCIGGTTILLYALVRLSRKPHHSKGSIVGFTVFFLVWFCVLAGFYTVFCGVLFPWSALRRCLGSTNRALLRLLRSAGRLLCLPCRCARARLRRRSGSSALPQFLDQIQGHMPALAREAPVHGGARATTAYDILSYEQPECGGGTPECPVCLGEVEKGETVKRLPACLHMFHQQCIDPWLHEHATCPVCRCIVFAPLPAQMV >SECCE2Rv1G0129670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:869673816:869674772:-1 gene:SECCE2Rv1G0129670 transcript:SECCE2Rv1G0129670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPVWFVFLAGLGALYLFAACLHVLAHLALCLRRPIDLRRHYGSWAVVTGPTTGLGRSMAMELARRGISLVLLDLDAANLQSVSAEIHAAHPGVETKSVVFDLSLVGTAAGDEAMQRLKEATEGLDVGILVNNAAVNRPGALYVHEADVERLARMVLVNVMALTEVTAALLPGMLERGRGAIVNVGSGSTVAVPSFPLYTVYSATKRYVEHLTRCLNVEYKHKGIDVQCQVPFYVHTGMLSPAIKATMTFPAFVATADEYARDAARWIGHGALCVPDASQQLQWFLASLVPDGVHDWYRLRQHLKHRAILRPKLT >SECCE4Rv1G0295580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898870578:898871876:1 gene:SECCE4Rv1G0295580 transcript:SECCE4Rv1G0295580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKPMVTMLAVVTVFAVMNTLTKMAFNEGMHTTVLIVLRQLTATLFLAPIAYFKERKTRPKMTTEIFVYLFFSALLGASLTQWLFFFGLRYTTATFASAFINMTPMFTFLLALPFKIEKLDVATGSGAAKLTGTAVGLAGAILMALYQGPALTGPPRTDHHATTGAHGGAGRWAIGSAALLGGSASWSLWFILQSKIGTKYPALYSSTAWMFLLSTAQMAAVGAATEAMTLQVWLPGTALKAVTVLFVGVVGSGLGFLAMSWCVERRGPVFTTAFMPLIQMIAAGINVTVLHEQLRLGSVVGSALVVVGLYLVLWGKSNEASSKPKLPPPSHSKLVLDEETEHGGDSWIMQSV >SECCE4Rv1G0221290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:47520779:47524313:-1 gene:SECCE4Rv1G0221290 transcript:SECCE4Rv1G0221290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGVLMDAGVGGGDLGMLGSRLLKHGRGNAAAADADDHGWGGGRPPAKQARAAASAGDSDAVSEAVKAAAPYLLGTCSPGHGREKMLSFSSSSSHPSSCPSAAAAAAAQAALPLYYGTPASCSGLSSVSLSASIQGAMARVRGPFTPSQWMELEHQALIYKYLAANIPVPHNLLVPIRRSITSLYPSAYFGSSTLGWGPFQLGYSGNADLEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGHAAKAMPATVAAAAAAAQPGALATGGGGGATASAAICHEQQQQQLKNYSASTIDPCSLQYNREMATKQQHECEQVQDSDSLSMLTSMSARNTTGSMFPFSKEHHNHNPFEVTSSRPEYGLVSSDSLMSSPHSSLENVNNLLTSQRALSNEQQSSLSLQHFADWPRTPSQQGQGGGGLSWPDAEDMQHAHQRTQLSVSAPMASSDLSSASTSPIHEKLMLSPLKLSREYSPIGLSIAATAKDEGEANWMPMFRDSSMGGPLGEALNKNNGGNMEAKSYLSASLNLMTDAWDSSPLESSPVGVLQKTAFGSVSSSTGSSPRQEYHGVYDGNLRDDLGSIVVNHPSIRLM >SECCE4Rv1G0227820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:128426813:128430990:1 gene:SECCE4Rv1G0227820 transcript:SECCE4Rv1G0227820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLISFETPPPLGRQESTAATAATVMKVAKEASSSGGCFHDSNLDLSLGISLSPGGNCSAASCGGAAMASYNGRQVGGNVGRVQSSDMVIASTATANTLSAGPGGNCHGIVPSSSWAAVFMQSPTGFMHPWSLAARQQKAAAEQDRSIAPASVATTYVTSDASVVSVPSAAVGWPPVHTSRRHLVTAAHVPKPDAGVKESDGPKNRKIPAAASPADDDKEVAGVPRSCTVTAEPQRLQANMFAKVHMDGCLIVRKINLRAHRSYDSLSRSLTKMTRNFFCPADYQSSDSGEGDCANSDDFIFLYEDFEGDRMLVGDVPWELFLATAKKLCIARHPTSRDTKGHDEVAKLDARVKLVTNN >SECCE6Rv1G0405100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:526942893:526944623:-1 gene:SECCE6Rv1G0405100 transcript:SECCE6Rv1G0405100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRESAETLRNKCSACFRQYNKMEHLVEHMKVSYHSVHEPKCGACRKHCRSLESLREHLIGPLPKAECARVFSARGCGICLNIFDSPAAARYHRHACQYSRAAPMPKGGASGRAVAMACKMVGGGSDGSVDLCARVCLIGEDENIIFQTYVKPTAPVTNYRYEVTGIRPEYLRDAMPLKLVQRRIQDILCNGEPLWKIRPRSYGRARILVGHIVDHDLERLGLEYPAFMIRDTAKYPPLMKTTKLSNTLKYLAQAYLGYDVHTGIQDPYEDCVAAMRLYIRMRSQAHPRDYASGSGEVQNNYPAWRQRELERMSPEELLALSGSDYYCWCLDP >SECCE1Rv1G0003370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13702603:13704858:-1 gene:SECCE1Rv1G0003370 transcript:SECCE1Rv1G0003370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITNLLPPLLLLAALFPALTLCYLSPSATRVQQNATKNSAYRAYIVLVEPPRLNADEDTHRQWHESFLPSSLASESRLLHSYTQVFSGFAARLTDAELDMVAKNPGFVRAFPDRTLQLMTTHTPEFLGLRKGTGLWSEAGYGKGVIIGLLDTGVYSIHPSFNDNGVPPPPAKWKGSCNAGRCNNKLIGAKSLIQGYDSSDQEGHGTHTSSTAAGNFVANASKHGAGTGTAAGVAPGAHIAMYKVCNYRGCQQSAILAGLDAAVKDGVDVLSISLGGSGIGSFDHDPVSIGAFHAISKGVIVVCAAGNDGPTQGSVTNDAPWLLTVAAGSVDRSFRVGVHLGNGKSFDGEALAQKASPSSKPHPLLYSKERRYCEYEGDHGVVVGKIVVCEGGTPKTKESSFRGIVGAGAAGVLLFNDGVSGYTTIVRDYNSSVVQVTAADGDALIAYATASPPESASVAAFTYAGTLLGARPAPVVASFSSRGPSPVAPRILKPDVLAPGLNILAAWPPSTSTGQGPFNIKAGTSMATPHVSGVAALIKSIHPDWSPAAIKSAILTTSDIVNSTGGSILNEQHGKASVYDTGAGHVNPRRAADPGLVYDLGVTDYAGYICWLLGDRGLATIMHNSSLSCAKLPKIQDVQLNYPTITVPLSSTPFTVNRTVTNVGPANSTFTAKVDAPRSLTVRVSPETLAFSQMGEKRTFSVSVSDHGSAGEFAVEGSLSWVSKRHVVRSPIVALTTTGGGGAAPAPSS >SECCE3Rv1G0160260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:103727550:103729118:-1 gene:SECCE3Rv1G0160260 transcript:SECCE3Rv1G0160260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMPPQPHAVCLPYPAQGHVAPMLNVAKLLHARGFHVTFVNSEYNHARLLRTRGAAAMAGAPGFRFATIPDGMQARSDGGDDDVTQDIPSLCKSTTETCLGPFRCLLAELNAAGDWPPITCVVSDLIMGFSMDAAKELGLPYVQLWTASAVSYLGYRHYRLLIDRGIAPLKDMKQLTDGYLDMPVENVPRLRSMRLRDFPTFIRTTDPDEFMVGYAIKETERAAGASAVILNTFGDLEGEAVEAIEGLLDDGNNKPKVYTVGPLTLLAPRSTSSTSISSLSLWKEQEECLQWLHGKEPASVVYVNFGSIVVMTNEQLVEFAWGLANSGRHFMWVIRRDLVRGDAAVLPPEFLTETAGRGLMASWCPQQEVLNHPAVGAFLTHSGWNSTLESMCGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDSNVQREAVVGLITELMDGEKGKQMRKRAEEWREKAIMAANPGGSSHRNFDELVRNVLLPKNDNGAHGVARD >SECCEUnv1G0546540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:158030706:158030921:1 gene:SECCEUnv1G0546540 transcript:SECCEUnv1G0546540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKRHKIERELSIGDEVGWSKNVKTAKSNPTLAAMNKKFGMIHGLSSLANILSFGSLAMHSWYLASKLEL >SECCE2Rv1G0139450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:927242280:927244262:-1 gene:SECCE2Rv1G0139450 transcript:SECCE2Rv1G0139450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTESLIKLFSEWEIQLLVLLSFTLQLFLFFTGSLRRNGTRGFLRLSIWAAYLGADLVAVYALGLLSRHEDITTTERQMQLLAFFWAPFLLIHLSGQDTITAFAMEDNNLWLRHLLNLVVQVLLALYVFWKSVGRHNVELLVSGIFLFVAGVIKYGERTWSLKCASLESSTGHHYIKLFPEEINVVDVVYSSIVCAALDSMPYILGIFSASSLFATSPLSEDTLRDPDKMLKVVRLELGMMYDDLYTKAVVLRTRSVITLRCISQISFFVSFALFHADDKQRYSRGDTTITYTLFIGGFLLELCAMFVFMMSPWTWAWLKVKKCNRLAKLSWFIFSTNIGWPEKKQRWPNLMGQYNFRSWLTGNDLQPRTWKQRVMTVVKRLFMYLFCAKKKNIFWMSKLLDTEYVNVDKMMMECVAKEICLLHDEFPIGDSPTRKPTHKKGHREWQSIQPLLTRTKTDLVTDFGCGISEMHMLTELHLSKYPPPSDMEANNAGMVEVCRKLSHYMMYLLVTHPSMMPLNISAKATLERYQVDPRVIEEDLMVKEEGKEPSKEVLERMEPSKEALEGLVHMWTRLLLYAAGKSRAEMHKMQLSRGGELITFAWLFMAHYGLGDSQLRRIQITNDETKTICPSVPEIYTFYDPKYIIYLCTTYSLLSKAS >SECCE4Rv1G0275950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:796035702:796036823:-1 gene:SECCE4Rv1G0275950 transcript:SECCE4Rv1G0275950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDQGWADLPDVLLHSIIALASSFSDLLTFARTCRSWRDAFFSYPSKSAFSTIFPPVLLQPDVPVHSPCYRPFSDKRPCNVIDPASEHSRICRHIPLVNLSRANNDPQNSLGSFCFLGASYGHLIFSRNRSCLIVDVFTGVSLSPPLIPGDESTEVYYGALTAPVESPNPHLIVTNESHSYFWRVGSRSWLRACPCDGTVQQIVTFKGQVFGLDSGGMLFVVHLAPWIRVRMMGVSLDEIPLSHLANLYLVACGDMLLLVGCQGSFPARGDTFEVFRLDQSTEHAMWVKVEELENWAIFISTDKRSQPLSFINPERWGGRSNCVYYYSHDSEHWAAFELGKPASSRNSFVFISSGNAVQPMWVVPSMFSQSP >SECCE2Rv1G0084670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:177918788:177922272:1 gene:SECCE2Rv1G0084670 transcript:SECCE2Rv1G0084670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLIRFLCLLLLAGEVVLIGAQSAGGGGGDKEVLVELKRFLVANNRFNRGGYDAWQESDPSPCGWKGVICDVGGRVWSLNLTGSAISGPVFGNFSRLSALTSLDLSGNSITGALPAADLNQCRGLLHLNLSHNLITGPLNLSGLTRLQVLDVSGNRLEGAVAVNFPAICADLTSLDLSTNNLTGSITGLFDGCPRLEKVDLSSNNFTGGLWPGIAKFREFSAAENNLTGSVPWSTFPHGCRLQSLDLSANQLVGRFPDSIANCTNLTYMSLWGNNFTGIVPAGIGKLAVLETLILGNNWFDRQIPPELTNCGRLQFLDMSTNKFGGDVQQIFGNFTSLKYLVLHHNNYTGGIVASGVLRLPLLARLDLSFNQFTGELPPEVADMKSLKYLMLAENNFSGTIPPVYGRIAELQALDLSNNTLTGGIPASIGNLTSLLWLMLAGNQLSGEIPPEIGNCTSLLWLNLADNQLTGKIPPEMAEIGRNPGPTFAKNRNDTSVLAGSGECQAMKRWIPASYPPFSFVYSVMTRENCRSIWDRILKGYGIVPICTNSSSPARSNTVSGYVQLSGNLLSGEIPSQIGAMRNLSLLHLDGNRLTGQLPPEIGRLPLVMLNVSRNNLSGPIPSEIGNILCIERMDLSFNNLSGELPASLFKLTELSMFNVSYNPLLSGNVSTTGQFGTFDEQSFLGNPLISLHQGGAAGKQPPRPEAADAPAVRTRSIRRSIVMWLLFSLVIAFAAGTVVFAITSLRARFPVDQEPEPDPFSCEHPKGKCAFGISSSPPSGSSSATGCSSSTEGVKVFRLDKTAFTYRDIVAATGNFSDDRVIGRGGSGVVYRGVLPDGRAVAVKKLSRPRDGVDGDVEREFRAEMEVLADRLGFTWPHPNLVTLYGWCLSGGAKILVYERLDGGSLEALICDTGAFGRAARLDAAVGVARALAFLHHECVPAVVHRDVKASNVLLDGDGRAKVTDFGLARVVRPGDTHVSTVVAGTVGYVAPEYAQTWRATTKGDVYSYGVLLMELATGRRAVDGGEECLVDWARRTAKEGRKQQTEDQPTTAAVFWELLELGMRCTADASHERPDMPDVLAALLDIAAVNGDVACAYCKSSEHSDGEQASSVT >SECCEUnv1G0561030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:351386703:351388658:-1 gene:SECCEUnv1G0561030 transcript:SECCEUnv1G0561030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHKDQPQQMFISILGESGVGKKTLLCSMIGHTDVIYEFEIVVWFNMPENCTEEDLLQEIYDRACESASQHHPNEDIDIADKLRHLLEKKRYLVIIGGMCSKTFLNCVRMSLPDDNNGSRVVLVLDTESEEVAWHANTMNNDGVNGIHMLGRLDEKRSGQLFCSRASRKELSDVKENEDMSKYNRIVYDITGGHPLAIVLLAGLLRFKEKPGQWEAVLQQLRHAPGMEEAQGVEGNKITESVLSTERRHIERVFWASFEDIPNDLKSCFLYLAAIPKNTTIYANEVVRIWMAEGFIKPQQGKTLEELGHTYLKELVLRCLVHIDKMNDVGIIEKVTVPRSLYGFLHSEAREAGFMDVHGMHDVVVPPSVRRLALTSFKGGHTTFTNKLCKLRSIICWVEEKDRSNDGQGVKQKRRHDLKFLCGSKFLRVISVHGLWIKELPDEIGGVVHLRYINVSGCKDLKKLPSSIKRLLNLQTLDITGTQVEEIHPSFWKIKTLRHVLAEELTLPASIKEELDELQTLWGVKPAPGGDWGQGNCPLHKMTKLRTLGLQGFKQSKHGAALESALRKMSLLHHLDLIGDEIPSCVFTAESLGYLEIVGLRGRIKWPGVASDVRKVRPNLVRLTVGKGTRQEVPEHIKDQLHGILEVSDV >SECCE1Rv1G0005830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28406204:28416008:1 gene:SECCE1Rv1G0005830 transcript:SECCE1Rv1G0005830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGATAASASDVLRHYGRCYWELSKARLSALVVATSGAGYVLGSGSIVDIAGLCYTCIGTMMVATSANTLNQVFEAKNDAKMRRTMRRPLPSGRISPMHAAMWATSVGAAGTTLLACKANYLASGLAASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGNITQKWHGASRNYRYVLDVSPAALYFWQLPHFMALAYLCRDDYLAGGYRMLSFADPSGKKTAWVSLRNCVYMLPLGLLAYYWGLTSGWFSFEASVLTTGLTIGALSFVLDPTHKSAKRMFHGSILYLPALMAGLILHRLPNQ >SECCE3Rv1G0195170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:790169500:790173128:1 gene:SECCE3Rv1G0195170 transcript:SECCE3Rv1G0195170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNWTLPDHPTLPKGKTVAVVVLDGWGEASPDQYNCIHVAQTPVMDSLKNGAPEKWRLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDAALASGKIWEDEGFNYIKESFDKGTLHLIGLLSDGGVHSRLDQVQLICKGASERGAKRIRLHILTDGRDVLDGSSVGFVETIEKDLAQLREQGVDARIASGGGRMYVTMDRYENDWSVVKRGWDAQVLGEAPYKFQNALEAVKTLRAEPKANDQYLPPFVIVDESGKSVGPIVDGDAVVTFNFRADRMVMLAKALEFPDFDKFDRVRVPKIKYAGMLQYDGELKLPSKYLVSPPLIERTSGEYLVKNGVRTFACSETVKFGHVTFFWNGNRSGYFDETREEYVEIPSDSGITFNEQPKMKALEIAERTRDAILSGKFDQVRINLPNGDMVGHTGDIEATVVACKAADEAVKMVLDAVEQVGGIYLVTADHGNAEDMVKRNKAGQPMLDKSGSIQILTSHTLQPVPVAIGGPGLHPGVRFRSDIQTPGLANVAATVMNLHGFQAPADYETTLIEVVDK >SECCE5Rv1G0355240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:730799837:730807099:1 gene:SECCE5Rv1G0355240 transcript:SECCE5Rv1G0355240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8B [Source:Projected from Arabidopsis thaliana (AT3G49650) UniProtKB/Swiss-Prot;Acc:Q9SCJ4] MPTIRAPASRQTATLQVAVKCRPLTDNECRRSRHIIQVIDDKNVAVLDPDISKGYLDLIQNRTKEKRYSFDHVYAPGCSNTDVYKNISPTVLGVVQGLNVTVFAYGSTGSGKTYTMVGSRNDPGLMVLSFRTIFDQIKKDDSPDTFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPEHGIIVAGLRRIKVHSADKILELLNIGNSRRKTESTEANATSSRSHAVLEITVRRKQKGKYGSQVLRGKLALVDLAGSERASETNNFGQKLRDGANINKSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTVMIATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGTIDNHVEDYQRMIENLQGEVSQLKKELADKEHQLSVKPTEKAADNELSWLNVLSQETGENVQERINLQKALFELEETNKRNRMELQHLDGAIARPQVNEKDYTVLQALTLRRQVILDNIRDNDEAGAGYRKDIELNESRRRQLQDMIEEATSNNGNRTYLQILSQYRLLGMNNAELQIEMAMRDQVIHNQRESLRSLWNILYGTGLNQKQILKLAAKQGLTVEGCPLPSSSPDVAMPHGRLPPFMSFPSPQSQSSSSPSSCFFQQGFSTMSVLKNQHETPTICRQEHLSSYYMMSGCSPYAGDGKQWASGASTPYHSTPETKSERSPCSGELRTPYSGIEAFSRQRKDSCSRER >SECCE2Rv1G0128430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:860502690:860503405:-1 gene:SECCE2Rv1G0128430 transcript:SECCE2Rv1G0128430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELWKDMSLCSTPVALQSYHLHSPAARPYRGAVYLQDCLAGANSVPQPPRTPPPHTALSLEFTYLGGANSAASSGDDPGHFGFSLSGGNNGSRRSAVLQPAAVGGDRRQRRMIKNRESAARSRARKQAYTNELELELEQLRRENKMLIQREQDFINDRQAKAAQLAVPDCRSAGRSTASSLQLKQQQQRCRSAPPP >SECCE2Rv1G0084890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:181917393:181917932:-1 gene:SECCE2Rv1G0084890 transcript:SECCE2Rv1G0084890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGPEAEEQILGNQGDEAGRADDNTSTPWLKLGLDALRSEAAKPPEAKPVATPQRTFSCNYCLRKFFSSQALGGHQNAHKRERCAARRSRSFQQLMIPFPPTASFIQPMRASPHSTILTAQGERAAAVVARFHDGQMRNRMPFAVEEAAYLVWPGSFKASLQEPMKQPEENIDLSLHL >SECCE6Rv1G0410240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:596214873:596215358:1 gene:SECCE6Rv1G0410240 transcript:SECCE6Rv1G0410240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCNDQGRTAAAARLMEPGTKYQPCNTAATQTAGRVPTDEQQPPPRCARRKHSASASRRSSTTVMVTDVSNFWAMVQELTGFPPAAILRPLPRRAHAASHSLAAATHGCGGAGCRSDAPTTAGGVPALVQPLTHPPGVFDGLPDLGSPEFDTGPDLSFEL >SECCEUnv1G0567870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:418868835:418870158:-1 gene:SECCEUnv1G0567870 transcript:SECCEUnv1G0567870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDTQKMIEQWCTQIEKGNGHQAEIDMRCYSDDLTLGIIERVIFGENSKEAREVFIAGKEGQKLAVYAFADPPIPGFRYLPTRRNIQLWKINKLATSKIKHLIKTRLVRGVSGDDLLWLMLEAYMSKEVDPLSTEEMVGECKTLFAAGQDTGASLLTWGMFLLSNYPEWQEKLREEVLRECQDDEEAPSIDVLGKLKLLNMFLLETLRLYSPAPFLMRKTAYDTTLANIKVPKGTMITIPVMMLHRCKEIWGLDVDKFNPMRFEKGISRAAKNTHALWAFSYGPRGCPGRNYAMIQVQIAMAMVLRRFSFSLSSQYVHMPMFYITLVPRYGLPLIVHNLVDDNKNDM >SECCE1Rv1G0007860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42934477:42936240:1 gene:SECCE1Rv1G0007860 transcript:SECCE1Rv1G0007860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSAQHPWAFTFGLLGNVISFMTYLAPLPTFYRIYKNKSTQGFQSIPYVVALFSAMLWIYYALLKSDEYLLITINSAGCVIETIYIILYLAYAPKQARLFTAKILLLLNVGVFGLILLLTLLLTAGERRVVMLGWVCVGFSVSVFVAPLSVIRLVVRTRSVEFMPFSLSLSLTASAVVWFLYGLLIKDKYVALPNILGFAFGVIQMGLYALYRNATPRPAPKEVDAPISDDGAAKAPEHVVNIAKLGPVAAAIELNTHYPVEPLPPMKEGAAKENGVACASGEKLDKATHVEQV >SECCE1Rv1G0059390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:705578234:705578962:-1 gene:SECCE1Rv1G0059390 transcript:SECCE1Rv1G0059390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAYPAAGAGHPLLRLNPHQCIPFLEGLFGSRSGEAKLEALPSPRLMNTHMPLAMMPRATAPGGGGCKVVYVCREPKDMVVSRWHFQRQVHPELSFADVFEAVCSGAVAYGPVWDHMLGYWSASRARPDRVLFLRYEELLRDPAEHVRALARFVGLPFSGAEEDAGVVHDIVKLCSFGHLKNLEPNKTGHVDPILPIPRGALFRKGVAGDWVNHMTPEMARRLDEIVADKLHAAGLVCHE >SECCE2Rv1G0083570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:168533857:168538558:1 gene:SECCE2Rv1G0083570 transcript:SECCE2Rv1G0083570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARRVLNAFADDMPEFDRRQMGCMAGIFQIFDRQRMLTARRAGAGAGSGGRQPQQKRLPAGRTPPESSSNVPVQSSSTPKIILEKTLSKSMTENSSLSIESSRASCSSSSCSSFSSIDGSKSAQQELPYINEELFPQRPPKNSPSLKGADMDTKTAQPNVGFRDIVKDSINRDSGGLTVMTTANGARRNTQYKDSPRPLLLSKSMDGTYVISIDRTTKAVPTNVVESSRRFPEQSRFSCDDRRLLRPAETQEAKKPSSTRAKELPRLSLDSRKESLSPSSRLKSYSYRRTDDSLLDTLKPQDSPSHRRSNSVIAKLMGLEEAPDAMGMLIADSYEPARSPRTAAQATQSERPSRSPRSACQDAYASLPKNEPSALKARPPPRILTEAAPWRQQERGVSVTNSKASQCRDAEVRPRTASLYADIERRLGGLEFSECNKDFRALRILGVLHAKDAKSQNDDGDAASVASQRQEEDSATTSSRSFQSPIVVMKPARTTDKPGVSVAPLAGLRGLRKLQPRDSSFTDKSEASTHEKIHSRVARAQSKPDEPASRASSPRPTGSSSPRLVQRKAESERRSRPPVSPKSPSKKSNEAASPRGRTRSKPSQVRSNRDNEVSQSPGRRISLAKQIDVSIMDCQNPLVARSSFVDPKTPSQKSPSSILGSDHKIHSLENALSPVSVLDTSFYHKSISDSFKDGETHTSDECWNSNSLPDTPQSKTSSEVSQIKPENLEALIQKLEQLQSMNDEAANSKDHQYIYEILLASGLLHKELSFAAMPAQLSPSSCPINPELFLILEQTKPHFISATQAVTGAKKSSDPNTEKLHRRIVFDLVNEIIAQKMNIYTSASGTTKLLRSRKLSGWRLFKELCTEVDRLLSESSAAKCSEEDEDESIPLSEDALYEMKDWGSPEGELQGMVLDIERSIFRDLIDEVIGGEATERMQAGQWKLRRQLSFSSIN >SECCE7Rv1G0522690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878945933:878948793:1 gene:SECCE7Rv1G0522690 transcript:SECCE7Rv1G0522690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPLHGIHELLLQARSPHAALGLVFVLLVCPLLVLLVVRRLATPSTAARAREELLGRLPSPPSRLPLIGHLNLVGSLPHITLRDLAAKHGRDGLMLLRLGAVPTLVVSSPSAAQAVLRTHDHIFASRPYSPVTEILFYGPADAAFSPYGEHWRQVKKIATTHLLTIKKVRSYRHAREHEVRLVVAKIRDAASECTVIDLSDLLNAFTTDVLCHAVSGKVSRERGHNKLFRELAEANSLLLGGFNLEDYFPMLVKVGIIKRIVCAKAKKVNKMWDNLLNNIIDEHARKSVPEHNNEDSDFTDVLLSIQHEYQLTKDHIKAQLEIMFEAGIDTSFIVLEYAMVQLMRKPHLMNKLQAEVRSTITKGKEIVTEDDLYSLAYLKAVIKETLRLHMPGPLLIPHLSMADCNIKGYTIPSGIRVIINSWALARDPGSWEHADEFMPERFMECGSAATMDYKGNDFQYLPFGAGRRICPGINYATASIEIMLANLMYHFNWKLPVELMDEGGISMTELFGMTVHRKEKLLLVPIVPQD >SECCE3Rv1G0208980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936008436:936010793:1 gene:SECCE3Rv1G0208980 transcript:SECCE3Rv1G0208980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAALRSQLNEHISYMYATGILDEYYQQLQSPQDKGFVPEVINIFLRDADKMLDHITSLLNQVVVDFHMVDELVHHIKACSCSVGARKVKLACEHFRQFYEAKSKEGCLMALNLLRNEFYDVRNRLHTIMQLEQQIAALGPNS >SECCE5Rv1G0367480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:821641113:821643037:-1 gene:SECCE5Rv1G0367480 transcript:SECCE5Rv1G0367480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSRCLLVTGSPGVGKTTLVMRVLETLRSSHPHLTVRGFYTREVRENGERVGFEVVTLDGRSGPLASSRISSPESVRWPTVGKYKVDVASLESLALPELQVKEDTDLFIVDEVGKMELFSPAFFPAVMRVMESNIPVLATIPLPRYGRDIPGVARLRNHPGADVFTLNTGNRDTMRGSIYNQLSRLMQRR >SECCE3Rv1G0159520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:96772596:96774017:-1 gene:SECCE3Rv1G0159520 transcript:SECCE3Rv1G0159520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAAPHVLVVPFPAQGHMIPLLDLAGLLAARGLGLTVVVTPVTAPLLAPLLGGAIRAVTLPFPTHPAFPAGVESAKGCPPALMGTLIVAFAGLRGPLGSWVRARSGTPDRVVAILSDFFCGWTQPLAADLGLPRITFSCCAVYGTAVLHSLLRRLPRREDENDDEFPIPFPDLPGAPAYPWRQLSLMYRTYKEGDEVAEGIRRNFLWNLDCSSFVCSTFRRLEGRYLEAPLADMGFRRVRAVGPLAPEADAAGNRGGETAVTAADLCAWLDEFEDDKSVMYISFGSMAVLQPAHAAALAAALERTGAAFVWAVGPAVTLPDGFEERVASGGRGTVIRGWAPQVAVLRHRAVGWFVTHCGWNSVLESVAAGVTILAWPMTADQFVNARLLVDELRAAVPVCWGGVATAPSVDELLRVLEPIVLGKGWDGEWGDVAARTKELAEEAAAAVCEGGSSWREVEELARELRELER >SECCEUnv1G0530920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:14879137:14881530:1 gene:SECCEUnv1G0530920 transcript:SECCEUnv1G0530920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGKRHGADRIGALPDPILQHVLSFLPAQAAVRTCVLARRWRHLWRSTRGLRIVGLDGADAVQGLRKFMDHLLVLRERAADLDAVEIRFDRFSVADDEAYVNLWTRFAVTSKVRDLTLHIGASSYLDLDNLPLVSPHLRVLNLDGLSLQHKFLDLAGCPALEVLNMQHCDISVGRISSRSLKHLSLRSCRSNSNCWVHVSAPGLASLVLVRFGGTTPFLESMALLETARLDLSDDFDVCFDYDSDENSLPFNKDDGGDSVLLGALSGAKHLELVSAFQNFIFRRDLRQCPTFSKLKTLLLSEDWSEAPDLDALACILKHSPVLEKLTLQLFTKGPKQEMKMKGSYSSAERSAAISEHLKTVEVQCNVVNQGVFKVLKFLCAFNIRFSFE >SECCE2Rv1G0126760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:848622537:848623916:1 gene:SECCE2Rv1G0126760 transcript:SECCE2Rv1G0126760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLATAVLTNVAQWLAIAHEIVPKPSDIHPIHLLLVIALSITMTSIYLALRPRTIYLVDYACFRPSSNFRVPKATSVEHARLSPLLDDSTANFIASIHERSGMGDQTYFPPVLMHMEPYCGLDEARIEAELVVFSVIDDLFAKTCINIDAIGVLITNCSLFCPVPSIADMIVNRYKLRGDLRVMNLSGMACSASVTAVGLASNILQFMPWGSYALVVSTETTGPCYYVGNKRSMQLSNILFRMGGVAKLLSTSRSKARFRLGHFTRTITAASNTAYRCVYQEEDEKGNLGIALSKDLMAVAGDALTANITATAPLVLPASELFKFWLFCKAKKVLHWRKIRSHIPKFSVAFHHFCIHVGGPAVITSVQHGLNLSDKHVEPSRMTLHRFGNQSTSSVWYELAYIEAKGRMKKGNKVWMIGFGAGYECNTVGWMCIQPSSGADGPWASCIHRYPVDVSNKV >SECCE4Rv1G0277750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:805504333:805508632:1 gene:SECCE4Rv1G0277750 transcript:SECCE4Rv1G0277750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMLSILSSFLLVMAAGGGPRTSLVSAEATIVSNSGGITAYWRTMLPNTPMPSAIQDLLTPTAENGDEGDVHIHGDFTFTEDIVKPGSSIIPYILPSATLGSPLLQRDIADSIPISMRNFTDIMKMFAPVSHTMANDIWSTLNMCEHVRPLKGEKKTCVGSVESMVEFVSSVLGSTHDLQAFSSSQVPNEGVVTRRRYKVMASRRPTQSSETATCHGMNFPYAVFMCHAMNPTRVYAVTLESEHDGGEAEKMEVLVVCHLDTSGFDPSKMPKGTRPGEAPACHFVGRDTVLWAPAAAATTATAA >SECCE3Rv1G0184840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:667106181:667107365:1 gene:SECCE3Rv1G0184840 transcript:SECCE3Rv1G0184840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFGWSGPGLHDDAYLPRPVSQLSCGRFDLDDAFLGQLQCDFGGGGGTPCQVSSSYGNTAAVGGAAANNNGPLGFFGSGIDVFSSVVDGATLSAHDGLLDSALAFSRVLPPCGGEADPRAVSNGAMFSGYSGTTVCNISSGESNNYSGGVGGHDVTEVASPTSTISPTTTSHPTITSAQQALHANRKLAAEDCPAAATVPPLPHVGTKRKAPTTTATSITFGQDTNAGAAAGYKPDMEAMAQVKEMIYRAAAMRPVNLVTEPPAPVSSKPRRKNVRISSDPQTVAARLRRERVSDRLRVLQKLVPGGSKMDTASMLDEAASYLKFLKSQVQALETLGTTSSTGTSAAAAASTGRLHSQQHYYSNHPGFLGFARNNNNISSVFRNPNGNSARLL >SECCE7Rv1G0519120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863452675:863454357:-1 gene:SECCE7Rv1G0519120 transcript:SECCE7Rv1G0519120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTLHIMAILLVYFVLKNKRVLLSEQQRGRRGRLPPGPARLPIVGNMHQVILNKLAVFRWIHGVLKEMNTDIMCLHLGATHVIVVACPQIASEVLRKNDEVFASRPTTFASGLFSFGYKGSIFSPHGEQWKKMRRVLTAEILASSMERKLHHLRKEEYNHLVRYINNTPSCIDMACPNKIVNVRHVTQHFVGNMIRRLVFGKRYFSDLQSSSTSGPGDDEVAHVAALFTALNHLYSFCVSDYFPALVGLDLDGHEKVSKDAMQTINRLHDPIIEERIRERSSSPEKGCEEKEARDFLDVLVHLKDAEGQPLLSLQDIRAQTAEMMFAAVDNPSNAVEWALAEMMNVPEIMQKATEELDAVVGKDRLVQESDIPRLNYLKSCIREAFRIHPYHALNVPHVAMADTTIAGYTIPKGSHILLSRLGLGRNPKIWTESLKFQPERHLNTVNVLLTDPSLRFISFSSGRRGCPGISLGTSITVMLFARMLQGFTWTKLPGVKSISLQEGNAGLALAEPLVLQATPRLAAHLYV >SECCE7Rv1G0473350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:156850214:156851215:-1 gene:SECCE7Rv1G0473350 transcript:SECCE7Rv1G0473350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRQRRQLPHLTLPLDHFALRAPPPPPPAVAPCEGLPRLSDYERISQLGQGNGGTVFKARHRRTAQHFALKLFAAGDGDPSAAREAEILMLASGAPHVVRLHAVIPSPAAEQPAALALELVSGGSLAGLLRALGRPMGERPIAAVARQALLGLDALHALRVVHRDLKPANLLVGPAGEVKITDFGAGKVLRRRLDPCASYVGTAAYMSPERFDPEAYSGDYDPYAADVWSLGMAILELYLGHFPLLPAGQRPDWAALMCAICFGEAPEAPAAASDEFRDFVARCLEKKAGRRASVAELLEHPFIAERDAEEAQRCLAALVAEAAELGDQLA >SECCE1Rv1G0042700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:583561384:583561848:1 gene:SECCE1Rv1G0042700 transcript:SECCE1Rv1G0042700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGTRGARAVAPAALLLVAALLVAQAPRGASAALSCSTVYNALLPCLGYVQSGGAVPRACCSGIRTLVSRARATPDRRAACACLKTVAAAATGGPYLGRAAGLPGRCGVQPPFKIDPNVNCNAV >SECCE2Rv1G0084540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:176214871:176222008:1 gene:SECCE2Rv1G0084540 transcript:SECCE2Rv1G0084540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDHGDGDDLGSGWFEVKKKHRSSSKFTLQRSSGSSIHKTPNSSSRSQANHSSGSSRWYDRLPYPHQSAHDNLAVDELDSRETTKVHHEECVDVGASNLKNGFSVSASEHVIKECEELQLAEETNDPPKTGMIDHTDHSVPHESSNCSSVLAKPVEHFDHVKGPPKTEPVGVLPNSSVKFGNFDEIPGLALPSDAFGDNNFSTKYMDDEDATQFRNELKDETKLEDEMNSITNADTSPTMIHAVEEPTECNRNQLDICEIQDSPVVVSGSTTLADSVSLSSNNDPEVPVASSSVASIESQTLLRNDATVSVDLGGETAESKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESILVLDEAISDFQELKSRAEHFDNTKKSPSLPKEGMPMAVKADHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQFELARKQAGITAETFASSSSAEVSGISAKLTTASATVGNISLKVESQVKFSDDSEKEVTEERKSKEALKSGRSFPQRSRRGALEPISEIAKHTSKDRVMAENKKSTDIVKRSMTHLEKEKQNTAQWKSMDAWKEKRNWEDILKSPVRSSRASHSPGVGRKVTERGRVLHDKLMSPEKKKRSAFDTKREAEEKHARALRIRSQLESERVQRLQRTTEKLSRVNELQAVRSSKLREVMNARHQRGESRHEAYLAQVAKRAGDESTKVSEVRFITSLNDETKKFLLRQKLHDSEMRRAEKLQVIKTKQKEDTAREEAVLERRKFLEAEKMQRLAEIQRKKEEAIVRREEERKASSAAREAKSAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKFYLEQIRERASMDFRDQSSPFQRRFPSKDSLNRSTSANSGEDSQIVGNASTAESMVKTSNATQMKRKIKKIRQRLMALKHEFVEPTIGENTGIAHRAALGAAKGKLSRWLQDLQRHRQARKEGAASIGLIVGDMTKFLEGKDLELHATRQVGLLDFIASALLASHTSRPVACEVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSASLENYIKVAASNSGSLNLPLSKTTENMKTVGEVLDGFLWTVTVIVGHLYVDDEQLKMQEGLIELIVAYQTIHRLRDLFALYDRPQVEGSPLPSSILFGLNLLTVLTSKPGNFSAIDWESCKCRTPAGNLAHECEYLSSLDIRVGNQLMASDESGDAKLPSNTCDTSKCDDSGFSEVVEENKPSEQHEGLALGGRRSLDETRKGLLDLSAGQNSSGSVSKIQSSNLGDTIDQHFEVSTQRNENSTVDGHLEGRKMNNICTEINDSPGKGNEINLKQPAVLVLSAMAETGLVSLPSLLTAVLLQANNRSSSDQASAILPSNFEEVATGVLKVLNNVACLDITLLQCMLARSDLKMEFFHLISFLLNHCMNKWRVPNDQVGLLLLESILLLGYFSLFHPGNQAVLRWGKSPTILHKVCDLPFAFFSDPELMPILTTALIAVCYGCDQNKSVVLQEISSDMIGTLLRSCRASVLATSDSVAVDGSGANNSGDSTHISPDIRNPLSDMSIRSSRKGMRPVLGKGVSGAIKLNRNKNQKDGRGVRAGDDGCPLKQRAGEASSAFMLHRKIPAFFFDKAEEFFCGGA >SECCE6Rv1G0406440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:544290091:544290312:-1 gene:SECCE6Rv1G0406440 transcript:SECCE6Rv1G0406440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSWDEEGQRRVVHSQVRKIKEEEGDKVKVDETYQQQQLAEMRLVLRDLGRQRSRSPLGRVGRPAISIGGDS >SECCE7Rv1G0455520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:7816367:7819904:1 gene:SECCE7Rv1G0455520 transcript:SECCE7Rv1G0455520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSASLGALGPLLVKLGGLLAGEYGRLKGVRREIRSLESELTSMHAALEEYTKLEDPSGQVKAWISLVRELAYDTEDIFDKFIHHLGKGSRRGGFKEFLHKIALPLKTLGARREIADQIDDLKDRIKQVKDLKDSYKLNDPPSSTTGHLAVDPRLHAVFAEEAHLVGVDGPRDDLAKWMVEEGNNSSKHRKVLSIVGFGGLGKTTLANEVYRKIQGQFDCKAFVSVSQKPDIKKIMKDVISQVSCQDGSAKDTSDWDEMKSISKLRELLQNKRYLIIIDDVWSAQAWNNIKCAFPENNCSSRIIVTTRIIDVAKSCCLGGDDQMYELKSLSDLHSRILFYKRIFGSGKNCPHMLEEVSNNILKKCGGLPLAIISIAGLLANRPAIKEEWEKVKRSIGSALEKTKSLEGMSSILSLSYNDLAPNLKTCLLYLSLFPEDHVIERTRLVRLWIAEGFISEERGQSKQETAENYFYELINKSMVQPVDIGFDGKVRACRVHDMMLELIISKSVEGNFTTVVGGGQSLENQQGFIRRLSIQYIDTELASALANVDLSHVRTLIVMPSCSINKHLPTLDQFEALRVLDFQGCKDLKDSDMNGMDKLFQLKYLNFRGTYISKLPSGIVMLCNLQTLVFDYTPDQEFPAGFGRLTELEHLEGGGWILPDGIRDMKNLQFMSGFAITSSKTDVLEDLGRLTSLKELKVDLRPINRIFDKVSCEDKRREEAFLSSLCKLGTCKLRSLDIETCCASLDFLDSWSPLPSSLQRFSTNCLGSFTNFPKWITPALTNLADLCICLTEMTEDGLVTLGQLPSLLRLELCPSNKWVATVQRNSFLNLKVLNFGFKGKQAYVSFEKGSAPKLKELRMPFSVSAAKANGFYSGIEHLSSLKQAEIWLDDEDATSSECKAAASAIRKEAGASPNHLALAVEGESEEDDNQETGGSDEEKSK >SECCE1Rv1G0027730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:387771067:387772593:-1 gene:SECCE1Rv1G0027730 transcript:SECCE1Rv1G0027730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWQIFLGSILLAIPLILLLRGRKGGRLRVPPGPPSLPVLGSVVWLTNSLADVEPLLRRLFKRYGPIVTLRVGSRLSIFVADRRLAHAALVERGATLADRPALPSLKLLGENNNTITRASYGPVWRLLRRNLVSETLHPSRVRFFAPARSWVLRVLAEKLRDESSSGGAAVVETFQYAMFCLLVLMCFGERLDEATVRAIAAAQREPLIYRSRKMAVFAFLPSVTKHLYRDRLQTVHAMQRRKKDLFVPLINARREYRKLGGEPKKESTFEHSYVDALLDIKLPEEGNRPLTDDEMINLCSEFLDAGTDTTSTGLQWIMAELVKNPAIQEKLYKEISATKGDDQDEVSEEDVHKMPYLKAVVLEGLRKHPPAHFVLPHTAAEDMEVGGYLIPKGATVNFMVAEMSRDEREWEKPTEFLPERFLPGGAGEAVDVTGNREIKMMPFGVGRRICAGLGIAMLHLEYFVANMVKEFEWQEVAGEEVDFAEKNEFTVVMKKPLRPRLVPRRT >SECCE7Rv1G0515480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839683010:839683808:1 gene:SECCE7Rv1G0515480 transcript:SECCE7Rv1G0515480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFLLVALLALASWQAMASDPSPLQDFCVADNRSHVLVNGFVCKDAKEVTAEDFFLAAKLDMPRDTKMNKVGSIVTLLNVMRIPGLNTLGISLARIDYAPLGENPPHTHPCATEILTVLEGTLYVGFVTSNPENKLFWKVLNKGDVFVFPQGLVHFQFNPNPYKPAVAIAGLSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKETVDWLQAQFWADNHN >SECCE6Rv1G0385230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:74107894:74111823:1 gene:SECCE6Rv1G0385230 transcript:SECCE6Rv1G0385230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIFLSGFLLGLIALALAEGAALLWAVRALRRHGPRPPAPDEAASAELSGDRPFPAEKQGFLWMLEPGKVPKVRNGNRLPPTGVQKGMKDKKNIVEVSPIRMRAKIQGHSLVLTGSDGSQITIGLLDCTVLAVSASNLPSRKWSKRYPIKLESKGSEICKRSKVCYLYVDTSWEKESWCKALRLASSTDKEKLKLHTRLSEEFRSYISSLHAGYPCFLKSTALSAEDREIMDKAVKSDGSSKVRLFLKKLARKASIKAPQLTRTSSTSAQAERKTLQKTLSYNGAALIDAREERSNSSSSSLDIKQPSTPSSDFSYSNRFSDSPETNVDEKFTDEGTLCWNLLISRLFFDAKMSDDISKSIKARLQRKLSNMRTASYIGEITLTDFSLGELPPYLRRMRVVPRDLNELWAFEFDFEYCSEIILNAEARLEVQEPELKKDIMRTTPEADTNGNQFGPSQLLASVVEDEDAADVLRRSKSTGRTSRWKNILHSITDHVSQVPFSLAIKVTSIRGTMRMHIKPPPSDQIWYGFTSMPELVWELESSVGDRKITNSHIASLISNRIKASLHQSLVLPNCESIPMSWMISEKDDWVPRRAAPFIWLNREHGEAASSHSPDMGKLQPDDVAALKVSSNSEASKSSPPAPSTRSDDEPLKKVTSTRWPNQKPTTEASTSSGSSLPSEAEPSNQLMAPLLSTREFEEDASENAAVGSSLQVVAVVPAGHRRPPLSSSASPGEYDLKRKGSKRAAVIGLGRKMSGKLEEKTRHIVEKIKESSGKEQ >SECCE5Rv1G0362300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:785425641:785426783:-1 gene:SECCE5Rv1G0362300 transcript:SECCE5Rv1G0362300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCVLPDELVVDILSRLPLKSLCRIKCVCKSWLAFASHPYYRQKLPRTPAGLLYRKFERARLASPLGNTIHLARLPSGDKEIDTTLSFVPCYKYPIQLRGCCNGLLLCYQKLRSEEISNAIVCNPATQEWMALPDTEPGPARSNIAFELCFDPLWSEHFYVFKFQSIEIMSCIHTEVKVFFSKDSTWSSCLWKTRDPFEGDSLFLNGVLYLKHFILNKILALDTPDTCTQRLNHRYIQLPGFRDRTDIFCCYNGRLSQSSGVLCYAKQELDGCAIRIWSLEGPDGWVVKHRLGMVNVFGRDMFLRTHSDGYWHFDYDIRAVNLERELVILDDKIADKIISVSISTGKGSQFQKIPRRFAKLYYSLLYVPYYGKVPALVR >SECCE6Rv1G0385940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:87361766:87364712:-1 gene:SECCE6Rv1G0385940 transcript:SECCE6Rv1G0385940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMYFEGYGYRGSTFEQTYRCYPASFIDKPQLESGDKIIMPPSALDRLASLHIEYPMLFEVRNTAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSSAISIIETDCEVDFAPPLDYKEPERPKPTAPPSTDAAAEAQVEEEPKFSPFTGPGRRLDGKPAKDLDASSSSPAKRQANATNSVQPSAASTPQSTAPRKTTGKLVFGSGGSQSSKGTEKVPEKDAKEEAKKEEPKFSAFTGKKYSLKG >SECCE5Rv1G0316960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:333471171:333475193:1 gene:SECCE5Rv1G0316960 transcript:SECCE5Rv1G0316960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDGDAELAADELQSISFGSSERSRSASTVSTATASCSTSSSGPIGVPAPRSSALAPRLGTVQLSDIRFVRRLGAGDIGSVYLAEVKGKGGALVAAKVMDRKELQGRNKEGRARTEREILEAVDHPFLPRLYGVAEGERWSCLLTEFCPGGDLHVLRQRQPHRRFSEAAVRFYVAEVVAALEYIHMLDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPVGLTGRSSTSSTCIIPSCIVPSVSCFSLFPGRGRRRRRRKKASGGGDGGVNSNGSLPTGVLDLEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGIFIFELLYGVTPFKGYDNEMTLANIVARALEFPKDPSVSSATRDLVTALLAKDPARRLGATVGAAAIKRHPFFNGVNWALLRCATPPYVPPPFSAAVATAAGSGPGKSNNPKDDDNGDMSDDSCPGTPVEYY >SECCE1Rv1G0054420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:674867299:674867695:1 gene:SECCE1Rv1G0054420 transcript:SECCE1Rv1G0054420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANAVYTIAVFLIGCLLMVGQCRREPESTYKDDHANTTTALSSFDESKLTLIWCLDRDCKTKGENFRTWWAKCICCVTQPDVPCYDSYEECQSKCPSVP >SECCE2Rv1G0103790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:595061643:595062248:-1 gene:SECCE2Rv1G0103790 transcript:SECCE2Rv1G0103790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTFPTTAAEAEHAEPLFLHHHHRLLPFPHFASSPLWFPGDGVAVTPVVAVVSRRTADGMEEQEPTSSDSAAGRRSKGERGGEEADEGAVVRGAGADGEDKMDKLWENFNEELRRAGSCSKTAAACGLDELSDTESEAGERGRGCAPMLRASSRAGGTGQYYRQSGSWVLLMRIFKRLFVVEKTAVSAAHRRHKAKAAE >SECCE6Rv1G0446000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842435991:842437340:1 gene:SECCE6Rv1G0446000 transcript:SECCE6Rv1G0446000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPLVSISIPIPDDLLEEIFIRLPTPDSLACASAACTSFRRVIKGRAFRRRFRALHRPPLLGFIDAAGFHPAQAPHPSAPLAATLAPCAADFSFVPAVLSSASGVQDGRQGPRWRPRDVRDGRVLLDWISLRPRVKRLCGYFEDGYEVCILTDIGAPKPRGRPTWTERGRCNAADFHLAVCDPLSSRYVLLPTIPEELAAQPQDSLFEFEPVLAPSASDNGEDEPFKVICIAKYHTKLVLFVFRSATMQWSMVESPIFPPLYDMSCFDCVRSCFYWTEPCDWSDRLMVLDTHTLRFSTVDLLTGYHVELRDLDGQSFHDRRRPNAVVLGREGTLEMFSLVGQHGYFALYHTSLQNNSQEWKLEKVVQLPQQYHDYSISTVGAAEGFLFFHGTPESIPFDNVNVDCYSMEVSTYEITKVCCKMENSYDRKRALPYFSFPPLLSEPTI >SECCE3Rv1G0191500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:748655096:748656121:-1 gene:SECCE3Rv1G0191500 transcript:SECCE3Rv1G0191500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLAGILAAVLTSAAAMAAGASPVSINGTCSLVTADAYPGYDYCVNVLSSGPFAASDGRGLAVLAANATAHNVTHTIGLIDNLLDSLTGCSELYGRQMADKVASALGDLMAGRDPSEAVSKLIDAYGLGPLNCFIDLSQRWGFYREPLAQENKDNMALALFAREIAMLITKSSRSSNGSDQHLAGAWQPAAIINATCSTLLYDEYPGYDYCFSVLSSDPVAAASARDTRDLAILATNATARNITSTVKLIQGLLSDLAECKLSYGDRMGKIVDSALGDLIAGRSPVGAANKLADASRVAIECDVVMSRRRGSAKNVLYQQNTENFVSAHFASNVAMYSGH >SECCE2Rv1G0097330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:456601471:456602064:-1 gene:SECCE2Rv1G0097330 transcript:SECCE2Rv1G0097330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPRSSLIIFFVLLVSAASLVVDGGSIVKEACAKTPQPSYCEELLKSSSATDAQTLAQAAVAAAAKTATEAATAAKAERDKLPNGKTQWRCMDSCAAGFDEAATKFKPGAGGSAAGADAKLTEVLDFVVVDEDVEKSKDWEWKWSCNECKADPTAPAGLVAKNKEFDKIMEVMPAIIKQAVAGADNSTKPAAKS >SECCE5Rv1G0300750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27826509:27828696:-1 gene:SECCE5Rv1G0300750 transcript:SECCE5Rv1G0300750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT3G59030) UniProtKB/TrEMBL;Acc:A0A178VKA2] MEGDGDAATAPLLDFIDDQSAASEELLRREPVPFDVLSRLALWEAGNLWRISWASILITLFSFTLSLVTQMFVGHLGELELAGASITNIGIQGLAYGIMLGMSTAVQTVCGQAYGARRYRAMGIVCQRALVLQFVTAIAIAFFYWYSGPFLRLIGQAEDVAVAGQLYARGLVPQLLAFALFCPMQRFLQAQNIVNPVAYMVLAVLVFHILISWLAVFVLSFGLLGAALTLSFSWWVLVALTWAYIIWSPACKETWTGLSMLAFRGLWGYAKLAFASAVMLALEVWYVQGFVLLTGFLPNSEIALDSLSICINYWNWDFQIMLGLSYAASIRVGNELGAGHPKVARLSVMVVVTASIAFSILATIVVMALRYPLSTLYTSSTTVIEAVIALMPLLAISIFLNGIQPILSGVAVGSGWQVIVAYVNVGAYYIIGLPIGCVLGFKTSLGAAGIWWGLIIGVAVQTVALIVITARTNWDSEVEKAIQRLRRTAADEGGVAVVDDDDV >SECCEUnv1G0541610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98070155:98070532:1 gene:SECCEUnv1G0541610 transcript:SECCEUnv1G0541610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKNQLIRHGREKKRRTDRTRASDQCPQKQGVCLRVSTRTPKKPNSALRKIAKVRLSNRHDIFAHIPGEGHNSQEHSIVLVRGGRVKDSPGVKSHRIRGVKDLLGIPDRRKGRSKYGAERPKSK >SECCE5Rv1G0363970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:797847260:797849753:-1 gene:SECCE5Rv1G0363970 transcript:SECCE5Rv1G0363970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAPPARDWSLLPLDALSLIFVRLAAVDILMGAGLVCRSWLEAANLPDVWRSVDMDKHEFVFRKGDDVLREMAKVAVDRSDGQLRVFVGRLFVTDELIKYIMERSPLLTTLRLLSCFGVFSKQLISVIEESPLLELRSLELENIDLTMRELTAILESCPILEVLGLRYCFLISSNDERTLREKFTRIKTVTVRWDDECCED >SECCE5Rv1G0337440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:591598798:591599787:-1 gene:SECCE5Rv1G0337440 transcript:SECCE5Rv1G0337440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFALSSMVRMMMRGQGGPPPAFVHDLHREDQTLQERSMCDCGKQPTCHQRTEEERDASIIGHVHHALRHYNATNPGSEFVPVKPLMAAYVGFEGHIWVHVSFVARGRKIVSGKRRRNTVSDTATDEHFFAELRYSHRRSDAPAVETCAIVDKKPRHSHLKTPCTFCPESFEISHPLDESFVCGKKSQANEGRGFTHFMNLLEKPFTCPTAGGEGEGSEDVAAAPAEQEEEHSSTLSGTLAPFEFFRNLMTRVLPAFNDKLVS >SECCE7Rv1G0511010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:799603151:799605675:-1 gene:SECCE7Rv1G0511010 transcript:SECCE7Rv1G0511010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAAAVLLLLAVASVLAAGAAAQSAGAPACASKLTGCAGYMNGTDAQKPPETCCGPLRDAVKNERACLCALYASPEIFKAFNINVTDALRLSKRCGVTEDVSSCLSISPTKSPPGLSSPPSGGGKSAGHRTMSAGFAGLMSLFLVLWSALA >SECCE7Rv1G0459380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:25402287:25403758:1 gene:SECCE7Rv1G0459380 transcript:SECCE7Rv1G0459380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPTLPDELLEEIFLRVPPDEPEHLVRASLACKLWLGILSGARFRGLYREFHGAPPMLGFLYNWLFFGGSKEDDPVANFVSTVKFGALVPDDDGWDRVYTPWDCRHGRVLLENYMGLIVWDPMTGRQMKLEAPVDGYFRGYLGAAVLCAVRGCDHRACHEGPFRVVLVDLNMIGNDGDCVAHVYVSSPVPAEWSEPGSDSLFDGWSEPCSDLHLTADPFINSLPPVLVDSALHFMLRYYDDGVGILKYDLSSNCLSLIDAPLTRLAIVGPAILMAMEDGGLGLAHLDWLILYLWSRQMGSDGVLSWTQRKVIDLKELLPIQNPEIRISVIGSVEGRDIIFVTIDLGIYEINLKTLRWKKLWKRESFCSLIPYTSFYNRHEKVWPCKKVTTYG >SECCE3Rv1G0210200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:942711795:942715460:1 gene:SECCE3Rv1G0210200 transcript:SECCE3Rv1G0210200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAVFSLLLLSAAAAPPALGFTRSDFPPDFVFGAATSAYQYEGAVDEDGRSPSIWDTFTHAGKMADKSTGDIASDGYHKYKDDVKLMADTNLEAYRFSISWSRLVPNGRGAVNPKGLEYYNNLINELVKHGIQIHVMLYHLDFPQVLDDEYGGWLSPRIVEDFTAFADVCFREFGDRVSYWTTIDEPNVGPIGSYDSGIFAPGRCSDPFGITKCTAGNSTVEPYIAAHNMILAHASATRLYREQYQAVQKGVVGINVYSFWTYPLTNSTVDLEATKRYQDFLFGWTLGPLVFGDYPQAMKTNVGSRLPSFTKSQSEFVKDAIDFIGINHYYSVYVNDRPLKEGVRDYAADMSVYQRGSRTDPATSEYVPTAYLDDPQGLRCVLQYLTEAYGGLPIYVQESGKASANDILNDTDRVEYLNAYIGSTLDALRNGANVKGYFAWNFLDIFEFLAGYKSGFGMYRVDFEDDARPREARLSAHWYSRFLKNDGISAQSEVDDTGHHAQQ >SECCE4Rv1G0260990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:699933113:699936316:-1 gene:SECCE4Rv1G0260990 transcript:SECCE4Rv1G0260990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVEGLAGKAADALVQTVTRMCGLDDDRETLERHLLAVQCKLANAEERSQTNAYLRSWMEKLKAAAYEADDVLDDFQYEALRREAQIGKSTSRKVLSYVTCRSPLLFRFAMSRKLKGVLQKIKGLVEEMNMFGLESSVNREGPQLPWRQTHSKLDESTNIFGRDDDKEGVVKLLLDQQDQRKVQVLPIFGMGGLGKTALAKMVYNDQEVQEHFQLKMWHCVSDNFDVSAIVKSIIKLANGTCDQADSIELLQKKLGEVIGQKRFFLVLDDVWNEEKKLWEDDLKPLLCSVGGPGSVIVVTCRSKQVASIMSTLKPHELAFLSEEDSWELFSNKAFSNGVEEQAELVTIGRRIVNKCRGLPLALKTMGGLLSSKQHVEEWKAIEGSNIGDNVGGRDEVMPILKLSYKHLSSEMKQCFALCGLFHKDYEMEKDMLIQLWMANGFIQEEGTMDLTQKGEFIFHELIWRSFLQDMKAAVQSDGYAYGQIVCKMHDLMHDLAKDVSNECATIEALVEQKALVNNVCHLQLPKDVELEKTSRLFDGKTSLRTLLALSWMQQDFKRLPHVSLRALHWQGNSTTLKAVNAKHLRYLALSYCSVSSTLLDSICLLYNLQTLRLNHCEGLRQFPEDMVMSLRKLIHIYLYGCFSLERTPRNIGQLNNLHILTTFIVDTRGGCGIEELKDLRHLSNRLELYSLRKIKSVNHAKEANLKQKQNLSELLFSWGLTKYDEVENEALCNEEEVFQHLEPHSKIKKIELYGYGGPEIPRWMRDPQMFQCLRKLTIANWTRCKNIPVVCLSPSLEYLSLENMDELKTLCDNFCIEGGGRSTPLQIFPKLQEMVLKELCSLVGWAENSAGVAIDSSVIFPVLEMLKISRCPKIASFPLSPVLKELRLEEFYLEVECVRDIPSLPTSLVILWIQGYNGLVALPSNLGDLAKLRELIVHSCSSLKGLPDGMDGLTSLRILQITYCPAIEEFPNGLLQRLPALDQLTIEGCPELERRCGEGGEYFHHLVPIPHKSGFKTGAPDAEATIIAEAEAKAKAETSGKKFLRRLLPSCAHSESDDN >SECCE2Rv1G0122750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:818532947:818533464:-1 gene:SECCE2Rv1G0122750 transcript:SECCE2Rv1G0122750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGAGAMRTMKPPSSPLFYVHDGGVHCHHFLEECSLCGKALSGDIFMYRGDTPFCSEECRHEQIEVDRARHRRKKHAAAHAVSAARKEQHRHHHHHHHHHHHRQHQQPQPEPRRAMVGGAPWTDAGFPAISPALRV >SECCE4Rv1G0220270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:37181765:37187896:-1 gene:SECCE4Rv1G0220270 transcript:SECCE4Rv1G0220270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENIDLVLEFLKKNRFAKAEAALRGELSATNTNTNGPQRRAAGPSPKGEDEQEGSEAGSAAGPRGAASSARSADSSREFIVKEIDVGGLANGSDGKKALGIGLPLENSDTGDLYPWNFSIANSTVEQLAELLVSEEVPRHRRTSLTEKRDRGVGTEQPGPVLEQKVSFGRGKGKVDTVGRSEASEPGHSSDKNLVPEKEEPLNGYAVKTVLPFPTEIPSSSYHSAHQDGSERKDTKKSVNADGSGKAAKKQLDEGNRQYYSGKSQSNVDHVADRCFDLQLMGNSQREEFPKLPPVRLKSEDKLVNMNWEEKIDHHGSGSNDPSTDHVFMIGSYLNVPIGQDITSSGGRRTVGSSWLSVSQGIAEDTPDMVFDTIGDDLLEYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKPTGHTSGADRHDRPTKDDDDDQSFVEEDSYISGEQYFHGKNIAPIGTSERPIGHGIPDNDMIAQYDGQLLDSEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNDSARPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESNLSVSGKRHSQQETEKKKVNANGAKQDQINYDIQKGNMPPGAAYGDGGFSFPPPLHSGKNTESDVKSSWSKKDDYSINDPDDCQNGTVSDDTLATWKKRNSVSSLRSSRDEMTSDVVRSRNSSASSALNNAYDEVEETMNARHQKLDDAQEEETGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLESLQFLHGLGLIHCDLKPENILVKSYSRCEIKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVMGIIGSIEQAMLAQGRDTYKYFTKNHMLYERNQESSRLEYLIPKKTSLRHRLPMADQGFIEFVSYLLEVNPKKRPSALEALKHPWLSFPYEPISS >SECCE1Rv1G0041220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:569424984:569428214:-1 gene:SECCE1Rv1G0041220 transcript:SECCE1Rv1G0041220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGPASPPGGGGGGGGGGGSDDGGGGGGFGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEEYIEPLKVYLQKYREGDSKLSGKSGDVSVKKDALGPHGGASGTSAQGMGQQVAYNPGMVYMQPQYHNGDISN >SECCE5Rv1G0315970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:302973138:302974364:-1 gene:SECCE5Rv1G0315970 transcript:SECCE5Rv1G0315970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDYQGASSSPSPFSFGSLLSLRRDHTAMPSGEEADLELFQRHLAANLGDLLPAEGAEGGAAPEEILSVAWIRRLLEAFILCQEEFRVVLAQARRRGALPAAAERLVAEFHERAVKALDVCNAARDGVDQVRRLERLADIAASVLLASGEIHEGQLRRARKAVSDLSVLLVDDTAAAASGGVASFLAAHRNRSFGRARASPSRGSATGSSATASASHFRSLSWSVSRAWSASRQLQAIGAGLTAPRAHEGGLVAPVYAMGCILHFTAWALVAAVPCPDRSSALLAHHLPVAPARAAFPWAPPLLTLQERLAEEGKRKERRSSCGLLKEIHVLDKATQKLADAIDAAPIPLFGDREVDVREAAAELSAVCAAMRDGLEPLEKQVREVFHRIVRSRVEGLDSSMHNAD >SECCE2Rv1G0104550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:608168992:608169534:1 gene:SECCE2Rv1G0104550 transcript:SECCE2Rv1G0104550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLFSFLLILLVGSHGAFASVEETCAKATKGSERKNLESFCVTTLQAAPGSASADAKGLAAIATNLTLANYTAAVATIKELQRRGGWSDKQQAALATCRRRYIEALNVVHSAVHALATGRKQAYVAEMGAVRRSATDCDDAFGGDAAAAKGEEAPLRKVNDDAENLTTMAMLIVITL >SECCE6Rv1G0404590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:521909670:521911717:1 gene:SECCE6Rv1G0404590 transcript:SECCE6Rv1G0404590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGNGLSNGAAAKTAPDGTTVFRATAYSPLRTTLALALWLGAIHFNVLLLLASLFLLPRRVAAMVLGTQLFFMLVPVNDKSRLGRKIARFISKYVVGYFPVTLHVEDYKAFDPKRAYVFGYEPHSVLPIGLGALVDLVGFMPLPKTKVLASTAVFYTPFLRQIWTWLGLVPASRKNFDSYLRAGYTCIVVPGGVQEMLHMDHDSEVAFLKSRKGFVKIAMETGSPLVPVFCFGQSFVYKWWRPGGKLIVKIARAIKFTPIIFFGKYGTPIPFATPLHLAVGRPIEVQKNPQPTYDEINEVHEQFVVAMQELFEKYKTKAGYDKLKLRVL >SECCE5Rv1G0333620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:559592242:559593825:1 gene:SECCE5Rv1G0333620 transcript:SECCE5Rv1G0333620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSFTLAPALVAFTLLCCYASSVSSQGNSSDGFLSCLSASIPRQLVFTPSSPSFTPLLKSSIRNPKFFTPSTVRPLYIVTPTNAAHVQAAVLCGRRSGLRIRVRSGGHDYEGLSYRSVRSEAFAVLDMSSLRAVRVDAQAATAWVDSGAQLGELYYAIGKASGVLGFPGGLCPTVGVGGHFSGGGFGMLLRKHGMAIDHVIDAVLVDAKGRLLNKNTMGSDVFWALRGGGGESFGVVVSWQVKLLPVPPKVTVFNVPVTASQGAADLVTRWQQVAPALPEDLIIRVVVQQRTANFQSLFLGTCDALLPVMSSRFPELRFNRSDCREMTWIQSVPYIYLGSASTVEDLLNRTTAESVFSSAYKATSDYVRQAIPRDAWASIFTKLAQPNAGLMILDPYGGQIAAVPESATPYPHRAGVLYNIQYMNFWSMASGDGAVQTRWIREFYAFMAPFVSSNPREAYFNYRDLDLGENVVVGNVSSYQAGMVWGEKYFKGNYQRLAMAKGQIDPDDYFRNEQSIPPFAKSS >SECCE5Rv1G0304780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:61952672:61953970:1 gene:SECCE5Rv1G0304780 transcript:SECCE5Rv1G0304780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKSKTSKGTPLLGKYELGRLLGRGTFAKVYLAHPVTGGEPVAVKVIDKAEVMGTEGMAPRVLREVVAMRRLRHPGVLRLHEVLATRSSIYLVMELAPRGDLQSMLAALPNRRFSEKAARRVFVQLTAALAHCHARGVTHRDVKPQNVLLDSAGNLKVSDFGLSALPDTLRDDGRLHTACGTPAYAAPEVLRHTSYDGAKADAWSCGVMLFVLLAGRLPFDDANIPDMCRKTYRREYVVPPWVSPPARRLVHRLLDPNPATRVSVEALAATHPWFVKRSLSLDSQLDGLLDGQPERALAFRAPAVNAFDIISMSQGLDLSGLFGGSKSREKRFMTTVSPEQTLEQLGRASGKLGYVVVGKKGVECQRRPAGRPAISVSISELVPPLMLVEMRLEMDDGDGEVQVFGWDQLRVELGDVVRAWHSCEDLEQVQ >SECCE6Rv1G0396510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:367298346:367301932:1 gene:SECCE6Rv1G0396510 transcript:SECCE6Rv1G0396510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGELARQNRPRSCDMEKDETCKMLSEEEEEEVEMWDKKVQNRKASTGSLEKGRRMEEPIELSDDGDDNETITEMFENAAKYKEKKRMHNDNGDHKKKKKKSVSSHSGDKNIHCADRDKDKRRDMLLSLNKKKDPFHSKEKSGKRPSSHSGERKMHRGDHHNDNKSSRMWRSEYDKYKGVQKREMKKDKKLWPVHNGDSREEKKNTSLGLGKMPMLDDNSNKGNKWKKPLMMYDKVKKLRTSDKDEKARSYDRKKTIPVNAGQKVQSGLKENKKVKFRFSKVIQSEHFEEFLLLPPKVAEAPKMVGFTNQLVCLEDSEGKSSTVRLSVVDGSLAFYQGWSNFVSDHSIKWAEMVVFEYTGRSKFSVQVFGVDSWERVSFSVERRGKEKKQKESSHAPDDLVPCHTFRCSRDINGHHYVSGEYIGSNRPKTKSDGYTDNAEVSPSNLVAKSMNAVPGTRRMSANSTQDPNGVVGGIVRGSSMAPDNKDVHLANGKHTANAIFPSHIKDTTTSPEIIAIADEAPLAQENKDTVILDNKEANLATGECKTKCNASVTCNNEKTTRLELVPVTTDAAPLSQENGDAVELTTFVGHIEDAVMMKESTSAKCAEIDSSDEDLRRKQEGNAVRLECTAAVDKFPSNSKMDTNENASSKLKYPGGFQCLEKWKDATVSGREALDGTGLIRPEKRQKTEEKSVGAMGVNPVERGYDGTHSCVPSQISKSGLTRTKVEHSVNGKDDTVKPETKMEQVGLIESIGRRQERNNIPLSANRASEHQTEHHFFRKEVTKSSNPAHPVPLLPVKVEVSELDDHRPVLKANFQFVIPSTAQTRLELPDPLSNAVGRKGRLDRNIVMLKDPMKRLWPVLYHETSLFVGFTGGWKSFVAANKLQAGDLCVLLMDLDEDELVYDVQITRK >SECCE5Rv1G0350740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691989906:692002355:1 gene:SECCE5Rv1G0350740 transcript:SECCE5Rv1G0350740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAPAAREKVEEATSLPEEWLNLVILCKAFVSKAMNGLGTLATIWATVVLLGGFAVIIKRQDFWYVTIIAFVESIGVLGGYEDPAYQIFIRTPELLIKEMQKKGQLPSLWRRRIHHHHQQQQQQYQSRGTRRSRLQVRKRKHFKELAMSKGCLAVVILVVMRLLEIAAVAICIAVSFGRLKKQDYVDPKDKDAADHQNIRLSLNIFYGLVLAQSIIFLVMRLSPDSLLGFRVRFRYKLFGPTGREIMYRYLSENYLEFITGNVRATFKMNLITFAKKLVVSDSTVDDQLVGIQAMDRILRSVKYSSLALTKLRASLDADSLGKLVGVLGLVRTPDEKEMRGHAARVVLKLAPDIPVDTCPQAMSLISSFLVETASNMDVDLVWFGLRILDKLTDNQQNCRQAKDYLSDLLPKIIDLTNLNQHGRGSSISDSWIEQEIIPLLEREEDIPTTSIQMIDQQIIVGMSLKILSKLVAKPGDVGQDLREKASKYMNLLTDSVTILEHVGAARVIACLALNEDQKQEIGGSPQIIRKLKECLLSKEPHVDTTKVAAKLLLLEYTTTDQLSQIKSSIEEKNVLLQDPSFSVPTTAFIEALGLDQILPPWMQKQQIAVQDLDLDDLLSAEQENRCEAAAKALVVLTSGCVKNAAAVLEEITDDEMKTIVGMLFQEDQEEKRRMQALLQERNLHPETLRVVKKIICAEGEGRTRSLHAKLLQNLRAHGVTANSTHHIPVIDGALPEVLKAIVDEVAKLERSACTSENLAHKDGPWVESGKVLESFISLTFQICQGLDACGFAKTLEDANLTLDTLLPKLNKILELYKYPTSDFPSIRRSILELMTWMVQKNNNYREIFLQCGVYEQLKEVAKTAGRVERFELFHCGVGVGGKDISCIPSLVKELKEQLELCPHFEQRSHYYGARADTITVLVA >SECCE6Rv1G0437720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:785994876:786002068:1 gene:SECCE6Rv1G0437720 transcript:SECCE6Rv1G0437720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQALQGIQQQYAPSGLPVQKRYTKESAAQILQLDNMDSDTSPVRLIIKHKIVTYLKGREQFYNLHPRYLLAVSKSIDEQLYKDAESKIHYMDFETLEVRLNALLSRGSFGNSRYALASSAALPTSHSDQLGIEVADSGIQNGRAVPGSINPPLPARDISRFAPTSHHEAAASFAHSSADKIKQEPESLANATAAPCVSSLPKCSPCIAGDFSGGVPTGHTKYHFPGDVHQVDSQQPSTSGSSSSVSAMCDRTANSTNNNRYSAGQVSSSLQYKECGKVSYTWSHPIEQSDQSNITAGSHDLYIHDQSKMRTDIKRECGLEGCMQMNATCFCREKCSTLNTKFSYDQCSYIAADSGNCVSIREAVKGTEQTSNSTVSKPTSPTSDESSGKHHPAKRLKINSPRPVHADKTKFPKELQPAANGTYVSSETVQSETTALPTKSPSGCSLLDSNASNNMEIIRLPETAVQAEEGLCHGNGDIEMKELSCYGNGDIEMKEGLCYRNDDIEMKDSKLSSVDQTSLVASLTATKKRGGSILYTLTAEELRDHMKSLNQHICLSQVMTEEHPSGLPDQNTCNLCGMERILFEPPPRFCALCFKIINSTGSYYVHVENGIDKASICAKCHHLSTAKIKYQKRSNYAETDAEAEWWVACDKCKAWQHQICALFNPKVADEVEYTCAKCLLKEKDSGDIILLESPTVLGALELRRTKLSDHIEQRLSGRLEHERLQRARASGKDIGEVPGVEGLTVRVVSSAARVLQVQPRFREFFKEGRYPGEFPYKSKAILLFQKHEGVDVCLFAMYVQEYGSDSPLPNRRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKQGFVSCSIWACPSTKRDDYVLYCHPTSQKMPKSDKLRSWYQNLIKKAVKEGVVLERNTLYDFFLQPTSERKTSISAACLPYCENDFWPGEAERLLEKKDDNTSLKKEPQVGRLLRVAKRDDRKGNLEDNLEDILLVHKLGEKMRTMKEDFIMLCLQQFCKHCNQPIVSGKSWVCTCCKNFHLCDQCHAEELSAPLKDRHPATTKQKHAFQRMEEEPLPETDDGDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYHLHDSACSACHQAMDQRFAWRCLVCAGCNFCDSCYKQDGEKLHIHKLKQTDNQQVLPNYTLQDYLEGLVHASKCFCAARSCAFKLCVTMKKLFFHGVRCDIRNRGGCRKCIFMWKLLLTHAKRCGDGGCSVPRCRDIKAFFTDKTKMIAGPPCAIEC >SECCE4Rv1G0245090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:524559783:524565429:-1 gene:SECCE4Rv1G0245090 transcript:SECCE4Rv1G0245090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSDLEPLRSGAAALPSSSDPDSPTTPRRNRVRELLRNLDRRLSSRGRHNHVDSGPASPTTAGEAGAPRREEESDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVHVIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKLSMSSQREGIDFMSAIFPAIKAIQAAITLGTGCSLGPEGPSVDIGKSCAIGCAEMMENNRERRIALIAAGSAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYELKSAAELPLYLILGMLCGVVSVVFRQLVVWFTKTFDLIRKKFGLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVLSHSSNKEMFEATSPRHGYSSLLPPADRSETDGRRPDGDDVELAILEDDLYHYGSNSEEMLLDELKVSRAMSKHFIKVTSTATIKEATLLMHDKQQGCVLVVDNEDFLEGIVTVGDIRRRGFESSEDANSTGENSSVLDVNSALVTSCLTRGFQYHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRGAGRRNDGRRKVLGLLHYESIGRCLREELERWKAIYQREFPAASS >SECCE5Rv1G0356110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:737293328:737301550:1 gene:SECCE5Rv1G0356110 transcript:SECCE5Rv1G0356110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPHASAPKRPFSSSSPSPASPAPPHMKKAKLPASSSAGPTEKNGLHLDPAAAAAVRGGGRTNGEEDSEMLLADQDELRAPNASASGGGTANLFRKKATLPQPPATAATRKPLRIKIGQPKLPKNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERVKKECEIHISAKISALVGQSPDLVVFLSLVQRTWQDFCDQMLIIRGIALLLDVKYVKNVANLCSVWDMGLQLFRKHISLSPEIEHKTVTGLLRLIESERLGEAIDKTLLSHLLKMFTDLGMYSETFEKPFLECTSEFYATEGVKYLQQSDIPDYLKHAESRLQEEHDRCILYLEANTRKPLIATTEKQLLQRHTSAIIEKGFTVLMEANRVMDLSRMYTLFQRVDAIEMLKQALSLYIRGTGQGIIMDEEKDKDLVPFLLEFKASLDKILEESFAKNEAFSNTIKESFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINDSFKQSSQARTKLPTGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKVEFPKGRKELAVSLFQSVVLMLFNDAQKLSFVDIKESTGIEDKELRRTLQSLACGKVRVLQKTPKGRDIDDKDEFVFNEDFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKTLSHTLLITELFQQLKFPIKPADMKKRIESLIDREYLERDRSNPQIYNYLA >SECCEUnv1G0531950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:18158105:18160375:-1 gene:SECCEUnv1G0531950 transcript:SECCEUnv1G0531950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAATTEKKKRAMAPAASTKKRKNAMLPAAQKDWFMMYGIPKVADEMSKEFPNASFTFNEVPLLSHLTVPSNVAAPAGGVDYPYIAATDDCGLLLLCGYTFLGLTYYICDPYFRRTMGILPPDAGFSSRHKVGLIRHSRRIVFMVARLNTGLFSTQARVTLSCTTDMSEWVDKEADCSRIRETKPWCCDGVLSHNGFLWWFDLSSFMLACDPFLDRPPIYQIMFPHVPHPLRAAWFPVQGDKYRCLKVSKGRLRYVQIHGDPAVVSMWTLSSNDPSHAAHWELKLRVPLTDIWSHRTYKSSMLPEIVPTVGLVHPMNANKVYFFLGHHVFCVNLKKKKLRQCLELNNQELPSLSSLVVHSWNLPAGTTKIHLLSGSDESHIPGGISFLARYDSVDGFLEKALAVMSRSCPELFGMGLDESEEDEDQSVTTEESNDGDDSEIE >SECCE2Rv1G0084690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:178117148:178121012:1 gene:SECCE2Rv1G0084690 transcript:SECCE2Rv1G0084690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEDHHFESKADAGASKTFPMQAGAIRKGGYLVIKNRPCKVVEVSTSKTGKHGHAKCHFVALDIFNGKKLEDIVPSSHNCDVPHVDRTEYQLIDISEDGFVSLLTDNGSTKDDLKLPTDDAILTQLKDGFAEGKDLALTVMSAMGEEQICAVKDVGPR >SECCE1Rv1G0050590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:647602728:647607313:-1 gene:SECCE1Rv1G0050590 transcript:SECCE1Rv1G0050590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREEEKAEAVREGEEEQQQEEEYESDLDDAPLPAVRRRAAASDEEEEDEEEDSGLPLPRRAPGSDAESDGQGAAEEYGEEYEEEYEEYEEVYEDFEQGRGGAAAQAVAAPRAAAEGAEEGGEAATATAAGEEGEEGKKESEPFAVPTAGAFYMHDDRFQESRGGRGRGRRTLNNRNLWNPKEEEAWVHDRFDEMHPRGYHNGNMRNPRGRSGGRVGGSGGRTRGVGRGNFKGNRSRAHNHDGNQNYSYVPKGSQVSLDNTKNAGPVLREHRKNRAPKSSHARNDDVDNFDVVQKESHTYYDGSRRGKATPSVIRGRGSRRYQPRRSTTEISSEQNDKSQKPENALSNANLGKHQPQNSNSRPEQGFPNKQSFASNLNSASPPFYPSRPSHQELIGQRGNAQPSTTSRPFSPPIGMEHVSPTPQNAPLLRGKAFVPKGTNIQSSPSSSNTQSTLRMPTQMLGAQFGSSGRMPSFTQPTSTVLTEDTGVSSPRGSNEAVTRLTVKGQPGDQGEERASFPYGGGHVLGATGGLSLGDKGFHGTPALFPVMQFGGQHPGVPGVPSIGMALPGFVSQQQLGVSNSEMAWLPILSGSAGGLGATYGSPYFAMDGSYYSRPSEQASSSVNPREPSASNAPSQLKTPEITEVVNDEPSQRQNKPRRYSQMNLGQ >SECCE4Rv1G0282850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:830837563:830839832:1 gene:SECCE4Rv1G0282850 transcript:SECCE4Rv1G0282850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKPECAESHTEADPASHRRADPTTGMTLHLQRSAVVSGKEPEPEMEQGQGQDVVAHVYDVACSGPDGGGGGAVLHINRIFKDAIGLGGIFHTAIQVYGDEEWSFGYCEHGSGVFSCPPGKNPMYTFRESIVLGKTSCSPRTVNQIARELSREWPGASYELLSRNCNHFCNEFCDKLGVPKLPGWVNRFANAGDAALEAAETTAVKLKQAKKEIFTACKAASTYLTGAPSSTPSDAEDTGGPTSNSLFEGTWIGSIIGISMKPSKSLMNDVSSSSDDETSDDESETDGKQPGRDQNEDEKDARQEDQSTKSENEPPNHHS >SECCE6Rv1G0408150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:568531400:568532443:-1 gene:SECCE6Rv1G0408150 transcript:SECCE6Rv1G0408150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEYRCWEELLPDALGLVFRHLPLQDVLTVVPRVCKSWGRVVAGPYCWQEIDIEEWSQQRQSRPEQLVRMVELLVRRSSGACRRISVYGLPCDPLFSFIGDHARALRTLEIPRSEISDAVVEAVAPGLPNLTFLDVSSCTKIGARALEAFGSHCRSLAALRRVMHPIDVAGRNACGQHDEARAIARTMPGLRHLEVGYMLVTTEAILEVLSRCRALEFVDLRGCWAVDEALLRERHPRLSVLGPGVDDCFENSYWEECSDDDEVYSWELMDDDEYYAVVGSDDEDEAGIWDDAPGLVENLEVRFYGGGFSESHAGFDWPASP >SECCE7Rv1G0455570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:7880713:7884267:1 gene:SECCE7Rv1G0455570 transcript:SECCE7Rv1G0455570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSASLGALGPLLVKLGGLLAGEYGRLKGVRREIRSLESELTSMHAALEEYTKLEDPSGQVKAWISLVRELAYDTEDIFDKFIHHLGKGGRRGGFKEFLHKIARPLKTLGARREIADQIDDLKDRIKQVKDLKDSYKLNDAPSSTTGHLAVDPRLHAVFAEEAHLVGVDGPRDDLAKWMVEEGNNSSKPRKVLSIVGFGGLGKTTLANEVYRKIQGQFDCKAFVSVSQKPDIKKIMKDVIFQVSCQDGSAKDTIDWDEMKSISKLRELLQNKRYLIIIDDVWSAQAWNNIKCAFPENNCSSRIIVTTRIIDVAKSCCLGGDDQMYELKSLSDLHSRILFSKRIFGSEENCPDMLEEVSNNILKKCGGLPLAIISIAGLLANRPAIKEEWEKVKRSIGSALEKTKSLEGMSSILSLSYNDLAPNLKTCLLYLSLFPEDHVIERTRLVRLWIAEGFISEERGQSKQETAENYFYELINKSMVQPVDIGFDGKVRACRVHDMMLELIISKSIEGNFTTVVGGGQNLENQQGFIRRLSIQYIDKELASALVNVDISHVRTLIVMPSCSIKHLPTLDQFEALRVLDFEGCKDLNDSDLNCMDKLFQLKYLNFGGTDISKLPSGIVMLGNLETLDIWNTWVQELPAGFGRLSKLEHLQGGHWILPDGTGDMKNLELMSGLVIASSKMDVLEDLGNLTSLKELNVDLRPANRISDKVSCEDKRCEEAFLSSLCKLGTCKLKSLDITTHGASLDFLDSWSPLPSSLQRFCTDMKDSFTNFPKWITPALTNLADLDICLTEMTEDDLVTLGQLPSLLRLGLWPSNKLVATVQRNSFPNLKVLDFGFKTDRAYVTFVKGSAPKLEELTITFSVSAARDNSFYSGIEHLSSLKEATIYLDNEDATPSERKAAAAEIRKEAGVNPNHPALIIEGESKEEDDQETGGSDEEKSQGDGDADED >SECCE5Rv1G0347730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:669592532:669593803:1 gene:SECCE5Rv1G0347730 transcript:SECCE5Rv1G0347730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPRRPPAALYVPVRGLLEARVPWGRDRALDHVVERERHLVPFLLTKDALLTAAPPPHAVPLHALPSTIPFPFRPLRFLRLYASAFALSPHPVTVSPTHRLSALHLDEAQAVDATRADAADRLLRLLMLAPSRALPLHLVARIRLDLGLPSDFPRSLLPHYPDYFALSADGRLLELVCYRKDLAVSAVQSYAQRTGGYKVGDPIAFQLSFPRGFDLDKNVRKWLDEWQKLPYISPYEDGSHLAPRSDITEKRTVAVLHEALSLTVGKKMEKEVLVKLGEALRLPPGFRKVLARHPGIFYLSHKLRTQTVVLREAYRRHMLVDKHPMMGVRYQFLHLMHMGREEAGKAKGKERKTVRGDQMMGDEYGADGENEEDEDDYDDEEDEEEDGENIEAGVASEDEESDDEDTENRGETGEPQQAAN >SECCE4Rv1G0218600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:23098670:23099105:1 gene:SECCE4Rv1G0218600 transcript:SECCE4Rv1G0218600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSNATRMAAIFMVLMIMASTRSSSSCYAHTIEDGENSTLCFHVENCQHDSCQTACRFSSNPSTGAYCKLTNYCCCV >SECCE2Rv1G0079180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:116056517:116058881:1 gene:SECCE2Rv1G0079180 transcript:SECCE2Rv1G0079180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRSRGYWASFFRGGGDDILNAIEGAIDAAASEQPAALRARRDAIAERLYTALLLVSSGAPTPAAAAAAAARPQAGAPAAEPQPQAQQQQEQLLPEGAASVPSLCSSDRAEAITDDGEPRHDDDSVAAEAEKIKAVLVNYQEKSEAALLDLLRRLQQLEFTVHTLKVTAIGKTVGILRKHNSKQIRHLVRLLIEGWKSIVDEWMSNGGSGDAIVDHTPQSMHPSSLEQEDRGMSSPSVDEGALFATPSTSIRLSEDNQGSRMFDGMDDAENTRNSVQRHPGSQEQIRRPPQPVAQQYDPDQSWRQEQSAARQSRPQELANGQTREQFIAAMLAKPSSAEPGRGRPQVRPKQQQGASPAQGRPQPVPSDKPAGNPDANSLRAKLDLAKNAKLELATNSKLEMTKRKLQEGYQEFDNAKKQRTVQMVDPQDVRKQQGNRVWQPNAKPRNNNNSSSNTNNNRNWSSK >SECCE4Rv1G0230080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:158031308:158034302:-1 gene:SECCE4Rv1G0230080 transcript:SECCE4Rv1G0230080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPGVLLRLLQAMHTDERVTGEHRSPALQVTAVVPALTASTADSLLCPSNGFLLQLSDGLHSTYVQPSPADADALLSARPHIVGHLVHLDRLRFASPVPRAVGLRPVPSSRSLPCVGNPEPLIVRSAACSRGYVIRPDSSPDAAPPLMPSGSGGAPPSDATDAAVKRTVLAPRNGPEAAALPGGSAAKRRFSSPAPAKQRDPSPAVKGASRAASPSVKGASRASSPAVRGTSRSSSPAPSKCVVPSLVAAKEENRRVAKEPAIIVPSRYRQPSPGGRRGAASPGGGGRRGSLSPGSRRLSGEGGSKKKVGVLVSGISKMTDLGSGSAMKPGRKSWDESAMALAAVAAGTVKKSKVKVDRETILRTHEAMSRRLSDATTELSSNDDSSVDEKPKPRKKTESTTGKAKTAAPKILLHDAKWTDGSIPLVAVSDKLSKIGKEAAERRDAAAAAAADALQEALITDSVMRNLSKFSELCSLSKTANPLPTVDCFLAVYEDTLKWKKIAESMATNGADEAAFWEKSATHWVEAALATELEVLKLVNSATGSIYQKKSTEKPRAPPAVEPPRTSLSKRPSLGASAKVQSRVSPLPAAWPKTPGMNETVELANTLCREMHVWFLKFVNEAMDVGFHLFEDQNIATRGKQSGHITVVLSQFKRISDWLDGVGKIADEDATKDNVERLKRKIYQFVISRMGSAFDSSVSVSATS >SECCE4Rv1G0229030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:142016606:142023280:-1 gene:SECCE4Rv1G0229030 transcript:SECCE4Rv1G0229030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKSHLHDPFHGPRSPAHPTKRSSHLTGEEPVATSVSGRPDDLAWRCSSDTFDLNGRAFENSENWAVLSTDGDKPSPRFDHAAAMVGSKMIVFGGDSGNHLLDDTKILSLDKLTWDSVASKVHVPPGGRRAQFRPCKGHCLVPWGKTVILVRGKSEPPSDRISVWTFNTETEIWSHMEAKGDIPVARSGHTVTRAGPVLILFGGEDTKGKKLHDLHMFDLKSLTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETMVWSRMRTHGHHPSPRAGCCGALCGTKWYIAGGGSKKRRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVSFGGNKKEPSDKVEVLVVLQNEHSFSWRSAPDAEPLMYEDSSPSSKELVDHLNNCDPLYSNSVARHSLATTVESSSGRKSLPDSLLHNSKVGGSSLRRQFRQEEECSLAQKLQKPIDDDKYKDVDDCSELPSFANQKQRSDTYHSPDADAKTKRVGKSSPDINHQHDTKIANLVSRNMALEEQLSAAMASKDESEKNLSLVIDTKEELEKRLAERDREVVALKEKVGGLEQAHEDSNNASNTVHADNVRLEREVAFLKAVMDETQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMDGRSPTQRKPQNL >SECCE7Rv1G0475130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:182515129:182516010:-1 gene:SECCE7Rv1G0475130 transcript:SECCE7Rv1G0475130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSYAAMCLSLAFLLHSYGAAATAPTGMLERETKQQIIASIPPHGQENPVLFLTSPSGKYAAHFMRSQTAPGAGGLGADFCYVEILDTTEPGAEGRSVWESECLAVSTVNTCSLVFSWKGLEVFDGSNSVWHTHDTESDDNNFLKTLQLVDEGDMRILDKGGELAWKASDEPRAAQHCGMPGSPGLVSAMPPFAEPAGHGSGNLPFGQEPAGSGNAGVAQPTLPLPEGAGSGGVAGQGQAVEDVGQTIGFGSQPLVDNSPYDSGAPKHGCSSLGIGAAWAVSSAIVMALGL >SECCE7Rv1G0465100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:66635171:66636346:-1 gene:SECCE7Rv1G0465100 transcript:SECCE7Rv1G0465100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITASASPAMQEAAKTPSTSPPRAMAAPTASPARYRPSLLVIFSACLVLIGAGGPLLLRVYFVHGGQRLWLSALLQISGWPLLLPPLCVSLFRGRRHGIANLLLPLRLVGAAAVLGSLYAVSCFVYAMGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVMLLIIGPAVLGVGPGSGKPAGEPSKTYWTGFCEGIAAAALAGLVLPLVEVAMERYGRRTGPAARAPPPYSTVMQMQAVMGAAGTMVCLLGMAIKSDFGALRSEAAAFGLGETNYYLVLVWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSEILAVLFLHEKFDGPKGIALVLSLWGFASYMYGERVQQKKAEAQRSELLQQQVVRKTGDLELAAP >SECCE3Rv1G0178110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:492203809:492207087:1 gene:SECCE3Rv1G0178110 transcript:SECCE3Rv1G0178110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVFQGYERQYCEISASLSRKCTAAASQEGEKLKQKASEIKSGIDGAEALIRKMDLEARNLQPSVRAGQLAKLREYKSDLSNLKGTLKRITAGNGQQGVREELLESGMADTLVVSADQRSRLLRTTGRQNQTTDRIRDSHRIMLETEELGVSIMHDLHQQRQSLLHANDTLHDVDDNIGKSRKIMGAMVRRMDRNKWIISFLIALLVLAILVVLYFKFVH >SECCE4Rv1G0266810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:733780711:733782841:1 gene:SECCE4Rv1G0266810 transcript:SECCE4Rv1G0266810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSMKKARTAPENGVRLTVSGAEATVGQSANAYTVEADGLGCEICFEPFGDQIFMRARAPFSAPVGDALKRKCSCCSEPIGDIRCRPLEKILAAMTRPCTYVSYGCQKIVSYTERQSHEKVCTYRPYHCPFDGCTYHGLELYNHIHDRHGASAVVMPGGSRRSTVKLEKKMPFRVLLHRDWASVFLLLNGGDVLSGRSLSLVCMSPRPIGKSEAKYKMEVKHWLSDPGTPVLLSSGAAPFVRRLDDFRARGFLFVPDYYWDLSDSVSVAVHLTDGR >SECCE4Rv1G0221650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:51154619:51164438:1 gene:SECCE4Rv1G0221650 transcript:SECCE4Rv1G0221650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAPPCQAQATTQHVSTPKSSAAIYGGRPATASSMSHSQGFHQGSSGVYGFSSDGFDRPGSSQDHDQQQQEQQHHVAQQSRRDKLRVQGFDPAAAAAHGLLPIDGDEHVEPGAMYDHAAAAGASNMLAEMFNFSAQTPSGPSATELLASQMNANYRFGFRQQAPGAVPGLPGDGGWFGSGGPGRAGVVLGGANLLGETSSPKQQGGMAGLATDPAAAMQLFLMNPQQQQQSRSSPTSPPPSDAQSAIQHHEAFQAYGNAASSFGGGGAGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQQQQQQAPSVQQLPMALHGQVGAMGQQLHVGYGPAGVAGVLRNSKYTRAAQELLDEFCSVGRGQTIKGGGRGAAGGSSSNPNANKGGPSSSGAAQSPSSASKEPPQLSPADRFEQQRKKAKLISMLDEVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIAAQLRHTCEMLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVSILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQETKELEGSSAGGGGGGGPESGNDPSGADDLHSPTTTGAQQHPQQQQVVMQHGGGRYGQQEHGMPGVHPHKLDPGAGPSVADAAFVGLDPAELLGGDAHVGAADDLYGRFEPGVRMRYGPAATGAVSGDVSLTLGLQHAGAGNQGPDGSGRFSLRDYNGC >SECCE5Rv1G0302110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:35206818:35209190:-1 gene:SECCE5Rv1G0302110 transcript:SECCE5Rv1G0302110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLVARSLSTAHLSGRLRRPLSTAVAVPGGSLSTADRAGRLRRSLYTAAAATHPPWAIIHDTSEVDRSSSAPGARFRRVDPPGVSHIFAPAHLIDPTERPNAGSSDGVLQYLDGNVVQLLFGNVGAASGDGHLLLSYHDLRAEGPCTRWDLAENPEVHRFVCNPLTGQMLRLPDFGGSRRIFALHNMGLLTQADGGLGCGPPDRFAVADFVLNGAAILRFLSEEGKWKVVRPVNGNTSLPRLMEMNQETIAFGGRLWWVDLTLGAASVDPFADQPEIRFVELPSGSVLPAPPRVDEADPCKVEERGLLIMEVTNRRRIGVSEGRLRYAEVTPGGPFLLSSYALDDDEGSGWKLEHQVTLRQVLADGGYSAQAAPQIAVLDPVDANIIYLRVGEDIVVVDLYNGKVIGACRLQGEYISLVPCVLPPWLGSSRIPTQGKKDGMEVTDDLVSSA >SECCE3Rv1G0174600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:396661744:396666205:1 gene:SECCE3Rv1G0174600 transcript:SECCE3Rv1G0174600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM4 [Source:Projected from Arabidopsis thaliana (AT2G16440) UniProtKB/Swiss-Prot;Acc:Q0WVF5] MASNGGGNSNSPYSASSPDVRPSSPLPATNSSPPQSARRAGGRLRRGPISSPSLGGFETPPPPGRRTPSGAGAARQRQNWTGRFPPTPSTPMSTDDVPLSSEAGEDTPETDGGGVGADATPVFVWGTNISVQDVNAAILRFLRHFRDPRDAGRVDPVMDEGKYMRAIHRILELEGGESLDVDAHDVFDHDPDLYCKMVRYPLEVLAIFDIVLMDLVARMEPLFEKHIQTRIYNLKSSICLRNLNPSDIEKMVSIKGMIIRCSSVIPELKEAVFRCLVCGFYSEPVMVDRGRVTEPHICQKEQCKASNSMTLVHNRCRFADKQIIKLQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRIGPSQRTVKSIFKTYIDCLHIKKTDKSRLHIEDSMDTNNTNDASKPSEDGLVTDKIDKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNALRLPSGANFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSESRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFENPEVVEHQVLDLPTLVAYISYARKYIQPKLSDEAAEELTRGYVMMRQRGNNPGSRKKVITATARQIESLIRLSEALARMRFSEVVGVRDVTEAFRLLEVAMQQSATDHATGTIDMDLIMTGVSASERQRRDNLVAAIRDLVMEKMQLGGPFMRMAELLEEVRKQSSMEVHQHDLRVALGTLQSEGSVFVHGDSFKRT >SECCE3Rv1G0189380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:725489155:725491618:-1 gene:SECCE3Rv1G0189380 transcript:SECCE3Rv1G0189380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQYEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDIDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGTNVEDAFQCIVKDALKNEPEEELYIPDTVDVVGGNRTQRSSGCC >SECCE5Rv1G0343110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:635227959:635229688:1 gene:SECCE5Rv1G0343110 transcript:SECCE5Rv1G0343110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLVVLSLGVLVQLAGCSPPPDPVMCTHGTSNCTITNTYGSFTDRTICRAAKVTYPRTEQELVAAVAAVASTKQKRLMTVESGMLLRDLVEAAAAAGLSLPHSPYWLGLTIGGLLSTGAHGSSLWGKGGAAHEYVVGLRIVTPAPASEGFAVVRELGAGHPDLDAAKVSLGVLGVISHVTLAMQPLFKRSVTLVKRDDSDFQEQVARWGHLHEFGDMTWLPHQRKVIYRQDDRVDVSTPGNGLYDLPLVSTRDLIAARAAEERLQENGTDTARCEAAQQQAAASERFNVFTNDGVSFTGYPVVGYQHRIQAFGTCFSSPEDGLLTSCAWDPRIRGFFNYNSGFSVPLSKAPAFVADMQRLRNLNPDSFCSSVDPSIGVLLRYVKASSAYLGKPEDSIGVDIIFYRSHTEGMPRAHADVVDEIEQMGLHKYGGISHWGKSRDFAFDGAIAKYPKVHEFLRVKDRYDPEGLFSNEWTDKVLGINGSPNIIKKRCAIEGLCVCSNNSHCAPEQGYFCRPGKVYKEARVCSFFKDY >SECCE5Rv1G0335140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:570706504:570708714:1 gene:SECCE5Rv1G0335140 transcript:SECCE5Rv1G0335140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSQQTQSPEGWKWNAASSSLSKRDSPPYLQDVNSHGSKEARYLDPVFPEDIWSCIYSLLQMQDAARAACVSRSSLGYWRCHPNLTFTNETMCPKENLKAPGSNAIIRDYNNKIDRVLTNRSGAAAVTKLRLDYHVPPDGVESYHRLDSWLQMAVTPRAEELELLVWSKEASFDFPCTLLSDRCGDSIQKLLLFKCALRPTFQLGLRSLKTLHLRDVRVTGEELGCLLSSSPALEHLKLVYCDDIVRLEIPCLLQRLSFLEVSGCTNLQVIENKAPNLSRFWLEAGEQVQFSVGESKVKDVQLRQAYAISYAIDNLPSRVPNLETLSVQSTCEIVDSPMASSKFLHLKFLSIFLISGSYFHQDYDYLSLVPFFDACPSLETFRLYVIEQSMYDDWFEGDPSSLRRMPQHCHENLKSVKIIGFFPQKSMVELTCHLLENATSLESLTVDASPANYRCSGSKPGRKCSPLTTTAIVKAHKSILAVKKYIEGNVPSTVKLSVPEPCGRCHRLEESGVEPFYLMFNDEMF >SECCE6Rv1G0407570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:559027977:559030421:-1 gene:SECCE6Rv1G0407570 transcript:SECCE6Rv1G0407570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRWWRVDASEVAAVTAMGVWEAVLAGGGRRFIKRKDSDAGETGRALEELRSSLYNEMHSSEGAKRQQQRFCGPSVALTFNFAVAVGIIVANKMVMGSVGFKFPIALSLIHYAVALVLMAILKALSLLPVAPPSKSTPFSSLFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVAAEFMLFKKKVSCQKVITLATVSLGVAVATVTDLEFNFFGACVALAWIVPSAVNKILWSNLQQTGNWTALALMWKTTPVTIFFLLALMPLLDPPGLLLFNWNFRNSCAIVISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLTGYLVFRSDPGVTSVCGAVVALGGMSFYTYLGLKKEAAAPSGKKPPSRSNSFLGKPAGDGGSSDYEDSV >SECCEUnv1G0531080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16038621:16041333:-1 gene:SECCEUnv1G0531080 transcript:SECCEUnv1G0531080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMFGGGNWGPSPYPGSNASANENQLLLDAKAAPQQLQLFGSNAVGTSGYYNGNDNPHVMNQPRKTSNCAADEKKLKLQMSLNNFHAGDADRLACTGNSSVVSTGLKLSYEDNEHNSSFTSGSGSMSSLTSTTPFGHDIMTEMEKGNKEIDYYLKSQVEQLSRRVKEMKQRQMVSLVATLERGVGKKLREKELEVEAMNKKSQELNEQIRQVAMQVQSWQSAALYNESVASTLKTQLMQVVADHANRTREGCGDSEVESGAVPGQKNINAAPGGFFKSSLLPGVKSGVAASGLAACRWCGAKEATVLVIPCRHLCLCADCDRIADACPVCQYPKGGSVEINMS >SECCE3Rv1G0214030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963625988:963627721:-1 gene:SECCE3Rv1G0214030 transcript:SECCE3Rv1G0214030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYNSVDGESSCNERGERDGQSSCNKRREIDIHCMEMEDDGEDCIYEYDDDDDSGGGDYDDYNEDADDEYMSDAEKTRARVAPVTYIVLTEDDVLKRQAEDVAGIAEVLSIPPAFAAFLLRRYKWMPSDLQDDWFSDDRRVRDAVGLRADGGAPIVATALSPRRLICGICFDRYPTGATRSASCSSHFYCDKCWRGYIGAAVDDGPRCLSLRCPDPACSAAVVRGLVDAVAGAADRDRYARFALRSYVEESGGRIKWCPGAGCSHAVEFVGCARYDATDVFCKCRHGFCWSCGEEAHRPVSCGTVRAWLAKNKSDSETANWMLANTKVCPKCRRAIEKNHGCNHMSCPCGHHFCWLCFKPAGTREHYACDGDIYRPQRNATGSKAASSKAAVETKEEAIARQARASLDRYLFHYERWAGNLKSLEKALQDMDKLERSELEEMAAMVGIKAVTELEFVTEAYKEIVYGRRVLRWAHAYGYYLDPERDGKKRELFDFLQGEANTSLERLHKCAELDRKTIFCSSDGEGQAADVSTMKKVFKEYRDTMVNFTMASRTFMGNLVKAFESNLSEVGKMNF >SECCE1Rv1G0001430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4826009:4827262:1 gene:SECCE1Rv1G0001430 transcript:SECCE1Rv1G0001430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGEEEMSGKELLRPDNSLAEEEAAAAAASRLTDDLIVEILSRLPFRSVCRFKCVSKPWRDLIAHPAHRKKLPQTLAGVLYTTFLSDGGYSYHLAGLSAEAEGLDLDPSLTFLPHTEYRDFWLVRACNGLLLCSYGPEEGTVRFVVCNPATQRWTELPPRPRPNTCPYYMEFHLAFDPAVSSHFHVFDFERAGDLGITGVSIYSSRTGAWSQSDTGLVEDVVMVGQSVMVGGMLHVLGNLLVAAHSNNWEDESVLVAIDMEGKTWKTISVPRGRNYGTLGWSQGCLHYAAISPAPLTVDDDDDEDSLNMAEEVAIWRLEDYDTQQWALKHSFRIDKVLNLNKVDYQLIGFHPDHDTFIFVRKGIFEGSDAASLVSWDMRRGQISSVLDLEKRSAAPYLPYVPLFSSEPLADADGH >SECCE5Rv1G0323930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:441061583:441064524:-1 gene:SECCE5Rv1G0323930 transcript:SECCE5Rv1G0323930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCTLTVPAPFAAASSSSSSSTSARRYRRFGVVAMASVGQMVYAPGVAVSEGNGGLPKISLKSPHGSEAEIYLFGACVTSWKVPNGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGTMQQHGFARNVNWSITDSEVAEGDPTVTLELKDDSYSRSMWDFSFQALYKVALHSTSLSTTLKIINTDDKPFSFNSALHTYFSASIAGVSVKGLKGSKTLNKDPDPKNPLEGKEERDEVTFPGFVDCVYLGAPSELTLDNGLGDKIVISNTNWSDAVLWNPHLQMEACYKDFVCVENAKIETVQLGPKESWVAEQKIELV >SECCE3Rv1G0205990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:904125178:904126662:1 gene:SECCE3Rv1G0205990 transcript:SECCE3Rv1G0205990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLARKLPSIISKHQRLISPEIETLEETPEISTSPASIRLDPSLPLLPLAVSHLSPPSPLPSLPSAHASSPEALLRLLRRARHHPRLAPLDLHLLLAAADASSAFRPDHRLTSLLAARLAASRRLPSLRRLLQLVLSRPCPCADDSIFACPDLLPTFRKAILAFATSGDIPAASEALASLRRAADSPLPAEFYNIILHALARLHRHDDAIRFYGEMTSVHRVVPDAYTFNILINSSCRVEGVDAAMRWFEEMRRRSCAPTGVSFNTLMRGFFREGRYKEGLKVAYEMLQLGAGLSVASMEILIGGLCRGGDTLKAAEVFAEFLVDAVVPEGFDCLDLVESLCHVGRVDKAVEVVDLVLERNRASCLSVPAGVTVLECLMKTGKLDDVCRLMGRMVGEGIVPDTISCNCIFEALCEAGRTSDANRLRILAKEKGFAADAATYSMLVQGFGRQGRVKEGEDVLDEMLDLGFIPNIVSYNRLLDSLHIRRSLQ >SECCE5Rv1G0366130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:811390342:811393945:-1 gene:SECCE5Rv1G0366130 transcript:SECCE5Rv1G0366130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKVGMAKLWALFLVVFVLAGSAQTAADRNVSRGGMRRLTGAPGVPVPITVLTSAVAMGAVCMDGTPPAYHMDAGYAAGKNRWIVHLEGGAWCESVGSCLYRKASRLGSSNLMNRQMYFGGILSSSQAENPDFHSWNRVLVRYCDGASFAGEGYDARSGLFFRGQRIFNAVVQHLLSIGMSSADQVLLSGGSAGALAVVLHCDQFGGFFAGRGTTVKCLADAGFFLDAVNVAGWRTLRSYFGGVVATHGVAQNLPRSCTSHLDATSCFFPQNIIGGINTPIFVLNAAYDTWQIRESLAPDVADPGGYWRACKTSRFACNAFQMNILQAFRNQMVSTVLGVSRSRSNGFFINSCFTHGQSEYATWNAYGSPALQNKAIWKSVGDWYFGRAEVRAIDCAYPCDNTCHHDN >SECCE6Rv1G0407210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555069340:555082006:1 gene:SECCE6Rv1G0407210 transcript:SECCE6Rv1G0407210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLALRSAPYHRSSLSAAAATTTSSRFAPFPRLSRRHLPSPLRVPVRAVESSSGATKQEEAPLAAGEVQEPLPAAPAFVVEELGWGTQLAVKLKMLVAPPWKRVRKGSVLTMKLRGEITDQLKTRFSSGLSLPQICENFEKAAYDPRISGIYLHIEPLSCGWGKAEEIRRHIVDFKKSGKFVVGYMPVGGEKEYYLASACAELYAPPSAYVALYGLTIQQTFLRGVLEKVGVQPEIQRIGRYKSAGDQLGRKSMSNEVKEMLGALLDNIYGNWLDTVSSTQGKKKEEIEEFVNSGVYQVEKLKKEGWITDLLYDDEVMTMLKERVGQNDKKSLRMVDYSKYSRVRKSTLGIEGGGGDLIAVIRASGSITRTRSGLSVSSSGIVAEQLIKKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLADSKPVIASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEILSRGRYAELNAADQRPLRPDEAELFEKSAQNAYASFRDKAAMSRSMSIDQMETVAQGRVWSGQDAASRGLVDSLGGFSQALAIAKQRAKIPQDKKVRLVEISKASPTLPEILSGIGGSILGVDRVVKGVLQDVTSLNGVQARMDGILFERLENMPGENQLFLLVKEITNYFG >SECCE6Rv1G0379410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13727031:13730833:1 gene:SECCE6Rv1G0379410 transcript:SECCE6Rv1G0379410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSPSGHVSQPSWSLDDIPTKVACTLSQGSNVTSMDFHPWHPLLLVGSANGEFTIWEIAMRERLVSKPFQIWNMQACSAQFQCVMAKDPSMAVSQVTWSPDGYMIGVAFTKHLIHLHVYEHRNETRGVVEIEAHSGEVNDIAFSRPNKQLCVVTCGEDKLIRVWDMHGQKIYLFEGHEAPVYSICPHHIDDIQFIFSTSLDGKMKAWLYDNVGPRVDFDVPGKCCTTMLYSADGTRLFSCGTSKEGDSHLVEWNQSEGSVKRSYSGFRKKPSGVVQGVVQFDIAQNHILAAGEDNQIKFWDVDNTNMLACIEAGGALPSFPRLRFNKEGNLLAVTTVDSGFKIIANTDGLKSLLSFANLPSEVFRSPYEASAMKVSGAPVVAGISPNIGRTDHLDRNYLAKPSPILNGGDSACGSIDKKLRISEEKSDKGKPWDLKEVLNPQKFHLDTMPEPDQASKVSKVLDVDDLLREIIIRVGFPTTLVRAALVCKRWYHHASEPAFLRRFCERHPPCLLGFYLEDKEDHKMDSIRFFSLLNKPQELDAIVRSLVGYNWEAYHGAPANFLCSRNGRVFISLYDQVNRSKFTVGVHNPSCRERSLTVVPPFPHVEIQDDYYRTMNDLFSVEEVNDLSLLHVSVVSNMQRTKSTVHVHMWRHGDGVWRTYLTLDTDQLLDPRREPKAVLANNKIYIASARSDIVVFDLTASSISMFQLPQGVEYGDRDTMLAQAEDASGLYLIHAKKLQLHIWLHKGDNWLLVDTICLREMVANMWIPGYRVGHESASPLRIKHVGNYAELMFLQMGRCALQLDVKSMQLRKVYVMAEEEVQLGDIHPLKMIWPPIFPALKDNPARFAFWPSDDLYIAFVWVT >SECCE6Rv1G0420150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:675551976:675554793:1 gene:SECCE6Rv1G0420150 transcript:SECCE6Rv1G0420150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTILLLLIALPLLVAAASAQPECRDRCGNVSVPYPFGIGVGCFRDDGQPGFQLEFGYDYHLAALSLEGEARTYLRATRHCYNSTGELVDRVTEYMSVSGTPYLFSSTKNRLVTLGCPSLGYFNDGRGFYVSGCTSVCRPSQYALPGSCTGVGCCQSAIPNGADYWESTLFNFSPGQQETVVFNTNPTLCRYVFLVETKWFDGQASNNFVNRTDDFTVPLMLDWAVRNVGNCSAARRNATDFACKSAGSRCLDAINGPGYRCSCPSGHEGNPYLDRGCIDIDECRRKDKYLCYGVCTNTPGNYTCECPPGTSGDAYRKSGCRANDKFTLALKIVTGVSVGVFLSLFTCFSVYLGHQKRKLIKAKQSFFEHNGGIILQQQMRSYSGGAAGGGSGGFKIYSEEELKKATNNFAADQILGRGGHGIVYRGVLEDNTIVAIKKSKMMEETETNEFAREMFILSQINHRNVVKLHGCCLEVEVPMLVYEYVSNGTLYHYIHGGKGLESNTALDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDGSLTAKVADFGASKLAPSDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTGKKVICFDGPEEGKSLVCRFTTAMKTNRHDEVLDSRVRKELGPKALEEVTHLVMRCVSMSGEERPTMKEVAERLEALRRYQRNPWGQAGAGDPEEGQSLLGREQQRDATNKFIPQDVIDVEEGSTYTFSL >SECCE1Rv1G0014830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:118581477:118582154:1 gene:SECCE1Rv1G0014830 transcript:SECCE1Rv1G0014830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTSDGDSELEAYGSDTYALLLSGDIKVMQDGSSYKCPFCSVGNGDYNIHELLQHALSVGAAHDQEAKQKVDHRALAKHLKDEPAKSHSPLLRPIIMDPQPPQHKRDELFVWPWMGIIVNMPSEYVGKSANRLKEHFSCFHPVKAHHVYSKGFPTGNAIVEFGKDFGGFRNALIFENQFEKNGYGKMGWQEKERGGSEPFGWIARADDYNAPGAIGDFLKKMAI >SECCE7Rv1G0484140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:340863626:340867481:1 gene:SECCE7Rv1G0484140 transcript:SECCE7Rv1G0484140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRVRLAAAAAAIAVVALVAGGDAYQLTKPGTVISYDRRSLMVDGQRDIFFSGSIHYPRSPFHEWADLIARAKEGGLNVIESYVFWNIHEPEMGVYNFEGRYDMIKFFKLIQEHDMFAMVRIGPFVQAEWNHGGLPYWLREVPDIVFRTDNEPFKKLMQKFVTLVVNKLKEAKLFASQGGPIILAQIENEYQHMEAAFKENGTRYIEWAAKMAISTDTGVPWIMCKQTKAPAEVIPTCNGRHCGDTWPGPTDKNKPLLWTENWTAQYRVFGDPPSQRSAEDIAFAVARFFSVGGSMVNYYMYHGGTNFGRTGASFVMPRYYDEAPLDEFGMYKEPKWGHLRDLHHALRLCKNALLFGKPSTQPLGKLYEARVFEIPEQKVCVAFLSNHNTKEDGTVTFRGQKYFVPRRSVSILGDCKTVVFSTQYVNAQHNQRTFHFTDQTVQNNVWEMYTEGDKVPTYKFTTDRSEKPLEAYNMTKDKTDYLWYTTSFMLDPEDLPSRQDIKPVLEASSHGHAMVAFVNKKLVGCAHGTKMNKAFSLEKPIEVKVGINHISILSSTLGLQDSGSYLEHRQAGVHSVTIQGLNTGTLDLSSNGWGHIVGLDGERRQAHTDKGGDVQWKPAVFDLPLTWYRRRFDMPTGEDPVVIDMNPMGKGILFVNGEGLGRYWSSYKHALGRPSQYLYHVPRCFLKPTGNVLTIFEEEGGRPDAIMILTVKRDNICSFISETNPGHVRSWETKDSQLTMVADDLKPRAVLTCPEKKTIQQVVFASYGNPLGICGNYTVGNCHTPKAKEIVEKACVGKKSCVLAVSHEVYGGDLNCPGTTATLAVQAKCSKRQRTAQQ >SECCE1Rv1G0048100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631764640:631767979:1 gene:SECCE1Rv1G0048100 transcript:SECCE1Rv1G0048100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKFKGKPTGQRTFSSKEELEAGTSADRPKTFSKKKQEVSNRREESIEEESDEELEKTKHKGTAGLIEIDNPNLVKPKSIKAKDVDVDRTTDLSRREREELEKQRARAHYMRLQEEGKTEQARKDLDRLTLIRRQREEAAKKREEEKAAKEERKAEARK >SECCE7Rv1G0469340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:108311038:108311445:1 gene:SECCE7Rv1G0469340 transcript:SECCE7Rv1G0469340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANEEQCELTLSSSPSSVREPPGLFLCVYCGRSFYNSQAFGGHQNAHKEERRLARRRREMATARRVHAASPLSPAPSSHDAATARLGAAAEDRTAGLFAAAKKARMEIQLERGRTFPEYDDARTGDGLDLSLRL >SECCE4Rv1G0269720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749856356:749857564:-1 gene:SECCE4Rv1G0269720 transcript:SECCE4Rv1G0269720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRGDNTAPPLATTTTVHDVPDDPLELIFLRLSTSLHLVRAACACKRWRHVIAGVGFLARFRSLHARPVVAGHYHVDQRKHGSRPPGCNPIFSLSMSADTAGMWPQHFSLCFLPDSRGWDLADSRDGVLLLTRCAGFRNSDDQPSLVVCDPTTRRCSRVINHPSFLGGNWSCFGAFLLDGDGGGISPSNFRIIVTLWLCDQGAVTTSMFSSADDEWRIGGIPHAAAWDGLFFLAGNIQDAVYWMGRDRDTGRSKVLVLDKRTQEFSSPLFPWQLPYRCDPQLRAVPCNDGSVRIALLYRNELQTFGRRNNLGRDEWTEERSIPLQPSILALQGSSKLPLPRKIFAVVEGSVVLGTVGGSGFISVDLSTMKFRRMSDGDKYSNGPAYEYQLPWPPTIRACLA >SECCE6Rv1G0386810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:101885546:101890757:-1 gene:SECCE6Rv1G0386810 transcript:SECCE6Rv1G0386810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSRVWQRGSKDMTAMPHPRHRTAKKPMWIIVLLSIVCVSLIGAYVYPPRRYSTCYFFASSVCTPFKDWLPAVTRREPTDQEVFSSVVIRDLLSMPMPVSKNPKIAFMFLTPGSLPFEKLWEKFLQGHEGRYSIYIHASREKPVHSSPLFVGREIRSEKVVWGRVSMVDAEKRLLGNALLDIDNQFFVLLSDSCIPLHTFDYIYNYLMGTNVSFIDSFLDPGPHGSGRYSIEMFPEIEHRDFRKGAQWFAITRRHAILIMADSLYYRKFKLYCKPTVGRNCIADEHYLPTLFKIVDPGGISNYSVTHVDWSEGKWHPRSYRAADITYELLRNITYFNEIVHIASDETRTVTSTPCILNGRKRPCFLFARKFYPDAVNNLLKLFPSYTSA >SECCE3Rv1G0197670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:822158581:822159708:-1 gene:SECCE3Rv1G0197670 transcript:SECCE3Rv1G0197670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNKSPSSAGLQDSMGRTFLHVAAEKRKVRIVSSACRNRSLLWILNIQDNDGNTALHLAIQARSLRMFCALLGNRQTHLNLSNNKGQTPLDISLYGVSLGFFDENSEAKIHFALTVVNARSGGSRRDHFEENYTRQLKHDGTEQLEKLKESTQTLCIGVALIATATFTVTFALPGGYKADEHINGGTPTLSGRYAFDAFIIASTFSFVLSAMSMVGLMYSGYSILNPETRRIYLIAALYFGSTSGTCFITTFALGLYVVLARVAHKSAVAICVISPLAVLCKQMDHWLKWALLAQPLCTRIGPTRALLMVTTRILYSLLMEFWPIIFIFVWAIYTSSQL >SECCE1Rv1G0041480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:571529860:571533872:1 gene:SECCE1Rv1G0041480 transcript:SECCE1Rv1G0041480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEGYVDVPLGGEQHPPQPHPHSGPVMRKQPSRLASGMKRLASRVTSFRVPDMGLKRTHSSAQPALKGLRFLDKTAAGKDGWKSVEKRFDEMSADGRLHQENFAKCIGMADSKEFAGEVFVAMARRRKIDPEQGITKEQLHECWEEMSDNNFDARLRIFFDMCDKNGDGKLTEDEVKEIIVLSAGANKLAKLKKHAATYASLIMEELDPDARGYIEIWQLEKLLRKMVMEDGSQDQMDQASTSLAKTMVPASHRSPMQKRIHETVDFIHENWKRIWFLTLWGIANIALFIFKFIQYRNRAVFEVMGYCVCIAKGAAETTKLNMALILLPVCRNTLTALRSTALSSVIPFDDNINFHKVIAVAIAIGAGMHTIAHLTCDFPRLVSCPSDKFQEKLGPFFNYVQPTWGTLLASTPGWTGILLILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNSFWYAHHLLVFAYILLVMHSYYLFLTKPWYKKTGWMYIAVPVIFYASERATRRAREKNYGVTVIKAAIYPGNVLSLYMKKPSNFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAEVSSKKATLSRLETTVIAEGPEENTRFPKIFVDGPFGAPAQNYKKYDILFLIGLGIGATPFISILKDLLHNIKSNNEQQSMHDEEVGSSFKSNGPSRAYFYWVTREQGSFEWFKGVMNEVAECDSDNAIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVYSDLANTHKNARIGVFYCGSPTLTKTLRDLAVEFSHTTTTRFHFHKENF >SECCE1Rv1G0009630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:55931830:55932317:1 gene:SECCE1Rv1G0009630 transcript:SECCE1Rv1G0009630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMCLCFIILTIAVVVSADECEGDRQEMIKQCAKYQQWPANPKVNPSDACCAVWQKANIPCLCAGVTKEKEKIWCMEKVAYVANFCKKPFPHGYKCGSYTFPPLA >SECCE7Rv1G0523740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883423998:883426391:1 gene:SECCE7Rv1G0523740 transcript:SECCE7Rv1G0523740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGWMKRMARLFNLWEIRAAVLSSFIAHLYLIRFAVTRRHKADGRRVFILGLAYQLASWVAPYALSNLSLCDPSPRQQLIAFWASFLLHHLGGPDNISALSLEDNVLSGREALTAIFRIGGAGYVLYKHVYIDGGGGGTLVPASIIIFTIGAAKIFERALALWRGKLGNIRSSSIKKRASEGEKKKKEQRTTSCSDLLFEMGCRRLLVDDEALMVAHHMLPFCKRAMSDSSINADDQEIQRSRKLFTLGWKNVCSVVEMELSLMYDILYTKAAVIHTWHGHTMRVLTPLAMVVAIVLFGFNNIEGQAMPDVIITYALLLGTFLLDVGWLLTALGSTWMHADLQASKLRAFFRIGSWRWLRRVMASVSLPTAGRLFLCRTGPPPASLSSYRRWSGTVGKLSLLHECTRKRGACGTAAKAIGLKKVWNEHKLSHPVKALVFNRVQKILQSTYEEGEEYGSYSRMDITTYWGQVATRKRHEKLNKVHLAFGHEFQEDILVWHIATKLFLVCRNQSAIHEENAATHAKAIEAMSEHLMFLMVVRPYMLPGLELLSLYEATLEYLHKVCKGHEEAAISSSCTTREEKLARTLVNNKEKNEWGLEDSRNLLVLDGANIAVELLKADGLKTLKLLELVLNIWVDKLLYAATRCSRESHARQLGHGGDLTTIVWIMVEHAGPFQIGDYGPGDEESAQDTKAIPGDGGELGDLAFGPLPSPVGTPDSPDYPAIPSSILRVFHVFDDDGPMWPQGPPRRYPQPEEGPAPAPTDMMLPGEAFGEHVDAKPTGHRRRPGRYATLYPVT >SECCE1Rv1G0032110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:450992927:450994620:1 gene:SECCE1Rv1G0032110 transcript:SECCE1Rv1G0032110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSLAVLAAALLCFLAVGGAVELNTTDPSPFDVDLNATDATKYWGPWTPARATWYGQPNGAGPDDNGGACGFKHTNQYPFASMTSCGNQPLFKDGKGCGSCYKIRCRKDKSCSGRTETVIITDMNYYPVAPFHFDLSGTAFGRLAKPGLNDRLRHSGIIDIEFTRVPCEFPGLKIGFHVEEYSNPVYLAVLVEYEDGDGDVVQVDLMESRGPGGGKWTRMRESWGSVWRLDSNHRLQAPFSIRIRNESGKTLVANKVIPANWRPNTFYRSIVQYS >SECCE2Rv1G0135280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:906005437:906006387:-1 gene:SECCE2Rv1G0135280 transcript:SECCE2Rv1G0135280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTPSSCFTFLKEALILPTRNPKLFTPVFLVLAVATFLVRSVHVVFIQPLADDMVRSTSIYLIETRNTGLSCAEGAKLEEGAMKIMLISIAQVILMLALGFVKKVLAFFAASTTYSGDRYSLAELIRNKVICKGSTLKGPSITFAVVSALDLAWTAVLVAVRMTTVMTLGRRSGVLSVRGLVFLVTLLAELCFAVLALVSVAASVVDGERSGVRALRQAWRLMTRVKRKEGLLLVLLAYLMPIVVRPLYRAALVYSRRSMAAGLCVLAGYAFVFGALQLVYLAAATVFYYQAMESKETVPCDDYAQIPSGEGDV >SECCE6Rv1G0406470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:544729334:544731154:1 gene:SECCE6Rv1G0406470 transcript:SECCE6Rv1G0406470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGQRRLSSLPAAVAVSLLLLLAVSFGSQAASAAAPAWTTDLEKHVAFFDTNNDGIVSFSETEHGLRAIGLGALEAAASATLINGVIGPKTRPENATTSRFDIYIANIHKGIHGSDSGSYDAQGRFVPAKFNEIFTKYAKAKPNALNEAELEAMRTANRKEGDFKGWAASKAEWGMLYNLAKDKDGFLQKDTARAVYDGSLFVKLAKKNGASSGN >SECCE7Rv1G0493500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:568708266:568710918:1 gene:SECCE7Rv1G0493500 transcript:SECCE7Rv1G0493500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDTKPMLKSRGGGGGGGGADEDGDYFPNTPRKDWSTGLLKLVTAMVIFMAGVVIGLSVSANVSRYYYNSHTELFFPTATYSSCDRRGATGDCGPGFKAFVHPPTLAHSMTDDELFWRATLVPTAEEFPFQRVPKVAFLFMTRGPLPFAPLWERFFRGHQGLFSVYLHTIPDYKLNVSKTSPFYGRQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSEHSFVESYNIDTPQSAGRYNRRMAPHILPEQWRKGSEWFELNRELAVRVVADYKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGSLNANRTITWVDWSRGGPHPARYGAGNINVEFIKAIRNNGTQCLYNSKHTSVCYLFARKFAPSALGPLMNLTSTVLDF >SECCE1Rv1G0035110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:495526979:495531556:-1 gene:SECCE1Rv1G0035110 transcript:SECCE1Rv1G0035110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATPRLLLSPTSKDLITGSSFASPPSPTCSSPDPASPLDAFASDPVLSAFLSPSFSPSDFSSAALSSGLAASRAEQLQDAIRLLRRHLRAEVLRRHPLLLSHLLSLRSASASLSSLPSNLRLLFSHLSLLSSHLSAPRSHLALSSSSLSSLLATADLLLHSHRLVRLSSRLLASSPAPDLARQAELHREIRLLYEEKNLSGINAVDEEMRKVDAAASKLRSEASAVIDRGITESNQNDIWCGLQVYYNLGELKPAVEGLVGKCKAAGAKSVTVALDMKAISMAGGGGGPGGVQRSGTPQLGGSKRAADALWERMRQCMEELHRAVTAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLLTERVWDAIVKAFASQLKSVFTASSFVKEIFTLGYPRLFSMIENLLERISRDTDVKGTLPALTPEGKDHMVAAIEIFQTAFLALSQSRLSDYINSIFPMSNRGSIPSKDQISRLISRIQEEIEVVRTHGHLLLLVLREIGKILLLLAQRAEYQISTGPEARQVTGTVTPAQLKNFALCLHLHEVHTRISSILSTLPNVASEVLSPSLGVIYGVACDSVTSLFQAMLDRLESCILQMHEQDFGAHGMDGAMDNNASAYMEELQKCAVHFRSEFLSKLLPSSASRSETICTIMVRRMASRILIFFIRHASLVRPLSEAGKLRMARDMAELELAVGQNLFPVEQLGSPYRALRAFRPVLFLETSQLDKSPLLQDLPPSVILHHLYSRGLDELHSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYEMKVRSRGDKEFSPVYPLMCQIGSALSQATP >SECCE5Rv1G0309160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:148311671:148312000:-1 gene:SECCE5Rv1G0309160 transcript:SECCE5Rv1G0309160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNLHLLSNFAPTQPGRPVRTHTGAMLQVRGKGSLSSTQLSIPNVSYVPGLTENIISVTQLTDSGFSVAFSPHGCTITRNRDGKTVGCAYHAGGQLYRLDHLRVACSK >SECCE4Rv1G0260120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:693486560:693486877:-1 gene:SECCE4Rv1G0260120 transcript:SECCE4Rv1G0260120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKILLATIEECVEAQRRLAEIRSQLAEAWAIADGVEQDMMVEDRPFHDVPPRLLEIERLMEGIADTVVEDLLPSFDRVVAVGKACTEEENSLGLAPPNARAAT >SECCE5Rv1G0350160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:688767185:688769085:1 gene:SECCE5Rv1G0350160 transcript:SECCE5Rv1G0350160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTYTPTYYSGLQETIASVCKSIFSRPGKRLTADQAAARRHADALKWQQDSFHRILHLSALHREGIVPAADVHAFRASLLAALSAPPQGPADHPPILRDKLLFLQELLRAKCVSPAEYNAAKRPLVQRLAALGVVVDCPDADDAGGVPATRSSAEEWSEIDLQDPPPPPSVGEKPKHKAFISPWKSRGKKEQDVPPRPPLAQVDHNNHASVLMAEISPSEATPAGKADKGKRRHLTAMFHGSGGGGENKEPAAAVEESADEKGRKKSSWGFNGLKKWKKSGAGGSEDAPAGGDSAPPRSSYSECRLEASPAPDAKRAKKKLHAAAAAAADVSASDLANDKVLVEETKKELSRIQAELSSTNRNLNFSDQQIEAISTKLPLDKSDLKTFFPKAWCDQNGEGVIDAAQKEFKGHVEEMEKQRGEEDIAGSGEGWAAAFEEDNFNPRAFSDDAKGKKVQESLESEHFTNPFYDEKKTSNPFWSQSYN >SECCE1Rv1G0001490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:5023269:5025711:1 gene:SECCE1Rv1G0001490 transcript:SECCE1Rv1G0001490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAEDGGVARQAELRRAEGNACFRKARLGAAIDCYTEAIALCPGVAVYWVNRGLCHFRRKDWARVEADSRRALALDDASVKGHYLLGCALLEKEECALAVKELDKALDLLKSSNSRDKMAEDIWQVLAKAKYQDWEKHSTQRVWKMQSLREACENALQEHHFLSGTLSEDSEGTTNEYPEQCKLLSEVFTNAILDDTPGDVPDYLCCQITFEIFRDPVITPSGVTYERAILLEHLRKVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKEHSWAYKSN >SECCE2Rv1G0114260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:732666833:732669416:1 gene:SECCE2Rv1G0114260 transcript:SECCE2Rv1G0114260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKGLAKAAIDALDGGGDQRERDEDRDEAPRRHRPARDAEEEEDGEEGREQRDRSTWAEVVSEKKGDEPAEERRGSRPSRRDERKEDEGWERPEGRKQQQQHPSAHGGSHSQYQGEDRTDGGSRVPQQQAPAHRRQQQEEGEERHDEGWQTVGEKKHHGRPQQCEAWNAYKRPPSEQEYSEDVGQIHHGLNVEPTREELNSLTEACSRLWELDMNRLVPGKDYTIECGDGKKVYQKDDMASENLFSWLGDDVLTKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEAAFIEEIARTAPIKYLHRYLVLKGVVSQDYDDFKAMLTSLWFNLYGRCGNSSCSSAFEHVFVGEIKEQRQGETEVSGFHNWIQFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTVQFEWHGVLKSVSSTLIGVSPEFELALYTLCFFMGGEDNRVDIGPYTVNVKCYRMGNNKIGSVFPIAEN >SECCE5Rv1G0301010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28598642:28601096:-1 gene:SECCE5Rv1G0301010 transcript:SECCE5Rv1G0301010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLKLTVVFLAMALAKPAGGAMSQALPGCPDRCGDVPIPYPFGIGAQCAAVSLSSFFNLDCKNTSHQLRPTVGGPVDVAVNVADISLERGEMRVLIPVSYICFTSSATVSASNNDTVGFGLEDTPFLPSPGRNRFMVIGCNTLGLVGGFRGGTSQYLAGCYSYCDGASGASDDGAPCTGTGCCEASIPTNLTAFNVAFPINSSSVWAFNPCFYAMIAEVGWYRFQRRDLAGELGFINNRAKDGAPVIVNWAVRNGSCLEQRNYACASANSYCESASNGPGYLCHCSPGYEGNAYLNNGCQDIDECMLREQDPKYEELYPCRNGVCLNTPGGYACQCKKRTKSDGTKFGCRPLYSRDEQLLIGLSVAAVVIISSACFLAMQLQRKKHKKEKDEYFKQNGGLMLYDEMRSRQVDTVRILTEKEIKIATDNYNEDRVLGCGGHGMVYKGTLDDLREVAIKKSKVIDDNCRDEFVNEIIILSQINHRNIVRLLGCCLDIDVPVLVYEFVSNGTLYEFLHHGGPDHNLSPIPLDLRLKIATQSAEALAYLHSSTSRTILHGDVKSANILLDDQHHAKVADFGASALKSMDESEFIMLVQGTLGYLDPESFISHVLTDKSDVYSFGVVLLELLTRKRALYADSSSKEKRSLSHSFLLMFHQNMHLKMLDSEMAEDAAAMVVVEKLAALAVHCLSVRGDDRPTMKEVAERLQVLLRIQMRQAVDGDNDDDFGGGSLSPAVLPLAEVTDGSLEA >SECCE4Rv1G0252630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:615951608:615963124:1 gene:SECCE4Rv1G0252630 transcript:SECCE4Rv1G0252630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CELLULOSE SYNTHASE INTERACTIVE 1 [Source:Projected from Arabidopsis thaliana (AT2G22125) UniProtKB/Swiss-Prot;Acc:F4IIM1] MAAALAWRFNGTNGGSHGGADLDKVQDSEPPTPVSVMRMGKNRANMEDEETLSSVAHCIEQLRQSSSSTQEKESSLKQLLDLVQTRDTAFGAVASHSQAVPILVSLLRSGSSGIKMLSATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKSTEGFWSATVRCGGVDILIKLIGSGQTNTLANVCNLLGALMMEDSAVCSKVLSGETTKQLLKLLGPGNETSIRAEAAGALKSLSAQSKEARREVASSNGIPALINATIAPSKEFMHGESAQALQENAMCALANISGGLSYVISSLGDSLESCSSSAQIADTLGALASALMIYDINAESISASDPLVIEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCKTLADSDAKRLLVGLITMAGTEVQDDLMTSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDECKWAITAAGGIPPLVQILETGSPKAKEDSATIIGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKIYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILSSPKEETQATSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDVQSDRILMEASCCLAAIFLSIKQNKEVAAVGRDALAPLVSLASSTVLEVAEQATRALANLFLDHELSALVSFEEIMFPITRVLKEGTLDGRIHGAAAIARLLQCRPINQTISDTINRSGAVLALAGLLEAADGDASATSEVLDALVLLSRSKVSSGHTKAPWTALAENPHTILPLVSCVADAVPSLQDKAIEVLSRLCLDQHDVVGGLVSEIPGCISSAARRVIGSNLLKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHSLVGMINTANLPSENGDGESIADIKISRHSKENSSDAEMVPHTAVISGNMIPLWLLAVFARHDDKTRAEILEAGAVQMLTEKISQNAFLYVGEEDNTAWVCALLLALLFQEREVNRSNSASHSIPVLSNLLRSDEPAYRYFAAQALASLVSNGSRGTLLAVANSGAATGLISLLGCANVDIADLLELSEEFMLVPNPDETTLERLFRVDDIRVGSTSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAVDCVPNMLLMAEVGILEALTKYLSLSPQDATEEATTELLGILFSCAEIRQHESALGTVNQLVAVLRLGGRNSRYSAAKALESLFCADHVRNSESARQAIQPLVEVLSTGMEREQHAAISALVRLLCDNPSRALAVADVEMNAVDVLCRILSSDCSAELKGDAAELCGVLFANTRIRSTMAAARCVEPLVGLLVSEANPAQLSVVRALDKLLDDEQLAELVAAHGAVVPLVGLLFGKNFMLHEAVARALVKIGKDRPACKLEMVKAGVIESILDILHDAPDFLCTALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADTGPEGQYSTLQVLVNILEHPECRADYNLTPRQTIEPVITLLNSSPPAVQQLAAELLSHLLLEEHLQKDTVAEQSITALIQILSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAAAVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNIRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATICIPHLVTSLKTGSEATQEAALDSLYLLRQAWTACPAEVFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNASAFCKLTLGNNPARLTKIVSTGESPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWSNK >SECCE1Rv1G0012130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:87086152:87087427:-1 gene:SECCE1Rv1G0012130 transcript:SECCE1Rv1G0012130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNFLMRKRREDDELAIIEALYANERSSANHTRIHTSILTGDRYVREVLEGHELRCKRDFRMEQYIFRNLVQCLRERCHLRDTNFVSVEEQVGIFLYAVSKNATNRTLQGQFQHSGETISRYFHIVLNALMILSGSVIKLPPINVPLKVASNTKFMPYFKDCIGAIDGTHIPISISPNDQDPYRNRKGTLSQNVMVACDFDNRFVHVSSGWEGSASDARVLQDALENNFYVPEGKFYLVDAGYANTPNFIAPYRNVRYHLVEQAKCNQRPQNPRELFNLRHAQLRNHVERIIGVLKKRFPILKCASQYPIDSQAEIAIACCALHNFICSNEGGEQWLDQVESEIDPVKIIDVPSGDMKYTNDIHSLNERRALGSTKRDEIANAMWNDYQDYLRRTRRNTA >SECCE1Rv1G0011780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:82395768:82397182:1 gene:SECCE1Rv1G0011780 transcript:SECCE1Rv1G0011780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSCYTLAEGGGIFPSGDKLVGNQKTEQGNYWTQWNSRLSDDFNTTSLYSDEHANGIEQCFDDADEHERSSQQERSSACARVASSDCSTGPSEEQSEGPAPLELQHSKETNDIFLSQFSDDEMRMMDAPFQALDMFPGSMHRLLSYENMLSGVLTDSQNQEADPGHNEMDTMDTCGFPLFSHGLQNDPSSADGSLQTLLSASQDKAEVSTMKRSRSVADIESSSNGEVAVLEELEDVMFQLTKKTRVCLRDAFYRLAESSEAQCTAVNGGTLTCSNEQRFQQSEGTESSTSTSDRAERETNAIDRTVAILAFKPACSAAWE >SECCE7Rv1G0459570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26502233:26503033:-1 gene:SECCE7Rv1G0459570 transcript:SECCE7Rv1G0459570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIIFRRLSKTLTVSPAAAVASGMTSQHHQLQQRAPVSGTSKGKAKLKAGMPLRRSVIAKKGGAPATAGSGGSGRGRREAIERITQIAESCLKSSTPLRHLSPKERLREAKREELGLISKERQRELDAAKAKAKAKSKGASGGDADRVLMGPPGLDYISLGLVDEEAIPEYELTVEDGRRLAKEYSRVLMRRHRARQTAESTLLRLKKEAIAALPEKLRAAAMVPDMTPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR >SECCE5Rv1G0348180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672826076:672827344:-1 gene:SECCE5Rv1G0348180 transcript:SECCE5Rv1G0348180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAIRSTPAAPRMRLAGRSSRGSGTAAARATPASRSDWQTACAILSSTNDTPAPPAPKVNGQNNNNNKLAAPAIPGLDLVPAAGAGNLPRPLSISDLSPAPLHGAQLRVAYQGVPGAYSEAAAGKAYPGCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRELLARVISHPQALAQCEHTLTRMGLNVAREAFDDTAGAAEHIAAHGLRDTAAIASARAAELYGLQVLADGVQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVLAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMADVRAQNALAEIQEFTSFLRVLGSYPMDMTPWDAAPSPSPSSSSPSSSDQQQRG >SECCE4Rv1G0257660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:671383451:671384476:-1 gene:SECCE4Rv1G0257660 transcript:SECCE4Rv1G0257660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSCFASGRADSSAAKASSATSLYWTHLGPITLSWSRAALGLVLTVDVGLAGAAAPTRFVLLPLLPWRRRGSKRFSGASGHSVAFSWDLSRARLAPRRPEPLSGYFVLVSIDGELALAAGDLQSSLPSPAASAGLLLSRRENAYPPGCGGAYTTTVAFAGEEHEVSVAVEEAAMWVALDGKKALQVRRLPWKFRGSENLDLPHGGRAVRVTWDLHGWLFAPDAAAVFVLRFDTDGANPVDDNDIEDGDVGMHALRQNSFRSRHADSSGESDTKGSWRRGPFRTGSDSSPTVSVASTSAASSSAGSVATVTEWVTAEEAELRDGGGGFSLIIYLWKKRRPR >SECCE7Rv1G0499350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:653405176:653410633:1 gene:SECCE7Rv1G0499350 transcript:SECCE7Rv1G0499350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSDLDRQISQLRDCKFLPDAEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDTPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWAQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >SECCE2Rv1G0123330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:823400340:823402021:-1 gene:SECCE2Rv1G0123330 transcript:SECCE2Rv1G0123330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHYPKYLLYGILILGSWLISCLLHFQFFHLSLFSYRPRSGASFVLIPLSVPMALDANSLPAPSAVAEDAPLRRLSSAASSCQGRYVYMLDVPSRFNVLRDCVEGSPAFQDEWHVCSLMANVGMGPVLPPATGNGSDGDTGVIPNTGWYATDQYALEVIVHNRMRQYECLTDDPAAATALYVPYYPGLELQQHLCGFNATVRNGPSSEFLQWLSARPQWAAFGGRDHFMVAGKTTWMFRHSEGDDSGTQKVCGNNFLEQPESGNMTVLTYESNIWDRRDCAVPYPSYFHPTSAGEVSAWQARVRAAERPWLFAFAGARRANGTLAIRDRVIESCASSPSRCGFVDCSHGLEGSITCRSPRRLVSTFASSRFCLQPRGDSFMRRSSVDAIMAGCIPVFFHEASTFKKQYRWHEPDPDSSGSGDDRPYSVLIDPDELLEGKVDIEGVLARYTDEEVAAMREEVIKMIPRFLYKDPRVRFEGGTRDAFDIAFDQVMARIRRIKNGEDLGRLADDDVMLAKGS >SECCE1Rv1G0048800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637365186:637368429:-1 gene:SECCE1Rv1G0048800 transcript:SECCE1Rv1G0048800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRAYLPLLAALCLVLHLRHAAAQQAGERQLLLEIKRAWGDPPELASWSAAAGSPCAGWAHVSCDGAGRVTSLALSNVTVSGPVPDAIGRLTSLATLVLSNTSVSGGFPESLYNCTGLTHLDLSMNKLAGDLPADIGRLGENLTYLALDNNGFTGRVPPALSKLKNLTLLALNSNQLTGTIPPELGELTGLHTLKLELNQFSSGKLPESFKNLTRLATVWLAGCNLTGEFPSYVPDLSELVWLDLSTNAFTGNIPPSIWNLTKLQMLYIFSNNLTGDVVIDGAIGAAGLIEIDLSYNKLTGVIPERLGTLTKLSKLCLSGNGFSGEIPASLAQLPSLVFLWLFENTLTGPLPAELGMHSPSLRDIQVDDNDLSGPIPAGVCQNRGLWIISASGNRLNGSIPASLAKCPALISLQLRDNELSGEVPAALWTETKLMTLHLQNNGGLTGTLPETLFWNMTGLYIMNNKFRGSLPSSAAKLQKFNAGNNSFSGEIPAGLAAGMPLLQEFILSSNQLSGAIPASIASLGGLTQMNFSNNQLTGEIPAGLGSIPVLTLLDLSSNQLSGSIPPALGSLRMNQLNLSSNNLAGEVPASLAISAYDRSFLGNRALCTGAASSGNLAGVSSCAARSSDKVSPGLRTGLVAAAAALLVAIAGLAFFIVRDIRRRKGLAPPEEAWKLTPFQPLDFGEGPVLRGLADENLIGKGGSGRVYRVECPSRSGGSGGTVVAVKRIWTGGKVERKLEREFESEVDVLGHVRHTNIVKLLCCLSRAETKLLVYEYMDNGSLDKWLHGHRWPARAGSSMPARAPSARRAPLNWPARVGVAVGAARGLNYMHHECSPPVVHRDVKCSNILLDAELNAKVADFGLARMLVEAAGTTPHDTMSAVAGTFGYMAPECAYTRKANEKVDVYSFGVVLLELATGREAGNGGEHCSLAEWAWRHLQSGKSIADAADECIGDARHSDDFEVVFKLGIICTGAQPSTRPTMKDVLQILLRCEQAHRKTLDEKTAVSEKADAAPLLPAVRGGSRRKRLSDAADGKGSFDDVV >SECCE7Rv1G0522460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:877640320:877645959:1 gene:SECCE7Rv1G0522460 transcript:SECCE7Rv1G0522460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSNTTTTGSGGGGAGGKDKDRDRARGSAVSFVEGEKVLAYHGPLLYEAKVQKTENREDEWRYFVHYLGWNKNWDEWVASDRLLKLTEENVRKQLELKNQSGDKTVRTGGRSAQHNPKGSNADAKADKEDTKGLAKGKKRKNQLGVEVAMIPKHEKERRSSESLLMSQFPVTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILTKYLEYRVKKDNKISDSCAEVTKGLRCYFDKALPAMLLYKKEQKQYKEEIKGDVSPSTVYGAEHLLRLFVKLPELLSSVNMEEDALNKLQQKLLDILKFLQKNQVHFFLSAYDGDSKGADGAKGK >SECCE7Rv1G0468140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95444636:95445757:1 gene:SECCE7Rv1G0468140 transcript:SECCE7Rv1G0468140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCIVSHLLSSPPTPLPRLLSAAAVSPSTGFAVEEYLVATCGLTRAQALKASPKISHLKSPSNPDAVLAFLAGLGLSRAAVAAAVAKDPRLLCARVERTLVPNCAALSGIGLSPSEIVRLLRSRCRSVVSKLQYYLALFGSSEAFLQALKFNCNLLTHSIEGTVEPNVALLRECGLGDRDIAKLCVGMPWLLTANPECIPPMVARADGLGVSRGSPMFRHAMHAVAFHSEEKIAAKMEYLKKTLRWSDAELGIALSKSPMMLRSSEGMLQRMSEFLISEMGLEPAYIAHRPAMLAYSLERRIRPRYYVVKYLKENGLISHDRDYYSALTPSEMIFLEKYICPHEKAAPYLAEDYADACRGQVPDRFRFA >SECCE1Rv1G0017060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:146934062:146935141:-1 gene:SECCE1Rv1G0017060 transcript:SECCE1Rv1G0017060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTTDAGRKLAMAAPINGSSGWTLLRALCQGASGAAVSLAEDTASGELVVVKSAAAGDAAQLRREWGIMSGLSSPHVVKCLGFRSIGALDHLLLEFAPGGSLADVAAAARKGGVCHQRRGLEEDAVRTYAADVLRGLDYLHGKLMVHGDVKGRNVVVGADGLAKLADFGCARAAGDTKRPFGGTPTFMAPEVARGEEQGPPADVWALGCTVLEMATGGRVPWRDADDNVLAVLRRIAFTDAVPEVPQWLSPEAKDFLGRCLRRCASDRATAVQLLEHPFVALATPIKKEEEVVKKRTWESPTSTLDAALWESDFEPDDDVVEGMSSNSPVGRIRELACTSLPLPEWDPDQGWIEVCSC >SECCE7Rv1G0469630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:111822801:111825574:-1 gene:SECCE7Rv1G0469630 transcript:SECCE7Rv1G0469630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKKKTKPSRGRKKPRTSADQAVALDYVRAWAHPTPPPEPSTGDAVDGFLPPHAARMACGGGANVLFELHSHSNHSDGFLSPSALVERAHRNGVKVLALTDHDTMAGIPEAMSAAHKCGIRIIPGVEISALHSPREIPGAGEPVHILAYYGMCGPSRFDELDSMLLNIRDGRYQRAKNMLAKLNSLKVPIKWEHVTKIAGEGVAPGRLHIARALVEAGYVDNVRQAFNKYLSDDGPAYATGSEPFTETVVQMISRTGGISALAHPWSLKNPDAIIRSLKGAGLHAMEVYRSDGKVDGFSQLAEKYGLLKLGGSDFHGKGTKDETDVGAVKLAITTLSSFLEMARPIWCSAMKDILLKFAEEPSAANLGKILKFGQLANSDDFAPIDSGTDVVNLCLSSWLSYDDMEDVELEEVRSKLVCYAAKT >SECCE7Rv1G0471030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:126161204:126169466:1 gene:SECCE7Rv1G0471030 transcript:SECCE7Rv1G0471030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MGVHGLWGLLAPVGRRVSVETLAGKRLAVDASIWMVQFMRAMRDDKGDMVRDAHILGFLRRICKLLFLRARPVFVFDGATPALKRRTLAARRRNRDAAQAKVRKTAEKLLISHLKASRLEELAAQIKSDRAKHDAKGKQAESSREEETEKTDGDQNRNDDGENSRGAAAPINQEKLDELLAASLAAEDEAGLTGKGENNPASFPLQEGTGIEEDEDDDDEEMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIEEVRKGAAGKDVGGIQTSKIASEANREFIFSSSFTGDKQTLAQRGVEEQIVDSGKSKREISSAIFKSSPSSSSRSIKPHSGEPSTGFGPDVETYRDERGRIRVSRVRGMGIRMTRDIQRNLDFIKEHEQGKSMGQANIGKGSTSNEEPPDFPEHLFENDGLQSSVDLGEDFGDNHHTSSLVGGSDDISESSCHGSKETIEISFVDDQIGVKDNDDKLFLHLVSGTSSKLFADDDRLAKTTEESDNSEGIWEEGVIEEQTLPVKVDEKDYQSSPPDNCCTDNEVEWEEGVCDVPEVPFSSEYNQCKLPKGDIEEEALIQEAIKRSLEDSEKQEFENGIPEDLETSIEVKSLQSHDVHKPSEAPATTYSHSEASFGKETIKEMGIKNSSGEDGVMHDPEVLEAERKGNEKQTQLESNDGRAGTNTDYSWGSSPVYNVSTSTLTARPSCSPKVQDNDANVSATSIHEWPKEEVIKQNTLNSHKSECNTNDPYIGETSMAAQKESLMDELVADDAIQKENVIQEDMNITTSEINSTQLNENYDSHIISENNLEKEISFLRQEQVDLGNERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEINNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYLMKDIESELGLTREQLIRMALLLGSDYTEGISGIGIVNAIEVVHAFPEEDGLQQFREWIESPDPAILGKFDVETSGSSKRRKSGGNESCEKGNSLEPECVEGSDNNQSSNETQHIKEVFMSNHRNVSKNWHIPSTFPSETVISAYISPQVDDSTERFSWGRPDLSLLRKLCWERFGWNKEKADELLLPVLKEYNKHETQLRMEAFYSFNERFAKIRSKRVQKAIKGITGKTFSETDELNEDTPSTSDAPKKKEAGRSSRAKPRGKRNTSAEPRNMGSQEDDKIGDPNSFADADQLAKEKRNASKKKTASPSGRSRGRGRKKMNVRQETTIDEEDLEVQMSNLSADEDSHKRHTDKYKSEGMTVRRSNRKRKQVTYMEDDQEADDNTVPLHQVDEDDPSQIGTDIDTAGRDAQSNLLHQDTSELNSDQRHVDPGTAEDLNEDPLGFELYDDQTDSAPKEYLFTGGGFCAEEDEQNTAVDPSGGETVDGTSDACEDIAGVSDGGRSIGLSTTTGECAEDASTDTRGASSSKRRNAGSGLPTLAKRRRK >SECCE1Rv1G0050750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:649193824:649196010:1 gene:SECCE1Rv1G0050750 transcript:SECCE1Rv1G0050750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTAVWTANPGAPVNGRASRVSFGHDGVLALADANGTTVWDTKTGGNKRLAVSLLDTGNLLITDPSTSLPAWQSFDWPTDTLLPSQTLSKDKKLVAGYYTLYYDNDNVLRLLYDGPEIASIYWPDPDLGVFGSGRTNYNSSRIGVLDDAGLFLSSDNLRAEATDLGVAGAKRRLTVEQDGNLRMYSLDAAGGWAVTWAALKQPCSVHGLCGNNAICEYQPSLRCSCAPGYEWVDRRDWRMGCEPTFTTNCSDSEAAAPERFTFVKVAYTDFYGYDLWFNQSVTFQYCKSICLNTCSCVAFSYRTDGQGRCYPKGVLFNGYTSPAFPGSIYLKLPRDLNATAPTPSAGLDCNRNGSGAAIVPPYADTYGAPNSHTSWSYLFAFATSLGFLELLLFFVAMAWWFLSGHERIPTSLEASGYRLILGTQFRRFTYRALKNATGNFNEELGRGGSGVVYRGVLDKTTVVAVKKLTNVVQGEEEFWAEMTVFGRINHINLVRIWGFCSEGKHKLLVYEYVENGSLDRHLFGDDVGKALAWSERFKIALGAARGLAYLHHECLEWVIHCDVKPENILLTRDLDPKISDFGLAKLSGRKAIGDGVQLSHMRGTAGYMAPEWALSLPVDAKVDVYSYGIVLLEILIGSRISDQTTSGDQLEMCQIAQALKRVVATGELVSLLDGRLHGQFNPRQAMEMVKISLACMEERSNRPTMDDISKALTACDDEDEHPAYLR >SECCE5Rv1G0343240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637096009:637097467:-1 gene:SECCE5Rv1G0343240 transcript:SECCE5Rv1G0343240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLRPKTQQVPTIDPSKALGRPVGILLVTVLGAQNLEKKGLLGRSDPYVKLKMTGDKLPSKKTTVKLRNLNPKWTEDFKFVVADPENQSLVVNVFHRAQVGKHGRMGMNRILLKELAPEPEETKVTTLNLLKTMDPNDVQHEKPCGQITLEVTYKPFKEEDVEKESMDGTDEVQKKAPDNAPTGGGLLFVIVHEAQDLEGKHHTNPYARIVFKGEEKKTKVVKKNRDPRWEDEFEFVCEEPPTNDKLHVEVLSKAGKIGGILHGKETLGYIDMTLADVISNRRINKKYRLIDSKNGQIQIELQWRTS >SECCE5Rv1G0323540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:437322177:437323046:-1 gene:SECCE5Rv1G0323540 transcript:SECCE5Rv1G0323540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVMEQPCGGKPKVVFVLGATSTGKSKLAIALAARFGGEVINSDKIQVYTGLPVITNKVTDEECAGVPHHLLGCVACPDADFTVDDFCREAADVIKRVLSRSGLPVVAGGSNRYVEALVEGDGGAFRSSHDCLFVWLDAAPEVLRRCTAVRVDDMVRRGLVEEARAAFDPEANYTRGVRRAIGLPEMDAYLRRASDGDDEAMLGRAVEEIKVNTFGLVLEQLEKIRRLSTLEGWDVRRVDCTDVLERMADGEGVQELWRKVVWEPVEDMVRTFVVAEKSRGKINLLP >SECCE6Rv1G0435580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:771869489:771871144:1 gene:SECCE6Rv1G0435580 transcript:SECCE6Rv1G0435580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTTTTTAAPAAYAAAAVARSSAPSPSQWAPPRRSPPAVGPRRAAAPPRRGAALLVKAKKETFSTFDELLEKSEKPLLVDFYATWCGPCQYMVPILQEVHEKMSDKINIVKIDTEKYTSIANKYKIEALPTFIVFKDGEPCYRFEGALPADQLIMQIESALEAPK >SECCE2Rv1G0131480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884848719:884853004:-1 gene:SECCE2Rv1G0131480 transcript:SECCE2Rv1G0131480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLAVIFPFLLLVVASSADDGAYGLQPISRRSFPKGFIFGTASSSYQYEGSAMEGGRGSSIWDNFTHQHPDKIADKSNGDVAVDSYHLYKEDVRLMNDMGMVAYRFSISWTRILPDGTLRGGVNQEGIKYYNNLINELLSKGVQPFVTLFHWDSPQGLEDKYGGFLSPNIINDYKDFAEVCFKEFGDRVKHWITLNEPWSFCVWGYVEGVSAPGRCSPWEKANCSAGDSGREPYTVGHHQILAHAAAARLYKQKYKGVQKGKIGITLVANWVIPISLSKSSIAATKRSMEFMLGWFLDPLYRGDYPQSMKVLVGNRLPQFTKTQSKLVKGAFDFVGLNYYTTNYVDSLPPSKGMRNSYSTDAQAIRTGARNGVLIGPQAASPWLYVYPQGFRDLLLYIKDNYHNPTIYITENGIDESQQQEPTTQGSPQGRC >SECCE2Rv1G0097200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:454211379:454213707:-1 gene:SECCE2Rv1G0097200 transcript:SECCE2Rv1G0097200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMMPSLALAPWVLLLFLAASHAGADDPYRYFTWTVTYGPINPLGTTQQGILINGQFPGPRIDCVTNDNLIVNVVNNLDEPFLITWNGIKQRKNSWQDGVAGTNCPIPPGANYTYKFQAKDQIGTFTYFPSVALHRAAGGFGALNVYQRPAIPVPYPLPAGDFTLLVGDWYLAGHDQLRQTLDSGAPLPFPDALLINGMPSATLVADQGRAYLLRVSNVGMKTSINFRIQGHSLKLAEVEGTHPVQNVYDSLDVHVGQSVAFLVTLDQPPMDYAVVASTRFNPDHTSPLTAVGTLHYSSATSMAPGPLPTGPPEETEWSMNQARSFRWNLTASAARPNPQGSFHYGTIQTSRTLVLASSAAVVAGQRRYAVNGVSFVVPDTPLKLLDNYNIANAIEWDSVPERPDGGAPRPGTPVVRLNLHEFVEVVFQNTENEMQSWHLDGYDFWVVGYANNGQWTENERLNYNLVDAQARHTVQVYPNGWSAILVSLDNQGMWNLRSANWDRQYLGQQLYLRVWTAEQSFSNEYSIPTNAILCGRAAGLPH >SECCEUnv1G0568110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:422679719:422680894:1 gene:SECCEUnv1G0568110 transcript:SECCEUnv1G0568110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTGLNVVPFTWAASPAATELEGVVVDWMARLVGLPERFLFSGGGGGVLHGSTCEAVVCTLAAARDRALSSLGHEAILRLVVYASDQSHCTFRKGARIVGIQPANFRVIPTSAGSAYGLTADSVRDTVKADVASGLVPLYLCATVGTTGLGAVDPVRDLGEVARRYGMWLHVDAAYAGSALICPEFKDYIDGAELADSVSMNPHKWFLTNMNCCCLWVVSPALLTSALSSNPEYLNNVGQEGAAEVVDYKDWQIALSRPFRAMKLWVVLRRYGAAGMRAYIRRHVDMAKWFERMLDADERFEVVVPRRFSLVTFRLRPRQEGHDDMEMEALNRKLLVAINASGRAFMTHFVVDNKFVIRMAVGAAMTEMRHVQDAWEHVQDKAKEVLATP >SECCE1Rv1G0016000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:133431185:133433814:1 gene:SECCE1Rv1G0016000 transcript:SECCE1Rv1G0016000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHYHNSQMSRMDRTNQPRNEPPPFGQKLFMHPRSDAPNGAGPSGYGVTTVRSNELPSSSYAGQSYGQQIGAPGTLHASHAGYPPAGSSSSSYAPYNTQHVPSLSYPRRSEDSFIPGVHVDDRRVAPKRRNPITHPVDGVNAGGYYPGSSSNNQYSGYMPLNPVPTRETCPPQISSNMGSSHWNDHQFVNHEGSQRNVRGRHDHSSIHSEYNSSTACPSSSVPVPPYHPNANAPFGSAPVQRERAPLSLPPRIVPPGTDGNTGLAFRERPYYPAPQSTNISAPVTTLPGSSDSAPFAAHIGYTPRPVHHNTGHNYLPPAFTTSSNSGAVRCEPANPRYQPAMPSYPPATSAASSSVQPLHAEAAASFRQPRHVSVGHGGSARSRRMRDSYHCFHPLMIEENNLGRSAAERFMMLDQLVIHESREALDPHWDMRLDIDDMSYEELLALEERIGNVNTGLADEKISGCVMELACRNSAHTQNDQDNERCIICLEEYKHRDSLGKLKCGHDFHAGCIKKWLEVKNACPVCKADAANETT >SECCE2Rv1G0130360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:876107329:876108183:-1 gene:SECCE2Rv1G0130360 transcript:SECCE2Rv1G0130360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGTGTTDIVLPRAAPGHAGSKRTLAVYDPAAAQQRADAARLSADAGALVPSPVAVGVAGEPINAVPLSAVAPKERAEPPGIRAPKGLLAYLSLRIDLPVHFIVEKTVTATDVDPQQNRFRLPIEGVMHNLRPLLSHLDREAANLLHVEAPRPRLPKLPKVPGEKTKKRRGKEHGGLPVLVIERYAGIRELQLTRWDSSGVCVIKGEGYMDFINNSGFGVGDVVEIWAFKQRAMRLFGVDVYEERYPESPLYVLFSKKGQMLPPPHAPVASDGGEETAQDCAP >SECCE7Rv1G0493180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:564986268:564987569:1 gene:SECCE7Rv1G0493180 transcript:SECCE7Rv1G0493180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVLGKKQLSPPNGRRWCRCSTTTVTLLLFLVTNTTSILLSSGAGASVVRRYEPATVRLWDDSAALLADLNATRSVLESSRVELAGLHARLGTATALLQTLLAEARGGDDQQKEEAPAAGTGWWARELAGELKLAVGNATTGEVALGHACGRFQDELERYMDYKPGGECPSDAALEHLLMRGGCEPLPRRRCRPRSPAGYVEPAPLPKSLWSMPPDASIVWDAYHPCKNYSCMASRGLGLDLRGRREKSLWTRDDGSLAYSVDTALAAKPKGTVRIGLDIGGGGGTFAARMRERGVTVVTATTNAGAPFGSFVASRGLVPLHVGPARRLPFFDGTLDIVHAGRELTGGWMVPGDGVALEFALFDVYRVLRPGGLFWLDHFVFPGAQLNATYAPMLHRVGFKRLRWNAGQKRDGGVEKNEWYLSALLQKPMT >SECCE4Rv1G0246230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:542284778:542285239:-1 gene:SECCE4Rv1G0246230 transcript:SECCE4Rv1G0246230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGPGTASTVTSSSAGSGATRAPAPLTEERKRKRKESNRLSAQRSRARKLLQVDELEAEAAALRAENSAAAAAAQDAARQCTVVQAENELLHARALELGARLESLAELIQYMDAAAAMGAFSSPLAGVNGTALPQQPLLQTELYRNYSYYY >SECCE3Rv1G0186970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:693016735:693018997:-1 gene:SECCE3Rv1G0186970 transcript:SECCE3Rv1G0186970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRFLGRGQSLDGFLPRRPVMESSPSLSSSLSSSSRSLRSSSSLSSQCSTSGRGGMDEEAEAEAVVAPLPPMRKRVLSRSHGSRAAPGRQLAPNNVVRDPPSEMDLMKERFAKLLLGEDMSGTGKGVSSALALSNSITNLAASVFGEQRRLEPMSADRKARWKKEIGWLLSVTDHIVEFVPLQQESEDGTSMEVMGTQLRRDILMNIPALRKLDAMLLGYLDNFEDEQEFWYVSKNTNESEKGDAPRDGEKWWIPTVRVPPEGLSDQSRKWIRHQKDLVGQVLKAAMAMNADVLAQMEIPEEYIEALPKNGRESLGDSIYRTITDDYFDSNGLLDSVDLSTEHKIVDLKDRIEASVVIWQRKLSNKLSWGPGVSLEKRGQFEERAQTVLLILKHKFPGSAQSSLDISKIQYNKDVGFAILESYSRALESLAFAVLSRIEDVLYADTIARDPRRSKSRRRPSLEDDSPESLVVDSTEATSARSSDSFCWQELEDRSLDSGGGKLKKIPRIGTRKSMHVDKVDMKVGDAGAGSRSFSHR >SECCE3Rv1G0202490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:874466549:874468057:-1 gene:SECCE3Rv1G0202490 transcript:SECCE3Rv1G0202490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGAPFDALSSLDPETFAGESRAVINFLAGYYREVETYPVQPQALPGCLRALLPDAPPEDGEPMDVILEEVRTHIVPALTHWQSPKFFGYFPINASTAGFAGEMLSTGLNVIPFMRAASPAATELESALVDWMGKLAGLPDRLLFSGGGGGVLHGSTCEAVVCTLAAARDRALSRLGHEGILRLVVYASDQSHCTFKKGARIVGIPRSNFRVIPTTAASGYGLTADSVGDAVEADVASGMVPLYLCATVGTTGLGAVDPVRDLGELARRHGIWLHVDAAYAGSALICPEFQHHIDGAELADSVSMNPHKWFLTNMDCCCLWVASPAALTSALSTNPEYLSNVTDGGAGADVVDYKDWQIALSRPFRAMKLWVVLRRYGGAGMRAYVRRHVEMARWFEQVLEADGRFEVAAPTRFSLVTFRLRPRHEGDDDAVDGLNRRLLVAVNASGRAFMTHFVVDGKFVIRMAVGGAMTEMRHVQDTWELLREKAGEVGATPKYSGHD >SECCE3Rv1G0213330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:960645949:960650030:-1 gene:SECCE3Rv1G0213330 transcript:SECCE3Rv1G0213330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSMPLRRSDSVADMMPEALRQSRYQMKSCFQRYVSKGRRLMKNQQLMEELEASAGDDRVDKARLAEGFLGYVVCSTQEAVVLPPLVAFAVRTNPGVWEFIRVHSGDLSVEEITPSAYLKCKETLYDEKWARDDNSLEVDFGALDLSTPRLTLPSSIGNGMQFVSRFMSSKLSGKPDSMKPLLDYLLNLNYRGEKLMISDTLDTADKLQTALLLAEVLVAGLEKSTPYQQFEQRFQEWGLEKGWGDTAETCRETLNFLSEVLQAPDPINMERFFSRVPSVFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRALEEELLQRIRKQGLNITPKILVLTRLIPDAKGTKCNVELEPVEHTKHSSILRVPFKTDDGKDLRQWVSRFDIYPYLERYAQDSSVKILDILGGKPDMVIGNYTDGNLVASLLSSKLGVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKDKPGQYESHYAFTMPGLCRYATGINVFDPKFNIAAPGADQTVYFPFTQKQARLTDLHPQIEELLYSKEDNDEHLGYLQDRSKPIIFSMARLDKVKNITGLVEWYGENRKLRDLVNLVIVGGLLEPSQSNDREEIEEINKMHSLMDKYQLKGQIRWIKAQTERVRNGELYRCIADTRGAFIQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVNEVSGFHIDPLNGKEASDKIAAFFQKCKEDPTYWNKMSTAGLQRIYECYTWQIYATKVLNMGSMYGFWRTLNKKERQAKQLYLQMFYNLQFRQLVKTVPKVGEQPARPTTGSTAPARIAPRPRERRPQTRIQRIAANLLGPVLPASNFSQDSA >SECCE7Rv1G0500310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:665815516:665815977:-1 gene:SECCE7Rv1G0500310 transcript:SECCE7Rv1G0500310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHAGINIPDGKFYLGDAGYACRPGVLPPFRKTRYHLNDFAGRNYHGTPQELFNLRNSSLRVTVERAFGALKNRFKILDQKPFHPYPTQVKLVLTSCIIHNWILQWGVDELVPEEEDVTPDEVISSGHGVEAFNNEAWNNKRLEWDQAMRIT >SECCE6Rv1G0424870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702570896:702573725:1 gene:SECCE6Rv1G0424870 transcript:SECCE6Rv1G0424870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQTRKKDMKRKRAQSIHTYFKPIGSSSSQPCEHGDGANTNDVQPSEENNPSHAEGDAQDVPVPEVTDEATVITTKYDRDPGRRLQIWQLPPEKQDDARRFYICEGAYQPELENYEYNERSAVRRRFQKVWYTNFWWLEYSTNTNCAYCLPCFLFSKKPVGKCGSDTFTVKGFDKWKKVNNGKECAFLKHMGTTPSSAHNFAVRCYENLKNNPTHIDKVMKKQTKQMVLDARLRLKTSIDAMRWLMFQACPFRGNDESEDSKNQGNFKEMIKLLASYNKDVKEVVHNAPRNAKYTSSTIQKEIASIISRKVQTSIREEIGNSKFAILVDECRDESKKEQMAVVLRFVNIEGLIRERFLNLVHVSDTCALTLKNKIIAILVDNGLNVQDIRGQGYYGASNMRGEWNGLKALILQECPYAYYIHCLAHQLQLALVAASREVNEVHNFFQHANFVVNTVSASPKRNDELLEHQAAEIAREIELGELDTGRGANQIGSLQRAGDTRWSSHYKSIKSLLKMFAATVSVLRSVATDRSATRNSRGDAAGALKILNTFDFVFILHLMERIMKITDILCVKLQNKSLDIANALDCVSNTKSLLAELREDGWETLLEDVKSFCVKHEIDIPDMDQRYVDVTKSRNKHDNTTVIHHYKVDVFNVAIDQQVIELNDRFSSQVTELLDLCSSLDPRHDTFDKSKICTLVEKFYPADFSSQERDRLECELPHFQLDTLNHPEIKNCKSLADMTKGIIKTGKSSDYPMVERLLRLVITLPVSTATAERAFSAMKLVKTRLRSKLGDDFLRHCTIVYIEKEIAAKFSSDDIIEIFDTGARKSNFKLIDM >SECCE3Rv1G0203980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:888294324:888298822:1 gene:SECCE3Rv1G0203980 transcript:SECCE3Rv1G0203980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGAGGAYVARTAEEVFRDLRGRRAGMIKALTEDVDKFFKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDKENRRRLFNMINNLPTIYEVVTGIAKKQSKEKTPNSSSKSSKPSMKVLSRAEPRAKAKVPVPKDEEESGEDEGDDEEEEHDNTLCGTCGTNDGKDEFWICCDNCEKWYHGKCVKITPARAEHIKHYRCPECTNGGSNSNKRVKT >SECCE2Rv1G0116150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:761593957:761596997:-1 gene:SECCE2Rv1G0116150 transcript:SECCE2Rv1G0116150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAQPTANPGVCLGWKGPGAPASPPRLALSRSAAAALASHRVGRGKFSVAAITTDDYLPMRSTEVKNRTSVDGIKSLRLITAVKTPYLPDGRFDLEAYDSLINTQINGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTNIKVIGNTGSNSTREAVHASEQGFAVGMHAALHVNPYYGKTSTAGLISHFDEVLPMGPTIIYNVPARTGQDIPPAVIEALSGYPNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLVPGLMRSLMFEGENTALNEKLLPLMKWLFCEPNPIGLNTALAQLGVVRPVFRKPYAPLSLERRTEFVRIVEAIGQENFVGEKEVRVLDDDDFVLISRY >SECCE5Rv1G0329550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:518260784:518263760:1 gene:SECCE5Rv1G0329550 transcript:SECCE5Rv1G0329550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTTREMQAMAAAGQISLDDLRNGHAGAANGGAGGVHDDFLDQMFGSLPPSAWHDLAAGTKPEDGAQADGMQQQQLFGGTYDDSVMLASRLRQHQISGGPGGAAASAKQMVLQQLADLRQGHHNNMLLQGMGRSPSIGGGGGEGGLLLPLTLGNGGSGGDVQALLKAAVNSAGGDAGVFGGFAGSLQQQQHFQPHPQQQTAPMPSQTFSGVGGAPGGGAQPQQQAGGGGGGAPAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEGRGGAAGGAADGSDGLTVTEQQVAKLMEEDMGAAMQYLQGKGLCLMPVSLASAISSATCQLRPPAAAAGGPYTAAQHMSAAMRMSVGDGGVPASPSMSVLTAQSAMANGGGACANDGEGSHPKDAASVSKP >SECCE2Rv1G0069260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27465321:27466475:-1 gene:SECCE2Rv1G0069260 transcript:SECCE2Rv1G0069260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSELKMGLSSSLVPVASALLLFWFFTAENAAAAASSGGGGLRLNYYSESCPRAEQIVKEQVRSLYEEHGNTAVSWLRALFHDCTVKSCDASLLLETDATTGLVSEQASPRSFGMRNFKYVGAIKSALERECPGTVSCADILALAARDGAAMLGGPAPIPMRTGRRDATESQYGEVERYIPNHNDTVSAVLSRFAAMGLDAEAVVALLGAHSVGRVHCNNLVARLYPAVDGGIDPAYGAYLRGRCPTADAREDTRDVAYARNDRATPMVLDNMYHKNLLKGRGLLLVDQRLVSDPRTAPFVRKMAADNGYFRETFAAALVRMSENGPLTGGQGEVRKDCRFVNAK >SECCE2Rv1G0082630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:154431245:154432058:1 gene:SECCE2Rv1G0082630 transcript:SECCE2Rv1G0082630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKWLKRQIEQSLPAWKDEFLSYNELKPVIGAVSPAEFVALLDAQIEKINAFFIEQEEFFIIIHRELQGAIRSALERKPAVPAGAHEAEIAAIRREIVNFHGEMVLLLNYSSVNYIGLAKILKKYDKRTGAGLRLAVIETVLVQPFFTAEAVSLMVRECEAMMEAVFPTAPGEGQAAARRDREALVAAEQRIFRNTVSALLAMQDVRSASSTRGSHSLPPLNLPDSDWLRSF >SECCE3Rv1G0190790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:743678930:743682211:1 gene:SECCE3Rv1G0190790 transcript:SECCE3Rv1G0190790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATADAAAGAGAAVGRVKTALGVAACERDAEKLELIEQLTKGFDAEQQRVLAAILARNNGAEYLRRHGMEGCTDRDAFKARVPVVTYEDLRPEIERIANGDRSNIISSHPISEFLTSSGTSAGERKLMPTIEDELDRRQMLYSLLMPVMNLFVPGLDKGKGLYFLFIKSETKTPGGLPARPVLTSYYKSDHFKYRPFDPYQVYTSPTAAILCTDSFQSMYSQMLCGLLVRTEVLRVGAVFASGLLRAIRFLQLHWKELARDIETGTLSAKVVEPSIRGAVAEVLKPDPDLAAFVAAECAKDNWEGIITRMWPNTKYLDVIVTGAMAQYIPTLKFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFELMPHDPEAAAAVSRDDPPPRLVDLADAEVGKEYELVITTYAGLCRYRVGDILQVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERAARLLAPYGASIVEYTSEADATTIPGHYVVYWELMLKDCREGLWPEAAVFERCCLEMEEALNSVYRQGRNGDAIGPLEIRVVRGGTFEEVMDYAIARGASINQYKAPRCVSFGPIIELLNSRVLSKHFSPACPKYGPPKK >SECCEUnv1G0529150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6521505:6526026:-1 gene:SECCEUnv1G0529150 transcript:SECCEUnv1G0529150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFISLGDDDPTASQPQPAASEGIIDLEQPQVQAVGLNLNDAPPQTETKLMPSSQHHPQGSATTSSVVVDIIDLEEGQIACQQSSPAQDAQAKAQEEANGVAQGASQQQPHHNNIIDLQEGQVEDMDLSDDNAAVLVVTKHGETPKGNNDGPPLSRPTGAFIDQSPTRGVKRPRTESAEPSVRVVYNNLTRESKKKLMELMQQWSEWQARKQHASTETVEEVLDCGEETYYPALHVGSERSCAVSFWVDSQARENAAVDDGAVPLYDREFTLGSTPMGDSSNAEGKKDKDDSRCFNCGSYSHALKDCPKPRDHVAISNARKQHNLKRNLSNVGNRGQNRYYQKTPGKFDDLKAGVLGSETRECLGLRENDPPPWLHRMRELGYPPGYLDEVEDEDKPSGITIFGDGEVKEEQQQHEEGELPEKGEASPPRKRMTVEFPGINAPVPENGDPWLWGSAPPPPLQSSSGRHQHSSSDSRDRAPPAPPGVEHYSSSRYHSYDYGPAVPGAGRRSSSGYDDGAWTPSPSFSSRQHSGSRERERERERERERERERHYYSSRR >SECCE4Rv1G0244250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:513090711:513094637:1 gene:SECCE4Rv1G0244250 transcript:SECCE4Rv1G0244250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVSAPVAPRRHHQQLQSPIPVSAALPTHRHDLVRGSQGSMRIPPMAGLTKIFLPLLLLLSVAAAASPSSDVEAVSRFQEYLRIDTAQPTPDYAAAVSFLRDQAAAVGLEARTLELAAGKPLLLLRWPGRRPSLPSILLNSHTDVVPSEPKKWEHAPLSATLDEASGRIYARGSQDMKCVGMQYLEAIRHLRNAGFVPDRNIYIIFVPDEEIGGHEGVELFVASKEFKELNVGLVLDEGLASPGEEYRVFYAERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEALRRFRTAQFDLVKSGEKAEGDVVSVNFAYLKAGTPTPTGFVMNLQPSEAEVGIDIRIPPSVHTEALEKRLAEEWAPSSRNLTFEFKQKGSVLDKLGKPAMTIADSSNPWWPVFEESVKRAGGKLGKPEIFPASTDARYFREIGIPAFGFSPMANTQVLLHDHNEFLSKDEYLKGIGIYESIIKALATHKDDGIDEESRAEL >SECCE6Rv1G0422220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689111230:689112497:-1 gene:SECCE6Rv1G0422220 transcript:SECCE6Rv1G0422220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAEKVFVPTDDELLQAQSDLWRHSLCYLTPMSLRCAVELGVPTAIHRLGGAASPSDLIAALSLPASKLPFLARLLRQLATAGVFTSTDAGTYRLNPLSYLLVDGVRIDGDASQTAIVRAAASRYYVEASMGLADWFRKDFDGAVPSPFEDVHGAAVFEESMALLDPEMDQLMHDAVAAHDHMGIGPVLRQCRELFEGLESLTDCGGGDGTTARSIVEAYPHIKCTVLDLPKVMDKVLPAQEGAVKYVSGDLFHVVPPAQAVLLKLVLHFWSDEDCIKILAQCKKAVPPRDAGGKVIVIDIVLGSVSGPMLETQHLMDMLMLVMTRGRQRKEKDWSEIFTKAGFSGYKIVKKLGARAVIEVYP >SECCE5Rv1G0327510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:493124152:493124529:-1 gene:SECCE5Rv1G0327510 transcript:SECCE5Rv1G0327510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQLASFLGVVLATVLFFKTVLRRRRQYNLPPGPKPWPIIGNLNLIGTLPHRSIHALSKQYGPLMQLQFGSFPVVVGSSVEMAKFFLKTHDVVFTDRPKTAAGRYTTYNYSDITWSPYGAYWR >SECCE7Rv1G0515060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:837814695:837816953:-1 gene:SECCE7Rv1G0515060 transcript:SECCE7Rv1G0515060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQDHVSKSSSSSISTSTQESEEEVSVTIGSLLAQARNDKGHSLGRRLLHLGSVPHRPRVNGEIPNVDNATLDHERLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPEYHKQVRKAVMKQLKEFRKRYEGYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIVPRDLTPTRELWLSFWCEVHYNSLYATDDLLTRKTKKKHWLF >SECCE4Rv1G0288810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861957537:861957983:1 gene:SECCE4Rv1G0288810 transcript:SECCE4Rv1G0288810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAMSRSSATPPPSHHTTDQIPPLPLIHCPECNAGYVLWFVSGTELNPGRHFYKCERHAHGLCRFWKWENKYIQYLSERWGHLISHASVHRHVALLEQNHAFLKNIVMMCLANLVVMVTIFLLKF >SECCE1Rv1G0008460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:47160972:47161479:-1 gene:SECCE1Rv1G0008460 transcript:SECCE1Rv1G0008460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGPLLLFILLAFASHGVWRAAAAAARSTMAEEDAARHHLRPHHHVTQGKRLLEMQTPRKVGHVTGGAGASGGGRNTGGGATDTRPHNSKNGAMALPAPATSVLALVFTGSTILLSALSF >SECCE1Rv1G0049580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642148620:642155104:1 gene:SECCE1Rv1G0049580 transcript:SECCE1Rv1G0049580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHYRAGDERCPSPSAGAAATSANSETAATRVPNVLVAGDGAGYHGENSPPPASDPDELRRRALKDRIRARILREEAEAMALEAEVRRELMEERASLLAGLAGGSEHRAAPAVPSLKTAAPYFHEVGSKVEVSAFVPAKRKNPDVHGTSSVLTATGSKKPKLGLTCTVCNITATSEVALQEHLGGKSHGRKAAKHAQPLRGTGQPEEDAFSLKVNGSPALPAKGKNLGVVVASTALAGNSCDNLGLNCTVCGITASSQKNMQDHLKGKIHTRKTAMLAPPDPKENGACSKPNVLPAKRKNSDVVPAASTLSAGSSKNQKQDLTCTATSEKGMQDHLKGKDHLKMAASRRRRSRGGRRLLAPGEAEEEAEVEGGYTPRKFKMLTDSGTLCEVVQLNGSILCEVCNVQTADIVTMMCHLQGTKHVSKQAKQKQCEVVEPPAAVAAAGDGPGSEIVPMEANGVCRVDGSLLCELCNVKVPSECAMQSHLSGRKHINKAKVAAVGVGACGNGSGSETVPMEANGVRRLDGGILLCELCDVKAPSECVMRTHLSGRKHTNKQKAASDAGAGQGVKKAAAAADMMGSPSKEAASIVNGSDDSVKKPVAGEMEIAVSSAGQGVKKAAAAVIGSPSKEAASIVINGSDDSVKKPAVVEMEVAVSLTGQGVKKAAATTIGSSSKETASIVVNSSDDSVKKPAAGEMKVVVSSAMLQVDVAAPVCAHVSSVAPMEVDEGAGGGDDAAKAELEEKADAEEEGAVEMDGGPAMTGEEYYIKVQGKRFVTLRQADNSLSCGLCGVHGCDKRGMISHLYTRDHWRRARVAEEKKQAAEAAQEAVNKDGDGDGIPVTAGAAQVDN >SECCEUnv1G0549480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:204392131:204392460:-1 gene:SECCEUnv1G0549480 transcript:SECCEUnv1G0549480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTRNAIVAVLLLVVMVVAVSTPAVTAEELCNVKCSKNCKGEMEVCSNKCYEYCKYQVSAVEYVKMATKTLKEAAAASPEEAVKLKHQAETYLASAKSLSDKAGTPP >SECCEUnv1G0563880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:378462442:378463227:1 gene:SECCEUnv1G0563880 transcript:SECCEUnv1G0563880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEFKLSINAYLANLVHSHVHSLADIIAFNNKHPVEERLKDFGQPDLIAAQNTNGIGPVERAAIQRLKELNANGLEKLMKEHQLDAIIAPNSGISSLLAIGGHPGIVVPAGYDEKGVPFGICFGGLQRYEPRLIEMAYVFEQATKVRRQPMFKP >SECCE3Rv1G0168330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:218843736:218850164:1 gene:SECCE3Rv1G0168330 transcript:SECCE3Rv1G0168330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSLSALLRSSPFLLLLTLLVPIFSMFLFSAKKKLSPSCADDGQRLPPSPPGFPILGHLPLLGSLPHRKLRSLAEAHGPVMLLQLGRVPTVVASSAAAAQEAMKTRDLAFASRAQIRMAERLLYGRDMVLAPYGEYWRQARRVCVVHLLNHRRILSFRRVREQEVAALVDGVRRRSLHPPGVLNLSDMLTSYANAVIKRAAFGDGEYGIDGDDGGEKLRKVLDDFEELLGTPTVGEFVPWLAWVDTLTGLNARARRTFEALDGLLERVIADHRQRRLAGGPLVGDGEDDQRDFVDVLLDVSEAGEEAGGVRFDVVSIKAIMLDMFAAATDTTYTTMEWTMAEIINHPRVMQKLQDEIRAAVNGAGRVTEDHLGTLRYLRAVIRETLRLHAPLPLLLPRETMEDTELLGYRVPARTRVVINAWAIGRDPATWERAEEFVPERFVDDPANYGAGHDDFRAVPFGAGRRGCPGVGFAVPSMELALVSLLYHFDWETPLAAGGAASKLDLTELFGISVRLKTPLHVVAKPWSP >SECCE5Rv1G0339060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604608676:604612331:-1 gene:SECCE5Rv1G0339060 transcript:SECCE5Rv1G0339060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Transcription factor RF2a [Source: Projected from Oryza sativa (Os09g0516200)] MNKDRSRISADGGGGGGDGLPPQPSRRSATPPPEYDISRMPDFPTRNPGHRRAHSEILSLPDDLDLCAPGGGDGPSLSDENDEELFSMFLDVDKLNSSSDQAESSSAAAAGGGEAARAGHVMRPKHQHSQSMDESMSIKAEDLVGAPGMEGMSTVEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIGELERKVQTLQTEATTLSAQLSLLQRDTSGLTSENSELKLRLQNMEQQVHLQDALNDTLKTEVQRLKVATGQVGNGGGMLMNYGGMSQAPHQFGSNQQMFHNNQSMQSLLATHQLQQLQLHQQPQQQQQPMRPQHHHLQQQQPLHPLQAQQFQQAARDLKMKGPMWGDGKSAGGGGI >SECCE1Rv1G0028700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:405749321:405751272:1 gene:SECCE1Rv1G0028700 transcript:SECCE1Rv1G0028700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSSFFFGLGGAAAEAAPGAAGLGDLPELCAAQVLLRLDAPEICRLARLNHAFRGAAGADFVWEAKLPENYRHLIGYVEGGGEEGRRRRRRAGTKEIYARLSRPVSFDDGTKEFWLEKSKGRVCMALSSKALVITGIDDRRYWTHMPTTESRFQSVAYLQQIWWFEVVGELDFCFPVGTYSLYFRVHLGKFSKRFGRRVCSTEHVHGWNKKPVRFQLSTSDGQNALSQCYMDEPGSWVLYHAGDFISSKPGQALKLKFSMAQIDCTHTKGGLCVDSVLVYPKGFQQEKVVTGKTSEMRSS >SECCE3Rv1G0182700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:635520707:635521982:-1 gene:SECCE3Rv1G0182700 transcript:SECCE3Rv1G0182700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIIKLLFVPATVFLSAFIILSYFTSVPYRSYSDLPYYRSYFSRIPKCDIFQGEWVPDADTPPHYTNETCSYIQEHQNCMKYGRPDSEFLRWRWQPSRCDLPRFDANKFFRLVGNKTLAFVGDSLARNHMQSLLCLLSKVASPKEVTDRTDPIKILHYERYNFTLKIIWSPFLVRAEEPDNNSGVFKLYLDEPDGRWFSGVAGFDYVILSGANWFTRQSMFYERGQLVGGSFVTLNITSNLTLHYSHRMAFRTALRAINDHGSVKAKVIVRTLSPMSHFEGGSWDKGGDCRRTQPYRSNETTMGDLDLEFYTGQVEEFREAEKVAAVAGVDMVLMDTTGAMLLRPDGHPSRYGHWPEEKRVLSNDCIHWCLPGPVDAWNDMLLQIMSD >SECCE2Rv1G0104670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:609496554:609498653:1 gene:SECCE2Rv1G0104670 transcript:SECCE2Rv1G0104670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPGVGGGGADGGVGDGPTTLDELYHINVVPAELHFKFRKELQGLRVGLNFEFYNLEVNDFEAKVVLKPLDFDRKWKFQYKPISGDIQLLSKKIPVTKYLNLQVGIGHNFQLKATGWKWKLSTCLGGDGVSQIRNKSKLNLFPGFDLRLGWKAEYVLPEIHGAVGTGEPAFSMNYGRLQASIDRVEAIVTHSDQH >SECCE5Rv1G0337690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:593688394:593691903:-1 gene:SECCE5Rv1G0337690 transcript:SECCE5Rv1G0337690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRALLLSRASPLHAAASRFLRPLAAAGSLLPAALVPSPAAAPWAAARRFATQPANSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMEPPPGDGANPDVTRDEIIDGYIKTLAQVVGSEDEARRKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFIDGQAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENTQAYQSGPPNQMPPHDAAPPSRGAQGPPPPSGAPPNYQPHAPNQQAGYAPGGGPNYPNAPPPPGYRGAPAPGYQAGNQGYQGNPGGNMPNGPGPAYHSNNPGYQGGGPGGNPPPPPFAGGNQPPPYQGGAPSYGGGAPEYQGQSGNPGYQGGGNYNNAAPPPYEGRDGPGRNYQ >SECCE5Rv1G0330680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:531831750:531834092:-1 gene:SECCE5Rv1G0330680 transcript:SECCE5Rv1G0330680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQNLAASLGLRCGSSASAGCRFLQEGSWRPFCIFTSSRHQPERLRASAGDRHDAADDHNHPKPQAFAAAAAGSHSLFPSRPYSSSKPPPPLAVGLLSVLAQGLTAGSSTTGISGAASLAGSSSISIGLFNPAHLLPFLQTARWLPCSDLAPSSSSAPSSPPPTPPLPSIRPSRKTFSGVPTAGASASSAIARNIGASATMSRSNWLSKWVSSCSDDAKTAFAAVTVPLLYSSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYVFREPEILDIVIFRAPPALQDMGYNSGDVFIKRVVAKGGDYVEVRDGKLLVNGVLQNEEFVLEAHNYEMEPLLVPEGYVFVLGDNRNNSLDSHNWGPLPVRNILGRSVFRYWPPSKITDTRYYQPDAALYAVGMS >SECCE6Rv1G0428130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:724441233:724441679:-1 gene:SECCE6Rv1G0428130 transcript:SECCE6Rv1G0428130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFSRDQLMGSAFVAFGIILFVGFFYATVVSKMLPRYENWLLAAIQNDRYYCLLVPLSLPVIIVAVYLHWLSMKMFKHA >SECCE5Rv1G0346760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:663678353:663683572:1 gene:SECCE5Rv1G0346760 transcript:SECCE5Rv1G0346760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREEEESKVPLLEPCRAAAAAEQNVTDGGGGLGRRLVGENRRLWAVAGPSICTRFSTFGIAVISQAFIGHIGPTQLAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMMGISLQRSWIILTGCAVLMLPIFVFTEPLLVFIGQDPAISAVAGTISLWYIPVMFACVFSFTLQMYLQAQSKNVIITYLAFVSLGVHLFLSWLLAVRLHLGLAGIMTSMVIAMWIPVFGQLIFVFCGGCPLTWTGFSSVALTDLVPVLRLSLSSGVMLCLELWYNTILVLLTGYMRNAEVALDALSICLNINGWEMMISIGFLSAIGVRVANELGAGSARRAKFAIINVVTTSFSIGLVFFIFFLFFRGKLSYIFTTSEEVAAAVASLSPLLAFSILLNSVQPVLSGVAIGAGWQSIVAYVNITTYYLIGIPVGAILGYVFGYHVKGVWVGMLLGTLIQTIVLVFITIRTDWDKQVAVTQERLKRWYITDENKGKPDSGGSP >SECCE2Rv1G0078290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:106532140:106533003:-1 gene:SECCE2Rv1G0078290 transcript:SECCE2Rv1G0078290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVLQLRTSDGKVLVAPAWDYRPAAAQALPLEIRVPSRALERVLQYWTKHSLAKATGESRESLAPWDADFQRRLEEDGLAKEAAAAAQELRRHGVDHGGRPHRHAATAASDVAAPAKASRADPVRAWCPLVHHLKGVNHGERSHAPAMPSAFHASAARPGPATALPAAAGADSVDVRCAIRARGRQMAEDEESACHHRKHPASKASKAANAAAPVKKVSRPVPTPLPVTAVAPVVKKMTPAVSTLRARRGMRELSCKVPKQIRVTAAAPKKQPIAWLRPVVLRPC >SECCEUnv1G0538950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74682045:74684262:-1 gene:SECCEUnv1G0538950 transcript:SECCEUnv1G0538950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRRHCRRSPAASPLEDDNLLSEILLRLPPQPSALPRASAVCKRWRGLVSEPRFRHRFRLRHRRNPPLLGFFNRFDRLSFQPTLDAPDRLPLGPFPFQRDDGDYFVSLGCRHGLLLISLPGRHEVLVWDPVTGDRHRLAVPPVVAERAAKIGVGGAVCRATGDVQHFQVVLAVTDGDGAQPRRALACVYSSKTGLWGSLISTPIPYQAHGSQFSAIVCAEDAALAGGSLYWPLAGNLDGILEFDLEKQRLAVIRVPVHMWGMGERNGFKLMRAEGGVLGFLFISHLDCCAAQLWKRITGCDGVASWVLARTIQLDKLLSLKPEEKGGIEIVGSAEDNNVLLLRTVIGLFTIHLESLKFKKLSETTTVSRYLPFESVYTAVTGIGGRHDASDVMHNK >SECCE4Rv1G0263390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:716467769:716470902:-1 gene:SECCE4Rv1G0263390 transcript:SECCE4Rv1G0263390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGAMGPVIRKLGELLVGEYNLEKRVKKGIQSLLNELEMMHAVLRKVGEVPSEQLEEPVRIWAGKVRDLSCDMEDDVDDFMVRVDEGSRSKPTDMRSRVKKFLKKTTTLFGKGKALHQISDAIKEAQDLAKELADLRRRYEVDTRSTSNVATIDPRVLALQKDIGELVALDHTRDELIETLISEEGSSKERLKTISIVGVGGLGKTTLTKAIYEKIKDKFQCAAFVPVGQNPDIRKIFKDLLYGLDKEKFKDIHNTTRDQKLLMEEISEFLVDKRYLIVIDDIWEEEIWRYINCALYKNKLHSRVITTTRNVSVSEACLSSSDDMIHKMKPLSDGDSQILFHRRIFQREEKCPEDLQAVSREILKKCGGVPLAIITIASLLVSNQRIKQKEEWMHVHSSMGRGVTQGGIVKDMKRILSLSYYDLPPHLKTCLLYLSIFPEDFEIKRDWLIWRWLAEGFIQCDKEETRLFEIGESYFNELMNRSLIQPAEINEEGTVVTFRIHDMVLDLICSLSSEENFISILDNAGWHAPNLQRKFRRLSLHNIKAKVQNHQFDSTSLSKVRTFAVFSPVTCDWLPSLSSFQFLRVLDLGNCGSRKSSSGISLKYVGNLIHLRYLGLKDADVCELPMDIGKLQLLQTLDIRDTSIKELPSSVVQLRNLICLYVGPDMRLPKGMGSLMSLEVLQPVGLSSSPHIVKELSHLTEVRTLGVYCDNMDEDLIDILIKSLGNLHKLQNLRILDCGRLTDRMSESWVPPPNLRSFDSAWLFGPAWFSRLPKWVNSRSLPHLSRLSIDVEELQGDDIQIIGMLPALRFLHLRASRVMGTLVVRADAFPSARCCTFIRFLMPPCLFPPGAMPRVQRLHFEVSARSIASGEADCGMGHLPSLEHVEVILQRENSSNEEKETAESWLRRAAEAHPKRPTMKIY >SECCE2Rv1G0066210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:13154667:13156393:-1 gene:SECCE2Rv1G0066210 transcript:SECCE2Rv1G0066210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRKDRRSRNKATCDEAPAACGDRISKLPSDILLNILDRVDTLDAIRTCILSKQMLKLPNLLPQLMINIASIGRHHDISNGFGIRDKVRINSAVADVTEKILVARNKEIPIRKLGVRFYLMRYNCLSIGRSVAGAMSTQKVEAAEFDILAEKARVNCTPGDLLDFAKQFNVFLAACPDAFAGLTQLRLHTMRFREPDIPNILSICKRLRSLRFSHCDAGVGSVLQVEHAQLVELAIDYGNFETVELSCAPKLERMSYNNWNSDGDPLLFGFVPRLSNLDLTKVGTRSNKTLELGQLLANVPNISNLHLDFESEKIWILPECPKLLTPLLGKLRVVNLDNLPEGCDIAWTMFILEAAPFLEDLCITVWDHWCNMETDKKLRKAHGYCEKENVAWKPSVSDFKHKHLAKLTIYGFQPDFNFVGYINRVIEAAVNLKEISLHDRKLCKSCGNLDPKIKVSPSKYPRTQEEREHITEVLGLALSEMVYFRS >SECCE4Rv1G0290610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873476258:873476780:1 gene:SECCE4Rv1G0290610 transcript:SECCE4Rv1G0290610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKSRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSPAAAEKEATKSPKKKTTTKSPKKKTAAA >SECCE5Rv1G0366540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:813597056:813600741:-1 gene:SECCE5Rv1G0366540 transcript:SECCE5Rv1G0366540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLALSLRPAQPSARVPRRIPAPPLPPQGRLAVGCRASALASPRARPGFACRAATAFQKLDAVAVREEEEAFRSAAAAGHTLLPLQRCIFSDHLTPVLAYRCLVREDDREAPSFLFESVEQASEGTNVGRYSVVGAQPAMEIVAKANQVTVMDHEMRTKKEQYAADPMTVPRDIMEQWNPQITLDGLPDAFCGGWVGFFSYDTVRYVETKKLPFSKAPEDDRNLPDIHLGLYNDVVVFDHVEKKTHVIHWVRLDCYHSIDEAYEDGKNRLEALLSRLHSSNVPTLSAGSIKLNVGQFGSALQKSTMSSEDYKKSVVQAKEHILAGDIFQVVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVAKRTVVNRPLAGTIRRGKTKAEDKVLEQLLLSDEKQRAEHIMLVDLGRNDVGKVSKPGTVKVEKLMNIERYSHVMHISSTVTGELRDDLTCWDALRAALPVGTVSGAPKVRAMELIDEMEVTMRGPYSGGFGQISFRGDMDIALALRTIVFPTASRFDTMYSYASDSSNARQEWVAHLQTGAGIVADSKPEDEQQECQNKAAGLARAIDLAESTFLDFSEV >SECCE2Rv1G0102310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:576032596:576033380:1 gene:SECCE2Rv1G0102310 transcript:SECCE2Rv1G0102310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIAAYLLAYLSGNASPSAEDLTSILESVGCEIDNEKMELMLSQVKGKDITELLAAGREKFAAVPSGGGGVAVSAAAPAAGGAAAPAAESKKEEKVVEKEESDDDMGFSLFD >SECCE4Rv1G0250230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:590010071:590010298:1 gene:SECCE4Rv1G0250230 transcript:SECCE4Rv1G0250230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFLAAPVMFLMIVVFFAVSGAARQLGGDVWAPAREVVSGDGVAAQLLRQMYLQRLGAGASCGTHSANGGCPH >SECCE3Rv1G0169810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:243005763:243008681:1 gene:SECCE3Rv1G0169810 transcript:SECCE3Rv1G0169810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPSWVILGSVPRVSAADADLPPGADLSLALPAAPRVALLTIPPRIFPGRTTSRSFPSVLAVDASGLLLLHADQGPAKGRTIIDLPSRQEFLWLPTVAGYFLLDAKSASALPLPNPQYIMHPGHLGLITSPADPGHYMVAELQMILGGDRADLLCFSSEAGEWVSKDVRYPLPSRPLSPNGVVSHSGRLWWVDLSWCLLTCDPFADAPVLRVVPLPEGKALKSKEAWGLLDKYRCVAVSGGKLRFVDMYRNRNSGGSAQIGVWTLADPDSTEWTLEHEATFTEIWDDASYKAIGLPRKIPVLALIHPTNPDVVYFFLDEHLLGVDVRARKVVECEVYELVEPPREHVATRFVHAWQLPQALCSGSAKETEDGVKEELQQLQL >SECCE7Rv1G0477400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:219041002:219041772:1 gene:SECCE7Rv1G0477400 transcript:SECCE7Rv1G0477400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDGHPLQGNHGYAHVPAGSNNDEDDSSPPPSAGGGSSGSGRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVEAIAAFSRRRQRGVSVLSGSGAVTNVTLRQPAGTGAAAVALRGRFEILSLSGAFLPAPAPPGATGLAVYLAGGQGQVVGGSVMGELLASGPVMVIAATFGNATYERLPLDQDAEEGAVLSGSEGAATQLEQQGSGGAALPPPMYAVPQTPPSDMFGQWGQAAVARPPPTSF >SECCE7Rv1G0524350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885766373:885770569:1 gene:SECCE7Rv1G0524350 transcript:SECCE7Rv1G0524350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDYGGIGSGSGSKNPPPSGKEDEYGGGYDRLDEEVEFRLPRGHRPVENLDTEGLEQASVDTQLAASNVGFRLLQKMGWKSGKGLGKNEQGILEPIKAGIRDAKLGVGKQEQDDFFTAEDNVQRKRLNVELEETEEHIKKREVTAEREQKIRSEVKEIQKTFFCSLCNKQYKLAYEFESHLSSYDHNHRKRFKEMKEMQSGGSGNRDDRQKREQQREEKELAKFAQLADAHRKQQKEKHEQPEVSGEQATPKNLPTPGNQDQRRTLKFGFSKMTPSKTPVGNMSKKPKIATQVPSVFGNDSDEEA >SECCE7Rv1G0463880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:57663519:57667719:1 gene:SECCE7Rv1G0463880 transcript:SECCE7Rv1G0463880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MDGSKSNAEEDDYHVIGDLRSPKIPRRSPDGSKERDDRKGWDSSRSETSADRSDTRDGGYSSDHNKHGREKEMIHDHADESGGIRKGVDSSSSRGGRSDEDINERRGSAMAENLVEDSRSADQSHQKEDILGHESKGGVEKEETSPRVGNDGWESSGDRRNKDAQSSQIPYTPDRGRDSSWNVKTQEVEGSEQYSRSRQLRDPKETNVSEWRSTQERLDGTGFHGRAGYRQDSRGRSESFRGSSTYGNRYDRSDSIEIRPNSNFDFGREGSVSGRSDVGAHRDLISGTTDDNSTNHPEADQSGSTSMVSSFPQQGPKGDRSSRGRGRPNGRDSQRIGVPVPLMPPPSFGALTLPPGQMQHMGPNIPHSPGPPLLPGVFMPPFPGPMVWPGAGGVDVNMLSVPPNLHIPPPVPGEHRFTPSMGAGPVHNVHLNQMGPGMGNPTNMSGLGFNQMSTQNREMTHDKPTGGWVPHRHGAPIRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVTNAASPPMYFKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFLFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATSGLRHDSRTLLQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPDDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKDLSYSNFNKEAYNKNFADSDGKVWQGGGGRNPPPGAPHLIVTTPEIEGLRPKSPPPKN >SECCE6Rv1G0398520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:422276700:422279232:1 gene:SECCE6Rv1G0398520 transcript:SECCE6Rv1G0398520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALLVSLVLVVAAARAPAASAAPRAFFVFGDSLVDNGNNNYLLTTARADAPPYGIDFPSHRATGRFSNGLNIPDIISEHLGAEPALPYLSPELRGTKLLVGANFASAGVGILNDTGVQFVNIIRMGDQLRYFGEYQRKLRAFAGEEQAARLVSGALVLITLGGNDFLNNYYLVPMSMRSRQYALPDYVRLIVSEYRKILARLYELGARRVIVTGTGPLGCVPAELAQHSSNGECAAELNRAVDLFNPQLVDMVRGLNRDAGADVFVSANTYRANFDYLANPQSYGFTNVKVACCGQGPYNGVGLCTAASNVCANREVFAFWDAFHPTERANRIIVAQFMHGSTDYMHPMNLSTILTMDHQGRV >SECCE6Rv1G0409260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:584997305:584998871:1 gene:SECCE6Rv1G0409260 transcript:SECCE6Rv1G0409260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRSPGPRRAALAALVTLLFLACVFFFLSATTITSAAPNSPALRLATVRRHAEDHAAVLAAYAAHARRLSSDSASQTESFLSTSSRLSALSSRLSVSTVALLEKEARGHVKRARALAAGAKEAFDTQSKILKLSDTVFAVGQQLLRARRDGQLNSRIAAVSTPKSLHCLAMRLMESLLVNASAVPDIEPASPPPELTDPSLYHYAIFSDNILAVSVVVASAARAASEPSRHVFHVVTVPMYLPAFRVWFARRPPPLGAHVQLLAASDFPFLNASYSPVLRQIEAGNRDVALRELDYLRFYLPEMLPALQRVVLLEDDVVVQRDLAELWRVDLGGQVNGALDTCFGGFRRYGKYLNFSEPAVRERFSPSACAWSYGVNVFDLQAWRRDQCTEQFHRLMDMNENGTLWDAASVLPAGLVTFYGNTRPLDRSWHVMGLGYNPHVRPEDIRGAAVIHFNGNLKPWLDVAFNQYKHLWTKYVDTEMEFLTLCNFGL >SECCEUnv1G0540330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78883093:78884625:1 gene:SECCEUnv1G0540330 transcript:SECCEUnv1G0540330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVAWFLLPLLARLLTHHAAGKPKLPPGPAAVPGLGSLLLLRNSLVDAEHLLRRLVARHGPVVSLRVGSRLSIFVADRRVAHALLVERGAALADRPDLVVNSGCTVSGASYGPAWRLLRRNLVSETLHPSRARLFAPARALARRVLLDKLREREPGPDGGGVLVMDAFRHAMFFLLALMCFGQKFDEAAVRAVGAAQRDWLMFAARKTSVFAFFPAITKRLFRGRLKTALALRQRQKELFLPMIHARRERTIKPVPTEEGTTLEHSYVDTLLDIKLPGEEESSRALTDDDMVSLCSEFLNAGTDTTSTALEWIMAELTKNPRVQEKLYDEITKSQQQRGDGDGDDEEVSEEETRGMPYLKAVVLEGLRKHPPGHFVLPHRAEEDMEVGGYLIPKGASVNFMVAEMGRDEREWERPMEFVPERFLPGGDGEGVDVTGSREIRMMPFGVGRRICAGLGVAMLHLEYLVANLVKEFEWQEVAGDEVELAEKPEFTVVMAKPLRARLVTRTG >SECCE1Rv1G0005930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28594777:28595346:1 gene:SECCE1Rv1G0005930 transcript:SECCE1Rv1G0005930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRFAFEEKEMARMLLLVSREQAMPLPMPVALRGDRGPERVFVCKTCDRVFPSFQALGGHRASHKKPRLDDGGDLKPKLHGCSVCGLEFAIGQALGGHMRRHRAMAVGGGVGIMAMTPPTAVIKKHGESGDDAVVGMKRGLWLDLNHPPCDEYGAGSESDDECGHDAPAAGYTFHQFLDTGNMAVDCI >SECCE2Rv1G0141560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935882506:935885175:1 gene:SECCE2Rv1G0141560 transcript:SECCE2Rv1G0141560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRAMTVRRPSFSSFLFLCSHVLLAAFHTTSDAAKSSHPVLQVNCSTSGNYTSASTYAANLNQFLAALPEKAVSKNGGFFNGTVGEGTDTVYGLAMCSADYSRSDCGDCLAAPASSDPNGLPNLCPGSTTVLAWFEPCLVRYSDTNFFGTAQIDSIYTSNGPRASTAALQQYTADVQRNLKEATGGAVASPQRFAASSTDPYTLVQCTWDLSPDRCKQCLDVLSANASGKWSSMTEYGQRRSYSCAVRYSNTSFMVVPLGGAAGAPPPQPVDQDTTSATQSSGATGKGSLTIGVVGSVLGVILFACLAGLIWYVRYGRNQPNTIGRAHKFPYQLLAAAARDFVVDRKLGEGAFGAVYKGTLMLQGKEVGVAIKKNTHTTSDQEKAAFHKEVEIMSPLSHRNIIRLVGWCDERNNLLLVYELVEDRNLQARLYGHGACVDAELSGARAPGSALDLDWLKRYNILHGIASGLEYLHNNCAKAVMHRDIKPGNVMLDRDSNAKLCDFGLVTQLTHAITSCSTNNAIGTQGYMDPAYQSTGQVTKGSDVYSFGVLLLEVVCGVAPNLIGNPPKNSLIEKVRECYERNAILDAADQRLRGNFDEEIKGVLLIGLRCVETSRGDRPSIRIVLAGLVSIAAKSTSHNRRTSAVVGAEV >SECCE4Rv1G0232530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:201676723:201677653:1 gene:SECCE4Rv1G0232530 transcript:SECCE4Rv1G0232530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVQDEMRKGPWTEQEDLRLVCTVRLFGDRRWDFVAKVSGLNRTGKSCRLRWVNYLHPGLKHGRMSPQEEHLIIELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKTDMSPSSSSSSFTYQSCLLETGPIIRMDGGSTHNGTTCFSSVLKSNQSIMDGYSMDQIWKEIEAPAMLPIDDKACSDLPCPVLPSPMGDRYCPPEVVWKMDNGDLKMLAPQFGYGNGKRSCY >SECCE3Rv1G0174170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:375768641:375769854:1 gene:SECCE3Rv1G0174170 transcript:SECCE3Rv1G0174170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 50S ribosomal protein L40 [Source: Projected from Oryza sativa (Os01g0278900)] MALLLSPTVSFLAPYSSSAPPARALSSSTASNNAFPYLASRLQCKTTASVSHVSLPKKMPVVVHASAEAGATDAGEQPENPKPVASIEDMPLESKQQMILEQRARMKVAKKLRQRRKRLVQKRRLRKKGRWPPSKMKKLKNV >SECCE4Rv1G0295240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:896915535:896916785:1 gene:SECCE4Rv1G0295240 transcript:SECCE4Rv1G0295240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGGRSETQARGRRQQHQKLPLDVLVDIAARTDPATLVRFAATCLDMRDRLKDDPGLRGRLRLRHGHCFVPPLLRGHLVYGYEQQRSDEQDLFVVDTIAVDATKLRRHMAGFGSPLVSRDGLVLIRMAGQQLRVCDPATGSSHTLPSVSAFPVDVANIQPDLRAMSYVLLVGGDDDKGASPVGRHFHVVMAYLELSKNHHHLQLQTFSSEQGTWGRCIKIRAPNMDGSHLRRGLGKALVIGGAVHWLCVVDTGAYIIKLNAKATHVTVTKLPKSFPHDGEHRQLLATLTAGGSPVVLVTDRDKILAWVPSKQTAKWQQRPHVVIEITEAILRFLDRAGGSCKRPTRPVQLDIVWFAERSGTVLINTCCGFFWVNLRSMEIVRRVSNHGVTYMSENIPYEMNLADWVPTFSSTL >SECCE4Rv1G0246160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:541428502:541429466:1 gene:SECCE4Rv1G0246160 transcript:SECCE4Rv1G0246160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLRAAVAASQPPPSPLPPSKSATAGGGIPWLLRKRASKGRHGPPLGGKEPDVHDEGELEDEGATFVVSTPSAADAVGAGGGTPEPSGKRREALARLRSAVLAVVARARRRRGRRPMGSSVTGTIFGRRRGRVHLALQTDPRAPPALMVELAAYSTGALVREMSSGLVRIALECEKTPAHNAGGERRRTALAEEPTWRAYCNGRKCGYAVRRECGAEEWRLLRAVEPVSVGAGVVPDGGAGEGDLMYMRARFERVVGSRDSEAFYMINPDGNGGPELSIYLLRV >SECCE4Rv1G0260190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:693937978:693942381:-1 gene:SECCE4Rv1G0260190 transcript:SECCE4Rv1G0260190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane import complex protein METAXIN [Source:Projected from Arabidopsis thaliana (AT2G19080) UniProtKB/Swiss-Prot;Acc:O64471] MASATTAAAEWEAAARKTLVARKPGFGLPTACPTCLPVLLYLRMSQVPFDIHVEYRFPDADHIPYVEFGECVAFNNENGGIIEYLREEKIVDLTSKHPSVSYSDVLSTKAMISTWLADALQYELWVANDGAHGSIARDIYFSDLPWPIGKVLHWKKIRDVKRLIDITKLNAAEKEEEIYRKATAAYDALSTKLGDQSFLFDDSPTDADALLLGHVLFVLNALPATSMLRSYLQNYDNLVKYAEDIKVQLVEVGSSSAGSVSSDLPSSSTPRKTASSGQSYKPKPKAKKERTEEEKKARRRTKYFLAAQLISVLAFLSIMGGVDSSELDDDYDMEYED >SECCE6Rv1G0382860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:48088644:48091820:-1 gene:SECCE6Rv1G0382860 transcript:SECCE6Rv1G0382860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLHFPNKTCNKILGIPSLGLALVLLVFLASPTSSCTEQEKGALLQFLAELSQDGGLSASWRNGTGCCKWEGITCSQDRTVINVSLPSKGLEGHISQSLGNLAGLQYLDLSDNSLSGGLPLGLVSSSSIKTLDVSFNQLNGTLQELLPSSTPGRPLQVLNISSNLFAGQFPSTTWKGMENLIALNASNNSFTGQIPTQLCSTLPFLKVLDLCFNKFSGSVPPGLGDCSKLRELRAGYNNLSGRLPDELFNATSLEYLSFANNGLYGVLDNKRIVNLRNLVTLDLGGNQFSGKIPDYIGQLKRLEEFHLNNNNMSGELPYALSNCTNLITIDLKSNKLSGELSNVNFSNLPNLRTLDLWSNNFTGTVPESMYSCSNLTALRLANNKLYGQLSSRIGNLKHLSFLSLGKNNFTNIANALQILKSSKNLTTLLISFNFKGELMPEDDRIGGFENLQVLDMDGCQLSGKIPLWLSRVTQLKMLILRSNQLTGPIPDWINSLSRLFYIDVSNNTLTGEIPLTFTEMPMLKSTDNTTHLDPRVFELPVYTGPSLQYRVVTSFPTMLNLSNNKFTGVIPPQIGQLNLLAVLDFSFNKLSGQIPQSICNLTNLQVLELSSNSLTGAIPAALNTLNFLSEFNISSNDLEGPIPSGGQFNTFQNSSFNGNPKLCGSMLTHKCGKDSISPSSRKKRDKTVVFAIVFGVFFGGIAILLLLACLLVSIRQKGFTGKNRRESNGDVEESSFYSSSEQTLVVVRIPQGKGVENKLKFTDILKATNNFDKANIIGCGGHGLVYKAELSDGSRLAIKKLNGEMCLMEREFSAEVDALSRAQHENLVPLWGYCVQGNSRFLVYSYLENGSLDDWLHNRDDGASSLLDWPTRLKIAQGASLGLSYIHDACNPQIVHRDIKSGNILLDKEFKAYVADFGLARLILPNNTHVTTELVGTMGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGRRPVSVFCTPKELVPWVLQMRSEGKQIEVLDPTLRGTGYDEQMLKVLEAACKCVDHNQFRRPAIMEVVTCLSSINTEPEMQRSANI >SECCE4Rv1G0258120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:675483415:675484929:1 gene:SECCE4Rv1G0258120 transcript:SECCE4Rv1G0258120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVDEIHKILRERIEQYNRKVGIENIGRVVQVGDGIARIIGLGEIMSGELVQFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVVNALAKPIDGKGEIIASESRLIESPAPSIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQGVICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVVAEMADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQLAELQAFAQFASALDKTSQNQLARGRRLRELLKQSQANPLPVEEQIATIYTGTRGYLDSLEIEQVNKFLDELRKHLKDTKPQFQEIISSSKTFTEQAEILLKEAIQEQLERFSLQ >SECCE6Rv1G0383550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:53526039:53527232:1 gene:SECCE6Rv1G0383550 transcript:SECCE6Rv1G0383550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEHDEAVASVTASRRKRAASRPVSNGGGATACPGSSSRCRGVHQMRPGKHTVQIRVPEQQQSAGEAARAYGAAAIRLHAAAAETNFKHPAAAVTKSRSEFFGGHGTPSGEHGAQIWPCAAVEEAARADEEAAIGLHGAAEAFTNSSQHGDDGDAPLLRVSCEGEAPGNAERNKRRRAAPRSGFRGVYRHPRSGKYSAQIREPEQRGKLFWLGSFATGEEAARAYDAAAVTLYGDKAVTNYEQPRTAAIDGEESPMDLFPELRSNIQVWRKEMAYRGKYSAQIWDLVRRADQWLDTFGAAEETAGASDAAAVRMHGAAADTDFKQPPRLAPAAAADDGEVLAVDLNDFLELPALDFLPDSITPDAQLDDLLTGLPLVELQQVDELIKDMGFTDMVA >SECCE2Rv1G0064880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5516879:5521025:1 gene:SECCE2Rv1G0064880 transcript:SECCE2Rv1G0064880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSLEEQRLQSRTGTSSEAGGPDGLKKCKSDSKAISSVLAPPKDVEDLQTEGYGNVNIFTYNELRAATKNFRPDQILGEGGFGVVYKGIIDENVRIGFPSTQVAVKELNPEGFQGDKEWLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKSRPSREHNLVEWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVASLCYRCLSQNPKGRPTMSQVVETFEEVQSMPECQDILLQNCMTGSVTLYEVPKEPAEHVETEKAKQEPTAKTDAVAVAMPPTNGKPVPQSRRTRPANGRSKSEPPLECKLYIPSPDSDGHQLGLEALASPPSRNSSRDDPPPVDEDLYKI >SECCE4Rv1G0261090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700264321:700267560:1 gene:SECCE4Rv1G0261090 transcript:SECCE4Rv1G0261090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNGNEGMSTIPGFSQIQFEGFRRFINQALAEELDKFPTIKDPDHEIAFQLFAKGYQLLEPSIKERDAVYESLTYSSELYVSARLIFGFDVQKQTISIGNIPIMNSLGTFIINGIYRIVINQILLSPGIYYRSELDHKGISIYTGTIISDWGGRSELVIDKKERIWARVSRKQKISILVLSSAMGSNLREILDNVSYPEIFLSFPNAKEKKRIESKEKAILEFYQQFACVGGDLVFSESLCEELQKKFFQQKCELGRIGRRNMNRRLNLDIPQNNTFLLPRDVLAATDHLIGMKFGTGILDDDDMNHLKNKRIRSVADLLQDQFGLALGRLQHAVQKTIRRVFIRQSKPTPQTLVTPTSTSILLITTYETFFGTYPLSQVFDQTNPLTQTVHGRKVSCLGPGGLTGRTARFRSRDIHPSHYGRICPIDTSEGINVGLTGSLAIHARIDHLWGSIESPFYEISAEKAKAKEKKERQVVYLSPNRDEYYMIAAGNSLSLNQGIQEEQVVPARYRQEFLTIAWEQIHVRSIFPFQYFSIGGSLIPFIEYNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQTALDSRVSIIAEREGKIISTDSHKILLSSSGKTISIPLVNHHRSNKNTCMHQKPRRVPRGKSIKKGQILAEGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTDKTSQGSAEKITKEIPHLEEHLLRNLDRNGVVRLGSWVETGDILVGKLTPQIASESSYIAEAGLLRAIFGLEVSTSKETSLKLPIGGRGRVIDVKWIQRDPLDIMVRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLAGDLLKKQYRIAPFDERYEQEASRKLVFSELYEASKETKNPWVFEPEYPGKSRIFDGRTGDPFEQPVLIGKSYILKLIHQVDEKIHGRSTGPYSLVTQQPVRGRAKQGGQRVGEMEVWALEGFGVAHILQEILTYKSDHLIARQEILNATIWGKRIPNHEDPPESFRVLVRELRSLALELNHFLVSEKNFQVNREEV >SECCE2Rv1G0092380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:354074046:354093584:1 gene:SECCE2Rv1G0092380 transcript:SECCE2Rv1G0092380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRFRKLDDQEAEPAVKPVPPAPQRAGKRIHIAAARGRKGAPWRRVVPAPRRARKGADVVDLDANLGSEHLPKAVAIHAVVCESKDPALNKVAQGVVDKGLRMDGESAEKLVGAEDDSAIAPIPQMVHVGNSPVYITDRKLGKGGFGQVYVGRRISGGTARTGPDAYEVALKFEHRSSKGCNFAPPYEWQVYQTLNGCYGVPAVHYKGRHGDYYILVMDILGPSLWDVWNSLGQAMSPHMAACISVEAISILEKFHSKGFVHGDVKPENFLLGLPGSPEEKKLFLIDLGLASKWRDLSGQHVVYDQRPDIFRGTIRYASAHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDSKSFLVCKKKMATSPDMLSSFCPPPFKQFLESVTNMKFDEEPNYAKLISLFESLIESPASRPIRIDGALKVGQKRGRLLVNHEEDDQPKKKVRLGSPASQWISVYNARRPMKQRYHYNVTDNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFGSQVYELSPVFLHKDWIMDQWEKSFYITAIAGALNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQIVELDFLYPSEGIHRRWEHGYRITSSAASGDQAAFILSKPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICYGRTVS >SECCEUnv1G0567440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:415051520:415052910:-1 gene:SECCEUnv1G0567440 transcript:SECCEUnv1G0567440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRVLVIGGTGHIGKHIVAASARRGHPTSVLIRDVAPSDPAKMQLLNSFIDSGVALIKGDLFDHGSLVNAIRGADIVISAVGPRQLVEQPRIVMAIKEAGNVKRFLPSEFGSDVERAHTVDPAATLFAGKVSLRRLIEAEGIPHTYVCCNAFAETYLPSIGDVTAVGAGPASDKITILGDGDAKAVFVVEEDIAAYTVRAIDDPRTLNKILYMRPPANILSHNELIAMWERKVGRTFHIVRIPEVDLLKMIKEAAFPLNILLSLALSIFIRGDQANFEIEPSIGVEATELYPDLRYTTVDEYLDRLL >SECCE2Rv1G0112840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:715957487:715959745:-1 gene:SECCE2Rv1G0112840 transcript:SECCE2Rv1G0112840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENAHVAANGDGLCVAQPARADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTMEGASLTIAMVAAVAAGSDTRVELDESARGRVKESSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAAATRAAMLVRVNTLLQGYSGIRFEILETVATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSMATAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANVLSLLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVKNAVKSCVKTVARKTLSTDNNGHLHNARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERDMETSVFAKLAMFEQELRAVLPKEVEAARSAVENGTAAQQNRIAECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFIAMNQGKHIDALLECLKEWNGEPLPIC >SECCE5Rv1G0374910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:865294567:865295385:-1 gene:SECCE5Rv1G0374910 transcript:SECCE5Rv1G0374910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGGMECSGSGDRGGMVAPFVAKTYAMVDDPGTDAVVAWGPASNSFVVADPFAFSRSLLPAHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHACFLRGQTHLLPRIVRRRSTRPSKDDNEEDEDTSSTMLAMEVIRLKEEQRATEERLAAMWRRVQDAERRPKLMLAFLLKVVGDPDVLRRLVGNSSGGGRVFPGEGAEAKRPRLLLDGEAQVSKKMRVDGDGQWYGTNRQDVFVREPRVDFTGFYTGGDVFGEVLVDDQPYAFPVDTGY >SECCE4Rv1G0272630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:770805523:770808875:1 gene:SECCE4Rv1G0272630 transcript:SECCE4Rv1G0272630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARAAASAARSALRRAPLAASSSSSAAGILRRSAAAGLETRMPLHSAASAARLGSCITRDGNNAFSWYSRRMFSSNEKHLPAISDPKIETAFKDLMAASWNELPGSLVEEAKKAVSKATDDKAGQEALENVFRAAEACEEFSGVLVTLRMALDDLCGITGENVGPLPGYLEEAVKSAYSRYMTYLESFGPEEHYLRKKVETELGTKMIHLKMRCSGIGSEWGKITLIGTSGISGSYVEMRA >SECCE5Rv1G0338450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:598146837:598150162:1 gene:SECCE5Rv1G0338450 transcript:SECCE5Rv1G0338450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQNKDSKSEGLSDAKKGASPTAGAGLPSPFDFSNMSSLLNDPSIREMAEQIASDPVFNQMAEQLQKSAQGTGEQGVPNLDPQAYMETMTQVMQNPQFMSMAERLGNTLMQDPAMSSMLENLTSPAHKEQLEERMARIKDDPSLKPILDEIERGGPSAMVKYWNDPEILQKIGEAMSLNFPVDAATSTVLSGPQETDEEGEDDDESIVHNTASVGDAEGLKKALDGGANKDEEDVEGRRALHFACGYGELKCAEILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNLDGKTPIEVAKLNSQDEVVKLLEQDVFL >SECCE1Rv1G0039910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:554654137:554655161:-1 gene:SECCE1Rv1G0039910 transcript:SECCE1Rv1G0039910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQESWMEVLPVPPAPYFAGQAGGWFLQDQRGTGGGGVGGAWSPAENKLFEEALARVDGDAPDRWEQVAALLPGKTVADVMRQYDDLENDVCFIEAGLVPFPHYNANANAGAGSPASGFTLDWDGGGDLAFKRSCYMAGGNGKRGRGSDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNYVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNIPDDDHANPSPSPSSAHSASASAPEQFGALADSKPPPPLGVGQRHFIPHHLYGSVKLEAGSNGYHGDSVLMQMQCGQLQPLG >SECCE5Rv1G0362480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:786919823:786920236:-1 gene:SECCE5Rv1G0362480 transcript:SECCE5Rv1G0362480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITRRANAILVVGVLLAAAMAAVAADVVEAEAVAADMVEPEAAAEVSCGDAVSALIPCGSFLVGAVAGTPSESCCRGAQALRRMAGTPGARRALCRCLEQSGPSFGVLPDRARQLPALCKLGISIPVSPHTDCDK >SECCE3Rv1G0184460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:663612734:663613429:1 gene:SECCE3Rv1G0184460 transcript:SECCE3Rv1G0184460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLFRLKQKILYPATKPRRNLPVLRGDFKRPALNCPRYTISTICEYALLIWLFGTAYCYLTYDLPREFSVHLTPIPSNGSAGAPAATTSVPRAFDVVLHAGNRRAAERCYHHGEGAVTYGGFTVASGRAPDFCVPWKGVREVPFRLAWDWDDGVGLPEHLRGRIAAAAEKVGAVEFEVQVRLLRGGDARSGTGTPTWMWCKARMGGAPGAVTPWPCTVFAPTNWFSPLA >SECCE6Rv1G0420120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:675101771:675102185:-1 gene:SECCE6Rv1G0420120 transcript:SECCE6Rv1G0420120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFPALVLLALVCVQLVVDGYAQPYIGGIRGGGGSAAGLRPPTYTTTHGHSSTIGGYDGTGRRSAVEEEKAFMMHSQTARDRPLPIPPSGQ >SECCE3Rv1G0169260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:234178684:234181968:-1 gene:SECCE3Rv1G0169260 transcript:SECCE3Rv1G0169260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSTQDDYCFQENVLPHGDGSNGSGNPADVMSRVDLEIQFGSEKLLNLEMLVMEVADRANDIEPLMLDPGSLSTESLENAFEFDLLYGILDAEVRELERLISYIQIDIGNIEKKLNGEESEGSLKGKLHAAMDSLKQMQEVIATIRREFANFEKALDPSHRKAGNSEGDAYENGHVSSHTTIQAEGQRNVLQMLEQSIANELDLEKKLSDLGVLVEELKMKLHHVEHGSYFLEESVEAISERMFAAENASELFLVTSKELVNRINTMQYQLSASGCRESDLKAKLEQSLVQLNALNGSLEMMQEGGEKNASQDSMQSQRLSTPEFFALQHTVQKLEEWLRESCSQLQFVTVSTEGNEKEQNMSPSEISTFRNIINDIKDAVSKAESRTQKAEARCAELTHTNVQLNRELNHMKTWGSDKAGLSEMDLMETEAQLEHTMASVEAISEHKSMLKSSISDMQHVIEDLKDKYVKAETRAESAESKCTLLTDSNFELSEEISFLRGRVGDLENSLRQTNQLKLSTAKDIDIKTKTISDLVAKLALERERLHLQIVTLTRKNRMLTQKCKENVNEGTLSSKKVTANEGELRPTGVTEEVLLDSSSMQTKVKTDALIGETEAGITAVLDDESCTLETVRSIEPTLLNWKYIFVAFLFLLAATLVHIQLTQPIVPGSTE >SECCE2Rv1G0119640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:793114462:793115588:-1 gene:SECCE2Rv1G0119640 transcript:SECCE2Rv1G0119640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLGVVLALAAVVLLAAAAAATAQSMPRPPKAQGPKPKPKPMRVKCTDKRRENPYCFNRNMDCPANCPQSCYADCNTCKPVCVCNTPGACGDPRFIGGDGNAFYFHGRRDADFCVVSDRDLHINAHFIGKSGHSGMSRDFTWIQAIAVLFDGHRLYLGARKTGAWDDAVEHLEITLDGVPVYLPANLIEGAKWTSTRIPELSVTRTKAANGVLVAVEGKFSVRANAVPITEEESRVHRYGVTADDCLAHLELAFKFDALTDDVHGVVGQTYRSDYVNHFDVRASMPTMGGDATFTTSSLFAADCSVARYGVSRGNDGAAVLSELAGVTCASGMDGKGVVCKK >SECCE2Rv1G0078580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:108824903:108826060:-1 gene:SECCE2Rv1G0078580 transcript:SECCE2Rv1G0078580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRFINLVMKDYAVDGSYWLSRMRPQENLFYASTVEAMTAHAQQARKDKTSVMLPFGSTLELPPPAARFKSSCTDCLTLDFMPFYGRDCRPGEGRIIALDSTGHTVLYDADADPCSVEMLPRVNSSNWRTPISLCVTTGNPNAEAQDAGRSDVLYGINMFNSSDFEALVHCNPSDSQFGRIHGAKAWHWLKLPPPPYLDDPVPGQDHTIQSYTLLEDGKTICFSSLRDNGFGTYCFDTSGYEWTKAGSWALPFIGRAWHVPELHNLWFGFSGSNPNNICAIQLSSLDGPPKVLHEWRGFNNPRNWMLVNSTMVYLGGNRFCVVRFFDVYNGPPDRSDEPTDTVSIITGLEIVKGQQTSETVLRMVRHKSNTYVFERCGIESVF >SECCE5Rv1G0359200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:760609654:760611303:-1 gene:SECCE5Rv1G0359200 transcript:SECCE5Rv1G0359200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLISQNALPKRRLEKDDSSSSDEDMGSPVALDAEAEKKPRPEDHKKDRRRKKKALRARQSQEADEMKQLESSLFGNIYAPLEFGAEAGAAVPARDQDDGPLFFVDRSAGDDLPVYEEDLGSEDEVVDKGRKPAWVDEEEDSTEVDIVKVARLRKLRKEADERVISGREYEARLRGHHAKLNPFTGWADMDRKAPLRDGDSDGEEGGVDNMLRNNDELVIKGTAKLLPGMLDYSRLVDANAQEPSSGPINSVQFHRNGQLMLVAGLDKHLRFFQIDGKRNPKIQSIFVEDCPIQKAAFLPDGSEVILSGRRKFFYSFDLVKAAVSKIGPLTGREEKSLESFEISPDSRTIAFIGNEGYILLISSKTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLRTRKCMHKSVDEGSLSGLSLCTSQDSSYFATGSSSGIVNVYKRDEFLGGKRKPLKTIENLTTETGQMKFNHDAQILAISSRKDRNGMRLVHVPSFTVYQNWPGPRFSLQYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQNA >SECCE1Rv1G0005160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:24397034:24400389:-1 gene:SECCE1Rv1G0005160 transcript:SECCE1Rv1G0005160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPRKRGPCRAVLAVAGAGYLAFLLLFELPSLPFSSPSSSSASGALTTHRSRRRELEASAFPSSRFSPVRPGFPAAVSPSPSSPPPLPIFSSLLLLPRSNATATPFDGAAADAFSAAKPHLAHLRTAASASATAASPPPSSPICPASISLHADKLPADGVRTVELPCGLAVGSHVTVVARPRPARPEYDPKIAQRKDGGKTPLMVSQFMVELVGTKVVDGEAPPRILHFNPRIRGDYSGKPVIEMNSCYRMQWARSHRCEGFASRPADETVDAQLKCEKWIRDDDNKSEESKMKWWVKRLIGRSKDVHISWPYPFGEDKLFVLTLTAGLEGYHVNVDGRHVTSFPYRTGYTLEDATGLSVNGDIDIESIFASSLPSSHPSFSPERYLEMSEQWRAPPLPTEPVELFIGILSAASHFAERMAVRKSWMMYTRKSSNIVARFFVALNGKMEVNAELKREAEFFQDIVIVPFMDSYDLVVLKTIAIAEYGVRVIPAKYVMKCDDDTFVRIDSVLDQVKKVKSDKSVYVGSINYYHRPLRSGKWAVTYEEWPEEAYPSYANGPGYVISSDIARYIVSEFDNQTLRLFKMEDVNMGMWVEKFNMTRRPVEYRHDVRFYQAGCFDGYITAHYQSPQHMICLWRKLQSGSTHCCNVR >SECCE2Rv1G0073280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:66875385:66876510:-1 gene:SECCE2Rv1G0073280 transcript:SECCE2Rv1G0073280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASCISLVVLVALATAASAQLSPTFYSASCPGALAAIKSGVTAAVSSDPRMGASLLRLHFHDCFVQGCDASVLLSGNEQNAGPNAGSLRGFGVIDGIKAQVEAVCRKTVSCADILAVAARDSVVALGGPSWTVPLGRRDSTTANAGLANSDLPGPGSSRAQLEAAFLNKGLDTVDMVALSGAHTIGQAQCSSFRSRIYGGDANINAAYAASLRANCPQAGGNGNLAPLDATTPNTFDNAYYTDLLSQKGLMHSDQVLFNGDTTDNTVRDFASNPAAFTSAFTTAMIKMGNIAPLTGAQGQIRLSCSKVNS >SECCEUnv1G0535710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:59761011:59761643:1 gene:SECCEUnv1G0535710 transcript:SECCEUnv1G0535710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMITFAAALCLVAASAVAVPPATLQETCKSAGEQEALCVQLLSSSPAAQKTPVDTRGLAHAAVMAAGLNATETAAHLQRLFDSEDLKKMSPELQRCVEDCTERYQSAAKFLGQALEKMTAGSFDEASVLIDGAQSVVKLCQRTCQGVPQGELTACTKGVVNLCTIAAAVTRLVLQK >SECCE3Rv1G0148400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19064559:19065337:-1 gene:SECCE3Rv1G0148400 transcript:SECCE3Rv1G0148400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSAITSPAAAAAAMLPLAGRVAIVTGASRGIGRGIATHLSSLGTNLVLGYASSSEQADALAAELPRAVEVKADVSDEAGVRSLFDAAESAFGGAVHILVACAGLGIGTYPSLASTATADFDNVLAATNRLRRGGGGRIVVVSSTLAAMLLLGYAASKAAMKAMMRVAPKELGPVRVTVNRVAPGPVATEPFFEGKSEEAVERFRAGHPMGRLGEVGDIAPAVGFLCTDAAEWVNGQVIRLNGDIA >SECCE6Rv1G0388940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:144353097:144354284:1 gene:SECCE6Rv1G0388940 transcript:SECCE6Rv1G0388940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFRARRQAAAPVLPPPPLIPYGSSYSEMEGAMENKRWDSLDSWSMLLDAGGGADQQANSSAAVQREEWMADLSQLFIGNNFASGSNSRIYRGIYRQRAVAVKMVRLPERDEDRRRALEDQFNSEVTFLSRLRHPNVVQFVAACKRPPVYCIITEYMSQGTLRMYLHKKDPYSLSPETVLRLALDVARGMEYLHAQGVIHRDLKSHNLLLNDEMRVKVADFGTSCLEAHSSRAGAGARPRAGAGAGGGEGKGTNMGTYRWMAPEMVRDKPCTRKVDVYSFGIVLWELTTCLVPFQGMTPVQAAYAACEKNARPPLSPTCPPALNNLIKMCWAANPARRPEFSYVVSVLENYDHCLREGLPLATPPSPVSSFLSIFKLGSCISTTNLPSMPVHV >SECCE5Rv1G0301050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29013165:29017434:1 gene:SECCE5Rv1G0301050 transcript:SECCE5Rv1G0301050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNITPILKDAQDPDNNIRLAAEGKLKQLQERNRPNFLLSLSAELSSEASPPECRFLAGIILKNSVEGKYSEYNSLLIKQWNNLDPCIKSQIKESLLITLGSSAPQARHASSQIIGRLAYIETPSERWQDLIGRLLRNMAQQGASPTLKQATLEALEYVFEEETLMFDKDTINGVLDAVIRAMNHQAEKSAQVRLAAVKALRNVLTFANFANDDDCRNCIMTAICDAAKHDEAMDIKHAAFVCLTAIASNYIMELEPYMETTLSRTTEALGLEGGVETVALECIEFWSTICGEVIELRDRKKRFAHAIATAECHFTEKPLCSLVPLLLHTLSLHQERDVDELNIFMSAVACLGLVSRTIGDAVVPLAMQFVEGNIKVADWRSRKTAISVLGVMLEGPSIEKLAPVVGLLMDRMEDPHMEVRGTAACTLGRAFELRHSPALDKRFFTNEDFPRIMAVLSKSGKDVPEVSKEVCGAIYFLARGYDVAISSEVDHSKKQISSELSPFLGGVIDALLSASELDKKTPFGLPASASAYGALIEVVRVSNTWDLTAVLAIIVLMPRIMRRLNTVLDAKAISSDDKTNKHDLQTLLCDVLHAIIEKLGNSVHGDKVRESAPFMLLQFCRVLTCDCSTARDKAAVTIGALARAVGPKFLDFMPIFLQYYSVKLFSPMYLEAIGTIFHALGNEILPCCDHMMDVLYEGLSQHKLKPQILACFGEIALAIGKIFEEKYLQAVRKELKEAANPRYYDEDKVDYGNQLRQGICKAYSGILRGIKDRKSGLKVAADLVEFIEAVSEDKSRGARVTYAAVDVLDQFGFTAASWKEGLISELWR >SECCE3Rv1G0204260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:891301118:891305158:1 gene:SECCE3Rv1G0204260 transcript:SECCE3Rv1G0204260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRGAKMDGRRPSSPSSSLCTTTTVVVFVALCLVGAWMMTSSTVFPVEPTSTKKSEPLEVSTSNKKTEVKDQRAEVGFGETDDAAKSGSVSSEKFEDTDNNDNVPDESHSNRDAPEEEKFPENTMEKPVEMAEEKEPPKEKEDSKDSFDDANGKSETQNAKEGGESGDEEEKREEKKDEETTTDNDVEKSDGEKKEDQEGKSEDDATEQPQIEEKVEESGEKEPAAKANEVFPDGAQSELLKESNTENGSFPTQAAESKNEKEAQAASKSSGDEITYSWKLCNTSAVTDYIPCLDNEKAIKKLHSTKHYEHRERHCPEELPTCLVPLPEGYKRPIEWPKSRDKVWYSNVPHTKLAEYKGHQNWVKVSGDHLLFPGGGTQFKNGALHYIDTIQQALPDIAWGKRSRVILDVGCGVASFGGYMFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGKLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWNAMSSLTKSMCWKMVKKTKDTLNQVGMAIYQKPMDNNCYEKRPEDSPPLCKESDDADAAWNVPLQACIPKLPIGPSVRGSKWPETWPQRLEKTPFWIDGSRVGVYGKPANEDFEADYAHWKRVVSKSYVNGMGIDWSKVRNVMDMRAVYGGFAAALRDQKVWVMNIVPIDSPDTLPIVYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLLGVFAEVDRILRPEGKLIVRDNAETISELEGMAKSLHWEVRMTYAKGKEGLLCVQKTTWRPKEIEASM >SECCE7Rv1G0511430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803297379:803298818:-1 gene:SECCE7Rv1G0511430 transcript:SECCE7Rv1G0511430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPLIPLVHVWNNAAFDSSSSSASAWHAHATPVRRGEKENRRPAEADDADADAEIARIEAEILRLSSRLHHLRVSRGYEAQSTGARPRGRGLSLALAPLDGLPAAADPDNLLLPPEKQQQPPPAALKPKPAAKQQFPASRGGRGLSLGPSDIAAANPAKAPAARQQQQATAASRILKPIKEPPVQRRRGVSLGPLEIQHGVSGIKPAAARAKPFPNKLNAIREEGQASRQQPAVPAKLWPSSNARQPLDSSKQGTAASRAKARSSSMSPRARRQSIARATNSTRGGVAAFGSAKVVADELTPKAATNHISTASTCRRPAGSSKVRVVPSRYSLMPGASLGAATQLRRSKESLPRSEGGAGQREETKVMPTEPVDDDLSPESLDKVAELLPRIRTMPPSDETPRDSGCAKRAADLVGKRSFFAAAAAGEGSAISSYQARVLEAEAPEDDAAAAAAAEALSDEAAAAAAAEALSDEAAA >SECCE3Rv1G0165700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:177828255:177829906:1 gene:SECCE3Rv1G0165700 transcript:SECCE3Rv1G0165700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEPSNSSNHHLQQQLAKYGGGTGNGNGAATGVARASRKNKPKKIPQRGLGVAQLEKLRIEEQKKMGGGPSAATPSSHALNGGALCHLPTPALHHHPPPPPPLSALSRPPAAEHCGFPPALWSPADPTKHPYKRSLCPQPPLPMVSTGLSLTAPPSHPTEPPSNQMYSGGRRSGAAAPAPAEDEREAAGVDRSWPFMFEGMTPFTTTSKAFPAPPLPPFAVRTASDSGLADVTPDLSRYEFRATNYFSASAYPSCSDWTPEFGHCKDTGRSRDAGLLALSSRPPQPMRQPHVVPSMHIPEYSEFSAGAGASAMPSQLGSVSASSSSSSLPFYNFLPVGPVHRERAPSERKADVSDGEIDLELKLWKG >SECCE7Rv1G0511020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:799613731:799617808:-1 gene:SECCE7Rv1G0511020 transcript:SECCE7Rv1G0511020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCO1 homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G08950) UniProtKB/Swiss-Prot;Acc:Q8VYP0] MRRHALQLVARAVRGSAPRPHPQRHPHQVAALRAPAGFFSTDAAAGASASATAEAAQGGSKSAAAVASGSDGGGGGGGRDGKSQQGDSGKSVRGGPVSWLSFLLLLVTGGGIIVYYDKEKKRHIEELKNNTNSVKPAQSVGTAAIGGPFTLLNHDGKTVTEKDFLGKWTLLYFGFTHCPDICPDELQKMAAAINKIKEKAKLEIVPVFISVDPERDTVEQVHDYVKEFHQDLIGLTGTSDEVRKVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFFGKNYDEDTLAEGIIKEVREHKRS >SECCE3Rv1G0154810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62458308:62461622:1 gene:SECCE3Rv1G0154810 transcript:SECCE3Rv1G0154810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLACLLGSCANKLKDIIIDEAILILGVEKELTEVLRRVELIRCCIYDAEKRRTEERAVNNWLDQLRDVMYDVEEILDVAKCKGNKLLPDHPSSSSGKSVACKGLSVSSCFCNIGPRHDVAVRIRSLNKKIENILKDNIFLTFKSSTEPTGNGRTSKMIRSSNLVEPNLVGKEIVHSSRKLVDLVLACKEYKSYKIGIVGTGGVGKTTLAQKIYNDQKIKGSFQMHAWICVSQNYSEVTLLKEVLRNIGVHHEQGESIAELQRKLAETIEGKSFFLVLDDVWHSNIWTDLLRPALHETTAGVILVTTRDDQIAMRIGVQHTHRVDLMSEEVGWELLWKSMNIDEEKEVQNLRNTGIEIVRKCGRLPLAIKVTASALASRGPTENEWNKYLGKFIGSQSMLSDEIERALYLSYDELPHRLKQCFLYCVLYAEDSIIARDEVTWLWIAEGFIEEQQGQLLEDIAEEYYYELIHRNLLQPNNAIFNQAKCTMHDLLRQLACKLSREECFIGDVETLRGGNMSKLRRVKVTSKKDKLVLPRTYKVEVKVRTFLTGPGTWIIEDTLLKRFLLLRVLVLNCTLVKSIPDYIGTLLHLRLLDLCNTCISCLPESIGSLKNLQVLSLRHCSALHSLPSALTRLCSLRCLDLVDTQITQVPKGIGKLKFLTRLPGFPVGGGSDGADVQNGWKLEELSSMSQMRFLYLSNLERVAHCSTNTVLTDKKHLKELVLVWKRTNGLYLEEEDVSNTEKVLEQLIPPSNLESLFIFRFYGRRYPTWFGTTCLSSLIHLKLSILGSCTDLPPIGQLPNLKFLKIEAAYAITKVGPEFVGCWKGDPICNKLVAFPKLEWLSIGDMPNWEDWSFFEEKEEEAEAAAGDEVGEDDTAMICKQNPQSGRLQLLPRLARLVLYDCPKLRALPRELREDTTSLKDLILVEINNLKAVEDLPHLSELLVIEDCEDLEKVSNFPQVTKLQVGGCPNLSHVEGLGRLQQLGLGEDMQQEVSSRWLPGLQEQHRRLNGDDLDIYTWSTSHMAQV >SECCEUnv1G0566150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:400755766:400756279:-1 gene:SECCEUnv1G0566150 transcript:SECCEUnv1G0566150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPKGQIVKKCHHFEWMDDYIKRLQGLGLLDSRGNVIHELYLPHDSAAPVAAARPEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE2Rv1G0118710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785225765:785228616:1 gene:SECCE2Rv1G0118710 transcript:SECCE2Rv1G0118710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRELEEANSKFAWIKLACAQDELGTCATLLSELKILLTKFPSMPPSFERTPNAVAELRLARSIYEFAVIFSIKINDQDAFERNFFQLKVFYMDTRGILPPSPEEYRILGLNLMRLLAENRVAEFHTELELLPPRALDHPCIKYAVELEQSFMEGTYNRLTNGRQAVPHETYFYFMDLLAETVRDEIADCSGQAYDHLPVDVTRKMLMFSSEQKLLEYISEIQHEWEVQNHSVLFHMAKVQPRVGIQAHQLIKQSLCYARELERVV >SECCE7Rv1G0522830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879329760:879330098:1 gene:SECCE7Rv1G0522830 transcript:SECCE7Rv1G0522830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVWVFRKDGVMELQSAAGGSPAGRSVGGRKALVYLPANEPMRSLEALERRLGSLGWERYYENGEIIQLHRREGGVDLIALPRDFAQFRSTHMYDIVVKNRHHFKVVDL >SECCE3Rv1G0213320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:960639405:960645286:1 gene:SECCE3Rv1G0213320 transcript:SECCE3Rv1G0213320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTCVASREQQRQPKMISFFLRKIFLLQLQSPIRFDSPESMGSRRGRGDGGPARGAGRGPFPQHQQPPQGRGFTAAPPQQPRDDAAGAGRGRRAGTRTQQHPGDGRSAPAAGPLDRLAPELRQAMEEPAPQVPAGPSEPPPPQPQAAPPAQPVPVQAVIPASSKAIRFPLRPGKGSAGSRCLVKANHFIAQLPDKDLHHYHVSITPEVTSRVVNRAVIRELVSLHRAAYLGGRLPAYDGRKSLYTAGPLPFVSKEFQIALLDDDDGSGAQQRRQRNFKVAIKLAKRADLHRLGMFLAGRHAEAPQEALQVLDIVLRELPSTRYAPFGRSFFSPDLGRRQPLGDGLESWRGFYQSIRPTQMGLSLNIDMSATAFIEPLPVIDYAVQLLRSDIHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTTQATRELTFPVDEGGTVKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQNQIRALLDETCQYPRDRERDITQMVKHNAYQEDPYAKEFGIKISDRLASVDARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWMCVNFARNVPDKLARDFCHQLAQMCQDSGMDFALEPVLPPMSARPDQVERALKARYHEAMNILGHQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKLNKQIYANIALKINVKVGGRNTVLVDALSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTRYAGLVSAQAHRQELIEDLYKVRQDPQKGPVSSGMIRELLISFKKSTGEKPQRIIFYRDGVSEGQFYQVLLFELNAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDKNSMDRSGNILPGTVVDTKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPESSDSGSMASGRGPTSGSSASRGTRAPGGAAVKPLPALKDNVKNVMFYC >SECCE7Rv1G0502180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:693376487:693378379:-1 gene:SECCE7Rv1G0502180 transcript:SECCE7Rv1G0502180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRHVLELLRQCRSIRHLDQIHAHLFVHGPSTEASLASELVASYCALSGGAGHAGLCHARRVFDRVPDPDRFAYNSLIRAYSNSGCPQEALCLHRDVLRRGILPNEFTLPFVLKACARARAAEHAVATHGVAVKLGYVRQVFVGNTLLHSYASSGSLRDSRRFFAEMAPDRNVVSWNTMIGGYAQAGETSEACALFGEMRRQGVLADVFTFVSLLLVCSSEGNLEVGRLVHCHMLASGSRVDLILGNALVDMYGKCGDLWMARRCFDVMPIKNVVSWTSMLCALAKHGSVDAARNWFEQMPERNIVSWNAMISCYVQGGRFRETLGLYNRMKSLGLTPDEVTLAGVLSAHGQSGDLASGRMIHCYIIDSFSDPGVTLLNKLIDMYARCGQVDTSISLFTGMPNKNTISWNVIIGALAMHGRAQEAVMFFRAMVSDAFSPDEITFVGLLSACSHGGLLEDGQYYFKAMKHVYNVKPEVEHYACMVDLLGRCGHLTKAVDLIKDMPMKPDVVVWGALIGACRIHGNVQIGKLAIKQLLELGGINGGLFVLISNLLYETHQWEDMRRLRKLMRERGTKKDMGVSSIEVNNNIHEFGVEDIRHESSSEIYAAVDQLVYHLVLAVQPVELIMEE >SECCE7Rv1G0490250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:478038869:478053063:-1 gene:SECCE7Rv1G0490250 transcript:SECCE7Rv1G0490250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDTERKADKKLSGWGLFGSKYEDAADLYDKAANFFKLSKNWNRAASVYVKIANCHLKGDSKHEAASAYVEAANCYKKFSPQEAAEALDQAVNLFLEIGRLSMAARYCKDIGEIYQQEQDLEKASDYLERAADLFDSEGQTSQSNTIKQKVAEIAAQLEQYPKATEIFEEIARQSINNNLLKYSVRGILLNAGICQLCRADAVAIQNSLERYQEIDPTFSGTREYKLLADLAASMDDGDVAKFTDAIKEFDGMTRLDPWKTTLLLRAKNELKKQEDDEDDLT >SECCE1Rv1G0004010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:15957589:15958830:-1 gene:SECCE1Rv1G0004010 transcript:SECCE1Rv1G0004010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGNKNSLKGRSKGRRKLWARKQPRKELVDLRTLLVHCAQAVAQDNHLLASELLKKIRQHSSADGDCTQRVAFYLADGLEARLGGIGSHVYGNLMERRTSAADWLEAYRLYIAACPFIRASYYFANETIIDVSQRQPRVHIVDFGIDFGFQWPLLIQKFALREEGVPNLRITGIEVPQPGFHPCEMIEETGKRLADYANMFKVPFQYQGIVASRWETIKIEDLNIDEDEVLIINCIFRMKNLGHETEAINSARDKVLKTMRRMNPKVVISGTVNGLHSSPFFIQRFKEVMLHYSSMFNMLDAIVPRDNEARKMIERIPFGRDALNIIACEDAERTQRPESYRQWKARFLKAGFQQLPVDPAILKKIVHMKNSHHHEDFFAVEDCGWLLQGWKGRVLYAVSKWKPNETYDDQ >SECCE1Rv1G0009070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:52251123:52253824:1 gene:SECCE1Rv1G0009070 transcript:SECCE1Rv1G0009070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSKTSRNGSLDAPQRTSPATPRSSRVAKTGGNETDSAGITPTRTPTERSPKVIERRSPRSPVTEKKRPSRLTELDSKVNQLQDELKKTKEQLSASEARRRQAQQEADEAKKQGQDASSKLEESQCQLVEHSAAEESRLQELRKIQQERDRTWQAELEALQKQQSVDAAALSSALSEIQRLKLQLEATVQSDTARAKQCEHADSELEGLKQEVELRLATIEGLKVNVSESDKAAADANATATETKLQLETAKATIDSLLAEGVRLQECLRSKDMELSESKARVASLEEDLKKAQAAANEILNEAQTGNANGGFGSPLTEVSKKSEHPTSDVNGSCGSPDPEIEHLRMALEVAEMRYQEEQTRMAFETKTVYDMLENVKSECTRQVCDIELKLKSKTGELMAAQEALTGKAQEDLHRSDGLGEMQPELEAKLMKSITDIAELKANLMDKENALQSLVEENETLKSEAGRKEAEVQQRYEAAVAELELAKAAEQDVRMRLGYVTEEADKSSRRAARASEQLDAAQAASAEADAELRRLRVQSDQWRKAAEAAAAALAGGGNNGGRMVERTGSLDAEYNGSIGGKLMGSPFSDDESPKRRNSGVLRRMSGLWKKGPK >SECCE5Rv1G0341200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:622049088:622052853:-1 gene:SECCE5Rv1G0341200 transcript:SECCE5Rv1G0341200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEEQQQERILVSVRLRPVNAREVERGDGTEWECAGPTTLKFLGTIPERAMFPATYTYDRVFNPECSTRQVYEEGAKEVALSVLSGINSSIFAYGQTSSGKTYTMVGITEHSMAEIYAYIDQHPDREFILRFSAMEIYNEAVRDLLSSDATPLRLLDDPEKGTVVEKLTEETLRDKGHLLELLAVCEAQRQIGETALNETSSRSHQILRLTVESSAKQFLGKGNSSTLQACVNFVDLAGSERASQTAASGMRLKEGSHINKSLLTLGKVIRQLSGGRNGHIPYRDSKLTRILHSSLGGNARTAIICTMSPAHCHVEQSRNTLLFANCAKNVVTNAQVNVVMSDKALVKHLQRELTRLENELKFPGPASLSTHAEVLKEKDEQIKKLEEQLKELMEEKDTVQSELENFRKVASDDHLNYLKARRWDAHSRSSESLPRNMSEDALSCSDTYDLVDQDLLIDAQPGHFPRRPSNHVFDSIDECQENLVAYPAAPEVSEEHCKEVQCIETNELRRRRSQESIHAQKPETPEKERRSMMDRAEDCTDEEKHGESITKTAENAIELYACDSDPSFEIEQPNTDEEPLALKRCVVSSRDIVLARSSSCKASFMVIPNSWFDDSPSMNMTTPPSENFKFPSRRPEQVRRNLFPEKVASDAITDNSTGNAEEESAANDTSRVTEVKQQTEQNDASQPQENRVQAGTDSSTSTTFESPSRWSFDFPKKQQEIIELWHDCHISIVHRTYFFLLFNGDHTDHIYMEVEHRRLSFIKHSFIADGEPNATVASSLKSLRNERDMLYRQMVRKLNAAEKESLYSKWGIDRSSKQRRLQLSRLIWTQTDMEHVRESAELVSKMVQHLERGQAIKEMFGLSFSLNLRSGRSFSWGGA >SECCE1Rv1G0004200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16823288:16827696:-1 gene:SECCE1Rv1G0004200 transcript:SECCE1Rv1G0004200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNTVGGSRINPAAGEDDEETWLHAWGLITSFAVSMTLKAAIELGIFDTLSNTGSGEITADELAARLPTMDKAGGVASVDRIMRLLASFDVVKCVAEAGPSGDAIRQYRPSPVCRWLSSNHGDSSLVPYAMFTMDHDFLMAWQQLGVAVGGGQTAFKRTHGVSMCEHLGRNPRLIGVVDKAMVQISVMVTRKLLERFHGFNNMVVLVDVGGGTGSTLEMITSRYKHIRGINFDLPHVISHAPSILGVEHIAGNMFDNVPTGDAVFLKTVLHILDDNDCMKVLRNCHQALSDKGRLIAVEFVLPATPEVTRAAQNLYILDVMMLNNSEGGKERTEQDFMKLARESGFSGTFQSTYIFSNFWALEFTK >SECCE7Rv1G0468750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:103078863:103086320:-1 gene:SECCE7Rv1G0468750 transcript:SECCE7Rv1G0468750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPNPPPSKPSASAKHPAGMAARKSRWGPPPAGAAPGPAGDKTASTSRRTPTPTRPSNARRHPAPPAPAPQARNPASPAAAARPAPHQQPRVETPPPPPYGFHNLDRRTLHLADGTVRTYFALPPDYPFEPAPLPQLPHFPRAGPEAWPPHQPPSQQQFPPHDKRRHPADPDEGFSRHPKQPRFEPPPHQPSQQQPPVDRQALRKAFLKYSKMLNENPAQKRSYLEGGRVQCLPCGRSSKDFADVHGLVMHAYNPPNADSSVDHLGLHKALCVLKGWDYTKVPDNSKAYQSLPPDLVRANREDLIIWPPTVIIRNTATGKKKDGRSEGLGNKEMDKKIAELGFAGGKSKSLYGKEGHLGLTLVKFANNPSGLKEAERLAEFLERQDHGRVGWSRAQATHNLDPDTNPLLVETDNRGEKKRILYGCLAISSDLDELDSDSRKRATVKSRKEFDPSD >SECCE4Rv1G0243180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:497521196:497523212:1 gene:SECCE4Rv1G0243180 transcript:SECCE4Rv1G0243180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLCALLLCAAFACHCHATAAAAYRRPHALGVNYGNLGDDLPTAARSVKLLRKANAGAVKLYNADQRILHALAGTGIPVSVMVPNDIVPYLADSRAAAIKWVDNNLKRHPRVRVMYLLVGNELLSYPALAASTWGKIVPAMKNLRYALRKIGRGRVKLGTPLAMDALAASYPPSAGAFREDIADPVMRPLLDFLNHTRSYYFVDAYPYFPWAANQKDISLEYALFEGNASSHYVDPATRLTYTNLLDQMLDACVAAMGKLGYGGVKLAISETGWPNAGDPGQAGANVRNAAVYNRHLARRMHKKLGTPARPRSNMPAFVFALYNEDLKPGAGTERHWGMFYPNGTWVYQIDLTGRRTARSYPPLPPPDGQTGKLEWCVLAAGGKQVNETAVALALNYACGQGTGTCAAIQPGGACYEPNTLDAHASYAINAYWQQFKAKGGSCYFDGLAVKTNKDPSYLSCKFPSY >SECCE3Rv1G0202480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:874368621:874368962:-1 gene:SECCE3Rv1G0202480 transcript:SECCE3Rv1G0202480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIEDFRACHDIASLAKMMVELERHVMFSTIYRVIELALLLPVATATVERAFTSMKIIKIELRSKTTDGSLNDLMVCYIEPEIFKSIDFAKIKEDFQNEGRALSFPRSSRRH >SECCE2Rv1G0079810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:123041419:123042258:-1 gene:SECCE2Rv1G0079810 transcript:SECCE2Rv1G0079810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-Cys peroxiredoxin PER1 [Source:Projected from Arabidopsis thaliana (AT1G48130) UniProtKB/Swiss-Prot;Acc:O04005] MPGLTIGDTVPNLELDSTHGKIRIHDYVGNGYVILFSHPGDFTPVCTTELAAMANYAKEFEKRGVKLLGISCDDVQSHKEWTKDIEAYKPGSKVTYPIMADPDRSAIKQLNMVDPDEKDGQGQLPSRTLHIVGPDKVVKLSFLYPSCTGRNMDEVVRAVDSLLTAAKHKVATPANWKPGECVVIAPGVSDDEAKKMFPQGFETADLPSKKGYLRFTKV >SECCE5Rv1G0362890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:789583950:789585410:1 gene:SECCE5Rv1G0362890 transcript:SECCE5Rv1G0362890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQNGHRDLAKKPVVVIYAPPGMAGHLVPTVELGKLLLAQGLQVTVLLGGGDTLFLDGVAAANPALAFHCLPPAALAPDAAAACSGSFEARVFELARASNPDLRDFLRSARPAALLVDFFCSAALDVGADLDVPTYFFLTTCIASVALCLYQPVIHEGTTFSFRDMGGEPVHSPGLPPIPADHLAAAVMDRESLSNKHFLELSQRMCDSRGVIVNSCRSMEPRAVDAIVSGICTPAGLPTPPLYCIGPLIKPDEETGTTKRHECLAWLDGQPEASVVFLCFGSMGRFSAEQVKQMAAGLEASGQRFLWVVRRPPPPGAERRPPADGDDDGLDLDALFPEGFLNRTKDRGLVVTSWAPQRQVLAHVAVGGFVTHCGWNSVLEAVAAGVPMLAWPLYAEQRMNRVFLVEEMRLAVPMEGYDKEIVESSEVAAKVRWLIESDGGRELRQRTRAAMRLAEEALGDGGESVAALADLTRQWKKSAGDDDS >SECCE3Rv1G0171320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:267757981:267758301:-1 gene:SECCE3Rv1G0171320 transcript:SECCE3Rv1G0171320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENPGSERTSTVRRKALLHTPSGQVVSSYATLEAKLTALGWERYYEDPALYQFHKRGCLDLISLPRDFNHFSSVHMYDVVIKNRESFRVVDA >SECCE2Rv1G0114660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:737449784:737450386:1 gene:SECCE2Rv1G0114660 transcript:SECCE2Rv1G0114660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYMLFPRSNGKSCEEEQEEDIGCPSESGVSAAGSMLSSDEELDDDATSSSSSSGSTDHFEMSSLMAQLPLKRGLSKFFDGKSQSFASLAAVGGLEDLAKPPGKRIKTSRSCEVGLKDAHRRRFARHNAAAFKKVSKGRLSALGRAPALRPLTARPKGLPVRAPLFV >SECCE2Rv1G0120670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:799919647:799920000:1 gene:SECCE2Rv1G0120670 transcript:SECCE2Rv1G0120670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKETEGLDDGGTAPPASLPAAQEASIVGQYSAMDISGGETGEEADVSYCTSRFASLRDREERLAEIAADLRLIKEKRGSRPPTYIELAAAVLLQDSVDAALEGRPTVLDSRWLLE >SECCE2Rv1G0106250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:632702776:632703765:1 gene:SECCE2Rv1G0106250 transcript:SECCE2Rv1G0106250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYILRRRLIASTLRLLPSPSHRLSTTTSTPATRAPTTPVAVLWDLAASRPPSKLPLYDSAVRLHLAASSFGRLRFSAAFLHPCHRLPDPDPSADATNLCRVCGRKFRARDALLRHFDTIHTREHAKRLERIESSRGDRRVRLASSLSLKLSKYTKAARELTAGVNPGSPADELRRARVHAELSRYPSTALRDRAQEVLDDGSVGCLMLVSGREELSPLLRLAKDKGVRSVVIGGESGLARWADTGFTWAEVIAGKARKAAPSMSGKWRDRDVLKGLEWRYEDDEEEDVVFEEDGDGDGSEELAGSANGKPWWKLESDGEDSSLCT >SECCE7Rv1G0458500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22026768:22027787:-1 gene:SECCE7Rv1G0458500 transcript:SECCE7Rv1G0458500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMRFVQLFAAVLAFCFAPANSGYWLPAYATFYGGADGSDTMGGACGYGNLYNAGYGINNAALSTVLFNNGLSCGQCYLITCDTGKSNMCKPGTSITVSATNFCPPNWALASDNGGWCNPPRVHFDMSQPSWENLAIYRAGIVPVLYQQVACQRQGGLRFTINGFNYFELVLVTNIAMSGSIKSMSVKGTNTAWIPMSQNWGANWQCLAGLTGQALSFAITSSGGQYKVFQDVIPAWWLFGQTFSTWQQFDY >SECCE7Rv1G0473260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:155543785:155566505:-1 gene:SECCE7Rv1G0473260 transcript:SECCE7Rv1G0473260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLRLLSLLRSGRLALAPADRLAGAPPSRSLHPPAAAAAAASSSSSGSESNDGNFSYFSNRWLGWKFGAILIGQAAFFLSLIEGPVFAQDDSVAPAATVSKQADATASSLRRIEDGSVISNEHTIKWRIFTDKARAFFLKGKLDEAERFFKAALHQAKEGFGLRDPHAASALNNLAECYRLRKDEKAEPLYLEAIEILEQSFGADDIRVGRAVRNLGQHYHIQNRLDQAQTCYERALKIEGRVMGPGHPDYAYTMYLLAKVLSQQRKSKDAEALVRESIRILEEAGHGESRACLQRMMFHSTELMKLKQLAEAEKLRRKILHIMELSKGWDSLGTTNAAVQLSITLATLGKLRESEELLQRCLAVRKKILSEDHIQVASIWVHLARLSLLRIISDIKVNNDLCRSHLVRGKRLVNDSIRIAEKILNPVREDQKKPSNAFAVELERIAATEILLEALEIVVLLDYGSMAIQAWAPANSVLLLRLPREHMKHGYFKIDVVRVPDKCWLFIWPAKYRISLRYTTLRIHFNKFEKFEYEHFEQALRRWVSLYNEPRTRNIVSTALRPHYMKCWRTLVGATGRAPLVNAPHMQDLLAESQQIMKELGENNMTTGSVDFGEE >SECCE6Rv1G0401770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:474019157:474021722:1 gene:SECCE6Rv1G0401770 transcript:SECCE6Rv1G0401770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDGVSSCGSINMRRIDHLLPLPLPCVGESTAASRVSPGSSPARSDASEGGAAAFYADPDPEDQPEASAGRSTQMLLAMAAMGGRGGPYGRRPASSYGSCAAWSAGSLTAHRPASPSPICSPVSSKGGDGCRDGGERRVDGDTSSFVTSQQEQEQGRLPTREDFVKCSATPRNIRLQTPRHPSLPDRRANGSNRGPPKFVHKATPARLMRRARSSHNYHGKRMGAIDAVNEWRLPKVSEEEDEAVDQKDWQDDALSSRVSSARDWNFESDSAYEGSNHDGRAFDHSEDEDCAAAVQRMERRLRGPARKHEENAVHAKLVAWKDAQIAKLIEKLNQKEAQIDKWQKNKIAQARHKLTKTERKLEKQRAEAVVKMQKAIEDAERRADKKRVKKQAATNSRIDGVKRALEEMSRTGRLPWTLAFL >SECCE7Rv1G0498450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:639997785:639999353:-1 gene:SECCE7Rv1G0498450 transcript:SECCE7Rv1G0498450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPAPNAANPPAPAAEPAAAQPRLLPDFLQSVRLKYVKLGYHHLISHGMYLLLSPLMALVAVQLSTVSPGDLADLWEQLRFNLVSVLVCSTLLVFLSTVYFLTRPRPVYLVDFACYKPEPERRCTRQTFMRCSEATGSFTDANLDFQRKILERSGLGEDTYLPPAVLRVPPNPCMDEARKEASTVMFGAIDQLLEKTGVRPKDIGILVVNCSLFNPTPSLSAMVVNHYRLRGNVVSYNLGGMGCSAGLLSIDLAKDLLQVHPGSYALVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNKRSDRRRSKYELVHTVRTHKGADDKCFGCVTQQEDEAGKVGVSLSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKKAFKAKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLGLTEWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRVGRRDRVWQIAFGSGFKCNSAVWRALRAVAPEAETGNPWADEIDRFPVDVPRVSKVGSA >SECCE5Rv1G0346940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:664779111:664783308:1 gene:SECCE5Rv1G0346940 transcript:SECCE5Rv1G0346940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSALACSVTVLILAFLPLRASDDRLVPGKPLFPGATIVSDGGDFALGFFAPSSSAPANLHLGIWYNGLPELTVVWVANRETPVTNSTAPMLSLTNTSDLVLSDGNGSVVVWTTTVAAAPNSSLSEAVLLNTGNLVVRSPNGTMLWQSFDYPTDTMLPGMKMVIKHGTLAGERLVSWKGPGDPSPGNFSYGSDLATFPQIFLWEGTRPLYRSTPWTGYRVKSEYQFQTTNTSSIIIYLAVVNDDDESYTVYTVSDGAWLTRFVLTYSGELQIRSWNASSSAWAVLGQWPPYQCDHYGYCGPNGYCDDTAVPVPTCRCLNGFEPARTEEWSSGRFSEGCRRKEALSGCSAGGDGFLALPGMKPPDGFAFVANRTLDGCAAECGRNCSCVAYAYANLTSGATSEDMTRCLVWSGELVDTGKLGASPASDTLYLRFAGLDAPAGKRIKSNAMRIILTALGSSVVIIICTFLAWLKFKASKNMKWEKHKKVTSDGSNDLEFPFVRYHEIAQATHNFSETCMIGQGGFGKVYKGTLGGQRIAVKRLSRDSQQGTIEFTNEVALIAKLQHRNLVRLLGCCGEGEEKLLIYEYLPNKSLDDTLFDNSRKQLLDWTTRFNIVKGIARGLLYLHQDSRLTIIHRDLKAGNILLDAEMKPKIADFGMARLFRDNQENANTQRVVGTYGYMAPEYAMEGVFSTKSDIYSFGVLLLEIVTGIKRSSSIPTMGFSSLIDYSWNMWKEGKTKDLADSSIMDTCSLDEVFLCIHVALLCVQENPDDRPLMSLVMTALENGSTTLPSPSFPGYLVRRNTELDQFRNNIQNSVNSLSVTEIDGR >SECCE3Rv1G0164620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:164846171:164847175:-1 gene:SECCE3Rv1G0164620 transcript:SECCE3Rv1G0164620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDTAAPQVMEELPGVLKLLSDGSVVRGDEAVLWPKDPLPDVPGVQWKDALYHAARGLSVRVYRPSSWVTTDGGPKLPVLVYFHGGGYCLGSFAQPHVHTYCLRAAAELPAVVLSVQYRLAPEHRLPAAIEDGAAFLSWLRGQAELGVGADPWLAETADFGRTFISGASAGANLAHHVTVQAASTQRDVHPMRVAGYVLISAFFGGDERTATEADPPADVSQTVEGSDMFWRMSLPVGASRDHPVANPFGPESPSLASVDLPPVLVVAPESDVLRDRVMGYAARLQEMGKAVEVAEFAGEQHGFSVLRPFGEAANELMRVLKRFVYTGATER >SECCE2Rv1G0117960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:778273964:778274316:-1 gene:SECCE2Rv1G0117960 transcript:SECCE2Rv1G0117960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPSIRQPEAEYVRLLAPAPGGKNRNEQFRKSTKALNKYQKRSSKDDLVMRATLESITRIG >SECCE2Rv1G0136880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:915052235:915053725:1 gene:SECCE2Rv1G0136880 transcript:SECCE2Rv1G0136880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGSPSLNALDPDEFAGESRAVIDFLAGYYRDVDKYPVQSEAQPGSLRRVLPDAAPENGEPVDGILEDAGQHILPGLTHFQSPNFFAYFPANASTAGFVGEMLCAGLNVAPFTWAASPLATELECVMLDWMGKLMCLPDRFLFSGGGGGVLHGSTCEAVVCTLAAARDRALSRLSHEGILKLVVYASDQSHTTFQKGARIVGIPPSNFRVIPTSAASSYGLTAESVRHAVEADLASGLVPLYLCATVGTTGVGAVDPVGELGEVARRYGMWLHVDAAYAGSALICPEFQGYIHGAELADSVSMNPHKWFLTNMDCCCLWVASPAQLISALSITSEYLNDVSCQQDVDVVDYKDWQIALARRFRAIKLWVVLRRYGAAGLRAHIRRHVEMAKWFEQAVKADERFEVVAPRRFSLVCFRLRPSPSPSPSPRYDAVNALNRKLLNAVNASGRAFMVHTVVDNKFVIRLAIGATMTQMCHVRSAFHLIQEKATQILSIN >SECCE4Rv1G0231680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:187008735:187009771:-1 gene:SECCE4Rv1G0231680 transcript:SECCE4Rv1G0231680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKLSGGGGKVDDGYEYEEEYIKNARGMKLFTCRWLPANAKPIKALVFMCHGYAVECSVTMRGTGVRLAQAGYAVYGMDYEGHGKSDGLRGYVPSFDVLLGDCDAYFTAVVAANQSPQLPRFLLGESMGGAVALLLHRARPSYWAGAVLVAPMCKITEEMKPHPAVIKVLEAVTRFVPTWKVVPTRNVIGAAYRTQAKRDEIRRNPYCYKGRPRLKTAQELLRASLRVESEVLTQVTLPFLVVHGGGDTVTDPSVSQLLCREAPSTDKTLKLYPGMWHALTSGELPENIDKVFFDIIAWLDHRSGPPAPAPERDN >SECCE7Rv1G0454360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2339403:2340278:-1 gene:SECCE7Rv1G0454360 transcript:SECCE7Rv1G0454360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAAAQGKLSPKAIDNEVISNGSTKDYLDPPPAPLVDAGELGKWSLYRAVIAEFTATLLFVYVAVATVVGHKRQTDAQACSGAGVLGIAWSFGGMIAVLVYCTAGISGGHINPAVTFGLLLARKVSLPRAFLYMVAQCVGAICGAALVRAVHGSHHYVLYGGGANELAPGYSRTAGLIAEIAGTFVLVYTVFSATDPKRIARDPHVPVLAPLLIGFAVLMAHLATIPVTGTGINPARSFGAAVVYNGGKAWDDQWIFWVGPFIGAAVAMVYHQYILRNSSIFRSNYDAAV >SECCE6Rv1G0432200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:750181214:750184497:1 gene:SECCE6Rv1G0432200 transcript:SECCE6Rv1G0432200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQMFEDPFASSMSSLEADIFSVAGGHHHPQWPGLDHDVPLAPAANNGTSSGGYGSPGGGNGSGSHRKISHNAYERDRRKQLNELYSDLRSLLPDSDHTKKLSIPITVTRVLKYIPELQKQVNGLEKKKEELTRASCKPGVLTMRENTAPIVSATCLDDREIMVQVSMVSTMAGALPMSKCIKVLENEGLRLISSSTSAFQNRTFYSLHLQRTQRTMSKECPAFCEELENALTQKAALRLHH >SECCEUnv1G0536130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:62703015:62705169:-1 gene:SECCEUnv1G0536130 transcript:SECCEUnv1G0536130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVALGGAPRARLSIYKVCWLGGNCPEAAVLAAIDDAIHDGVDVLSLSLGGVGHEFPGTLHAVQRGISVVFAGGNDGPVPQTVSNALPWVTTVAASTIDRSFPTLISLGNKEKLVGQSLHYNASVINGDFKGLVHAGSCDKESSLALSNVTGKIVLCYQPAAANSMPPRQALPIAINLTIMAGAKGLIFAQYTTNLLDFLPLCKGVMPCVVVDFEIAHRIASYWRNAVVKVSPAMTVVGKGVLSPRVASFSSRGPSLLFPSILKPDIAAPGVSILAAEGDSYAFSSGTSMACPHVSAVTALLKSVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEAVPRKLADPFDFGGGHIDPDRAVDPGLVYDVDAREYNKFFNCTLGYVDGCESYYLNLNLPSIAVPNLKDHVMLRRTVTNVGPVEATYHLVVEAPAGIDVSVEPSVINFTQSSSKRATFMVTITTRQRVQGGYTFGSLTWSDGSTHSVRIPIAVRTVIQDFVADTA >SECCE5Rv1G0327730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:496068385:496069509:1 gene:SECCE5Rv1G0327730 transcript:SECCE5Rv1G0327730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate ZIM-domain protein, Jasmonate-induced resistance to bacterial blight, Repressor of jasmonic acid signalin [Source: Projected from Oryza sativa (Os09g0439200)] MEGAGSGGKMGGGEGMAGRAGAGAAAAAKEKSGFAATCSLLSRYMKEKKGGALQGLLGLDMAPPAAVVNEGGAFQPPTTMNLLSGLEEPNAADVELPLEKSSVGQFLKATTDSQDAREDAHQLTIFYGGKVVVVDNFPSTKVKDLLQMADGAGDKAGSSSLVQQSPPQPAHDTLPDLPIARRNSLHRFLEKRKGRIVAKAPYQISSASAAPSKQANGDNSWLGLGQEVTN >SECCE1Rv1G0012370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:92144555:92146212:-1 gene:SECCE1Rv1G0012370 transcript:SECCE1Rv1G0012370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAPTLPVATSAAPLPSTTAPTATAPLPIATAPLPVTMAPTATAPLPMATAPPTTAPLAVTLPLTGPLTAGASSSSGIPNMHQLNIGHFLDFKLDFAAGNFSKWRQIFRCVLSMYDAEGHVDHFSEPLQQTVAWRHADVTILLWIYGSISDDLYDVIKSPDNTAHRAWSQLTHFFHDNQPARAIHIGAEFRSTTQGDLRVGEYCRRLKALADALADVDEPVTDRSLTLQLIRGLSRRFHVLASVLPLQVPFPTFIQARSRLLLEEISLDARDRTEGATALTISTTPGGLPPGNSTGDRGNPGSGDRARPNGEGNPGRGGSSDRARGHGRGRGRGRGRGNGNSGGRPHQQQPFPGGQTPWMGYFAPWGAPFPPPGRAPWVPPHAAGILGPRPAAPAQAYPVFYPGAPSSSYAPPPPSPAPGSWDNASMLNAAMSNMSLQQPGAADWYLDSGASSHISGNAGSAHSEANHEVQ >SECCE5Rv1G0353570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718152939:718154547:1 gene:SECCE5Rv1G0353570 transcript:SECCE5Rv1G0353570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARHVMVLPFPAQGHVTPLMELSHRLVDHGFRVTFVCTEPIHKLLLDALGRNADDGEALDGIRLVSIPDGLADGDDRRDLCKFLDGISRCVPGYVEQLIRETKVRWLVGDANMGLCFEAAKKLGVRVACVFPASAAGLGTLLRLPQLIEDGFFDDKGFPKRRGAFEIAPNMPPMYTSHMPWSIDGAAEGQEVSFRLVSRNTQATSLAEIIVCNSFLDAEAAAFELFPSIVPIGPLFADHFTIFDPRQFRELAEGLELTGRPFLWVVRPDFTTGGLSKEWFDEFTNRAAVNGRGMIVNWCPQQQVLAHRAVVCFVSHCGWNSTMEGVRNGVPILSWPYFVDQFANQSYICDIWRTGLAVTPGEDGVVTKEELAAKLGLVIGDEGIAERAGMLRDAARKSLKEDGSSYENFKRFVHLLSE >SECCE6Rv1G0399870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:444273496:444278819:-1 gene:SECCE6Rv1G0399870 transcript:SECCE6Rv1G0399870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide kinase [Source:Projected from Arabidopsis thaliana (AT5G51290) UniProtKB/Swiss-Prot;Acc:Q6USK2] MQGDGEVAEVLFLGGVGEVTVTLGHDGLSFLPLHPELGSSCWSSIGLQPKFENKIKFLDVYAVELLDEGPVCGPWNTRIVVQGKRNTEMHRFAVHVITRSRKHPSQLVPCEYIFGHKDPETCKSWVEHLSACINNEQDRPKNLMVFVHPLCGKGRGCKNWEMVAPLFDRAKVNKKVIITERAGHAYDTLASISDKELKKFDGVVAVGGDGLFNEILNGLLNSRNKTSYPPTPEGLGYFGSTEKCQGYRNDGLNNSVPTSDAGNVMLPVGSNKSDDHEPLLSTGQSVGLDISSLNPNTESSSGDEEPLVSFPNDWFRIGIIPSGSTDAIVLSTTGERDAVTSALLIILGRRISLDIAQVVRWKSSPSAEVLPTVRYAASFAGYGFYGEVIRESENYRWMGPARYDFSGTMVFLKHRSYDAKVAFLENENSHSLAASAENVADEVQPLQSRRKRPRKTICRANCSVCKETSTPGKNSEDEIPNSSQTIHDNPKWIWSEGRFLSVGAAVISCRNERAPDGLVAEAHLSDGFLHLLLIRDCPLPLYLWHLTQFTKKGSDPLTFNFVEHHKTRAFTFISSHDESVWNLDGELFQACEVSVQACRGLVNLFASGPEV >SECCE6Rv1G0450460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:867904779:867906343:-1 gene:SECCE6Rv1G0450460 transcript:SECCE6Rv1G0450460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPTVFLLLLVFLALDDVEARGTPRTHGSNHQWSSMFVFGDDFVDNGNVPNIIGEKTSRQWSYPYGSYRNSSWSGAPVPTGRFSNYRMQSDFIARMLGLAEAPPAYELTSDQSCDSSGMTFAVGGAGVFKVTSTPRKVPTLAAQVQAFKRLVNDDVISTRQLHHSVALIAISGNDYRSGSDANNGFYSSFDDLDTYVGNVATEILDNVAQLQTLGVRKVLVNNLHPIGCMPSQTSSNNYTTCDILGNYGASVHNKYLEQMIGERDNVHILDLYSAFTDIVNHAPGGGSDRSEDFKLKLTPCCESSYEGGYCGERSSAGKHLFDLCQNPDKRFYWDETHPTHAGWEAVMEALEQPLMEFLDQHYVP >SECCE7Rv1G0480940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:276889040:276891061:1 gene:SECCE7Rv1G0480940 transcript:SECCE7Rv1G0480940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIAAQAAVLGAILLLAGLAAAQQTPRAPAAAAAPAPDPGCNGILLTYSLQGREKIRPFVPDRNKQPYSFKANASVLNGGTRPLRSWAMLVTFGHDEILVGVGGAVLTGGAELPYNTTENAGNATSFSGYPQTDLLTPIATAGDITQIQASVGLVGTLFAGPRGLVPEPLPTALSLDDPDYDCPAATNVTATILTTCCLLTPKAEANATVIDANATDPTKSFLPRRTGDLVITYDVVQAYPTSYLALVTIENNAKLGRLDNWRLSWEWRRGEFIYSMKGAHPLEVDVNDCIYGAPGQYYQSLDFSQVLNCEKKPVILDLPLTRYNDTQMGKIEHCCRNGTILPKSMDAAQSKSAFQMQVFKMPPDTNRTKLFPPANFKISGGSSLNPDYACGQPVPVSPTGFPNPSGLDSTTLAVATWQVVCNITTAKGAKPKCCVTFSAHYNDSVIPCNTCACGCPVNRRGPTCSTTAPSMILPPEALLVPFDNRTQKAQAWAQLKHYNVPRPMPCGDFCGVSINWHVSTDFNKGWSARVTLFNWGDVDMANWFAAMVMDKAYDGFEKAYSFNATAEGNNTIFMQGLEGLNYLVKQTNMSGSDYLVPGKQQSVISFTKKLTPDIDVVAGDGFPTKVFFNGDECAMPQRFPIKSGGFRIHLSSALALVLLMAASALLLLQQ >SECCE4Rv1G0230780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:173358201:173360714:1 gene:SECCE4Rv1G0230780 transcript:SECCE4Rv1G0230780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSMRSFVLVLLITVSGLSLLAPCPPAVAAARESLARGASIAVEDYATDLLRSPDGTFACGFYRVSSTVFTFSVWFTRAKERSVVWTADRARPVHSKGSRLTLDKRGGALVLTDYDGEPVWNSTVAGAPTASRARLRDTGNLVVEDADGKALWQSFHFPTDTLLPTQRLTATTRLVSSRDGRLLSSGYYSLGFSDYAMLSLFYDNGNFSSIYWPNPYNNYVVNNRRIYNFTREAAMDALGMFLSSDNANFETADLGVAGVRRRLTLDADGNLRAYSLDAATGAWAVSWMAFRNPCTIHGLCGANAVCLYAPAPSCACAPGHERTDPGDWTRGCRPTFRQHECGKPTRTPTKLMALPHSDFWGYDLNDGEIMPLAACARRCRTNCACVAFQHKANMECYLKSVLFNGRTFPGLPGTVYIKVPVDFVVPEFHVHQWQAHVHGGLGILEENITGCGDGAAQEVLLNASALSRKHVGDAAGKPVWPYLYGFLSALLVVEAVVIGLGCLLFSRKGLFTRSSSPVYPMDEGYRLILLTTSFQRYSYAAIKKATGSFADEIGRGGSGVVYRGVLDDGRVVAVKALTTSVSRSHGEEEFQAELSVIGRIYHMNLVRIIGCCSQGKHRILVSEFIENGSLATMLFSDEDGGDGDVLGWSQRFRIAVGVARGLAYLHSECLEWIIHCDMKPENILLDRDLEPKITDFGLAKLLDRRPEGSASREANPSRRIRGTRGYMAPEWVSSLAISDKVDVYSFGVVLLELVKGVRVADGDQNTDVRAVAKTVAGKVHSGSVEDLVDDRLAGDFNRGQVKVVVGVALSCLEEERSRRPSMSAVVQALVSVEDA >SECCE7Rv1G0465800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:73988481:73990394:1 gene:SECCE7Rv1G0465800 transcript:SECCE7Rv1G0465800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSVLPSHHDDAEKGGGKPPQSRLCFLATLCVMFWVLIFYFHFSVLAPDADAQPVAVATQARIARADLPDRVSSVPALASEPPPATLPTEEKEPVALPKEEEPVSAVVHQEEPPAAVSTVAGQDEAPATVSTVVAQEEAPAAVSAVVAQEETPPKEYPFQRALKTAENTSDPCGGRYIYVHELPPRFNEDMLRECQSLSVWTNMCKFMSNDGLGPPLSNDDGVFSNDGWYATNQFAVDVIFGNRMKQYECLTKDSSIAAAVFVPFYAGFDVSRYLWGYNITMRDAAPRDLVDWLRRRPEWNVMGGRDHFLVGGRIAWDFRRLTDEESDWGNKLLFMPAAKNMSMLVVESSPWNANDFAVPYPTYFHPAKDEDVFLWQDRMRSLERPWLFSFAGAPRPGDPMSIRGQLIDQCRTSSFCKLLECDLGESKCHSPSAIMKMFQGSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTSYSVFIPEGGVRNGNVSIEEILRSIHPDVVKQMREEVINLIPKVIYADPRSKLETLKDAFDVSVSAIINKVTQLRRDIISDSEDKDFIEENSWKYELLEEGQRTIGPHEWDPFFSKPKPKDNGADSGNSSAEAAKNSWKIERGDQN >SECCE3Rv1G0186260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:682660354:682662015:-1 gene:SECCE3Rv1G0186260 transcript:SECCE3Rv1G0186260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQESLVYAGVMRGHNDVVTAIATPIDNSPFIVSSSRDKSLLVWDLTNPIQATQDSSSEYGVPFRRLTGHGHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGVTTRRFVGHDKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKYTIGGDLGGSEGHTGWVSCVRFSPNNFAPTIVSGSWDRSVKVWNLTNCKLRCTLDGHGGYVSAVAVSPDGSLCASGGKDGVTLLWDLTEGKRLYSLDAGSIINSLCFSPNRYWLCAATQDSIKIWDLESKHIVQDLRPEVPVSSKQMLYCTCLSWSADGSTLYAGYTDGTIRIYKISGFSYSS >SECCE3Rv1G0193290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:768489741:768492552:-1 gene:SECCE3Rv1G0193290 transcript:SECCE3Rv1G0193290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGDKKAMRSDSDQIIHLDYRDFGRWKVEKFARYVDDLLLARRNVDLHTFRLHWDPHVPLNCNDVRKWIRYAVNHKVKVLDVELRMYDKTNLPPGIFTCRSLQELNLQWGGAPFRDYEHKGLVLPDIIKLHSLKKLTLRDVEVHELPLESFFSRSPGLEDLHLIDSAVCLGHIKSKALKRLTIDGSMYGPDRMTISAPHLISFECTGFPLEDISWGNQPSLESAHIDTCGRTFGAESRFTGVLVHAKELALFGRDIKVMLENELPTCSVFENLVTLEIGKWHLTKDFYVLLRFLQLSPRLEELTLMHRQLDEAAETEGMPTDGMTFQCPFLKSVIIQYSEGDDGIDKLVDVLVANGVSSDKISVTSYEDIKKRAFAENARAEEERPKQKRAKKNPDWEDDDSDEQSNPDDPSGDEYDPDDF >SECCE2Rv1G0142030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:938042674:938043827:1 gene:SECCE2Rv1G0142030 transcript:SECCE2Rv1G0142030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMEQHHEEESCVPPGFRFHPTEEELVGYYLPRKVAAQKIDLDIIQEVDLYRIEPWDLQERCGGGRGGRGARQVAAEDEQSSEWYFFSFKDRKYPSGTRTNRATAAGFWKATGRDKPVTSSRSRGVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQTSEHAPTQEEGWVVCRAFQKPAPNQRPSYIFPPPYAGAPGLGGYYDARPWLHGQGGDLHYLQTGADVLGFPGQGIQYSDELLESKQSLFNNIPQLIESPPTTTLQQQGLGQAAAGIDWNFLDSLLSTSQLHEYSATAASQLHLQQ >SECCE2Rv1G0064160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:1869104:1870840:1 gene:SECCE2Rv1G0064160 transcript:SECCE2Rv1G0064160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGAAAVLCTPAFAGRVLRSRWYVVFASMVVMAASGSTYIFALYSKELRSVLGYNQQTLNTLGFFKDLGTNVGVVSGLVQQVAPTWAVLLIGAGMNLAGYLMVYLALTERTAAPPVWLMCIYMCVGANALTFSNTGALVACVKNFPESRGIVIGLLKGFVGLSGAIYTQLYLAIYGDDAKSLVLLIAWLPAAVYIFFVHTIRVLPYRRRAEGDQPNSKPFFCFLYISIALATYLLVMIVVQKQVPSFSHAAYAVGATVLLLILFLPLGVVIKEEYTAVSQLEESLQHPPSIAVEEPAAPSATKEDDGDEPKCGMKGCLTNMFKPPALGEDYTIMQALVSVEMLVLFVVSVFGIGGTLTAIDNMAQIGQSLGYPHKSINTFVSLISIWNYAGRVGAGYMSEFFVARYRFPRPLALTAVLLFSCVGHLLIAFGVPQSLYAASVILGFCFGAQWPLLFSIISEVFGLKYYSTLFNFGSAASPIGAYVLNVRIAGRMYDAEAAKQHGGHAAAAVGDKICKGVMCFKHAFLIITGVTLAGALVSLVLVWRTRNFYKGDIYAKFKVAPVVAAADDGGHCRQ >SECCE4Rv1G0276720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:799081289:799082371:1 gene:SECCE4Rv1G0276720 transcript:SECCE4Rv1G0276720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIYMCVQEEAPIDEPNKPTHGSMASSPSDKDMAELVIPSLPLETRCPPFPLRQFGGFWMPEAFLPGMAAARAGFEPRPSDVVLASFPKSGTTWLKALAFATLNRADHPPRSLDHPLRRSNPHDCVDFLELVFLRSPADDALAVLPSPRVIATHMPCSLLPERVTAEPDDPGCKIVYICRDPKDALVSMWLFTKKKLAADAKEHGNPPKQYTLEEALELFCDGRCIGGPQWHHVVGYWETSRARPKKVLFLRYEDMLRDPVGNVRKLAEFTGCAFSEKEEAAGVAQDIVELCSIDALKNMEVNKNGSQEHISNESFFRKGVAGDWANHMTPAMAARLDKIVEDALRGSGFAFGTTSDST >SECCE7Rv1G0505120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:730872545:730874326:-1 gene:SECCE7Rv1G0505120 transcript:SECCE7Rv1G0505120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNVAMRVLLLLVLVSAAYAKDKESADGAAASGPGGEYDIAKLGAKPDGKTDCTKEVEEAWASACGGTGKQTIVIPKGDFLTGALNFTGPCKGDGVTIKLEGNLLASNDLAKFKSNWIEIMRVKNLVITGKGNIDGQGKAVWTKNSCQKNYNCKILPNSLVLDFCDDALIEGISIINSKFFHMNIYECKGVTVKDVKVSAPGDSPNTDGIHMGDSSNVSIIDTTIGVGDDCISIGPGTKQVNISGVTCGPGHGISIGSLGRYKDEKDVTDITVKNCVLKGSTNGLRIKSYEDAKSPLIASKITYENIKMDDSGYPIIIDQKYCPNKLCTSKGDSARVTVKDVTFKNITGTSSSPEAVSLLCSDKKPCEGITMSDVKIEYSGTNNKTMAVCTHAKVTATGVDKANTCAA >SECCE7Rv1G0503290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:709614690:709614956:-1 gene:SECCE7Rv1G0503290 transcript:SECCE7Rv1G0503290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLRARAPAGALLLLACLVLATAAAVSGARPLAVREEGGTARAVTVESPAGDAIRTVVRAVERRLMFDIGMLRGIKNSGPSPGDGH >SECCE6Rv1G0407970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:566937263:566943891:1 gene:SECCE6Rv1G0407970 transcript:SECCE6Rv1G0407970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYHDDHVEDMEDDYDMDDPAEDMVDAHHERGLRDSDSEDEDYGHSNDKIPDTSAADARKGKDIQGIPWERLAITRENYRQTRLEQYKNYENIPNSGEAAAKECKPTVKGGMYYEFRQNTRSVKSTILHFQLRNLVWATSKHDVYLMSHYSVLHWSALSGVDTELMNVQGHVAPREKHPGSLLEGFSQTQVSTLAVKDNLLVAGGFQGELICKHLDREGISFCCRTTYDDNAITNAVEIFNTSSGAVHFMASNNDSGVRDYDMERFQLCKHFQFDWPVNHTSLSPDGKLVVIVGDDPNGLLIDANSGKTLHCMKGHHDFSFASAWSPDGRTFATGNQDKTCRIWDARNLSKAVHVLKGNLGAIRSIRFTSDGQFLSMAEPADFVHIFDVKSDYNRRQELDFFGEISGMSFSPDTDALFVGVWDRTYGSLLQFGRLYNNWYHDSLL >SECCE4Rv1G0294160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:889311395:889314730:1 gene:SECCE4Rv1G0294160 transcript:SECCE4Rv1G0294160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVMVSASTGAVNSLLGKVTAIMGEEFTKLKNLRKEVKFIRDELGSMKDALERLAYVDDLDPQTKSWKNTLRELSYDIEDIIDDFMQNIGGKDKNSGFVRKTIRRLKTLRARNQISGQIGEIKKRVLEASDRNKRYALDKIIPPSSNVVSIDPRVKALYEKAANLVGMEGPKNELVDWLIDEEKQLKVVPIVGFGGLGKTTLANEVYRRLKGEFDIGAFVPVSQKPNIPHLLRSLLSQLGVEPSIHARESHLIDKLREVLKSKRYLIIIDDLWDVTSWENIKCAFTENDLGSRVIVTTRSKRVAMACCPPSRDHICRDHILQMKSLSNEDSRRLFFGRIFGSEDACPHQLRDVSFEILKKCDGLPLAIISIAGLLASEGPKKEEWEHVRKYLGSMHGTKLTLDGMREILNLSYKDLPCHLKTCLLYLGMYPEDYTIKRSDLECQWMAEGFVSKENGQDMEKIARNYFNELVNRSLVQPVRFDGSGSVTQCKVHDMMLDLILSKAVFEENFLTVVDGSQDNTTQKYKVRRLSIRLNGAANATLLLGKISLSQVRSVMIFGWSNIIPPLSNFKFLRVLFVEDYRTTDLTGMSELYQLRYIQIDGTRDYVYLPTQIGGLQQLETFDIRRCASVPSNVVHLPRLLHLRIEHDSGLPDGIGKMKYLRSLDGFNLSVNSLDNFIGLGELTNIRDLTLTGNMVRHKDVLCSSLRKLCSLEFLYICTEGCMDGLSPPCSLQILATSWLPWGCWFSRVPNWMRELHNLRVLRFKVDELLTEDVDIVGELPSLTDLLFYVRRPRKEMIAINGTGAFPVLKRFGLTISSPSCLTFQSGAMPMLQGLYLTFGVKGWKQNGARPAGIEHLPALQEVSAEILYNGTTESEKCSAESAFRNAVNTHPNNPRIVSLLL >SECCE4Rv1G0296140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:901633051:901634951:1 gene:SECCE4Rv1G0296140 transcript:SECCE4Rv1G0296140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTATDMAASADEEACMFALQLGSSSILPMTLKNAIELGLLDTLVAADGQLLSPAEVAAKLTSTANPAAPDMVDRMLRLLASYKVVSCTVEEDKDGRLSRRYGAAPVCKFLTPNEEGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMSAFEYHGTDLRFNRVFNEGMKNHSIIITKKLLELYKGFNGIGTLVDVGGGIGATVGAITAHYPTIKGINFDLPHVISEAPPFPGVTHVGGDMFQKIPSGDTIFMKWILHDWSDEHCATLLKNCYDALPTHGKVVLVECILPVNPEATPEAQGGFHLDMIMLAHNPGGRERYEREYEALAKGAGFGSIKTTYVYANTWVIEFTK >SECCE4Rv1G0244890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:522214496:522217781:-1 gene:SECCE4Rv1G0244890 transcript:SECCE4Rv1G0244890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRIARISVTWRGRQLDVDADPSCTVKEFGQLLQDLTNVKPETLKLIVPQSANKGSKLISPFSDPHSSLTLKEAAVSEGKPIRMMGVFEDEIEEVSDNGKRPDMRIIGFEEEEQRLRQRSSGRPRVSLKLPQGQYIFCDFRTLHLPGVELNPPPSEALKRMHMLACDPGIIAIMNKHRWRVGIMTEMAPVGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVHSEHDAHFFALNKQLNEEAAALDWTKSSGNVLSGRKIFDSYEDEFDLESEAHVVGHKLGGGSSSLASARVLPGVAAYQRLLSASSADLGSSHSSVTKSVERYNVQGTQSEPDPDDDGQDFIQENVKAEPDPDDNDTMPIDVTTMTSGSAGFVASTEQNTIGYSEPVPDYVAKKSFVGCLEPDPDDSADVDMLNKEINGEHDNEPDPDDGTSEFVLESGNKMEVEMELRTDYTVLKSEPDPDDSSSSIQNQKASIDGKHMGEPDPDASSCGAVLTYGNKIQSETGQSRNHSVLESEPDPDDHAANLKSDELQRIEEPVAALCSRLQKAIEMLRSQATPSEASSALQTLFKIIKNVIENPNDIRYRRLRKTNPHFQRSVANYKAAMEVLELIGFCEDVVSDEIGRAETYLVLKRNDPGLLWLAKSSLEVSMA >SECCE6Rv1G0416580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649328036:649329349:-1 gene:SECCE6Rv1G0416580 transcript:SECCE6Rv1G0416580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPASTPNWSSLLPELLGQLIARLPYIADRARFRAVCRSWRLAVSLHVSPLRRLPWVVLLDGTFLTLSDGGIHRAPFGKNTECVGSTGDWIALDSKDEVTHTHTYRLHNHFSGATVPLPELDSVIGKVPEEFQIRKVVMRSTPQDIVAVTCNTWKYPLILCRPGKGVWVPKLLAMPYFCICEILFSGDNMLYVITRAEDLFALHLAEDGDGKPIVTNVKRIIRHAPGHDDDMYDDGMWKRLSDIDSSSDEDSEEDSDDEALCEEDNNQVLSQEDAHNELVGDDSDTDDDRKHLAFMEDDTFSECEDGVREGWDAVHTSRHLVRSQGKLLMIKRERLIAALTPTHHTRKVEVFEADMDACAWIPTSSRLGDGQAIFISYRFSNIVPACGEVEEDVVYFPDTNDVFDIRSKIIGPLMHINPLHDRWRATWVFPPNLVV >SECCE3Rv1G0182150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:622981572:622981955:1 gene:SECCE3Rv1G0182150 transcript:SECCE3Rv1G0182150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAMESAMAPLLMLAVLAVAATTAGAVDGDVKCAECGTGTVPVVPPPPPYYYYSPPPPASYPGVSNCPPPPGGYIQIGGSAPGKGRMYPQDPGFMPSSAPPTHGSRAVHFTVCSLAALAILRALW >SECCE3Rv1G0167870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:209619390:209620445:1 gene:SECCE3Rv1G0167870 transcript:SECCE3Rv1G0167870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPMEEEFFMAVKRTEHVEVTSRSVAAPAKAKEGFGGVVEQPKMVRVFCDDFDATDSSSDEEEGERRRVKRYVQEIRLQPAVQVKVEESAPVAKAASATATTRPRVMVPVRKRKAEAGGERRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFDTAEEAAKCYDSAAIKLRGPDATVNFQQSEDGEDMEVPAEVAERLPQPPAGSKNSSSATSYDSCEESHVAAASPTSVLRSFPQSTMAAAVDDNASKKPSSSSSTAETAESSGVFGCSFSGDDFAGELAPLYTDFDFLSDFAEPPMDFLSNLPEAAFAFSSDCSSSAYNPCPPSPAALQQADEFFDDITDLFQIDPLPVI >SECCE5Rv1G0338510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:598721165:598721593:1 gene:SECCE5Rv1G0338510 transcript:SECCE5Rv1G0338510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSSSATLLAVLVVLVLLGMGCPAAATTACQDCAAQCNSTCSAANFAGAGPCGAECNPAPGCPSCLAAYHSKCLPYCLSACKANSPPGYDCESSCNKTNLCSGSCSAVCDESSTCTACKKNYSRGCTSCCTSYCKCHCV >SECCE1Rv1G0048210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:633120905:633121315:1 gene:SECCE1Rv1G0048210 transcript:SECCE1Rv1G0048210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKNNTRVLCLAALLLMISTSFLSCDASYEITDGGSLGKFCVDWDGDCNGSQCQIYCRDAGYVLEKGKCEPIKGGPNDMCCCYK >SECCE2Rv1G0125840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:843648360:843649719:-1 gene:SECCE2Rv1G0125840 transcript:SECCE2Rv1G0125840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPASMPADLVELIGQRVLAGDMLDYVRFRAVCPHWRSSTISPRGRGITDPRFHPRRWMMLPDGHGLHLRDGRKRFLNLDTGVFVRPRVPLLEDHSILCPVDGLLLLQRQSGDQDNNICLLHPFTGDVARFPPITCHTITLGSNPSGMHYYLLPGSVTASLSISAKGVPMMMMVLSCTSRVLFATTKDKQWSFSSWSFSTDETYILSLYETSISSQGKLYMVRNFVYTGEVQILRIDPPHEEMLGLPSLKIIPVCTSRIRNPFGLVEYDSEILLVAFMGVYRIADLILGRIIPLTSIGDNALLMGFEKSQGVIGWSLSVSVSIKAMPTIKGDTIIRNHPPSGKALGEYHLNRSSLLPRVERCVKYDGHGFCTCSTVHLINHMYGVCHCAVNRNLGQMGRKRRTVGDYVVPR >SECCE2Rv1G0133930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898848927:898851975:-1 gene:SECCE2Rv1G0133930 transcript:SECCE2Rv1G0133930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSSASACSGERWPPLESNPEVFNQFMWSLGVPPGEAEFHDVYGLDADALAMVPQPVLAVVFCFPDPPEESLDEEVYFIKQIDSLGNACGTIALLHAVGNACSENSLVENSGLDLFFKSTASMDPYERARVLEKDDDMARAHSLAASAGDTEIGDIVEEHYVCFTALNGILYELDGMKGGPIKHGPSSPESLLQDAVNVIKAMMQRIPDSVNFNVMVLSRKLK >SECCE4Rv1G0291470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876625462:876626072:1 gene:SECCE4Rv1G0291470 transcript:SECCE4Rv1G0291470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELGRYDRRKSTDRSVRLPHPGDNLDTLNAFFSTLGLSQTDMIALSGGHTLGAADCGFFKHRTGGNDQSMNPSFDAQLQGTCAKQNFADDMTPIGFDNFYYRNLQTGRGLLGSDQVLYTDERSHGTVDFYAANQGTFFSDFVVAMTKLGRVGVKTAADGEIRRDCRYPN >SECCE4Rv1G0244720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:519765144:519772117:-1 gene:SECCE4Rv1G0244720 transcript:SECCE4Rv1G0244720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRVPGRGGGGGRGGETAALLCGLLLLLGAADAQGIRRPSAYKTLRGDAPLVIAKGGFSGVFPDSSNDAFSFALLASAPDTSLWCDVQLTKDGVGICLRDINMSNCTDAAQVFPAKKKKETKYVIDGVPKTGYFSLDFTLSEIQTALSLTQGIYSRTERFDTMYSILSVTDFQSNVKPPSVWLNVQRDIFYSQHGLNMRSYIVSILKSVPVKYISSPELGFLKSISKRVRGRTKLVFRFPDNFLSDPSTNQTYSSLSKNLTFIKTIASGIMVPKTYIWPVTNDNYLRPPTSFVGDAHSAGLEIYASDFANDRIIPYNYSYDPLQEYLSFVSDGGFSVDGVLTDYPITASEAIGCFVDLNASKADHGKPLIISHNGASGDYPDCTDLAYQNAIKDGADVIDCALQLTKDGVLICMSSINLLDTTNVQMTPFSSRTSVIPEIRGTPGIFTFNLTWNEISDSSLKPKISSPLSTYYLVRNPRYTNNGKFVKFSDFLEHGKDQGLSGIMIIIENAAFMAKSLGFDVLESLSTALNDAGYNNQTTKEVMIQSTDSAVLVKLKQQKAKYKLVYTLPPGIGDASVSSLADVKEFAEAVVVDRSSFFAQSVHFIINQTSLLTDLQSAGLTVYAQVFRNEFVSQPWDFFGDETVEINSYAQSLKVDGLITDFPKTVRRYKRNSCTGLGNDVPSYMGNVTVGGLAQLLKNQAALQAQPPTVAPMPKLDAAGVQQPPFPPVAPKNAPFGAAPPPGSSPSAAHAAAVSTCILLVVACATLLL >SECCE2Rv1G0085680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:195545978:195549468:1 gene:SECCE2Rv1G0085680 transcript:SECCE2Rv1G0085680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSGKLFVGGISWETDEDRLRDYFGRFGEVTEAVIMRDRNTGRARGFGFIVFAEAGVAERVTMDKHMIDGRMVEAKKAVPRDDQSIASKNNGSSIGSPGPVRTRKIFVGGLASNVTEVEFRRYFEQFGMITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPVARSPAGGQNLAISRVHNFLNGFNQGYNPNPIGGYGMRVDGRFGLLSGARNGFSSFGPSYGMGMNVETGMNANFGANSSFLNNSNGRQMGSYYNGGSNRLGSPIGYVGLNDDSGSLLSSMGRNVWGNGNVNYQNSPTNMSSFVPSGSGSQVGITGDGINWGGPTSAHGMGSISSLGSNIGRGTGDNFGLPSGGYGRSNPTGTIGEPFSASANAYEMNNIDTYGNNSIYGDSTWRFTSSEIDIPPFDNDLGNIDPDIKSNMPASYMGNYTVNNNQTSRGITS >SECCE1Rv1G0006480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:34265953:34271004:-1 gene:SECCE1Rv1G0006480 transcript:SECCE1Rv1G0006480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPFNVWCGGCSSMIGKGVRFNAEKKQVGNYYSTKIWSFSMKSPCCQHEIVIHTDPKNTEYVIVSGAQRKTEDFDVEDAETLLLPADEERDKLADPMYKLEHQGEDIRKKKEEEPVLVRLQRLSDSRHSDDYSLNRTLRDRLRSQKKRVAEEKKSARKIGLGVRLLPPSAEDAAAAARVRFASNFEKNRKDKRAAIKAASIFPEPSGSASKDKLDLALKRRNIKASAASALMAGRAKPSSWSRQSAGSGSARTAVPILARRK >SECCE4Rv1G0251710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:607434697:607437209:1 gene:SECCE4Rv1G0251710 transcript:SECCE4Rv1G0251710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATGEAALPRHPASAAAEQERPAPPYLPRLVAGVLSGVLTGLFAVAGGLTGAVTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWFADRSTPQSTSSMGDFVDQLLHARFVGEQYEPSVYMSYRWQVGIAEFGNDDPYDITGEVSSDGLSQDNLKKLPYHVVIDQKQDSAGENLSCPICLQDIVTGEMARRLPKCCHTFHQPCVDKWLIGHGSCPVCRQDV >SECCE4Rv1G0295550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898784904:898786694:-1 gene:SECCE4Rv1G0295550 transcript:SECCE4Rv1G0295550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSLEHPWAFAFGLLGNVISFMTYLAPLPTFYRIYRSKSTQGFQSVPYVVALFSAMLWIYYALLKSDECLLITINSAGCVIETIYIIAYLTYAPKQAKLFTAKILLLLNVGVFGLILLLTLLLSEGEKRVVMLGWVCVGFSVSVFVAPLSVIRLVVRTRSVEFMPFSLSLSLTISAVVWFLYGLLIKDKYVALPNILGFAFGVIQMGLYALYRNTTPTPAPKQVDDDDALKVPEHVVNVAKLGPAAAIELNTNNPIEPGMPPLMKENGLACASDVTKGGIDKVDKATHIEQV >SECCE6Rv1G0406920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:552558554:552559947:1 gene:SECCE6Rv1G0406920 transcript:SECCE6Rv1G0406920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDHKAAGAAVGGKAARACDGCMRRRARWYCAADDAFLCQACDTSVHSANPLARRHERLRLRATSPLPAEGVAPVKGPAATTSKCLDVAPAWPKRKARTRRPPLKSVGQLLSRRLVVPEVGAGESMSDERRVPEEEQLLYRVPVFDPALGEFCSPPPIDDAVAATSGHREDAEAAVDDGKELMAEQSPVQELPDCFASFGPTDADLREFAADMEALLGQGLDDGNELEDSFYMEALGLISPPAEHGGRVKVEADGGLVSRSNGVLASGHDLKRELNGSPPTLVDDDDSFEHKTSSASNGDAVDDPQFLKRSLDLRLNYEAVMESWDSSPWTDGRRPSGQLEDLLLHDHAGMWTAGGGGRQGEAAWPPRPRTDEWREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRTGASAAAGAPCAVT >SECCE7Rv1G0513710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:825137491:825138894:-1 gene:SECCE7Rv1G0513710 transcript:SECCE7Rv1G0513710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFPCLSARKKEVPRSAPANPHTSSVPGAVARTFSFKELAAVTRNFNDACRIVGREDGLYKGFLKSINQVVAIKLQHVVDPSGSGEQDNREFLAYALTMSGLRHPNIVNFIGFCADGHHRILVHEYMPFGSLEDHLHGSSPGKAPLDWNTRMNIAAGVARGLEYMHDKGVLYRCVRSSNILLGDGYHPKLSQYGLAEHDQLYADAAITRGTWSTLAPETGMTGKLFPGSSVYGFGVVLLEMITGRRATDPPKDAIEDRHLVTWARTMLKDRSQFRRMADPALQGRYPPLDLQEALKVASLCIHDDHTMRAPIGTVVTALSRLAYDVDPPEPSNHAAPN >SECCE5Rv1G0325110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:460918696:460919754:-1 gene:SECCE5Rv1G0325110 transcript:SECCE5Rv1G0325110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKGKVDGDGASRQRTISWDDEQTKFMLNWYIDYRKEQHAGFIFKKQHHMKCADALNKEFAMGVTLDQVDRHYRHYKENWKIVETALNKSGNGFDKIKCKVTISESEKEQLSDRARRLLSKPIKFFHEMQELFSGMNADGSLAMDPETGLDDGSDPSDSESEELNDMSMYPQSIDLEGNDSDTIPAPPSSKVAASGGIGSSKKHQAGKKRPRDVKSPTKKMMKQPKSRFVDATEHITATMKAIQQTLANPPPAPQLPQLIDPSVALWQRIEAINISAEDKILIGEYLASPTNEGMRSFLSYSSDKTLETWVYKFICKHEDRLQ >SECCE3Rv1G0145570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:7522732:7523088:1 gene:SECCE3Rv1G0145570 transcript:SECCE3Rv1G0145570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHATPATAAAPLDLSLTLAPMSTKDVLPSGSCRRGGGSARSRLFSCLFCERKFLKSQALGGHQNAHRKKRVVGTWNAHLYLPDHPRAPRAVTTTAYLEDDDEDDIKHRKLDLTPKL >SECCE5Rv1G0310850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:179874488:179883949:-1 gene:SECCE5Rv1G0310850 transcript:SECCE5Rv1G0310850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGAESAAAGAMASEAYLAGDAVREARELVAELCRHFYLQGWVTGTGGSITVKANDPAVPLAQQLIVMSPSGVQKERMVAEDMYVMSAEGKVISAPVAKPWPHKHPKCSDCAPLFMKSYLMRGAGAVIHSHGMETCIATMINPGAKEFRMTHMEMIKGIKGHGYTDELVIPIIENTPYEYELTDSLAEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLFDAAIKMYQLGIDWTTPEHGPINSAKRLRSVASPGVPNGCQTAKSSKNCVVLDIEGTTTPISFVTDVMFPYARDNVRKHLTSTYDSEETKEDIKLLRIQIEEDLRTGVVGAVPVAPDDAGKEEVISSLVANVEAMIKADRKITSLKQLQGHIWRTGFERKELQGVVFEDVPEALKNWQSQGMKVYIYSSGSREAQRLLFGHTSYGDLRQFLCGFFDTTTGNKREARSYFEISQSLGVDNPSQILFITDVLQEAIAAKSAGFEVIISIRPGNAPLPANHGFRTISSFSEI >SECCE4Rv1G0218290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:21384356:21386731:1 gene:SECCE4Rv1G0218290 transcript:SECCE4Rv1G0218290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVRTKRPRRPPPAAATAHLASSSRPKLEKAQVPLTLPLKSFKLRLANGPPLAPTPKAFKSYAETCATLLRLCRAAATATATSHAARASSSPSSSRLPLALSLHAHAVRSGVAADRSVASHLLTTYAAFARAAERDRAFGDCVAADAASSFTYDFMVSEHVKAGDIAAARRLFDGMPERSVVSYTTMVDALMKRGRVAEAVELYEQCPSGSVAFFTATISGFVRNELHHNALGVFRKMVSCSVRPNGITFVCMIKACVGAGEFGLALSIVGLAIKSNFFESSIEVQNSLITLYLRMGDAAAARKVFDGMDVKDVVSWTALLDVYSESGDLDGARRVLDAMPERNEVSWGTLIARHEQRGNAAEAVKLYSEMLADGCRPNISCFSSVLSACASLEDLPGGARIHARSLKMGSSTNVFVSSSLIDMYCKGNKCRDAQTIFDTLPQKNIVCWNSLISGYSYNGKMVEAMYLFKKMPARNLASWNTIISGYAQNRQFVDALKSFNAMLASGQVPGEITFSSVLLACANLCSLVTGKMAHAKTIKLGIEESIFIGTALSDMYAKSGDLQSSKRMFYQMPERNDVTWTAMIQGLAENGFAEESILLFEDMMATGMTPNEHTFLALLFACSHGGLVEQAIHYFEKMQAWGISPTEKHYTCMVDVLARAGRLAEAEALLMKTPSKSEANSWAALLSACNTYRNEEIGERAAKRLHELGKDNTAGYVLLSNMYASCGRWKDAARIRVLMKGTTLKKDGGCSWVQVRGQYHAFFSWEAKHPLSMEIDEILDLLMWESSL >SECCE2Rv1G0066870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:16341988:16345198:-1 gene:SECCE2Rv1G0066870 transcript:SECCE2Rv1G0066870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDLAHKIVSSLRRSERCKNTRVIYNDDYEEDSEEVAPPSPSELSIVSVDDNSSEQDFHSVSLKDRRTQFKVKNKKAPKTISEQSATKDQSQCGGLGVERSNEEVDLDEPLIALKQKKGKITPSKAKRKTDASSSSHATKLDTSTKRDEIGPVQTSLFESTLHDSVTQKLERRAVDQEHSRIAIEHTCDHLSVVLHQFPIEDNECGQQPGFITQPTEPDVSDAKAHLHDSVEQKKTDNHFSSLGPIDEVSNHQKSLDDISKYTDMRCTSVAMQPDSSGSTDNICTSHEEVVQMPVEGQLDSLVCHGVKTKDILLHMNVEQAATGYNFAFDKTLDLVHTANFDTQDGRLENIVYGALNNNNAQRKCFETKTSVGVPDTVVTLSSPTTANVSHDGRLLLANMEGSSKDMNQLSGAMNVGICRSVNDQESREAYVVQQKLLQACGNMAETGRAISDSSSNPEETQKKSDGQTREPDFFIDEGSLSDHTPIKLLSQRKIMSPTSQEKFCNALAGIDLCGVQRLERKINLEDCDASSQALPKSTNKQDRPMLTTDRKLKSRTSISFTGKGVLKSTESPSLQLTSSSVLLDTEKAVEFSQRQMHDIESIAANLIGSLKHMRSLVDKNLSSEAHSLLPNFNTAEMRAASEDALKVERTTRKWLSIMNKDCNRFCKILTLEGKKAVSHPEAPRKRRRISFADEAGGTLCYVNVFSDVQTSPSACEGES >SECCE2Rv1G0122050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:812974962:812975333:-1 gene:SECCE2Rv1G0122050 transcript:SECCE2Rv1G0122050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLAVALLLLVVLVSCDGRELNQKVAATRGAGAGGGVGESKAMGLPDLPGVTLPTAPTLPTLPTAPTLPTLPTLPTLPTAPTLPTLPTLPTLPTLPLVGTITGTSTITSPAVVLPALPAHP >SECCE4Rv1G0253340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:625582193:625583017:-1 gene:SECCE4Rv1G0253340 transcript:SECCE4Rv1G0253340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASKIACSFPGDVDAIADDKAVEEATTALTKADLEELMLLVRRFYAMLHGIQPRKRVRTSFVKCDPARRCTAFNSGAAGRTTIRQTELPFDPLAQHRLRSRRRPPRPSRSRPVPARRDQDDWKNPDKRQPASSAGVGTLDDRIGRNLARLAEALDAAEKEAREAFLLRNKPDKGSSGGAPGRDAAGKRERPAEFDFDEPEQSDDPFDLDQFMSKFACLGMEDLDLDELLGSTLHIR >SECCEUnv1G0547820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:176695996:176698463:1 gene:SECCEUnv1G0547820 transcript:SECCEUnv1G0547820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVARRREPELVVPARATPQVTMALSDLDDHRDLCYLQPAIEFFYAVDKESRNAPAVVRAALAEALVHYYPLAGRLREDPGTDDKLVVECTGEGVVFVEAEADVSIDELGQPLLPPYPCVEELLCEVGDTWVAVGAPLFFMQVTQFKCGGFAIGLHICHNIADGYGSNQFLNCIADLARTGGDDSRIVPPVWKRELLTARMPLQTNPAYEEFLKRLGSSSDDVMFSTPPKEMVGRFFLFTPENMAALRTHAPTHLTPPVTSFELLTAVMWRCRTVALGYEVHQRVRLIFSLNLRGRWKRNALVPRGFYGNALLYPAVDTTVGELCDSPLDYALGLVRGAKLDMTDDNMESMVDFIASLRWRPPFTVDRMYEVCDIKWVGQDALDFGWAKRVGGGVPMVGDFYSKSVSYHMRCKDGKGQDLIAVSMLLPGPAMDRFEKEINVWVNNGN >SECCE7Rv1G0504760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:726757173:726759641:-1 gene:SECCE7Rv1G0504760 transcript:SECCE7Rv1G0504760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSALFVAAFLAVLSGRVASARANLTAGVPMTPPNYITSPSGVFAFGFRALDDSSPGKFLLATWFRSGSEDDGRTSSSSQLQSVVWFARQSSTYSSAALATAQSALSVTADGQLALADTSAGGNRVLWRAPIPSLKRGSVLALLDSGNLQFLGDGGGPENVLWSSFWYPTDTLLPGQSLTMDARSQGKLISRRADAEFTTGRFTMGVQTDGNVVLYVDLLSGNSPDNAYWQAHTDSSSGNTTVTFDDRGVLSSTLHNGDVQSLISSVASGSAGTFYRFARMDPDGVVRAYVRAKNVQDGGGNTSWSVSGAFPSDACNKRTSGLQGVCGPGSYCTEQKDRLRCVCPDGYTYADAQHTDSGCTPAFAPQSCDGEDNTEEYTLVDLPNTTWETSIYYKKFTSVTEDQCRDYCLNDCYCAAALMIGGTDCAEMAALTNGRQASDVTTKALIKVRRSNNPPPARMSARTRTIVTVTVCVAFVLLAVPGGFLARHCLTKKKRERQGLLSVRAFSWKELHRATNGFEKLLGKGSFGEVYQGELKSPRRRLIAVKRLVSSNEYSEREFSNEVQSIGQIHHRNLVRMIGYCKEGKHRMLVLEFMPGGSLRGFLFKPERPPWSWRAEAALGIARGIEYLHDGCASPIMHCDIKPDNILLDGARAPKITDFGISKLLGNQQVHTTVTNIRGTRGYIAPEWFRSDARIDTKVDVYSFGVVLLEMICCRRCHDPLVDQGGSETVTLFGWAIQLVSCKRTELILPDDDDAAADLERVERFARVAFWCIEPNPSLRPTMHHVVQMLESAVAVAEVLPDPPACYMDSAPLIVSSV >SECCE6Rv1G0452030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874896379:874897004:1 gene:SECCE6Rv1G0452030 transcript:SECCE6Rv1G0452030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSMHPEWQDRAREEVLRVFGKNQPDPEGINRLKVVTMILHEVLRLYPPILLLGREAYKETELGGVTYPAGVTFALPIVCIHHDPDVWGEDVDEFKPERFAEGIAGASKSKNSPAFFPFGWGPRICVGQNFALLEAKMGLSVILQQFMFEISPSYAHSPCPVSTLQPQHGSQIKLTKL >SECCE4Rv1G0216230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:9930062:9932505:-1 gene:SECCE4Rv1G0216230 transcript:SECCE4Rv1G0216230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAYSNQAQAMMRDYLLADPLVPYTSVLIGVFLCKMAYDLTRILSSFYFKGYSSLTKIQRVEWNNRGMSSAHAIFIAAVSVYLVASTDLFSDQLNGPITFRSSIISTSALGVSVGYFITDLAMIFWLFPSLGGMEYVLHHTLSLVAIAYTMLSGEGQFYTYMILISETTTPEINMRWFLDTAGLKKSSAYLINGILIFVVWLVARIFLFLYVFYHIYLHYSQIMKMHAFGYYLTLTVPSVLFVMNAMWFMKILKGVMKTLSKWS >SECCEUnv1G0561200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:352419716:352420414:1 gene:SECCEUnv1G0561200 transcript:SECCEUnv1G0561200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLLFLILALVASNSNHGGAVAAAADPPPPAIFVLNLHDGPDSASLAFQTHDLSLAGFTDESGHWNTFPGHQHLIPTSTTLPFGSSYSELIGGLANLPGVPLGRHAMKQALRVLSAYDPAADVEPVKRALAAVKVMISEAQRLTPIDEIVASGWDTKSRVAPEHLAYIDHWDTMSYELLRAERTGKWKGPFTGMLEKRANISSKEDAVAVVRVVLNADFQQVLRAHTIQR >SECCE2Rv1G0132080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888282424:888283214:-1 gene:SECCE2Rv1G0132080 transcript:SECCE2Rv1G0132080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELDKKAFSAACKGKFAKADFGEQLAIHCSKWEDEISQPGWHPFKVISVDGETKEILLEGDEKLKSLKEELGEEARDAVVKALLEMNEYNPSGRYPMHMLWNFKENRRAPLDEAVAYLLRQWKRNKNKKAFY >SECCE2Rv1G0067350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18641288:18647621:-1 gene:SECCE2Rv1G0067350 transcript:SECCE2Rv1G0067350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMAGAVMSALLHKLDAVLTDEYRLQRSLRGEIMFLRAELESMQAALERVSSSSTPQPVDRQVRIWAGQVRELSYDVEDSIDRFMVRVDLHHPGFSGFIGRCLSLLTTARVRHRIAADIRGIRGLVKEVADRRDRYRVDDDVFAVRQPAGTTTVDPRLHGMYEDSARLVAVGGPRAELCRLLVEQEGAAAMDGQLKVVSIVGVGGLGKTTLANVVYQQIRGQFDCDAFVTVSLKPDLRRVLGSLLRQVSKQSYSEVEAWDVVELIDKIRQVLRDKRYLIIVDDIWDESAWNCMKTVLVENNCSSRVITTTRIAGVAASCSSAIDGNIYKLNPLSHDDSKKLFYERIFGSEDACHVELTEISEKIIRKCDGVPLAIITIASLLSHKSKNIHEWDTVNKSIGSGLEKFPNMEKMRQILSISYYDLPSHLKPCFLYLSVYPEDYIILSDHLVRRWIAEGFVHGSDVDILYKVGFGYFNDLINRSLIQPQHVDSRGNVGACRVHDMVLDLATSLSTEENFVTTLDNHQPSDLLKKIHRLSIQNNQENHTMLLSIVSLSHVRSFIIFPSATKLMPPLSRFQVLRVMDLEGCRDLENHHLHGVGNLFHLRYLGLRDTNITKLPKGVGNLYCLQTLDLSQSGIIDLPSTIVQLRKLVCLYIETSVKLPDGIGAMKSLQVLSTVGVSNSPNFSKELGYLSDLRVLKISLSGTWDKNYEKHLLCSLCKLTKIKEISIQSSGVPTEFIVDLGWTPHNLRYFFGNMPRLPEWMNFSLSELSTLIITLDKIQQEDLGTLGDIPFLHYLCVTVHKIKKAEGRLIIGTDHANFKCLSEFHIHNDSLGIIFVEGAMPMLQTLEVTFQVREAKDEYNNFEVGLENLHFVKLVTVRMHCSGSRLVEVEEADAAMRKTSFVNPKHPRLDVIKYYEDEMIEDEEKPQLDEEAFKEQEIILEKIGPWGGNAGRNRDIKVAPRCLRSVRICCGDVIDAFAFSYLDRNENLHETPLWGGVGGTIHTILLEPSEFVLEISGAFGPYGQFPSIIKSLMLVTNLRTYGPFGQLHGTPFQYRVKKNGSIVGFFGCSGEFLDAIGVYIHP >SECCE2Rv1G0075820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:86225187:86233193:-1 gene:SECCE2Rv1G0075820 transcript:SECCE2Rv1G0075820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE2 [Source:Projected from Arabidopsis thaliana (AT2G40840) UniProtKB/Swiss-Prot;Acc:Q8RXD9] MAKVTLIFKLPYYTQWGQSLVIAGSAPVLGSWNVKQGLALSPAHEGNALVWCGQVSVPAVFTCEYSYHVVDDHKNVLRWEAGEKKKLVVPEGVKDGDVVEVRDWWQDASEALFLRSAFKNVIFSATDNAKRQLQPPSLSKTLDPEDIVVQFIISCPRLAAGSTVVVTGSNLAVGNWKAQNGLKLNYVGDSIWKAYCVLRKSEFPEAPWRGAGVAVPMFSIRSNEDLGVGEFPDLKLLVDWAVNSGFHLVQLLPINDTSVNKMWWDSYPYSSLSVFALHPLYLRVQSLSDAIPADVKEEIAKAKKELDKKDVDYEAALATKLRIAQKIFNLEKDKVLNSAPFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLDKLVAADALHHDIIRFHYYIQYHLFTQLSDAAAYARKNKVILKGDLPIGVDRNSVDTWVNPTLFRMNTATGAPPDYFAKSGQNWGFPTYNWEEMSKDNYGWWRARLTQLSKFFTAYRIDHILGFFRIWELPDHAATGLCGKFRPSIPLSQEELLGDGIWDFDRMSRPYIRQDMLEEKFGSLWTVVAANFLHEYQKHCYEFKEECNTEKKIVTKIKTSPEKSLWLEKEDSIRRGLFDLLQNIVLIRDPEDSTKYYPRFNLEDTSSFRDLDEHSKNVLRRLYHDYYFVRQENLWRQNALKTLPVLLDCSDMLACGEDLGLIPACVHPVMLELALIGLRIQRMPSEPGLEFDIPSKYSYMTVCAPSCHDCSTLRAWWEGDEGTRSRFYKTVIGSDKEAPSRCTPEVVNFILQQHFDAPSMWAIFPLQDLLALKDKYTARPAAEETINDPTNPRHYWRFRLHVPLESMLEDKDIQATIKNLVTSSGRSFPGKKTDKA >SECCEUnv1G0565220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:391275638:391276105:1 gene:SECCEUnv1G0565220 transcript:SECCEUnv1G0565220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLVLLLLVGAAIAPTLAAGVSPAVNTSMLPAAGSANDTLQRGTIATNQIAHNVTATLGIISDLVRDLNTCTRLYTTMSKDVAAALDDLHAGRVDNATDKLRDTFGAPSDCDIVLLGVGEEKVPRDPIETENVENERLIQLAIDILDPPPPSS >SECCE4Rv1G0282270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:828806305:828807193:-1 gene:SECCE4Rv1G0282270 transcript:SECCE4Rv1G0282270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARALPMLLLLAAAVTVSAERAPTLVFILAGQSNMGGRDGATVGNHWDGVVPPECAPSPRTLRLSPSLRWEEAREPLHVGVDVGNVVGVGPGMPFAHALLRAPACPRGAVVGLIPCAQGGTPIANWTRGSEMYDRMVTRARVAGAGTGRIAALLWFQGEADAMRREDALAYAGRMEAFVRDVRQDLAMPNLLVIQVGIATAQKQGRWLDLVRKQQRAVRVANLKYVDAMGLPIANDMTHLTTQAQVRLGKMLADAYIATL >SECCE2Rv1G0080530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:130707426:130712006:1 gene:SECCE2Rv1G0080530 transcript:SECCE2Rv1G0080530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g14000 [Source:Projected from Arabidopsis thaliana (AT1G14000) UniProtKB/TrEMBL;Acc:Q9XI87] MSGSEEEAAHAAGRGGGSGGGAGGSSGSGGEGHPRRRFDDKSLVARTSLILWHTHQNDAAAVRKLLEEDVTLVNARDYDSRTPLHVAALHGWQDVAECLVAKGADVNALDRWQNTPLADAEGAKRHAMTELLKKHGGLTFGKTGSHFEAKSIPPPLTNKADWEINPLELDFTKAVMIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLVTEFLRGGDLHQYLKEKGSLSPLTAVNFALDIARGMAYLHNEPNVIIHRDLKPRNILLVNTAANHLKVGDFGLSKIIKSQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDIFSFAMILYEMLEGDSPFSSYEPYEAAKYVSDGHRPTFRSKGHTAELKELTEVCWAADINLRPSFLEILKRLEKIKENLASHDHHWHLFSQ >SECCE5Rv1G0346270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660501442:660501858:-1 gene:SECCE5Rv1G0346270 transcript:SECCE5Rv1G0346270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLARKWQRKVAAGAGGQQIDECCSTASIADKGHCVVYTADGVRFEVPLAYLRTTVFGELLRMAGEEFGFASSEGGRIMLPCDATVMEYVLCLVRRDASQEVERAFLSSIVGHCHNQDASVGLTHQFSLCT >SECCE7Rv1G0473040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:153103946:153106650:-1 gene:SECCE7Rv1G0473040 transcript:SECCE7Rv1G0473040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADLVNLDLSDCTDKVIVEYLWVGGSGIDIRSKARTVNGPITDASQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDNLLVMCDCYTPQGVPIPTNKRHNAAKIFNTPKVAAEETWYGIEQEYTLLQKDVNWPLGWPIGGYPGPQGPYYCAAGADKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGIAASDQLWVARYILERITEVAGVVLSLDPKPIPGDWNGAGAHTNYSTKSMREAGGYEVIKKAIEKLGKRHPQHIAAYGEGNERRLTGHHETADINTFKWGVADRGASIRVGRDTEKDGKGYFEDRRPASNMDPYVVTSMIAETTLLL >SECCE1Rv1G0035480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:499071183:499071641:1 gene:SECCE1Rv1G0035480 transcript:SECCE1Rv1G0035480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKSAEEEPTTEKAEKAPAAKKPKAEKRLPAGKTASKEGGEKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE2Rv1G0098240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:478736058:478740081:-1 gene:SECCE2Rv1G0098240 transcript:SECCE2Rv1G0098240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVLLDFSHKLIKRRACLRWKQAESLRGLCSDGLWKYAVFWSIKSEKHGILTWADGYVNKMTKNMRDHYGDPADSDNQIISPTWSNDGQYQSYTFCPIEAALQRMSSHSYSLGEEIIGKVAVMGQHCWISSNDLCSTLLYKYHEDWQFQFAAGIKTVLLVPLIPHGVLHLGSLCMVLESSALVTHIKDLFYKICNPSIPHNPSATGFGHSNTLKKPTADVSVVPADVLAHDLFDVINNSAQLFTIEHLSLPHPFTPSEFPMLEDVITGAYDIGVTTCSDETFDANESDLWTNVNEAPSELTHCKTLVDPDMTNLSFMDKLINSNSKLSCTSVINIQDADYNNIDDFILAYMAQEYQEHTCGSTILLNDDVVTSNSSIHSKMHKDLEAIPREDLESFIWHGRLKQQESTSHSLLQVNGSKAYSYSDLETNHYAEFSVDAITDQVGNIPNSYSYHSADSSTSCETQIQREDHALRLDSSTSCETQIQREDHALSLEESAVPDPSGGREFSPTSVKEGFMTSKMTVSLRKGINRTVTEECVGHTIQDMHREISVEIKHEGGNKELHRPRPRDRQLIQDRMKELRELIPNASKCSIDALLDKTITQMLFLQSVSEKAEKLQNKTRNEEFRDGAKKKLENCPLRVEELEEPGHLLIEILCKEYDIFFETAHLFKGLEVSILKGELEHRSGQLWARFVVEASKCSNQMQILCPLMHLLQRR >SECCE1Rv1G0039330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:547354802:547356876:-1 gene:SECCE1Rv1G0039330 transcript:SECCE1Rv1G0039330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDVQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFSCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDNLMKILTERGYSLTTTAEREIVRDIKEKLAYVALDYEQELETARSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSHVGMEVPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITALAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPGIVHMKCF >SECCE2Rv1G0102980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:585609250:585617282:-1 gene:SECCE2Rv1G0102980 transcript:SECCE2Rv1G0102980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRDEEEELQMALRMSLHGSPPAQPEPKRSKPPSPAGESPEAEARRKQRELMAAAAEKRLRAVAPPAAVVPPAAVVVPPPSQPTTVVAEQTSAPEDVKVESESTAVPMEDVKEAEVELEVDVGEEEKGEELHPELAEKLWLMVFGSGVSKAVLAQWSNQGIRFSSDPETAMGLVQHEGGPCGVLATVQAYVLKYLLFFSDNLGNPEISDPSFGLGQRRFYQSSFAARDDFSSLTEDGKTRALVHAMVEILFLCGTGKSAVVAFIGGVIREQKVDAALEGLSVESAIDFQKVLRISTFTSRKDAFNMLLANIPLFQSRLGAMLFLISSLLSRGLDCIQADRDDPSQPLVTAPFGHASQEIVNLLLCGEAVPNVFDGKMDLGGGMSLKGIPNNVEVGFLTLLESLNLCKVGQYLKCPKWPIWVVGSESHYTVLFALNPNVQEENELEERESKIRRAFDAQDQSGGGGFITVEGFQQVLRDTDITFPSDKLDDLCNAGVIVWSEFWQALLQLDKRAGGMKDPTGLMGKKQFTIYHFNGIAKSVLNGSGNAGGSTPIQRPRICKLNVSVPPRWTQDEYLADVVSSSASGSKNDSVLSLAPPVQTACQHAPLVDCIRTRWPRATCSWSGDVPSIV >SECCE7Rv1G0513390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:821328586:821331606:-1 gene:SECCE7Rv1G0513390 transcript:SECCE7Rv1G0513390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRGAAAGGGDGAVARRWVLLLCAGSFSLGLLFTSGMWTLPEATEVAKPNERRGKETELTAVDCDSAKVGQKHDFTDTLQALDTHNAVQTLDKTIANLETELSAARTLQESFLNGSPVSQEYKASESSGRRKYLMVIGINTAFSSRKRRDSIRNTWMPQGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIAAEDRKHGDFMRLDHVEGYLELSGKTKTYFATAVALWDANFYVKVDDDVHVNIATLGQILSKHISRPRVYTGCMKSGPVLSDKEVRYYEPEHWKFGDKYFRHATGQLYAISKDLATYIALNKHVLHKYVNEDVSLGAWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWRCSGICNTVENIQGVHNKCGESEKALWTASF >SECCE1Rv1G0009780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:57295034:57296710:1 gene:SECCE1Rv1G0009780 transcript:SECCE1Rv1G0009780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIARRRRRWRHDRLSRLSDATLGRILSFLPSKEAARAALLSSRWRDAFAGVDAVSLEEPESPLRDYEDHGGCGCMDCSYGRPVDPNPKPPFTTAVTAALLARHRVPRAPAPPLRALRVALNGYHREMASTVDHWVSYALKQAAPAPHRLELDVRLLGREPICNRPYSLRTAASGLKDARKRSRSPDSDAEEANRRAARKRRSRTSVSDDDSEPDYRGYTVPGILFSCVALRSLSIGPCRLSVPAAVSLPSLQALHLTRVSDRERHVQRLIDACPRLADLTLEACATVTTLSLIGNRRLRKLALRCCHNLTVVAVNGKLDSLEYRGAVPDASLLSLRRADRSSFTSCNIDICGEELVVSTNHLHLRSARLGSGIQLGAMAKLPAFTNLVHLELTGRLPHGDGDAAVPALSRILRHAPSLEVLSLVFDTGPGDEELRATRESWDDCKEGELKKAHQLRYDEEEVLLPTPTVTIRCLTKRVREIKLVNYHGGRAQRTLVKFLLRNAPVLAKLYCGFAPGPMCILTKLRDEIQAWAMNRPENCVFD >SECCE6Rv1G0400520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:453847934:453849643:-1 gene:SECCE6Rv1G0400520 transcript:SECCE6Rv1G0400520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRVEKSVWVADVDAALSDHDPSTEAAQWQWHCIYRVPACIKNLNLKAYRPQVVSLGPFHHGEPHLLPMDMHKRRSLLHLLRRARKPLSEFVVAVAGVAEQLEGAYQGLADDRGCGLSCEEERRERFLEMMVTDGCFLLEVMRMASGFEVNDYAPNDPVFSNHGLLYNVPYIRRDMIMIENQLPLLVLHKLLAVETGQHENVDLINRMVQRFLLPVAWPLATGVGLALHPLEILRRSLLYGPTQTAPPAPPDYSVPDDIIRSAEELYEAGVRFKRSRTSSLLDISFHRGVLRLPAIVVDDTTEYMLLNLMAFERLHAGAGNEVTAYVFFMDNMIDTAVDVALLTCRRIVHNTVGSDKAVAKLFSGLSRDVVLEPQSALDDVHRQVNAYCRKRWNRWRANLVHTYFKSPWSFLSLAAAVFLLGMTIMQTVYTVLQFYQDATAS >SECCE5Rv1G0332470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:548496277:548498356:-1 gene:SECCE5Rv1G0332470 transcript:SECCE5Rv1G0332470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDAARAACVSRSFRRSWRHYPNLTFGMKELGLIGKAGTVDEGAMDLLSRIDHIVGNHSGIGVKTLELQLPWNWNRLDTYYVDRFIKTAIAPGIQELTVMLPSFRRCKTDYNFPCSLLSGESGRSIRYLKLTNSSFHPTAGLGCLTRLNLRSVRISDDELGCFLSDSLALRHLQLSSCDEIVCLKIPCLLRHLRSLDVFQCKMLHAIESNAPDISSVDLALGDQVDFSLSLGDGSSQVKQLRISPASPSYALGKLPSITPGLEVLNISLLPHEQVSTPMVPGKFHHLKDLNISLPAVSAADEYDYLSLLAFLDASPALETLVFRSARVMNCVNRGYGRIHRDVSHLARGQKFCHGSLKNVTIYSFYSAESLIELTCRMLKNTASLECLTLDTTYGYHSCSSSRSGRCFPMDARDVLQAQKALVAIRRYIKPEVPSNVNLIVVEPCSSCHVSDN >SECCE7Rv1G0517850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856510125:856511492:-1 gene:SECCE7Rv1G0517850 transcript:SECCE7Rv1G0517850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPKHTQEVSGWAAMDESGKMVPYAFKRRENGVDDVTIKVLYCGMCHTDLHFAKNHWGITTYPVVPGHEITGVVTKVGTNVSGFRPGDRVGVGCLACSCLDCEQCDSSQENYCDKMALTYNGVFWDGSVTYGGYSSMYVAHKRFVVRVPDGLPLDAAAPLLCAGITVYTPMKKHGMLQQGAAGRRLGVVGLGGLGHIAVKFGKAFGLHVTVISTSPAKEQEARENLKADDFVISTDDKQMQAMARKLDYVIDTVPAAHSLGPILELLKVGGALALVAAPDGPLELPSFPLIFGNKTISGSITGGMNDHQDMMDLCGEHNITCDIELVSNDGINDAFARLTRNDVRYRFVIDIAGGESRF >SECCE6Rv1G0416490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:648923368:648926824:-1 gene:SECCE6Rv1G0416490 transcript:SECCE6Rv1G0416490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASTSAAVAVASRLLLRRAPHLLRRLPRARPAASSSHPSSSFGAAAALRRPLGHRARMGHTSASASAVPALGLTKANAVELPQVSFTGKDIEFSDWKGDILAVAVTEKDLSKGSDSKFENAVLKKLDAQLAGLLSEASAEEDFTGKAGQSVVLRLSGQGFKRLALVGLGQSAPATAAACRGIGESVASVAKSAQASSVAVVLASPAGIQEGFKLNAAAAIASGTVLGLHEDSRFKAESKKVHLKQVDLIGLGSGSELDQKLKYVNDLSSGVIFGKELVNAPANVLTPAVLAEEASKIASEHSDVFTATILDVEKCQELKMGSYLGVAAASANPPHFIHLCYKPVGGNVKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALAQIKPPGVEVHFIVAACENMISGTGMRPGDILTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIIDLATLTGACVVALGPSIAGIFTPSDELAQEVTAASELSGEKFWRLPMEESYWEQMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWVLKNSSSS >SECCE2Rv1G0081640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:142605489:142609963:1 gene:SECCE2Rv1G0081640 transcript:SECCE2Rv1G0081640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGMMDDDEGVGHAQIPNSFGHELRACLRCRLVKTYDQFRENGCENCPFLDMDKDRDNVVSCTTANFTGIISLMDPNRSWAARWLRIGRFIPGCYTLAVSEELPEEYQGMCQDNNVQYFPPKRP >SECCE2Rv1G0106950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:641390973:641391524:1 gene:SECCE2Rv1G0106950 transcript:SECCE2Rv1G0106950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFRATSRMQSRARVDIHVFICPRCRAGVDRTVSHTTRNQNRLFYMCSENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLQKKVRKLEDQAQIAIPICNYFWAVVGMVLALVIMLKMYEKA >SECCE5Rv1G0357110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:743949886:743950589:1 gene:SECCE5Rv1G0357110 transcript:SECCE5Rv1G0357110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMLLRRIHSIRRLAGGRGEGLRRLVSPASHPSFCSSACEQAGAGSASKDQGNLDRFSDPQVAHEDRRFVQFLDRMLDATRNPQSLAQIRRQKLPNDLKILDDEI >SECCEUnv1G0534070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35645080:35649351:-1 gene:SECCEUnv1G0534070 transcript:SECCEUnv1G0534070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTTTATALHPKFRPPSHPRAPRRIRSCMYSFSSSVRPRFSVRAAAASASASAPAQREAVGGVPWGCEIESLESAASLERWLTASGLPEQRLALEKVDIGERGLVALKNVRNGEKLLFVPPTLVITADSEWSNREVADVMKRYSVPDWPLLATYLISEASLEGSSRWSSYIDALPRQPYSLLYWTRTEIDAYLAASPIRERAISRISDVIGTYNDLRDRIFSKYPDLFPEKVYNMENFRWSFGILFSRLVRLESMDGKVALVPWADMLNHSPEVDAFLDYDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVEFLVSLNKSDECYKEKLQALKKHGLSESESFPLRVTGWPVELMAYAFLVVSPPEMIQRFEEMAVAASNKGSSKPAVNYPELDELALQFILDCCESSIKRYTKYLEGAKGSAEVSINTKQANRTLLLKQLARDLCISERRILYRSQYILRRRLRDMRAGGELKALSLFNGLRKLFK >SECCE6Rv1G0419590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:671106302:671107405:-1 gene:SECCE6Rv1G0419590 transcript:SECCE6Rv1G0419590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSATLLGLPDEISIWEILVRLPPKSLLRCRAVCPAWRRATSDRDFLAAHHARQPSLPLLYTEIDAPPSTDIIIPSPCDHRADDKLQSVARLNGAHDFFPVACCDGLLVFTTCGGERFSICNPATRQYARLNQLDGFTVLGMYPHSPTSEYRLLLCVCPETDAQSGFYVFTLGSDQQPRHIGACPHTKGLWPAQHVLFRGSLHWHQERLITVFDTTAELFRQMRSPIVPEHAKADLFEMGDLLGMYSLNDQETIVHIWVMQDYQGQVWASKARVQLPIAELRVLSENFSGFWCVDVAYWDGDVLMLVDIDKDSLLQFDIDGKLVASLHRRSLYHSGLRLKQSLVSHTCFPTPEDYVHLSSDQIVMF >SECCE7Rv1G0484430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343859428:343860141:1 gene:SECCE7Rv1G0484430 transcript:SECCE7Rv1G0484430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLRSASTPSSPRSNKTEAEQQLQSLSTTISSPSATIDTMCDGLRRLGDIYSCIEKMMCTPSSQVSLCQTLQRAAVEAELGRSLVVLDLCNGMRDSFVELKLTVQELLLALRRGESVSSQVKAYVRLANKVQKQFKKISKKTASDKNDSRVVMLMAEAREITISLLESTSSILSKQVEMPKWSLASKTFQRRKVVCQEEQLQALEHSIGDLDSGVELLYRRLIQNRVSLLNVLSS >SECCE3Rv1G0150560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:32902216:32907226:1 gene:SECCE3Rv1G0150560 transcript:SECCE3Rv1G0150560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRFLGACLLLAAAAAAGLADGEGEEGKRGVRLLDAGRLEKFVDELPDMPVLRGYGVAEGGRLVAGELAVGMYDTMWKFHRDLPATRVFAYGASRETATVPGPTIVAMKGVPTRVTWTNHLPPHHILPWDRSLATERLGNATGIPTVAHLHGGVQQSTSDGHSMAWFTSGLAATGPHFSSPAYEYPNQQPPGNLWYHDHAMGLTRVNILAGLLGAYRVADPAAEAPLGLPSSDEFDRNLVLFDRDFRSDGVLFMNATGNNPDVHPQWQPEYFGAVVVANGKAWPFLRVRRRRYRFRILNASNARFFRLSLSGGLRFVHVGSDSVYLARPVPTKDFLLAPSEIADVVIDFAGAKHDAVTLRSDAPAPYPGDPGEKADTVAVMKFVVASKPEHDPSTVPETLMPRYPKPDAREAVVTRHIAMYEYTKNGTDEPTHLYLNGAAYTDPVTETPREGTSELWEVINLTDDNHPLHVHLAVFTVLKQRSLRRVDEFRDCMRGSASGGAGGRNDALACGMQRHLAGGRRHVVPRQERGWKNVFKVRPSTVATLLVRFKPLEESSATASGKSGSFPFDVTAGPGYVYHCHILDHEDNEMMRPMKIVR >SECCE4Rv1G0294390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:890535864:890537348:1 gene:SECCE4Rv1G0294390 transcript:SECCE4Rv1G0294390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAADELLPLDASTFAAESRAVVDFLEVYYRDIESYPVRPDAEPGRLRTLLPNVPPEDGEPVDVILEDVRRHIVPGLTHWQNPNFFAYYPMNASTAGFAGQMLSTGLNVVPFMWAASPAATELEGVVVDWMARLVGLPERFLFSGGGGGVLHGSTCEAVVCTLAAARDRALSSLGHEAILRLVVYASDQSHCTFQKGARIVGIPPANFRVIPTSAASAYGLTADSVRDAVKADVASGLVPLYLCATVGTTGLGAVDPVRDLGEVARRYGMWLHIDAAYAGSALMCPEFKDYIDGAELADSVSMNPHKWFLTNMNCCCLWVVSPALLTSALSSNPEYLNNVGREGAAEVVDYKDWQIALSRPFRAMKLWVVLRRYGAAGIRAYIRRHVDMAKWFERMLDADERFEVVVPRRFSLVTFRLRPRQEGHDDMKMEALNRKLLVAINASGRAFMTHFVVDNKFVIRMAVGAAMTEMRHVQDAWELVQDKAKEVLATP >SECCE5Rv1G0307480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:113699584:113700332:1 gene:SECCE5Rv1G0307480 transcript:SECCE5Rv1G0307480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE4Rv1G0231290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:180830700:180839276:-1 gene:SECCE4Rv1G0231290 transcript:SECCE4Rv1G0231290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPGGDPVLAACKDKLKHFRLKELKDVLYKIGLSKHGKKQELVDKIVAILSDQQDQASKIDGLPNRLMVGKETVVKIVEDTFRKMREPANAVSASGNQNELGYSVMPMKNPDDSAQLDIKVRCPCGSSMATGSMIQCDHPRCNVWQHIDCVIIPEKTADTAPQELPSSFYCEMCRVSRADPFWVTINHPLLPVSVAPSNIMADGSYTVQYIEKSFPLSRANREMLQKAEYDIQVWCILLDDKVPFRMHWPLHSDMQVNGIPVRVVSRQATQPLGANGRDDGLMLTQFLKEGPNKIVLSRSDSRAFCLGVRIAKRRSLEEVLNLVPKEQDGEKFDDALSRVRRCVGGGTEADNADSDSDIEVVADTVSVNLRCPMTGSRIKVAGRFKPCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLENLIIDPYFNRITSLIKSCGDDISEIDVKPDGSWRAKGGAELKDLAQWHLPDGTLCMSTGTGPKPNKGLVKQEIREEPLPENKGSRLKLGIRRNNNGKWEISKKGDVNLKPTSYNDQSRDFENGKCVTHTSNTNHEDAKGGSYNSEQGQSDHPTSSVYDLNSSPGDEHVPIVLSDSDDENATVLSPSAVNCDAANDTGYEFPPPNPLDTSGGPDETSFFLNESFDDLGLSFWEYPSTTQDDPGIQGTDNLGEVQNYPATNLSLHEPVSTVNLGVLAPAANQPEYGNDGSLNNAKNTSRKRKNPANEVTSLDASVLMSGNDDDDFAGDRPGGTSSLSGQTRSVRPKSVAVESDSD >SECCE5Rv1G0366240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:812253960:812257368:1 gene:SECCE5Rv1G0366240 transcript:SECCE5Rv1G0366240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGEAGGGRPEYSIIVPTYNERLNVALIVYLIFKHLPDAKFEIIIVDDGSPDGTQDIVKQLQQVYGEDRVLLRARPRKLGLGTAYMHGLKHASGEFVVIMDADLSHHPKYLPSFIRKQKETGADIVTGTRYVSNGGVHGWNLMRKLTSRGANVLAQTLLRPGASDLTGSFRLYKRSVLEDVISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGISKLGGSEIVGYLKGLVYLLLTT >SECCE3Rv1G0188180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:710579001:710583418:1 gene:SECCE3Rv1G0188180 transcript:SECCE3Rv1G0188180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPAPPPLPATGRLLVLYASQTGNAMDAAERVGREAERGGCPAIDVLPMDSFDPSYLPGERSVVFVVSTTGQGDPPDSMKGFWRYLLKKDLGARWLQGLRFAVFGLGDSGYQKYNFAAKKIDRRLLQLGAEIIIEIGLGDDQHPSGYEGALDPWLLSLWESLNKANPPLLPRITDIFNPNLNYLGDSKIEVIYYSCNDAPPDSIVSDSKKLIERARLMSPALKFHSDGEPQYMLKMVTNQRLTKEDYEKDVRHFELEDPSSVISYQVGDALEILPSQNSSAVDAFIERCNLDPDCYITIRAKGGDEVSNGSLLNGSMGHIKLSTFVALTMDVASASPRRYFFEIMSHFATAEHEKERLQYFASPEGRDDLYQYNQKESRTVLEVLEDFPSVHMPFEWLVQLTPPLKKRAFSISSSPLVHPNQIHLTVSIVSWLTPFKRTRQGLCSTWLAGLSPNEEKNLIPCWVHKGSLPPPKPSIPLVLIGPGTGCAPFRAFVEERAAQSARESTAPILFFFGCRNEDNDFLYKDFWLKHAQDKGVLSLKEGGGFFVAFSRDQPQKVYVQHKIKEQSARVWNILCSGASIYVAGSSTKMPADVTAALEEVVRQKGGEAASGWLRKLERAGKFNIETWS >SECCE5Rv1G0325690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:468408324:468410417:1 gene:SECCE5Rv1G0325690 transcript:SECCE5Rv1G0325690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPPRSQPKRRRPLSLPAVCPCEGIAPEPLLASLVSLTADVASRRAGDASAFPVLRRGTRQAVRLAGLLLAFLEEIQDSTATLSYSAVVGLTELHVAMQKMRFLLTDCARQGSRLWLLVNAELIASELRLCLGSVAAAMDVLPTSIVGASIDSGELGRLVSDQAWRAVVRPDAGDKLAVRSIRSIMDTFKRGVAPEADDVMRVLRRIRVESWFQCSEEIAFLDGELSARFDAGDENSSEVVLINNLMTFLVYCRVVLFDHIDSKQSDATAVRPATCPEWIRPEALQCPITLELMTDPVTVSTGQTYDRASITRWMKAGCRTCPVTGEKLRTADLVPNAALCRVIEQMLLSNGVSLPETSSRHHYGDADSSAATFSPSATGAAQLAVSYIVAQFSTGSTEERRKATSEARKLSKHSVFYRALFVEANAVPWLLCLLSCMDASVQDNAVASLLNLSKHPGGRTALVEAGGIGLVVDIVNVGAKAETQQNAVAILFYLSSNAEYAEEIGRFPEAIPTLVRLIKEGAHRGRKNAMVGLYGLLQSPSNHAKAVAAGAVEVLAGLLSSDRDGDLACDTVSLLARIAEQPAGSQAVLARAGLVARLVEFLAASSSRSGKDHCVGLLVMLCRHGGEKVVALLGRMPGLMGSLHSLVADGSPATCKKARSLISMIHRHYELTRPSSLPVPTSDAGDRFVRLVL >SECCE1Rv1G0012550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:93856723:93857411:1 gene:SECCE1Rv1G0012550 transcript:SECCE1Rv1G0012550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVHAHALELALGLGAESSPGTTTVEVTRAGASRRRRSPRLERARRQTMSVLYDELGALLPDLPHRACRADIVDGAIAYVRALEDTAAELEVHRAMSAGRSRRRARDDGAEVVAAGETSCFAVRLCAARPGALTRVLEVFQRHRVPVLAATVSRNGGEAAVTVTTAVVAPAVEGKIEADIISSA >SECCE5Rv1G0359610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:763013022:763018458:1 gene:SECCE5Rv1G0359610 transcript:SECCE5Rv1G0359610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRGGGGARGSGKGPAAGKMMSLQEFVSSMAPLIDLEKAAEISAESEASSKRKERRGSVMPNLKCTDAQTGLMGKTLLEFQPNKGDVLPPHKFGTHDVVALKPNKADAGSPALGQGVVYRLKDSSITVVFDDIPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKGIQTGPSANLIPVLFGENSPMRSKDAVKFSPFNKNLDDSQKDAISKALRSRDAFLLHGPPGTGKTTTIIEIILQEVKRGAKILACAASNIAVDNIVERLSQYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDRNTKRDIRKELKTLAKEERKRQQLAVADVIKNADVVLSTLTGASSKKIAGITFDLVIIDEAAQALEVACWIALLKGQRCVLAGDHLQLPPTIQSVEAEKKGMGKTLFERLTEGYGEEITSMLTVQYRMHELIMNWSSKELYNNKIKAHSSVAGHMLYDLEGVNKSSSTEPTIILVDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVRPIDIGIITPYSAQVTCLKMMRNKDAKLKDLEISTVDGFQGREKEAIIISMVRSNPKKEVGFLSDHRRMNVAVTRARRQCCVVCDAETVSSDRFLKRLVEYFEENGEYLSASEYQSS >SECCE3Rv1G0197310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:817195714:817195977:-1 gene:SECCE3Rv1G0197310 transcript:SECCE3Rv1G0197310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRPGRHQRRASQSVFSLPENFASLEDVPADGVGEQRKPAAAGADASEQQPARAPAGRHRRAMSMAVPSRDLDMIAEDMGSYKYGA >SECCEUnv1G0557760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:326922980:326923282:-1 gene:SECCEUnv1G0557760 transcript:SECCEUnv1G0557760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTQLLLLACIAAALVMSRGVAGAHVMPVRTVEDDEVGFTEHEEEEAYPQRRVLAYNPQSISYGGLEANKAACYGSCPGRGQPYTGRGCKAIFGCRGR >SECCE2Rv1G0104030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:598638695:598644537:-1 gene:SECCE2Rv1G0104030 transcript:SECCE2Rv1G0104030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIC40 [Source:Projected from Arabidopsis thaliana (AT5G16620) UniProtKB/TrEMBL;Acc:A0A178UEV6] MESLVLASSCSASPRLPLLSAASRPSRPLTAAPLSAGAGRRGARRPRLVVSAASRGSRNASDGFNTKGLASISSSTSTENTSTGTGTVPPMPPPSSYIGSPVFWIGVGVALSAAFSMVSSMVKKYAMEQAFKSMMTQAPPNTFGANSPFPFSMPPQAGSTAPSSYPYPGPRKNTPKGATVDVSATDVAATGSSEAADVAETSKPSKKFAFVDVSPEELQKQKELQSSLETVDVKSDSTESETKGDTEQQVPTNGAAFKPSEDPSTWTTDTSKSGPMLSIDTIEKMMEDPAVQKMVYPYLPEEMRNPDSFKSMLQNPMYRQQLEDMLNNMGASPDQWDNRMVDHLKNFDLSSPEVRQQFAQVGMTPEEVVAKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDQEVMDVFMKISQIFPQING >SECCE7Rv1G0472030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:135695499:135702018:1 gene:SECCE7Rv1G0472030 transcript:SECCE7Rv1G0472030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHHTQHGGDGDFQMWQQQMMYKQLQEFQRQQNAQQIDHGARMQPPFGQFQAPARAAPSDQLPVITNEMPNNEATAYAWPQNFASGDPRLPSNSQMVNTGSNTNWEQYGGAPAMGNFMNGSVFPSTQSQPMRPMGLATQQMNQSFYQIPATSRGGSVNQYPQFLGIPADLQNAMTRASTHQPEKVSRPFSSLMDEPSPQEKGASSSMQNFRGKGGFLSNSPLQSQGDNNKAGSPVPVNHLRHGFQLQDFQGRPNQLQAGLQEKSTMQVAPASGGASLDPTEEKFLFGDDEDSNWGALLKGDNDHGNSLDNDNFGGALPSLQSGSWSALMQETLQSSTSKDNPKEEWSGLSLQKTQAVANNSTLPAREQNKLAALSGGLQNARPSSASSYGDGTMNNPDFTSFQHATRSPYEQRDKTPHESPRATVTNHQSTAEANNGYIQQSLKQKQSDEYGRQEQVHLSNGNWAQQKSETPRNNSHSTGAPSNTHGFWMSQQNTVDHNTNQESSNSQNDWKSNSPLGQDISSTQNVFNNDGNFWKSSGGNANSVHRLQQMKPDISTSQMQKDSSDGKGVSMMGSSMPTINPNQHQMVMGRTGEHGGINHNIGRRGSETSESLRRSAEPRPNDCNQEYQNAIHMERPGNILNHGQHVNNDHAARRHPFFGAKESQNLGSGQQAGGSYMLQNHAMDNTGVNIRHSPGNPVSNNQFPPQSLQGQNNLKPRFVTNSQVAANMASVNEKMLVGDEHFKSRHGVTNSSSASPFGVSDAGLSQNRAVQNSQHMLQLLHKVDNSTDNNALTDMANSPLDNVANTQQQLNQSSLQGFGLRLAPPSQRHPTSDHLWSSHTNADGKQPEHSARGEHQAQLPSTATQYSSPAHPSSQPTPFHSSEMGATGQPAAHFPQLSSGQQHTVPDARSGSVPMPQQDSTATVFKNVWTNISAQRLAGTQSNKITPNILQSMMFSNTSNSNLWGSQKADDQGQKASTPPDVATSSANSHNQETKQTLDSDARLASSEMANFDSTGAAVPRGNQTLQKHSSDGNFAIPASSLAQLRQQGIMNPRQGENSAANFQVMNTSHSTGTNTGGIGLHGNPTPSNLQQTNFALLHQMQAMGHVDVDPGNKTGKMLKPNEISSDASQVDWKSAQRFAHGANNSLRSSIDNIGSTSVQGSFPSDMKMLSFAPRNNEERSTSIPSQIPSREVLSHGMVVRNDHQSQVQSLVTNASSNLIERSERPGINPQMAPSWFEHYGNQRNAQNHSVFNAQKTPTPPYNVPKASWCMENNSLEDRADAGQAARPLVPCDMKASLVTRPKKRKYTERALVSWHKISEGTQKLRKTSTNEMDWAWAANRLIKKSEDDPESLEDASVNYLPRKRLIMTTKLIQEVFPAIPARVLRAQAVSTYESATYNIAMVTLGDTCIISSDNSRALADNENDSSEQRTSAKQMEDKLSKVVEVFVGRIRKMENDYLSLSKRASMLDVHLECQDLERISIVNRLGRFHGRNHAAGVEASSGSQMVSRRIFPDRHVMSFSVPGNLPEEVHCLAL >SECCE1Rv1G0006450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:33894850:33896784:1 gene:SECCE1Rv1G0006450 transcript:SECCE1Rv1G0006450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPPPPPPQPLPTQIPLTPKPEPGAPQSPSPAPSTALNPKLEPMALTTELCDLYRRELEPSPSPDGHLHFTQQQIDAVPADLRLVVSNPQPEPNPQGSIPPHSDTSVSASSSTAKKRARGGARAGEMVRVNTVTPQDHIHFRSLVRRARLTFEALRGIYQREESYDGGPRNRFDLRASSKMLSRGLWLYRDVRIVGPIPGVLVGDAFHYRAELCVVGLHCTPQAGIGYIPASLVSEGHPVATSIVSSGGYLDDEDSGQVLVYSGSGGRQRNRVEHHADQTLERGNLALHYSCYYGVEVRVIRCHACESSPSRKVYVYDGLYKAVSSTYEPGKSGRHVCKYTLVRIPGQEELGSSNWCLAKDIKDKLLANQALPPGYISPDLSNGKEVLRVPVFNGVDQETSLLDFDYIARPQFPLPLVKQQHWGCHCVTSPCGPECGCVRKNGGGGPVYNEDGTLVRGRPVVYECGALCGCAMTCANRATQRGMKHTLEVFRSMETEWGVRTLDLIQPGAFVCEYSGDVVVTTGDCGFAMDEGSVIDPNRFPKRWSEWGDASAALGDDDDRVPRPHFPHFQEPGYVLDVSRRRNLASYISHSCTPNVFVQFLVRGGENESCPHLMVFAMDAIPPMRELSIDYGIDDQQICA >SECCE1Rv1G0031210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:439982236:439986696:-1 gene:SECCE1Rv1G0031210 transcript:SECCE1Rv1G0031210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAELGHLLVFAFLFCVGAFMVAPVITDVTMAALCPGQDQCSLAIYLTGLQQAITGLGALVVTPVIGNLSDRYGRKALLALPATLSIVPLAIMAFNQTRPYFYAFYVAKTLTAMVSEGAMMCLSLAYVADKVPEGRRAAAFGVFSGVCTAGFVGGTIAARFLSVSSTFQVATLASVAAAVYLRAFVQETDRGASLLRDEEASRLLFPPTSSPEEASPRLPPLRKAPSLSEMAALLTSSSTFTRAAVVTFFHGLGETGLQTALLYFLKAQFHYTKNQYANLLLIIGITGSFSQLTVMPLLAPKLGEQKLLVVALAGGCVHGFLYSIAWSFWVPYLAASCVILSILVSPCIRSIVSKKAGPSEQGMVQGCITGISSTASVISPLIFTPLTAWFLSETAPFNFKGFSLACAGFATLVALTMSINMRPAEVQPDTR >SECCE4Rv1G0257440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:667092665:667097532:1 gene:SECCE4Rv1G0257440 transcript:SECCE4Rv1G0257440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTTQIISHGVIVVTVVLVYSSISSYINDATRSTATSTVDVLTAHVAAGVQSLMEANHSAVVVAHSLPTPSNKSSYFRYVGPHLFMALAMQPQVAEMSYTSVNGTTLTYYRAENGQQTAKLVSQRGEWYTEQAVDPLTGRLTGRPVPAARPEHLPNATQLVAAANSGSPVALWAGWVHSRVQVMVFSAPVGQTGVVSASVPVDVLTITNLGTAADPAADTYYVITDKRGGSATVYKPLVGGRPGHDAELMMKTLFSDTKCTASAIDKPDIALHSVGSDQVACTSFDLYGVNLGVRLVLSKWSGATKVRQIGVAVVCIVCAVTTVATVVCVLMARVLWRVGAREAALEADLVQQKEALQQTERKSMNKSNAFARASHDIRSSLAAVAGLIEISRSEARGNTNLIYNLNQMDVGTNKLFDILNTILDMGKFESGKIQLEEVEFRMADVLEESMDLANVVGMSRGVEVIWDPCDFSVLRCTDIVGDCKRLKQILDNLLGNAIKFTHEGHVMLRVWANRPAIRGSMISTPSRFGPRRRAGGVFRRLLGRSENCAKQNDGLSLKIDPGSVEFYFEVVDTGVGIPEEKRGSVFENYVQVKDGHGGTGLGLGIVQSFVSLMGGKISIKDKEPGEAGTCFGFNMFLKASESPEVEDDLEEGSAAPSLFRKPACFKGEHCILLVHGEETRRILRTWMESVGMKVWPVSCAELLASTIDNVCSTFTASPSRPASMSSLHSAGGDDYDDSARANRLRSSRGMGGNHAGHLHAFGLLIVVDVSAGRLHEAAREAASLARTKLQAPCRVVCLTDLKTPSEDLRRFREASSYDLELRKPIHGSRLHKLLQVMRDLQASPFEHLHPCQFEMPVADQTTASASEITPAVPEGPANVGDGKPLEGMRVLLVDDTMLLQTIHKQMLSDLGAAVAVAGDGSVAVAMFTKALRNALPYDVVLMDCRMPVMNGYEATRRIREEESRHGVRTPIIALTANSAEEGLQEAVEAGMDLHLTKPLPKPKIAGIVLELCKQDKN >SECCE6Rv1G0452660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:878851197:878851559:-1 gene:SECCE6Rv1G0452660 transcript:SECCE6Rv1G0452660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEGKKKIIKLKSSDGKEFEVEEAVAIESHMIRHMIEDDYTVNGLSLRNVNSKILSKVIEYCNKHVQAKAANTSDFGGGARASDATSAVPAAPAEDLKNWDANFIKLDKATIFELMLV >SECCEUnv1G0549940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:210683083:210684305:1 gene:SECCEUnv1G0549940 transcript:SECCEUnv1G0549940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAAEVPQGKGFHFCARAYPIPSSPRVDGRRLRRFVVKGAGSVSKVLGDDNLLTEILVRLPPKPSSLPRASAVCKHWGSILSDLVFHKRFRKHHRKPPLLGFFQGYANRFAPIMDSPDRIPAARFSLPKSSTPYKEHAEYMGCRHGLAVVINKHERNTVVWDPLTGQQRSVAFPPWVDVAFMGCFCTWHGTVLCADAEDGHVHGDCFSSPFKLVLVYGDGYNTKAFCFVYDSVSGVWGDVSSTAIRNEISVSRPSIVVRNALCWLISGGDILVFDFEIRSFDVIEKPAEYHVDDRKLFHLRGCFQGE >SECCE7Rv1G0512230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:808642581:808643828:-1 gene:SECCE7Rv1G0512230 transcript:SECCE7Rv1G0512230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE2Rv1G0110420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:689781500:689782225:1 gene:SECCE2Rv1G0110420 transcript:SECCE2Rv1G0110420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative HAP3 subunit of the CCAAT box-binding transcription factor, Flowering time, Short-day promotion, Long-day repressio [Source: Projected from Oryza sativa (Os08g0174500)] MKSRKSYGQQQSHLLSPVGSPSSDNGGGDSPAKEQDRFLPIANVSRIMKRSLPANAKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEVYVAPLKAYLNRYREVEGEKAAVVGGSRHGDDDAHSSLSAAGDALAPQYPHDGDVGGHDAHVGLMMGVNMGFSPATGTTFYAAPGAAHGRRAYGGGEGARGIDFEGAFGGDRGKNGAGGEREFAGHLHGAVQW >SECCE2Rv1G0117940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:778158610:778165933:-1 gene:SECCE2Rv1G0117940 transcript:SECCE2Rv1G0117940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQAADLSSGETTNLKSPDTIPVHDDKENLVNGNSNSHVKETHNDDDDGTGSDGFELVDDVSPLTKASPKEDEKTSESATLNQETERLQVLSKRIEELESEKEKLMKDMTEAENKQTLQYNSLQEAQRSLTEKDKELADATQSLSEVGSELEISKKRIQEIEAELSSSADKLHKLEELKDERSLHAAQEAKRAAELDKMLEMAQLNMKEMENQISNLQEEIKGHQDKAVDHQQVEESLNTTMSQFKLVQDALELSKSQVDDLEQKLASQDADIKKLTEEMSLHCSSEESLKEKSLKLETELATMNGELQAKLLSLQEMEMKLEEHLKEKETSEATLEKQQVQIVNLQSGLDELKDENETLKGTVVDAESKLSERDSMLRQAEEEHAKAQLLLSEALSHKEELEVNLKSISEQHGESKAVAEDANGKILELEAQIQALHAAEEALKLHLEEATTNVEVAEKKCSDLEQQLSESENKLATSSEQVKLLEEQVQQEAASSAEKEKQLEEAVNNAEAYKEKMNELQSSLDSSTSKTQLLEEEVKDLTDRFSQHQEQAHSVHERSIELESLLHTSKSDADGAHSRRQELEQELEATQAKFKEVEAELEQYRSKVSHLSDDLEAYQTKSASLEAVVEAASGKEKELMDSLIQITEEKKKLEELTAEYEVKLQEQLQEKQVIEERVQSQELKVLDLEELLAKLTEEKESGENTIADLNLQLSNKNDMCMQLESQLSQVGDDHSKTRSLLSDAHSHKEELELNLKSLNDLHTESKTAAESAMQRTAELETQVQELSAAEESLKLQLTEFESKVASAEKKSTDLEQELKDVTDECNGLRVKVDELSGEVEAYKEKSASLEALLAEANQKEDELSGKLAQANEEKEKYEELSNKATTAHLEAEKQVQTLQADLESARGKMEEVENDLQALGVRESSVLDKLKSAEEQLEHSGRALEHATSKKIDLESLYQSLLEDTEKKLQQAGDNLTQKETDCRQLSEKLKLAEEQVASYEAKAAAASEEVESMKVELEAFENEIATHESTIEELKTKLSSAESKAEEALAESTAMGAANQALKEELDAKLAVLHELQEQFNSTHAEKEDVVTKLAEHGRTIEHLTEVHSRGLELQSAAESKHAEVETQMREAHETIAQKDSEIKDLNERLIALQSETESLMHVNEALNQEISAKLVMIDELQEKFASVSSEKEEAAEKLAVHEESIKHLTEEHSRGLELHSAAESRYVEIESQLHEALETIANKEAEVTDLKEKLVSLEAENGKLADKTEHLEGEVFAKVAMYDELQERFSATHAEKEEAVEKLVAHEGTITNLTEVHTRNLELHSAAESKIGETEAKLHEALETITQKEAEVKDLSKKLDALEIELGYYEEQATEAAANEENHKVKFDEVVHKLKSLEAQLAEAHNKVELFQTEKENLIVANSSLSEELEVHQSKVNELQLALAAAVAEKQGSSEEIHSLRKTLDGMIQRKEELESQVSSTLEEHEELTSKYQSTLEEKQMLNDKYETTKKELDEAIAKLEEQMNLEKSEKELHISKLERQITVSELKYMEEIQTMQVETTEKHEALTSKMQEHANLVHEKDQLEQQLLEVRKELEAAYRTIANQEEQASVREIKWDAFKTYSADQLEAAQKHAAELEVQVSALNQQLQEVEIHYENKVAEESEKLALVNTQLNKLTQNVSKSAEMEKKVQDLEQKLQLAYSKSDEQAKDAVVSTRSREFSLDSLAPQAKQREISQAPSTASPKPAQQQLQEPSGIMAFKFILGVALLSVVIGVFLGRRY >SECCE3Rv1G0170140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:248423935:248426550:1 gene:SECCE3Rv1G0170140 transcript:SECCE3Rv1G0170140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESHCPLRWESTGDQWWYATPIDWAAASGHYDVVRELLHLDANLLIKLTSLRRIRRLESVWDDDMRFADAATNRASVARCLLLDCESRARPGGNRLIRAGYGGWLLYTAAAAGDAGFVRELLGRQPLLVFGEGEYGVTDILYAAARSRCPAVFRMLLIAVLSPAGEDGAGDSGDAPAAASRGGYMFRREMMNRAMHAAARGGDLEILRELLQGCSDAAAYQDAQGATILHAAAARGQVEVVKYLIVAFNIVNYVDDQGNTALHIAAFRGHLPVVEALMTASPSLVSARNEVGDTFLHMALTGFRTLGFRRLDRQMELTKHLVAGSIMDVSDVINVQNDDGRTVLHLAVVGNLHSSLVELLMTVPSIDLNVRDSNGMTPLDLLRKQPQTASSEILIKELILAGGISNSRDHETRSAIASQLKMHHIVGSPGTSFRISDAEIFLHAGIDASGVSEGTRTRTTSFSSVGKSAELGTLGPGLKRLNSMQSAARRLKVLLRWPLRKGKKSAGSPRESDDDASSVDSVKSWSHGETTPTPLRQRYSKVSSLFGNKRTLASPSMRMRSAADHTLPESPSASASWSSSSLIDKIEAVHLDKDEPSPNASMVIRHTPKKYGSLNSRLMNQYLGTGAQLGDSTSAQRSRRSLLSVV >SECCE2Rv1G0098330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:481374564:481380135:-1 gene:SECCE2Rv1G0098330 transcript:SECCE2Rv1G0098330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKLTLKTEEDSNPRDKYITWSDEATRFMLDWYIDLRKDNPATFKFKKQHHLQCADALNGKFSLGVTQTQVDRHYRQCKEKWGWVRRAMANSGNGLDRTTFTFTLSESEKQRLNKAAVNYLTRPIRFFHQLEELFSDQSHADGSLAVDQTTVNVDDGSDDNEDVRELEGNLIPVDSDEADSDTIDRRSPKVDLDGNPFNKKRKCVSSSPSKKSTKGKGNKKGKVSNDDMVTSIKKLAESLASPIVSVQPMPRADPYANLLSHPAFSKDKLEIVAYLSKPDQDIFRSYLNYADDTIL >SECCE7Rv1G0463050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:50764060:50764458:1 gene:SECCE7Rv1G0463050 transcript:SECCE7Rv1G0463050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQVASPATVVDNTMATTAWSYVEYMARWERQVERRQLFLRSYHFSRDAEVSPVRARTRRVVWAGARRLRRAAAKGLRRLRARIRLCFGWAAPALRWRSSPRRAGHGFRYGRIPRATKASPATNAASVCFW >SECCE1Rv1G0030330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:426994551:426998951:-1 gene:SECCE1Rv1G0030330 transcript:SECCE1Rv1G0030330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 6 [Source:Projected from Arabidopsis thaliana (AT4G19010) UniProtKB/Swiss-Prot;Acc:Q84P24] MQDAAQDPSAAATFYSAAAGLYASTHPPVPLPADPGLSLVPHIFSRLPLAPPPHSRPCLLDAATAASLSRADLRRLVASLARGLRRDHRVRAGAVVLLVLPNSIAFPVAFLAVLAAGGVATTMNPSSSRAEIAERLRDTAPSLVLASPENAAKLSPSAAPVVLVPETFHLAPSAGHEFAPFRALLDSDADDFPSAEVGQDDDAAVLYSSGTSGRSKGVVLTHRNLISMVELFVRFEASQYARPACDNVYLAALPMFHVYGLSLFAAGLLSLGSTVVVMRRFDVGEAVRAVHRYKVTHLPLVPPIMAALLRAKATGASSLDSLVQVSCGAAPLSAKLVQDFIKGFPHVDFIQGYGMTESTAVGTRGFNTSKHKKYASVGLLAPNMHAKIVDLETGLCLPPGSCGELWLHGPAIMKGYLNDEDTCMRNDGWLQTGDLAYFDSDGYLYIVGRLKDTIKYKGFQIAPADLEAVLVQHPEIVDVAVTSAEDEEAGEIPVAFVVRRSGSRLTCVQVMEYVAKQVSPYKKVRKVIFVEAIPKSAAGKVLRRLLKDSLRLDAAASRSDHTKPSSRL >SECCE7Rv1G0492200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:545681020:545681307:-1 gene:SECCE7Rv1G0492200 transcript:SECCE7Rv1G0492200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDFTKHFVAVPTEFKLRNNIGCSWKVTVKLMNGRVTLDQGWATYAAVHQIKIGYMVTFKLLTPDTLKVIIFDDDGIEVVNKCRKHDEVFAAKD >SECCE5Rv1G0300180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:23923652:23924140:1 gene:SECCE5Rv1G0300180 transcript:SECCE5Rv1G0300180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPSTFIDDNDEDMDAVDLSLTLAHTPWPASPSSPPAASPGGGGGNGSGNGGRGGVRLFPCLFCNKKFLKSQALGGHQNAHKKERNIGWNAHLYTNPISTADAMPSHQTMYPIQVSHSCQHQGYPHLADGGSPGGSWWRDQDGDKKQQQTRKVDLNLKL >SECCE6Rv1G0442430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:816395323:816396385:1 gene:SECCE6Rv1G0442430 transcript:SECCE6Rv1G0442430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHKLTVKTEDDSNPRDKYITWTDEATSFMLDWYIELRKDKPATFKFKKQHHLQCADALNGKFSLGVTQNQVDRHYRSCKEKWGWVRRALANSGNGFDRIAFKFTLSESEKQSLNKTAVNYLTRPIRFFHQLEELFSDQSHADGSLAIDQNTINVDDASDDSEDVREVEGYSFPLDSDEADSDTINRLSPNVDLDGNPLNKKRKRVSSSPSSKPAKGKSHKKGKVSNDDMAASIKKLADSLASPIVSVQPMPPTDPYANLWKRINALTITAKDKLEIVAYLSKPDQDIFRSYLNHADETILGEWVLSYFEPRFREDGGTGGSGASH >SECCE3Rv1G0173600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:348724055:348725898:-1 gene:SECCE3Rv1G0173600 transcript:SECCE3Rv1G0173600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASQCPLLKVMLEAPRNGVSGTLKKWLDGGRTFDRSDIFYVIMNLRKRKFYFKALQLLEWLEDSKVIDLGERDYASRLDLVAKVHGVYKAEKYIDSIPISHRGEIVYRTLLANCVAEANVKKSEEVFNKMKDLGFPVTVFAINQLLLLYKRVDKKKIADVLAKMEKENVKPSLFTYKLLVDTKGAIRDIAGMEKVVESMQAEGVEPDLLFQATIAKHYIFAGHREKAEAILQSMEGGDIKGNRNACKILLPLYAFLGKKDDVERMWQVCEANPRLDECLSAIESFGRLGDVERAEKVFEDMFATWKTLSSKFYNALMKVYADQNLFEKGKELAKRMDEDGCRLGISTIDSLVKLYVGAGEVDKAESILHKLSKSNKMKPQYSSYLMLLDSYSKKGDIHNSEKVFDQLRQMGYNGRVKQYQLLLHAYLHAKAPVYGFRERMKADNIFPNSVIASLLAATDPFNKKKTLSDMLE >SECCE2Rv1G0107710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:653546334:653547454:1 gene:SECCE2Rv1G0107710 transcript:SECCE2Rv1G0107710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACKQTASTGAAATDEADNKSAAKSDGAEERKPSTKSKKGKKAKGKLAVKSDGAENPKTHNLSDVTLGLAVMSIAEDEALALLTYQNQFWMRNFKDVYDKPSVDAIRNQAAENWKFFNDSDKAPYVARARVNKISMARIAEFKKKLMLTEEMTKKMVNLKM >SECCE3Rv1G0191630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:749870130:749875956:-1 gene:SECCE3Rv1G0191630 transcript:SECCE3Rv1G0191630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAQLNQLCQQRRWPAPDYAHRSEGPAHSLRFRATAAVNGEVYHSPDEGGGFGTAKEAQNLAAKAAFERLSALPPPPPPQSETQLPYKSQLQVYAQKRHKDLPSYDTVRSGPPHAPLFRSTVTIDGRTFESPQDYHTTKEAEFAAARVALMSLSQEANPSEQMLAGSASCISLPGIQINHKLQLQIYAQKRGKQLPKYRHIREGPSHAPLFKSIVTIDGQTFESPQYCQTIKESENAAANLALMSLAQEVQGMQYTNPRHELAEKEGSSLPVYNTSSDNSKNPSISKSTMHTQGENFQEGPGSTKKHKQMTVAELAFQPSKDRSQMQQGTETLAEQAVKIVESDSSWPRVSMVASDGQNDSNATEHDSCSVGFSTDLPVADKTQPLDEPTQSGSMENVKPASPEPSIKAEVMDLTEECRSPPLVSVPPTDMLNLVATASTVSVALQSPVETILPVKMENDKPAIPEPSIKAVVMDVAEPSAEAEVDVPEPSIKAEGMDVAEPSAEAKVDVPEPSIKAEVLNSTPEHTSLPLGSMPPTNTSNLAAKATAVPVDSARYGYSMSTNRIQVYPFRPKMELPEGATMLPFSDDKWVAVSLPFP >SECCE3Rv1G0167540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:204636045:204638473:-1 gene:SECCE3Rv1G0167540 transcript:SECCE3Rv1G0167540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEVAAKVCALEAELAATSPRIADLEARVSLLEADNARWRKAMPSGEVSGRLVEGLGGPKRKTVQAAGVPGLAYDSFNEVGGGEEERVAADVGNGRSGKEDGVPAVPTPRKRTVRAVTRGSNGKNEIDDAGGGSGGDYRRVRRNVGLEDDDVWITPCGKKHATARVVSSDSEDEGEKNGKDGELQVGVLGAPPSRKRALLRIIDSDEEDDETEGRPAPTTRRSTRLAEKQSKKARVEPNDHEESEDGSGQDGGMGESKNDADCSEDSDSEMDYTLLKDVTLASNNRVRVRVRITRISHYMSKHQRTGIPQLDFVMLDEQGGMMDCQVPAWFASLFLQRIKEDDVYYIHHFKVVHPSLPYRAVDHPCMAMFTEYTEISRDEHVPDSFPVYAYKISPYEVLRSRVNNTALMSDAIGLMLRVSDVKTVTVNGAPRTVRNVYISDGRETAVVALWEAHANQFPAETLQQQMQQGPVVILFVALTVKLREGQLCLQGSKVCRWYPNAPIPEVIALQNSSAGDSHEARLFDTSPTQTQTVRISVGDIWD >SECCE7Rv1G0470010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:115345285:115345857:1 gene:SECCE7Rv1G0470010 transcript:SECCE7Rv1G0470010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLAVVATTVLLSLAAGATAQQQGCENATFPAGRSFARCNTLPVLGASLHWTYHAANGTAELAFRAQSNATGWVAWGINPAAGGMAGSNVFLASLGGSGAVSVLTTILRTTSPALDNTSLSFAVPVPPTAEYAAGAYTIYVTVALPGNSTQQNTVWQAGPLSGGAIMPHLMSGPNLQSVKRQDFLSG >SECCE4Rv1G0246320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:543449748:543456199:1 gene:SECCE4Rv1G0246320 transcript:SECCE4Rv1G0246320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTCTLHLTPPPPPPRHHAPLHPQLRRRAVAKVSASWVPAVAGNSDDGLSGWWLPEQPAEKGRAGFGKAIVVGLGASAAIALAGITWRSPSSRKCLQQFIGAPLHYVQEKLSVSDSTEIPEDDASVRELGTIDVWRVNVDERNATLPDDSSQNHIPAGGVRISFTVPVDPMHEEALSILKKLQIIENDASSDEFCTRREFARWFVKLCSKLERKRMHRIIPNLITSGSVESAFDDVNFDDPDFLYIQSLGESGIVPSKLSSFFGTSANGSQSANRNSNFLPESYLSRIDLVNWKLLVEYPFASELDQKMLSKSVHTLDLSAWPDVTASVFMDLFGGDHSIISKVFGNTRRLQHHKPVTKAQAAAALTSGRMEEVVRDELNRLEAENQSRLSAMGEMMEELINRGDIKQYWEDKMKKEQDRGFEVEKHLQDVLHELANERTDQEKEIADLLKEKSALERQNQELVCLRSEVDGMYDRLATESLEVMADEENLEKLSSDMSSKHQAVTEAKSYLEAEKEALTMLRSWVEQEAARVHERAEVLERAVRRWRVPAD >SECCE3Rv1G0163290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:146620303:146622139:-1 gene:SECCE3Rv1G0163290 transcript:SECCE3Rv1G0163290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSAHLCHSVLPFPSAARDSSACTRGGRLGLAAGCCSGPIAAAVTKTNTALVADAAHVGTRRCELFDLHRQIVPLVDSWGWQKSIVERRKPLVGIDKDTDEDHSDTLIALQHPPVYTLGNGNSEKYLNFNIEDSPIEIHRIDRGGEVTYHGPGQLVLYPILNLRYQKKDLGWYQRSLETVIIRALQSTFSIKASTIEGLTGVWVGDQKVAAIGIMCARWIVYHGLALNVTTDLTPFEHIVPCGIKGRGVGSIKQILRNASNGREYNDAELMDIAYESLIKEFAEFFQLSLEPSSDLYL >SECCE2Rv1G0086680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:214735129:214741112:-1 gene:SECCE2Rv1G0086680 transcript:SECCE2Rv1G0086680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVVGMAKSVVDGALTKAQAAIEEESKLRQSAQRNLVFITGEFQMMQAFLNNADSDRLENPVVRTWVRQIRDLAYDVEDCIEFVVHLDKNNSWWLRLLNPVSWLLAPCLDLAPLPLDEAVDELDRLKARVEDVSSRNTRYSLISDSGSKPAAAAADKHDPTSSPDAVGGATAFNRLFEAAFRTTQKGDLTQLLPKKDHDLGVISIWGTGTGGGEDLGMASIAWNAYVDKGTCQNFVCRAWVKLMHPFDPHQFVRSLMAQFYAAPSSPGEEHATSVGGVRVLMKMEAAGGEPLKDFEQLVMNNRYLVVLEDVSSMADWDAIWRFFPNMKNGSCIILPTHQFEVASLSVGHPYQVLHLNQLSAEHSVYAFFTKGSRYDVDQGREINNAPASKNVSVGNCKEEAAKKWMIRHPLVGRESEMNDLALKVINARYKSYQVMSVWGIAGVGKSALLKNMFCDRICKGTLFQKYGWVDVSHPFNLWNFSRILLSNLGSEYLQAGETDDLCTMGSRNPIAECREILKKHRCLVVIDGLQSTEEWDLIKANLVSGSHRQNVIIAVTIEQEIATHCRGVKGELVFNVKGLEADTAFELFKKVSNKSEVAELQELTSLCGGLPKVIVEIAGSFAKNTDRWKHTLSTKNKFMLELENNGEFDSLKGMFGWMNSYFRKCPDSLKPCILYLPIFPRNHHIRRRRLVRRWIAEGYSRDSHEESAEMTGERQFCDLINLSIIQQASALGLGGTRMVFCQVNGFFREYIVSRQMEENLVFELRGSCALTTQRTGRHLVILESWVRDRIVYKSIDFSRLRSLTVFGNWKSFFVSESMRLLRVLDLEGASELEYSDLKKIVKLMCRLKFLSLRGCHEICHLPSSIGGLRQLQTLDVRHTSIVTLPVNITKLEKLQYIRAGTTAPANEAPTPHHLVPQLSNCCGGHHLVGVVMPPGIGKLTALHTLGVVNVSASGTKAVLEDLKKLTHLHKLGVSGINKNNSNEFFRAISVLVHLESLSVRLEDNNQGCLNGIPLPLEGLRSLKMHGLGDQLPKWSGQLTMLAKMDLEIAKLMEDNVSPHSEGATPEGRRKPTRGVIKFLSELPGLCILRLRVDHLQDNQLDVSVITNDLEEDSFKKMKIFEIACSSSLEVSFGEKTMKKLEQLKVDFSSGSSLLGLKHLPELKEVLLKGSSNEALKADLIAVLENHPKQKKPVVKFEELREPLRPDSLGGQGGH >SECCE3Rv1G0158770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:90798137:90799837:-1 gene:SECCE3Rv1G0158770 transcript:SECCE3Rv1G0158770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAKSGGRMLARRGGAPFPCRGSASAVPAASPATPQHISHHLASSPRVTWEALSASFPAAAAPEGHVDAVLLSLARNSSPSASAETIAKNAHSFFHWSAASSPSPHSLRSYCLLVHLLARGALISHAAVLLQAAITRHSSSPASHFLDAFFAAYEDSGTAATTRGLHLLVHAYARLRLPEEALEACRYLALRRVLPSLSAFNAVLHAAQRTGRFRVAWEVFELMTLKRAYASQATVELVVGVLSREGALARMAALVQRIHGKKCAPGVVAHVALALWIFEEGRTEEGILLLRRMLQRNMVFDDVAYSLMVHAHCRTGDLESAREQWDDMVRRGCRLNSFVYTCLIGVHCREGNISEAMQLLQEMLSMGLKPYDATYSHLVTGCFRHERTDEGLEYFDKMLHEGLLPDIGTCNEILEAMCGAGQVGKANELVTAMIDKGIIPGQDTYCKLIDGYIKVGDAEGVVKIYHEMEHRGLNNGVEVFTSLISGLCQCGNPKEAEKFVSVMERKSLAPTSEISDMLISSYCEKGNTKSALRLYDRMIARREKLIPSADTFMMLVRRVIKVKT >SECCE2Rv1G0080470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:130150495:130152767:-1 gene:SECCE2Rv1G0080470 transcript:SECCE2Rv1G0080470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGYSYSPSPPPRGYRGRARSPSPRDRYDGRGRDLPTSLLVRNLRRDCRPDDLRRPFTQFGRLKDVYIPRDYYTQEPRGFGFVQYFDPDDAADAKYYMDGQVILGREVAVVFAQENRKKPAEMRTRESSRGRSYDRRHSPSPRGRPSYRGRSYSRSPSPRPARRRFRDESPSRSRSPVHSGSRSASPLDGKYGRSSRRERSISVSG >SECCE4Rv1G0217060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14253725:14256303:1 gene:SECCE4Rv1G0217060 transcript:SECCE4Rv1G0217060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQMAVAAWALLLISASAPSRCAGQALVPGVMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPATHAPTGRFCNGKLATDYTVESLGLSSYPPAYLSEEAQSDNKSLLHGANFASGAAGYLDATAALYGAISLGRQLDYFKEYQSKVAAVAGEKRAAALTSGSIYVVSAGTSDYVQNYYVNAMLAAAYTPDQFADALMQPFAAFVERLYGLGARRIGVTSLPPMGCLPASVTLFGGGGGGGCVERLNNDSLTFNTKLQAASDAAKKRHSDLKLVVFDIYNPLLNLVADPMSAGFFESRRACCGTGTIETSVLCHQGAPGTCANATGYVFWDGFHPTDAANKVLADALLLQGLQLIS >SECCE2Rv1G0124680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833764098:833765156:1 gene:SECCE2Rv1G0124680 transcript:SECCE2Rv1G0124680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTSPEITPSRTRCIVEHDTETLSLELINYLQLKGMEVGKFVSSPVFRVGGYEWEIRFYPHGVNKDCTGTSSCLLCYLGKDKDVRAKFTLSMMETKGLQAQVASFGVLDYRFAPELDVYGYPGFVSRWKLKSLSRLGDGCFTIRCVLTVMNESPPLELHDDLERMLEEGLGADVTFAVGGREFGAHRSLLAARSPMFRAQFFGPMAEKDTRHVEVIDMEPTIFEMILRYIYTDELPSCNDDGRGYNAAIMQHLLIAADRYGLNRLKLMCEEELCKRMDVETLMTTLSLADRHHCERLKDTCVKFMLSKKVMSALTETDGFKEYINTYCRPLPLEDELGKKGQPSTETE >SECCE6Rv1G0425430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:707151491:707151778:1 gene:SECCE6Rv1G0425430 transcript:SECCE6Rv1G0425430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSQQHSLLPCILLLLLLVSMSCLPSSSHGLRTLRKEEEAVGELIRGQHEVPPTISPTQQAGGDEDVAADDIGAGKFTVSRRAVPQGPNPLHN >SECCE3Rv1G0186030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679975436:679976458:-1 gene:SECCE3Rv1G0186030 transcript:SECCE3Rv1G0186030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLLFAFWLGYRKYGSKGKSKETARIESFLQKNGTVHPKRYTYTQVKRMTRSFAEKLGQGGFGAVYRGDLSDGRQIAVKMLKDFKTDGEDFINELASISRTSHVNVVTLLGFCLEGSKRALIYDYMPNGSLEKYAFKDSSEGGNTLGWEKLFEIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQNFCPKISDFGLAKLCLNKESAISIGGARGTIGYIAPEVYSKQYGAVSSKSDVYSYGMMVLEMVGARDKNISPNTESSSQYFPQWIYEHLDEYCVSASEINGEITEVVRKMIVVGLWCIQLRSTDRPTMTRVVEMFEGSTTGLELPPKVLWS >SECCE5Rv1G0325470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:466131942:466134233:1 gene:SECCE5Rv1G0325470 transcript:SECCE5Rv1G0325470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRLEQALTAYIRRHTPPPLLLKLMDMEEARHCRSATLLMSFLSFAFLIWLPPMAAASQEMKSIYAGSRVIPVRLSRPAFGPESLAFDQHGRGPYTGVSNGRVLRWRSNRRHRGWTEFAHNYKHKTVAECAARKKLVEPESACGRPLGLQFHHASGDMYIADAYLGLMRVGRCGGLAEVVATEAGGVPFNFLNGVDVDQETGDVYFTDSSTVYQRSEYMLVVLTGDATGRLMKYDPRTGNVTVLRSGLAFPNGVAVSADGTHLVVAETSSCRLLRHWLRGPAAGETEVLADLPGYPDNVRPDGGGRGGYWVGMNRDKQWEESGITANSMSAVRVVVTGDGKNGTVAEALRGFGDATVSEVVERNGSLWIGSVDTPYVGLFKLASLPECGG >SECCE3Rv1G0200020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:850322849:850327089:-1 gene:SECCE3Rv1G0200020 transcript:SECCE3Rv1G0200020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sorting nexin 1 [Source:Projected from Arabidopsis thaliana (AT5G06140) UniProtKB/Swiss-Prot;Acc:Q9FG38] MISAERSQSQSPRSAGAAAGAPFLSICVTDPVKMGTGVQSYISYRVITKTNLPEFEGAEKIVIRRYSDFEWLHDRLAEKYKGIFVPPLPEKNAVEKFRFSKEFIELRRQALDLFINRLASHPELKQSEDLRTFLQADEEKMDRARSYETGIFKKPGDFIQMFKDVQSKVSDVVLGKEKPVEESTPEYEKLKHYIFELENHLAEAQKQAFRLVKRHRELGQSLADFGKAIKLLGACEGDSLEKVFSEVGSKSEMLSIKLQREADNLLFNFEEPLKDYVRAVQSIKATMLDRANAFRQHFDLDQERKYKELNLEKMKLMNPDKFSESETEFSELKAASEEATKRYEHIVSVMNDELARFQEQKTADIGLAFHEFAKGQAKLAKDIADAWRSVLPKLEACSTS >SECCE4Rv1G0230300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:161714807:161715256:-1 gene:SECCE4Rv1G0230300 transcript:SECCE4Rv1G0230300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTRPTPGTVQCFGRKKTAVAVAYCKPGRGLIKVNGAPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYSIRQAIAKSLVAYYQKYVDEAAKKEVKEIFGRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >SECCE2Rv1G0082120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:148079842:148082439:1 gene:SECCE2Rv1G0082120 transcript:SECCE2Rv1G0082120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPRADAAVAPSLAAAGALPAANLGTTAGARKRKAPATEKAPRKPRKRQVEEPIQIPVYYGCRKGDFSSVHGDDLIQDCPAMYAENICAHIIGELPLQPQSMSLVDLQLWVLKLFRLHPQTQDLHIKGFLKQRKNDPFDEEDPDWYLEHYQWDTHEFYTDKYWRSFANKLKKKRNVTQKFMLYVESSEIRHYDILLKAVNDGYSQQVPIVLPGMESLKSCEFRFRYLKEHLAVTAEEMAVYLTGHYGEQVTPAEAWRARQMALEKEFGTFYDSYNFAPRLLKEIARKNPGGFVDIKDAEVAGCKDFRVLQRIFWAFGQCLQAFVTCRPVLCIKGTPLCGKYQGALLTAVALDANDFSIPVAYAIVECETKESWLWFLRNLERTVVDQADVCIMHDYKKELIDAVEDLLDSRRRQGLKAESRWCMEHLAENFYACFGDKNLVMMFKKLCQQKRQHKFDKLWKELDELTSKYMAEKESGASEEVQQGSVKHDDAELQEQSPSSQPDSVEDWLEGDHGDDSKGKITKFSDWISLKPMEKWSLVHDRNGARYGIMGIDITDVYKNDPVLKGITCLPLSAMVEVTFLRLEENFTNTSAAANKAIGNPSVSFPERVQDDMNSKMQKAKMHQVLGGEEALKFTVKSRQRQVTVNLKSEYIHNMDKSKGSTARKTATCSCNKPQLLHKPCSHVIAVCCHIGVSTAEYMSPYYGLTCLGRTWSKKFNEFSRNYRKNLPRYYRDIRPFERETPTWIPDKRLERGFPVYLLSDCVQTAVVDEGQQCTTEDESVAGNESTKVCSEESRT >SECCE1Rv1G0062970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721845042:721846370:-1 gene:SECCE1Rv1G0062970 transcript:SECCE1Rv1G0062970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRINLPPGNTTQGTPFGSLNLHGNSMQMHAPSSGKHLFNQSQMPGSFTMPINRATEHDNPGSGFQFVEHGKKDHHQQQQQHNHLIKNSISDDEEHDMTEDTTDAQSGKGKKGSAWHRMKWTGSMVKLLITAASYTGEDPGADLGGGRRNITVMQKKGKWKAISKVMGERGCNVSPQQCEDKFNDLNKRYKRLTDILGRGTACNVVDNPALLDCMNNLSDKMKEDARKILNSKHLFYEMMCSYHNNNRVNLPEDLALQHSLQVALRCKEEHDPRRDASGDAEEDDHSADSDYEDHDEEHQAVHHSMRDPSMNKRMCHGLDHGDAGFLTSSSNDGSGSLDPHGIALDINKGFPDGTNLSVVRKELASQAIELRKRRLQIEAQELEVTEQRLKWERFKRKKDREIERMESENEEMMLENKRLELQLKHKELEVELKLKGNPEHA >SECCE3Rv1G0148620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19337880:19338881:-1 gene:SECCE3Rv1G0148620 transcript:SECCE3Rv1G0148620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRFVTGMLLAAIGCVLSVGADNPTTPFPQPFLWQKAHATFYGGADASDTMGGACGYGNLFSEGYGTRTAALSTVLFNDGAACGQCYKIACDRKRADPLFCKPGVTVTVTATNFCPPNEALPNDNGGWCNPPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCVKRGGVRFRINGHDYFNLVLVSNVAAAGSINSMDVKSSDSEEWMPMARNWGANWHSLANLTGKMLSFRLTNTDGHMLVFNDVVPKGWTFGQSFVSKLQF >SECCE4Rv1G0280940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:824146545:824151104:1 gene:SECCE4Rv1G0280940 transcript:SECCE4Rv1G0280940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRFILLKANTINAAAEEILGILEGATKHERNIFFRGWRGLGASTVLRVVAQLLKSSAAQEMKFEKVVHVDCSLWQSMRALQKAIAEELELPQSVMAMFDQHDEEDDFNGIDQGSRGVISDVTGEIFRKLYNSTFVVIFHNGNNHYIDLYDCGLPITTFLSNKVLWTWGRRLQVYNEQEDDEFEKTVSKADIDVGGQQLRRNILLEEAAKYINILDPGIVFECFQYVWARRRADCIDWGTHASDYWICDGIILEDGNSSSWEVGDALQRCIFLDWIMEEDNPSEDEDEIDACISSPNDRWVSATHQALLHDDIGVLPLRATSFFLLADKSNGRSIILPDVMFPDNNRLRVLHLSLCTFSFTSPPFLCCSHLRFLLLDHCKDIKGEEHQNSKIQNGSCFQKLWLLDLRYTDWYSEKMMCLMDELRELNVEGVKDWRIVDLCGNKTSLVKLRVAADSDTATEITTHKLVPNLSSAIHLKTIILENCVGLEQVVPDVLPPLLESFSFIITDAAIPKISIISLWGLAKLKSVLLIGLMKSLQELDLSGSAVKTLNLREVVGLNLRRLILLGCEKLRAIQWPPRNKMTMMCDVLRIDTIRPGSSIKANWKEMSKEVSASIESSCIVTVPSFVRYTYIFVRDARLLKSLMPIKGCFEYGGYQEVGGVVEMASSPASIAVSDREYAQGIAQIVQNLYARDIIIQDHLQDVAAIEGAKRWMWACPSVRSSGKFIERYLRKFPYVPALDEFMGWHVHIQDEEEMKSGLLQQEGSTLGTTSTSAALNPDFICGSANMLHVHDSLSITSIPVTEDSAWSCLVWCRVEKCPKLRSVFATPTSYSFDGRFECFPRLATFWASQLPMASYIWNWSSIDLPCNDSFQYLKFLHLDHCPRLIHVLPLSVHMTTLPRLETLEIVCCGNLMEIFPVDPDRQEKQTIVDFPKLRHVHLHDLHRLQHISGSKMFAPKLETLKIRGCWGLRCMPAVAIQCPNVDCEKDWWDSLEWHEGDVNHHPLLYKPSHPRYYKKAQLPTGTVLR >SECCE6Rv1G0389160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:147106354:147109010:1 gene:SECCE6Rv1G0389160 transcript:SECCE6Rv1G0389160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHGRLGCLPPSPPPRLPRRRAAFSAGSRRSAAARSRVTSLRRASSLGPWTAQAMSGAEPSAGGGEASEIIDAVEVEPSNPGASFLAKVAVALGVAVTVTAISIFMKQPSSGPSFSLPQIIDASAQSDTVGYTFSQFGKKVIIPEYTPGWVYFWLLMAAGFGLFVSEEALNVWVGISLARTLCLDGTWHSLVNSFSTNASYIISTVLWVYWGVCISDMVPFYLGKLFRQTRASEDIYSKLGISKEKALSVSRAIQKYGNLIGFVERFSIGVRNPTGFLAGAVGVSADCYFAGVCCGCLFTLPIQLAVGFLLRERPVVALASVAAAVGIWTVFPYAAAACTALFLYLRRRRPSS >SECCE2Rv1G0127430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:852787931:852789279:-1 gene:SECCE2Rv1G0127430 transcript:SECCE2Rv1G0127430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSGILALSIIGVLVAGIVTVVATIAIYLCAKVAVQMYLQKEDKQGGQDAWLGNGAAAGSTPRATDEAAEDVEMGSMSFFIEGVQNERPIRFSSQQLRGFTQGFAHKVGSGGFGVVYRGRFPNGTPVAVKVLNSTLGRRAEEQFMAEVGTIGRTYHINLVRLYGFCFDAAVKALVYEYMENGSLDRHLFRSPAPEKSTVGFEKLHEIAVGTAKALRYLHEECQHRIIHYDIKPENVLLGAGMAPKVSDFGLARLCDREDTHLTITGARGTPGYAAPELWMPLPVTHKCDVYSYGMLLFEMLGRRRNLELGLHSRAESQEWYPRWVWHRFEAGEMDAVLARAMAAGDLDATEKAARMCKVALWCVQYRPEDRPSMGNVVRMLEGEEQIATPGNPFAHLAAYSAGATPSDDTTTESYGSSAFTRG >SECCE5Rv1G0336470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:584526853:584527434:-1 gene:SECCE5Rv1G0336470 transcript:SECCE5Rv1G0336470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAPRVVPARRGGVTLAEQLAASSNLRDLLKLRDDDVQGDGARPARRRTLLDVIRGPEDDAAPPAPPSSTRAAEPAVPVAGAVPAAASPGQGEERRVSLMALLEQAERQWTAAAAQAGAWTRVDQDAAAEEEEAGSGRGVGGRCCVCMARRKGAAFVPCGHTFCRACAREVRAGRGRCPLCNAAIREVLNLF >SECCE3Rv1G0190440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:739179272:739193625:1 gene:SECCE3Rv1G0190440 transcript:SECCE3Rv1G0190440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGDKKKKDEKVLPVAIDITVNLPDQSDVILKGISTDRIIDVRRLLCVNTATCAITNYSLSHETRDGHLKDGADIVTLKPYTLTLVEGQYDEDSALMHVRRLLDIIACTASFGSPPPPPPPSPKDADATTKPAAAASSGGRRMGSPPPLPKESTAKDADAAVAKEDAVSAELEAEMSGACPRLGAFYEFFSLANLSPPLHFIKRVTQPRQEEQPSDDHLFFLEAKLCNGKFVIVEARRKGFFSFGKQRVLCHNLVDLLRHLSRAFNNAYEDLMKAFLERNKFGNFPYGYRANTWLVPPIAAQSPSTFPPLPAEDETWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAVFRTIAAIRHVMESTDVSTAIKIDEVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMDSKHLGERNLLKGITADENTAAHDVNSLGIVNIRYCGYVAVAKVNNYEKTIVTSSIKPADITDQPEGGAHALNINSLRMLLNEANATGEKKLPTQSHRQEELTAAQTYAENLLKESLQNLEEEETDKQSFMRWELGACWVQHLQDLKKSDKDKKQGDGKEKKKIVDKAAKETKIEGLGKPLKALKHPKNAVDASGKGSSSGNTSLTDATSSGESQKVKPSSVESLQGDCIASEHEILLKDVLLDSAFTRLKDSDTGLHQKSPSELIEMALKFYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGRVVKLSEKLSHVQSLCVHEMIVRAFKHIVRSVIAATSDMRQLALTIAAVLNLLLGVPESEFSGSSPAVHPLVWRWLVAFLKKRYQYELREQHYVDVRKYAILRGLCHKVGIELAPRDFVMDSAFPFYKQDIISLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALAKLIMVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHIALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNKESKGRDSESGKRRYSSIKVLSHSSEDSSVASPDISPRDCAIAIEGEEKQIKEPLQDDSAKIMDILDTEVKESALSVDASPPSEQLVERAEVNINSPEEAFDEEHDDGWQPVQRPKTAAVLGKQIKHYRPAIRRTYDPENHAPTDASQYKPRTSYSNNRYYFLKKKTVVPAAYTDPQQHMKVQASSARFGRKIYKAMTYRIKPGTASAEVQDTSGITEQTGGQEESQIAYSHVHNRSADLKGSEPHGPWVESTGNPPSYKDVALARPGTIAKTQVQKPRDDVLQPSLGQIIAQEMKDSLVDAVQVDQRYVSSSTNNSKEVNIVPAEMQHSEQREESHREHEIDDTGKDSLPDKLTSDTEKPSGSDPADSKTDMTLFSNKDQEPTSSDNFGAAAKFSDSTVPAKGEKSGKSGTQFLEESLPTSGEPITVAAHTISMQGGVGGVESEKSKPDLLLGNIDIREMSNKKLSAAAPPFNPSPPAILSPIAVSVGLPPPGAVPGVGPWPMNVSMHPGHSNMVPNGPPLCTSPHHLYPPAPRSPSLLHPVPFLYPPYSQPQMVSSSTFPMNTTIFRPNHYGWQPYMRPAASEFVPGPAWSNNHPVAYTPSPHVADTISQSLADRHVLSDEAVVSIGPSLDSNMVAVKEEMEVPVEVGSGNLTSNNTLGEEHDRELKDAVNVALNPHKPGDSISNIGGTKLGGNVKNEDEGSFRIFVKGKSRRKQTLRIPISLLNKTYSSRSFKLDFNRVVRENDIFRPSGVSFAEVVSSGN >SECCE7Rv1G0470300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:118838012:118840530:1 gene:SECCE7Rv1G0470300 transcript:SECCE7Rv1G0470300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTSSATAKSGGAQLPPPGPPAKKKRALPGMPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEVRKRVYVCPEPSCVHHDASRALGDLTGIKKHFCRKHGEKKWKCEKCSKKYAVQSDWKAHTKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAPPPEDGSSGGAGPPALPPPPAAPMPLQQLPPAPAPAPAQQQHEEQEREIGAAAPEPVVQYTPPAPLMPSQPSVNGANVSASTSSVAATSQSLLGSMFAPSSVAQAPQYPDRALAGKPPSLCLTTDAASAQFAAPAADRQQQQQLAPPPPSPSPSAHMSATALLQKAAQMGATSSSSSFLRGLGLDISSSSPASTSSGQQHHHHHQEAMQMQFPEGSLQQWPPRLEPEPAPMMSAGLGLGLPYDSTGGAMGLPELMMGGQSSLFSAKPATLDFLGLGMSPTGATTSRGLPVFMQPMGGAVGMARSGSGAAETFGAGRGAQAKPWERNPSSSPIL >SECCE6Rv1G0410110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:595203116:595203349:1 gene:SECCE6Rv1G0410110 transcript:SECCE6Rv1G0410110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIQAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE1Rv1G0048990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:638329742:638334543:1 gene:SECCE1Rv1G0048990 transcript:SECCE1Rv1G0048990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIILPPDGDDDEQQRREDEENDGGSGSGSGSGGDGERQHPAQAKAALPFSAMCVRISRDSYPNLRALRNASNASLTDAAYVKISEGDFGYVLDDVPHLADYLPDVPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKVVVQKNSRRGVHFRRAGPRQRVNFESDEVKACIVSCGGLCPGLNTVIRELVCGLAHMYNVNSIFGIQNGYKGFYSSNYVAITPKTVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRKRGLKVAVAGVPKTIDNDIAIIDKSFGFDSAVEEAQRAINSAHVEACSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGEGGLLQYVERRLKENKHMVIVVAEGAGQDIIAKSIPASDQQDASGNKLLLDIGLWLTHKIKDHFKSKKMEMTIKYIDPTYMIRAIPSNAADNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFYRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDEAREADRLANKPPLPSVANQSVAKAFDQSAAASSNGEI >SECCE5Rv1G0367980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:825718371:825719372:-1 gene:SECCE5Rv1G0367980 transcript:SECCE5Rv1G0367980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMPVYLWTVPMFHCNGWCMVWATAAQGGTSVCMAGSLVPKTVFEHIVRHRVTNMGGAPTVLSMLVNAPASEKRPLPVKVRISTGGAPPPPHILAKMDELGFNVVHGYGLTETYGPATLCVWKPEWDALPAAERARMRARQGVPHVLLEGLEIKDPATMESVPSDGRTIGEVMLRGNTVMSGYYKDPAATAEAMSGGWLRSGDLGVRHPDGYIQLKDRSKDIIISGGENISSIEVEAALFGHPAVLDAAVVARPDEHWGETPCAFVTLKDGASATEADMIEFCRTRLPRYMAPKTVLFAELPKTSTGKTQKYLLREKARAMGSLPKLSRSKL >SECCE7Rv1G0494730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:588228651:588229154:-1 gene:SECCE7Rv1G0494730 transcript:SECCE7Rv1G0494730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCTRTAPLKQLTSKEENNGNFGREFIKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPAAAARLEYPTVVDVELKVELKKMNKNFKQLIELKKQSSLIALGIFAIGIFYLMAISR >SECCE2Rv1G0120130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:796879896:796881078:1 gene:SECCE2Rv1G0120130 transcript:SECCE2Rv1G0120130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAWASLQEKLQGRHWKERQVRKITDKVFDRLTEDAPKREKEALKFEEVYIAVLCVYNDINKYLPGPHYDPPSKERLKELMLEFDIDMNGLLDREEFAEFIRKLTAESLCAISLKLIITLVAAPALALATKRATEGVPGVGKVVHKVPNAIYAAAITMAAVLIQRSAEGVE >SECCE3Rv1G0161600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:119187777:119191716:-1 gene:SECCE3Rv1G0161600 transcript:SECCE3Rv1G0161600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSSLSARLGPGPAPAPAPARWSPYARPSEPRRTGAGSGCVKASRPALRLEPATARLLGPARKAESGGSTRGAAAPTPARRRLGDLKKSDPKTDPKPAGTNPEPIQEPRVEESRGCGGFAFLCALAGHKEAITGISMPMGSDKVYSGSADGSVRVWDSNSGKCVDAIKMGGKIGCMITHNKWVFIGISKSVEAWNIQTGMKLSLQGPSGLVCSMTIKDEMLFAGTADGRIMAWKFPAKEINSEPVATLAGHDRHVISLAVSATRLYSGSLDKTIRVWDLKNLQCVQTLSEHKAAVTSVLCWDQKLLSCSLDKTLKVWAASESGNLQLMHTHSEEHGLRTLFGMHRPGKTPVLLCSLHKSSCLRLLDLPSFEVIGTLSSETEVKTIELAQGPSRLLFTGDGAGELKVWMWAPRDDDKQPSNTGAAINVS >SECCE5Rv1G0347230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666223244:666224522:-1 gene:SECCE5Rv1G0347230 transcript:SECCE5Rv1G0347230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVAADGDNAREDDQVAADGSGLGTAVDPALVANGDGGAACEEGSVVGAADGVDEIASAGGGGSSAAGLGQGAEVAGGYVAVVARDSGQGTAKPASTGASDQGMARLASATRVCPDGMVPNSSYLLRIKLFGNPKKARKDILCFCFEKEVDCDLTNYKGLVESIVKQYPPRYMEVAHMQYYDGDLKTCPEVKSDQDLMLMFEKHSKTKVLDMIIAYRDPSEPYEPITEWQSDVHSEPDNNIEHEDDTTNKRYAKGGKRATRTMELTKKDKNGPKVPFDSPAMGTRSKKSYLASPAMSTRSKRRLSS >SECCE7Rv1G0481190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:281579059:281579797:-1 gene:SECCE7Rv1G0481190 transcript:SECCE7Rv1G0481190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEGRRIVVAVDEGNESVHALRWCLTNFAARGDTILLLYVRPTPPTYSMLDASGYMFANEATAAIDGYSRAVADAVVDKAQKLCALHSKEKGELKVDSKVAVGDARSVICDMVDKLGADLLVMGSHGYGFLKRALLGSVSDYCVKNANCPVLIVKSK >SECCE1Rv1G0046010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614192491:614193990:-1 gene:SECCE1Rv1G0046010 transcript:SECCE1Rv1G0046010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAISAVAGELVSRFVSFLANRYLHSTSRAQWEEKQLKKLQQLLLRAHTVVEEADGRYITNYGMLAQLNMLTQALYRGYWALGAFRYMSLEKPMEEEGVVRNPSPPKRFRMVHGSARKNEATCPVDLQGALESLEDVVAGMTEFVLLLGLCDRMLRRPYDAYLYNDTIMFGRHAEKQKLLNFMLHHSSPGGAPAVLPVIGAPAAGKRTLVAHVCKDETVRSHFSSVLHLNGDSFSRFADHDRSLLAGKILVVVELVPDVDEEDWARFCSAVATSMDSGSKVIVISRVKSTERLGTVEPIFLNNLSYEEFSYLFKTLAFGSVNPAQHPRLEWIADQLAGQFHSEYSLGDANIFADLMRRNLDDRFWLSMLSRLRKAVERNLSMFGKHPRNLFRRRQQIDISEFVLHPAASPLCIVPSCTSGSSRTEVAVGREFLPRVGLGDLLMDPGVRPQGDFNVVAWESRLPPYTSFVHFATSGNGAPGAAEQSAPLSGRKRAAVPL >SECCE2Rv1G0097790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:468695962:468697987:-1 gene:SECCE2Rv1G0097790 transcript:SECCE2Rv1G0097790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKSAKKAADEDEAKSKKIRSSRGKRRSVRHSRSRSRSPSPDGSSSSSPPRKRSKKPTKKIVDKRSKKSKGSGSRRRRHRSPSPSRSASASPSSVSRSHSRHSHHSISSSSASDSERSVSPLPKGHSKDPRKKKGRGKDKDRKRRRVRRSRSYSSSPARSGSSRSRSRSKSKSRKRRADGTRHDASRDRVVQDYDKSQDPQNEKRSIDDVYSDEDAVAEDYGKNVELKEMESPPSKDANETGEILPVSCESPEVEEDLELILRQKALENFRKFKGAVAMVGKTENNGTGKEVLTDSPQNTVTKFPEARSAVAPFQRQENNLGVGHSTRSPEFEDFENGRSHWKQETTNRDRSPGILEAGDTCGPTQQLGSGLEEIRSTSYITSHDGRNGGSVMQRLGSTPASSGSIKQRLGISSVVSPVQARPRVRSVVSIPSREGLDGSTFTTIPTACENPASVESISEVRHLPAEINKLEGIDGDDRKIGEASVPESSVLSTDEGKSQAAGTEDKDGSQFEKRTFSRMHDGETVQVSYKVYIPATSPRLARRKLQR >SECCEUnv1G0558360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:332025093:332027319:-1 gene:SECCEUnv1G0558360 transcript:SECCEUnv1G0558360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPFLAQTSQTTRPVASCFGLNRRAAARNFGRYSRWWGARSKAVHCPRSVFGPTNGSPSSDTVKKRKIVEHIILLRAKPNISDAEEKDMLDYLYTSQYQTRGILTVSLGRIEGPNSESFTHAVFMRFQQKEDIAKFQSSSYYSKVLDEHVKPVSYGLVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLISFLETASRESVEDALAHLQKLIIHYSSFIVQATSGCCLDHMDSLYSHAAVIRFPSIDDFKLFKKSTEYKDMWTSKFDPVAERCLELHFVVDPVGNQLM >SECCEUnv1G0553690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:276717571:276719076:-1 gene:SECCEUnv1G0553690 transcript:SECCEUnv1G0553690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCLSTRWRHLWKNVDCLILDLCSLRMPESEICDYHQKPCLQEAQVKKFVRKTNGLLRNHYGNRIKEFAVRFPLTSVNASDLDHWIRFAASASTEKLCLDLYDNNRSSCLDRSHDEPYKFILSPFSDGRGCRLGELTLSNCTVRTTPANLSGFACLHFLELSRVKIADATVSNIISNCCALKRLILAFCNQLIHLTVTCSQLLNLNVDFCDGLISVCIHADNLEAFMYKGHKINIEYKYAPFLDTLRVHFTKKNQCPLDFVSALPQLPKLETLILQFSGPVQVSRALRHTLRFANFKMIVFFLVKSWKECICSLVYLLKTAPSLEYFGVHGFSKLKEQPSELNMTWPEDLTFARLHIIVIKGFSGEPELMELLYFLLRRAPALESLQLETRAYEPFVFRKEKHKSEDEERCRYAMEMASTHLAPKVPSTVAFTIT >SECCE3Rv1G0173150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:319140942:319142842:-1 gene:SECCE3Rv1G0173150 transcript:SECCE3Rv1G0173150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKQTMFKGQTKKKSIPPSRHGKAPHIRKGKRAVKPTKCTKDMDADKDLTKFINHANEIKAANKASKEGGDLSIVKSDGDTSNSKQ >SECCE6Rv1G0417600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659158754:659160004:-1 gene:SECCE6Rv1G0417600 transcript:SECCE6Rv1G0417600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMAGMLPGVEFARRRRLRPAAEAPCAGARRPAPLGVFGHDHAHLGSAGFAKQRSGVCEEAWTTRLDGIAREAKERLDQKLRSQRESVVKRRHSTGSLRLPAPSSTPSDHPAKDTAGALQREVFTKRCGGRRFSWGRRKEQQQAECAVCLEEFRAGDVLAHLPCAHRFHWACAVPWVQAASRCPFCRAAVRLADHQHP >SECCE2Rv1G0117980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:778323914:778329217:-1 gene:SECCE2Rv1G0117980 transcript:SECCE2Rv1G0117980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Viroid RNA-binding protein (Fragment) [Source: Projected from Oryza sativa (Os04g0614600)] MIARGLLRSNASSQASKLVKYLTSAGSLQGHAESLSDASVRHFSSASSPQTNSTEENGFKGHGMLAPFTAGWQSNDVHPLVIDRSEGSYVYDINGNKYLDSLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNRTTKPTLDLADDLLSMFTASKMGKAFFTNSGSEANDSHVKLVWYYNNALGRPDKKKFIARSKAYHGSTLISASLTGLPALHQKFDLPAPFVLHTDCPHYWRFHLPDETEEEFATRLAKNLEDLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFDKVQAVVKKYDILFIVDEVITAFGRLGTMFGSDMYNIKPDLVTLAKALSSAYVPIGATLVSPEIAAVVDSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYRERNIPDHVKQIAPRFQEGLKAFAGSPIVGEIRGVGLIIGTEFADNKSRDSPFPAEWGVGAIFGQECQKRGMLVRVAGDSIMMSPPLTMTPGEVEELVSIYGEAMKATEEKVAELKSKKN >SECCEUnv1G0564050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:379905948:379906763:-1 gene:SECCEUnv1G0564050 transcript:SECCEUnv1G0564050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRTAATATLSMKLLVDTKARRVLFAEAGKDVVDFLFSLLALPIGTVVKMIGAKSMVGSAGDLYRSVEKLEGTYVQPGAALLGLPALAPSKRFLKCSYNCSEYVTDVSGNKCPRCSYAMTAQIHYVSGAGVTQNAATPDGFVRGVVMYTVLDSLAVSPMSAISSIALLYTFAVTDLTALQEMTVQIGYKEILKASLQCKTVLTDVFLGKKPPSHD >SECCE1Rv1G0058710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701735311:701735850:1 gene:SECCE1Rv1G0058710 transcript:SECCE1Rv1G0058710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTALSSAFTLLHAKPAATSLPRPHPFVPVHGRRPSVAVACTSTAAASPKVLELGDAIAGLTLEEARGLVDHLQERLGVSAAAFAPAAAVAAPAGPAAEAEAPVEQTEFDVVIEEVPSSARIATIKVVRALTSLALKDAKDLIEGLPKKLKEAVGKDEAEDAKKQLEAVGAKVTVA >SECCE3Rv1G0193350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:769276306:769276743:1 gene:SECCE3Rv1G0193350 transcript:SECCE3Rv1G0193350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDNLRKRHIIKPLDCVFCAEQETNHHLFFDCVVAKNIWSFVNIFFQKNLGANFESLARLWISNKKNLALNVVSSAVLWCLWKYRNSMIFNNTIWTSINQVWRLIHRMIKFWVILIPETSKTRVEEFLSALAKLLQQPLMISCG >SECCE1Rv1G0047140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:621717610:621721654:-1 gene:SECCE1Rv1G0047140 transcript:SECCE1Rv1G0047140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAKYLETARADRSVWLMKCPPVVSQAWQGASASSGDANPNPVVAKVVLSLDPLSSAEPSLQFKMEMSQTSVASTCNLPKSYSLNMFKDFVPMCVFSETNQGKLSCEGKVEHKFDMEPHKDNLLNYAKLCRERTQKSMVKTRKVQVLDNDHGMSMRPMPGMVGLISSSSKEKRKPTPTKPSDVKRTRRDRRELENIIFKLFEKQPNWALKALVQETDQPEQFLKEILNDLCMYNKRGPNQGTHELKPEYKKSSEDAAEGK >SECCE4Rv1G0257390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:666747579:666748046:-1 gene:SECCE4Rv1G0257390 transcript:SECCE4Rv1G0257390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSATGAGAKAKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLSAVLEYLAAEVLELAGNAAKDNKKSRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVVPKINPVLLPKRTAEKAPKEGKLPKKAAKSPKKA >SECCE5Rv1G0345260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649731845:649732282:-1 gene:SECCE5Rv1G0345260 transcript:SECCE5Rv1G0345260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR family protein, Negative regulator of auxin synthesis and transpor [Source: Projected from Oryza sativa (Os09g0545300)] MISPRSLVQQAKKWQQMAALGKRRPAMMGAIHDPNLRGASAIACKGHCIVYTTGGERFEVPLAYLSTTVFGELLRMSEDEFGFTSEDRITVPCNAAVMAYVMCLLKRKPSVEVERAVISSVVMARSNQSGIAMVCTGLGQSIAIF >SECCE7Rv1G0468350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:96889190:96890265:1 gene:SECCE7Rv1G0468350 transcript:SECCE7Rv1G0468350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAATAQIDPSPSPSPAALAKSRLKRLFERQVLRVSPAERLPPVPAGGEKDREKDDLEPSSVCLDGMVRSFLEDGGGAVGGERPPAARCCNCFNGGDASDDEDGPAAAEASAISDAAETIKGLVHCASLRERNLLADVSTLVERHRAAGARKRDLLRLLAASLRAMGHDAALCLSRWDKTPSHPAGEHAYVDVLLPAGSERGDRERVLVDVDFRSQFEVARPTKAYRAVLQRLPSAFVGREDRLRLLVAAAADSSRASLKKRGLHLAPWRKPEYMRAKWMSPYEREAPPPAPDGPASASELAAIGEGGEDGAKA >SECCE2Rv1G0072330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:54085743:54087389:-1 gene:SECCE2Rv1G0072330 transcript:SECCE2Rv1G0072330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGQGQGQGAATSLPPGFRFHPTDEELILHYLRNRAAAAPCPVSIIADVDIYKFDPWDLPSQAVYGDCEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHHPATGQGVGVKKALVFYKGRPPKGTKTAWIMHEYRLAADPLAAAVNTYKPIKFRNVSMRLDDWVLCRIYKKTGLASPMVPPLSDYDHMADHDDLSGGGSTFDDAACSFYAHSSSSNSSACGTMMTQQPPHAGRLPTIPSFSELFDDYSLAQILDAEAEHGATHHLAVHPSLNQLLPVGDSAHGVQPSYYAPSSSSPDASGGSAGKRKAASPEESSAKRINGSCFDAPPQSATGWQTAASVLGGLGHQMLPQF >SECCEUnv1G0538790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74246329:74254477:-1 gene:SECCEUnv1G0538790 transcript:SECCEUnv1G0538790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFDAYFRAADLDRDGRISGQEAVAFFKGSGLPQPVLAQIWTYADKNRTGFLGREDFFNSLKLVTVAQSGRQLTPDIVKSALFGPAAAKIPAPRINIPTAAPQANSLTSPPQPTQALGPRQQTPVVNGSQGPPGSSLNQQVPQPGNLVRPPQPPSANTPQVPQQGHSVRPPQPPSANTPQAPQPGHPVRPPQPPNANIPPAQGIAPRPPVGGGLSGLNQTGSTTANLSTDWFSGKKSASPLGGTSQAPVRGASPQVNLGTVGIPTQSSTPAAQAPAITTSVKPNPTDLNILSSQPAVNDSKALVPLGNGSPSNSSFGVDPFAATPQPTQNPSFPHVSNGLPGSTAHGPAAGPHHPPKPMPPGPVQGISSLPSHTGQVPPNQPAPKQNQFNSIPSTPGPLSANIPGGQIPTNQKQFQAPWPKITQADVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPREILRKVWDLSDQDKDGMLSFKEFCFAVYLMERFREQRPLPDVLPDGIWAEGISLPSTGQFAENTSGPAPHQSAGFASRAMQGQHPGMPPSSVKQQHRRPLHFDDDTTPTEPQKPKVPALEKHLVGQLSKEEQNALEAKFKEASDADKKVQELEKEILDSREKTDYYRTKMQELILYKSRCDNRFNEVSESMSADKREVQSLSAKYDERCKKVGDVASKLSMDEATFREIQAKKLEIYNSIVKLQKGDGDDEKLQERANQIQSELEELVKSLNEQCKRYGLRSKPTTLVELPFGWQPGIQETAAVWDEEWDRFAEDGFSIIKELTVEVEPPAVKESQPAVEDGKVSSNGVSTATSTEKEDSKSDKTAAAEQTVEPEATGSNGKAELAKNPPVSPAKKAKDGHTNEPSGTNADSPRAADSISNDGASDSPVHGDKANNRHSWGPSFDHGDDNDSLWNFGDKDGENGDSDLFFGPGGLPPIRTGGSTSASAFGKEQKPMFDSVPGTPMEKPFFDSVPGTPLQKSVFDYSVPSTPMQNSSFDYSVPSTPMQKSLFDSSVPSTPMQRSLFDSSVPSTPMQKSLFDSSVPSTPMQNSFYDSFPSTPLQGSLFDSGPGRVESPTAGSTYGGGQQKGFFDSSVPSTPMYNSSFSPRYSEAGDDSSFDTFSQMDSFGAKDSSSFGQPRDSFSRFDSFGSSAELGSGNDTFGRFDSFRSNADQGGDSSFMRYDSMSSNADQGGGNNSSFMRYDSMSSNADQGGGGNNNSSFMRYDSMSSNADHDRSNVFARFDSMKSTDSHDQGYSFDDDDPFGTGPFKPSKPSTETSSPTRHGTDKWSAF >SECCE2Rv1G0131190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:883591381:883593788:1 gene:SECCE2Rv1G0131190 transcript:SECCE2Rv1G0131190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAASSVGVASVALLLVVIANASASDASLEGRRALPGREIHLEPIVYTENALVPVATSPWRRSAEETPPLPANNSLVLAAKRTRRSDPSANLTMYGGGWNISEMHYWASVGYTGFPLFLLALVWFLGFGAVMLVITCCWCCCCFCRNPSDRYSPASFNTSLILLLVLTIATIAGCLVLNAGQLAFHTSTINTVDYVVGQGNLTVDNLRNFAGSLAAAKNIGVDQIFLPVDVQRKIDVVEEKLNSSANEFSARIVLNSDKIKNVVDLMQVSLMDIGCIMLGLAILGLIFSVLGLQCFVSLLVIAGWVLVTVTLMMAGGFVLLHNVVGDTCVAMDEWVTHPQDHTALDDILPCVDVATANESMHRSEEVTAQLVALVNNVIVNISNRDFPPGLQPLYFNQSGPRMPVLCNPFKPDMSPRECASGEVDFKTAPGEWKRFQCQAKGPAGKELCTTVGRVTPAAYNQMTAAASISMGLYEYGPFLMQLQDCTFVRETFTSISVNNCPGLRHYSKIVYYGLILMSASVMVSIVCWMVHTRQRRRRASAGV >SECCE5Rv1G0343070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:635015899:635017866:-1 gene:SECCE5Rv1G0343070 transcript:SECCE5Rv1G0343070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGSLRLLLLLAAAVTAAAEPASTLMGPSRPVTVALREDRGHAVDLPDTDPRVQRRANGWAPEQIAVALSAAPTSAWVSWITGEFQMGGTVKPLDPGTVGSVVRYGLAADSLVRVATGDALVYSQLYPFEGLQNYTSGIIHHVRLQGLEPGTKYYYQCGDPALPGTMSAVHAFRTMPAVGPRSYPGRIAVVGDLGLTYNTTSTVDHMMSNRPDLVVLVGDVSYANLYLTNGTGADCYSCAFGKSTPIHETYQPRWDYWGRYMEAVTSGTPMMVVEGNHEIEEQIGKKTFEAYRSRFAFPSAENGSFSPFYYSFDAGGIHFIMLAAYADYSKSGEQYRWLEKDLAKVDRSVTPWLVAGWHAPWYTTYKAHYREVECMRVAMEELLYSHGLDIAFTGHVHAYERSNRVFNYTLDPCGAVHISVGDGGNREKMATTHADEPGHCPDPRPKPNAFIGGFCGFNFTSGPAAGRYCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDMYGSAGDEIYIVREPERCLHKHNSTRPAHGR >SECCE3Rv1G0163070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:143742090:143746580:1 gene:SECCE3Rv1G0163070 transcript:SECCE3Rv1G0163070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGENMTTASMPTKAEMDLELSSGVGVPNFEFAFNSEVFSNMVMQIEVVTGGDIGGGSLLIRDGNKKAYNGQIDCFSTMASTPILTVKTLHINSAILAARSRFFLKLFSNGMNEFYQTQPRIRIADSEENAFMELLSFMYSGKLTTTEPTLLLDILMAADKFEVLSCMRYCSQLLRSLPMTTESAMLYLDHPCSLSMAAEVQSVVGAAREFLAEKYKILDTFEEVMNISLSGIEAIFSSTDIHVASEDAVYNFLLEWARARYLEPEERRQILSSRLLPLVRFSHMTCAGLQEILECTDDDIDREQVTKRINEVLLHKAYPTQMEGARAADASTLDWQSAERTYGSKHVKAVAFDQPCPQVIVYMDLTRDECSRFFPPGAILSDWFHLAGQKFYLLANSVLDEQTGLYRSFGLWLGIYGDSISDSLCFDIEFAARTRSSGKFLSKYGGRHTFNGHLLEGCDDLFGVPWSTFIADDSLFIDGMLHLRVDLTVVEQPELQT >SECCE7Rv1G0525750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:892569950:892570753:-1 gene:SECCE7Rv1G0525750 transcript:SECCE7Rv1G0525750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLMLRADPQSAPSAYNLHVHTTWLLTDASRMDAKSLTKTHTTNTSLVANLITTITFAAAFTLPGAYSSATGSEGLPIMSPKAAFKAFIISDTLAMCSSFVVAFICIIARWKDYEFLIYHRSFTRKLMWFAYVATMTAFSTGLYIVLAQRLHWLSISICVLVALLPILTKLLGEWPYLKIRFGLGKNFSSDLLDMV >SECCEUnv1G0534890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:48497132:48500547:-1 gene:SECCEUnv1G0534890 transcript:SECCEUnv1G0534890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGIADAVQAYTGLSPAAAFTVLALMLATYLIVSTLFVAPDAAAPAPAAHPKPPQQQEHGTEAEAEPEPFVPPFPDPVHVGEITLEQLRAYDGKDPAKSILIAIRGQVYDVSRGRLFYGPQGPYSLFAGRDASRALALMSFDLNDLTGDLEGLNPDELEVLQDWEEKFKERYPVVGHLPLENATAGAQPDHEEEKA >SECCE5Rv1G0317180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:338508693:338508914:-1 gene:SECCE5Rv1G0317180 transcript:SECCE5Rv1G0317180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTVEDSSKPRPKRTGAGSLLKPLNSEYGKVAPGWGTTPFMGVAMALFAIFLSIILEIYNSSVLLDGILTN >SECCE1Rv1G0033180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:463410195:463411487:-1 gene:SECCE1Rv1G0033180 transcript:SECCE1Rv1G0033180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLGPPTAIYAPPSPSTITSIGDDLLREIFLHLPSLPSLVRAALACRTFLHAVRSSPVFRRQFRSLHASPFLGLFTEFYSCSLPSFMPLRRRSDPDLAAAIRGADLFLTRLPEDNDDPSPGWEIQGCQEGYVVLLHRSTEQIAAYNPLTLALDFLPPLPHEMSRSGSLEIHVVFSQEDQGSFRVVCVRHGRSLRRALARIAVFSSGDRGKGWLVMPSVDTATPQPGDGGVDKLMFHTGTLVNGFVYWKRKTQASMLVLNTATLQFSQMDLPQPLKGKHSTNLGLGQTKDGELCIVCADDSLAKKWTLAVWFWRADDDGVKRWMEERFPLHKIVDFTECSGEDHVVVNSVAVVDGFVYLSSYCHASTESPEWFLSFCLETAELIKLFRGTFDSPLHPYIMAWPPSLVCNKVSLNLNPPTSGPWIIVVYS >SECCE3Rv1G0150540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:32494468:32496036:-1 gene:SECCE3Rv1G0150540 transcript:SECCE3Rv1G0150540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSCQSGGVMEPPEDGDLAARLPEHVLADVLRRVTGPRSLAVSRCVCKAWRAIIDGEGLLRTDLPFGGIFICFRELSLPEFFSRPASLDQPSISGKLDFLPRAIEVRPDGRSLDGGDYYIEDQCNGLLLIHGNYNHDHYVVNPATRWWNALPLCQQNHTESEGIVRGILTHDYYLAFDPKVSSHYQVFQIPYLRWGRGEIDPSEETSEWPPTPYILHVFSSRRGRWEERLFVREGDAAGTLAKARVVGIRLHSVYWRGSLYVHCQSDFIMRIFLSEDKYSVIKPPTAVGDIDYIGLSEKGVYCASFVKNHIRVCILNESSNQLEWILKHDYDLKPVKVFDRQAHGSWILEDINYGIFRSHLPNINKEEVIQEKLEWNSDDDGFHDNAGTIEAHNHPYFEIEILGFHPHKEILFLSRSEDYKLNAMAFAYHLNSFKVECLGSIYPTGHEYFDSGLSNESREIESFPYTPCCWIEKAPEIVN >SECCE4Rv1G0265410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725804914:725806536:-1 gene:SECCE4Rv1G0265410 transcript:SECCE4Rv1G0265410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFLFSTTVFILIIFSLYLLGLLADRRRNLPPGPCPLPLVGNLLSLGALPHRTLARLAERHGPIMAIRLGTVTTIVASSPDAARDILQRHDAAFSGRSIPDGTHVFAHNTHSMGWLPASSPRWRALRKVCSGELFAPHRLDMHHTLRQEKVHQLVSHVMQLARDGTPVRVGRLSFTTALNLLSSTIFSTDLADLDDRHVKPWEFKAMLAELNVTVGLPNLSDFIPEVAWLDLQGLRRRIEGLFTRLHAMIGERIEHQMRDRAAAAGEPTKKNFLDVLLDYRNTDDDQGFERQTVLSLISDLFSAGTDTSSATVEWAMAELLLNPSCMSRVREELDQVIDSKDHVEESDIGQLKYLQAIVKETFRLHPPAPFLLPHVAERTTQVRGYTVPTGARILVNVWAIGHDGKVWPEPEKFMPERFLEKEVDFKGRDFELLPFGSGRRMCPGWMLAARMVHLMLASLLHRFEWTLPVEVEKKGLDMGERLGVNLSMASPLEAIATPV >SECCE4Rv1G0241070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448286798:448287859:1 gene:SECCE4Rv1G0241070 transcript:SECCE4Rv1G0241070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIIERRESTSLWGRFCNWITSTENHLYIGWFGVLMIPTLLSTTSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASIDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATVVFLIYPIGQGSFFDGMPLGISGTFNFMIVFQAEHNILMHPFRMLGVAGVFGGSLFSAMHGSLVTSSLIRETTEDESANEGYKFGQEEETYNIVAAHGYFGRLIFKYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQYVVDSQGRVINTWADIINRANLGVEVMHECNAHNVPLDLAAVEVPSING >SECCE1Rv1G0046810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:619754805:619756029:-1 gene:SECCE1Rv1G0046810 transcript:SECCE1Rv1G0046810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHDGARVSHSVSFLLGALLPTLLLFFLASDRLGQKLASISSPSLGKNQNGSAHQQMSHANLISTTHGSAQDKEERAFPGLAELLHKVATDDRTVIITSVNEAWAAPGSLLDLFRGSFRDGEGIAHLLNHTLIVAVDAAAYRHCRRVHQHCYLLEVEATARTSMNLSSASDFMSDAYLELVWAKLELQQRVLQLGPSFLFTDVDVVWLRDPFRHIGVHADMAVSCDIYSGDADALDGNWPNTGFYYVKATGRTVEMMRRWRAARWRFPRAHEQTIFNQIKHELASADGDLRLRFQFLDTARFGGFCRLFRNDMATACTMHANCCFGLEKKLSDLRDVLGQWKNYTAMTPPERRNAKSAGWRVPAKCGTPDKRAPPRPGS >SECCE2Rv1G0109630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:679043687:679047973:-1 gene:SECCE2Rv1G0109630 transcript:SECCE2Rv1G0109630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDAAAAPAPAAAAAPAPAVSLDDTKAKNVLRQVEFYFSDSNLPRDGFLRKTVEESEDGLVSLALICSFAKMRSHLGLDATVKEDGVPETTVLAVAKVLRISAALRVSEDGKRVGRANELLKPDELIVQIDSRSIAVSPLPHNVKLEDVQSFFAQYGKVNSVRLPKHVADKRQFCGTALVEFSEEDEANNIMNNKLSFAGADLEIKTKKEFDAEMEAKKEAYDKSHPNTHPNKNGHDEGYPKGLILSFKLKRILADGDTEQNVGDKVDNSDNAKKEGASDSAEESGKASEEKAPENTDAKEEKSDDAEELKGSDAQSVKKDDKSLSENDKEPVSREDFKEYFAKYGTVRYVDFSKGDDSGYLRFEESTAAEKARAFAALADEGGLIMKGHLVTLEPVSGQAEKDYWSGIKGGQGRFNNNNRSNRGGRDWKNNRGGGGRHHGGGKRGGRHSDNHERANKVQKLDSSP >SECCE4Rv1G0230740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:172985663:172986798:1 gene:SECCE4Rv1G0230740 transcript:SECCE4Rv1G0230740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELSKEQIDEFRAAFNLFDKDGDGTITATELGTVMRSLGQRPSEEELREMIAEVDTDGNGAVDFSEFLTLLDRKMRGAEDEDELREAFRVFDQDKNGFISLDEFRHVMLKLGERLSDQELKEMLREADLDGDGQINYSEFARVMMAKMDSTGSKPSMSPLFKNGLRVCRYLLAFRIMEIGRSIKRGRRKSQENSREMVVRKSQQNSREMVAEKTGGDADPPPDQGNKDKRGSCIPSCIIL >SECCE6Rv1G0400840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:458968722:458969819:1 gene:SECCE6Rv1G0400840 transcript:SECCE6Rv1G0400840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCKTCDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSMCNYSSMDGVLYCKTHFEQLFKETGSFSKNFTPGGKSSEKSEAKAPSKMSSAFSGTQDKCAACQKTVYPLEKLTLEGECYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIKTAQTKKENVEAAAAAEAEAEAAAAAAEPEAPAQDEA >SECCE5Rv1G0347280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666312827:666314105:-1 gene:SECCE5Rv1G0347280 transcript:SECCE5Rv1G0347280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVAADGDNAREDDQVAADGSGLGTAVDPVLVANGDGGAACEEGSVVGAADGVDEIASAGGGGSSAAGLGQGAEVAGGYVAVVARDSGQGTAKPASTSASDQGMARLASATRVCPDGMVPNSSYLLRIKLFGNPKKARKDILCFCFEKEVDCDLTNYKGLVESIVKQYPPRYMEVAHMQYYDGDLKTCPEVKSDQDLMLMFEKHSETKVLDMIIAYRDPSEPYEPITEWQSDVHSEPDNNIEHEDDTTNKRYAKGGKRATRTMELTKNDQNGPKVPFDSPAVGTRSKKSYLASPAMSTRSKRRLSS >SECCEUnv1G0530780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13935928:13936863:1 gene:SECCEUnv1G0530780 transcript:SECCEUnv1G0530780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKGGKGQQKITIRRIEKEAARQVCFAKRRQGLFNKANELAVMCGAEVAIVTYSSSGKAFSFGNPSVEAVIDRFLVAGGRGVPSATNDNKLKKLQLQHGELRMQLKEVKMRKKCMEEAMAKGRAMGDQIAVCLNPELGDMGEEEMMAFAAKLMPVRVAISECANQVLLNLGMDNVLWGLQAPGVPLPQQLFGGSTFEFGSTITNTGMEMQQMHMAMPPPGLAYGMDMQQILMSIPPSSGFGAGMEMQHMLMVMSPQPEFAAGIEKQQVTMAMSPLEFPNDMEMSPPMGIAAGTEMVQQGPGKNIGFPY >SECCE4Rv1G0287000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:852950424:852957535:1 gene:SECCE4Rv1G0287000 transcript:SECCE4Rv1G0287000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDAFASYVLRMLTEMASEEVHMLLGFRPEIDKMDIKLRDLKNFLADADRRNITDKRVQEWVAQLKRAMYEAADILDLCQLKAMERGMSSADVGCFNPLLFCMRNPTYAHNIGTRIKALNKRLNIIQERSAAFNFIPLGSYEDHSSKVHGSHLGNKRRETSGEFDRPGVVGEKIEQDTRKLVEIMLSEKEGNTNIMVVAIVGVGGIGKTTLAQKVFNDEAMNAEFEKPIWLSINKDFDKAELLRTIITEAGGDHGGEKALAVLQPILATTLKGKKLFLVLDDVWDHGPWDDVLKKPLANAVAQGSRVLVTTRDERVARRMKVVLPYHHVDKLEEEDAWSLLKKQIISSETDEREIDMLKDIGMQIVAKCDGLPLAIKVMGGLLCQKDKKHREWEMVLDDSIWSISGLPEELNHAIYLSYEDLSSCAKQCFLHYSLLPKTAVFFSEAIVAMWVSEGFIHGTSDDLEELGSKYYKELILRNLIVPNTKYVDQSVCDMHDVVRSFAQFVARDETLTDSGETNIVSKLSEHEFLRLSLESKASESDGLHWSSLQAQKTLRALISVGYINIKPGDSLVHFPCLRTLHIDSAHVAALLKSLHELKHLRYLSLKNTDMSRLPDSIGKMKFLQYINLRGCQRLVKLPDNIVKLGQLRYLNFSRTNVNGTPRGFCVLTNLRILYGFPAQQDGDWCSLEELGPLSQLKHLELNGLENITASSSAAKAKLADKMHLTILLLNCGSILGNDGLIKEEGCVSEEAQQQIEKLFDELCPPSRLETLDIRGYFGQRLPRWMISSQDVPLKNLRILFIADLAYCTQLPIGLCQLPYLELIQIDRAPAIKLVGPDFLQSYHHHSPRPSQMVAAFPRLHEMNLIGMVEWEEWEWEEQVQAFPVLQQLMLARCKLKCLPPGLASQARALNKLIIYYVQGLIYVENFPSLVELKLAMNLDLERITNLPRLQKLTIQDCPKLKALEDVPALQRLILTNKDMETLPEYMGSINPSHLEVYCSLALLASITTGQSGPEWDKFRHVEHVKAYACEGDNSRKWYVLYTANPYSLETNVNLSAFMSRGTLTSFEDTQRFESIFKMTRKTFSYICSLVYVPSLEDMNSYTFDNGRVLCLEDRVAIALKRLYSSEPPETIGSSVSVSESTILLVSERFVDVVYKRAKNHGRWPDSSKMDNIKSMFDKIHNMHNCCGVICTTHIPFGPNWDCEKNDIILVQLVIDPEMRFRNIWWGPISSMNQSSILHESNLFNECEEGSCLNGSKLKVALDGSEVGEYIIGDAGFPLLPWLLTPYQEEDLSDSKAEFNRRHNAATTCAQKALARFKDTWKYLQGETSFPVNPDTLVETIYACCYLHNIVIDMEGDGAMPSPKRRNCSKEVRQLANEDAVRARDMLSQYFLTSMSSESGVGMADLEVAAI >SECCE1Rv1G0032920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:461029216:461033301:-1 gene:SECCE1Rv1G0032920 transcript:SECCE1Rv1G0032920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEELPGDSGGGGGGGGAGELQLRREDGGADGCELELRGEDGGVAAAAEDKGEGAGGVIAQAALDAKRAAVGVGARVLFYPTLVYNVVRNQCESHFHWWDQVDEHVLLGAVPFPSDVLRLQKLGVCGVVTLNESYERLVSKSLYEAHGIENLVLPTRDYLYAPSFDNLCKAADFIHRNASCGKLTYVHCKAGRGRSTTVVLCYLVQYKQMTPAGAFEHVRSCRPRVLLASAQWKAVREFYQLRVKKIQEAGCVDSPIIKKASSPVFLPTRNLITFDEKTFVMVSESDLEGYNAESLAVNVGSGLWEISLVYRVQFASQAAFAGFSYLWLQCHAHKDKEALAESVGSESCSLEAEQPANGHHCLLQGVVVNP >SECCE5Rv1G0334040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:563364255:563366363:1 gene:SECCE5Rv1G0334040 transcript:SECCE5Rv1G0334040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAPLSKDLNLPAQQHPAANGVRTPPQMSSPGLLRYRSAPSAMFGDVCGDFLPVSAGAGAGHRQGSPDHAADTGFARLLSGHHHQQHHPEMRDKPPRPATSHFAEDAAASMASQQQLMYQSQQQMAAMEGLFRTASSGVTDPVVPAGVGGNDSLLRQSSSPAGFLNHLNMDNGYTNMLRAGMGGAAGAGGYRNGDARLKGQLSFSSRQGSVMSQISEMVGEEMGGGSSGDDEAGSNGAGYGGIPGYPVVAPSAAGWDEPSPSPSPSLLTSDGLSGPAAKRRPRDGPANGAARQLKPQFSLPASSKPSPEIAAIEKFLQFQDSVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMEKQTNTSDMLDLAVDYIKELQMQVKVMNDGRASCTCAAGRPQKHFAG >SECCE3Rv1G0208100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:927680962:927685217:1 gene:SECCE3Rv1G0208100 transcript:SECCE3Rv1G0208100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWEPKMPSWDLGTVVAPSGGGGGGGGGALDLKLGAPTSWRPVPTAAAASVQQHQQQQQPPSAAPAPAKRARAGQGQQTVPPCSVEGCTADLSRCREYHRRHKVCEAHSKTPVVAVAGQQQRFCQQCSRFHLLGEFDEVKRSCRKRLDGHNRRRRKPQPDPLNPAGLFANHHGVTRFASYPQIFSPTSMAEPKWPGGIAVKTEADAFHEQYYSFSGAASLFHHGKPERKHFPFLTDGGGDATFGCQPPAFTITPSSESSSNSSRHSNGKTTMFAHDGGPDHNCALSLLSDNPAPAHIMVPAEAHHLAGGGGGGVTIQYGGGGGGKVARLSSNGDVSLTGLSYVSLGDKGSPMLHASNRSHHNAATATTAVTTSTAAASAASQLQQQYHGYYHHQHQVSADQGSHPDAGGMHALPFSSW >SECCE3Rv1G0198810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:835998433:836001573:1 gene:SECCE3Rv1G0198810 transcript:SECCE3Rv1G0198810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVPEPRRLSRALSFGVPDEALHLVMGYVEAPRDREAASLVCRRWHRIDALTRKHVTVAFCYAADPSRLLARFPRLESLALKGRPRAAMYGLISDDWGAYAAPWVARLAAPLECLKALHLRRMTVTDDDVATLIRSRGHMLQELKLDKCSGFSTHALRLVARSCRSLRTLFLEECLITDEGGEWLHELAVNNSVLVTLNFYMTELKVAPADLELLAKNCKSLLSLKISECDLSDLIGFFEAANALQDFAGGSFNEVGELTKYEKVKFPPRVCFLGLTFMGKNEMPVIFPFSASLKKLDLQYTFLTTEDHCQLISKCPNLFVLEVRNVIGDRGLEVVGDTCKKLRRLRIERGDDDPGLQEEQGGVSQLGLTAVAVGCRDLEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDRQKQVTDLPLDNGVRALLRSCTKLRRFALYLRPGGLSDTGLDYIGQYSGNIQYMLLGNVGESDHGLIRFAIGCTNLRKLELRSCCFSEQALSLAVLHMPSLRYIWVQGYKASPAGLELLLMARRFWNIEFTPPSPEGLFRMTLEGEPCVDKQAQVLAYYSLAGQRQDCPDWVTPLYPAA >SECCE6Rv1G0440850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:807204148:807204774:1 gene:SECCE6Rv1G0440850 transcript:SECCE6Rv1G0440850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPNTGAKSFKQEFLKNLLLALQSQERTSTSFGSGTMSLHERKLAVKSSANVAMAAARGASSNDARWARAILASAAASPSSNACKVQRCRRIVSRCFRRKRSWIRSGRAGAGAVARRLLRTRAMALREVIPGGLDAAVKEATLLRDAMDYAVHLRAQVDVLRRLLEAVPRSSSISRQCQH >SECCE4Rv1G0251190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:600745852:600746379:1 gene:SECCE4Rv1G0251190 transcript:SECCE4Rv1G0251190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEGEKKMITLKSSDGQEFDVEELVAMESQTIRHMIEDDCADNGIPLPNVDSKILSKVIEYCKKHVQASPKPADSATIADANSSTSTAAAAPAEDLKSFDLEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >SECCE6Rv1G0447730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:851282526:851290648:1 gene:SECCE6Rv1G0447730 transcript:SECCE6Rv1G0447730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEYESYMHGMEQELPALGLKRLKEMIKKCQAVPCPPQLHAPADDSAASSAAASAMVVVSGGSSRCSGAGRHCYVCDESFFPSLADELSAIVRCFNERAERLLKLHLACTGWKKYLMCFTDSSDKTHEALIRQGKDLVTYAIVNAVAMRKISKKYDKKCRSKQGQDFRTKARSMHIEILESPWLHELMAFYINLRRTDDSAAMELLGDLSLTFDDDDKPTLSCSLFDSLRIDIDLTCSICLDTVFDAVSLSCGHIFCYLCSCAAASVTVVDGLESAGRGAKCPLCRRAGAFPDSVRLNQLNILLRNSCPEYWEKRMQTERVERVRLAKEHWERQCRAFMGI >SECCE3Rv1G0159170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:94272705:94273211:1 gene:SECCE3Rv1G0159170 transcript:SECCE3Rv1G0159170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGRQRGWVRVYDRALVDPDGKRRAVQVVDGLVVANGGFIRAPRRPTNQSKSGGLRALGKDALAAQEDEEPQDLPPKDKRFGYYYSKGWQSPFKYEATSGQMLSWKYDAYEHEEVHARQPPAAARSGGRSACKGSRKFKHDEIKTYYLDAADVVDDQLDCLCDFDS >SECCE3Rv1G0180160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:565503054:565506228:-1 gene:SECCE3Rv1G0180160 transcript:SECCE3Rv1G0180160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDYSSWARALVHISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTSRMHDPESMRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSTLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPTKV >SECCE4Rv1G0223890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:75298704:75299381:-1 gene:SECCE4Rv1G0223890 transcript:SECCE4Rv1G0223890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRDMEQTEETVTVNPARLLTASGEQQGDAATWLNLTLGVNCSQPAAEDPSSCSDEEPAAKHKQDQPPPPPPAAAAAPTTPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSSSLSYHHAHHQRMVMAGLPLEAHAAIVRALRVNPASSAVHKPARQEATAPRLHDGVVGPWPPLVYEEVLGSTSWPGSFRMRTQTEPPSSEQQLLPEQTKKMDLSLRL >SECCE2Rv1G0120770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:801366330:801380216:-1 gene:SECCE2Rv1G0120770 transcript:SECCE2Rv1G0120770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREADLEEVVLSWTAQEISDDDLYRDKVETIPCNFKSLDHYLTSYRVPLIEETRSDLCSCLELISEAPSSKILSMEVAGKPGSYFMDVDFWDNDAGFSTGAYSARNGDIYILSSIKPEATEDLNRHGVTYCLAMVTEVSMDDEYQKGFRVKVAKDICLEEEDLNKLKHAIFLNNITTNTRIWKALTFDTHMNENFTVIKSLLAPTNRGEDVCCMCAKQEQGCLASYTEQLLSVKLNQSQLDAIESVISAVRCGHVNRVKLIWGPPGTGKTKTVSALLWILACLKCRTLTCASTNVAVVGVCTRFLQNLKDFNEHIDNICLPSSLGDILLFGNRSNMDITEDLQEVFLDFRVDELVECFSSLSGWNYRIASMISFFEDCASRYDMLLEDDGKIDPVCFLDFIKKQFDATAIALKRCIMNLWVHLPGRCFSRDSVINISSLLNMLENFGILLCNVDLTEEGLKRGLGYLSTENSVCAQPISSIEKELDGARSSCLKLLKDLLHSLNLPTGVGKDWVQSYCIRNATLLFCTASSSYRLHHMEIAPLDVLIVDEAAQVRECELVIPLRLHWLKHVVLVGDDCQLSAMVKSKVCKEAGFETSLFGRLVMLKFDKHLLNIQYRMNPCISLFPNTQFYERKILDGSSVLSPSYNKDYTCLPFGSYMFINVTDGREDKEGTGNSRRNMVEVAVVLHLIHTIFKSWKRTDQGLSIGVVSPYKAQVDAIKSRLGKKYDTCDGFHVRVKSVDGFQGEEDDIIILSTVRSNGRGVVGFLADNQRTNVALTRARHCLWIVGNAHTLYKSGTEWTDLVADAERRKCVFSATNDATMCKLVVQVKQELDELDDLLNADSAVFSNTRWKVILSDEFRKSFTKLKSPQLRKEVLQKLIRLGDGWRTTVKNIDIPGVSHLAKIYKVRNLYLVWSTDVEKTEGRYSQIIRIWDLVSQQNVARTVQRLENLFSMYTDDYLDHCRRVRTQGKLEVPMVWHIKHDIIRYNKDCKADAHEEHDLVDTSYAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEEQEIIQFPLTSFILGRSGTGKTTVLTMKLIQKEQRSLIASQGLHLGDDLSGLDHKNIMPLKDAGESFVKQVFITVSPKLCSAIKNHISGLKRFGTGDVSGQPSILHMHDIMDDLEEFTEIPDNFCDLPHEHYPLTITYRKFLMMLDGTCRTSFFDAFYGEMKSSFERGHSRSRAVQTFIELKEVTYEKFAAFYWPRFNADLTKKFAASTVFTEIISHIKGAYQASRPCNGKLGRQDYVMLSDKRFSSLNNEKRDKIYDIFLEYESMKCTAKEFDLSDFVNSLHSSLVSEGYNGDMVDFVYIDEVQDLTMTQIALLKYVCMNIKEGFLFAGDTAQTIARGIDFRFEDIRSLFYTAFLAETEASNQGLKHGKKVHLSDMFQLSQNFRTHCGILRMAQSIMSLLYFFFPSSVDKLNPETGLVYGEAPVLLESDNDENAIMTIFGESKTKHGNLHGFGAEQVILVRDDPAKKQIIDLVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLRNKWRVLYGYMKHKDIISHSEEISHPGFDRSKHYLLCSELKQLYVAITRTRQRLWICENTEDYCRPMFDYWKKLCLVEVRLLDSSLIQAMQTGSSSDDWRLRGTKLFNEGQFEMATMCFEKAGDAHREKLARAAGLVATANRVISTNLELGKASLQTASEIYESIGMHEKAATCYIKLGDYKKAGMVYMQKCGTSKLEDAGDCFAMAECWSEAAEMFLKAKCYTKCFSMCSKGKKLFNLGLQFLQQLEEEHSLENSKSLEVSAIRTKYLDNCAQHYFECGDIKHMMPFVKAFSSMDHVRAFLNSRNLVDELLSLEMEMGNFLEAAGIAKHNGDVLLEVDMLEKAGLFEDATRLLLLHIIVDSLWSSNSRGWPPKRYAEKEQLLSKAKEMAEKVSECFYCFVCVEADALSDVNKSLPSLKCTLVEGRKCANLLVELVASRSILDVHLQSRASGYNIELGPGSEDENSCNDMLASNQMSPQTLFYVWNNWKSIIIKVLSQLCHADGPELNDYAVMYEDLCAKYFGLRKDGEVDRYVVLNVNASWLSTAGRNSLQQDGNRCFLGAPQCYSCAQCFWMNELSSVGFSILKKLESIVQISPKSSSSYTLVRTILIINEIAKFLEEPQFGMPKSSMKLRSFFVLCERRFFELVFLVWRDGTTRSLLRLLDSPAAYGLIADSLNSNLRPANKNLTHGHLGRTTMLLLHAAQLDEALLSRLLQYLDNSSDWAEFFQYFKRFLDSGGDRSSLILNFKRSLEFTFNVKWKDELDYISPICYVGLMECLGFMASSCLIQNDFICCTKSLLVNMLECRTSKVYIDTCLVSNSSPDSDLDRLAYTSGRFIYQTIMAILTSKHMLQEWVHKTSSPSSTSYKPVLLRLVVTLYPLILTLSLGNCYEVTHNLLRNEVFKDLPLEFSQKIVHALQIKSRTPSNFTRVLADALAAIGDNMVVIGTPKSPVDCRNLNAYMISKEDLYDVPKIMALLCPEEASSVKQETPLPEKSDGNKSSNVISGNIPKAAQNSEMERSSEMDLADDLFEKLETLRAEGLKNPRDIIELLVSALPWLESNTPAGIDKRLLDDVRRICSEFENGSDRVRGRAFLAVEKLRQGENKLQLIFRVLTDARLRRIESEATRKNMNDWSSDAAVQPHADAGGCSDDDEPDTGEAAASTSTSKKAVQKQKGKKKSKKSKGRGKK >SECCE1Rv1G0006390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:33121239:33122964:1 gene:SECCE1Rv1G0006390 transcript:SECCE1Rv1G0006390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPLPLGFGDAMDTTLFSTLWSFQEELQPQESVEELKQSLLAATMELEVSKEELRRKEQSIAKLADLVRQVAKERDDARDQVQQLLLAAAKQAPPPVHQPAPALGTSSVTDSDCSLVSSPVDPFFDPVTSSDRRRCGKLGAASPPPPAKQQQVCGADAVLDMLAAKRPLPPRGRLLQSVMEAGPLLQNLLVAGPLPRWRNPPPVHALDTLPVGGGGGVYMNTTPLHAANAGAALGYGPSTNNACMKRPMAAMPAMPMAATSCSPGFVPKRHRLH >SECCE4Rv1G0216430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10808252:10809605:1 gene:SECCE4Rv1G0216430 transcript:SECCE4Rv1G0216430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLETMDASSLFMQWAVDTLQHEHRPVAAGGSTFASLQDLRRPSLQHGTGMAVLDGHRHQAADSWSSGDSGGGGQDNTSVAAVEKDVWSSNSAKCATACSVGSSNHLPMSWNFTSALAQPRPSNEAAAPPSRAHDGPGVTEQAHVLRGASAKSAARTGHAPYTQDHIMAERRRRERINRRFIELSTVIPGLKKMDKATILSDAVKYVKEQQEKLKALEGRNPRSVVLVKKSRAAVAVPEDEVGGCCCPSPSAGPGAVAGTGSTTKTTTTGSVLPDIEARISESDVMVRIHCADGKGVLVRLLAEVEGLHLSITHANAVPFPASTLIITVMAKVDDGFSVTAEDIVGNLELALPAATS >SECCE5Rv1G0307990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122966396:122969178:1 gene:SECCE5Rv1G0307990 transcript:SECCE5Rv1G0307990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKSRRLSGSRDFRQRLVLATLTSTPIIIKDIRAGEGGLRPHEMSLLHLLDKVSDQHVIDVNDTGTKVGYKPGVVLGGKDLEHDCGVHRGIGYFIEPLILLGLFARSPLSIRLKGITNDTKDPSVDTFRMVTLHMLKHFGVPLEGLELKIENRGAPPRGGGEVLLRVPNINSTLKAVNWIDEGMVKRIRGVTFSTNVSPQIENRILYAARGLFNKFIPDVHIFTDHRAGLSGGLSAGYGVSVVAETTTGCLISADATVSYPNVDEMSEQSKKPELMSPEDLGEQVASMLLEEVAQGGVVDSTHQGLLFMLCALCPPDVSKVRVGQLTPHAIESLRNIKEFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKIS >SECCE2Rv1G0086880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:216626344:216628473:1 gene:SECCE2Rv1G0086880 transcript:SECCE2Rv1G0086880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGNDGLCVGVAEPRRADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVVMEGASLTIAQVAAVAAADGARVELDESARGRVKESSDWVMTSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNAVAVAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASIVLFEANVLGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILAGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISARKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVKNAVKNCVTRVARKTLITNDMGGLHNARFCEKDLLQTIDREAVFAYADDPCSANYPLMKKMRAVLVEHALANGEGERNMETSVFAKVAKFEQELCATLPQEVEAARGAVENGTAAEPNRIVDCRSYPLYRFVRKELGTVYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNGEPLPLC >SECCE3Rv1G0144060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3047141:3050846:1 gene:SECCE3Rv1G0144060 transcript:SECCE3Rv1G0144060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAMSAATHSSRPLSVRVPREKECADNSMVEPMSPTGRIMEEMGVCIVVVIGLGTPVNLPVFRAGIETELITRSPRFRSVQVMDESTNNGKPRWVQTPVNMDDHIVVPRLDPAAVASDPDKAVEDYVASLSLLPMDRRRPLWEFHFLDFPTSEAASTVVLRLHHSIGDGTSITTLLMAASRSTADPARVPAMPPPPKRTGAIYQRQPRPPLSSGDYLALLAWLWSYVVLAWHTLVDVTMMVATILFLSDPRTLFTRADGVEPRTRTRKRFVHRSLSFDDVKLIKTAMNCTINDVLAGVTSAALSQYYFKNSGNTNTKRICLRSLVLVDARPVSTRQTYVTRVETGNRLSSLICPFNIAMQDDPLEYVREAKRFMGRKKSSLEVLFSRVVGEFLVKNFGVKAGAFIFRRFVERTTIIFSNALGPVEHMALCGHPVAFMAPSIYGPPQALTVHYHNYGSDIKVVLAVDDAQFPDCHQLLDGFSEAIRNIKNVAALKTLTTSIQNCKTE >SECCE7Rv1G0475990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:195735248:195735937:1 gene:SECCE7Rv1G0475990 transcript:SECCE7Rv1G0475990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKRQVLHLILSQPRRIGLQIEGDGWKKYTFLEVYFGGEHMTPTGKKYFGGEHATPTGKKVGSLLLPRKHGDVESWKEHYKFQVDSGWQHLCLRLEAVREDCDVDEDTGSVKVLRTGTGEPHTSSYTAAIGAAQVRLLDALVLGDDSDDEAVVEKSCLARANLKDTYKDLAIIPAKGTLVFYERVGLQGWRPPGPGRKPTNVVCGTVDVGLYLTEYGHGSGRRSAHC >SECCE7Rv1G0520790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:871924442:871924729:-1 gene:SECCE7Rv1G0520790 transcript:SECCE7Rv1G0520790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWPFFAEQQTNSRYACVEWGVGMEVGDDVRREVVEARIREMMGVGEVGRELRRKAVEWSEIAVRATAQPGGRSLANLESLLEDVLLSAGENVG >SECCE1Rv1G0060100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:708708963:708709427:1 gene:SECCE1Rv1G0060100 transcript:SECCE1Rv1G0060100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLVLLLLVGAAIAPTLAAGVSPAVNTSMLPAAGSANDTLQRGIIATNQIAHNVTATLGIISDLVRDLNTCTRLYMTMAKDVAAALDHLHAGRVDNAADKLNDAVGAPSDCDIVLMGIGEIEKVPRDPIESENDENDRLIQLAIDILDPPSS >SECCE2Rv1G0112590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:711552916:711557194:1 gene:SECCE2Rv1G0112590 transcript:SECCE2Rv1G0112590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPNQNFGPFSQPFCSQNVVSFQTSATPSGSGGSMPVYLDCSSSMESNMGMMNTTPSVAVSTSSSNMVADSAQNLKYGGPLTESWSHLEIQVLKDCLDRYVNEHGIMKYIKIAASLPTKTVRDVAMRCQWMGTKQTARRRRPAEHHSRKMKDRKDKMVGPSSWGTSHRVQMDTGVSSFVPHHAIQNSPNLSGASEIERIVQLVLEENNQLLTQIDTNIQTFQAQNNTILFNRVRRNIDGLLKIMSQMPGKMSEMPQLGVAVNENLASYLLPDLTMAQVFGQSHLKEEPRGW >SECCE5Rv1G0356570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:740426755:740429507:1 gene:SECCE5Rv1G0356570 transcript:SECCE5Rv1G0356570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAYDPLPGARVLQRTDAVQGSVLHQLSLIWRGIEPFVYLGAAVGLGWAAWKYYDRKAAMCTYGRRITGKGVGLVVGRDDEIDRIISILCRKTKNCAALVGHAGVGKSAIAEGLAQRIATGKVPAALAGARVVEIDLGAMVAGTVMRGMFEERMKSVIKQAENAGGKIILFIDEMHVLPGAGDSHGNTDASSLLKPALARGRIRCVGATTFDGYRNYIEKDPALERRFQKVHIEEPSTQATIGILRGLKQQFEQHHGLEIQDAALVAAAQLAARYITGRQFPDKAIDLIDEACIATIKRAIPANSVKGAVVLPNDVAQVVSQWTGIPVCALEQEEKDKLIHLADRLHERVVGQDEAVNVVAEAVLCSMTGLDHPGQPIGSFLFLGSTGVGKTELAKALAEQLFASEKMLVRFDMSEYVGSGSILRLIGAPPSHDGYDDGGQLTEKVRNHPYSVILFDEVEKADPSVLNIFIQLLDDGVLTDGKGRTVDFKNTIIIMTSNLGAEHLMAGMAGEITMDAARDLLMKQVQKHFKPEFLNRLSEIVVFEPLLHDNLREIVKIQMKSVIARVADKGISLFASDASLDVILSESYNPMYGARPIRRWLEKNVVTKLSQMLVTGEASEGSTISIDATDDKKKLKYKVAKKVELPGDCDADSGRMSAVASPLKRIVSLLATLALKHPDEPAFKPL >SECCEUnv1G0550770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:222472488:222473633:-1 gene:SECCEUnv1G0550770 transcript:SECCEUnv1G0550770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPTIKVPDDLLVEVISRVPYKSTCCCKCVSTRWRDLIAHPDHREKLPQSTLAGFFHTTSGTHDHQHLSHGYLSVSGNWCPHDASLSFLPEYESLQILDCCNGLLLCRGRKSSVPGTLSDPKTLDYVVCNPATEKWVTVPATEWSWLVSSACLGFDPAVSSHFYVFELIPALAWNWYKRDDYSIEAVGIYSSKDGVWKHPIVWDDPTEILYLSGGAFLSGVLYLCSDNNSVAAVDLEGTCRIIPIPTSHDAPGGPNVYVSRGQLYLTIQGASQLSFWVLQDSTSSSENCWTLKLNISYLRLFGIDYSSSEQFYGVISAHPEHNMIFMIKGFRLWYRPETKLFSYAMDSGELRFICDLPWNSRLPYLSYVPLFSESLGDGL >SECCEUnv1G0527190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:390343:394926:1 gene:SECCEUnv1G0527190 transcript:SECCEUnv1G0527190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGMGGVIATVSGYHGDERHRLVKLISETGASYVGAMSRSITHLVCWRLEGKKYDIARRLRVRIVSHRWFLDCLREGRRLPEGPYTMESGEEAGPVPQLSALLGKRSKRNAFREDPCLKELPSDLCNTSYASDVVKIDDSDSDWEHQGWSGSSLLKENLVVAGDSKKIRSGDVKGGKKRLKREQKSTDKDILHLRDNASSLMIREGLHTSSYTSSRSTSKQKGNLSGLVRNDVVGQMGERNDPMGKGSRSKHARSLMELSDDSLSDSFEELQMFDTSTREARRKIRSTNAPSFRQSTLESIYDHCETSMHDYEPEKSDEQETIDLGERSRSSQPGDLLGHEPSFCTQEKINQSDLGITADDDKGDDEKPTMEESSSLQRQAELSCVICWTDFSSSRGILPCGHRFCYSCIQGWADCLSSRGKVSTCPLCKASFSWISKVDEAGTSDQKIYSQTPVPWGASTNIFMFTDEGHGDLPGSSSSAAQGACYMCHSREPEELILSCHVCQSQWVHSYCLDPPLTPWTCIHCRDLRRMYQRYR >SECCE6Rv1G0379360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13508364:13509887:1 gene:SECCE6Rv1G0379360 transcript:SECCE6Rv1G0379360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASATSSVHLLLLFLWLCAAASTAWAHGGNGDGDTDAGDADGGGEAKPDLRAPGLVAAKLWCLALVFAGTLAGGVSPYFMRWNEAFLALGTQFAGGVFLGTAMMHFLSDANETFGDLLPSSAYPFAFMLACAGYVLTMLAECAISSVVARGRTAPAAAPAASPGALEEGKLSSTNGNGSEQQAADAHGASTTGAGHSTASMLRNASTLGDSILLIAALCFHSVFEGIAIGVAETKADAWKALWTISLHKVFAAVAMGIALLRMLPDRPLLSCFAYALAFAASSPVGVGVGIAVDATAQGAVADWVFAVSMGLAAGIFVYVSVNHLLSKGYTPRRPVAADTPLGRWLAVALGVGVIAVVMIWDT >SECCE7Rv1G0500630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:670705910:670707923:1 gene:SECCE7Rv1G0500630 transcript:SECCE7Rv1G0500630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPSYQQQLGLDAMNNCFFGGSGSMIGSEAPFFYPSMPHDAGFASGGAEVAAHFMASNAVMVTSPANQLVWSAAPSRDSHQASMSTDEMNDDAYAVPGESCSTVHSMLPSASGSADFFQYGPAEVTIAQPSKMSKLITGEPHCGWLYDGPSAASTHQPYYLTAFSGGSDFPDAVAGAASGLSLRLGAQSSSVTMVSMPEQSSEVSCSGLTHVNSEGLGYQQPQAVRAHAGAGMFHLPPYGDVGASDDELRHVYPQMYSRPPHFSQVLPRSGYAHIAQELLNGFAGCLLKDVAEMTDDSVSDIGSEASLLLSSSCSARTPSSASSNHLMLLSEEHSADGGRWMEAQRVRNDLLKLLQLMDQRCNRCFEDIQTTASKFSSLVAHPGGGGGTIAPPPFAQRALSAVYRRLRKRITGLIVAVAQRSGGGGHGEPSSLADKERSWESSFIQKHWALQQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDHEKDMLAARSGLSRSQVSNWFINARVRLWKPMIEEMYEELKRSSGGGGEAGELPSSKDVVG >SECCE5Rv1G0317660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:349801779:349804147:-1 gene:SECCE5Rv1G0317660 transcript:SECCE5Rv1G0317660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPSHQPPPPPLNWPTAGGGSGGGGGGGSRGGNGGAPATPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPLAGGGASAPRSPFLTHHYLPINNGPSSAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTPLHLAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDDGGGGDGGGAGGSDGGNFGRGDADDSLVSLTMNSTLMYQGQEMAAPPVAGEARNKVTNNGRASPSNLYFPNGFP >SECCE2Rv1G0136960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:915684544:915689709:1 gene:SECCE2Rv1G0136960 transcript:SECCE2Rv1G0136960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFVYTRSSGNGTSQDAAMRAAFDGDLRRLRGTVKSLDDPRVIFSFDMGGGIGVLHIAAAGGHLEVCKYLVEELGGDVNAPAPGVGDFAGVTPFMSSAQSGNVSTVKYFLDHGGDLTKSDAKGRTVLHHAACIGSCKVTEFLLSKGVPVDIDCGRGTPLHQAATNEQDKTVKILLEHHADPNATVIGIGTALMGALLYRSLKCMKLLIKGGADVNRGSSLPMTPLVFTTGWGGYTNFVKFLLKAGADPNIPDAYGNLPIELAAKRDCMEEVEMLFPLTSPIPTIPNWSIDGIISHAKFESAKPLDGRQLEQTKATLKAHADHLFRLKDYKVASKAYGVAIDVAPSATLYANRSLCKLLLDDGEGALSDALRCRMLRPNWVKACYRQAAAHMLLKEYKQACDALLDAQKLDPGNAEVERELRKARELMKAHGEADK >SECCE5Rv1G0363530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:793604350:793605871:-1 gene:SECCE5Rv1G0363530 transcript:SECCE5Rv1G0363530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRASVKRLCSFCKVVKRRGIVFIHCTSNQKHKQRQGFSTIAACLPAPPPPTSASASASAAAFAEASKVARQEMSTKFNWPLGLAAMFKNGDK >SECCE1Rv1G0000040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:429840:433359:-1 gene:SECCE1Rv1G0000040 transcript:SECCE1Rv1G0000040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTEALENQVKSKVTVKGHLHTYRFCDNVWTFILTDAQFKNEETTEQVGKVKIVACDSKLLSQ >SECCE3Rv1G0158430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:88340528:88351342:1 gene:SECCE3Rv1G0158430 transcript:SECCE3Rv1G0158430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGKKPPTVAGGTQQWRSSIAMSVLALLLTLGPTHAQGSCDIFHGKWVPDSAGPLYTSSSCPLIIRGENCQANGRPDKGYENWRWKPERCALARFDARRFLKLMRGKTLAFAGDSIAQNQMESLLCILWQVDTPINLSDRRMSRWIFNSTSTTIIRIWSPWLLYNSKEVVGIAPEGLNKVFLDIPDKTLMEFLPSFDVLVLSFGHWFVTPSAYILNGKVVGGQSWWPLEAGKMQMNNIDAFGASTETCLTAVANNPNFKGIAILSTYSPDHYERAAWNVGGSCTGKVNPLDKAVRDGFIDAMYAKQVAGFRKVVKNSGKQGSKLKLMSITEPFALRVDGHPGPYTNLDPNKKTQRGPDGRPPPQDCLHWCLPGPMDTWNEMLFETIRRY >SECCE1Rv1G0037580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:526564787:526568478:-1 gene:SECCE1Rv1G0037580 transcript:SECCE1Rv1G0037580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSPASTHLLRSAPAVPLLPRRASLQLSCVASGAAPRSRRRSGRLEVVRAATAEVAEAAGAPAYTTESLILYFKAEGTMEERAVPKITQALEGMDGVTDLEVLIEEGIGSVVLTKATTVQATGVASNLVEAIQGVGFKLQTLSLSFEDFDKADAAAAAVTGGADVQASE >SECCE4Rv1G0272520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:769412260:769422048:1 gene:SECCE4Rv1G0272520 transcript:SECCE4Rv1G0272520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAVSTLLLRVITDEALLLGRVGKDVQFIREEMESMKSFLERMTLSSGGEYDRQIRPWKNQVRQLAQDSNFCIDMYLSRCDPEIHHARGGLRRCVWWLPWFLQKMAAQHRAAIQLRHLKERARDIADRRLRYAIEAPANRTTSELLAVRPELMVDDEDADDQLVVEMAVADNCRVSIFQPRTPEENVRVKLADWIQNVLQGNTPEEKTIAVVAPDKEETLALAMEASSIWKKRGAYWWIVVLLDIPAMHSSRDRLESEDILYYILRVLRQQGQGHGEEEQDIDNNKRVIYSEKQNLFHQITENIKSMKLDNKISEIKDTITQMKGSQRQLNLEGMNGDQLALLKSSIDEPLGVLLRSLWLLKQNPGDGSSATKPIDQNAKEATLSERKEPKPRSYEDIIKETAKNLKMHIEENSDENQNPKDHTEESTAEPIHLETSHYEQILRVLFPVKPLHIRDQDFNQATKTSTAILIDDPFKEVIRKVLWELQGDTSMKILDQAELQKYKSKEPYKTEMKKDSQSVTAGKSSLNCIRDALIEDAMETIGQIQWRLVEQLKIKGIIDKINHCLMIEDYPILVILKLDNYFISGWEETKNAFRMFGRIGGALVLQTTEYATGAKEYCCLPWEPIDYSLLGLYRDTALELTKKQMTEDSAKILFDILDKCKSHELCMKIFVHALYANPRRSNEELSKLHETLQHVSDNSMQNIAQRMLKFSYSDLPKEYKFCLMYLAIFPRQQPIRRSTLIGRWVVEGLITKEDWASSVLDANRCFDALVNRWFVSPADIGATGQAKSCVIDDVVHGFITKLARKQQIVETHVPNHLARYFSIVNNLQLRSSDKIDKFLQNLTKESSRVSLIKVLDLEGCQCFGGKNRRYLKDICSKMLLLKYLSLRGTNVTWLPRQINNLRELEVLDIRQTNVPAYATVNVLLLKLKRLLASGFVLSSGQPSSVKVPHKIGKMLNMEVLSIVKVQNIQDLNDIRKLWQLRKLGVLIEHKDEFIMDLLRAISGLHECLRSLSLTFPMTAEEPSNGDFTKQDLKHWVHSPKLLDSLSITGTTQRGKLLKVLTKESFKLAKVTLTRTKLKQADLKILAKLPKIVCIRLRDEAYKDSKLTFGKEEFQNLKCFLVEGSNITDISFEGGASKLEKIVLCSTDGLQSLSGVKDLQKLKEVELRNTNTLTLFNKAKYISKVTLYRTILSQDEVEILAKIPNMRSLVLREITYVQSQLIFYKDDFPRLNLLIVDYSVISSIGFTSQSATKLEKIIWSYTKGTLSGIENVTKLKELKFNGDFLPDEVKDALKKNKNKPKLIHNKLEKQYQEAGNIAEEKDAPSFPSFWKKED >SECCE2Rv1G0123700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:826435555:826436091:1 gene:SECCE2Rv1G0123700 transcript:SECCE2Rv1G0123700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDWRCRKHPPVPCGGVCPHCLCDRLLRLCPDCARTRPCPCASPSSPSSSASSASVGRVCSLIERERRIGRSRSVADGGGGGVSGDERRRSRVWGWTSFRKPAAGRGVELENEEERHAAEDAAALERSSSGSAEKLAPKTGGWGRFLPGPIKALRHRKSRASAGAGARGDRREGVR >SECCE5Rv1G0365420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:806965426:806968658:-1 gene:SECCE5Rv1G0365420 transcript:SECCE5Rv1G0365420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVVVGPIVKLLPKLLSVIDGKRKQLDNLEVDAGFIRRDLQSIQEIIGRSSCGRSITDLWVRDLRRLADDMEDCIDRFQVGKMSRISFVGKIGKLKKRSKETLEQLQNCMSIAGAAAPPTASDPPVDPEEELAALLARSQPEERKLKVISVAGFGGAGMTRLAHKVYSDRDVRSQFSLHAWVRAAPDMSVHNLLQKIHDELLLISIAKNHGATASSSKITPQVNGDAEHASTDHRLTELLKTGRYLIVIDGVNTHDLYDVLSAFSWADGVGGRIIMTTAIQLPEATCCRCGNGSSLAMDSTGQVFIGELTESGFVEACLHLRDDTLARMQRSNNEILSSPVVRDLLLYFCMFPRDHPVRRNPLIRRWLAEGLVFPQPETENFSQDVAAENLERLISRNVIQPIQVRNYGNVKRCQTFGMMLNSISSKSKSQNFITMLCGSQMAEKNPPGKEIRRLSLHLNGEANGPLNLPKELSRLHTLAVFPDDANLSRHQANLNYAKYKLLQVLDLKECADVKAEHVGKICDLLLLKYLSLGDSIDKVPRKIVKLKWLETLDMRRTQVVMLPIEVLQLPGLKHLLGKFQLVEGDCTQKKLEKLLSKDSELQRLSGFVTDKSEGFAQLMSRMGKLRKVKIWCGSTADVTKLVHVLGATKKFIGGGLDMTRVDRSLSIDFQGCPTECSEQFMDSLKAPGRLTSLKLRGKLMQLPQFRAKLNYIEELCLSKTSLRGDTILSGMYGLKMTLKYYLKLVEDKLGHLVIKPEHFRSLKGLCLVGEQSLEDITIQDEAMPYLVSLHILCEALGDLPGIDITRMARLKEVALHSGVQDTMKDGWQAAAMNHPNRPNVLFIHLANSSPRDSPPCEATGEIVNRTKPIGRKFTASIMGGIFSRARPSS >SECCE5Rv1G0320760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:392072026:392077359:-1 gene:SECCE5Rv1G0320760 transcript:SECCE5Rv1G0320760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRMAALLLLRRLVAGGAVARLQQPYAGLATAAARQDALDATSEESGRPDKGNKNRWMELPPFAPVDAAAAARAIFRGQGGDEIEGSSNSTAIRWVRRCCPHLPASLVQKLFRLRKVKKNAGTAETSSTDASSEPFRLRRVSAKDHLVPGDTLFLPVNIQESSVAEKTRKFDNRNEIDFLRSLEIYKDKAIIVVNKPPGMPVQGGVGIKNSIDVLAPMFEDNSSDAPRLVHRLDRDCSGVLVLGRTQLSASILHAIFREKTADALADGTQQVLQRKYVALVMGTPRHPKGVLSAPLAKVLLQDGKSERLTVRAGPNTTSVQDALTEYRVIESCPQGFTWLELFPLTGRKHQLRVHCAEVLGTPILGDYKYGRQAHQDWTPLPVPQTVDAELLRKQRLPFGLVLGGGSVAEQQPQLHLHCKQMMLPDISAAVQGLQSEYADHDFSGLEKLSFVAPLPLHMRLSWEVLKSVDK >SECCE2Rv1G0088200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:239914220:239915520:1 gene:SECCE2Rv1G0088200 transcript:SECCE2Rv1G0088200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDRTGLKKGPWTQEEDEKLVAYIKKHGQGNWRTLPKNADLERCGKSCRLRWTNYLRPDIKRGRFSFEEEEAIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDLSSLLKPAAYYPTQADLDTLRALEPLANYPDLLRLASTLLSAPTTTSPQPTTQQQMLLPWLIQAQMAQQVSQAPPQHATGAAGMFMQPSSACQMPGLVHANPAQQLHQDHMVPSDYGQLPSYDNQLDYVPALMQMASDTSNLQWSSPVTSSNNNCNVGSGVSTPSSSPAGGVNSASTTTFCANASNIDAFSADAAELFDMHLSDLLDVSDYM >SECCE7Rv1G0500090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:661694288:661697002:1 gene:SECCE7Rv1G0500090 transcript:SECCE7Rv1G0500090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSGSGNKNAFKALIAAEYCGVKVELPKNFEMGVSNKTPEFIKMNPLGKVPVLETPDGAVFESNAIARYVARSKGDNLLWGDSLIEYARVEQWMDFAATEVDPNLARWLYPRLGYMPYNAQSEEFGIAGLKRALEALNTHLASNTFLVGHSVTLADIVMTCNLYHGFARILTKTFTSEFPHVERYFWTMVNQPNFKKVIGDFKQAEAVPTVQKKTPAKPKEVKKEAPKEAPKPAVVEAPEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKSNFHDVAVKGFWEMYDPEGYSLWFCDYKYQEENTVSYVTLNKVGGFLQRMDLCRKYAFGKMLVIGSEAPFKVKGLWLFRGQDIPEFVMNEVYDMELYEWTKVDLSDEAQKKRVEAMIEDLEPFEGQALLDAKCFK >SECCE7Rv1G0494940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:592447822:592451501:1 gene:SECCE7Rv1G0494940 transcript:SECCE7Rv1G0494940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopropyl transferase [Source: Projected from Oryza sativa (Os06g0528600)] MEGGGARNVSAAAAQTKGSADDGSRKPLPPCCLKAQAAVAESEAKCHATVVSGWFTGTRSRSGKPSKAQYFNNPMWPGEAHSLKVEKILYQGKSPYQEVLVFESSTYGNVLVLDGIVQLTDKDECAYQEMVTHLALCSIPSPKNVLVVGGGDGGVLREIAKHDSVETIDICEIDQLVIDVCKDFFPRLYVGYKDPRVRLHVGDAVEFLRNSPEGKYDAIIVDSSDPIGPAQELVEKPFFQTIARALKPGGVLSNLAESMWLHTHLIQDMLSICREVFKGGVHYAWASVPTYPSGVIGFLLCAKDGPPVDFLTPVNPIEKIEGATKDGREMRFYNSEIHRAAFILPTFVKRELEAYNTSTEKEKQEKPTAKPVKMKVMRDSAITAS >SECCE3Rv1G0170560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:255444137:255450570:-1 gene:SECCE3Rv1G0170560 transcript:SECCE3Rv1G0170560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSKSARTTTFPLPRLRDLIEHDEEDDFVEEEEEEDDDEEDEDWDIRKRMSLLTVEGSDGGDADDEGDGSADVDEEDEDEVRSDGLNAEYESQQWHPYDSPGNLKPPSSASLPGTPERGAPSQSPWRYSKDYASETEAGWWPGAPHDKRRQHYRRQRMMREVWLDRAWQMRKQRRQLGERGDEVTVVVGKGGESPARGGVAMDMEELRACKDLGFDLPCDWTVEIPSYAVPNVDTASSGGNSPASGSLRISSPGDDPKEVKARLKVWAQAVALSSASRLGS >SECCEUnv1G0527280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:870614:871276:-1 gene:SECCEUnv1G0527280 transcript:SECCEUnv1G0527280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRPVSAGREVRFYVLSLGSGQPPRGIVRPGEAHKEFIFGTRYVLLRGGLHWHRVQQREGESNMIIVFDTTAESFRQMRVPIVRGTARDGLFEMDGMLGMSSFNDKATSIDIWVLQDYESEVWTFKCHIELPLAEIRALCGKSDDDDSWEAVVVPGDGELLVLVKFPDWLIQVDMDGKLVASFNRTGVQPTQLQLKQSLVLHDFFPSLHGYVVNGWPFA >SECCE1Rv1G0034990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:494529019:494532649:-1 gene:SECCE1Rv1G0034990 transcript:SECCE1Rv1G0034990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGVASMFALALLLGAFASIPQSVESIGVCYGMSANNLPAASTVVSMFKSNGINSMRLYAPDQAALQAVGGTGVNVVVGAPNDVLSNLAASPAAAASWVRSNIQAYPKVSFRYVCVGNEVAGGATQNLVPAMKNVQGALASAGLGHIKVTTSVSQAILGVYSPPSAGSFTGEADAFMGPVVQFLARTGAPLMANIYPYLAWAYNPSAMDMSYALFTASGTVVQDGSYGYQNLFDTTVDAFYTAMAKHGGSNVKLVVSESGWPSGGGTAATPANARIYNQYLINHVGRGTPRHPGAIETYVFSMFNENQKDSGVEQNWGLFYPNMQHVYPISF >SECCE7Rv1G0479820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:259424377:259427676:-1 gene:SECCE7Rv1G0479820 transcript:SECCE7Rv1G0479820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPPARLLLLFLLAFYWRLGGGAGIGGFGVGAEELSSNGASFSRRRLLQIGGENQGAGYLFSHVQAPTSGPVSAPSPSAFISSPPEGAPSPFYSRPTPQRSPLRHDPSVLPHPLKFRPAPQGAEHDHSLRTSSRPVHKHSGTTYGLIAAGVAAFLIISAAGALYCRAKKVGTVKPWVTGLSGQLQKAFVTGVPALKRSELESASEDFSNIIGSTSSCMMYKGTLSSGVEIAVASSLVTSAKDWSKECESQYRKKITTLSKVNHRNFMNLLGYCEEGHPFTRAMVFEYAPNGTLFEYLHVREAEKLDWVTRLRICMGIAYCLEHMHQLNPPVVPRSLDSTTIYLTDDFAAKVSDLEFPDDAKGSSPRSNSTKGVLDPSSDLETAVHRYGVVLLEILTGRVACSDEDGPLERWASRYMDGEMRLAELVDPSMGSSFSEEAARALCEVARSCVDPDPKRRPTMAEVAARLREITALGPDRATPKVSPLWWAELEIMSSDS >SECCE1Rv1G0029920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:421895716:421896261:1 gene:SECCE1Rv1G0029920 transcript:SECCE1Rv1G0029920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSIGSPGSRPSLDAAPAAAADEADEKATGKAVRAAAPDKSIHLVPLLTLLCFLVLFLFSHDPATSRAIADSPPVLAVTARSLEATADTTPSVARGGAHQRLKADPTPRLGRRLGAPRR >SECCE5Rv1G0306670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:97848353:97849812:-1 gene:SECCE5Rv1G0306670 transcript:SECCE5Rv1G0306670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMEEAEDYASCAFSLTCPEDGAELGDGVVDDGDLFLFYAGGGAAADDEDGDNEYVEQLVSKEASFCSSSDSGDADCSSAASEDWFLQARLAAVKWILETRGCFGFGHRTAYLAIAYFDRFFLRRRVDRAAMPWAARLLSVACVSVAAKMEEYCAPALSELDAGGGYEFCSASVRRMELLVLSTLGWRMAAVTPFDYLPCFSSRLDRHDGRGGGGHDPARVALKSIGFIFATAEAGSVLDYRPSTVAAAAILAASYGALLTKEALESKMDNLSPSCPIEKEHVHACYSMMVGDLINRMSNGKRSLPCSDSNEAATSTYDSVLVDDVADTAAFMAAVSEMNKRIRLEPPGIH >SECCE4Rv1G0277890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806125056:806125761:1 gene:SECCE4Rv1G0277890 transcript:SECCE4Rv1G0277890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEELSKLAADVAAISPAPVRKAVDALARSSPARAVTDALVYLSVGTTCTTFAAAFLTIFADLACARGWGCTPAYVLSEIADYLSTPVLLLLPPALVLFFLRAAGCRTKAADAESLIVKNDEEPTLLSPSPLALAILWLFIGSASMGTISFLLFKCGYTEISELLGYAALLCMFMWEALVYVRAAVALWRMNPGPRNMVAAE >SECCE3Rv1G0196570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:810018688:810020409:-1 gene:SECCE3Rv1G0196570 transcript:SECCE3Rv1G0196570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLPGAYMPDLPAVPEWLNKGDNAWQLTAATFVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLLAFRMAFGDRLLPFWGKAGPALTGDFLVARASFPATAHYGAGGALEVPPTQPYYPEATLVLFQFQLAAITLVLLAGALLGRMNIKAWMAFTPLWLLLSYTVCAFSLWGGGFLYHWGVIDYSGGYVIHVSSGVAGFTAAYWVGPRLKSDRERFAPNNILLMIAGGGLLWLGWAGFNGGAPYAPNIIASIAVLNTNVSAAASLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITAGAGLVHTWAAVLMGICAGSVPWFSMMVLHKRSSLLQKVDDTLAVFHTHAVAGLLGGVLTGLLATPELTALHTHVPGTRGAFYGGGIQQVGKQLAAALFVVAWNVVVTTGIILAVGLVIPLRMPDEQLRVGDDAAHGEEAYALWGDGERFDVSRRAAARATEVGDQSVDQRLAAMGARGITVQL >SECCE4Rv1G0215010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:2525275:2526762:1 gene:SECCE4Rv1G0215010 transcript:SECCE4Rv1G0215010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPKFGFKFGAPSLTQTIISTSAPWTIPSLCSSCPSPLPALSRWCGSGPKTRSAESLSSTQCTSFWPVSSRPQPPSYVYLTLRPRAVYLVDYAGFRTPSNCRVPFATFLEHARQLPEFNERSIRFMTRLLERSGLGNETCLPPTHHYIGTHKYCTVDAARAEFELVAFSAIDDLLAKTGITPDAIDILIVNCSLFCPTPSLVDIIINKYKLRSDVRSMHLSGMGCSAGMISVGLARNLLQVAPWGAHALIVSTETVTPNYYFGTERAMLLPNCLFRIGGAAALLSTSSAKARFRLKHVVRTLTGAEDSSYQCVFQEEDGEGHRGINLNKDLMNIAGASLKANITAMGPLVLPAREQLMFAFSFVARKVISKRVKPYIPDFRTAFEHFCIHAGGRAVIDELQKSLSLSDEQVEASRMALHRFGNTSSSSLWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIEPARNAHGPWATSIHRYPVDVPDVLKH >SECCE3Rv1G0171000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:262456948:262458137:-1 gene:SECCE3Rv1G0171000 transcript:SECCE3Rv1G0171000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSAPSPFVNGETLKTFLGRRVRTVVQVQHNEGGVLLGLSTDGQQLTIRGAPGAPEPPHYIEVIGIADSSLSIRAESCTDFGENFDGVAFNGLCKLANDKYKYLFL >SECCEUnv1G0534080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35911655:35914035:1 gene:SECCEUnv1G0534080 transcript:SECCEUnv1G0534080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLTICEGEDGTESSLGDVLIFPDMIRYRGLTHFDVDNFVEEVLVKDTEWLPGSPEAIRGSYVFVCCHGSRDKRCGVCGPALITRFKEEIEAEGLDGHVAVSACSHVGGHKYAGNVIIFSPGAKGEVTGNWYGYVAPDDVPELLYKHIGQREGGIVDRLWRGQLGMSEEQQKKALELRHMTNGVAGEESSAKESPEANGTEGAPAGGCCQGNGGGLGCCQSDLPEGKQDKSIPAEQNHRISKTESVKESVAAKGRMKICRMPTWFETWDRSDTYTTLAVVAAAATVFIAFRTYKTMN >SECCE3Rv1G0146080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:9948687:9952818:-1 gene:SECCE3Rv1G0146080 transcript:SECCE3Rv1G0146080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAMKLQERVTVPRMAWKLADIFILCLLIALLSCRVASLGEGSAGAASVAALICEAWFTFVWILNMNMKWNPVRFHTYPENLSQRMDELPAVDMLVTTADPELEPPLMTVNTVLSLLAVDYPDVDKLACYVSDDGCSPVTCYALREAAGFARLWVPFCKRHGVGVRAPFIYFASRPEPELAGDTFSNDWTFIKSEYDKLVSRIESADEGTLLRHNDAAEFTEFKEAKRGDHPAIVKVLWDNSKSRRIGEGFPNLVYVSREKSRKHDHHYKAGALNVLARVSAVMTNAPIVLNVDCDMFVNNPQVVLHAMCLLLGFDDETCSGFVQVPQRFYGKLKDDPFGNQLEVLREKLFGGLSGLQGIFYVGTGCFHRRKIIYGVAPASLVAGKHEREGSLSYEDLRTKFGASMELVESARNIYSREIPPKPTNDILSNIQVAKQVSSCNYETATQWGQEIGWSYGSMAEDILTGQRIHSAGWKSTLLDTNPPGFLGCAPTGGPASLTQYKRWAAGLLEIFLGQNSPIIATIFKRLQFRQCLAYLVFYVWSMRAPFELCYALLGPLCLFRNHSFLLKASNHGFSIQLTLFLSYNIYNFVEYMECGLSARTWWNNMRMQRIISISSWLLAFLSVVLKTIGLSKTVFEVTRKDKSTSDGDPSTHETDLGWFTFDSSPVFIPVTTLAILNIVTIAIGVWRHAIFWMTTGNHDCHNIGEFVCCGWMILYFWPFIKGLVGRGRYGIPWNVKVKAWVIVVAFLYFCRRD >SECCE4Rv1G0259360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:685435036:685435461:1 gene:SECCE4Rv1G0259360 transcript:SECCE4Rv1G0259360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSALALKAAAVAAILAILVVPSLGRCGHSQVPTTPPPPALPSSPPPPAPASETILCKDCAASVASICSDSCNGVASVCGICSREDCSGACNNEAGRGSNCTGNPCTGSCEVCNYAARSCDACRGWNERNCFGTCNDE >SECCE6Rv1G0448440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:856034727:856035608:1 gene:SECCE6Rv1G0448440 transcript:SECCE6Rv1G0448440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGAAAAPSLELPGFRFHPTEEELLEFYLKQHVSSKNKNQQQLKAAPFDIIPTVHLYRHDPWDLPGLAAIASEREWYFFVPRDGARKHAAGSAGRPSRTTERGFWKATGSDRAVRCAADPKRLVGLKKTLVYYQGRAPRGTKTDWVMNEYRLPDLAGAGAGEQQDVVLCKVYRKAVSLKELEQRVAMEELARARSSPPTTASHSLCSAGSPDVSSASEVAHEAAVHHHGVKKEEAVAVARPAAMRLPQLETARGGGGGLEWMQDPFLTQLRSPWMEGLCLSPYYASSVLNF >SECCE4Rv1G0246600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:546413822:546415322:1 gene:SECCE4Rv1G0246600 transcript:SECCE4Rv1G0246600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMDSSSTSRGRGKNKRNWTSDEDAELIKVLYELSLDPRWKADGAFKGGYLVLLEKHLAEKCPGRGITATPHIESRVRHFMKKFGALEVMLSKSGFTWDGNRNMIQCEKAQYEAHCKIHNEAKGLYGVSFPYFEQLAAIYGKDIATGESADGFGEAVGNLEKEIAMDEEENEEEGMISTGIARRSTDTQSTETTSSKRQKKEPRPKRATGPSDPFAAMLQDVNSQLNSVTQHVGTMATSFTAALAREAAQEDPQQKSREKAISELSRLAFTGSEIVEAATIFAKAPDHMNLMLVLPAILRRDFVLKMLSDERKKG >SECCE4Rv1G0246300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:542862843:542864220:-1 gene:SECCE4Rv1G0246300 transcript:SECCE4Rv1G0246300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVDPLVVGRVIGDVVDMFVPTMPVTVRFGTKDLTNGCEIKPSIAVAAPSIQIAGRASDLFTLVMTDPDAPSPSEPTMKEWLHWLVVNIPGGADPSQGEEVVPYMGPRPPLGIHRYVLVLFQQKARVLAPAPGGDTAASAMRARFSTRAFAERHDLGLPVAAMYFNAQKEPANRRRRY >SECCE1Rv1G0000910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2762163:2765005:1 gene:SECCE1Rv1G0000910 transcript:SECCE1Rv1G0000910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMWTALTTMLLLLAAAVAAATGATTHGGCLSSCGGVDIPYPFGIGPGCFREGFEIECINNGPVLAGTSLQVVKLTLDPDESQVMLPIGWQCYNATSTNDAVDYSYAQTTMNKDGVYRISNTHNKLVVLGCNTVGFVASERTEGGTDANAFNTGCMSYCNNSASAQDGLCDGVGCCHVNIPPGLTDNYFNFREYEHAAMMDYSPCDYAFLVDRNNYTFRRSDLKMDTNRTSLVWLDWAIRGNSSVSRNILSCKQAAKADQYACVSTHSDCVDSTNGHGYNCKCSSGYEGDAYLPNGCTNINECANTTKYSCYGVCSDIEGGYECDCPAGYQSHDPRTERCTQKFPLAAQISVGAIGGILVLAFLAFFIVLRKEKQKAKDFYRKNGGLTLEKARTIKIYTRGDLKPVLKTSNVIGKGGFGEVYKGVIDGVIVAVKKPNGRSVLEKEQFPNEVTILSQVSHKNIVRLIGCCLEVDNPMLVYEFISKGSLEDNLHRADNKEILDLDVRLRILEDSAHGLAYMHSQTHNTILHGDVKPANILLDENFSPKIADFGISRLIAQGKDHTRNIIGDMSYMDPVYLQTGRLTEKSDVYSFGVVILELISRKRATHSDNNSLVRSFLECHQSGENMTELFDKEIARTGDLEFLSKLVDIAVECLNLDSDKRPSMTDVAGRLLTLHMARNP >SECCE5Rv1G0315240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:288085963:288090156:-1 gene:SECCE5Rv1G0315240 transcript:SECCE5Rv1G0315240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHLLGRGISAGSPLYPLRGLLFASQELGRRQASSAAAGDAVAELRGAREDVKQLLKEKSCHPILVRLGWHDAGTYDKNISEWPKCGGANGSLRFEIELKHAANAGLVNALKLIQAIKDKYAGVTYADLFQLASATAVEEAGGPKIPMIYGRVDVSAPEQCPPEGRLPAAGPPSPAEHLREVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTSQWLKFDNSYFKDVKERRDEDLLVLPTDAVLFEDPSFKIYAEKYAEDQDTFFEDYAEAHARLSNLGSKFDPPKGVSLD >SECCE7Rv1G0505820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:741310995:741312028:-1 gene:SECCE7Rv1G0505820 transcript:SECCE7Rv1G0505820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQKDRLGQEKGGHAKMECPLCKVTAPDVKSMQIHHEARHPKLPFDPDKINNLHGSTAAAPAAAAAVASTSKPKPGIRGSLKKTGDEQK >SECCE1Rv1G0001160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4160424:4161205:-1 gene:SECCE1Rv1G0001160 transcript:SECCE1Rv1G0001160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRKRGAVTPVKDQGQCGSCWAFATVATIEGLHKIKRGTLVSLSEQQLVDCDSFDGGCNGGLPSRAFLWIKKNGGITNTASYRYKASRGQCMRNRKPAATIIGSRAVKRNSEVSLMNVVANRPVAVAISIDGEHFHHFKLGIYNGPCGDGKLNHAVTVVGYGQQKQNGAKYWIVKNSWGGTWGDKGFMQMKRGTKNPSGQCGIARLPVYPLMNGGRTTD >SECCE2Rv1G0128340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:859827672:859829039:-1 gene:SECCE2Rv1G0128340 transcript:SECCE2Rv1G0128340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRLPEEGKKALSLAYRSGLNAVERGKAVSLAVHGGLKAEAAVYVAVRSGLEAEQVKRLVDNSVQCGLEAEEAEKILHLAVRSGLDAEETLSAAIRTGLKTEEEIHACLLQQLLASFDKRNRNDPELDLWIRPIDRSRNAPKFPFFLFKMKASAEKAQASWWMKKYDIFPPERPGYTCALRVARLVIGPDGFRLEQAGEGGQPGAISYQIDTSYKKWLRPKEGVFKEGSSPPLREVAVIKRLPRGEGISIMSHVKGLGVKLGDILFLFHGWSVTVALTFTEREFYVSSKFTEESLYASADLDFIDITVPVENLTKKLYQMYKLEEQDKRKMQEKQDNQQTAKLMCQQDERMRQLEDQKREKLEVRQRRRAERKKYDGLRMEMKSVVRQMKQGASAEGIQGQLGEFQDTCYCTPMLLLFREPELDSVKPWEEELCQSEDMCKESLKESMKLTVRT >SECCE4Rv1G0247960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:565206812:565209498:1 gene:SECCE4Rv1G0247960 transcript:SECCE4Rv1G0247960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMATVPQRDISQDEIVVDVVPTVDLPPPDPEPTVHTPRGPEIKSAELMRDLSKYILLLATLVATVTYAAGFNPPGGVWQDTDDAAGRLAGDSIIRTTSYRRYLVFYYCNATAFASSLVVIVLVLFLALLRDRNKWVSMRPLLAAMVLDLASVMGAYAAGTCRDKLTTIYSLVLVGLVVLYLVGQMAVASFWSGDYFYSHDDPTADKRLRKVLILLATFAVSVTYIAGMSTPGGFWDDPESGHRPGDAILKDSHGKRLTTFLCFNAMAFVASLLIIVVLLDRKPRLKEAYGCIAIALISLVGAYTAGSCRETDTTIYVISLVGAVLAFIIFLQAAIYFKEKVPVVGKFIEDIRATCFWAKIKDLHRSVSGRLQVSRAPSLRTINSRKAADKASSLVLLLATLAAAITYQAGLDPPGGVWQENGQGHMAGDPILLTTNPGRYKAFFYCNSVAFVASLVAIILVQNKLLLETHVLEAAMVLDLFGLIGAYAAGSCRDISTSIYAMALAGGVLVYVVIHVVFFTLDHTDTITPQQIEMVEKRRKRLLLFAVLAATVTYQAGLTPPGGFRLHDDESSGNQAGDPVLFHNFPRRYTAYFYCNSVSFMLSIALIILLVNPHLYRPAIRSYALAICTAVGMFGLMGAYAAGSTQHLKTSIYIFALVVMVLFVMAALFLLFLNKKKNTSSQPKPENGGDDKKTTYAKQKYLMLLGILVASVTYQAGLDPPGGAWQHSSEWYDAGNPVMHDNRRPRYLAFFYSNSTSFVASIVVIIILLLEWKVKNKRSLTLRVLSTTIVLDLLALLVAYAAGSSRGWKTSVYVVALIIAVLAYIAIHVILAFLCSKRDANETVESPEIPPPIQEQANGGNQA >SECCE1Rv1G0040200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:557152917:557155302:1 gene:SECCE1Rv1G0040200 transcript:SECCE1Rv1G0040200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVLNTGANIPSVGLGTWRIEPQVVGDTIYAAVKAGYRHIDCAPAYSNEKEVGLALKKLFEDGVVKREELFITSKLWSGNHAPEDVPEAIDTTLKDLQLDYLDLFLIHGPIRIQKGTTHSPENLIPTDIPATWGAMEKLYDSGKARAIGVSNFTCKKIEDLLAVARVHPAVNQVECHLIWQQDKLRELCQSRGVHLSAHSPLGEASVLGNPIVVAVAEKLQKTPAQVALRWGLQMGQSVLPRSGNEGRIKDNFDIFDWSIPEDLMAKFSEIKQVRLVKAEFAVHPLSGYKTLEDFWDGEI >SECCE7Rv1G0499040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:649920596:649921756:1 gene:SECCE7Rv1G0499040 transcript:SECCE7Rv1G0499040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSLRDAFDRVVEKRVLSSTKVQEAIDQIVDEVKQVISKMQMMDTDSMDSCDHSSILAELKAKLNEMVPLNQLEGCQKELNVALSKYLKVLEKSFNTDISKAYRNVDFEASTVNNIIANHFYRQGLFDLGDSFVHECGESDETYLKLPFQEMYGILEAMKARNLEPALTWAANNHDKLLQNSSMLELKLHSLQFVEILTKGSRDAALQYARTHLVPFASMHKAEIQKLMACLIWADRLEQSPYAEFVSSTHWEKLAEELIHQFCSLLGQSSNSPLSVAISAGFQGLPTLLKLTTVMAAKKQEWQTMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMLMPCGHAVSKQSIMKLSKSSSRPFKCPYCPSEAVASQCKQLHF >SECCEUnv1G0564720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:386396683:386397129:1 gene:SECCEUnv1G0564720 transcript:SECCEUnv1G0564720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAFKFIIKNGGLTTESNYPYTAADDKCKSGTNGAATIKSYEDVPANNEGALMQAVASQPVSVAVDGGDMTFQFYKGGVMTGSCGTDLDHGIAAIGYGKTSDGTKYWLLKNSWGTTWGENGYLRMEKDIPDKKGMCGLAMEPSYPTA >SECCE7Rv1G0456530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:10997702:10998170:-1 gene:SECCE7Rv1G0456530 transcript:SECCE7Rv1G0456530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGRGSAAVVVGMLLVCVLLSAAAVAEAAVFNVGDRGGWSFNTNSWPAGKRFKAGDVLVFKYDATAHDVVAVSAAGYKACVKPAKGGKVYKSGADRVTLARGTNYFICSIPGHCQSGMKIAVTAA >SECCE7Rv1G0484200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:341570908:341577098:-1 gene:SECCE7Rv1G0484200 transcript:SECCE7Rv1G0484200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVLHFANLPIKLMLPPAPLSSVQEFAVRTVPSASKVDIRRCLESVYGFSIAEVRTLNMEGKKHRRGPFLAAKPDYKKAYVTLQAPLSVSPDIFPIGAILGERERKASAAAARRKVVEGAEVEGEREGKGKHWMEDEREGFSRAGCGKIVYGNPGRLGNKRRGHARANDQADEKGGNFPWNGMRLATEKPAGKRHYPPKAQGGMVLKQRSHRGSALRGKS >SECCE3Rv1G0174730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:401308438:401309436:-1 gene:SECCE3Rv1G0174730 transcript:SECCE3Rv1G0174730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQIPPRSRGLRRLLLLGGESGIRRSFSTGDRRRRVIREARDEEEDEAFLRTLNFGADPGNNHLPPPPRRAGGAPDPSAAGAFPADILRRAAGKQQQQRPERSAQKAIGESLMEKLKLGDAAASTGSNIEAQQPEHEPGQPLQTQDVDDIFRKMKETGLIPNAVAMLDGLCKDGLVSEALKLFGLMREKGAIPEVVIYTAVVEAFCKAAKLDDAVRIFRKMQGNGVIPNAFSYWLIIQGLCKCDRLDEAVAFCVEMFEAGHSPNAATFVGLVDAVCKMKGVEQGEKLVRSFQDRNFAIDEKSVREHLDKKGPFSPIVWEVIFGTNKTSRPF >SECCE1Rv1G0038470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:539701311:539703232:1 gene:SECCE1Rv1G0038470 transcript:SECCE1Rv1G0038470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKLRKALGAVKDQTSIGLAKVGGGSGFASPELEVAIVKATKHDESFPADERHIREIVSLTRDSRGSAGACVAALSRRLGRTRSWDVALKTLVIVHRLLADGEPVFEQELFYATRRGTRMLNMSDFCGRASDDDAWDFSAFVRTYAAYLDDRLEHRMQARQGGPNRCKLLRDELYMSPGNRYSYEGVNGGKREDAAADADAAKVVAIVPRETPTSEMTLEQLLGKVQQLQHLLDRFIACRPVGAAKTNRVVTVSLYPLVKESVQLYCELTEVMAALMEQFPDMEIADCERVNGVFCGLAKQIDELDSFYGWCKDAYVCRQSDVPEVEVITQERLELMDEFICDRRGAEYQQRLPPPSPEPSSPEPEVEEYDMSATRALPAPAEPPAAVEQEHDASETAHVETEAPLITTDVVDEEADFLNLKADAMSGEEHGRQLALALFDGNPAGSAPTCDVFDPSSADWETALVESASALAHQRAMLGGGLDMMALDGMYNHATAANAQVFSGSASSVALRPPGAPMLALPAPPGMCSAAPGADPFAASMVVPPPTYVQMSDMQTKQQLLAGEQMVWQQYGKNGMQGQGGLAMLEQRPQQLMHPGVYHRAS >SECCE6Rv1G0430110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739789866:739791029:-1 gene:SECCE6Rv1G0430110 transcript:SECCE6Rv1G0430110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAGGAAAPPPDGSLPDEIAIWEILVRLPPKSLLRCRAVCRAWRSATSTSQFLLAHHALQPTLPLLYGYNFHGDEVESLDIIPLDHRAGAGQLRPVARLEQDSDFHHLEACCDGLLALSFRSTGVRDWRFAVCNPATRQYAPLPLAYGPKVEYSLLGMYPHIPTGDYRLLMYRYSALMHDELVPDAAQDGSYIFTLGSGQPPRHIGYLDAEALTYTFGSVLFRGSLHWHPPGSMITVFDTTAELFLQMRAPVVSGHAKLFAMDEMLGMSGLNDAATTIDIWMAQDYETAVWACKYKVEFSVADLTLRFGKFDESSWAVVVPRNGVVLLLVNFGDWLLQVDIDGKLVATFNRRALGPSNLRFKQTLVQHTFFQTLEGYVANSLPFI >SECCE4Rv1G0246800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:549084486:549089841:-1 gene:SECCE4Rv1G0246800 transcript:SECCE4Rv1G0246800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57680) UniProtKB/Swiss-Prot;Acc:F4J3G5] MEMVECSLAASRAARPVLSRVPRSPAPVVGAGAGRARLRVRSERREQPPPVIRASAPPERDGGALGKAAAGLAAAAVVSLTGFAGDFAPPPALAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYGKISGMVSTLGDPFTKIITPKEYQSFRIGSDGNLQGVGIFINKDPASGRLLVMNCIEGGPADRAGIREGDELVEINGNSVSGLDVEAVAQRLRGRAGTTVEVKLLDGTGNERSGRIKPKEVQLSREVINLSPLSTAIISHRSGDGQEGKTGYVRLAAFSQTAAAEMESAIKKMEDQGVQSYILDLRNNPGGLVTAGLDVAQIWLDGDETLVNTVDREGNVQAINMVQGQSLTHDPLVVLVNEESASASEILAGALHDNGRAILVGHKTFGKGRIQSVTELDDGSALFITVAKYLSPALHEIDHVGIQPDIQCTADALSLPRAPSLTGNNEATSLEMDSCIMVAEQALEIQQSKGSAS >SECCE7Rv1G0465760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:73085944:73087461:1 gene:SECCE7Rv1G0465760 transcript:SECCE7Rv1G0465760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMERTTAAHGGGARWLPRLVLLAVLSATPWLLIVYCHRAAPVSSPHQSLAQPRSPSVQEQQVKKLLIASEAGAEVSRSSDVGVAGEDACRGRYLYVHDLPPRFNTDILADCKHWYPWIDMCQYLVNGGLGAPLDNADGVFGDEGWYATDHFGLDVIFHARIRQYECLTNDSSRAAAVFVPFYAGFDVVRNLWSNNATAKDAAPLELVDWLTRRPEWRAMGGRDHFFMSGRTAWDHQRQTDSDSEWGNKLLRLPAVWNMTVLFVEKVPWTDFDFAVPYPTYFHPAKDADVVQWQQRMRGMKREFLFSFAGGERPGDPNSIRHHLIRECGASSFCNLVQCHKSEKNCLIPSTFMRVFQGARFCLQPPGDTYTRRSAFDAILAGCVPVFFHPDSAYRQYRWHLPDDRDSYSVFISEEDVRSGNASSVEETLRRIPQEVAERMTETVIGLIPRLVYADPRSKLETLRDAVDVTVEAVIDRVSKLRKEMDHGASTETVTNVSSKVKADN >SECCE4Rv1G0263150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:714644546:714644830:-1 gene:SECCE4Rv1G0263150 transcript:SECCE4Rv1G0263150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSALRMPAVCAVLILLLLTAVSRCEADLLQVVGGRRMLAGGSNNAAAAFSRPAETTVSTSTRRAAAWRPPAVPYSVSKRSSPGGPDPQHH >SECCE5Rv1G0366210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:811575523:811578279:1 gene:SECCE5Rv1G0366210 transcript:SECCE5Rv1G0366210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDGAAVSAVEGKLAELSTSCDLRTLPKRGKSVSARTLNTAQIQLVASHPEVYEPCDDSFALVDALLSDKAQLLTLQPSLCMEVGCGSGYVITSLAIMLRQLGSGTQYMATDINQHAVETTQATLEAHGVHADVIATDIVSGLEKRLAGMVDVIVVNPPYVPTPEEEIGLNGIASSWAGGLNGRQVIDRILPAVRELLSEKGCLYMIALEDNDPLGICHLMNEKGFASRVLLKRCTDEESLYVLKFWQDAAAGANASQSGRSPRAESWLSQLPFKSFWHKNTGSS >SECCE1Rv1G0062870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721517273:721517824:-1 gene:SECCE1Rv1G0062870 transcript:SECCE1Rv1G0062870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCMSSSSAAAAAVRAKGQPASTAMVLLPTGELREYPRPATAGQVLEDLEDGDAGWFLCDADEMVFDGPVAAVAGAEELRPGQIYFVLPAEARRKGLRREDLAALAVRASAALINKANTTSSTGRGRRRRAGSVAPLVFAPPQEVDETVAYKTVPALAAKRRPVARRVPRAPGGCSHDSHPI >SECCE6Rv1G0382190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:39659757:39661180:1 gene:SECCE6Rv1G0382190 transcript:SECCE6Rv1G0382190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEIEAAEEGLPMPAPPVGRRYRPVGSDDSAVIQMTSMEPAPGGSTSVTGHEAVTPQPPRNVRPGGANLTIDPSMQEGSSDHATSSGSQRDSKLELFGFDSLVNILGLKSMTGEQTQGPSSPREGEDVAITIGRPKVVRSSNIYDECHLFDTSSHFS >SECCE6Rv1G0387010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:104667041:104686768:-1 gene:SECCE6Rv1G0387010 transcript:SECCE6Rv1G0387010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLGPLVGRLQELATSEARALVAVNDDIRSLRDKLMWMQAFLRDAEPRRRAKNDELIRVCLQQTRDAVFDAEDAVDQYFFRIDLSRYPSWSHTIVKVLRDFFTQVRVRRDLSKRVKLINTRLESIIGNKGKYKIDDGSETMPVTTWRPSNAISSTAEKLDDFVLPLVGRDAQLEDLGNALILEKTERPMVITVTGKSGVGKTKLVKERYERHSTKRYFDMKAWVACAPNLSACYLMKLILLRLIGGPIMYSNDDDLRTMLQKVLGKKKYLLVIDGEVSSMEWNLMLDYLPAENHNSRVVRITQATNLEPPPSKFGEEKFEIRHFEEQDMTIKLFLATLFMDDKEKHSSDLVTKAVKRDHAKFIFDITSGLPLAVVLLSGLLRTKEYPGEWGKVFKHLEGNSNERKRLDNILSMCFDDLPHDLKSCFLYFAGFPASTLVKARSLVCMWMAEGFLRPKEGKTMEKVGEHYLHELIHRRLMNLPPVENAAPGDERVSVQTRVHDFLVLEAQEANFMEIHSGDDVPTLSAARRLSLQNHIDRYAALTEPLPKLRSIISNFEKEESQGSVEMKKDINTDVGACIPFHRKAHACSGDVMRKLLQGSKFLRVIFLDGLEIGNKLPSKISNVKHLHYLRITSCSINEIPSSVGNLIRLQTLDVRSTSITRLPREFWRIPTLRHVFGSIILPRRVGKLEQLQTLHAVKPDDAGGVWDITTFTYMKRLQSLYIDDLPNKNVQASLAAIYEVKYLVVLSIQGEVISMDLFTSSNFKCLQVMILNGKIKPPLKDDNQLCFSKFPTLTRLSLNNTKVSQYFIKKLGELPLLASLALLPKSYKEQRLAFVDGFKSLKELKLDLDMGVKEIIIIDPTCPCLEKLEISNWSEGIHLEVRHNIEKIIEHQDQYLYNKMKKYESS >SECCE1Rv1G0001170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4251182:4254806:-1 gene:SECCE1Rv1G0001170 transcript:SECCE1Rv1G0001170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEYDYLFKLLLIGDSSVGKSCLLLRFADDAYVDTYISTIGVDFKIRTVELDGKSVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDRESFNNVKQWLSEIDRYASDSVCKLLVGNKCDLVDSKVVDTEEAKAFAESLGMNFLETSAKESINVETAFLTMSSEIKNKMASQPAAERKSTVHVHMKGQPIQQQSSSCCSS >SECCE2Rv1G0083110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:161975807:161976559:-1 gene:SECCE2Rv1G0083110 transcript:SECCE2Rv1G0083110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVAPPTAGGPRDPPTQQPEEEIAVRVVPASEDAEEPAANTTSFSYPLVPLPTASLASGMHVLLVQSTSTDSSPAGLHALLVPAGRRDDGSRDHQARNSDSRKAARELRGWLMVLATVIASITYASGLSPPGGFEDGDDGRSTTAMPARRNTFPRRYTTFYYCNTAAFALSLSIVLLVASQDLRRLAKIKVLEVLVALDVLALLIAYIAGSTFELKELGVCAGLVLIVPVALIVMSSRLCGKYFWDEL >SECCE3Rv1G0169370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:237425973:237431970:1 gene:SECCE3Rv1G0169370 transcript:SECCE3Rv1G0169370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDPSSVSHADGGGDLWPFDSLTTSLFFSSVSSSPPLHPLPVASSSWLTPPSPLWLFEDRQMMPIEVGPAPAAPPDNTAAVAAAVAEEVQRARSGNSDMPIKKTERLNNKWQFNLALHDDSTNSSCLFKEKLTHALRYFKESTDQHLLVQVWAPVKSGDRYVLTTSGQPFVLDHQSIGLLQYRAVSMMYMFSVDGDNAGELGLPGRVYKQKVPEWTPNVQYYSSSEYPRLNHAISYNVHGTVALPVFDPSVQSCIAVVELIMTSKKINYADEVDKVCKALEAVNLKSTEILEHPNVQICNEGRQSALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGVKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQKGQGVSGKAFIYHRPCFSKDISQFCKLEYPLVHYARMFGLAGCFAICLQSPYTGDDYYMLEFFLPPSCKEEDDQNALLESILGLINQCLRNLKVAGNGESNEASLQLSNVIMIESEDFKTNVHFENSEGFRESPEGDTYGGAHEFDKANSKVSEGHLLADDNSQNNGVSVSRPNGSAASDSSLLHKNGKPPERRRGKAEKTISLEVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPTIPVGPSSDSFNKEKASESKAEHSNRAVDGDRDSSLQKSQENGSHFGGLMSQQGFADTGNNAQLEADKASLSRSSSGEGSINSRTSEGSCQGSPANQTFVCQPIASMFLEPQEPQPNPEGFTKEPFQEPELPLSRMLIEDSGSSKDLKNLFGSAIGQPMLAPPSNFGPMRNSGTVTIKASFKEDIVRFRFPCSSSVMALKDEVAKRLRMDAGMFDIKYLDDDHEWVKLACNADLEECIEISRHSGTHVIRLLVSDVAAHIGSSCGSSG >SECCE3Rv1G0191190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747338757:747340799:1 gene:SECCE3Rv1G0191190 transcript:SECCE3Rv1G0191190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISPMLDKKHKCFIPCQLFFLFICLNRASFTIANDQFVYSGFAQANLSLDGAATITPDGLLELTNGTFNLKGHALYPTPLHFRTSPSGYVQSFSISFVFSILSAYPDKSADGMAFFVTRNKNFSSALPAQYLGLLNNHNNGKASNHIFAVELDTNQNSEFQDINGNHVGININSLHSVQSHDAGFFDDKTGMFKNLSLISREMMQVWVEYDGGATKVDVTLAPIKMAKPARPLLSTIYDLSTVFTDTAYIGFSSATGVINSRYYILGWSFSMGKTAPGIDTTKLPQLPHVGPKPHSKVLKIVLPIVIAAFILIAGIIIILFARRKLAYTELQEDWEIEFGPHRFPYKDLFIGTQGFNNKNILGTGGFGKVYKGILPTSQLEVAVKKLSHDSKQGTKEFITEIVSMGRLRHRNLVQLLGYCRGKGELLLVYDYMPNGSLDKYLYCEQEVPLLDWAMRFHIIKGVACGLLYLHEKWDKAIIHRDIKASNVLLDSELNGRLGDFGLAKSYDHGTDPHTTRVVGTMGYLAPEMLRTGKLSPLTDVFAFGIFLLEVTCGQRPVKQNANGDRVMLVDLVLENWQKGTMVEIIDQRLQGKCKTDEACLVLKLGLLCSQPFASTRPSMHQVMKYLNGDMPLPEFAPTDMSFSMQALMENRGFNPSSVSNPQLMTSIATLSGLSGGR >SECCE3Rv1G0166590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:189001863:189003321:-1 gene:SECCE3Rv1G0166590 transcript:SECCE3Rv1G0166590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEARVVCCADEAALCARCDRDVHAANRLAGKHHRLRLLSAASNPPAVSAPNCDICQEGHAYFFCVEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGLEPDEQEEPVPEPEPEPQPPNNASSAPLPPPMCHRKRSPTPLYSDGDIDWAAGADVGITGNLPDWSVIDEQFGSGATALRPAEPEITKAPPKKSPRVAVTAASAALFGGSMPDWPLDEFFGFTEFNSGFGFAENGTSKADSGKLSSPNRRSMSSSSSGNATQSAQEFFGQVPEVQWSRSTMRELPSPPTASGIHWQGDPHYGSASDTAAVFVPDICSPENPFRCFTASADQQLKRRRRC >SECCEUnv1G0541040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93164287:93173505:1 gene:SECCEUnv1G0541040 transcript:SECCEUnv1G0541040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLAEVAKEEVAMLIGVSDGIKDLSIKLGDLKNFLADADRRNITDESVRGWVGELKRAMYLATDILDLCQLKAMEQRPSKDMGCLNPLLFCLRNPLHCHDIGTRIKMLNQNLDDICKRGSCFNFIKLEAYQDQKTTRSPAIDRKTDSLIERSGVVGEKIEEDTRALVEVLTREVVGDKNGRLIVVAIVGIGGIGKTTLGKKVFNDEAIEGKFTKKIWLSITQDFTDVELLSTTITAIGADLPRGGGAQNKALLVDALKNAIKDKKFFLVLDDMWDVDAWNKHLMTPFSYGGPGSRVLITTRHDTVARSMKALHPYHHVDKLAPQDAWSLLKKQVLTSEENEPEVDMLEDIGFQIVAKCDGLPLAIKVMGGLLCKKEKTRRDWQDVLNDDIWSVSQMSKELNYAIYLSYQDLSPYIKQCFLHFSLKPKKTLINDTEIVSMWVGEGLVEGDTYSRSLEEGNKYYKELIVRNLIEVDPHYPSQLVCNMHDVIRSFAQFVARDETLVGHNGDTIKTNLRSPSYLRLSIETKGVGSDEFDWRYLREQKLLRSLILTGNLKSQPGDSLTIFPSLRLLHIESANIAALVESMYQLKHLRYLALKRTDMCRLPENIHEMKFLQHISLEGCESFMKLPDSIIKLQGLRFLDMDDTLVNSIPRGFRALTNLSALFGFPSYTDGDWCSLEELGSLSQLNKLSLESLENVSSALLAAKARINAKKQLIYLGLKCRGRVGEVSDCEEQTIEISFT >SECCE5Rv1G0343410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638049053:638050507:-1 gene:SECCE5Rv1G0343410 transcript:SECCE5Rv1G0343410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPATTAVAVPRMKLGSQGLEVSALGLGCMGMSAYYGPPKPEPDMVALIHHAVAAGVTLLDTSDIYGPHTNELLLGKALQGRVRDKVQLATKFGILAGAEGERAIRGDPAYVRAACEGSIERLGVGCIDLYYQHRIDVSVPIEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGPKLVDTLSEQDFRKDLPRFQAENLEKNTMVFERVSAMAARKGCTASQLALAWVHHQGNDVCPIPGTTKVENFNQNVAALSVKLALEEMAELESYASTDVAGDRYHAFLNTWKDSETPPMSSWKAE >SECCE6Rv1G0419130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:666729745:666729984:1 gene:SECCE6Rv1G0419130 transcript:SECCE6Rv1G0419130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERANTELYLQNLYIMQENERLRRKAQLLAQEKEQLLADLKLKQQHMAASSKTAAQQAKGGGPSGHDAASLKSGKQQPQ >SECCE4Rv1G0221970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:53106381:53107274:1 gene:SECCE4Rv1G0221970 transcript:SECCE4Rv1G0221970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKEHVLLSQPDKLVVLAEIPASGSRDSQPAVTLRLLVELCSDYGAGPADVDTMEDVACRVPLADLGRQGAAERAFKDLVARVDNPVLRPEVAAETAAAAERVRARCGADHDGLRGVEFRLHVVFIDYASEEKEEEDEDESGSDMEFWEFDLSGARSLRGQQTDAGYDYEEDDEDEDGSGAQFTVRPYRGALARAGGGAPPSLLLSGFEARSDGPELTEEHEVTSYDIQRVVRKALGGRGSVVNDEAYRRALDGSAPVSPASRAAMAGQALRSARQQQQQPSKPPRPIFPMRTGF >SECCE1Rv1G0017190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:149004459:149006412:1 gene:SECCE1Rv1G0017190 transcript:SECCE1Rv1G0017190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPVELEAGSETDNHPLLIDHMENTAHREIAIDSPRDDVASSSTARREDNDGLDRLPRISESSSETTTASNSQSAPLARRDANRARRQQSPLNSSCWISIELVVTVSQIIAAICVLSLSRKEHPHSPLFVWVIGYTVGCVATLPLLYWRYLHRNRPTTGQEPASQNFPPNSIPESNSHSTNSAPGMSEAGFVTGTNGVSQNNVLTRNPRAQAYADHFRMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISLMSFREDLNQNKGATAEAINALRTYKFKTKKSRNGEGIEVGGGVVAAGTDKERIVSAEDAVCCICLARYSNNDDLRELPCTHFFHKECVDKWLKINALCPLCKAEIDSGPTTAPAIGFGRRHSDNRVGNDIESQL >SECCE2Rv1G0086980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:218066562:218072905:1 gene:SECCE2Rv1G0086980 transcript:SECCE2Rv1G0086980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGMLILKLGSALAIEAVKVGTGKLCRQAKASSIVRLFDQIRDIKEELESMQSFLQEAERFKDTDNTTASFINKIRGLAFEIEDVVDEFTYKLEDKHGGFSVKIKRRFKRITTWRRLSLKLRDIKLKLENVDRRKARYDIRGIAMEARNSDAHCRSTDQTSYFPIEENLVGIDESKNLLINWLTSDFQQENVISTVWGMGGVGKTTLVAHVYNTLKIDFDSWVQDLLKQIIRELQKSDLKGELRVDIVDMEKRSLVEIIRDFLRGKKYLLVLDDVWGIDIWFKIRDAFPTNSTSRFVITSRIHDVALLATGNCMIELKPLEAHHSWKLFCKEAFWKNENIICPEELQFLAQRFVDKCNGLPIAIACIGRLLSCKSQTHSEWEKLYKELEVQLTNNAILDVNIVLMVSLGDLPYILKNCFLHCIVFPEDYLIKRKRLIRHWVTAGFIRETEHKTMEEVAEGYLYELVNRSLLQVVERNESGRVRSCRMHDIIRLLALAKSNEERFCTVHDGSVSSSAENTRRLSIQSANIEQLTLSSEVQLRSIYVFDNGLTIDSLKPFLKSFKLLSTLDLQGSKIRRLPNEIFNMFNLRFLGLRDTEVEDIPKTVGRLQKLEVLDAYNAKLLSLPESVATLRKLRYLYVATDPKTNIKGVVAWTGVQVPNGIRHLTDLQALQLVEASSETLCHLGALTELRTLSITKVQREQCADLCNAIMNMTHLVSLAIMAINEKETLELEELCLPPTLSKLEIGGQLDKKRMPQIVSSFSDHENITLLALAFSKLEEDSFSCLLVLHGLRALWLDKAYEGKRLHFNAMAFPKLRLLSISDAPQLNDVVVKESALQSLVHLSLTDCPELKALPDGIEHLRTLEKLYLRGVSKDLTKKLQNKEKTNECNDYLKKINHVRRVTVYP >SECCE6Rv1G0420220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:676044153:676048365:1 gene:SECCE6Rv1G0420220 transcript:SECCE6Rv1G0420220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVALVSGGKDSCFSMMRCLDYGHKVVALANLIPLDDAVDELDSYMYQTVGHQIVVCYAKCMGLPLFRRRISGSTRDHALKYSVTAGDEVEDMFALLSEVKRRIPSIGAVSSGAIASDYQRLRVESVCSRLGLVSLAYMWRQDQTLLLEEMIRRGIVAITVKVAAMGLKPSAHLGKELSELKSHLLQMNESFGINVCGEGGEYETLTLDCPLFRNARIVLDDFEVILHSADSIASVGILHPLAFHVEPKPGSSSSIGDGSIAEENSSCLYEVNGAIAHTDAENKQTLNPTPTSDAYPDTNVCISKIGKTLFSIGCWIEEPSSASQGMKADLVKVLSRIENQLKDGGLSWANVLYVHLYISSMKEFGLANEVYVSFITEKKCHLGVPSRSTIELPLVQAGLGHAYVEVLVANDLVKRVLHVQSISCWAPSCIGPYSQATLYGEILYMAGQLGLDPPTMKLCPGGATAQLELALRNSEAVANAFKSSIFSSAIHFLVYCSAHLTSTEKEAVEQKLQNSYIAHLDSARTGSYPTILYVLAPDLPKGACVEIKPTLYVPADDYNDNDDDGDITREPEAGGSKPSSSKVPSEWTAQYSDLHDSCCLVNTIAGKICSAVVSVTNDIASKICSSTEQLINPSKEHLKAIARFSVFQLAKTLTDNSFTWDDVTMLRFYYSVKHAAGADAMSRAFSEAFAELGAADGSLRTDGAPVFNLIPVSGSGRSASTDDVVTCELLASKA >SECCE1Rv1G0024630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:329168379:329169096:-1 gene:SECCE1Rv1G0024630 transcript:SECCE1Rv1G0024630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSRRLKPPTFCQTLDRDIWIDGWIYLSIQISFAISPKSLIWPGNKALLWAQEAKGMSSAASPPHFFISPCPFRMRFARHWRFALLLFLHWRVRMDFAVLSQRKWKGLYHIEMSIRFPPQMRWGISHLCPFIFLKGIEARPGSRRSNNRRGAPQYTIARSNWESYYT >SECCE2Rv1G0105230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:617113110:617116109:-1 gene:SECCE2Rv1G0105230 transcript:SECCE2Rv1G0105230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFCCFGAGCSELTGHASATSGKGKGCQGQVKVSYGFSLVRGKTNHPMEDFHVAELAEVKGNELGLFAIYDGHLGDTVPAYLQKNLFPNILNEEEFWTHPDRAITKAYEKTDQAILSHTPDLGQGGSTAVTAILINGSKLWVANVGDSRAVLLKGGEAIQMSIDHDPNAERGAIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLKSEPDVKVEDIDHTAELVVLASDGVWKVMNNQEAVDVAKRYKDPQTAAKQLAAEAVKRDSKDDISCVVVRFKM >SECCE5Rv1G0367300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:820356141:820356970:1 gene:SECCE5Rv1G0367300 transcript:SECCE5Rv1G0367300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRACSGKEGVKRGAWTSKEDQTLASYVKAHGEGRWKQVPLKAGLRRCGKSCRLRWLNYLRPSIKRGNISDDEEELIVRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNSTLGRKALPERLDATPGASAGSCSSTGAATSALSSNCGAGSSAKAAAPTPSGAVWAPKPVRCTSGLFFRRDTPSPAPVTETLAVGGDGDECSGSSLAASSGGGDWMDDVRALASFLESDEDWLKSLEMAD >SECCE2Rv1G0074510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:77234281:77239520:1 gene:SECCE2Rv1G0074510 transcript:SECCE2Rv1G0074510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETEPRHPLMQAVLDGDLRLLKEMVGVVAADVGLWARVLTVAVMEGRLDICRCLVEDHGVDVNKRTFTGDTPLAISATYGTPAITKYLLDRGADPTLAGALWPPLHAAASFGQCEMVEMLLSTGIDVDHFDSVYGTALHAAATNGQDGSMNILLQHHADPNKVFRLDSTPLRLAMISNSLECAKLLIKAGADVNKIDYTGVTYLMIAAGNGLPDILKCLLDAGANPDIDDGFGTTPIEIAALQSRWDMVDMLFPLTSPISRFPDWSVDGIISHVQSFGLKPRDIHLCEMKRAELKLQAAEAFERKEYVIAGELYTCAMSFQPSQKGLANLLAHRSFCMLQAGIGKEAVSDAARCTVLRPFWPKGYYRLGAAFMLLQDYGKAALTFTAGLKLDPTDADMANALREARETARNPPRTRGLECLHPFGMRRSWRD >SECCE7Rv1G0508030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:767909181:767910231:1 gene:SECCE7Rv1G0508030 transcript:SECCE7Rv1G0508030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAVLVLAILLACSAVASASFDKEFDVTWGDGRGKILNNGQLLTLGLDQISGSGFQSKHEYLYGKIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTNDFHTYSILWNPKHIIFMVDDMPIRDFKNLEGKGIAFPKNQPMRLYSSLWNADDWATQGGRVKTDWSHAPFSASYRGFKADACVVTAGGRPRCGASVGTEVAPGTGVAGEWYNQELDLTRQQRMRWVQSNYMIYNYCTDPMRVAKGVPAECSM >SECCE4Rv1G0243040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:494552575:494557266:1 gene:SECCE4Rv1G0243040 transcript:SECCE4Rv1G0243040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKRERVEEASESPQKSPRLDPLAGPGCSAASASPTEWSEWPSSEEAEAATSSDSTVDSMDDSGRCDHILFDLDMVVHDLKVANKVLNEPRKCQRRNCNTTWKGASEDDQGMMKCIDCAYFFCSGWPVDIENPQGHARWHAGEHQHWVAQWCDEPNLGYCFLCARPMRLSDWSEDDYAVAARNEKDQQMPGDSVAKDGWGTMASVAKDGWGTMASIAKEGCETGAENPQKPPPMDLLLLASAAENTQKYPHLDLPASEAPLLLSESPWTGEAVETSDCTYDIGSCEHLFKDREELDDMVRDIKTAEKPPECEHFPCTTTCTWRGAAAGLMVCTECTSTFCTGENGDMENPQGHARWHATRDYHWVALWYNEPYKGYCFECGHVLKLGQDKLSDDEWATVARNKRDERAMSASNGWDKWGTVAESYTKDLWAIVNGDDASGYANGNGCVIRGLPNLGNTCYMNALLQCLLALGELRTTILGPGARLGRIGLHLKQLFMETSSRNDARRSLDPEMLLKYMRLFNPQFKGSFMQDSQEFLTSLRSALEEETKDLNKLHGGAEFRAIGNSIFGGWLRQTLYCISCRTKSVLDLQFDELQLALPSKDCPARSVTLPPMTRSRGSPTKTRKELFQQTDKTDGEKIQTIAEGVDSQFPGSEFGDEAIEKTPKPLQVDSTEVEDVAHGRLQTQKNDVPREIIEVPIKVLDFIPNLFDDTERMDESIVDPHSPEDTGPPPLLDIEAKKNAYSVQLTTEDKGRAQSSDITDDEAVHMNSVVSLEDCLTLLCYCPMGWKCDNCSKVAELPRTNGSENGEPMIASTNVNPTVEGDQTELSDRKTCPSERSNDLNSLSVHCTSPSRQTHGSDAHHQVILSEDRISEEITSGMSYDEKNSASCSTTNKKSESHEVVQEAPPSSFPTDKQTDMLSTQDNQDTSNQNQGSGKQVKLDDHSAQQVQENQIEQKHETGGFQIQLITKLPPVLTIQLKRFTNALSKARGHVSFKEILHAGPFMDPSSEDKGNSSYRLVGVIEHRGHSLSIGHYVAYVRAGRKEQSSSSSWVCASDRDIKEVPLEEVLGCEAYMLFYERMDDRGISGSLATN >SECCE5Rv1G0354990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:728132295:728134709:-1 gene:SECCE5Rv1G0354990 transcript:SECCE5Rv1G0354990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CCS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G49380) UniProtKB/Swiss-Prot;Acc:Q9XIA4] MPSPTCYLLLHPSRACHRLLPPSPRLPARCARLRVSCDVPRQGGDDAGGPKRGVIPAGAGKGKKQVVFFDAAPPVAQQGDGEEGKGEAPEAKKDGAALRALRRATKRTLSVLSNLPLAITEMFAIAGLMALGTVIDQGEAPSHYFEQFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTFTTQLPMVKVAKRWSFMSSGGSIRKQDFAESLPRASIQDLGVILMGAGYEVFTKGPSLYAFKGLAGRYAPIGVHVAMIFVMAGATLSATGSFKGSVDVPQGLNFVIGDIMKPKGIFSVAPDVFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFDLDGKEVMRRTIKVNDPLRYGGITIYQTDWGFSALQVKKNGEGPFNLAMAPLQLNGNKKLYGTFLPLEDSDSSSVKGISMLARDLQSIVLYDQDGKFVGVRRPSSKLPIEINGNEILIEDAIGSTGLDLKTDPGIPIVYAGFGALMLTTCISYLSHAQLWALQDGTTVVVGGKSNRAKIEFSDEMNRLLNKVPELVSVGEKTVDNKSSTA >SECCE3Rv1G0187950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:707575818:707583116:1 gene:SECCE3Rv1G0187950 transcript:SECCE3Rv1G0187950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGPIIIDRAQMPLDSPPAEVEIITSPSPPGAPQPPMAMQTGCDGASDGGEGVPDEFREKTDQELQLKIKRLRSTLHLLPDGGEKSRKLILRVEKELDRRLTAGPLKVDTGRRQAAQTPSGDDPYAFNESDKINCSSFSDKWPPPTKSYGKSGEAAFGDELGHFNAGKRASQVKDRKRATDTVNYQPKRHRVFQNIPDKKHLDTIGKKLGMKNCTEDQQKNKSVKPKGMSSKLHPEDHTFGSSTKRRDRSNNCTYQHARLNRRNKMKEVVLLDDEDTEPSTSVDVEMANIWEKSQIYYPSRTDPQTVVLTYSDIKCLDPEVFLKSPVINFYIQYLKKSRPCDDLYIFNTYFYCKLEEALSRTGECDSQFSKLRRWWKSIDIFKTPYLLLPIHGQVHWSLVIILMPAKEIKCGPRVFHLDSLGLHSSDKVFGVIERYLIEEWRHLQKDSSYDVPFSDKIWRYLPRNIHKEKIEVPQQQNDFDCGVFMLYYIDRFTQEAPDDLTRVRPCKFGRKWFSPEEASGLRKRIRALLFDIFQKAPPSDRNLESNADDHSEDEEYKGKDTIVII >SECCE3Rv1G0165820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:179445819:179446298:1 gene:SECCE3Rv1G0165820 transcript:SECCE3Rv1G0165820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAIEAQREGAEVYHGAALCAEKAVELLAETNMPLGLLPLADIEEVGYNRATGFVWLRQKKALTHTFKQIGRQVSYATEVTAFVEDRKMKRMTGVKSKELLIWITLCDMYIDKDDPSKITFKTPTGLGRTFPVSAFEKQDDGKAKAAAADGKEAVVAK >SECCE3Rv1G0160790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:108404197:108405936:-1 gene:SECCE3Rv1G0160790 transcript:SECCE3Rv1G0160790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDFAAIQQQQQLALAAAAADENMSNLTSASGDQTSVSSHPLPPPSKKKRSLPGNPDPDAEVIALSPRALMATNRYVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRNPNEVVRKKVYVCPEPGCVHHDRSRALGDLTGIKKHFSRKHGEKKWKCDKCAKRYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVAVAVEEQQHPGMLYSHGGGSAGFQMPPVMDPSSSLGGGHGLIQELCLKREREQQQQQQQQQQQFAQPWLSEQQLEMAGEGGQTLFGTARMEQEFNASSTPESSTQPVGMSFASFPSSSAAPSAAGATASSHMSATALLQKAAQMGATLSRPSGQGQMAASTFSSSSSAPITNVTNNAPAAATSSSAATSTAVGVGFGHAFEAPAHFGVDQRPNANRNAGNAGAGGAGRGNAGGANDGLTRDFLGLRAFSHGDILSMAGFDPCMPTSASARAAYDQQGPQSSNPWHG >SECCE7Rv1G0526170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894190166:894191704:-1 gene:SECCE7Rv1G0526170 transcript:SECCE7Rv1G0526170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMFSQALPIYTALVLLVLPLYYLYSKASCRSVLPTNWPILHMFPSFVANLHNLNDYFTLVLARSGHNFRAHGPPGTGMRFFVTCDPANIRHIFTTNYTNFPKGVEFAEIFEIMSGGIFTIDGEPARRQRTKIKGVLSNPRLVARMEAWCRDKVENNLLVFFTHMASTSTSFNMQELMSRLMFDLAAMPLFGVDPGLLSLDMPPMEVALAIDTVMEVAFFRVRVPSSCWKLMRQLNIGPERKLKAAHKVLRGFVMEMMERRKINTSSVGNGKQHGGVDILSSFLNDPYYTEDAMFNAMTISYMIAARDTVGTTLTWIFYNLAQNPNIVSIIRNELSPIASRKVAAHPDAMMIFEPDETKSLVYLRAVLYETLRLYPPAPLERKMVATNDIMPSGHEVHAGDTIFISLHSMGRMEGIWGKDCLNYNPNRWLSEDGNKLRYVPSHKFLAFNSGPRMCLGKDIAVMQMKTVIASTLWNFDVEVMKGQCIEPKSSCILEMKNGLIVKLKKRETVH >SECCE7Rv1G0494390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:582747524:582751703:1 gene:SECCE7Rv1G0494390 transcript:SECCE7Rv1G0494390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 2 [Source:Projected from Arabidopsis thaliana (AT3G48900) UniProtKB/Swiss-Prot;Acc:Q9M2Z3] MGVKNLWDILDSCKQKLPLNHLQNKKVCVDLSCWLVQFCTANRSPAFVKDKVYLKNLFHRIRALLALNCSLIFVTDGAIPSIKLATYRRRLGSNSEADCDDTSSQPLTSLRRNKGSEFSRMIKEAKHLGLALGIPCLDGVEEAEAQCALLDLNSLCEGCFTSDSDAFLFGARTVYRDVFIGDGGYVICYEMEDIEKKLGFGRKSLISLALLLGCDYSNGVHGFGPEAACRLVKSAGDDSILDQILSDGVKATRKCKGKKAGIDKNKGGDICTKTSSSEVVMSQDSGDQFREVINAFLEPKCHSPDSENVRRVCCQHPFRLSEFQQICEKYFEWTPEKTDEYILPKIAERELRRFANLRSTSSALGAKPSLGEIPVPCPVLEISKQRKVHGSEYYEVSWRNMHGLQSSVVPGDLIRSACPEKITEFLEKKDEEKKQKRKTRPKKSAQAAVKDVDARLQDLMLDIESECATFPPATASNCPETGDVHRVVPSMDIVDLSSPSPPLRACKSQKFIGSTAAAMNGIDLLSGMMESQSSTQSSDAQIFESQSSTQSSDAQNFESQSSTQSNDAQNFTLDDNLIDLSSPLPPVAERQPCRFQDLPPYDGAERRALTDVSNLPQKNSMLGASDDRHKASASDGCAPVEASPPVIHGARMFSGRSNVPIISSAESVAGTIDLSSPSPVVDRRRNGNHGKDAIDISEADSSVVYPDDDEHERKARELRSFLKSIRDEL >SECCE5Rv1G0356870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742268028:742268402:1 gene:SECCE5Rv1G0356870 transcript:SECCE5Rv1G0356870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCEUnv1G0541450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:97978152:97978430:1 gene:SECCEUnv1G0541450 transcript:SECCEUnv1G0541450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQKAFEIAEANLSKAEGTKDLVEAKLALRRARIRIEAVNWIPLSN >SECCE7Rv1G0501220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:680776951:680778513:1 gene:SECCE7Rv1G0501220 transcript:SECCE7Rv1G0501220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYRAFALALLFCALSCQAAAAAYAPVPAKADFLGCLMKEIPARLLYAKSSPDYPTVLAQTIRNSRWSSPQNVKPIYIITPTNASHIQSAVVCGRRHGIRLRVRSGGHDYEGLSYRSEKPETFAVVDLNKMRAVSVDGYARTAWVESGAQLGELYYAIAKNSPVLAFPAGVCPSIGVGGNFAGGGFGMLLRKYGIAAENVIDVKVVDPNGKLLDKSSMSADHFWAVRGGGGESFGIVVSWQVKLLPVPPTVTVLKIPKTVQEGAIDLVNKWQLVGPALPGDLMIRIILAGNSATFEAMYLGTCSTLTPLMSSKFPELGMNPSHCNEMSWIKSIPFIHLGKQNLDDLLNRNNTFKPFAEYKSDYVYQPFPKPVWEQIFGWLVKPGAGIMIMDPYGATISATPEAATPFPHRQGVLFNIQYVNYWFAESAGAAPLQWSKDIYKFMEPYVSKNPRQAYANYRDIDLGRNEVVNDISTYSSGKVWGEKYFKGNFQRLAITKGKVDPQDYFRNEQSIPPLVEKY >SECCE5Rv1G0323240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:431674113:431676684:-1 gene:SECCE5Rv1G0323240 transcript:SECCE5Rv1G0323240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRIPPTLPECDDYDGAVLLDIRCYIADLHNATTASGATSRGLPIQVTFRNARPPLLSHLCVHFPGLNFLHTPPRVVATDADLVLLRVPININAFLDVEAWDYFLYRPRSHHLDLLPSPYPVCFRDSETALLSRQDGAWYAVAAISSWCPVYEDNSNLIIRRDFRLHLYRSSDSAGWITMPMSLEELVRDKLVPLPGDVEGEVPYHKTSKTLTIGGERGTVAWVDLWRGILFCDVLGERPVFQDIPLPIPARGNWGRLLRQCEPNYIRDVDISRHKDTIKKQTKTPDNYFDWVRCKSNVTQVIPGGWKARTWIMPIPVGSFTDWQPDCEVEVKDVTMDTSDPHHLNLLSKLSGSNTMPTLQKFPMAYPTISIDDDIVYFVYSNYRRRPERFELMIAVDIRNKTLQGVAEIDIQNCIIMPVFCTSEICRYLRKSTGTPVELKRSEKESVKLTHKEDCKPTVVHVKGTDLQKVACE >SECCE1Rv1G0015950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:132824062:132826942:-1 gene:SECCE1Rv1G0015950 transcript:SECCE1Rv1G0015950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQAAATSLVASLRSPWSLLCGAAALLVVWVAALAAESYWLRPRRLGRALRAQGLGGTAYRFPAGDSAENARQSLEALSKPMPRSCHDVVPRVMPHLHSTVKQHGEVCFTWDGPDPKVVIAKPELVTEILSSNSGHFEKLRTNLGDLVCRGVGTYDGEKWARHRRILNPAFHLEKLKAMFPAFSTCCTELVDRWESKLLAAGSEGSVELDVSQEFPILTGDVITRTSFGSSFTEGRRIFELQVDQANRLMKLLQYLYIPGFLSFPTENNRRMWENKREIEGLVRGVVQKRERAMDKDGAIGNDMLGLMLQSNRSSNSDLRMSTQDVIEECKLFYFAGMESTQQLLTWTVVMLSMYPEWQDRAREEVLGVFGRDGKPGFDGLNRLKTMTMIMYEVLRLYTPVVTMNRKINKEMEIGGVTYPAGTVLELPFLMVHHNPDVWGEDVSEFKPQRFAEGISKATKNGQVGFFPFGWGPRICIGNNFAMLEAKMAVSMILQRFEFRLSPSYTHAPCTVITLHPQHGAQIILKSL >SECCEUnv1G0557890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:327952068:327954596:-1 gene:SECCEUnv1G0557890 transcript:SECCEUnv1G0557890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKNTTDLRSFLERVAAKKKKSEPTSATPSSNDSQLQLVIFQGQSGSETHTIPPEPERAPNTESTIAEDDDESMTVDGSDSTNEDSDDDIYDIMPDPGLRTPISSYDVNDQDSVRRAYIALGRCKAKMDKKDFPQHNCGGKRSFQPVWFDEYKWLEYSVDKDAAYCFVCYLFKDSTKFPGGDSFVNGGFRNWNMKVRFRKHVGEVNSAHCEAEEKYNLFIKPKASIREAMASQTTEYKAQYLARLKWSLECIKFILHQGLAFRGHDEGKDSKNKGNFRELLQWLAGNFEEVNKVVLGNAPQNCQMIDHKIQKQLIGSCAHETTKFVIEELGNECFAILADESSDAYQQEQLALCLRFVNKIGEPVERFLGLAQVADTTSLTLKEAIQTLLMKYQLPISKVRGQGYDGASNMKGHVNGLKKLIMEDSPSAYYVHCFAHQLQLTLVAVAKENIDCKWFFGHLAYLLNVLGMSCKKIRMLRVAQSEYMIEALKLGEIEVGQGDTRWGSHYKTVMHVMSLYPSIRKVLFKLGKENNSAEALGAQTMLEVFKSFEFVFMLHLMNEIFGYTNDLSNALQKRDQDIVNAIDLLEFTKVQLQVLREDAGWREFLENVTSFCVKHNVRCVDMDGKYRPIQRARSFYKNVMNYHRFHADMFLGVIDRQLQELNNRFDEVNTELLRCMASFSPAKSFSSFNIDDLVKLAGFYPHDFDFEEMHQLPFQLNLYINDVKNDENITNLRNLAELSMMLVKTGKDLRYDIVYKPLKLVLVLPVATAGVERVFSSMNYIKNKLRSKMGQKYLNDCLVTFVERDFFLQVKDEDIITHFQNIKDRKVNL >SECCEUnv1G0528800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6069373:6072404:1 gene:SECCEUnv1G0528800 transcript:SECCEUnv1G0528800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSGSGSGQIQPLKIPDAVVALAQAAAKANSNNNNSAAADATDKYLPGWPLFSPPKMQLTKCAKCPREFCSSLALRRHTRVHRRALKIDKDFPKNRDHLAAFWDKLAVDQAQAILSLEGVAIEETSALFILTSLSSWMCKPGYASLPLPYARAGNELLDLIQTAASKLPISSTELFIMLDEASENTFLCTNAADVACVQKFLFDGEVDKVATELKNVVACTSYILEQKLVEAWSADKAAEALRCQKLLVEEEDAAQKRQAEIMERKRMKKLRQKEQRLKDLKDEGATQKLPEVVDGATNSPGIQSLEAVSGPGLHEQEDPQHLRLSAPVPSEDNGCNGEDANCGSGQEMDTGAVFRDQAMVTSNLDRVENLPPNSNTVSGSSATTPKHPASVRHSRHREPNAGAATNKSKTWAWKVRAGVEERCPKGEPDVDANQETALNTDKNSQVLIGSISVAIKDGGGCSQDSKDHHPAPPESDLNTLNDPVAEAMQPVSHDENACEDTDGGTMTPAAEDHSPSSVMTDEGGSVCGNAESAEGVGLRRGTVSSGQEASAFLSQRWKEALAGDHVKLVLC >SECCE1Rv1G0002590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9692106:9697990:1 gene:SECCE1Rv1G0002590 transcript:SECCE1Rv1G0002590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPCDGDGVCMVCRAAAPPEVELLRCATCATPWHSPCLSKPPALADAAAWACPDCSGEDGSPSAAPAPAPTAAPGGAGGGSGLLAAIREIEADASLTEQEKAKRRQELLGGNSAAAANADGDDDDDADDEDTALEIVGKNFSCVFCMKLPERPVTTPCGHNFCLKCFEKWVNSGKRTCGKCRGPIPTKMASQPRINAALVAVIRMARTAKNSSTGGSGNPVHYIRNEARPDKAFTTDRAKKAGKANASSGQIFVTIAPDHFGPIPAENDPKRRLGVLVGETWEDRLECRQWGAHFPHVAGIAGQSEHGAQSVALSGGYIDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSSDQKFDKMNAALRLSCKKGYPVRVVRSHKEKRSSYAPETGVRYDGVYRIEKCWRKIGVQGTYKVCRYLFVRCDNEPAPWTSDLHGDRPRPLPKIKELQGATDITERTGSPSWDYVPNEGWKWVKDPPISKKPAQSGDPETDKQFRKFQKRAHMSMNERLLKEFGCSICKNLMKEPLTTPCGHNFCKACLTAAFGDEGSMRERSRGGRTLRAQKIVKNCPSCPTDICDFLENPQINIEMKDLIDNLLAKAAKDKTDAEGSAEENDDEEDALEKEEEDDSSLNEEENDDGAENKAGEEEAVVTIVVEAKDELKKPLKRKGDEEAKDEKKIKKTTAAAEEDAEKNAAEEDAEKVENKEEEGAQKVQKKRKGRAETAAAASGGGKRKRASPAAAEEKPAAAGGGKRKKASPAAAEEKPAAAGGGKRKKASPAAAEESPAAVSTPRRATRSGGVVVAGGSPATRTRSNTKAGN >SECCE3Rv1G0157190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80308679:80311585:-1 gene:SECCE3Rv1G0157190 transcript:SECCE3Rv1G0157190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYHRLLPHVLILLLLVLPLASPSSYAGDRAALVALKGAVTGDPASALSAWSSSDPDHCHWRGVTCHPSSSAVAAIDLPAASLSGALPALLPPRLLRLDLSGNNFSGPIPAAFLASPTLRALNLTSNRLSGPIPFPPSSNSSSSPPCPALTHLRLAGNFLVGEIPSAVAQCRGLRVLDVSRNVLEGAVPRALGRLAALRALDVSRNSLTDRIPPELGNCRDLAVLVLTNLTASPGDQPEFNAFLGGLPPEVVTNPALQVLWAPRANFDGQLPSHRNGSCRLRAVSLGQNYIGGPVPKWLGECGDLAFLDLSSNSLEGSMPAELRIGCMQYLNVSQNLLSGPLLSPVEGKCSSRLIGDDVVIQYYEGLVGLALISNPFGSVLGDISNAALHDFSNNGFSGALPSLNLYLVGNYSYGLLLNSNMFNNTLSAGFFGFCKGANGVAVNLSGNRLSGSLDMLSSCTSLQSFEAGYNRFNGSVSPGIGDLHLLRVLVLRANNLSGEIPVRFGDLAALEVLDLSKNSVAGILPSHLADASRLKVVMLDHNRLSGSIPPSFSELAQLSVFDVSFNNLSGEIPYLRHSADCGFFVGNPLLSRCLGPNASAPPSVSANHQKWTERLSGNMTKSKYLVVIIASSATALVSFVVAVLLFFVCERRKRAKIENFKKKAVVTFADAPAEINYDSIIQATSNFSIQNLIGTGGFGATYKAELAPGYLVAVKRLAMGRFQGLQQFDAEIATLGRIRHKNLVTLIGYHIGESDTFLIYNYLPGGNLESFIHEMGSRQVSWAEVYTIAMDVAQALAFLHGSCTPRIIHRDIKPSNILLDEDLNAYLSDFGLARLMEVTQTHATTDVAGTFGYVAPEYATTCRVSDKSDVYSFGVVLLELMSGKRSLDPSFSQFGDGFTIVSWGRMLMQEDRTSEFFSPGLWDTSPKDRLTEMLKIAISCTSESLAVRLSMRQVAARLKQLRIEQ >SECCE4Rv1G0294540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:891469898:891470575:-1 gene:SECCE4Rv1G0294540 transcript:SECCE4Rv1G0294540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSAGNQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKKEEQERLDEEGASIAEAVALHVLIGEDSDESRHLVLNKHRRCNDWDPSAGLDFALDTQGAADFYSPGGLMCANQAYASKGSRWIDWGNAHPLPTWGEVRDLKASYYQGTTFHQSTVACPGFMAAQAVSSLQIREDSSAPGQGVAAATVVNRMLGGANRPLNLYREI >SECCE5Rv1G0351520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:700670069:700673344:-1 gene:SECCE5Rv1G0351520 transcript:SECCE5Rv1G0351520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGFDIIGGITGSKTRLKGSVVLTRKNVLDFNSLGATVMDNVTEFLGRGVTCQLISSTIVDSNNGGRGKVGAEASLEQWITSLPFITVGENKFSVTFDWAVDKLGVPGAIIVKNNHASEFFLKTITLDNVPGHGKVVFVANSWVYPQSKYRYSRVFFANDTYLPSKMPAALKPYRDDELRNLRGDDQQGPYEAHDRVYRYDVYHDLGESRQILGGSKEFPYPRRCRTGRKLSQTNPDRESRLLPLVQSIYVPRDELFGHLKTSDFLGYSLKALVDGIIPAIRTYVDLSPTEFDSFADILKLYEGGIKLPDIPALQEMRKRFPLQLVKDLIPMGGDYLLKPPKPQVIKQDEKAWMTDAEFAREILAGVNPMMITRVTEFPPKSSLDPSQYGDQTSTITEAQIGSSLEGLTVQQAVSSNRLYILDHHDHMMPYLVRLNNLDDTFLYATRTLLFLKGDGTLAPVAIELSTPLLQGGLTTAKSTVYTPASTGVEAWIWQLAKAYVCVNDYGYHQLVSHWLNTHAVMEPFIIATNRQLSVTHPVHKLLHPHYRDTMNINSRARELLVSAGGIIELTVFQRKYAMEMSSVTYKDWNFNEQALPDDLIKRGMAVRDPSSPHKVRLLLEDYPYAVDGLAIWTAIEQWVTEYLGIYYTSDSVLQGDVELQAWWKEVREVGHGDLKDAAWWPKMKTVAELVKACATIIWTGSALHAAVNFGQYPYAGYHPNKPSASRRPMPEPDTEEYALLARDPEKVFIRTITNQLQAIIGISLLEILSKHSSDEIYLGQRDTPEWTSDAKALEAFKRFGTRLEGIESQVVALNGNPQLKNRNGPAQFPYMLLYPNTSDHTGKAEGLTARGIPNSISI >SECCE6Rv1G0391660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:230795389:230799008:1 gene:SECCE6Rv1G0391660 transcript:SECCE6Rv1G0391660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAPSSSSVAVLRRQPVQFLRGGDVSKQAKGSVSYSFPTNSRNANMKSVGLKVAASLKKNSGFPADGCLENDDTLSLKSTSVRAQDHPITNSVIPVDSVVTAESISTDLSCVVDTLSNEEDDAELDLDSPTEGFSSISEAIEDIRQGKLVIVVDDESRENEGDLILAASLVTPEAMAFIVRHSTGIVCVSMKENDLERLNLPLMVSTKENEEKLCTAFTITVDAKEGTTTGVSAKDRAKTVMTLASPHSKPEDFNRPGHIFPLKYRDGGVLKRAGHTEASVDLAVLAGLPPVGVLCEIVDEDGSMARLPKLRVFAEKNNLKIVSIADLIRYRRKRDRLIERASVARLPLRWGNVRAYCYRSVIDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGDQLAMSMERIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYVGLKGYGLSIVGRVPLVTPITSENQRYLETKRTKMGHVYSN >SECCE1Rv1G0017590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:158101490:158102614:-1 gene:SECCE1Rv1G0017590 transcript:SECCE1Rv1G0017590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGEDQNSFKTKRSRATNWPSVMSKFLLNWYLEKKKAMPPKTKFKKTHHHYCQAALNARFESAYTVDQVHRHLRRFKEVWNIVARYMNENGSRFDKKNKMLILPSATMAALPLAERAILVKPIPFFDHLQALFSDCPVDDASMTDLLTDADLNDDQMETQDPLNMMVVHADTGDPHEAGLDKVVLGGEDGCHEVAVISAVGTIPCEVMSGTSAPSAEPSGSAESTIAALKPSLKQSKIVSKAKANPKPQAVALHDSRKPDAFNRNLMGIHDRLAKPTRTAPPLSDPNAPLWNMLKEIPLTPADRLSVGIYLCKPESEVHRSFFMSMGKEYLEAWAHKFLSGGEPGAL >SECCE6Rv1G0391070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:205998935:206003516:-1 gene:SECCE6Rv1G0391070 transcript:SECCE6Rv1G0391070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAVYGDHCKPALVTYPDVALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGQELGAAPISPKTPLPSVDDLADQVADVLDFFGLGSVMCLGATVGAYILTLFAAKYRERVLGLVLVSPLCKAPTWTEWLYSKVESNLLYYYGMCGLVKESLLQRFFSKEVRGCSELPESDIVQACRSLLDQRQSMNMWRFVQTMNRRYDLTEELKQLQCKTLIFVGENSQFHAEAVHMTAKLDRRYCALVEVQACGSLVTEEQPHAMLMPLEYFFMGYGLYKPSQVDCSPRSPLSPFCISPELLSPESMGVKLKPIKTRARLEL >SECCE5Rv1G0298590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:12226661:12231450:1 gene:SECCE5Rv1G0298590 transcript:SECCE5Rv1G0298590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVGRRRTRGNPEKEGEAGGGGAQGEKMPKAGGRRARGDPDPGTEREAKKKRSLASAMFAAFERPQSEAKSDRVYDVGESVMEESAGPPIPLLHEPYIPDELASHPGIHHAFELAEAKYNAEKDHRYALFTMARGPPHSCLFNERRLLPIRETAKDAVLLASGSVVRLSSSLGRVPLNKCCGLWFQQDEEKKTAIVLTSAHLVRKQDPSVMDQWTGKYHRGARVVVHLLDGTTARGSLLYLQEHYEIALYEVTMHKPVELPTFNDTVHSGQDVFRLGRDDESLDLKITHGRVENKIPVRHERCHYLYFSSDESRHRLVCNIT >SECCE4Rv1G0278140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:807308320:807308811:-1 gene:SECCE4Rv1G0278140 transcript:SECCE4Rv1G0278140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGLALKAAAVVAMLAMLVLPSFGRCPSLGPAPPPPSPPAHAPPPPEPIPPAPAPAPAPRVSCGDCYSVGSQACYSLCIAPLSETCGCLLVQGRCDKCKTDETDMCTANCTDGGCDCGAAAAEKACADTCSYNDCSWCVHGQQQGCLTSCRSACMSKCNGP >SECCE3Rv1G0153560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:55639252:55643936:1 gene:SECCE3Rv1G0153560 transcript:SECCE3Rv1G0153560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEVIWHCLRHNHCSFMAKMETGLFCRNPYNATGICNRSSCPLANSRYATIRDHDGIFYLYMKTAERAHLPKKLWERVKLPKSYDKATEVIQKYLEHWPKLLVLKIRQRLLKMTQYRIRMRNLQLKVREKIMTVPRKKTQRDLQRLEKAEKAAQLDKSIENELIERLRKGVYGGEIPNVPFKPFNTILDMDNDDLAPEIEEEEEGEIEYVEGADIDMGEMEDMEDFECFGGEDDDGNDGLDEPFTKNPKRSGSDMSSKIGRKSTKVIAEVEQDEDRNRRLSMRM >SECCE3Rv1G0162720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:137478394:137484362:1 gene:SECCE3Rv1G0162720 transcript:SECCE3Rv1G0162720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGRGGGRANPGAVQAGRGGGQYHGGRGGGGGGGNPDGGAGRGRGYYQGQGGGDGGGRGRGYYQGDGGGGRGYYQGGGDGGGRGRGYYQGEGDDRGYYQGGGDGGGGGRGRGYQGGGDGGGRGRGRGYEGPGDGGRGRGRGYYGPGDGGRGRGRGYQQGGNNYGGGRGGNNYGGRGGGQNQPDPLSLQVAGPPLSERYHAEAAQLREKFQAMAISRAEPMFPGRPGFGFTGEACLVRANHFFVGLVDKGLHQYDVAMLPEPTMTGVFRAVMSNLVKEHQNTTLGGRLPAYDGRKSLYTAGELPFKTKEFEVTLPDKNPGPPGQRRERKFKVTIKHATLVSLQQLQRLMSGIPTDIPAQALQVLDIVLRDIVLNERDDMGFVPVGRSFFSRTIKDPIKLGQGIEGWNGFYQSIRPTQSGLSLNIDMSSTAFVGGGPLIDFIKEILNRKDLSRGIQNDLDYVKIKKALRGLRVEVTHRGQMRRKYRIAGLTKDSARELRFQLSTGETKTVRDYFRETYKLQLRYDFLRCLQVGTEQKPNYLPIEVCNIVPGQRYQKKLDDSQVSKMMAIACQGPAGRETSIRMSVLENKYNSAKRANEFGIEVDSNPTSVQARVLPAPKLRYHGTAFCNPENGSWNMKGKKVVNGAKVGIWACVNFCNELPEDEVRIFCNKLSGMSSTTGVNFTDAKLKIFHARSDQVEAKLREVRQQAGNMKIDLLLAILPDKNGSLYGDIKRICETDIGLMSQCCLHKNVTKSSPQFLANVALKINAKCGGRNSVFADIRGSLPIVSRKPTIIFGADVTHPSALDDTAPSIASVVASQDWPEVTKYHGDVHAQGHRVELIEGLEGIVKKLILSFQKHSNQRPEQLIFYRDGVSEGQFRKVLEDEIPLIEKAWKALYNEKPPITFIVVQKRHHTRLFPSDGKYQDTSGNVMPGTVVDRQICHPTEFDFFLCSHAGIKGTSRPAHYHVLRDDNNFSADDLQYLTNNLCYTYASCTRSVSTAPPAYYAHKLAFRARFYLGQVPDMASEISAGSAAPTTLTLPEIKDELKSRMFYC >SECCE4Rv1G0218840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:24670961:24671471:1 gene:SECCE4Rv1G0218840 transcript:SECCE4Rv1G0218840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTQTSMDAARTVVVTAYQELPRRRSGSHSASSSRTRAAAGGYNNRQAMLLAYAQHLRRRGGQRSSSGPSLVLEWGEWKRAESPSAGAGNDDKVVAGRRRGCCSRLQLWVRLWIRMFFRRVRRIRENASCRKAD >SECCE5Rv1G0358850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:757780238:757781149:-1 gene:SECCE5Rv1G0358850 transcript:SECCE5Rv1G0358850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNASTVYLSVVEDVLNKVRKEFITCGAGDAVLNELQALWETKLLHSGAISGNIDRNRASPASATPPVHDLNVPYEATSEDQYATPTAEMLFPPTPLQTPIQTPLSGGIDTAGIYDIPTGPWDYAPSPIGMGMMNGADPITGRPSPFMQPPSPWMNQRPLGLDVNLAFAYEDPDRMMPLTKDFLMTSCGKRKRDEHPSASFVPQQDGCADEVELHSDATPKPGNDTLGGGDDDDDEPPLNEDDDDDDDDIDDFDETQHLVLAQFDKITRTKNRWKCTLKDGIMHLDGRDVLFHKASGEFDF >SECCEUnv1G0533890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:34777634:34779030:-1 gene:SECCEUnv1G0533890 transcript:SECCEUnv1G0533890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGVKMLKPVYSAPHPLAGEIVPLTLFDRAAMDIFVSLILVYPAPTPSNEALIEGLRRAVAPYPHLSGRLAVDHSGRRSIDLNNDGVLVLDTEVSVDMASVVTDGCFTTTTAGLYPAPPLPEENVGAALLQIKLNRYKCGGLVIGIIHHHHAADGRSFSNFLTTWASAVRQASEFTAPSPFIDRAATAVPRSVPTPAFDHRSTEFSGEEDGRSYESYPTCKIKNLTLRFTAQFVTELKARVGAPCSTFQCLLAHVWKKITAARGLKPDEFTQVRVAVDCRGRANPAVPPDFFGNMVLWAFPRLQVRDVLGWTYGGVVGAIRGAVGRVDAAYIQSFLDFGSVADANWEELAATAPSSGTMLCPDLEADSSLGFRFHQIDLGTGPPSAFLMPDLPVEGLMTFVPSCSAKGSIDLHVAVAEDHVAAFEHTCYSLDERAKPKL >SECCE1Rv1G0021710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:272807825:272810931:1 gene:SECCE1Rv1G0021710 transcript:SECCE1Rv1G0021710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1K3 [Source:Projected from Arabidopsis thaliana (AT1G79600) UniProtKB/TrEMBL;Acc:A0A178WNJ7] MAASTSATAALSFSLPSYPRRLRRRPSLLRAASTAAPPSPDLSIQLSPRPSSRTSPPVVPSLARDRAEDLQAESRAMTRAAAATVYTPELLASRYGSQPFQVALRAAEVLSKLGAFGLKLLLDQQRGESSSSAKRRARAVELRTILTRLGPTFVKIGQGLSTRPDLCPTEYLEELSELQDSLPTFPDEEAFACIERELGFPLDSMYSAVSPSPIAAASLGQVYKARLKYSEQLVAVKVQRPGIEDAIGRDFYLLRGLGFLINKYVDIITTDAVALIDEFARRVFQELNYVQEGQNARRFKKLYADKQDILVPDIFWDYTSAKVLTMEWIEGVKLNQQAAIEGQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHMVNRDYEAMARDYYALDFLKPEVDVSPIVPALKNFFDDALDSTVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDEKFRWNRLENLLVQGRQDREFVAKDALQPVLKLLLGPDGENLRVLVVKEAVRVTEAITFGTLIDSYNAAPELLKPLISNGNPAGPFKISDVEREQMLELRDTVLRIWGLLRSSDTFDPSLLQPIVQVLQEREARVFGSRIAGGVSQRLAARFLQQLLRIPPVPVPGSS >SECCE6Rv1G0423980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:698514801:698516480:-1 gene:SECCE6Rv1G0423980 transcript:SECCE6Rv1G0423980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGANGDGNGNGICFPYGVLIHILRRLPCRSLVRSRRVCRAWRAIIDGHNLLFPHFFPRGSFPGIFTKNFNCYYKSSFFAPSLPARSERLREARGGPVFQLPLVRHGWASVVHHCNGLLLFKKGGYFVCNPATARLAPLPPRPNKGSWLFRKGMFLAFDPAVSQHHEVFSLHENIQEYTSFNVGRAEKPKDKVIPVFVFSSSTDQWASREFLPGRCAPGHLYDMVTAPHRMHERVWKSAEYWQGSLYVHCWNNIIMILHNSDGVYDMAQLPGKAYVEKQYIGSKMPHTSILASYERGVHYVALDRFHLQVWTLTESADCQVGWMLAHEADLGSYLKIQHHWEPTVRWKAFGNMHALSLFESEGGDQNDTTDDVDGDDEGNEESIHDEDDEEEGIHHDEGEEKNDTTGVADNDDYHGDRGDEHDEFKSEEGPGYSSKEFPIDLEEEDESESEEDFDYSWDSDEDNFFDRDESAEGWEHGDYRILGLHPHKDVVLLHHVYDDRQVVAYHFKTSRMQYLGPHLADGHFTYGVHAGFPYRPCYVDALPSTKLPYCPLYCGNGW >SECCE5Rv1G0305430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:72282251:72285350:-1 gene:SECCE5Rv1G0305430 transcript:SECCE5Rv1G0305430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPQSQPRVCVTGAGGYIASWLVKLLLARGYAVHATVRDPCDPKNACLKQLDKAVENLRLFKADMLDYDAVATAFVGCDGVFHLASPVPIDKMVDKEKEMMAPTVNGTMNVLKACSAMSVQKLIVVSSGAAITLNPNWPLDKLKDETCWSDKEFCKENEIWYALAKTEAEEMALEYAEKNGLHVVTFCSGAVFGPLLQADVLNITTKFLRYIIKGGPDTINNKFWPIVDVRDVADALLLLYNKAGPYQRYICSEHQIDIKDLVDLMKSMYPSYNYAHKLVEVDYKVGMNSDKLKNLGWKPRKLEETLADSIESYEKSGILNAEEEPCRVPYFYRMPPVLE >SECCE3Rv1G0194990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:788963076:788972298:1 gene:SECCE3Rv1G0194990 transcript:SECCE3Rv1G0194990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWVLLLFLLLLCLQSGSSQTDPQDVAALQALMKNWRNQPQSWTGSDPCKPWDGISCSSGRVTEMRLSSMNVAGTLSNAIDQLSALTYLDLSNNPSLGGPLTPNIGNLKQLTILNLLGCSFTGNIPQEIGNLRQLTFLALNMNQFTGRIPPELGLLTKLSWLDLSANQLSGQIPVSRGSDPGLDKLVATKHFHFSENKLTGPMDRRLFHANMTLIHVLFGNNSLTGPIPASLGLVETLQIIRLDHNKFNGVVPDSIGNLTSLMELSLASNQLDGPVPDLTNATNLTYVDMSKNSFASSGAPGWFSTSTALNTLFMADVRLTGTIPNALFSLPQMQRISLAENALGTSVTMTGISSKLRVVNLTNNQIIDITVDPSYTGSLILTGNPTCSANISFCTLKERRQDPYSTNLGPCGAISCPTGQLPNPETSQNCACTTPFEGMMTFQAPAFSDMTSPELFQQLESTLIQNLSLAPKSVALSDVDFSPGADLRFRLMFFPVSEMGFNRSEVIRISSALANQIYKAPTLFGPYSFRARPYFAIPNGKKSSMRKGVIIGIAIAGSVLVVGLVLVAIYALRQRKIAKEAVERTANPFASWGAGGKDNGDVPQLKGARYFVFEELKKCTNNFSETHEIGSGGYGKVYKGKIANGQITAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPNGTLRDNLMGKGGVQLDWMKRLKIAIGSAKGLAYLHELANPPIIHRDIKSTNILLDESLTAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELLTSRQPIEKGRYIVREIRTAIDQYDQDYYGLKSLIDPAIRDSAKLVGFRRFVQLAMECVEESAADRPTMNDVVKELETILQNEGGPLLNSASLSTEHFGDATGRGQEEHSPMKDDSSSGAFDYNSVYSYSAVETK >SECCE4Rv1G0261300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:701063641:701069858:-1 gene:SECCE4Rv1G0261300 transcript:SECCE4Rv1G0261300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRAMAMALALTLAAAAMWAPPRTGRGHACSSAAGRVPHVTGGHSKRRRLVGPVGPVCHSSSSTATSASKSTTRGPVTCRRRPDATGAPMAAAADAAGDLRSEFLEVLLSRRRENQVPAPATVEQGSPVKEPMYQGNGPLGGREAMESCPRKEVDNFQEKLVEENFYLITESGEQGRLPVLLLKLNDTAPERKPVVVILHSSYKCKEWVRPLLEAYASRGYIAVAIDSRYHGERASSKTTYIEALKSAWTNGDTMPFIFDTVWDLIKLGDHLSAREDVDPSRIGITGESLGGMHAWFAAFVDTRYSVVVPIIGVQGFQWAIDNDMWQARVDSIKPLFEEARIDSGKSEIDAEVVKKVWDRIAPGMASQFDAPYSVPLIAPRPLLLLNGADDPRCPVLGLQEPASKAAEAYEKAGSADKFKFIAEPGVGHRMTASMVKEASDWFDRFL >SECCE3Rv1G0196650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:810476434:810480251:-1 gene:SECCE3Rv1G0196650 transcript:SECCE3Rv1G0196650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDVVTLFAPQPPATPKPHRHPQPASGAFQPGDSSPDAADSAQVAEAQVDGPGSGTTVELKFCASCSYKGNAMTMKRMLDTSFPGINVVLENYPPPFPKRALGKMVPFVQVGAIATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMASVWMFGNFAQSLLQSSGAFEVYCNGQLVFSKLSEQRFPSEFELRELIGNRLSDSQIGKNLEKGLVLDDDVISTDDHLSVST >SECCE1Rv1G0010480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:62909729:62911357:-1 gene:SECCE1Rv1G0010480 transcript:SECCE1Rv1G0010480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVWPWLATLPPTGTGTGTASIPLAASPEADGASIVLQADCTTTTDGGTAVVAFSIAVDSANGVARRVLWTSEAFAAASEVAPRLQLLAQLLDEVTALSPSITSLRREPDASPSESKLDDEVVSAVIAAAGTDGSPFFSLALLMRLFWLCALEAPADLGFLFFQALGKDIERALAGCAPAALGALLLAVGPDVEERLMRSLGYMLAKWCLLREMQSAPKSPAKPDVACLSYAANVHGLWVLRGYAPVLAIPRVAGATSTATITALPHELPEEPALRYGLVHQQLEAVAQVEYAVSVRDNGFIVVGVRVDNIRVRVMRLGYRKKGDTGTEEDDVDDDHVLDGERHFPSRIRLWVGPRFGSSYASGPSLGRSTGNPEREVETTRTVKGAFAGANKLGGDPKVKAKMRSSSRARNRSWRWEQEAEGSAGVFEGVLCDPATGTEVSSWRPGSREADPRSGMRRRYGGPGRAFSKMRGLVVAGDELPEEVTWRVGREAEGRTMRWRLGLKVWLSYLPNEVRSRHFETRCVEWAHEVELPLMATTPL >SECCE2Rv1G0137990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920660717:920662055:-1 gene:SECCE2Rv1G0137990 transcript:SECCE2Rv1G0137990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVFIVGAGPAGLATAACLSQFAIPYVIVERESCSASLWRNRAYDRLKLNLAKEFCELPHMSYPVDAPTYIPKNLFVKYLDDYVERFNIQPQYLTSVESSTYDNHEKCWSIVANDMSKCTTVKFTAKFLVVASGENSAENIPMIPGLENFLGDAIHSSSYKSGKSYSGKNVLVVGSGNSGMEIAYDLATHGANTSIVIRSPIHVMTKELIRLGMSLAQYLPLNLVDKLLLMAADLIFGDLSRHGITRPKMGPMTLKSETGRSAVIDVGTVGLIKKGIIKVERSISKIKGNIVEFQCSKNISFDAIVFATGYKNTTNIWLKVI >SECCE7Rv1G0507410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:761591141:761591756:1 gene:SECCE7Rv1G0507410 transcript:SECCE7Rv1G0507410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLSLSTNVPVDAVVAADILRDCSRALARIIGKPESYVTVSIDGSVPTSFAGSEEPAAYGEIMSIGGLGPGVNGKLSAALADILESKLSISASRFYVKFDDVQGYNVGFKGTTF >SECCE6Rv1G0403960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510939754:510939969:1 gene:SECCE6Rv1G0403960 transcript:SECCE6Rv1G0403960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPTMVGHTIAIHNGKEHIPIYITNTMVGRKLGEFVPTRHFTSYENARKDTKSRR >SECCE4Rv1G0254810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:642191564:642198008:1 gene:SECCE4Rv1G0254810 transcript:SECCE4Rv1G0254810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHERRGAAAASAAAHDAAGEALFVDVVHEAPLSGQRQPRSIVAGTLYCILLASYAGVVIAAPWIFVLIPDMILPLLCTCNVLLLIITGIFQQYWVHQVTKVRLQGYYDLSQKLKRIARVPFAAIACGTALLLLILVWQPQVEVLSISVLLRIALVVEAISAGCFMSLYIGHIHKYNSLNEQPDILRPLYSALQPSSSLEEIRYYDSRLSDQQMALLQYQRENIHYLSEEVLRLQESLSKYHRSVAASTPQVDLTHLLASRDQELRALSAEMNQVHSELRLARGLIAEKDSEIQHIRGNNNQYVEENERLRAILGEWSTRAAKLERALEAERVSNMELQKNRAKLRRQSTREKIPDVPQSESSSIGVL >SECCE4Rv1G0251050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:598782513:598783831:1 gene:SECCE4Rv1G0251050 transcript:SECCE4Rv1G0251050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAVKMSPRSTGYPSSCKPSNTGCYCKPPASTLRCRSAVSSRGAPTAGRREEDEEWRRYLAPERLEVLAQLEPWAEANMLPLLKPADEAWQPADMLPDAAALSADGFHEACLELRARAEGVPDGQLVCLVGNMVTEEALPTYQSMSNRFEGTRDATGADGTAWARWIRGWSAEENRHGDVLSRYMYLSGRLDMRQVERTVHRLIASGMAMHAPASPYHGFIYVAFQERATSISHGNTARQVRAHGDAALARICGAIAADEKRHEAAYTRVVAKLFEVDPDAAVRAMAYMMRRRITMPAALMDDGRDADLFAHYAAAAQQAGVYTASDYRGILEHLIRQWRVEELSAGLSGEGRRARDYVCALPEKIRRMEERAHDRVRKEPTPVPFSWIFDRPVSVVLH >SECCE4Rv1G0224590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:82290035:82295943:1 gene:SECCE4Rv1G0224590 transcript:SECCE4Rv1G0224590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLLLPRILCVVAAAAFCALLPAPVSAIRKDTGFAAPIICRRTVQGRHLISDDNGYVCSALSIDPWSRCCPQTGGRFSCQGCNLDSQCCNSYEYCVSCCLNPSRTKEADVLKLKVAKPVTAGTYTNIFDFCMGRCRHSSASVVHENAYLSDFHHCFLVQQNSSGSTESNFGSRLDGINVILGRQGETCSSACRAKGQSCVPSRLSELNKCQILQKYMRCKNGCFPSLGPDQPAEVVDEAPKNLNPGACLYMQMDDRLTCDGSHQHTRRLCPCA >SECCE1Rv1G0038290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:537273428:537275754:1 gene:SECCE1Rv1G0038290 transcript:SECCE1Rv1G0038290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARSVDRQLDACFSNLMVSSGGGRGQAETGGAMPMLSGWKDLPMELLMRIISVAGDDRIVVVASGVCTGWRDALGWGVTNLSLSWCQQNMNNLTISFAHKFTKLQVLTLRQIKPQLEDSAVEAVANYCYDLRELDLSRSFRLTDRSLYALANGCPRLTKLNISGCSSFSDSALIYLSCHCKNLKILNLCGCGKAATDESLQAIAQNCGHLQSLNLGWCDNVTDEGVTSLASGCPDLRALDLCGCVLITDESVIALASGCPHLRSLGLYYCQNITDRAMYSLANSCVKSKRGRWGAMRSSGSGSGSSKDVDGLANLNISQCTALTPPAVQAVCDSFPSLHTCPERHSLIISGCLSLTNVHCACGLQRHRAGSALQATSHAY >SECCE2Rv1G0129470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:868569173:868571257:-1 gene:SECCE2Rv1G0129470 transcript:SECCE2Rv1G0129470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIVCSVDTPTSKDQAKKIRLHDRYSCDGMMPLCDPSSEARQLSASSTGHPALDQELFMSSGFQQQQHGDAGAGWAREEYYAPRSAFAQSCVGSSTAAFYAAEHLLGIGQFDGAPLGMLTPAATMMPAVAARTRPESGDVYMSHELDPVMLRADQSPSVRTYYVRPQQRRDPGVELELPLPQARQQESSAHLHSMFGNPPTIRPHSFSPHVPSMEAPSSSSVLSQMESHLSARSSVGAPATPTRAGSVSAPPPSSKTRIRWTPELHERFVECVSKLGGADRATPKGILKLMNSDGLTIYHIKSHLQKYRMAKYMPAPSSSSSSEGKQHERRAAGSDTQHDLDPKTGMQITEALRVQLDVQRRLHEQLEIQRTLQVRIEEQGKRLQKMFEDQLRASAPDAVLFPAPAAATGHREEEEDTVFVDDDQDVQVISVSSGSYDGELAL >SECCE6Rv1G0446750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:845912733:845914562:1 gene:SECCE6Rv1G0446750 transcript:SECCE6Rv1G0446750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSKRIRAAEDDRLSDLPDCLIKSILSFLESRQVVRSSVLSRRWRHIWRSVPCLDIDFTVFRRQARRCRSRKCCVTDYGRMKVCAKEWRPFEEFSDKLLLLHSAPSLDRLRIHVPSVNYDDRQACSRWISSGVQRSPTVIDIHMDSSCGWEKWFLPDLGSKSYRLTTLLLHGVQFDDSFAEQLRCGYPVLEHLSLVSCFFRFGDIASGSLTHLTIDDCVRLHGFSDGIVVAAPRLTSLRTSLSTIGWPDGIYVRDTPSFIKASLCVISWYQTKASFLDNLYRMFNIPHLELFGFNMMVNLQKISYKLPQFNNVRSLLINRCDLKTIANIQTLDRFLQSVPSLEKLTLQNCEFTDRSKRRTSRAKWRTVALESQNLMSFKCDNLEVIEIKHCKDDNIDELFELLMGLWQKQDKATIKLTKV >SECCE4Rv1G0291520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876857603:876858801:1 gene:SECCE4Rv1G0291520 transcript:SECCE4Rv1G0291520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVWCLQIWMWERMPVGRPEKTRRPPEGWLPHDGRHGVDPWRFPTIAYCWEMAKVYTGSSVARYKCYINELDTLTHKQVDWMPYDADLDFGLNEMCTRDSDIWRARCPMICFYAVEWHYPDRVARQFGRRQGTPRDESYETNQFLHRISRKNNPKTSTWAIKHSEWIDLWNQRAALVEKERRQHNDSLYEEHLKWLAGHYRLKLKPGWSRSQLEELEHECGYMDFNIQTRDNEGIQLDYAQLHDRVGMELLHCVNEAGVALGEDEGGGLSEKSLRKTMKSFVSRFHKMAA >SECCE2Rv1G0078330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:107136644:107141020:1 gene:SECCE2Rv1G0078330 transcript:SECCE2Rv1G0078330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSCKRHKHDTGHDSSPGTRSPSSIVSHIRSVRLRFLEQFDELKYGSAIEDYKAIERKKHQLISTLEKLQQVPIKLPCVSTALETSDAELHGAAQSGKSISSDDIIDLDKCDVGDHTHGNNMDNIGAQKTVILLDSDDEDMPKGIALSDGHDTLEPQQLNKQGHDHINIYNESRDEEKETREGEGEDVQSKGHVENNISAVNSYEISCDVIQSESREEGNYNHNDTTDNPVDELDDLWKDMSVALAMSKTIGSDHSIVPSEKNSSEVVDDCHHDFLMKDDLGIVCRVCGLIQQRIENIFDLQWKKRNRSYRTYLQEPRNCNDLEATTNPSGDILQVAPGTLSIHPQHSERMKPHQVEGFNFLIKNLADENNPGGCILAHAPGSGKTFMLISFVQSFLARYPAGRPLIILPKGILATWRTEFVRWQIKDMPIPLYDFYSSKADSRSEQLNVLNLWEEKRSILLLGYQQFACIVSDQTYKAEAVMCQEKLLKVPSLVILDEGHTPRNEQTDLLNALGSIRTPRKVVLSGTLFQNHVKEVFNILNLVRPKFLKTERSRAIVKRLLSKVDMLGKNSRLRNISDKFCDLVEENLQKDANDKTRAMIIESLRELTANVLHYYQGELSEELPGLLDLTVFLKMSTEQEEILRSLAGLGKFSRSAKCSAVSLHPCLKDIQNIKDKNRDIVVEKIGSIMRGIDIKVGAKAKFIYNLLCLSEAAGEKVLVFSRYVRFLIFLEMLVVKEKGWIPEVHIFSMTGESTPDQRDKAVERFNQSPDAKVFFGSIKACGEGISLVGASRVVILDVHENPSVMRQAIGRAFRPGQSQMVYCYRLVAADSPEEEDHNTAFRKEWVSKMWFESNDLCGNDDFELASLDISESGDRFLDDEALRQDIKSLYKR >SECCE7Rv1G0517160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852323131:852323535:-1 gene:SECCE7Rv1G0517160 transcript:SECCE7Rv1G0517160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSKLALFLALNLVLLAAAQGCGPYCPPVVVPTPPIRPSPVVPSTGGGSCPINTLKLGVCADVLNLVKLRVGVPENEQCCPLLGGLADLDAAVCLCTAIRANILGIKLNVPIDLTLLLNQCGKKCPSDFTCPI >SECCE4Rv1G0247380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:558741142:558742655:1 gene:SECCE4Rv1G0247380 transcript:SECCE4Rv1G0247380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRADALLRQEPWFISLVTLGALYSAAVVLRLLSHLALLLRRPTDLRRRYGAWAVVTGPTSGIGESLALELARRGLNLVLVGRDPAKLQDISETISKTRAVQTKTVVFDLSLVATPQGDEAMRRLREAVEGLDVGLLVNNAGVAKPCAVYLHEMDVDAWVRMIRVNLWAPTEVTAAVLPGMVQRGRGAVVNIGSGSSEAIPSFPLYSVYAASKRYVAQFSRSLYVEYRSKGIDVQCQVPLFVETKMTSRAAKRGKRGPLSRLVMPTSDAYARAAASWIGHGPLCMPNLGHRLQWYLCCVAPDRFLDALRLRENLRQRAVFQRLRSPRAPPHANGGKQS >SECCE7Rv1G0498460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:640006471:640009973:-1 gene:SECCE7Rv1G0498460 transcript:SECCE7Rv1G0498460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLSSRLLIHLPAPTAAVTATSPPLRKPAAALTASCRRRRPRLAVLAGAAPSGGAVAPAAPAGENREAGLTPADAQRLEEFLKADLPHLFDDVGIDRSAYDDRVRFRDPITRYDDIDGYLANIRLLKVIFRPDFYLHDVKQTGPYEITTRWTMVMKFSLLPWRPELVFTGLSIMGVNPQNLKFCSHVDIWDSIQNNEYFSFEGLVEVFKQLRYYKTPDIETPSYLVLKKTANYEVRRYPPFSVAETKGEKLTGSSGFNNVTGYIFGKNASSEKIAMTTPVFTQASDDKFSDVSIQIALPMNKDLNSLPAPNTEAVTLRKVEGGIAAVKKFSGRPEEEIVAKKEKELRSQLLKDGLKPQQGCMLARYNDPSTKDFVKRNEVLIWLNDFTLE >SECCE5Rv1G0351410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:699073720:699080035:-1 gene:SECCE5Rv1G0351410 transcript:SECCE5Rv1G0351410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLPAKPPPPPRPKTRGSYNCGRCGQPKKGHVCNLPSPADGAPPSPSPSSSGAASGEGRLRRALSFDDAPTPSSPEKKPRPDHDAVDGRTMELGGRAVPVDLVVEVLRRLGPRGVLDAAAVSRAWRDCADRVWRVAEELRLRPAAAGLLGALLPRCSALSRLVLHMESDVDATMLSCLAFSCSSLETLEITMADKAINNMTGEELSRLVSEKRSLSILKIGGCSNLGFLNLSSSSLNVLWLSDLCSLSESVISCPNMSELSLCFTQQSTECTDLVSLMDGLGRTCPNLRNLHISSIQLSNEAVSALEGANLRGLCMLSLILGSKMTDAAVASIVRSCASLELLDLSGSSISDSGVSMICKAFPNTLSRLLLALCPNITTCGIQAATAQLPLLQLMDCGMSLRSNLQNEKQGAYFGEVNGRIRLCPKLPTLKKQPMRQKLIIKHDNLKKLSLWGCSAIDALYVKCAELIDLNLNTCTNLHPERLLLQCPNLKNVHAFGCQDMLIGAIKNQVLNEFAAAEPHLPCKRLADGSKRVQLSQFPQEQLPEDKIWIGFKRSECIVHLDS >SECCE4Rv1G0276760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:799507310:799507996:-1 gene:SECCE4Rv1G0276760 transcript:SECCE4Rv1G0276760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEAGKLLLSPGSAANSTEAGVDGPAAVFPSAAGSIYKEGDESDKRVAAIDKMLGEANLSSAVANQPAAAPPGPNKGKVRLSKAEIRSIIALKPEQQPSTDYLDDLAEYFPPEWIEERKRAHADSVKLFKKMDDEFEEYRQQVIASVRDKGYFEVDEDFIANRERDNELGLEQQRRFWAANPRSRKYVATPEDNADEGYIPYVRKKADALVDYVVIDDEVVFLKD >SECCE3Rv1G0179560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:544451741:544458095:-1 gene:SECCE3Rv1G0179560 transcript:SECCE3Rv1G0179560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSVKILALKVRFGIRKETKMLPTFLRCFPNVETLHVMSDEADEPSGKCNLKFWQEVAPIDCLEAHIKKVVFSQFRGKRMELAFLRFILERTQMLEKLVVVLANGDTASEDDETCTKLKALAMARRASECPMTVLVVARQGDSAWCFRRASDLSASDPFDG >SECCE3Rv1G0210270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943275185:943276176:-1 gene:SECCE3Rv1G0210270 transcript:SECCE3Rv1G0210270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLQRLRHMLLTTNGGHHQFANRPGASAAAMPSSGPCYGAAAAPSQQLGCQPYADLFTPTSAADQYSELLAPAAVDLARKGDGAQEMATSNKRRRVDERPSVLGDVPAAHAQQQTVAVDHILHSPARDMWAPLAEQSLIVSTVEATAAKRLKAKDDEIEQVRGMNWALEERLRNVYMEAQMWRDVAQSHEAAANVLRADLQRVLEAQAVRGGGGGSGDGQDDAESCCWGENQVPICAEEEVGTPAATGAGMCKGCGDGAAVVLLLPCRHLCVCALCAAAAQACPSCGCAKNGSVCVNFS >SECCE1Rv1G0006560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:34811613:34816479:-1 gene:SECCE1Rv1G0006560 transcript:SECCE1Rv1G0006560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSTEMARSLLLPFALALVASAAHAAIVEHTFNVGNLSISQLCQPDRIITAVNGQLPGPTIRASEGDTVVVHLVNESPYGMTIHWHGIFQRGSQWADGPAMVTQCPVQPGGNYTYSFNVTGQEGTLWWHAHFSFLRATVYGALIILPRGGAEAYPFAKPDKEEIVMFGEWWNANVFDLQQMALLTGLPAGPADAYTINGKPGDLYNCSAPNQTHVFEVRKNETYLLRIINAALNTPLFFKVANHTFTVVAADACYTTPYKTDVVVLGPGQTVDALMVADATVGRYYMAASPYDSGIPSGPPFSRTVATAVLEYAGGPNTTSPPALPERPEFNDTNTAHRFLSNLTALVLPGKPTVPLAVDTRMFVTVGMGVADCQPEQTLCNRSRTMFASSMNNASFVTPRSTSMLEAHYSNRTAGVYTRDFPDQPPVVFDYTADASNNATMQYTTKSTKVRTLRYNETVEMVLQNTRLIAKESHPMHLHGFNFFVLAQGFGNYNQTTAAPQFNLVNPQERNTVLVPTGGWAVIRFIADNPGMWYMHCHFEAHLDLGLGMVFEVQDGPTPDTSVPAPPKDLPQC >SECCE3Rv1G0188100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:709557537:709558964:-1 gene:SECCE3Rv1G0188100 transcript:SECCE3Rv1G0188100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTVVLYPSLGVGHLNPMAQLAKAFLRRGVAVTIAVVDPPGKDPVLEAAVARLASASPSITVRLLPIQPASINEQYSNPALRMLDELRLATPVLREFLCSLPAVDAIVLDMFCVDELDVAAELAVPAYMFYASAAADLAIYLQVPDVFRAAPFSFKDMGDTALPFSGVPPVRALDMPFTMLDRESDLCKRRVQQLARMPEARGILVNTFEWLESTAVKALRDGLCVSGGRSTPQIYCIGPLVDGGVSGESGERHACLEWLDRQPKQSVVFLCFGSGGVFSAAQLREMAGGLENSGHRFLWAVRSPREEQSNSVEPDLEALLPDGFLERTRDRGLVLKNWAPQAEVLRHEAVGAFVTHCGWNSALEAVMSGVPMICWPLYAEQRLNKVHLVEEMKIGVVVEGYEESSVKAEEVQAKVRLVMESEEGQHLRERAAMAKEMAAGAVKEGGSSDVAFYAFLKRVEDETSSRSAKSGH >SECCE7Rv1G0492320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:549127731:549128702:-1 gene:SECCE7Rv1G0492320 transcript:SECCE7Rv1G0492320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATITKTARGTHVLDIHGFSGLRKKQCDADGFLYSPTFTVSGLDWAVRYYPDGDTHDHDAGGNSERSGHVAAFVELVTEGAAAWARVGFGLVDHTTGETVPLFREKDPILFDASSEDTCTWGTGELARRRHLHAGSRYVLGDRLKIECAIDVCSDLLTFDDPPPSSGLPLFQQAGYGKQEPDVIIEVAGQTIAAHRCILEARAPGFLKRHIHTTTTRSDRKVQISVDDGDMPAQSFKALVDFAYTDALPVVGGLNGAGHKAMIRHLLIAAERYGMGRLRAICERVLCKSLDVETVAATLAMADQHGFKKLSEACAEFMAFP >SECCE1Rv1G0061740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717161169:717161369:1 gene:SECCE1Rv1G0061740 transcript:SECCE1Rv1G0061740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSILQVLLVFLIAQVCLVMMIAAPRAQAAGRLIGYNPVCCPRDLYCCGLGGVTANGTASSMKP >SECCE7Rv1G0490040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:471169223:471169495:1 gene:SECCE7Rv1G0490040 transcript:SECCE7Rv1G0490040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPVSKRGDAGGVLAAISRSSVAAHGRDAAVVAGKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQMVDLDLQQAALLGSPPPLAK >SECCE5Rv1G0330350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:527906416:527908013:-1 gene:SECCE5Rv1G0330350 transcript:SECCE5Rv1G0330350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTLLSVCISLLCIALLCLLWNKSTKPPAGAPAPPPPPGPMPFPVIGNIPDLFRGGELHRALGRLSASYGPVMSMRLGMASLVVLSSPATAHEALHKKEGAVSSRWVPDSASVMGHSGISMVWLPSSSPLWKHLRTAASTLLFTSRRLGASRAIQERKARELVDHLHASSGRPVRFALPVFSAVLNMMSSVLFSEDVVELGSGTGQEFMELIADSVAETAKPNISDFFPFLSSLDLSRRRRAVAANLSRFYQFFDAVIDRRLNSADKPGDLLESLLELPAKSQLERPVIRALLTDLFIAGSHTTTTTVEWAMAELLRNPTKMAKARAELREAFGSGNAEEGDLANLPYLQAVVKETMRLHPPAPLLLPHEVSETGVTLGGFLVPKGARVLINVWAIGRDPEVWAEPEVFMPERFLDREVDFRGRAFEFIPFGSGRRACPGMPLAVTVVPMVLASLLHEFEWRLPDGMVPGNVDLSDRFGAALELAVPLRAVPVWTKGAVDVRS >SECCE3Rv1G0156870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:76739801:76740611:-1 gene:SECCE3Rv1G0156870 transcript:SECCE3Rv1G0156870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLQVQHQQQLVLPPGFRFHPTDEEIITSYLVPKILNPAFDAMVIGEVDLNKNEPWELPKKAKMGENEWYFYCQKDRKYPTGIRTNRATKAGYWKATGKDKEIVNPHCMSMLIGMKKTLVFYKGRAPSREKTNWVMHEYRLEIGRQSTSGIPTTIANAASINVSSKEYVVCKIFHKNIRSGLSSMVSNEDDGTRPGNNDQGNGGAITSEKISSMSMGTDCISKN >SECCE7Rv1G0524320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885753922:885758295:1 gene:SECCE7Rv1G0524320 transcript:SECCE7Rv1G0524320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVALGVAQWVVGKALAPVADGVLEAWAATRNFGPNVEALRMELLLVQATLENAGRKEIGGPAMEELLQRLRDSAHNAQDLLDELDYFRIHDELHGTYNAADQNDLALNARHTAKSLGKLVSCCPWQHAKRRQRSPGESSPAQGTNQEVNGCMPKLIGKLLPCSSSQDPHIRDKDCGRVQEMPKFEFNRVDFSRKMKDITEKLQLVRKDVNGLLQSCDPRSVSNIAQCRPITKGRIDEPKLYGRDRVMDSIIQDITKGQYCDKGITVLPVVGSGGIGKTTLIQHIYRNQQVMKHFPVMIWICVSLHFNLDKVLEQIKTYTPRVESEKECSTTEELIEQRLRSKRFLLVLDDIWKINNGDDWGKLLSTLNKSEEKGSMILVTTRFEAIAQKVQTTGHSIKLNGLESEEFRKLFLAFVFGDEQYPRNKHFLLESGDKIMEKLKGSPLAGKTVGRLLSKDLSLCHWKRVLKSKGWEKQIDDNEIMPALKLSYDFLPFHLQQCFAYSGLFPEDYNFRSDELISLWIGLDILIPNGQDQIYEDIGLSNLNELVIHGFFREEKTKDGLLYVMHDLLHDLALKVASHDCLSLSLPSVGSGKIQPTTRHLSISTYGLGKYDAMSGQKLKSELEELKTRFKVEDLQTLMLFGKMDEGFVKIFGDFLGEANTLRVLHLPKMRCRMEFLLHNFPGLLHLRYLCLGTSKSQMHLPLNISKFYHLRILDLKWWNGSHDLPDDMSNLAKLCHFYVPTDDQLYSDIYNVGKLKLLEELKVFQVNKRSEGFEPKQLGHLTKLRELGIYNLEKIHTAEEAAQAKLMEKNYLRRLTLEWDSERSSVEPGVEAAVLESLQPHGDLQVLCIRGHRGPSCPTWLGDEFTVEALQSLYLYGVSWEVFPSLGKAWDIRELWFEDIARVKEFIIEKSFRMLTKLKLIGLGSVEKWVYLAEEESSVSADLLPPDAHMFPLLQVLVIRKCPKLLGLPFSNHIVSPDWFPKLQELEVCDCPEFLPVIPISWIKSLHSVTMKCVKMLKEFAYSESSGGAELKITGESDLLSLDQVLVFDKETSLEKLTLERCPPLELKHLLMLTSLKTLFVQGSVGLVGPLGGGQSDVEWQLPVEEVRVIACFNGNSGKELTELLPHLPKLSKLEIIGCINIKKLVVGVDVQQTTQEASEMGGGEITAAAEEEVLLFPPHLSDSLQVDPPTLVPDGGWLQALRSLRSLIIRWSPKFLSNFSFSGHLFPSSLQFLELRGLKGLRTLEPISNLSSLTRLELYSCGEDLKCQGLGSLLTTGGQLNKLEVKGSPRFFADWDPNPRRALEDAEGGEEQQTQLVSSTLQELETDDIAGLLAAPVCSFLSSSLTKLYLYGNCGQGMERFIKEQEDALQLLSSLQELMFWSFKDLQQLPAGLSNLISLKILSVYGCPAVSSLPNDGFPESLQELHVADSCSKELKQYCRGLEGTIPKIIIDY >SECCE6Rv1G0441480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810978397:810980335:-1 gene:SECCE6Rv1G0441480 transcript:SECCE6Rv1G0441480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTQAPGRSMGPVNVARRELTSRAWSLSSPASPQPRYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPDARIADYFDVVAGTSTGGLVAAMLTAPNAEGRPLFAAKDVNKFYLEHCPNIFPAVCKGPLGWLKSMMGPKYSGHHLHSVVKKLLGDTRVNETLKNIVIPTFDIKLLQPTIFSTYDAMRDVSKNALLSDVCISTSAAPTYLPGHHFETKDKDGKTRAFNLIDGGVVANNPTLLAMTHVSKQILMRNQDFLPIKHADYGKFMILSLGTGTAKIEQKFDAAECGKWGLLGWLYKRGATPIIDSFSEASTDLVDIQASVLFQVLDCNKHYLRIQHDELTGEMASVDVSTSKNLNGLIGVGKALLKRQVCKVNVETGKNEPDLKRGTNEEELARFACMLSEERKARKVAYKLG >SECCE4Rv1G0290460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:872792855:872793739:1 gene:SECCE4Rv1G0290460 transcript:SECCE4Rv1G0290460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGGVSDGYEGSKRPRMMESNPYFAVNAGSPLDVSKRARMMEPGPPYFAGMGSSAGGSGSGYYPSYGGNLAGAAGVNSGIQNFPAVRLRGLPFDCEDVDISKFFAGLDIVDCLLVHKNGRFSGEGFVVFPSSMQAEFALHRNRQNMGRRYVEVFRCKKQDYYSAIAAEVNQGGPLLEPEHRHSSPPLRSRRLSEDKSSMEYTEVLKLRGLPYSATVEDIIKFFMEYELTEENVHIAYRGDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEAIRAKPRGRQ >SECCE7Rv1G0455360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6240448:6240705:-1 gene:SECCE7Rv1G0455360 transcript:SECCE7Rv1G0455360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKVTATVCVVLVLMIQLQAPAAAQEIPCDQCGPGCAQACNAQGPYFCNSFCNIFPTLCNYCYLIQSNLCIPECANLCKINCE >SECCE3Rv1G0188050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:708456044:708456808:-1 gene:SECCE3Rv1G0188050 transcript:SECCE3Rv1G0188050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGSGPYSVATAGEKNEPTRPLAYPSPSVHPGTDDDIEVQDAAATTAKRPRSTQCLRSRRSVLCCGGCCVASVVIAGIVALVLALTVFKVKDPVFTMNRVTLEDVDGEFLGADERHPVSVNATLNADISIKNPNVASFSYDRSETNFYYKGETVGVAYAPDGEVGADRTVRMNVTLDALADRISPNVNVTDLIFGQSQDYDLTSYTEISGRVSVLGIYKRDLDIKVNCSITLEVSAFSSVQSKTTDCVANVK >SECCE6Rv1G0450310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:867469008:867472283:-1 gene:SECCE6Rv1G0450310 transcript:SECCE6Rv1G0450310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLPLVSFILILGVQGSQHASFADAAKKTLTNMETLTAYWDAALPGIPIPPAISDLLAQQKGLPKIGPNYERVKSEAAHMDNHVHIISQVEDDLKEAHGSHGEQGIKKVRMAQEPIIGKDLKRQPLSHRLQAKNYVEEKIAAHRTKIEENLKEISVSYGSEGDNNCKKVSLNLKKILAAYTPLKDENLKEIFVSYGSKGGEAHKEVSRSYGLEGENNLKEISLSYGINSNDTPKEESAHDENVKEISVSYGLEGSKYLLKDQVNLKKILPAYTPRKDGNLKEISVSYGLNGQEISKEASGISGLKGEKDLKEISVSYGVDDHENLKEISVTYGSKDQETLKEPTGSYGLEGEKELKEISVSYGADRHENLKEISVSYGSKDPKDRKEASRLYGSKDERDLKEISVSYGVDGKEILKGGPTTHEENSKDVTMSYGSVEEKDKQPDALHQVKGEGSHHVNAHSYKNKKEADVFFFHDMLRPGSLITPTIPPTTSMPALLSGDVADSIPFSTEHLSEIITMFAPASLTMTREIRWTLDTCEHPRTLPGQNAGCATSLESLAELPASLLGRRNVRAFSAVHLPIDAPDTPALRGRYNVTAARKLSGSSSEVVTCHDLTYPYAVYYCHTSSPTAAYMVTLASVEEGASPATMEVMAVCHLDTSSWSPKNPFFELHNVRPGDVAVCHFLTKLSIVWVSVDGHDDRL >SECCE3Rv1G0186730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:689816493:689817886:-1 gene:SECCE3Rv1G0186730 transcript:SECCE3Rv1G0186730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSPLLSLSSLSASLPSPSRAPASLSLRALAPQARLSTSYAAFPIGGFGASPSTGRLRRRGLQVVCAAEPPKTGRQPDSVKKRERQNDRHRIRNHARKAEMRTRMKKVFRALEKLRKKADAQPEEIIEIEKMISEAYKAIDKTVKVGAMHRNTGDHRKSRLARRKKAIEIIRGWYVPNAEPAAAA >SECCE4Rv1G0297080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:906331053:906343745:1 gene:SECCE4Rv1G0297080 transcript:SECCE4Rv1G0297080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSCMTRCVGKKAPTGGPCNGRGCCLIPFARNLQRFRVNLVSTNTSATQSDWLHPGIMALVSSDPDSYRYNTTDLFYSWTNASIIDDADLTVAIVDQPSCVSAQLKNESYACSNGSSCRNSSFGGYQCVCPSYNGGNPYILHGCIQDYNPKPKEDCTASCGRIIVPFPFGLEEGCSANERFRLNCTSDDLTFSLSLAQYHVSDISVEDGTMIVSNMVNGSNAEEVVLIQTDNTGHAWQLGIPMEDQFDFSMEYNIVMKWAVANLTCQTALQKDIYACRSSHSYCLNVTHGEIFMGYRCKCSLGFQGNPYIKDGCIDIDECALSNNCNGRCQNFLGGYTCTSCPRRKEFDPIKRQCVTSAKQHNLLLGITIGTGCGLGSIIIAVCVFVFANKWKKGIQKRIRRAHFKKNQGLLLEQLISDESATNKTKIFSLEELEKATNNFDATRVLGRGGHGTVYKGILSNQRVVAIKKSKIVEQIEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHELLHIDVSVECWLSWDDRIRIAVEAAGALAYLHSAAAIPIFHRDMKSSNILLDSNFTTKVSDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDQGEKQNVAHYFVEGLQEGAIMELVDPQVVKEASPEDIDDICSLTESCLRLKGRDRPTMKEVDMRLQFVRINRLRKCNILPEVSNSDAHMLPSCYSLEQELSSSLTLPR >SECCE3Rv1G0173860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:364064041:364068187:1 gene:SECCE3Rv1G0173860 transcript:SECCE3Rv1G0173860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGELSQPQAGEYGLAVMRRRPKTKIVCTLGPASRSVEMIEKLLRAGMCVARFNFSHGSHDYHQETLDNLHAAMERTGILCAVMLDTKGPEIRTGFLKDGKPIQLRKGQEIVISTDYSIKGDDKMISMSYKKLAVDLKPGSVILCADGTITLTVLHCDKQQGLVRCCCENTAMLGERKNVNLPGVVVDLPTLTEKDREDILQWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKSIMLMSKVENQEGVANFDDILAQSDAFMVARGDLGMEIPVEKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICLQAESCVDYSAVFKSIMSSAPIPMSPLESLASSAVRTANSAKATLILVLTRGGTTARLVAKYRPSMPILSVVVPELKTVEFDWICSDEGPARQSLIVRGVIPMLSAGTAKAFDSEATEEALRFAMKSAKEMGLCNAGESIVALHRIGNASVIKLLTV >SECCE5Rv1G0354340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722910621:722911775:-1 gene:SECCE5Rv1G0354340 transcript:SECCE5Rv1G0354340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTKAATLVAVLAMAVLGLATEGQAQLQNGFYTGKCRGNDVEAVVRGIVKARFAQDSAIVAHLLRLLFHECGVNGCDGGLLIDGPGTEKTAKPNLSVKGYDLITTIKMELEKRCPGVVSCSDIEILATRDAVNASTGQGYAVRTGRRDRRRSVATDVNLPGQDFTVPQAAAFFRTLGLSSDDMVVLLGAHTVGVTHCSMIKKSRLYSYGGKAGATDPSMDPELASTYKTYVCPNTASSDNNIVFLDDRSSASKLDNSFYKMLQRRRGALMVDQNLYGDGSTRWMVDRLANTDHFRWLFPQALAKLGEVNVLTGTQGEVRRVCTKFN >SECCE5Rv1G0371330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846622476:846623225:1 gene:SECCE5Rv1G0371330 transcript:SECCE5Rv1G0371330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQHEHLRPEGTEPLVRIAVDYTPDACHHAPASGEIHVTYDHRGGARWRSRGRFLPGCAVAAAVRAPAGDTTGLNYNLYLSSMEGSNDMDEIDFEFLGNDKRAVQTNLFVAGGGGREMVHQLPFDTSDGFHHYAVAWSAEAVEWRVDGEVIRREERRDGEPWPEKPMYLYASLWDASDVDDGKWTGTYHGRDAPYVCSYRDVRVPVVALSPGEEEECQDDTNAGDAADAAATTCGAAEEKVDAEAGKD >SECCE7Rv1G0474120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:167564282:167572236:-1 gene:SECCE7Rv1G0474120 transcript:SECCE7Rv1G0474120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLAGDLTDPEMAQPYMKKDDDDSDIEYSPFFGIEKGAVLQEARAFHDPQLDARRCSQVITKLLYLINQGELFTKVEATEVFFAVTKLFQSNDAGLRRLVYLMIKELSPSSDEVFIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGNLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQANPEIVKRWSNEVQEAVQSRAALVQFHGLALLHQTRQNDRLAISKLVSSLTRGSVRSPLAQCLLIRYTSQVIRESSNTQSGDRPFFDYLESCLRHKAEMVILEAARKITEMDVTSRELAPAITVLQLFLSSSKPVLRFAAVRTLNKVAITRPLAVTNCNVDLESLMSDQNRSIATLAITTLLKTGNESSVDRLMKQITSFMSDISDEFKIVVIEAIRSLCLKFPLKYRSMMIFLSNSLREEGGFEYKKAIVDSIVTLIGAIPDAKEIGLLHLCEFIEDCEFTYLSSQILHFLGNEGPRTSDPSRYIRYIYNRVILENPTVRASAVSTLAKFGALVDELKPRIFVLLRRCLFDTDDEVRDRATLYLQTLNGEVAVGNSEKDVKEFLFGSFDVPLANLEASLRTYEPSEEPFDISLVSREARSQPLQDKKAPGKKPPAGAPVPAPVSAVDAYQKMLSSIAEFSGFGRLFKSSEPVELTEAETEYAVNVVKHIYENHVVLQYNCTNTIPEQLLEDVTVYVDATDAEEFSEVCSKPLKSLPYDSPGQIFVAFEKPEHVPAIGKFSNVLKFTVKEVDTSSGEADEDGVEDEYQLEDLEIVSADYMLRVAVSNFRNAWENMDPESERVDEYGLGARESLAEAVSAVTSILGMQPCEGTEVVPSNARSHVCLLSGVYIGGVKVLVRLSFGLSGPKEVAMKLAVRSDDPEVSDKIHEIVASG >SECCE6Rv1G0422850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692364510:692367707:1 gene:SECCE6Rv1G0422850 transcript:SECCE6Rv1G0422850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLAHLLPSSSAGPSQLSASACAAGDSAAYQRSSCFGDDVVIVAAFRTPICKAKRGGFKDTFPEDLFTPVLKAVLDKTGINPAEIGDVVVGTVLGPGSQRAIECRTASLLAGIPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSVNSVGWEGQVNPRVIELQKAQDCLLPMGITSENVAQRYGVTREEQDKAAAESHARAAAATASGKFKDEIIPVHTKLIDPKTGEEKKVVISVDDGIRPGTTLSGLAKLKTVFKKDGTTTAGNSSQVSDGAGAVLLMKRSVAVSKGLPILGVFRSFAAVGVDPAVMGVGPAVAIPAAVKSAGLEIDDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIAMGHPLGATGARCISTLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDSVDELSNVRHIQSHNFLSKDAAK >SECCE7Rv1G0476330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:201577966:201579506:1 gene:SECCE7Rv1G0476330 transcript:SECCE7Rv1G0476330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVLGLSHWCSLLLLLSLVTAPRGLEVGDLFSPGKAPAGKQDCSRTCESKFCTVPPVLRYGKYCGILYSGCPGEKPCDALDACCMVHDHCVAANNNDYLNTRCNENLLGCLDGVNPAGPTFPGNRCGVRETAFVIKGVIETAVLAGKILHKRDMGQ >SECCE5Rv1G0374240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862184032:862185520:-1 gene:SECCE5Rv1G0374240 transcript:SECCE5Rv1G0374240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAASAGATTSEKTMLRVLIFPFFATSHIEPFTDLAVRLVAAGPAEVEATVAVTPANVPVVQSLLRRRGQPEHVKIATYLFPAVDGLPEGVENLSKATEADAWRIDAAALSEHRMRPAQEALARAQSPDAVVTDVHFAWNVRVAGELGVPCVAFSAIGAFPTLALHHLERVDLDNGDFPGPSIRVPRAELPEFLRGHERISMLSKLVPVEDCFCQAMNTSVELERQYCEMYMRHGYAKRAYFVGPVFSPSSPSHSPAGGGMDDQQSSQCISWLDSKPSRSVVYLCFGSLTHVSDAQLTQLALGLEAAGKPFLWVVRNAGNWTPPEGWSERVGDRGLLVTAWAPQTAILGHPAVGAFVTHCGWNSVLETAMAGVPVLTWPMVFEQFITERLVTEVLGIGERLWPCGAGMRSTRNEEHEVVPAGDVARAVTAFMRPGGAGDAARSRVTELAIKCRAAVAKGGSSHRDLCHLVDDLMEARSKRS >SECCE5Rv1G0319110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:371147621:371148072:-1 gene:SECCE5Rv1G0319110 transcript:SECCE5Rv1G0319110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQVMLMAVALVLMLVAVPRAAVAIDCGYVDSLVRPCLSYVQGGPGPSGQCCGGVTNLHNQARSQSDRRIACNCLKDIARGVHNLNENNARNIAPKCGVNLPYTISLNIDCNSV >SECCE1Rv1G0037670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:529363782:529366691:1 gene:SECCE1Rv1G0037670 transcript:SECCE1Rv1G0037670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARPRRVPNKSRRPDWREELRANCMTRVKNERVHLLWKMRNQGQPPANDMKTVESAVRNIISDEVQKLKRNVNGKQDQEVDMIWEYEGPQEAMPAEFESEDILLEMERLLYEDLREEMIRKEIEALDEEDAYLAHAVFEHMQLKDQGAENAKLWCPVCKQGELRETHNLIHCTLCKMRLDLEEDKVNLDFLRERLANVHMEHLDRGCTLSPKFCLHDMFGLNALYIRCDECSTFEVVV >SECCEUnv1G0528720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:5706606:5708069:-1 gene:SECCEUnv1G0528720 transcript:SECCEUnv1G0528720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGRAPEFSERELEVAAILADLPSIVRASNRRRRQQEKQQQARPEIPSWGRRRPRRAPAAPPAVKPAAADDDRSEGVASPDTPLAFPEDDEHAAAEDEFKATAQDKWAQEQRGVVASLSHQNAHLLKQIEDFRARLQTTRSTNDSLKQIQQSKHKKRHRPEEEDEGHRWKLQARAADRPALDLNEPAEADAEDGRPPQIAAAAAQWVHRGHQQQQQQLMQMQHRAARRRRQEIRRAKAAAGRTRRQG >SECCE6Rv1G0429910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:738804077:738805977:-1 gene:SECCE6Rv1G0429910 transcript:SECCE6Rv1G0429910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRSGAAGVAAGGDSTDDADRARLHQLGYKQELKRGLSLMSNFAFSFSIISVMAGVTTTYNGGLRYGGPASMTLGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQWAATTSTDFSLAQLVQVIVLLGTGGANGGGYRASKYVVLAIHGFFLVLHGLINSLPIRWLSWFGKLGAFWNTAGAFTLVILIPAVAKERASAEFIFTHFNDDNGMGIHGKAYILALGLLTSQYSLLGYDASAHMIEETKNADWSGPMGIISSVALSTTFGWIFLVALTSIVTDIPYLLSPDNDAGGYAVAQALYAAFDRRYGSGVGGLVCVGVVAVGIFFAGAMCIASNSRMGYAFSRDRAMPLSRVWLRVSKNEVPLNVVWLSVVVAFAMALTSLGSEVAFQAMVSIATLGQYIAYALPIFFRVTTARRSFVPGPFHLGRYGVVVGWAAVLWVAFLTVLFSLPVAYPVAKDNFNYTPVAVGGVLLLSVGAWVVNARFWFEGPITNVDDL >SECCE5Rv1G0352260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:707956975:707957844:1 gene:SECCE5Rv1G0352260 transcript:SECCE5Rv1G0352260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRPPPKGPEWGGDAEAGQAARPLYPMMLESPQLRWAFVRKVYTILSIQMLLTIAVASVVVFVRPVALFFVSSAAGLGLYIFIIILPFIVLCPLYYYYQRHPVNLLLLALFTVAISFAVGLTCAFTKGEVILESAILTAVVVVSLTAYTFWAASRGHDFSFLGPFLFAAVMILMVFALIQAFFPLGRISLMVYGGLAALVFCGYIIYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRASDS >SECCE5Rv1G0353580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718159122:718160575:1 gene:SECCE5Rv1G0353580 transcript:SECCE5Rv1G0353580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPVMVLPFPAQGHVMPLMELSHRLVAHGLEVEFVNTDYNHDRAIKAMGGERRAVDPDGIGIHMVSLPDGMGPDGDRTDIALLGSGLSAAMLGPLEEMIRSKKIKWLIADASMCWAMELAAMTGVRVALFSTFSAAVFALRLHVPKLIDDGVLDECGNVKSNETIQLTPKMPPIEVAELPWVCLSSLPERRRLMFQILLKTNPVIPLAAAVICNTFEEIESEALDFVPNALPVGPLEAPAASRSAGQLWPEDPVCLPWLDAQARGSVVYVAFGSFTVFDAARMQELAHGLELTGRPFLWAVRPNITAGIGEDWFDAFKRRVEGKGLVVGWAPQQRVLSHPAVACFVSHCGWNSTMEGMLHGVPFLCWPYFADQFANQSYICNVWGTGVKVHADERGVVTKEEIKNKVEQLLGDDGIKTRAATWKDAACTSIAQGGSSDQNLLKLVKLLTQ >SECCE7Rv1G0516490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:846463867:846465620:1 gene:SECCE7Rv1G0516490 transcript:SECCE7Rv1G0516490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKDISGAIGLMAAALVLLGTWPVVLAVLERRGRLPQHTYLDYSITNFLAAVLIALTFGQIGGDTPETPNFLTQLTRPQDYWPSIMFALAGGVVVTLGTVATQYGWAYVGLSVTEVMASSLKVVIGTTLNYFLDGRINRAEVLFPGVGCFLIAACLGSLVHSSNAADNQEKLSNSRNYSTGNTAKEDLTQHLLEEEEPKDCEEAKPAVPNKAVEKAEAGTADFLIDLEDKRSIKVLGSNTLVGLAIVTFAGVCYSLFAPAFNLATNDQWHTLRDGVPHLVVYTAYFYFCLSSLVVGVALNVWCLYRPVAGVPRSTLRAYAADREGRGLALLAGLVSGLGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTYTLLGSMLFMFVVAMAVLMASSAHRKPL >SECCE6Rv1G0382880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:48246317:48249493:-1 gene:SECCE6Rv1G0382880 transcript:SECCE6Rv1G0382880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLHFSNKTCSKKLGIPSLGLALVLLVCSASPTSSCTEQENGSLLQFLAELSQDGGLAASWRNGTDCCKWEGITCRQDGTVTAVLLPSKGLEGHISQSLGILIGLQYLDLSGNSLSGGLPLELLSSSSITTLDVSFNQLNGTLQELPSSTPGRPLQVLNISSNLFAGQFPFTTLKTMENLIALNASNNSFTGQIPTQFCNTSPSLTVLDLCFNKFSGSVPPGLGDCSRLRELRAGYNNLSGRLPDELFNATLLEYLSFANNGLHGVLDTTPMVNLRNLVTLDLGGNQFSGKIPDYIGQLKRLEEFHLNNNNMSGELPYALSNCTNLITIDLKSNKLSGELTNVNFSNLPNLKTLDLLSNNFTGTVPESMYSCSNLTALRLSRNNLHGQLSSRIGNLKYLSFLSLGSNNFTNIAHALQILKSCKNLTALLISFNFRGELMPEDDIIDGFENLQVLDMDGCQLSGKIPLWLSRVTQLKMLILRSNQLTGPIPDWINSLSHLFYIDVSSNTLTGEIPLTFTEMPMLKSTDKTTHLDPRVFELPLYHGSSLQYRVVTSIPTMLNLSNNIFTGVISPQIGQLNMLVVLDFSFNKLSGQIPQSICDLTNLRVLDLSGNNLTGAIPAALNTLHFLSAFNISSNDLEGPIPSGGQFNTFQNSSFDGNPKLCGSMLTHKCGSDSVSLSTRKKRDRKAVFAIAFGVFFGGIAILLFPACLFVLIRQKGFRLKNRRECTGEVEATSFYSSSEQTLVVVRMAKGKCEENKLKLNDILKATNNFDKENIIGCGGYGLVYKAELPDGSKLAIKKLNDEMCLMEREFTAEVDALSMAQHENLVPLWGYCIQGNSRFLVYSYMENGSLDDWLHNKDDDASSSLDWPTRLKIAKGASLGLSHIHDVCNPQIIHRDIKSSNILLDKEFKAYVADFGLARLILRNQTHVTTELVGTMGYIPPEYGQAWVATLRGDMYSFGVVLLELLTGMRPVPVLSTSKELVPLVLQMRSEGKQVEVLDPALRGTGYEEQMLKVLETACKCVDQNQFRRPTAMEVVSCLVNIDADPHMQRSAKVQ >SECCE3Rv1G0166060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:182492028:182492429:1 gene:SECCE3Rv1G0166060 transcript:SECCE3Rv1G0166060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRASSNAGKKAAGAGACDRKERRDETEAQKVERLEATLAFVKAAHADAMLYLDMEEEDVEEEYRRAGKLHTYDEAKEWKKRLARVAKLYPPPKDIMEKISEYTKYLEEDEDDFRIGLCSLMEVKSEFEKSF >SECCE1Rv1G0004220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16841547:16842320:-1 gene:SECCE1Rv1G0004220 transcript:SECCE1Rv1G0004220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGADYQLTRLLGLSPTVKRLMMYQQGCFGGATVLRMAKDIAENNRGARVLVVCSEITAMAFRGPSKSHLDSLVGHALFGDGAGAAIIGADPDEPFEKPLFQLVSASQTILPGSEGAINGHLTEAGLTIHLLKDVPGLISENIEQALEDAFKPLGIDDWNSIFWIAHPGGPAILDMVEEKVGLDKERMRASREVLSEYGNMSSACVLFVLDVMRKTSSQDGHTTTGEGKEWGVLFGFGPGLTVETLVLYSVPIAATG >SECCE2Rv1G0102710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:581072142:581075874:1 gene:SECCE2Rv1G0102710 transcript:SECCE2Rv1G0102710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAFSASTVSTAAALVASPKPAGAPQCLSFPRAFAGAAARPSRLAAAGSRTARARSFVARAAAEYDLPLVGNKAPDFAAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHEEFEKINTEILGVSVDSVFSHLAWVQTERKSGGLGDLKYPLVSDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKGSKEYFAAI >SECCE6Rv1G0449710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863722103:863723840:-1 gene:SECCE6Rv1G0449710 transcript:SECCE6Rv1G0449710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVNVLVVGLLCLLAISASAQYPDSPSSPDSYPSPGSNPSPSSPYGYSFPGSLDEYEGPEGGGVPLPPTTLTCKDTDGKRPGCSSACPDRCPQQCVVLCPGCKTFCHDQVKPPPKPVPPPAMFVFGDGVLDVGNNVYLPTIGTGEAPQVSESSSGRFSNGANLADTIAMTFGFEQSPPAYMSLNGGLKMWGANYASAGAGIKNSTNGERSISLPKQLEQFNATRAQMGAKLGGDAKLRELLSKSVFLLSIGSQDLNPRWNPRTEDHPQGSVIRELAELYMDAVRSLYDMGARKVAIVNTGHIGCMPETRNYVCDQSQNDINAEFSQALPSFIPSVSSEKAGFSYSIGDFYGFATDVFADPTAFGIKNTQESCKGWGMPGPTCYKTDDYWFWDTEFITEHAAKLTAAAFYYGPSYYTEPITFKALLEKK >SECCE2Rv1G0104790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:611514852:611516131:1 gene:SECCE2Rv1G0104790 transcript:SECCE2Rv1G0104790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAVPEVALRSGDARPMPAIGMGTAKFPMVPETTVKAVLEAVEVGYRHFDTASMYATERPLGEALAEAVRRGLLASREEVFVTSKLWCTQNHPHLVLPSLRESLQNLQMEYVDLYLIHWPISLKPGPAVFPVKREDAVPFDFEAVWRAMEECHRLGLAKAIGVSNFTTRHLDKLLTAATIPPAVNQVEMNPVWQQKKLRGYCAEKGIHVAAYSPLGGQNWSGDGNAVLDSEVLAEIAKARGKTVAQVALRWIYEQGATPIVKSFSKERLKENLGIFDWGLTDDDLRKIGQIPQKKIVKAAGVLFSAEGEFTSADLADMEIVEE >SECCE2Rv1G0110290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:688533591:688535173:1 gene:SECCE2Rv1G0110290 transcript:SECCE2Rv1G0110290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFVIVSKNDIPIYEAEVGSAPKKEDLAYHHQFILHAALDVVQDLAWTTNAMFLRSVDRFNDLVVSVYVTAGHTRFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRIASSHFDTKVRALARKYL >SECCE4Rv1G0250210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:589984079:589984327:1 gene:SECCE4Rv1G0250210 transcript:SECCE4Rv1G0250210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRRMRLALPVIVAALVLLAALGEARPLGGADWTAAGGTPLPAAVGASTVVQALRRLYMQQLGGPGASCSTNSPNIHCPP >SECCE7Rv1G0476230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:199782812:199784495:1 gene:SECCE7Rv1G0476230 transcript:SECCE7Rv1G0476230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNLSRCPLFARMLKISVEFVRSKDEVQQLFHRAYVPTHSALPDPPVSAAPCLFALLPHDDVDRVSRLPDALLHNIVSRLPAKDAARTAALSRRWRGVWRSGPLVLVDSGLFPATSTVSSVLAAHPGPFRCVHLTSCYMDEFHALLTGWLQILADKGIQDLVLVNRRWPFDVALPATLLGMATLTRLYLGPWMFPDTAGLPSATCFHNLLELGLCNVVMESRDLDFVLDRSPVLETLCVEGNLLRLCLRLVSQSLRCVQIIGCFLEEIFVVDAPRLERLIQSEGFTPNGNFTKVKIGHAPKLQLLGYLELDQRHVLEVGNTIIKAGSRVTPSTMVPSVGILALEVCFGVRNDAKMVPGVLRCFPNIETLHIKSGKTDQSSGKLNLKSWHESGIIECIRSRIKLLVFHDLQGGRGELAFLKFFFESALVLQEVVIVFAAASSSLEEVQSKLGSLGSMKRASETSIMLVSACSDPRGGYIRSFKIGSGFSPADPFANY >SECCE1Rv1G0016300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:136832200:136832847:-1 gene:SECCE1Rv1G0016300 transcript:SECCE1Rv1G0016300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRKEEGPDKRVKQQQQGGAKLYSRMLSKDAAIAVPSFRVYYGVASAGSVPFLWESQPGTPKSSPSAAALPPLTPPPSYYAAGGKGGSKRGGASGSGRRWPGGVIALFRRPRRRTSPCSSSSLSWSSSSSTASSMSPVFTVQSSPAARGAHRRAFSAGDVFEDAAAPSSCFGTGRECDRRVVKGCGVAVAVRNALATVVGHRAGGRATSAAA >SECCE5Rv1G0308120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:124651397:124652554:-1 gene:SECCE5Rv1G0308120 transcript:SECCE5Rv1G0308120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPNPFAAARPANRTQQEQGNSPGSGRHMSPNAIMNVRPTLHYVGTCACRRDNFTYFCQILLLLSTAGTISMCFDFFEKERFDASEFIVLIPLPTRSMLLMIPAHDLIAMYLAIELQSLRFYVIAASKRKSEFSTEAGSKYLILGAFPSGILLFGCDRTTTDQFFGTYL >SECCE6Rv1G0442330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814709310:814711189:-1 gene:SECCE6Rv1G0442330 transcript:SECCE6Rv1G0442330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSPVDTSNPSSRRAPAAMATSSSSSSWLRVLLLAAVVAAAAARHHHPGFERIFDVQEADRVEMLPGQTAEVGFRHFSGYVTVNETHGRALFYWLFEATHDVAKKPLVLWLNGGPGCSSVGYGAMMELGPFLIQKGKPEIALNPHSWNKEANMLFLESPAGVGFSYTNTTADLGQFGDNLTAHDAYAFLVNWLDKFPQFKGHELYIAGESYAGHYVPQLAEKIVHMNKKASRAHKINLKGILIGNAAIDASSDDRGLIDYAWDHAVVSDEVYGAVKNNCKFPDDGEESDACNSAWNDFFNAMNDIDLYSLYTPACTKAMVNSTANSPRRRSKLAGTGTPLGKLHRGGRPYYNAYDPCGDYHILDYLNRADVQKALHANVSGAIPYRWEPCSDALTNWTDAPASTLPAIGKLVKAGIRVWVFSGDTDDRVPVTSTRLALQKLGLTTKKAWREWFTSDQVGGYTVVYDGLTFVTVRGAGHMVPMITPVQARQVFAHFLTGEELSAKALVA >SECCE5Rv1G0323100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:429996021:430001243:1 gene:SECCE5Rv1G0323100 transcript:SECCE5Rv1G0323100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase-1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26030) UniProtKB/Swiss-Prot;Acc:P42043] MECVRSGALDLGRSGNFLGKSGSTRSCGKVRCSTNFSGSTKCEQNLHGKAKSLLLSASGKAIGTSGLVHRSPVLKHQHNLVVRSTSTDVCTTFDEDVKGVSSHAVEEKVGVLLLNLGGPETLNDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKVALKTKNLEADIYVGMRYWYPFTEEAIDQIKNDKITKLVVLPLYPQYSISTSGSSIRVLQNIVREDPYFAGLPISIIESWYQREGYVKSMADLIEKELSVFSNPEEVMIFFSAHGVPLTYVKDAGDPYRDQMEDCIALIMEELKSRGTLNEHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYRELALESGIENWGRVPALGCTSSFISDLADAVVEALPSASAMATRKVKDTDSDTDMMHYLTKMFFGSVLAFFLLLSPRLVSAFRNTLH >SECCE5Rv1G0331640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:540548170:540549327:1 gene:SECCE5Rv1G0331640 transcript:SECCE5Rv1G0331640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLRSCILTHLLHSPPASPISSLRRLLSTTEPVPPVPANPGFAVEDYLVATCGLTRPQALKASLKLPALKSPSKPDSVLALLAGLGLSSADVAALVATDPRILCAKVDTLTSNVAGLTGMGMSRSQIARLVMLGFIVFRCKPIVSRLQYYLPLFGSPENLLRVLKQNSYLLSSNIDKVVEPNVALLRECGLGACDIAKLCISLPRLLSTKPEQVRVLVACTESLGVPRGSGMFRKAMRAVAFRSKEKIAAEVAYLKNTFRWSDAEVGIAVCRSPMVLTRSEDTLLRMAEFLISEVGLEPAYIAPRSVMLSLSLEGRLRPRYYVMKFLKENGLLNPGWSYCSIVKATQKVFVEKFICPHKEAAPYLAQDYAAACRGEVPTNFRFA >SECCE6Rv1G0450730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:869526286:869529229:1 gene:SECCE6Rv1G0450730 transcript:SECCE6Rv1G0450730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLCVALRSKHDNSYLRSVHDESQGGSFVELSAGEGGVMNPRSRFYLEASKEHEGLVHIRCCYNNKYWAPQQRLLHGSARWTIGTTHELEEDLSKPSSTLFKHIPVTGDDDSTCRFLHSQAGKYVGVLSSPNLSKGAYLHVAHEEADYDNIIHAFTVIDVSNQKQLPTYLAFKSNNGQFLGAEIIDDQNYLQYSKQDIADPTVLQTIFTNDDGIVRIKSNHCGRFWRRSPNWIWADSRDTTHSNRDTLFTVTTGSDYIALRNLGNNKFCKRLTQDGKINCLNADVVSITVEARMQCYEPVVTRDIYDVVFRLDEAKIYTKGVEGLDSQTVENRTTTTNKTKLVFTYTNIMASTWSSTVSMKIGIKASLNAGIPFIVDGAIEVTAEFSGSYTWGNTETEEKHISKEIEVEVPPMKRVTVKAIGSNGVCNVPFSYRQRDVLTNGDEVFQEFTDGIYFGVKTSSITFHTKEEDL >SECCE4Rv1G0218760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:24461012:24461689:-1 gene:SECCE4Rv1G0218760 transcript:SECCE4Rv1G0218760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPVKIVVLAVLIAAVVATSYFLRYRCPRLPSPFLAGAAPKMVIVVTNEQQVGEELDLADGNVQLLCHGYSEHNLSAPVWSTRAEIPRADEARMSIPAVRGDEVFEVLCSYRGANLCWAHGVRIFWNPGHDVLLCREDGGGCKVRFREDGGVEKQYGATGAKLGMETQPPLFLGFAPDFDNARDGACASSSCVGRTVNRVIGEESCCDDSCGGWEKANPKMAS >SECCEUnv1G0551170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:230472852:230473814:-1 gene:SECCEUnv1G0551170 transcript:SECCEUnv1G0551170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNTFSWVKEQITRSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLASKPVDIEVPQAVLPDTVFEAVLRIPYDMQLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLAFQSYRPDKKNILVIGPVPGKKYSEIVFPILSPDPATKKDAHFLKYPIDVGGNRGRGQIYPDGSKSNNTVYNATSTGIVRKILRKEKGGYEISIVDASDGRQVIDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >SECCE3Rv1G0156990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:78171866:78172777:-1 gene:SECCE3Rv1G0156990 transcript:SECCE3Rv1G0156990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYTDCGGGHSRENLQVFSIKVESITGGLQWPLDVFGIVTARDALEMDRKRNIVFARSRSNCQTITEQCPYLELTGPTRAVVADFDPGSFEVVLKVKGATESADRDLSFLVLPLKERYQYRRYYTSKHSTLELTLRRVVSSVEATISMRLAAGSSWPRGYQGVFTASIASIDDAEVSLLSFQDDKLPVIADDGIIKLTRRVVSVDHDHEHGELKVSAIARCANDEQDATSTDDIVFTPWSKGRSCGVLNVGTCKIQITVAWSGFDL >SECCE2Rv1G0103010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:586186702:586191585:-1 gene:SECCE2Rv1G0103010 transcript:SECCE2Rv1G0103010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATATPTPTPKAVIHQRYGAKAIYRVDEVREAVDGVCPGLALPQSTRCVYRCQLDIPGVLSVSTPGTFVRKKDAEQAAAQIAIEKLGIEPTSNIPNTPEEAWKELIGRISYFFTDENFPTSSHPLVGHLSVTLRRTGDLLGKIPISAIVACDVKVHTLCKIIDPKAEFDPLLVLSMIYNAAKQSPGVSVRNFWIQSQRPYSPEAVDLALQCWSGISDPIRVEAVLIPCAMEDEPKMVSLNISENEHYMGDIALKLSATDSSHVLVSRTVDKASSEMRFYFPAPDVQFVSDLSKQLVDDCGDRSMNCVINKRASYISGQTIYGDAVLANVGYTRRDTELQTDHVNLCTYYRILLRKLPDGIYKISKDSILVAELPCVYTRTSWKGPSPRDLLCSFCRLQRLSEPHFAANRVSASCNVLGSAVGSEEMGSPKATAGNQCANDGRIAKENPDMFKCSVKIYSKKRNLLLEYSTDYSWSKETDAIQNSALKVLIWFNHYFKQLNMPVEKLYLPKSTDGFTIYPNIFLQEFAMCLSVYGKTSGGDSRTCSAVGYFSMDTSYQQLENSAVLTGIDGQDSGVFPSHGSLACISYSVHLFMKDSRKRYLLEVNNEFEFEIGTGAVRNQLESCATQLSVNQSACFVDQLSDRDLNLAAAAELSPDLSKISRDSCVLEFSVKVLQVTEPLEDRMEKALFNPPLSKQRVEFAVRHINQLHATTLVDFGCGSGSLLDSLLEHPTTLEKIVGVDISRKGLTRAAKSLHQKLSKKLLLQTSVPTAVLYHGSVTDFDSRLYGFDIGTCLEVIEHVEEDQASLFGNVVLSSFCPAVLIVSTPNYEYNPILQRSALPNKEEEQEENAGPCKFRNHDHKFEWTRSQFQRWATGLAASHNYSVEFSGVGGSSDEPGYASQIAVFRRMVRDQGESSLNEDDSHQPYEVLWEWPNASIPSH >SECCE4Rv1G0276030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:796329419:796332172:-1 gene:SECCE4Rv1G0276030 transcript:SECCE4Rv1G0276030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVILLAIKKIGIALANGVANQASTQFAKYGTQLLDLQASMNRLVRELSIMHDVLCQMDIRNHNNPIYESWLEGVRKVAHDMEDMVDEYLYLVGQEHDIGCCFYLKKGFRKPSSLVSLNRIAFKLKQIEKDLTHLAETKIRWVTQINNGDTNNSNYIIRRAQDQANMARSLDDEDLVGVYENREKLEKWLANDDLGHSVIALLGMGGLGKTALAANVYKKEREKFQCHAWVSISQTYSREDVLRNIIKELMKDKVSGLSYTADMDITSLEETLKRFLEQQKYLIVLDDVWTPESFDDLSRVLTHNDKGSRLIITTREARVAAHSSQGHILTLEALPTDKARHLFCKKAFSSDTNHECPMELKLLSEEIVNKCKGLPLVIVLVGSLLRVREKTVEEWRRINVQLSWELINNSSLSDIRNVLYLSFIYLSTRLKGCFLYCSLFPEDYLFKRKQLVRLFIAEGFIEERGESTLEEVAESYLKELIDRNMLQLVKKNHFGRMKEFRMHDILRELAVDLCQKNCFGVTYENKCGGSHEKDGRRLVLHKLKDDIQQPFSNMKKLRTIITLGNSKSSFVVLPLLCSESRYMTVLELSGLPTEKIPDAIGDLFNLRHLGLRDSKVKMLPKSIGKLSNLLTLDLDESDINELPSGIVKLKKLRHLFAQKTIVPNWRELACCSGVHIPNGLGNLINLQTLQALELQDESVRHLGELRQVRSLRLLSVKGIYCRSISESLVKMQYLSFLDVIASDENEVLLLNVHQPNLRKLTLRGQLAEGALDESPLFQAVGGQNLYELSLFWSQLREDPLPSLSRLSNLTYLEFTRAYNGEQLSFLRGWFPKLKILWLRDLPNLSRLVIQQGTMASLERLVLTNLSSMTEVPAGIEFLLPLQYLGFKEITRDFLTSLRQCSAIEGKFRYTRRRR >SECCE2Rv1G0084850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:181771889:181772383:-1 gene:SECCE2Rv1G0084850 transcript:SECCE2Rv1G0084850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPNAGAEDIHPAPTEASADAATPPASAEKSSSETLFPSLSIWPPSQRTRDAVVRRVVQTLSAPSVLSQRYGAIPEPEAEGAAAAVEAEAFAAASESAAASPASLEDGIEVLQAYSKEVSRRLLELAKSRAAAAAAPETPAEPSAEESETSSVTAPPPTEE >SECCE7Rv1G0483350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:321083694:321087086:-1 gene:SECCE7Rv1G0483350 transcript:SECCE7Rv1G0483350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPEEERGGGGCCARWLRREVLLALALGQLVSLLITSTGFSSSELARRGINAPTSQSLLNYILLALVYGGILLYRRQPLTTKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWSIPCVIVLTWIFLKTKYGFRKFFGVGVCVAGLILVVFSDVHASDRAKGPKPLKGDLLVIVGSMLYACSNVTEEYLVKKNNRIELMAMLGIFGAVISGIQISILEREELHSIKWNAGAVFPFIGFALAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFACTAGGLLVYSYRSSKEADDTAQVTGACDEQSRVGDEESGIHNQVRSSFAGGNDDQASYKELPSNGSPSKNKAIGA >SECCE6Rv1G0402080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:479820187:479823759:-1 gene:SECCE6Rv1G0402080 transcript:SECCE6Rv1G0402080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALPLKDAVGVLDRDPFVALLAKLIGESQRLQNDPPALVPQEDLVAQHVVDALRPVSTDTGGGPLVVRKVSYTDGRSNVIVEYPGTVPDRVVSFVGMHMDVVPANPSEWDFDPFSLTFEGDDKEKLRGRGTTDCLGHVALVTQLMRRLGEVKPPLKHSVIAVFIANEENSSVTGIGVDGLVKDGLLDKLKTGPLFWIDTADKQPCIGTGGMIPWHLKATGKLFHSGLAHKAINSMELNMDALKEIQTRFYNDFPAHEKEKLYKFATPSTMKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTSFVVQKLKEYADDINAGIETKLPTRGPVSKYVLPDENLRGRLEIAFDGDVMNGVACNLESRGFQALCKATEEIVGYVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMAQGFQVFVSIISQLEADA >SECCE6Rv1G0398690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:424412268:424412702:1 gene:SECCE6Rv1G0398690 transcript:SECCE6Rv1G0398690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPVSMDMDPPLLSIAVEHSPPESRLVQLGVRSWPKWGCPTGKFPVKFDARQTCYLVKGKVRAHIKGSSECVEFGAGDLVVFPKGLSCTWDVVAAVDKYYKFDSS >SECCE6Rv1G0419500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:670258858:670260090:-1 gene:SECCE6Rv1G0419500 transcript:SECCE6Rv1G0419500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSRRSTVSLAGPPACSGIQGWADLPDELLHPIVDRLGSFRDILGFAATCPSWRTAYSSYPSKSTFRTKFPPLLIQPRVRHVQSSLLPSTGGCRELLTCKVIDPANPNAALHCQIPRETLENMTYIGSSYGNLIYYRDGHCRIVDVFTGVEVSAPRLPPSAKCSIFCCNGILTAPVTSPNSHLIVSTSTYHKSYLFDWPVGSDSWSQAQFPYMSTDQIVEFNGQFILSDGNGHFHSVQMAPQLGLQEITTDKEDWSHEHRDMTTLVVCGDMLIVFIPFPRELYRLDVSTEPATVLPMEKLDDWALFLGANGMPLSCMSPEKWGGRSNTSYSAGYGSQPCTFHGLREEPDPVLDTPPVDCDHWNPPLSRADRDRYSDPWAIRVPGERHPVRLALPVWLYPSMFYSGAGE >SECCE4Rv1G0294750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:892439374:892442527:-1 gene:SECCE4Rv1G0294750 transcript:SECCE4Rv1G0294750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANQTVKMSMLLLLSLLLISYGIDNTRCSTVPGNTTDMLSLLDFKRVITSDPRQVLSSWNTSIPHCEWQGVYCSLKHAGRVTTLNLTGQNLQGQIAPSLGNLTFLRKLVLSSNGFSGQLPILSRLRKLQSLDLGNNQLQGFNPDALTNCSNLEYLDLSFNSIMGSLPPNIGSLNSLVSLVLHRNNYTGPIPSNIKNNTQLQLISLSDNQIQGNIPVELGQLTNMTLLFLGGNRLSGRIPTTLLNNSALALLDLNSNFLQMELPSNIGDTLPNLQELSLSNNMLEGHFPASLGNASFLSVLYLASNNFTGQLPSSLGNLLLLTDLKLDENKFEAKDNQGWKFLDALSNCGSLQVLSLYDNQLYGPIPDSIGNLSTSLQELGFDENYLSGTVPESIGNLTGLTVLCLDHNSLNGSLGAWVGNLKNLSALSLSDNNFTGLIPSSIGSLTQLTKLFLFRNNFEGPIPRSLGNLRSLLEMDLSNNTLKGHIPKDLFSPLSAMTKCVVSYNNLDGPIPQEIGNLQQVTKLDLSSNKLSGQIPDTLGECQELQILLLDDNSFTGNIPKSLSTLKSLIVLNLSYNILSGFIPIELSNLSSLSQLDLSHNSLRGDIPREGVFRNVTAVSLGGNWGLCGGILGLHMPLCHGVSKRSETEYYLVRALISILGFTSLVMLTYLVTMKRAGGTYKFLVSFGRQFPRVTYKDLNRATGSFSEANLLGRGSYGSVYRGKLTQAKIQVAIKVFDLDIKCADKSFVTECEVLRSIRHRNLVPILTACSTIDNNGNTFKALIYEFMPNGNLDTWLHNKFSGGCSKCLSLAQRASIAVGIADALAYLHQDCESQIVHCDLKPTNILLDDDMNAYLGDFGIASLVGHASSNTSLGLKGTIGYIAPEYAQSGQVSIRGDVYSFGIVLLEMLIGKRPTDPLFQNELSMVNFVERNYPDQVLQIIDARLDGECKGYIQANTGTENAVYKCLLSLMQVALCCTQLMPRERMNTREVATKLHSIRTSYIRATNQEQVILH >SECCE3Rv1G0192220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:756911150:756917425:1 gene:SECCE3Rv1G0192220 transcript:SECCE3Rv1G0192220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAASGGGVADAEELFRTKRVAEIREVEVATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDAISENLSRVSDSLSSLSPPAEAPSASASAASSSSSGGRARLYAAAARAKYLVDTPEHIWGRLDEGMLLEAAGRYMRAQVVHRLLSRDAAAAARFPLLAHQAQLVEAFRPQIAQRARERLADRRLPVSAHADALAAAAAIDAPSLTPSQALILLLSSRRAWISQALTVLASDPSSYTSVLCDVAGIVRVTLGHVGQLFVHALTDLPLFYKTVLESPPPAQLFGGIPDPDEEARLWREHWDQLEATMVLLEPVTVARTCTDWLKECCDEMFGVIAGSQRLVDAIGSGVGLGSAQRLIREKLDDRTGLEGSLEQWLKSVFGSEIESPWDQIRGLILKEGKDIFEDWMEEAFVQRMKDIVQSEFDSLVGSVNVTESIQAIGANASPKDAADFLVHVQKASTGGSVWFSESKIKKGGILAHLKPIADENDFHSCLASYFGLEVSRIKNAIDGKCKSILEDLLCFVESHNSVQRLKELVPYIQEKCYKTILGVLNKLEAELGNLSDALGAKKGDDSVPAASVIVERSLFIGRLLFALRYHSSHVPLILSSPRQWVKDSGGAAFARLSSPTPRHSRASFESSSPFTPRRQFDSPRSPGRQFSESPRRQSIAAAAASLFGADDSSNPRLDELNKTLKALCITAHTLWITWVSAELSNLLSYALNRDDSLSSSTPLRGWEVTVIKQEEPTDGPLEMQIALPSMPSLYIISFLYQACLEIHKIGGHILDRIILHKFAWDLLQKVISIYENFLASIESSNSRVSEKGVLQILLDLRFIGDILSGGKNSSANPSETQMKQDNARTTFRRKQSQFQADSATIEPINKLINKFSQRLDPIDWATYESYLWENEKQSYKRCVVLFGFLVQLNHMYTGAAQKLPTKTNTDSNIMRCSQVPRFKYLPISAPALSSRTPKSSLQSPSDDSTSRSPWKSSYSNGERSTISEYDNNASLGSAAPLLKSFVTQVGSKFGENTSRWGSMLSDGQVGLSDILPGPAAGFFSSFTSGARYDS >SECCE7Rv1G0511360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803067879:803069859:1 gene:SECCE7Rv1G0511360 transcript:SECCE7Rv1G0511360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAFHLDGGTKRLRKDEEVEAGAEAAEDRISELPEALRLHILCLLPLKSAIRTGALSTRWRSLWTHRWPAPSSLDFHLGTHDSPHPLLETLERRGRRRLQRFALSFSIGKLKAKHFLRCMDYAAACAVEDLHVHLANRVFNIFFDYRLPLGDPHLARLSLRAVTVELPGSFSADSHPFSALEVIHLHCVRISDRTVNHLVAACPLLRTLDLRYCQSLFSVIVGAAGAHLRSLTLAECGSEAEVFFAGDASGLRSFRYSGAYIPACSIPATANLADLYICFGGPNRRRQLSGPYCQLRTNWLQLLTNLSNLTVLTLCSSALRRLSAKARARLVATSAAPCKLLNLRELQLLMFAMEIDNINDIYTFLVACCGPRLERLFVQLPTSSYEYRPEDEPSGSESEENESVEELSEQEATEEDELDEDLSEGETLEKDGLEEMLSEGEPPEENQSLKRGSMEAISDGGQPEEETLEDGDGFENLPLVNLMNFKGHHHEMQLVSLFLKKSTSLNQLILFTPKIDHPDWLQKDHINTSHILDRKLSPLRKASPNVQIVLSEPDDSAIQPLHEAFVKV >SECCE6Rv1G0400760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:457352535:457354600:1 gene:SECCE6Rv1G0400760 transcript:SECCE6Rv1G0400760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVRAAPFTYVAHALAVVAAAMVLYWCIHFRGGLAFEAANKNLIFNVHPVLMLIGFIILGSEAIMVYKVLPTVNHDTTKLIHLVLHAIALVLGAVGIYCAFKNHNETGVANLYSLHSWLGIGTISLYGIQWIFGFLAFFFPKAAPNVRKGALPWHILLGIFVYILALATAELGFLEKLTFLQSSGLDKYGPESFLVNFTALVVVLFGASVVVAAVAPARLEEPQGYAPIPEN >SECCE5Rv1G0363230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:791721104:791726576:-1 gene:SECCE5Rv1G0363230 transcript:SECCE5Rv1G0363230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAANLAGRPGVRVVVIGDPGTGKSSLVVAVATEQFPENVPKVMPHTRLPADYFPDRVPITIVDTSSSPEQKPKLIAECQAADAVVLTYACDRLSTLDRLSSYWLPELRRIQLKAPVIVVGCKLDLRDDQQNSLEQTMAPIMQSFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFILCDHDRDGALSDVELNDFQVRCFSAPLQPTEISGVKRVVQEKMPEGVNDSGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNEIKLRDDFIPTSVKRAPDQTVELTNEVIDYLKGIFNMFDIDNDEALLPSELDDLFSTAPENPWTSDLYKDCAERNVLGGLSLEGFLSKWALMTLLDPANSFANLVYVGYSGDFNSAFTITRKRRVDRKKQQTQRNVFQCYVFGPKGSGKTALLQSFLGRQPSDALPTNSDRFAANTVELSDGTRKTLVLREIPEGDVRSLLNNKESLAPCDVAVFVYDSCDEFSWQRARDLLVQVASHGENTGYEVPCLIVAAKDDLDQSPVALQESTRVSQDMGIETPIPISVKLKDLNNIFCRIVHSAQRPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAIGVVGVAAYRVYAARRNSSS >SECCE7Rv1G0494000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:577903592:577905710:1 gene:SECCE7Rv1G0494000 transcript:SECCE7Rv1G0494000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKMGNVATVRAVLAILQWWGFNVTVIIINKWIFQKLEFKFPLTVSCVHFICSSIGAYVAIKVLKVKPLIEVAPEDRWKRIFPMSFVFCINIVLGNISLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLIPIVGGILLTSVTELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSIPAIVLEGSGVINWLYTYESTVPALVIIITSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLISWMIFRNPISAMNAVGCGITLVGCTFYGYVRHLISQQAASSSPRTPRSRLEMLPLVGEKQEKI >SECCE4Rv1G0231540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:185469117:185473266:-1 gene:SECCE4Rv1G0231540 transcript:SECCE4Rv1G0231540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASAPNTSGIRPVKCDAFKSWLQEYAQKLGLAAPEYHTLKEGPCHEPVFKSAVVIDGAKFDSLSGFFTRKAAEQSAAEVALMEIVESIPNIGRIPAALETGLCKNLLQEYAQKMNYTPSYSFTRQVSGIAPFTCTVEIGGIQYIGPAARSKKEAEIKGARTALLAIQGQLEGHGSGATKHIIVPSKRQVKETAKKSNEIPKPLEVKKGGFKKKWNKRKFIKRNRQAVDVEKNEGKMAGDVINPEARRVVQEPGGDAIMLQPSKEARRAEQESGIAVLKPDELDRRVEHVQGSDIVMLPSDHEAIRVKPVPGSDTAMLQRNNEARSVEQEPSRDSGMVGSNKEAGCVNQELLGETAMPCNVREARTMKRDPQHRCSLM >SECCE6Rv1G0418800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:664898472:664900714:1 gene:SECCE6Rv1G0418800 transcript:SECCE6Rv1G0418800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADEEKPLIHRLPPQDEGSQCTSDGTVDVNKQPASRRTTGNWRACYFILGAEFTEGICFFGIQKNLVTYLTSVLHESNVDAARNVSTWIGSCFFTPLLGAFLADTYWGRYRAIVVFLTVYTVGMLVMTLSASLPVLLPSLSTSEIQRAAVYLGLYLVALGTGGIKPCTSALGADQFDSADPVERVTKGSFFNWYYFLINVGSLLSTTLLVWVQDNVGWGVGYAIPMVLMGFGLVVFVSGRKVYRYKKLGGSPLKRLSQVVVAAARNYRLKLPDDDSALLHEEELPPSQVNCSTERTSQFRFLDKAAIVVPPSSGKAVETMDPWRTCTVSQVEELKMLLRMFPVWASLLFFFAVTAQMSSTLIEQGMAMDNRVGRFTVPPASLSTFDILAVAAFIPIYDLVLVPIVRRATGRDRGLSQLQRLGVGLALSVLAMAYSASVEMRRLAAAGAGRSVNIMWQTPSYVVLGVAEVFTSVGIMEFFYDESPESMKSMGAALAQLAISAGNYLNSVVLGVVASATGRGGAPGWIPDDLNEGHLDYFFWMMAGLSLLNLLMFIYFSLRYKG >SECCE2Rv1G0119010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:787475750:787493448:1 gene:SECCE2Rv1G0119010 transcript:SECCE2Rv1G0119010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSSPSPASALIQVRCAGCRGVLAVAPGMTEFICPKCQMAQRLPPQLMPNPSSSTPSPPKSSAAPAPTLPAPPQPRKRASQAPQTSQAHGVDPTKIQLPCVRCQAVLNVPHGLANFRCPQCGVDLAVDLSKLQNFLTAASNGAPPASVPVPPMFLPVLPPGMPQPPQLVAVTTTVPIGLPTTEPPEEINEVAIDVERDEDEGGTVGETFTDYRPPKLSLGVPHPDPVVETSSLSAVQPPEPTYELNIMDELDQTKALSCLQIETIVYACQRHLHHLPTGDRAGFFVGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVGSDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKNGVIFVTYSSLIASSERGRSRLQQLVQWCGHEFDGLIVFDECHKAKNLIPDAGSQPTRTGKAVLEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQDFPQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDTVEAPLEERMMNMYGKAAEFWADLRLELLSAGEISGEEKGVSNQIWRLYWSSHQRFFRHMCMSAKVPAVVKLAKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDNFQQGEEQVTEIQRKRHSAPDVSFKGRVRKVAKMEDVSDDDSGDYSPSESSDHESSESDEEFHMCQICNSEEEKSLLLNCSGCSLRVHPSCLTPPWTGMLTDDWSCYSCKKLEGQEMEHDANVADFSKRYESAVERKLKILDVIRSLDLPNNPLDDIIDQLGGPDKVAEITGRRGMLIRASDGKGVIYQARNAKEVSMEMINMHEKQQFMDDKKLIAIISEAGSAGVSLHADRRAKNQRRRVHVTLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSTYGKKALTMVYRGIMEQDSFPVVPPRCSDNQASIEEFIAEAKVALVSVGIIRDATVCNGKVAGKLSGRIVDADMHDVARFLNRLLGLAPDIQNRLFDLFISILDVVLHNARREGQLDSGIVDIKGKNIELKEPPKTVHVDSLSGASTILFTFTIDRGVTWESAKAMLDGRENDGAGSSNDGFYESKREWMGKRHFTLALEGSTEGIYKIIRPAIGEALREMPLSELKGKYRKVSSIDKVSKGWQDEYDVSSKQCMHGSKCKVGSYCTVGKRLQEFNILGGLILPVWGTIEKALAKQVYQNHKRVRVVRLVTTNDNQRIVGLFIPNAAVESVLTGLQWVQDIND >SECCE3Rv1G0185610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:676337074:676340650:1 gene:SECCE3Rv1G0185610 transcript:SECCE3Rv1G0185610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQGEASTGTTAATFRRVYETLKAELLRDDSFDFNDDALRWIDAMLDYNVLGGKLNRGLAVVESYKLLKAGSEPSEEEEFLACILGWGIEWLQAYFLILDDIMDNSQTRRGKPCWYRLPKVGLIAINDGLVLRSQISRIFKRYFHGKPYYVDLLDLFNEVDFKTTSGELLDQITTSEGQKDLSKYTEDVYRRIVEYKTAYYSFYLPVGCALLLYGGSLDDYVQVKHILVEMGVYFQIQDDYLDCFGDPEVMGKIGTDIEDFKCSWLFVQALARVDERQKDVLFENYGKSDPACVAKVKALYKELNLEVVFSEYEREIYEKLISDIEAQPNEAVQAVLKSFLHKIYRRMK >SECCE5Rv1G0311520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:193514803:193515954:1 gene:SECCE5Rv1G0311520 transcript:SECCE5Rv1G0311520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMTGKGGPKPVPNYLRPSTGSCHNACKHGGHHAFQEKQAAPRAQPKPRKKQQPSASDDQNQKRRLVKVRSVSRRRVGDFSAGTPAGGSENAVEWKDIVAYDAVPVPAPAPAPAPAHGPSHQQPDEKKKKKKIKRDVMKGKTPFAKTIHEETLAKKQTESLNKRLAKTVRSTLAGKASINKPQAAADKEEAGKSTRSAKPPKSATNKEIVQGDATSDVKQGKSLYTRDQEERAAVAEPSSAHRRAKSMSISSRSVRFPFTRQASNSSATFFRLRSIVLPSEQEKPTRLGFRKGRAAGEESSGGIQLRARSLRRRRGSGGAPGTGFMVPEVTLRHQKTLERKKSRRLSNNLIEETASKLAKSRKSRVKSLVGAFETLISKIGK >SECCE3Rv1G0198150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:827965166:827966629:-1 gene:SECCE3Rv1G0198150 transcript:SECCE3Rv1G0198150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHKAASWLPVDFRLPAVPQAALGILAFEAGAAMSKLLSLHRSLSEQEVSRLRSDAMRSAGVAYLNSTDQAFLLRLACAELVLSLDAAAAAVARLGLRCGIDFAGVYASIKAGEHDARLDLLAAKGLKVKAKKMERLVAATSKLCSEMEALDELESAERKLTVRGWSRLSGPIPAKLADPPQAGDSMGAESLKQEIKTQLLKVRRLKEESLWSQSYEKAVGLMARAACAVFVRICTVFGQFVPGLPPPMPSTSADSVQTRLSKLLMSPRTVKAKASSGPITRREREGAGAPSRVHPPMQQLSSSCPIIGQRPLSGQKGGVDWRKLLDAPASTVGGAGLDQQYANVIVSAEELLQMEAEGRQEEANAERAEMYEMLPGKLRAAVRSKLRDWWRDPGPLDAGLAEGWKEAVERIMAWLGPMARDTVQWQSERNMDRTRRFDGGTRVYALQTLRWADKDKAEAAIVEVLVALSCVCWYEERRRGSVRVS >SECCE4Rv1G0217030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13970754:13979753:-1 gene:SECCE4Rv1G0217030 transcript:SECCE4Rv1G0217030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGARGGGGRRGGRGGDQGAAGRGRGRGRGGEQQDAHRGRGGGRGLGGVADAGFQRGGHRDAGRGHLGAHRGGLGRGGVEVEAVERPGGAGRGRPLVPATVPGPAEVEALRGEMERRLAVSEPAQGSSSSAPPAAVATGAQESQVAVVVPPRNLPPASSRSTGFPARPGYGTAGKRCRVRANHLLVQVADKEIYHYDVSISPESMSRERNRSIINELVILHKQHLDGRLPVYDGRKGMFTAAPLPFKTKEFIVKVSNTDRGYQGEKEYKVTIKEVAKLNLYNLQQFLAGRQRELPQDTIQALDIALRETPTAKYTPISRSFFSKSFGHGGDIGSGVECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVMDFALEYLNIRGDAPRRLFDQDRLKLKKALKGVRVVATHRPDISIRYKITGITSAPLNELIFDLDGTRVSVVQYFKRQYDYSLKYIQWPCLQAGSDSRPTYLPMEVCNILGGQRYSRKLNERQVTNILRLACERPDKRESSIVEVINRNNYGIDDNAKEFGIKVMNQLALVDARVLPPPRLKYHQSGREQIWSIRHWACISFGSRLHWNDVSVFCNNLVGTCNNMGMQVSKAPCVDIVQARQDNSQAVIKNIYRQSAQVLAQQGLEGQNLELLFVVLPDGPNASDCYGRVKRLCEIELGLITQCCLPKHVQRAGTQYLQNMALKINVKVGGRNTVLENALLWRIPLLTDKPTIIFGADVTHPSPGEDVSPSIAAVVASMDWPEVSKYTCLVSSQGHREEIIADLLTEVKDPQKGVIYGGMIRELLLSFYKANKSCKPGRIIFYRDGVSEGQFSQVLLYEMDAIYRACASLESGYLPQVTFVVVQKRHHTRLFPEDHRSGALADRSGNILPGTVVDTKICHPSEFDFYLCSHAGIQGTSRPTHYHVLFDDNNFTADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLAAFRARHYLDDNHSDQGSSSVGGTRRFDQAVPIKPLPKVKESVRQFMFYC >SECCE4Rv1G0259680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:689586179:689595280:1 gene:SECCE4Rv1G0259680 transcript:SECCE4Rv1G0259680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MPMPMRAMLLRHLSPPSALPNPRRSPASSPQRIPARARPPPLHSARATAPGARRTPMAVGETGASVSASEAEAAATQEFMPDARAYWVTSDIIAWNVGEQEAASVCLYASRAAAMGLSRSNGGIQGYDSKVELQPESAGLPETVTQKFPFISSYRAFRVPSSVDVAGLVKCQLVVASFGADGKHVDVTGLQLPGVLDDMFAYTGPLGAVFSEESVSLHLWAPTAQDVSVCFFDGPAGPVLETVQLEETNGVWSVTGPREWENRYYLYEVDVYHPTKAQVLKCLAGDPYARGLSANGARTWLVDIKSETLKPASWDELADEKPKLDSFSDITIYELHIRDFSAQDGTVDSDSRGGFRAFAYQASAGMQHLRKLSDAGLTHVHLLPSFHFAGVDDIKSNWKFVDECELATFPPGSDMQQAAVVAIQEDDPYNWGYNPVLWGVPKGSYASDPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLDSSGPCGISSVLDKIVPGYYVRRDTNGQIENSAAMNNTASEHFMVDRLIVDDLVNWAVNYKVDGFRFDLMGHIMKHTMMRAKSALQSLTKDADGVDGSKIYLYGEGWDFAEVARNQRGINGSQLNMSGTGIGSFNDRIRDAVNGGNPFGNPLQQGFNTGLFLEPNGFYQGNEADTRRSLATYADQIQIGLAGNLRDYVLITHTGEAKKGSEIHTFDGLPVGYTSSPIETINYVSAHDNETLFDVISVKTPMDLSVDERCRINHLASSMMALSQGIPFFHAGDEILRSKSIDRDSYNSGDWFNKLDFTYETNNWGVGLPPSEKNEDNWPLMKPRLENPAFKPAKGHILAALDSFVDILKIRYSSPLFRLSTASDIKQRVRFHNTGPSSVPGVIVMGIEDARGDRPEMAQLDTNFSYVVTIFNVCPHEVSLDIPALASMGLELHPVQVNSSDALVGKSVYEAATGRFTVPRRTVSVFVEPRC >SECCEUnv1G0533800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:33117092:33120916:-1 gene:SECCEUnv1G0533800 transcript:SECCEUnv1G0533800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTATAGAAAEIVREIAAVGAADLAAAAEPLRADCLRLARKVSLLTHLVAEVAEAAGEGGAAEPEAVAWVADLLRALQAARRFVALGRGPALCTGAADQDVNNKNIAVQFKYVTWQLQAALTNLPHSCFQISDEVQEEVDLVRGQLKREMEKKGAVDLNIFSKIHDILAHHADNVGSQSEEPHSQPETLPLENFSSDHLELQHAASLISEISGISKSDIKKITSELIEGLENTTVTDSAKPSNGDSQASHEAKESADKVKKPDSVAMPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDGGNRTCPKTQQKLQNLTLTPNYVLRSLILQWCEEKGIEPPTRSKYEGSSVEVGEDRLAIEALVRNLSCSSLDERKSAAAEIRSLAKKSTDNRMLLAESGAIPALVKLLSSKDPKTQEHAVTSLLNLSIYDQNKELIVVGGAIVPIIQVLRTGSMEARENAAAAIFSLSLIDDNKIMIGSTPGAIEALVELLKSGSARGRKDAATALFNLCIYQANKVRAVRAGILSPLVQMLQDSSSSGATDEALTILSVLVSHNECKTAIAKAHTIPFLIDLLRSSQARNKENAAAILLALCKKDAQNLACIGRLGAQIPLTELSKTGSDRAKRKATSLLEHLSKLQVL >SECCE3Rv1G0145480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:7352993:7357270:1 gene:SECCE3Rv1G0145480 transcript:SECCE3Rv1G0145480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAQPGDPRRRQGFPEGFVFGTATSAYQVEGMAEQGGRGPSIWDAFIKIPGTIAGNGTADVAVDEYHRYKEDVDIMKNIGFEAYRFSISWSRIFPDGTGKVNWEGVDYYNSLIDYMLQQGITPYANLYHYDLPLALHQRYLGWLSPNVVGAFVDYADFCFKVFGDRVKNWFTFNEPRCVAALGYDIGLHAPGRCSQCSAGGDSTTEPYLVAHHLILSHAAAVRRYRDKYQHHQKGRIGILLDFVWYEPLSNSNADQAAAQRARDFHLGWFLDPIIHGHYPSTMLEIVKDRLPRFSDDESRMVKGSIDYVGINQYTSFYMKDNGTWNLTPVSYQDDWHVEFVFKRNGVPIGAHANSYWLYIVPWGINKAVTYVKERYGNPTMILAENGMDQPGNISIADGVRDTVRIRYYRDYITELKKAIDDGARVIGYFAWSLLDNFEWRLGYTSRFGIVYVDYKTLKRYPKDSALWFKKMLSEKKRS >SECCE6Rv1G0419840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:673285685:673287375:1 gene:SECCE6Rv1G0419840 transcript:SECCE6Rv1G0419840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYDQQFKVLQVPPIVQELVGAGVQEPPSQYVLPEQDRPAAAVSEMPEPIPIIDLSRLSAGSAEEFDKLRSALENWDLFLAIGHGMEPSFLAEAMKATREFFNLPLEEKQKYSNIVDGEKLGMDGYGNDMVVKENQVLDWNDRLNLLVEPESLRTYRLWPTQPASFRDILSEYTVKCKAAANLVFRNMAKILNLQEEHLVNMIGDNSITQAIFNYYPQCPRPDHVLGLKAHTDGSIITVNFTDAEGLQLQKNGIWYNVPIVPNALVMNVGDIMEILSNGFFKSLVHRVVTNAEKERLSLVLFYTLERETELAPVSELVDDKRPARYMKIKLNDYMEKFFDTYATGTLAIDGVKI >SECCE5Rv1G0372310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:852193878:852194198:-1 gene:SECCE5Rv1G0372310 transcript:SECCE5Rv1G0372310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVWVFRKDGVMELDASTTAKSKALVYVPANEPMRSLPALERRLASLGWERYYENVSVVQLHRRDGGLDLITLPRDFARLRSTHMYDIVVKNRGHFRVVDHVY >SECCE2Rv1G0125080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:838789528:838791148:-1 gene:SECCE2Rv1G0125080 transcript:SECCE2Rv1G0125080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMGRMAGSRICSELCGSVGNLRMYSSRVDWKQLRPMILKRIKNRTKDYPITRMIPVAQEVVRAREILTEGVSILLRAVPVHSCKFCPEIHVGAMAHQMKTCHGFKRMVKDRPHQWGPGGLNNILVPVEAFHQENMFQDEIRHDQRFDFTRVPAVLELCHQAGAELPEGLLYRRDELCTAAKANNQNTAPLLSGELRLVGQRTLEAWERLRLGVTKLLLVYPSKVCENCSEVHVGISGHKARMCGVFKFEGWRGKHKWKKAGVDDLVPQKIVWHQRPHDPPILVDSGRDYYGHAPAVVELCVQVGARASPKYNCMMKEHGLAPPVQRDQTT >SECCE5Rv1G0308810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:138958928:138963081:1 gene:SECCE5Rv1G0308810 transcript:SECCE5Rv1G0308810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDVTQILLSAQSADGSIRKHAEESLKQFQEQNLPGFLLSLSTELATNEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDAGVKAQIKALLLQTLSSPVASARSTSSQVIAKIAGIEIPQKQWPELIASLLSNIHQVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEGNSEVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSPEVKIRQAAFECLVAISSTYYDKLATYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEFSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDVVPLVMPFVEENITKPEWRQREAATYAFGSILEGPSADKLAPLVNVALNFMLSTLLKDPNNHVKDTTAWTLGRIFEFLHGSALETAPVITAENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYVDAGSASPLAPFFQDIIQSLIVTSRREDAGESRLRTAAYETLNEVVRCSTEETAPIVLQLIPVIMMELHQTLEVGKLSTDEREKRSDLQGLLCGCLQVIIQKLGGMESTKFAFVQYADQMMDLFLRVFACRNATVHEEAMLAIGALAYAAGSNFAKYMAQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHATAADDEMLDYTNQLRNGILEAYSGILQGFKGSPKMQLLMPYAPHILQFLDALHNGKDMDDSVMKTAIGVLGDLADTLGVHAGPLINQSASSKEFLDECLSSDDPLVKESADWARIAISRAVSG >SECCE3Rv1G0195950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:803819308:803821371:-1 gene:SECCE3Rv1G0195950 transcript:SECCE3Rv1G0195950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRAVVTAACASAAPSTSYASAFLPPPPSPCDGDLLRSLHRLARDLSAADAPAPFLRAAFASVSRRARLLAAAFDDLLLCAAGEGDLPRSASLCLREVLLVLQRFKAVVADCAARSRTLLLLQSDEMDAELRDLHHDLATLLDLLPVVELGLADDVMDLLALASRQCRRCASAAEPEPALKTTVLSLIEEIEREIVPERERLEEILEEVGINDPASCSDEIESLEREIGDRASERWTAAMIALVGLLRYAKCVLFSATPRPSDSKADVDVEDDGESPAPPPDLRCPISLDLMRDPVVAASGQTYDRESIDRWFSSGKSTCPKTGQVLANLELVPNKSLKNLISKWCRENGVAMEVCETSKSEQAQAVAANKAALEVARMTASYLVKKLSVSFSPDAANRVVHEIRLLSKSGSDNRAFVGEAGAVPMLVPLLYSEDAGLQLNAVTALLNLSILEANKKRIMHADGAVEAVTHIMSSGSTWRAKENAAAAVLSLASVHTYRRRLGRNPSVVEKLVHLVRTGPTSTKKDALAALLSLAGERENVGKLVEAGVAEAALSAISDEETAAAVLAALAKRGGAEAIVKIDGAVARLVAEMRRGTEWARESATAALVLLCRRLGARAVTQVMGVPGVEWAIWELMGTGTDRARRKAASLGRICRRWAAASAADGERGAECPASSVVPPAMMAS >SECCE3Rv1G0210360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943499830:943502352:-1 gene:SECCE3Rv1G0210360 transcript:SECCE3Rv1G0210360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALEGYDLRNGELQGPTFLTIPVPPHAPTPPPPPPCAASPPSPVPRLSFRHLSPCARWHDWARSALADPGFAPVLRAAGVHDAVAASTAAVVPDRHALAALLSLWHPASHAFRLPAGPATFSLEDALLLAGLAPSGAPLHRPLTPTEDDIRARLVVEKEKIQALHPCARKARRVSAEVWLEWFESRIRPGEDDELRRLGFLAYWLAFFVTPRLRSKGGELPERVFALAARISLGERIALGPAVVANLYAEMDRIVTTGVQEGASGRVDVWAPLWMLQVWLWERYHRLQPPELKAPEFPLSNVRALYWSRRRRKTTEEEALRVLQEEDSFEWRPYLRNSLEWAEPEWFSKETLLVTSRGKDKPEWLEDYIAIMRQAVLTGSYGDDMDSSAMYNPHIVARQLGYDQDVPFPLVHGFDSKGINVWVPGICRRGVASKEYVAWLNGEFVTHQEADRYVRSVDEDCAGSSPLNADTAAGKSGESTKQDNRKCIREGQQLAQEIKTELNALDCSPCDMDSSATAVKRKNGNRKRRDELSENGDIRKKSKVFASECHEGLQQYDDGQKYHGLEKDSNCYINRCDELPQPESDDECIVLEPTCEVINLDDDDQEDEDRQLVLVLQEFVRCGLFSQREESSDEDEDEGKKETPKKSNIDPYAEAAMSEYPRFFEFIPQTPHYRGLVNTSDTIRDLACSGLWFMLVGLAREVLKTSCDTEALEVAYLMKKARQLERNGFNVKHLIARLREPQARLRKLEDSRARLEDARTREQEPKDVKSLSSHLSNLKHNVLTMQRHLEGKKHARSASVRDESSEGIDLASLEKEVEAAEKYCQAMKDEVAAMRLKIR >SECCE1Rv1G0054360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:674700529:674704332:1 gene:SECCE1Rv1G0054360 transcript:SECCE1Rv1G0054360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTHQELHEQAGQLDQQGEDHDQITAPLLQPSSSPEEGEEWGEAENSPVEQVALTVPVGDDPTTPVLTFRMWVLGATSCVALSFLNTFFGYRKEPLQITAISAQVAVVPLGRLMAAALPERAFFRGRRWEFTLNPGPFNVKEHVLITIFANAGAGSVFAINLITAVRVFYGKPISFFVSLLVVLTSQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRRKGGMTRTQFFMVAFGCSFAYYIFPGYLFEMLTSISWICWIFPTSVVAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPLFATANIAAGFFIYIYVVTPIAYWFNVYEAQNFPIFSDGLFTATGQKYNISSIVDPEFHFDANAYEKNGPLYISTFFAVSYGLGFACLTATIVHVLLFHGSEIRQLSKSAFQDKKMDVHTKLMRRYRQVPEWWFICILVASVAITVFTCEYYIEQLQLPWWGILLACALSVFYTLPIGIITATTNQSPGLNIITEYIMGYLYPGRPVANMCFKVYGHVAPRQALAFLQDFKLGHYMKIPPRTMFMAQVVGTLISAFVYLGTAWWMMDTIPNICNTELLPAGSPWTCPYDHLFYDASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPLLVWLAHKAFPGQKWILLINMPVLLGGISHMPPATAVNYTAWICVAFLSGYVVYKYRHNWWKRHNYLLSGALDAGLAFMAVLIYLCLELDNITLNWWGNVSDGCPLASCPTAKGIIVNGCPVHN >SECCE1Rv1G0002810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:10721387:10722175:-1 gene:SECCE1Rv1G0002810 transcript:SECCE1Rv1G0002810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVKIGPWGGNGGSERDVQPKPTRMVSMTVSSGAIIDAIAFTYVGTDNAQHSSGIKWGGTGGTEDTINLDATNYVTEISGTVGKFGTDDVVTSLKIVTSKGVTKTYGSATGTPFRVSVLDGGKIVGFFGRAGAFLDAIGFYITA >SECCE7Rv1G0502400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:696102924:696106373:1 gene:SECCE7Rv1G0502400 transcript:SECCE7Rv1G0502400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVFGRVFGKSKEQSQASALASIDKLSETLEMLEKKENLLMKKANLEVEKAKAFTKAKNKKAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMHKSTNIDDVDKTMDEINDNMENMRQIQDLLSAPMGAASDFDEDELEAELADLEGEELEAQLLAPTTSAPMAAPARVPQQSSRPTAQSSKTEDDELAALQAEMAM >SECCE5Rv1G0327120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:489449889:489452843:1 gene:SECCE5Rv1G0327120 transcript:SECCE5Rv1G0327120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRRHKKRRRLADAAPAEADALISLPADVLDVILTRLDLRDAVRTSALSRDWRYRWEALPSLDLHFPRLKDDEGAPKGLRAVDGILLRCPGRVRRFCVFLDEPYAARIHDWFLVLSRRCVETLHISSIDGFLALPSSLFTCGRLTSLSLFSCAIPPLPPGFQGLRELRNFTLINVQLQKKGGYQLENIIATSPSLEELTLWDVNIRGRFKEWVIQAPNLRFLKICSADDYGWNLGDLPRLDYAVIDIWDYLGGACDFSKFLSRLASVTELCIYICHSLSNGANMLEELPCTFVNLKSLTLYTHFCELPSILSTFCLLRNAPNLERLKILIYYGEEQKFEANREFQDAQCTDGMCANLQFVKMTGIHWHSNEMSFMELILSKARLLRTLSISYDEEYALSNKGALKKLQNYKKASTHAKVIFKGKAGFY >SECCE6Rv1G0420990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:681044643:681045905:-1 gene:SECCE6Rv1G0420990 transcript:SECCE6Rv1G0420990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSVEVPPYFLCPISLEIMRDPVTLATGITYDRSSIERWLSDGHATCPVTQQKLAEADREATPNHTLRRLTQAWCALHAVERFPTPRPPLDAGRVAAIVEEGHGAGRQQELAALREIKAIVAESERNRRCVEATPGAVEFLVSVVRNHATASKSAEDLLELSLDSPTSTSSPEEDALSVVCSLKPSKKTLVRILEKNGDFLDTLVCMLRRPSYRSRCYGILLLKAMVSVMEPARLMAVRTEVVQEVVRVVSDRVSAKAVKAALNVLCRLCPWGRNRVKAVEAGAVTVLVELLLDEGGRHPTELAVVAIDHLCGCAEGRSDLVAHPAGLAVVAKKAMRVSVTTTESAVRALHAVAMHSPTPAVLREMLTVGVVAKLLLVLQVEAGERARAKAKELLKIHARVWKDSPCLQVHLKAYYPC >SECCE6Rv1G0408100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:568321805:568322272:-1 gene:SECCE6Rv1G0408100 transcript:SECCE6Rv1G0408100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSPLRAFLAAMLLTFLLGAATTSSRTTPPMLSASQNLAEDKSRLGSTPPSCHNRCNACNPCKPVQVTTTLPAGSGGPSAASRGSSAGAVDEAAANVRYSNYKPLGWKCRCAGRLYNP >SECCE5Rv1G0329650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:519520507:519523964:-1 gene:SECCE5Rv1G0329650 transcript:SECCE5Rv1G0329650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGMAALGVKKERAAEYKGRMTLAVAMACLVAAVGGSIFGYDIGISGGVTSMDPFLEKFFPVVFRRKNSGHQNNYCKYDNQGLSAFTSSLYLAGLVSSLVASPVTRNYGRRASIVCGGISFLIGAILNVAAVNLEMLILGRIMLGIGIGFGNQGVPLYLSEMAPAHLRGGLNMMFQLATTLGIFTANMVNYGTQNLKPWGWRLSLGLAAAPALLMTVGGLLLPETPNSLIERGRAEEGRRVLERIRGTADVDAEFMDMSEASELANTIKNPFRNILEPRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQTMGFGASASLYSSVITGAVLFLSTLISIATVDRLGRRKLLISGGIQMIVCQVIVAVILGVKFGTDKQLSRSYSIVVVVVICLFVMAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFIIAQAFLSMLCAFKFGIFLFFAAWITVMTVFVYIFLPETKGVPIEEMVLLWRKHWFWKKVMPDMPLEDGWGAGDGERADSKGH >SECCE6Rv1G0387290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:110572106:110575753:1 gene:SECCE6Rv1G0387290 transcript:SECCE6Rv1G0387290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMGPGTPYNLQVANCCRGGVLSSLVQNSKAARSTFMMTVGNFAPSSDGSPQMPFNFSIGVPGYTCSNATVVPPSRTQIDRQRHIQALMTWQVLCFYSQTVAAPRKSCCVSLSTFYSSVIVECPRCICGACPVTSMAPQCKGPKAEPAVRCTGHMCPIQVHWHIKKSYREYWRVKITVNNFNTLNYSDWNLLVQHPGMQNLEQVYSFNHHPLVQYGTINDTELFWGLPNFNAMLLQDGYVQTEILLKKDEDFTFDAGWAFPRKIYFDGGECAMPPPDDYPQLPSASCDQHPSAVLRSLLAGLVLLFLLF >SECCE5Rv1G0373870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861410230:861413287:-1 gene:SECCE5Rv1G0373870 transcript:SECCE5Rv1G0373870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGHPSRYVKLTKDQDAPSEDIRPGELNQPVHVPQLEGRRCGECGQVLPESYEPPADEPWSTGIFGCTDDPESCRTGLFCPCVLFGRNVEALREDIPWTTPCVCHAIFVEGGITLAILTAIFHGVDPRSSFLIGEGLVFSWWLCGTYTGIFRQELQKRYHLKNSPCDPCMAHCCLHWCANCQEHRERRGRLADHSAVPMTVVNPPPMQEMSMSENRAAPTPAPAPENGSSNKAEIEARNSDHDAVDVIPL >SECCE5Rv1G0322000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:414586872:414599316:1 gene:SECCE5Rv1G0322000 transcript:SECCE5Rv1G0322000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator DEMETER [Source:Projected from Arabidopsis thaliana (AT5G04560) UniProtKB/Swiss-Prot;Acc:Q8LK56] MQGFGQWLPQSQSAADLYFSSIMSSQLDTSIEMQTRNTAVAVLENESAHSFGITGAAGPIEVTRNDAGTIIDNENVAELTGGIDLNKTPPPKAKRKKHRPKVLKPSKPPKSATPKPSKAKEEKPSGKRKYVRKNMPAGQPPSEQTAESHHKATLKPAKRSLNFEGEVPQENTHPGSQAQVVSCDPKDYQPSMPSTGERNVQSQLTCHLDFTTSSMYSSANQMADTQLLPADNMKTSIYSSANQMANAQFLPAHNMPKGVLFDLNSSTNQIQNEYANILDGRAQFFQSGTTETLQTNPLLGLCDGMPDKNLPDLNSSITLMQGMPTNFTEYLLSSSQGSVRETHMGKQMPNCQRMPENPVTPAQCFEGGATRENYNLNSCLRGGLNNQICHGYRSTQSPIPPPKQIEGHSAMENLNGLGTISDYLKFTSSPSPYRQTGGALGLHGSHSSSHVHALDTREHNASNGAHISLGMNLDQQRNGWASVDVCHAAPSQGSYFPETYKRMRTDNYSKCLNGAVGNTSTPTMYLSNNRNTNVVSAINSNVFTLADAQRLIAREKSRASRGMISFGGSGYNMVKRPEMIEEHYRPAIHGTACSDSVEAPDKHFRHITEKITQVPSNPYTLQSQNCSPRIGSHQPQFWEGNTIEVSDLPVEQHNQSTAPQDDTQNSFCIGPSDELGRSINGEISRFPVTPTGQSTGNDTMKKFGFQLETSGEVIMPLTSPRNSSPGTDVLRNENHQVEVCGETTVAKPSEKRKAGRPRKEIKPGENPKPRGRPRKQKVVGAELASKGSHTDPWTNEDISVISGPHAGVSPGSKGINTERSGESFPGAIAPPVDPLDLIIQKIKVLDINKSDDTGSVEPHGALVPYKGEFGAIIPYEGKGKRKYARAKVNLDPVTALMWKLLMEPDMVDGSEGMDKDKEKWLEEERKIFRGRIDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPAKPEVSKISADRTFHTASENAGCSELFGDSVKLPGGILVEEASNTTCSLVTTEEKEGSNSSGLFGNSPGDGVDCTAGVYYNSYGTLPVRLHEGKTPAVGTESVVEVEDGALEDVVSSQNSAISSQSSPDYLFNMTDHMFPSTLLNFTAEDFVGRNMANGTSNSTTYTELLKMQELKSKPNGKEYDGVPIQCTNRGSIPSEVRKLNSKTQPLHASGSYHQNGQAHLPDITFSSDLDHSVYTGLNRTDGSRVTPAEIRYDCSLSSPGIDSENRSQMTDSLTALLYGIDGSLSQDKIPFPSMATQGADSISTLMDKYFHPSSSETASFAREQLSCENNLQRNDVVAAFVKHHETLNLQEECTARAKQIGGENYQSECSQQYGNVGLSSNMDGSHCSSNLYQNEKANSELLERVASDSIEKPKDTNKALPEVPADRSKAKKARAGKKRTHDWDILRKEVLASRGNEERGENAKDALDWETIRQINVKEISNTIRERGMNNMLSERIQDFLNRVVRDHGSIDLEWLRHVDPDKAKEYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGNPIASGSCQQPYISPMRLNQLDWNANAHDHILDNYDHILDNRQPIIEEPASPEPEPETAEMRESAIEDIFLDDPEEIPTIKLNFEEFAQNLKNYMQVNNIEMEDADMSSALVAITPEAASIPTPRLKNVSRLRTEHQVYELPDSHPLLEGYDQREPDDPCPYLLSIWTPGETAQSIDAPKTACNSNESGKLCDSSACFSCNSMREAQAQTVRGTILVPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPVDVPRRWIWDLPRRTVYFGTSVPSIFKGLTTEDIQQCFWRGFVCVRGFDRTSRAPRPLYARLHFPASKITRNKKGAASAGTDDA >SECCE4Rv1G0289090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:863515019:863516248:1 gene:SECCE4Rv1G0289090 transcript:SECCE4Rv1G0289090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGAADHGQTSADPRPEPVIPEHRREPRTHLLPPPHGLQETAPRQIPDELVAEIFLRLPTPADLVRASLACVSFRSLVADRSFLRRFRRLHAPPLVGFLDERRNFLPVIPPSPSASAANAVALAADFSFSFLPAPAHDWKVLDVRDGRVLLKRSRSRFHDRSLVVCDPLYRRYLLLPPIPQVEGRVPRKLQSFLKEEEEAAEETSFAVILIAKCGDKRAAFVFSSSTGQWRAGPWTAGFSWAFFSYRRYAYGCFYGMTECAEKLLVLDTRTMEFSVADLPPEARVECADIAIVDAGEGITGMFVLPHHTSHISYLIRRNNGGSSSQWRLEKTIRLDSRWYTFTNSTGRHLLLFHSKSSSLDKGTLALDIKTFQLEKVFATHGTPMPYVYSNFPPSLLSSPTISSPAS >SECCE5Rv1G0339760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:610670069:610670323:-1 gene:SECCE5Rv1G0339760 transcript:SECCE5Rv1G0339760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRDAAALRVTTAAGSAPYAPARLMSALRSLELQVQACSTRACVSRVQGATVQDVVVDVPAALQHDDGAALRSALLQRLRDSA >SECCE6Rv1G0401050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:461934738:461936634:1 gene:SECCE6Rv1G0401050 transcript:SECCE6Rv1G0401050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKQQDRQPPSAAAAVAKPKNPTKAAAAAVVVGDEKAKKAPQPRRASNAEESAAAGKKAAPIVVKASKAAAGGGKEEEKKEEARPVAVVAKGPMPVRTSSCTKEEVEAILIQCGRLSRSSSGRAPSSETAGGHRSKRSCDFDPSGAGGADEECDWERNGVPVSRPSPHRGSPQRKRSGSRERTGGGSRRSSRSPGRRGEGGAPSAGSGAARQQPGKMVSVPAREKGRAPSPAAASGKRCASPRSSSPARVTAANENAGGGQKTAGQTPALSRSSSRKAEQSPLRRSPMAEIDENSLRNNSNSTNTKPQKKSTEITVATPTRKTTERAKEQSSQKVKGEETMVAASETRAPSSKTTETRTVSIVAETPGRRSGRRSRRASRDFDQNPGLYASHLLEDIQNYHTSATATPGTPPSFTLPACVSKAHSIVEAVADLNSSSSESRTCEPDRSVDDKASVNAAVGRDELDAPSVHRYVSVRDIRGHGEMELQESAGSNSLSGNLWTPSCESTDRTWSESRSSNNGDEVVEQVPSHHGGARSPVNRPRQSKQRGAAQLEPSGRSRAGSSGANAHRGRSAHRGSGSVASGRSGVRGVSASS >SECCE3Rv1G0209760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:940611104:940611518:1 gene:SECCE3Rv1G0209760 transcript:SECCE3Rv1G0209760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHNMILREIERENRRWLLLRLMEEGRVDPPPAPAPAPSPIFRHRANIFVRCVLTMLSLAILAFLFVLKYKYHDPELQHPFLMALCVFLALCFIPVGFLCTQE >SECCE4Rv1G0275780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:795364759:795365670:1 gene:SECCE4Rv1G0275780 transcript:SECCE4Rv1G0275780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRRRPEPAAIDITWVSCRGVRSSLPFHTPCLYASVSVTPSSAGKNIRRHRVKTPTDRAGGENPEWDERLRLRLPSDASPASEQEAAANKKDRHVDDQDDGVLLVRFELKAEVAVLGDVLTASAVVPLSDLVADGRTHRVSYQLAASSDGRQPNGVISFSYAFHHGSAVDDDQEDRSSDGELVSLASLAPAQPPPQSTVLSSGMYPLIDWGPLEHLAVYPQVNADTVTSSSSPEPVAVYPPLQETSSRGIYPMVGEPDSSLYPAVDFAPVSCYPPTMAPYYYGGGFGCQAALAWDGRCLYG >SECCE5Rv1G0300580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27087922:27090135:1 gene:SECCE5Rv1G0300580 transcript:SECCE5Rv1G0300580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAPSASARQISHTHFIEQLRRAARARDGEALHAWALKSGAASHAPVSNSLITFYASLPRPLLAASLAVFAGIPAAARDVASWNSLLNPLSHHHPRAALSHFRSMLFSSSPAILPSPHSFAAVFTAAARARSASAGAAAHALACKLPSAASNVFVSTALLSMYCKLGLVPDARRVFDEMPLRNEFSWAAMVAGYAATKCSEEAFEIFQLMLAECPSQKNEFVATAVLCAVSVPSGLPMGVQLHGLAVKDGLVVFVSVENSLVTMYAKAGCMDAALHVFASSKERNSITWSAMITGYAQNGNAESAFRMFLQMLSAGFSPTEFTLVGVLNACSDVGALMEGKQTHGLMVKLGFEAQVYVKSALVDMYAKCGCITDAKEGFDQFHELDIVLWTAMVAGHVQNGEHEEALRLYARMDKEGIIPTNLTITSVLRACAGLAALEPGKQLHTQILKFGFGLGSSVGSALSTMYSKCGSLDDGMVVFRRMPHKDVIAWNSIISGFSQNGRGNEALDLFEEMKLEGTAPDHITFINVLCACSHMGLVDRGWIYFRSMTKGYGLTPRLDHYACMVDILSRAGMLNEAKDFIESISIDHGTCLWRILLGACRSIRDFDVGAYAGEQLMELGTGDSSAYILLSNIYAAQRKWTDVERVRHLMRSRGVSKDPGCSWVELNSRIHVFVVGEQQHPEAENINTELRRLAKHMKDEGYRPTSKFSWDEELDTLRESHEEDQFELISAVSS >SECCE2Rv1G0076320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89957072:89958493:1 gene:SECCE2Rv1G0076320 transcript:SECCE2Rv1G0076320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARPLTSLSVRRGERELVAPARPTPYEFKVLSDIDDQEVLRFYRSGAFFYRGDASKSGIDPAKVIKSAISEALVHYYPLAGRFRELQPTRKLVVECTGEGVVFVEADADVRMDDFGGSLAPPVPCYDELLPEQESATAVVVDRPLLFAQVTRLRCGGFVFGFQICHCIADGPGIVQFLTALTEFARGVPGAPTVRPVWQRELLTASWPPATAHDHAEYAPLPDPEKDVVSSSDTFSQHTFFFGPREVEALRSQAPPALRSAASRFDLIGAFMWRCRAAALRYGPDELVRLHMFVNGRVRNRSRHRLPRGYYGNSFAFASACAPAGRLCRRPLGEALRLLLEAKARAEQEGYVQSAAGFNAAHGRPAFPKARTYLISDMTQGGMMAVDFGWGTPVYGGPATIILATFHLEGRNEAGEVGVNVPTRLPVLALERLKVEVSKGLLTVTGRTATEPADKSKSGLVLPGNNALAKL >SECCE5Rv1G0352160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:707196581:707198391:1 gene:SECCE5Rv1G0352160 transcript:SECCE5Rv1G0352160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLHGPLLFVNPGAYQEPKSFPKSTVSPFNNLFGCNALCSSVEGYHVQKPHIVSSFRVNFTRVSHYLQRSLNERTTRHWLHRFHVNASSDEDFRSSRNIATSLFKQYKNVIDRGGGDNIKGFVSAGVQAYALGCTEEGLRKELMDIKNSGVKIEGLQSFGGTSLSFKVRSFEVKECILWLSIVFITILCTPQPTVIRWSTTPPVSADVLHQWKGFCALIANAYYTKGMAWLPVKTLQLEQMAVTGSSEEPSLVASRMQLVFSTLEVVSPQWPRV >SECCE4Rv1G0296520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903302825:903303976:1 gene:SECCE4Rv1G0296520 transcript:SECCE4Rv1G0296520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGATPLLPGLPDEIAVWEIFVRLPPKPLLRCRAVSPAWRRATSARAFLLSHHARQPALRLLYGRGDLADGGRSLDIVPYDHRAAGAATAAADRFRPVARLRTPPYQEGLPFFTLLLHLEASRDGLLLLSDEVGGLSICNPATRQYAPLHQHLHAFGLLELYPHPPTGEHRLLLHRPAQDQRRRVYVSTIGSDQPPRRIGCPDPNGLEHILGLLFQGDIHWYKDSGITVFDTTDESFRRIRTPVACDYARLFEMGDMLGIFFFANDEDTTIDIWVMQDYQGEAWDFVRRVELPVADFSCQCHSSWHEEGMMVVVPGDDGELVLLVSCDGWLFQVDADGKLIAAFQTGDLNSTLYVLKQTLVQHAFFPTLDGYVVNALPFI >SECCE4Rv1G0254140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:633164693:633165421:-1 gene:SECCE4Rv1G0254140 transcript:SECCE4Rv1G0254140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTAAAAGSNVNGAVVANHQQQQLGGKTRTYKGVRMRSWGAWVSEIRAPGQKTRIWLGSHSTAEAAARAYDAALLCLKGASAAADLNFPVRFPFDLPAAAMSPKSIQRVAAAAAAGASANVFDFACADDSASANVVDFTGAEDGASAITPEYCSSSNASPVSSPETASSGAADLDGVDLLGYGYSQCSLAEIEAFFQSPKCMEYAMMDPCSAFFAREPMAMEDECSWEEGGDIALWSFSMD >SECCE2Rv1G0101320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:559650539:559661863:-1 gene:SECCE2Rv1G0101320 transcript:SECCE2Rv1G0101320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulation of axillary meristem formatio [Source: Projected from Oryza sativa (Os04g0396500)] MVPARNLQLLRDAHGTPFIRDVAAGVNALTAQPTPRPSHHMAQEPINQDPSKPPPPQERQEVHQEERRGEGLVVLPPPQQPHPAGTSGSSSGGSSSNGGGGAGGGDWLRLGLGPASPGPSGAAPEIDLFAADRGGTAGPRQEMLPGMDMPPGAFLRPAMPGIPQASLQMSVPRAGPPWLPPWSHAAQQPQLLLPFGHRGLYGPGSPAAGPSGFDTTRVVLPPPAATAAAAAGVWFVFQAATHQGREPFLPQIPRSYLRIKDGRVTVRLLIKYLSNKLGLEDESEVEITCRGRPLLPFMTLQHVRDSIWCQRDAVSTSVAPDTSTANHIMVLQYGRRP >SECCE3Rv1G0198020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:825404058:825408307:-1 gene:SECCE3Rv1G0198020 transcript:SECCE3Rv1G0198020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSALSRAVARSSRSRQGYQLGGHGVFRAPLPSPSPLPSPLPTGEGAGLSLVRRYLTSALGSRASVANAAGKVRDGRSLLANSQSRRLFSDQSKKNLPKEKEVPKGDGSNKSESKKESNSGGQPNVPEKLLKLFQDSIGPVFLLGLLLATLSGSSEKEISFQEFKNKLLEPGLVDRIVVINKTVAKVHVRSSPSTKQNQHSDTSITTSHLPGQEAPSKYKYYFNIGSVDSFEEKLEEAQEALGIDRHDYIPVIYADKISWFQEFMKFAPTLFIVGLLYMVGRRMSISIGSGSGQGGRSIFSIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPDKYEALGAKIPKGALLCGPPGTGKTLLAKATAGESGVPFLSISGSDFLEMFVGVGPSRVRSLFQEARECAPSIVFIDEIDAIGRARDRGGYSGGNSERENTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQISIDRPDINGREQIFRIYLAKLKLDKEPSFYSQRLAALTPGFAGADIANICNEAALITARRDEKLITMQHFESAIDRVIGGLEKKNTVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPSENLLMTKEQFFDRTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYEQVAVYGFSEKVGLLSFPQRDDGFWMDKPYSGQTASMIDTEVRELVAKAYNTTTELIRKHKDKVAQVAELLLEKEVLHQDDLVRVLGERPFKTAEPTNYDRFKQGFMDSDDSKSGEVDPSSSVGEPVPT >SECCE6Rv1G0397190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:387011399:387012381:-1 gene:SECCE6Rv1G0397190 transcript:SECCE6Rv1G0397190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSYAVAVTLFCLLAADGCCGCFWFLPAIFCPGHSPTPTPAPATPTPSPGTNVDGGGWLDARATWYGAPGGAGPDDNGGACGFKNVNLPPFNAMTSCGNEPLFKDGKGCGSCYQIRCVAHAACSGVSETVIITDMNYYPVARYHFDLSGTAFGAMAKDGRNDELRHAGIIDMQFKRVPCQYPGLSVTFHVEQGSNPNYLAILVEYENGDGDVAQVDLMESRPDNGEPTGVWTPMRESWGSIWRLDTHRPLQGPFSLRVTNESGRSLVAGQVIPADWQPDTVYSSLVQFD >SECCE6Rv1G0430830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:744830524:744831207:1 gene:SECCE6Rv1G0430830 transcript:SECCE6Rv1G0430830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPVKVIGTRFSAFSHRAEVALRLKGVPYEEDLDNKSELLLRSNPVHGGKMPVLLHGDRPAVCESLVIVEYVDEAFDGPTILPSDPAGRAAARFWAGFLGVNHCWRLLWLALWAADGDARARFREEARASLALLEAQLEGKRFFAGDGIGYVDVAASGLAYWLGAMEEVAGVSIMDAAEFPALCRWAKEYTSSDAVKGCLPDWDELVAGYAASTEKFKLVAQQAMI >SECCE4Rv1G0230390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:163425391:163430470:-1 gene:SECCE4Rv1G0230390 transcript:SECCE4Rv1G0230390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALDSGAKAKHIILVHGVCHGGWSWYKVAAGLRSAAGHVYRVHAPDLAASGIDDRRLPEIATFSEYTGPLLDALQSLPAGEKAVLVGHSLGGLSVALAAEMFPDKVAVAAFLSAYMPDCASPPSHVLVQHGEGNWVAPLDNEMKPQDTNGRLPTSFMFGPRFIEQKLYQLCSQEDITLAKSLIRVGSLFLEDLQARQPFTEECYGSVRKVYVICKQDVTIPEAYQRLMVSNNPVDEVREIDGADHMAMLSAPEQVVKCILDIAKKYK >SECCE4Rv1G0222600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:61045799:61050149:-1 gene:SECCE4Rv1G0222600 transcript:SECCE4Rv1G0222600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKMSRHPPRDRHPPRDRHPPRDRHHRLNKIDLHHRVDKMDLHPPIKKYMGWLSKVVKGSVNKVIRGQYDGNFGEGHSTQHASSHDTHASDNEDIDRAIALSLAEEGQRKGKAIDTDDHLEEDEELARALQESLKDKHPPRQKIPAGGVHSDSTTATSQLPDILPSSRLRVCAGCKTPLGHGQFLSCMDSVWHPQCFRCLACSEPISDSEFAVHEDHPYHSSCYKEIFHPKCDVCKNFIRTSKNGFIEYRAHPFWMQKYCPSHDNDGTPRCCSCERMEPKDIKYITLDDGRKLCLECLYTSIMDTDECQPVYIDIQEFYEGLNMKVEQQIPLLLVERQGLNEAREAEKMGHHLPETRGLCLSEEQIVRVILRRPIIGPGNKMIDISTGTHKLVRRCEVTAILVLYALPRLLTGYILAHEMMHAYLRLKGYRILNPEVEEGICQVLAHLWLESEIVSGSSSSIATTSEAAAVAAEAAVAAEATATPSSTSSSAKKGEKTDFEKKLGEFFKHQIETDPSAIYGDGFRAGIRAVERYGLRGTLDHIKRSGSFPS >SECCE5Rv1G0310860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:180133830:180136075:1 gene:SECCE5Rv1G0310860 transcript:SECCE5Rv1G0310860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQTTAASIRGPRFAGAGLRGALLLALVLAAAAAFLPVAEPSCPRDNSVVKDINKMHQSNYGIGGFSHITVAGALAHGMKEVEVWLQTISAGQRTPIHRHSCEEVFVVLKGRGTLLLGSTSLPYPGTPQEIPVFQNSTFTVPVNDPHQVWNSDEHEDLQVLVIISRPPVKIFLYEDWSMPHTAAKLKFPFVWDEDCLDAPKDEL >SECCE2Rv1G0080990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:134833749:134835480:1 gene:SECCE2Rv1G0080990 transcript:SECCE2Rv1G0080990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILCAGHPAPSVHSEFLSRWLSLNSPKMPYALNSAGRKLGKQNRHRRMVTFAKSSSLQDSVASVKPSRLLPTVEPKTFPNSVPDEILSKLRLEESDAFYILELCTSRELSSSLLDKNSAILICLIDVNGDSLLQRVPAIYGNQPAHGTKASQFLPFQNGSVDIITFKGPKLQTIKEIWIGLESGSWRLDGLSLKAIHGAWNTPEDLEGTLELKFSGLQYTFDKLGALLGEDGASVVEARPIAVTDLSGISISDLQEGQLSSARTASSIKELKEDGLREYADLKQSLLLYDISIVITGFSAFTLASNDGAAYSFLVGGIGGFLYLLLLQRSVDGLPAINSPSEASSSEPTVNFSGVRRPWLILSIVMVAGAVALKYGAGGDSFELTPTELFVGAAGFLANKVAVLLAAFKPLQSNLESDNGSVD >SECCE1Rv1G0057110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692354771:692355571:1 gene:SECCE1Rv1G0057110 transcript:SECCE1Rv1G0057110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRALILLAVVLAAVPAALSQKAAAPAPEAADTPDTPVAGTPKVAAAPNVTAVLEKAGQYTKFIRLMASTQQDTQLNAQANDSDTGFTVFAPTDSAFNSLKPGTLNSLSQQDQVTLVQAHIVPTFYSMESFETASNPVRTQASGADGPCTVNVTATSNSAVNVSTGIVHTTVGTALRATRPLAVYSVDKVLLPMDLFGPKPPASAPPAHGKKPSSAKGAAKAPSGSDDEGEEEAPPAGAAAVVGAGWRSLVAVVAAATAACLL >SECCE1Rv1G0009230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:53241780:53242637:-1 gene:SECCE1Rv1G0009230 transcript:SECCE1Rv1G0009230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERSTPEGINMEEHALRFQPLPPPRWTGDFDLASIGIPPVMRTRKPLEVRNALRERRVAAKQKDARYHAEVALRKYNRANNTKFELVEVKVISIFYEFGGGGAHYNFTAKQPEDHQNADADSTKLFFSEVDLYFRSEKDVIMCCIVGENDAGRCYGCENYQPVVHPSSEAYGGGSSTCIDYPGSDGDSDSD >SECCE4Rv1G0267180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735105711:735107609:1 gene:SECCE4Rv1G0267180 transcript:SECCE4Rv1G0267180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEALLRQQEPWFVALAVLGALYVAAAAYRLLHTSGLALCLRGPKDLRRRYGAWAVVTGPTSGIGRSMALELARRGLNLVLVGRDPAKLHDIAGTASDTHGVLTKTVQVDFSLVSTPQGEEAIGRLRQAVEGLEVGVLVNNAGVATPHAAYLHEADAEAWVRMIRVNLWAVTEVTAAVLPGRGRGAVVNIGSGAAEALPTYPLYSVYAATKRYVSQLSKSLYVEYRGKGIDVQCQAPLYVDTKMVSNMVTPGGLLSRLIVPTSDAYAGAAARWIGHRRPLCMPNLGHQLQWCLCHFVPDRVLAAHRLRENLRQRAVFQRLRSSSGERTVGRS >SECCE2Rv1G0082190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:148388496:148391335:-1 gene:SECCE2Rv1G0082190 transcript:SECCE2Rv1G0082190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPFQESAHCDVCRCTFSTFRRRHHCRSCGRTLCHEHSSYHMALPQYGIYTDARVCYECFNKSSSRRGRVDNASSAGSVSGAADSFAGLNLDKDDDSLPTRSSAVLSPAAVIECKCGMPLCICEAPKPEPAPVKQNISAASSAAQSNPKPKKPSSNQQSAESSSKKASATSSSNSSSFLNLGLMSNDIKDKTPSDYEATGEGLREAIKGGDIKAVKKLLSQGVDSNYCDKQGFTLLHLAALFNQTEIALILMDSGANIQRKNGQGETPLDCAPPMLQYKMRQRVEELAASQRPV >SECCE6Rv1G0423760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697435084:697435536:1 gene:SECCE6Rv1G0423760 transcript:SECCE6Rv1G0423760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAMPAPMLSTDVGESITVDNGSTDLSRRFSMSSSDDTNSNIMFSTPRSKKAKALIDSDGGMVTSLSKIESQFGMSTTFLDMPDMDDYLQLQQDFIAYVFKATLRFKALGGRLDA >SECCE5Rv1G0325700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:468611682:468612689:1 gene:SECCE5Rv1G0325700 transcript:SECCE5Rv1G0325700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAPLSFRPTATARPLFHCFDDGADRDVSFLQDVHPDVGDALLGFVYDPLDPAVNAGLDEFLNIPPYGDHDDDEDGRQRCAKKPRAGGFEEDSWFDFTAADGSLGQKWDNAGAQHVPEFFTDFVLPLPAPPRLPLPPPPPSPTQVYPPFVRGADAKKLQAAGNGSSQSAAARERRRRISEKTAELSRLIPGGHKLNTAEMLHEAARHVKLLQAQVGMLALLPNIEEEKVPAMAQEHMHALLVCGGMQERLAAEGKCLVPRALVDTIAKDTAVRSNALVNRDLTRFTESLAAEK >SECCE6Rv1G0429260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:734293323:734294861:-1 gene:SECCE6Rv1G0429260 transcript:SECCE6Rv1G0429260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSFLELELELALLCFVVFYYFHIRSKRQNPQLPLDWPLVGMLPELLANLPRLHDWVTSVLTASPLSFRLAGPPRSGMQLFITADPANVRHVFTANFPNYPKGPEFAQIMDIFGGGIFNADGDSWRRQRAKAQLLMSGPRFRAFVSRCSRRKVERDLLPLLARVAGVGAGECDLQDVFLRLTFDTTTMLVLGVDPGCLAVGFPEVPFARAIDDAMDVLLVRSLLPLSWWKLVRRLGVGYERKMAVAWRDIDRFIGNTIAKRREAVKASGGIEDSADLLSSYIDDDDAGSGTVVDVFLRDTTMNLMIAGRDTTGSALTWFFYLLTRNPGVVSKILAELDTIKTTTTLDGMVTFDPDELGRLVYLHAALCESLRMYPPVPFEHKGVVAAEALPSGHEVRPGDKILVSLYAMGRMEAVWGNDCREYRPERWTGEDGKLRYVPSYKFISFNAGPRTCLGKDMAFVQLKTVAAAVVRNFDVEAVQGHVVEPKISIILHMKNGFKARIKRKQVMNS >SECCE5Rv1G0315210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:287781448:287792566:1 gene:SECCE5Rv1G0315210 transcript:SECCE5Rv1G0315210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3C [Source:Projected from Arabidopsis thaliana (AT1G21700) UniProtKB/Swiss-Prot;Acc:Q9XI07] MPRKASSSSDAKLKWRKRKRSQDTSPSKQSGGADHSDDSDSAAANDEDDALHGSANGGETLGARGGDDDTMPDLREVEVLSSAEPVSGFPSATRRTISRLHPSVLAVIAADRALVAGASCASAPLAPALENISHGQLQVLAAMLPDHPSLSNDPDKPSSYVCTVPPLMECQGVPKQFYSKLLVVPRHSDWFSPATVHRLERQVVPHFFSGKSPGHTPEKYIMLRNKVIVKYLERPSRRLAFAECQGLVTSTAELYDLSRIVRFLDTWGIINYLAAGSVHRGLRLASSLIREEQTGELQLASAPLKSIDGLILFDRPKCSARAEDIASVASTSSALEVPNGDTGFADLDEKIWERLSENFCSYCSQPLPSLHYESQKEADIALCSDCFHDARFVPGHSSLDFQRVDGTKDGSDNDGDSWTHEETLLLLEGLEKYNDNWNAIAEHVGTKSKAQCIHHFIRIPVEDGLLERIVVPEASVSSRVQSNGFSYSNSNGGISGSFPQSSQPGQQLPFVNSANPVMSLVAFLASAVGPRIAASCANAALSVLTRDDSRMCSEGNDAMGQAARPNYDASSSVSPENVKYAAMCGLSAAATKCKLFADQEEREIQRLAATIINHQLKRLELKLKQFAEVETLLLKESEQVERARQNLTAQRVRFMSARFASSGGPMPGGSSSTMASNPMNQASPRPPAMPGSMPPACTFYGNNMQGHPAQMAFLQQQQRQQQQQQQQMLSFGPRLPLSAIHPGSSSSAAPSVMFNPGMPSSATPNHHSMLRPPPSGSNNSSFG >SECCE1Rv1G0015040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:119406356:119412576:-1 gene:SECCE1Rv1G0015040 transcript:SECCE1Rv1G0015040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) UniProtKB/Swiss-Prot;Acc:Q9M350] MLHELLLALLGFTGDFVLDNSSARRRPEPDEAGGAGDGDVGPAFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWIQSSVEVSSPNADITLKGVRKGSAYRRAIANGIAEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLYELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYHEFFIRRQVDRDEENESAQSDVADKFARKLAKDTSLTSWHSGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLLDPTNQSQTMKGSHRMQSFTGGSGALKELPNFSNISSEELLPQAEADKVDAMLKQLKHASEFHKRLFASAVSSIRTIAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLIVPFQLAALKTIGDEDKYFTRVSLRMPLFGMKSSTSQKDLQKSNTSDLSLQGKASSELALDGWHSIALEYSVDWPLQLFFTPDVLSKYRKVFQYLIRLKRTQMELEKSWTAVMHQDHVDFSDYCKDRKNSSATQLRRLRTKPFWRVREHMAFLIRNLQFYIQVDVIESQWNVLQTHVQDSHDFTELVTFHQDYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYETGANMFEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNFNSFFETTARGVMNSGRLRPGTASTQL >SECCE6Rv1G0433290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:757619244:757619633:1 gene:SECCE6Rv1G0433290 transcript:SECCE6Rv1G0433290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPNGVVLSADRTHLVVASTGQCKLLRHWIKGVNAGTSESFADLPSYPDNVRPGTKGGYWVALHHGKNELPFGRDSHRLAVRVSKDGKIVEEMRGPKKVRPIEIMERGNGKIYLGSEELSYVGVVKQV >SECCE3Rv1G0213460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961175077:961178851:1 gene:SECCE3Rv1G0213460 transcript:SECCE3Rv1G0213460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQPPRVVFRFTEAEVAKMEEVLKDLNAMAKRPVIQGLTDDFNASQDRSGDGKVPVQYNQVRTWFQNRRYKKRRSPPAQGKMLPTGAEAQHPASYRVQSNSESHSGNTSSDGRLVQLEAKSPKNGAWYDVAAIQSCRISETGDQEVQVWFSGFGAEEEEWINVGKSVRLRSLPCVAKECVDVLPGDLILCFQERKEQALYFDAHVLEVERRTHDIRGCRCSFLVRYDHDQSEEIVSLRKVCRRPSAVVKIDIVIDHSLAHKKAKKPHTVMDMNPNEVTKVPIPQDQGAPAQKPHKMLDMNPGSSLTYHT >SECCE6Rv1G0416700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649790560:649793138:-1 gene:SECCE6Rv1G0416700 transcript:SECCE6Rv1G0416700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTQAISVTLLMSFLVLATRGTDVRYRRQEEDSTQIFTYQGVNKTIQMEDGDVYDCIDVYKQPAFNHPLLKGHKIQMKPSSFPVWMDTQTFPSDYFSQAQPSIIKCPTGTIPILRSNGSSTIATHNIDGLKNNMQFERAGLRYIGDLFGARALINVWEPKVNKRSQDSSALWINIENGGGQHTDWMGAGLRVSPTLSGDAFVRFHVAWYDGYSKKSCIDFSCPGYVQVHHNVGPGSRIQPSSVYGGEQKVVDIEIFKERRSGYWWVSVNRMPIGYWPGGLFKFIRYKGDFAFWGGQVEGPTAALNSPQMGSGHFAWEGFGKAAFIEGIEIADDKGMFVTPDKSRVAHRSSDQSKFTADGFEVSKDLGMRIFYGGPGGSRRAS >SECCE6Rv1G0387240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:109815745:109821074:-1 gene:SECCE6Rv1G0387240 transcript:SECCE6Rv1G0387240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSVPLRNASALSSSLAGSRAAADPSKVSCVRSTGSAHFGCSFPSIAVSSSSARNIEPLRAIATQAPPVVPQFSSGEKTKVGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIKVVDDSTLEINGKKITITSKRDPAEIPWGNFGAEYVVESSGVFTTIEKASVHLKGGAKKVVISAPSADAPMFVVGVNEMSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDTRSSIFDANAGMGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALVTAKH >SECCE2Rv1G0074470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:76851735:76852127:-1 gene:SECCE2Rv1G0074470 transcript:SECCE2Rv1G0074470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFYIIVAAVLLCLFSAATIMLCPFSAATSMPHASSSYKEYFVYIERPPPEADIMDDGARNSWFQSFLPSNLTDLGKPRMVATFNITFHGFVAWLTEAELDVMSKKPGVSRCTEVQMLYPTAGSGFGW >SECCE3Rv1G0191890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:752135668:752140663:1 gene:SECCE3Rv1G0191890 transcript:SECCE3Rv1G0191890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNVIGQQVSEESAKDFDKTMANTDYSHELNNINGEKDTEKDGTKDVDMSEVLKVPLISSGVDKQMVSDQTKLSAANEDLDEKNGGSPLDHTSTEDDDGNNNLPTEQDKEKDGTQDVDMSEVLKAPVISNGGDGQMASDQTKTSAANEDLDEKNRGSLDDHHTSTQDDDGNSNLPTEQGDETQEDNGTETATNSAETVAHVSTTTEDITSNKDTSSSDEQFTQVEHETTEKNEENTTANPAMLLEEAIEGLPEDEEESCSQEVAVVGDNEVGEDTVEDLEGQTGVSTVVGDNLVGETTSSTESIISGYVDDKSKEVAVEDEPRERENSSYARPEGDTNQETFKNEDKQEICEIGKINTCKTTTEDTVVESPEVLEEDDKIQGSENQEEEFPRCSAYDAPDIVEAVSHFQLALADPSATNGKEFQKHELSIAEQMSDSVSAAMEHNVIETAEKEQGVIVEKDVADKNEEDNFEGGKKSDGMSEVLKDAVISNGGNDQMASDQTKISAANEDLDEKNRDSLQDHTSTQDDDGNSNLPTEQGDESQEDSGTETTTDGTEKVAPVGTTTEDMTSSNETSSSDEEFKQVVHETTETSEESTIPNPLTLLEEIIESAPQDGEEYCSQEAAVVDDNLVGKDTVESLEERQAGVSAVEDLWTPSSVQGETTSSTESIITDHLDDETKDVALEDEPRERGNSSHVQPEDDTNQETSKKEEKQKICEMGNNNTCKTITVDTVVENDEVPEEDEKIQGLENQQEEFPKCSAYGVLDIVEAVSQFQPALADPSATNGKELQKHELSIAEQMSDSVSAAMEHNVIETAEKEQGGIVDSYVADKNEEDNFEGDKKSDGIHDSLGLAKVHREDFTGLGPPLSGPLPILNEEKVHEEVIIEELAAPMTATSYALQPLEDFVKEDIKPDSSDSNEGTSTSTYEANTIDTQETMNSSQCDQPQQLLLEDPEVVKFENSETLSACMKLVKYSSATEFIFPNVFEKEREGTSDKATCFTSESNQEKVTGTVCLPAESKQKKVIVNADNSSEEQCLLQKPTLGTDVGEETPFLLSTESINSLSYSSEQHNNVVKDIPITNITLMQAKDEAVEESEKSPLLSPREPSEGAFGAPNHSARNNKPLRSLMTEDGVGMWSPLKEQEPVRKNSTTVSSPRSKEKQKPKSSFFAICMCCTTATN >SECCE2Rv1G0082530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:152581840:152588496:1 gene:SECCE2Rv1G0082530 transcript:SECCE2Rv1G0082530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAGFGRGRGDGAGRRPGGPAGFRPAAPRPAAPAVPAAASTSSSSSSRAPPSSATMAPADALARDVGRLTVEAPPAPRSSAPAMAGAPAPRSSALVAAAPPAPRSSAPAAAGAPVLRPPAQPAAAGAPALRPPAQPAAAGGSQRESQAPARAPPAAAPVSSKSMAPPARPDFGTAGRKMIVRANHFLVGFADKDICHYDVAITPEPKTRRINRVLMTELTSKHRASSLGGLLVAYDGSKSLYTAGELPFQVMEFSIKLGKPPRETEYKVTIQFAARANLYHLQQFLRGRQRDSPQDTIQALDVVLRESPSLNYVTVSRSFYSTIFGQRDIGDGLECWRGYYQSLRPTQMGLSLNIDISSTPFYKSIPVMQFVQECLNLRNVDPNRPLSDGDRLKLKKALRGIRVETTHQEGKRSAYKITGITSVPLIQLNFPLDEGNQMTVVQYFWGRYKYRLRFTSWPCLQSGNDYRPIYLPMEVCTIIEGQRFTRKLNEKQVTGILRATCERPRDREKSILEMVKHNNYSADKLAQEFGIDVTDKMVNVQARVLPPPMLKYHDSGKDKACAPSVGQWNMIGKKMINGGNVQSWACLNFSRLQIDGVKRFCADLVKMCNAIGMVFNPMPVVEILSASANNIEGALKHAHQSAPNLQLLIVILPDVTGHYGKVKKVCETDLGIVSQCLKPDKVARANKQYFENVALKVNVKVGGRNTALQQALTHQIPLVTDEPTIFFGADVTHPAAGDDSSPSIAAVVASMDWPEITKYKAVVSAQPPRQEIIQDLYCIATDPEKGTPVHGGMMRELLISFFQKTKRKPRRIIFYRDGVSEGQFAQVLMYEMDAIRKACASLQGDYQPLVTFVVVQKRHHTRLFPEVHGKETDKSGNILPGTVVDTNICHPTEFDFYLCSHAGIQGTSRPTHYHVLFDENHFTADGLQQLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARYYDEPSEGSDSASVVSGGTRESAATGAGAAGPPMTFRRLPRIRDNVKDVMFYC >SECCE2Rv1G0102900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:585256299:585258755:1 gene:SECCE2Rv1G0102900 transcript:SECCE2Rv1G0102900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFNMLLHLLLLGLIFTLQIHASSAAINSIAPGQGLVCGAGDKLFTLNGKFALGFFQPGSKSHNTLNWYLGIWFNKVPKITPVWVANRDDPITQPALVRFTISQDGNLVILDQSTNSMIWSSGVSFRTTTTTIAMLMNNGNLVLQNASNSSDILWQSFDHPTNTFLPGAKIGRDKVTGLTRRLVSRKNSIDPARGRYCYELVPNRFILTLLNSSIVYWSSGEWNGQYFSSIPEMLSRNLIDFKFVNNTNEEYFTFTLLNDTMIMHHLLDVSGQMKTLIWDEVSQDWLGSYSNPKAQCDVYALCGPFTVCDDNSTPYCSCMKGFSIRSPEDWEQNDRSGGCLRNIPLNCGSNRSTAGMTDAFYSLYNVKLPQNADNIGAATSARECAEVCLRNCSCTAYSCTDSRCSIWHEELLNVKQQHADTTETNDGAVLYLRLAAKEMQTQKPDRRVTTRILAAAIVTALGLLALILLVLLLMIRRNNRSWSGGTLKNPQDGGGIIAFRYSDLQRATRNFSEKLGAGGFGSVFKAYLTDSATMAVKRLDGACQGEKQFRAEVTSVGVIQHINLVRLIGFCCEGERRLLAYEHMPNRSLDIHLFQDNNAVLNWGTRYKIALGVARGLAYLHESCQDLIIHCDIKPQNILLDASFAAKIADFGMAKLIGRDFSRVLTTARGTAGYLAPEWISGVAITAKVDVYGYGMVLMEIISGRQNSFEQYSTVGGDCAVFFPVHAAQMLLEGDVACLVDEKLSGDVNLEEAERLCKVACWCIQDDEFDRPTMGEVVRILEGLSDLDTPPIPRLLQAITRRSSHPPSTYLCNESE >SECCEUnv1G0529160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6648203:6649215:1 gene:SECCEUnv1G0529160 transcript:SECCEUnv1G0529160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVMAWWRARVVAPVRRACRLAVAAARARVRKGECGVIKLHQDVQTCGYHDVQVMWDMLSSDKDAAVASAQAKQQQQQQQQRRKRPFWRLPPFWAARSPRAAAVQ >SECCE5Rv1G0318280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:359076327:359082378:-1 gene:SECCE5Rv1G0318280 transcript:SECCE5Rv1G0318280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEKPCRTRTILCFLLLFCVSCKCLASEFEITQVASLGVDASPHLARKIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIEPWSIIGDDSSIFVGTDRTSCFSRNKVALRMEVLCDNCPVGGVGIYNPGFWGMNIEDGKTYNLVMHVKSPETIELTVSLTSSDGLQVLASAAIRVAGGSNWIKLDQKLVAEGTDRTSRLQITAKAKGVVWLDQVSLMPSDTYKGHGFRTELISMLLDLKPQFLRFPGGCFVEGSWLRNAFRWRDSIGPWEERPGHYGDVWNYWTDDGLGYYEFLQLSEDLGAAPVWVFNNGISHHDEVDTTAIAPFVKDILDSLEFARGSAESTWGSVRAAMGHPEPFPVKYVAIGNEDCGKENYRGNYLKFYNAIREAYPDIQMISNCDGSSTPLDHPADLYDFHVYTGSKALFSMKNTFDNTSRSGPKAFVSEYAVTGNDAGRGSLLASLAEAAFLTGLEKNSDVVHMASYAPLFINDNDRTWNPDAIVFNSWQQYGTPSYWMQKLFRESSGATIHPISLSSNYSGSLAASAITWHDDDNSFLRVKIVNFGPDDVSLAISATGVQGSINALGSTATVLTSGSVMDENSFANPNKVVPVTIELRDAAEEMEVTLPPHSLSAFDLALAQSRLVAEM >SECCE2Rv1G0082110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:148016291:148017250:-1 gene:SECCE2Rv1G0082110 transcript:SECCE2Rv1G0082110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMPVMAPSAPCSPRTAAAMSSGGSHLPSYCYFFSSAPTSPSRASSYAADEQGDAATFDFAFGFSGQLRESTPILAAADELFEGGRIRPLNGPRSSGSLLPDEDYTSLSVSPRSPRRARTMSAVRGGAGDRAEASADQGQTRGRSGRPAPASSSSGVSSRSRRATRSLSPYRGDPIDDEFCSSPPSPRGAASLMRGCGSGSRKWRLKDLFLFRSASEGRATAGKDPLLKYSMLKSGGDASMRKGRGSAASASDMAPYTVSRAAAEEMRRRTTTPLPFHRNSLFGYFRSNPAIHSISRKLSSYSSSSNRGRNATAAAN >SECCE4Rv1G0280400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:820446787:820447146:-1 gene:SECCE4Rv1G0280400 transcript:SECCE4Rv1G0280400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAKEKVKDGVSAAKAKTKITQAKASEKAEAATARSHAERELAHERGKAKVAAAKMELHQDKALHREEAMEHRIHKHGGGHGRHHHKHGVGIVAAPPPATGAGAGAYYPPPAAGGHYY >SECCE6Rv1G0395610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:335162463:335163131:-1 gene:SECCE6Rv1G0395610 transcript:SECCE6Rv1G0395610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHMTTTVFFAIVIMLLSSAIAVQSSGDVGGKPKPTDFMAEACKNASKWSHGYNEGYNYVTPEFCISTLQSDNRSANAKDHRDLALIPVDILKERVVTAGGNVKKMLHNTKNSTSTTARHLRICELDYAATAGVLNFCDALLRGYQGDQRSETEHNDGPLNFELPECVNMVNKVSEYCALALLHIPGAEALVKEGDELQMLIDLSIALLSPYRLDTHVDS >SECCE5Rv1G0321730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:409140176:409141390:1 gene:SECCE5Rv1G0321730 transcript:SECCE5Rv1G0321730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADERRATAGNKPTIASRVASICAMLDEHDATETPMSASRVAAVYSMIAEVANAAAEARKIGRRRRKPCPPAITRRYEYEELRLLGDGATADVTKARHHATGHTVALKTIRKDCCSVGAGAGVILREACFMAACHGHPALLPLHGLARDPDTGVYSLVMECLGPSLHHVLRDRVARTGRPFPEASVRLIMRQLLSGAEELHKNLIIHRDIKLKNILVAVDGAVKIGDYGSALSIAGQNADDDYLAAGTRNYRAPEMLLEKPGYDTLVDMWSLGCVMAELLTGEALFNARCDSDQLHMIYDVFGVPGKREWKPYESSFVADKVPVWRREQAQQRRRGQWNGDRLRELFPEEILSKEGFEVLKGLLACNPNKRLAAAAALKLPWFAGNEDAPDTDRRSCNHNFL >SECCE2Rv1G0084870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:181913890:181914150:1 gene:SECCE2Rv1G0084870 transcript:SECCE2Rv1G0084870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEMPASQRFLFFLLAFLLLAATTAAAGGDDHAAGTSQAGAAEARPPDAAFDVRARKWWPRVPATDGLVRGSERRVPNSSDPLHNR >SECCE3Rv1G0213890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963212398:963213675:1 gene:SECCE3Rv1G0213890 transcript:SECCE3Rv1G0213890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALRRALAPSPALLLRRQALVRLLSTQAQSSTAPTISPAELVRIKNSIRSAATPPDELAALFLKGIPHTPFLGDRSIFSLAVSRLTAAARPDLVASVLSASLTALPAPHPSEGFLIRLIALYAAAGMPTHSLSTFRLVVPPSDRALSALLAAYHDAGQPARAIEAFRDLQAELSITPGVVSHNVLLKCMVATGDVAGARQVFDGMPDKAGVQPDIVSCNEMLKGYLKTGDHAAFDLLLKEVTGGKRRLKPNVTTYKLRMSALCAKGRSFEAEELLDAMGANGVPPNRECFNTVIGGLCKEGEVGAAVALFKKMPEVPRLNGKGVSPNFETYVMLIEALVENNAFVPALEVCKECLANKWAPPFQAVKGLIQGLVKSRKVKHAKELGMAMKKTAKGDAKKVWAWEKVEAEAFQLALAEMKA >SECCE6Rv1G0399590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:442200066:442204619:1 gene:SECCE6Rv1G0399590 transcript:SECCE6Rv1G0399590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNKFQEAIKTLAKSPSFARDPRHLQFDADVNRLFLYTSYNRLGEHSEEKDAEEIIELASKASVTDQQKQVQQNVHYQLKHMCKSMDSILRPDTKDPSQSPSDAYNNSRRSGLSLAVGAGVAAANKPAVPATRPLTRAELSNKFRDQFGYTLDIKPSLIPHKDAGQGLFLSGEANVGAVLAIYPGVIYSPAYYRYIPGYPRIDACNSYIITRYDGTIINAKPWHLGGETREVWDGSDPVDYSTTPPKSPESNSDRAWRMLNKPLQKSGSENFGDVLERRNPLAFGHFANHPPGGSTPNVMICPYDFPLTEKDMRAYIPNIAFGGEEPVTMKRFGSFWFKSRAPGKQTGESPVLRTLVLVSTRFVCDEELFLNYRYSSSKGRPEWYTPVDEEEDKRRWS >SECCE7Rv1G0520420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:868559634:868561877:-1 gene:SECCE7Rv1G0520420 transcript:SECCE7Rv1G0520420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKLLAEVSPQELLGALAELHNHMLGYVKCMSLRCAVDLGIPDVIHNRGGTATLADIAMDTKVHPAKVADLERVMELLSTSGIFTRGAGVGDAEVYGLTTACRILVGWRNLSPMVPFLLNPLVVSSFFSMPEWFRSEPMVTAAGSLFELEHGCSQWEMVSKDAAFNDVLNHSMAADSQVFLEVVIMDKGRIFRGLGSLVDVGGGNGAGTKVIAKAFPRITCTVLDLPHVVGKAANDGNLRFVAGDMFESIPPADAVVLKNILHDWGHEDCVKILQRCKQAIPARNAGGKVIIIDMVRGSAPGDRKISEMEATQNVFMMCISGMERDEIEWKRIFSDAGFNDDYKIMPILGPYSVIEIYP >SECCE4Rv1G0274510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785342871:785344004:-1 gene:SECCE4Rv1G0274510 transcript:SECCE4Rv1G0274510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSKLCVASGSAQPAVSGLHGWADLPDGLLESIIALSGSFQPDLPSNNGLHELHTFKVIDPTNKNVALRCQIPRDIYHEMNFVGNSYGQLIFCHREYCLLVDVFNGAEVSAPPLPFNRDFRGLDFSATLTAPLASPNSHLLISTVNTLFDWPVGSESWSELQLHNTCIVQIVEFKGQFIAMDDCCKIYTLQLSPELGLQKMTYVDLPITFVDLWLVACGDMLLMVMKHPSFPKVKYIPYQLDLSTNPANWVEVRHLNDWALFVGCAVRSRPFSCMSPERWGGRSNSLYFAGHYSFVLYGLGIEGDVKLEHKRNWLCKLQPLWVYPSMFYSNSQ >SECCE2Rv1G0068040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:21725216:21725920:1 gene:SECCE2Rv1G0068040 transcript:SECCE2Rv1G0068040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSSGSLFLMLLCFVTLLPSPVNARHVPRIHGSTSAIHGFHWQFSRSRDGSGSGHGHGSGDGHGFGWTMSRNGSDTTIGAGGGMGGGVGNTHEGEGGSAGGGVGVGVGVDVDKDGIDVGLGGGGSGAASAHNDGASMGLGGGVGFGFHIGRGGVSVTVTHGDGGGGGDGSAAGASGGGRGVGRAGNAVGSGQGSGSASDGTGSGGGSGSGSGPGGSGGGEGGGAGGSSGHP >SECCE4Rv1G0281710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826778121:826779293:1 gene:SECCE4Rv1G0281710 transcript:SECCE4Rv1G0281710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEKQMFESNTKSRLESHPGLTDDLILEIFSRLPARSLHRFKCVSVSWRDLITDPANRNKLSQTLAGFLYTSPGSSDHHIASVSGDGAAPFDLSLPYLRNNKDDKGITIVDACNGLLLCRRHKKNKATPWKEDDFGFVVCNPITGRWVELPPRPQAPPRRYTHAAGLAFDPAVSSDFHVLHFEETYTGSYIIGVNIYSSRKGVWSHRDCWMVEKVTLFSRSKCVFAGGMMYLMGNLEEMNGEYVLVGVDMEGKVWKTIRTPYGRRFGTIGLSQGCLHYVVAFVGDYNAIEVSEIALWCLKDRDSKELVLKHTVNINKLMSMTGKMYMVVEIHPDCDTIFLISFRGDTLVAYDMRHQKVGCILNLEKNTRRFLPYVPLFSESLADEDGR >SECCE4Rv1G0248300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:570329089:570331103:1 gene:SECCE4Rv1G0248300 transcript:SECCE4Rv1G0248300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLRFPPAFALVQLAVTLLAVLPRHVVPDLAGDRDALLTLRTAVGNHLKWDLSVSPCQGWQGISCSPAPRQRVVELRLVGKSLSGQIPVGTVGNLTALQTLSLRFNAISGPIPADIGSCAELRWLYLKGNRFDGGIPESFFSLALLKKADLSENRLTGGVSSEFNRLGNLATLNLEGNGLNGALPSGLDLPKLTQFNVSYNGQIDGPVPASLAGLPASAFLGTALCDGPLAACPNSEAHKSRKLTLGAIIGIIIAALVVLIIVLTICVLVCLSRRRRATAGRSTEVAADVHEGTEPITVTVAMTDRDAVKRSHTPPASPLIGDGKKLVFLGSAPERPYDLETMLRASAEVLGKGVHGTTYRATLDGGEPVLAIKRIRDVHLPEREFRDRVVALGALRHDNLPGLRAYFYSKEEKLLVFDFVGAGSLSSLLHGSGAERLDFTTRARIALAAARGVAFIHGGGPKASHGSIKASNIVVSVARDGAYVADYGLAQLVGTAELPKQGTGYRAPEVTDARVVSQKADVYSFGVVVLELLTGRAPTHALPDGGAGGSGVDLARWVRSVVQEEWTSEVFDSVIGNESRVEEEMMRLLQLGMECTEQHPDRRPAMAEVEARIQRIVEDACRRADFSSTDGSRSVSA >SECCEUnv1G0552370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:249563981:249564286:1 gene:SECCEUnv1G0552370 transcript:SECCEUnv1G0552370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLEIILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRINQSNLLNN >SECCEUnv1G0544200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:125393976:125394905:-1 gene:SECCEUnv1G0544200 transcript:SECCEUnv1G0544200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSMAVALLVCAMLLQTCVATRRLTALVQEQPITMKYHKGALLSGRITVNLVWYGNFSAPQRAIVTDFVSSLTSAASPAQPEPSVATWFKTAQKYYASSKARFPALALGSHVLDQSYSLGRRLREKDLVRLAARGGPSRAINVVLTADDVAVDGFCMSRCGSHGASARSRAGRFAYVWVGNPATQCAGQCAWPFHQPQYGPQTAPLAPPNGDVGVDGMVVSLASMIVGTVTNPFGNGFFQGPAEAPLEAATACAGVYGKGAYPGYAGSLLVDSATGASFNANGAHGRKYLVPALVDPDTSACSTLG >SECCE1Rv1G0003600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14789725:14789958:1 gene:SECCE1Rv1G0003600 transcript:SECCE1Rv1G0003600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGQSVARGCPTGEEKKTSWPELVGKSIEEAREIILKDMPEADIVVLPTGSAVTMDLRSNRVRIFVDTVATTPHIG >SECCE2Rv1G0079050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:114160701:114164114:1 gene:SECCE2Rv1G0079050 transcript:SECCE2Rv1G0079050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTQVKRTQVINQKEAALGLSEDASWHAKFRGSAYVFVGGVPFDLTEGDLLAVFAQYGEVVDVNLVRDKATGKSKGFSFVAYEDQRSTVLAVDNLNGAKVLGRIIRVDHVEKYKKKEEEDEEELQKKREERGVCYAFQKGECNRGDACKYSHDEKRNANTGWGSKEDDPKWEHDRHRGPQNKGESRGVCYAFQKGECSRGDTCRFSHDEQVAVQGRGVCYAFQKGECSRGASCRFSHDEQRNANTDRGSRENSNARQQHDHDPPKSHKNFPDRTKEEARLGDRDGQSSRSELYRDRDSRTRYGDRDTKDRDRNMHEKSPERSRGDRQRGDDRGREDRSDTNDRDRNGHEKSPERSRGDRQRGDDRGREDTSDIKDRDRNGYEKSPERPRGDRHTSDDRRREDRSESKRSRHDRDSGVRYERRGDEEEERYRKSQR >SECCE4Rv1G0277190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:802056203:802056880:1 gene:SECCE4Rv1G0277190 transcript:SECCE4Rv1G0277190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGGDSEICNECVEACWLACCCAFFLDAIGGKALTRVACAIVAFVVLAAGVTLLARHHIYRPPLGVTVDDAALARLALADRNATATALAYDVSLAVALHNHHWLRRAEHTAPLDAELLFAGARFARVALVDAGASVRAGNMEVYHAVAAADRAGVALGSAGVAEFVRESTAGVFQLDLKVAGELRFPPGRHLQRLDAVCPLELPLSTATSPATFRKVKCAVFTS >SECCE5Rv1G0305910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:79050455:79054360:1 gene:SECCE5Rv1G0305910 transcript:SECCE5Rv1G0305910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVVLLLAVLLPHETSCSAAAASDGGSQCSRRCGSTTVPYPFGFSVGCPIPLSCDASISTAILPYIGDNGTTYRVIVFHPNTSTVLVGLPPSCSRSVPEARRALSGGNYGLSSRTGLFLRGGCHSNDNTSVCSVPAPIMAKLLRTAQCGEKGNDTSAPATVACVASAGQNTTTTTTTPANQFLRWDKVEKPKCDDVLTSAVYMETSEGTTSLEFSVAELGWWLNGTCTRGGEPCAANATCSDVITPSGEMGHRCECVAGMEGDGFSAGDGCYLGAAKRVSKRNVAFIVAGVVVGVAAAAGVLLLLCWAQRRRSGGKGRQGPSRIAAMRLLSEAATSSGVPVYSYNEVARATNSFSHTHRLGTGAYGTVYVGRLPANSTALVAIKRLRCRHDDHDDDGDGRAVALLLNEIRLISSLSHPNLVRLLGCCLDRGEQILVYELVPNGTLSQHLHGDGEGGSSTLPWRARLGVAAGTAAAIAYLHAARPPIFHRDVKSGNILLGADLRAKLADFGLSRAARGAEDASRSHVSTAPQGTPGYVDPEYHQSFHLSDKSDVYSFGVVLLELITAMKVVDFGRPANEVNLACLALDRIGKGRVDEIVDPALLRHGEEWVMESVRHVSELAFQCLAFDKDVRPSMSEVAAELCRIRDAAPDTGMTDLVADVGLDGPDTTAAKKARSPVSVQEVWVSDRSSQSTNGSMPRFS >SECCE4Rv1G0286900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:852083880:852108013:-1 gene:SECCE4Rv1G0286900 transcript:SECCE4Rv1G0286900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTISRIRLENFMCHSSLDIELGEHVNFITGQNGSGKSAILTALCIAFGCRAKNTQRAATLKDFIKTGCSDAAISVDINNQGEDAFKPDVYGNLIKLERRITKSSSSTILKDQHGRKVAHRKDDLNEIVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQRVSELLETIRDRVNKADSDVQELEKSIKPEMRELDELREKIKNMEHIEEIANDIDNLKKKLAWSWVYEVDQQIEEQTKKLQKLKERAPLCQERIDRNEVVIDKLKKELIEKEENLRSLVGKTCEENNMKKSMEDNIAEAVKRKIELEAEHERGARMLQRKNGRMNQLQAQLRDLQMQHMQFTQAEDSQMEKDVQNIQQQIDYLHSDVTRLREDEKEFTEELSGIQKSINEISKEIAQNDKKIKQVKSDIGDLQLQQSNKVTAFGGRRVLKLLESIETNQKRFKSPPIGPIGAHLQLASESWSVAVDRALWRLLDAFIVSCCKDLHVLRECASKVYYHNLRIIVYDFTRPRLIIPDGLLPTTKHPTVLSVIQSENHIVLNVLVDQQHLLKLLCFRVMLKGRSWLKIMKWENLLHLMIGFGI >SECCE5Rv1G0315720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:294646428:294664860:1 gene:SECCE5Rv1G0315720 transcript:SECCE5Rv1G0315720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MTMNFRDWISYRLGSPLLSARPFAISGSDAGASQGDGEGTTQSEFVETVSANRFPSNDTRALEVTSNPQDAVSSGLLQPDHDHNKSDPLKQVEALQIKFLRLVHRTGVPPSTKVVAQVLYRLQLANLIKAGESDAKRTNLATNKARVIAAEQEAPGGPDLDLPLRILLLGKTGVGKSATINSMFDETKVATNALVPGTSRIKRVDGTIKGVRVTVIDTPGLVGHYHSQRRNRKILHAVKRFIKRNPPDIVLYFERLDHINSRYSDYPLLKLITDTLGSSIWFNTVLVMTHCSSSPPEGPDGYPLEYDAYTRYCKNVVDHHIQLAASNTQLENPIVLVDNHPMCRRNTRGERVLPNGQVWVSELLLLCGATKLLAEANSLLKFQDSFLLSQANARLPSLPHLLSSLLKPSASSSSEGVDNEMTELSDEEDEYDQLPPFRVLKRSEYEKLTKEQRTAYLDELDYRETSYLKQQWKEGIRRQELAEAQNSEVSSAVADDYEESTSPEVVHISDMEIPLSFDSDYPAHRYRHLITNDQLFRPVLDPQGWDHDIGFDGINFESCHDLRNNISTSIAGQMRKDKEDMYIQSECSVSYSDQRRYSLMGGMDMQTAGKDLVFTVHGDAKFQNLPWNTTGGGISVTKFGSKYFFGAKLEDSITIGKRVHLVANAGRMVGAGQVADGGGLEVTVRGKDYPVREGSTSMAATALSFKKETVIGANLQSVFRVGRGSKLSVSANVNSRNLGRLCVKTSTSDHVEIALVVAVSLVQFLLRRRLLPTGKGHQQLDTDLDE >SECCE4Rv1G0292720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883664386:883671930:1 gene:SECCE4Rv1G0292720 transcript:SECCE4Rv1G0292720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDKKSFGDRAVQAKPPELEDRLTKSRKKKERDAAAGGGDADADQPRKRRRRSSAAAQREESVLSLADDVVYKPQTKETRAAYEAMLSVIQQQFGGQPLDVLGGAADEVLAVLKNDKIKNPDKKKEIEKLLNPISSAMFDQFVSIGKLITDFHDASDPAAAPSGDGGDATMDDDIGVAVEFEEDEDDEESDFDQVQDDLDDDDDDVAELNRPGGMQMGGELDDDDMQNSNEGLNINVQDIDAYWLQRKITQAYEDIDPQQSQKLAEEILKIIAVGDDRDVENRLVMELDYEKFDLIKLVLRNRFKIVWCTRLARAEDQEERKKIEEEMMDNPSLAPILEQLHATRASAKERQKNLEKSIRDEAKRLLNNDSAGADGPRERRAVDRDTESGWLKGQRQLLDLDSLSFHQGGLLMANKKCELPEGSFRTPHKGYEEVHVPALKPRPYGTGEKIVKISDIPGWAQPAFAGMQQLNRVQSKVYDTALFKPDNILLCAPTGAGKTNVAVLTILHQIGLHMKDGEFDNSKYKIVYVAPMKALVAEVVGNLSARLKDFNVNVRELSGDQNLTKQQIDDTQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVSRTVRQIETTKEHIRLVGLSATLPNYEDVAVFLRVRSEGLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMASAGKHQVLIFVHSRKETSKTARAIRDTALANDTLTRFLKDESASQEILGSHTDIVKSSDLKDLLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADIMETDKTLDERRADLVHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLGSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMIDKQMWSVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRFPKMGKQLHRCIHQLPKLNLSAHVQPITRTVLGFELTITPDFLWDDKVHGYVEPFWVIVEDNDGEYILHHEYFMLKKQYVDEDHTLHFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNARYEGLYSAFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQKAVSGETNMRVVYIAPIEALAKERYRDWSKKFGEFARVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKPVQQVSLFIVDELHLIGSEKGHVLEIVVSRMRRISSHIGSNIRIVALSASLGNAKDLGEWIGATAHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMAKPTYTAITQHAKSGKPALVFVPTRKHARLTALDLCAYSSAEGGGTPFLLGSQDEMDTFIGGVNEETLKNTLRCGVGYLHEGLSDLDQELVTQLFLGGRIQVCVASSTMCWGRSLPAHLVVVMGTQYYDGRESAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESHLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLNKNPNYYNLQGVSHRHLSDHLSELIETVLNDLESSKCVAVEEDMYLKPLNLGLIAAYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPSRPGEEEYIERLVRHQRFSIDKPKYGDPHVKANALLQSHFARHTVVGNLAADQREILLSAHRLLLAMVDVISSSGWLTLALNAMELSQMVTQGMWDRDSVLLQLPHFTRDLARKCQENEGKPIESIFDLAEMSIDEMRDLLQLSNSELQDVVEFFKRFPNVDMTYEVREGEDIRAGDNVTLQVTLERDMTNLPNSEVGPVHAPRFPKTKEEGWWLVVGDSSTKQLLAIKRVALQKRARVKLEFTAAAEPGRKDYMIYLMSDSYLGCDQEYEFTVDIKEAGGD >SECCE4Rv1G0252700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:617176699:617178692:1 gene:SECCE4Rv1G0252700 transcript:SECCE4Rv1G0252700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWPNPWLPLVLVAALLAFEDWLSTPSCSGGSPAPDLAAGDLRAMLVADLMLLGSDATYADRFFRDHVTSKLFTKSIQTTNPDVIIVLGDISARGSEHTESKWIAVLEQFEGILGQYSSLPLHIVLGDKDVGGCSSLNGKLVHRMAKHLPGLDSSGCGAFEFSNTSFVSLNAVALLCGDNTLRFSVEKVMEKESHRFQKRRLNGEEHSPLGSENGQGVGAHSWRQNSMTLGSGPVLLLHIPLHKSQKSNTGVTGVPMFPDGTVANRPLVSPSSKQSGVDGRRLYDRSQTLPANSTEYILQALKPRIIFSAHADNFSDYTHPDGTREVTVPAMTWKKGGMPGFAIATFGQKGVVSVNCCWLVQEWYIMTGYSVFFFLTALAIRWSHWI >SECCE1Rv1G0017030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:146418816:146422595:-1 gene:SECCE1Rv1G0017030 transcript:SECCE1Rv1G0017030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRTLFKGVIEDFRGRASCYKQDWHNGFSSGFRILAPTLYIFFASALPVIAFGEQLSKDTDGTLTTVETLASTAICGIIHSVLGGQPLLIVGVAEPTIIMYTYIYNFAKNQPNLGERLFLAWAGWVCIWTAVMLFLMAMFNAAAALNRFTRFAGELFGMLITILFMQQAIKGMLSEFSVPEGKDQSLPIYQFQLVYINGLLGVIFSMGLLYTALKSRSARSSLYGTGWLRNLIADYGVPLMVILWTALSYSLPSKIPSGVPRRLFTPLPWEPKSLQHWTVAKDLFSVPLAYILLAIVPAVMVAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILVLSFSVLICGLLGIPPSNGVLPQAPMHTRSLAVLRRQALRKQMVRTAKEGMMNNASSSEVYGKMQEVFIKMDDKGNEDSAHKELKELKDAVITEGNGAVTAPEVFDPEKHLEAYLPVRVNEQRVSNLLQSLLVAGCIGIVPVIQKIPTSVLWGYFAYMSIDSLPGNQFWERIQLLFIAPQRRYKVLEGAHASFLESVPFNQILAFTVFQLIYLLIVWGMTWIPVAGILFPLLFFLLVLIREHLLPKFFDTRHLWELDASEYEECEGMRREPSIPEGDGESITRGIEAPVEYASEVMEEFTTHRGELKHRAPSFRDERLIRLNSVQMTRQFSRVASFAPTRP >SECCE4Rv1G0267050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734338019:734339305:-1 gene:SECCE4Rv1G0267050 transcript:SECCE4Rv1G0267050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGDEKLYLLVASALLLLAVGCQASPLQIGFYHDRCPQAEAVVKGVMMDAISQNPGNGAAMIRMLFHDCFVEGCDASVLLDPTPFSPTPEKLSPPNNPSLRGFELIDAIKDALEAACPGTVSCSDIIAFAARDASCILSAGKVDFEVPSGRRDGTFSNASEPLKFLAPPTSNLSDLVDSFVVKGLDAEDLVILSGAHTIGRSHCSAFVPDRLNVPSDINGGLAAFLRDECPADAAPGGNDPTVMQDVVTPNDLDMQYYKNVLSHTVLFTSDAALLTSEQTARMVVDNANIPGWWEDRFEKAMVKMAGVEVKTGDQGQIRKNCRSINYY >SECCE5Rv1G0376350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872190517:872198799:-1 gene:SECCE5Rv1G0376350 transcript:SECCE5Rv1G0376350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGYLQSYASLCGLALIVACWVVHWVYKWKNPSCNIGRLPPGSMGFPLVGETLQFMRPSPSLDIPAFYKQRLKRYGTLFKTNLVGHPVVVSMDADVNRFIFQQEGKLFRSWYPDTANSIIGKDSMANCDGSVHKYVRSFAARLFGLDSLRDVLLAEMGRSVAQNLAAWAAEPVIEVKEAVATMIFDHMAKKLIGFGRNKSRKLRKNFDAFFQGMFSFPLYFPGTTFYGCIQGRKKVQKVLTDLLKERLSIPHKRHGDFLDEVVDELQSGTGMMNEKFAVDFVAALLFAAFATVSSTLTIGMKFLTDYPNVVESLKEEHEAILKKREGANTSEITWDEYKSMTFTAQVTDEIVRLGSMAPGIFRKTLTDVQLKGYTIPAGWLVMVSPMAIHLNPEFYEDPLTFNPWRWQDESKKSTLLKNFTPYGGGIRHCLGAEFSKVQIAVFLHTLVTNYRWKEIKGGDVQRITEVVFPTGYHIQIIPREG >SECCE5Rv1G0328060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:499461374:499462372:-1 gene:SECCE5Rv1G0328060 transcript:SECCE5Rv1G0328060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDPVMTSSSRRRGGCGCKESCHGHCLPPHSAKCLCLYLLLSLILLVLAAAVLFVVFVTRLKKPTLYLQSVQMDRSFSLRPSSSNRSGDNASSCSVASLLFAAQNPNGIGIRYSAADLGVAYANESVGAMDVPVFYQPPRSSNVTVLMHAVFEESNVSRLVVSELSAQRKLVEIRIAGSIDATTHIMNFPLPKLHFTLDCRIATNYTDIVLREGIESAAIRKAIQVSALPHISQKCSIKLDMKSRGKRTRLADLGC >SECCE3Rv1G0171480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:271684672:271684944:1 gene:SECCE3Rv1G0171480 transcript:SECCE3Rv1G0171480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISILGIRGILLNRRNILIMSMPIESMLLAVNLNFLVFSVSLDDMMGQSFASLVPTVAAAESAIGLAIFVITFRVRGTIAVESINCIQG >SECCE6Rv1G0447930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:852448893:852452510:1 gene:SECCE6Rv1G0447930 transcript:SECCE6Rv1G0447930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIGVASGLIGTLLNQLSNELVEAYVASAKLGLNAKKIKDDLLSTQGLLHEARRRGVSDNPGLNGLVQQLRDKADVAEDALDELHYFIIQDQLDGTKYAEPDLGDGLRRHGCHAVRHVVGNWLNCFSCSPMQDDVAPSVDVTSKSRNTTNLDSTNDGPLDKLPFDRVSMSNKIKSVIEEIHSLCDRVSKLLKVTPHQNNTTNGGLNRAPTGSTSVENKLYGRSAIFEQTIQDITCGTYHGETLSVLPIVGPGGIGKTTFTQHLYSNRRTEEHFTVRVWVCVSTDFDVLKLTQQIHNCIPATEKEDINVDNLDQLQKSIIHRLESKRFLIVLDDIWKCDSDAEWKTLLAPFTKGEAKGRMVLVTTRFPKIEERVKKGFLSQPIHLQGLDPHDFFEFFLACVFGENKSDQKHSELIDIGKEIAQKLKCSPLAAKTVARLLEQDLHWEHWRKVLENNEWKNQKSPDDIMPALKISYDYLPFHLKQCFPYFSLFPEDHRFKVVDFNRLWTALGIIDSSCSNKNYLEELVDNGFLMKEVGDLGDHCYVMHDLLHELSQSVSSQQCTNISSLSFSADEISQSIRHLAITIENRYDENFRQEMVKLKSRLHIRDLRSLMIFRRYEERITEILNDTFSEIEGIRVLFIELDSTESLPKNFSKLLHLRYLKIGAACNHAISLPSTIPRFYHLIFLDLQDWKGSYDLPKNFSRLVNLRHFIANGELHSNVPEVGKMKRLEELKEFHVKKESIGFDLEELGELKELGGELVICNLDKVATKGEANKANLSLKRNLKTLGLVWGSTDPDWADEPVAILEDDVVDGLQPHDNLKKLCVKGHGGAGPPSWLCCDIPIKYLESLTLLAVSWCTLPPFGQLSYLKSLELMMIPSVHLIGPESGTGRNKSFMHLKEIVLYGMPLLESWIVEPNCHSFPVLESIKCTGCPNLLSLPFFRECSVSCTRDTHCPSLQTLEIVECPKLFVSPIPPAPALTSIEVHDTHRNVVLNKEEDSLMVSGYSGALALHNTGTSRLILSNCGTLTVDKFIIAVKLKELMVSNDVGHPSTSAMDLLSGVARRTKQLPAGSFQLEKLGVDSISAVLVAPVCSYLAAALHTLTFSCDERVESLTEEEEQALQLLTSLQILEFVHCPGLPSLPQGLHSLSSLRELKVEDCPEIQSLPIGGLPTSLHKIAIPRCDLELRWEVSVLERKNPGLRVDS >SECCE2Rv1G0088530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:246182009:246183413:-1 gene:SECCE2Rv1G0088530 transcript:SECCE2Rv1G0088530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEQASTIASDAINNIRTVASFCAQEKIIESYQMKCDGPVRQAVHRGIISGAGYGFSYTLLFCFYAVSFYVGAAFVHKDIADAAQVFQVFFALTMMATGVSQSSFLARNFSKVEEAAVSIFRIVDRKSKIDASSEEGTTLEIVEGHIEFQHVSFKYPAWTDVQIFRDLCLTILPGKTVALVGETGSGKSTVVALIERFYDPDSGAILVDGVNMKNLKLSWLRQQIGLVGQEPVLFNGAIRDNISYGKSKKEEVSEEEITAVAQAANAHGFISALPHGYDTNDGEHGMQLSGGQKQRIAIARAILKDPKLLLLDEATSALDAESEVIVQEALDKVMVDRTTIVIAHRLSTITGADKIALVKNGVIAEEGRHEQLLCRKGGLYASLVALQYTSSRPSSTNCGFP >SECCE2Rv1G0099780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:526746353:526746553:-1 gene:SECCE2Rv1G0099780 transcript:SECCE2Rv1G0099780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAATANMAKVVLLLLVVIQISSVLAAAARPFVEDGQWLENGIGMVTHMLGGVKQSGSSGRTHCC >SECCE3Rv1G0183260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:646906034:646906279:-1 gene:SECCE3Rv1G0183260 transcript:SECCE3Rv1G0183260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSSSRGNADAVWSERENKLFEEALAYYGEGTTDRWLKVSRAMGGTKTADEVRRHYEILVDDINLIESGRVPFPKYKTK >SECCE3Rv1G0195640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:800971036:800976169:-1 gene:SECCE3Rv1G0195640 transcript:SECCE3Rv1G0195640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38550) UniProtKB/Swiss-Prot;Acc:Q9ZVH7] MAASLLHAAASSPLAGPNPAARAAFRPLASSPFPRLARSSPDRRGRLDASLRALSGGARLAAGAAAPRHRRFVAALAGEEPMSSELGDDKEKETEKIEIEPEEAQEVWREMLKQFKAEAIRMQALTTQAYDVYSKRAREVLLEASEKLRIQADKAQKDLSVIAAEVGEEGQEYLQLAAKNSPDSIKDITETINAVGNLNGPSEYKDYHVGISFGTFLTVGGFLNFMLTGSTSALRFGFVLGFALLALGISSLRSQRAGGRQPRLLLKGQAAIASVIFFKDLSVFFRHGWFPNVFAVLLSGMVATFYIHRIVTGGHKGSTESSSDN >SECCE3Rv1G0184800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:666244789:666249879:-1 gene:SECCE3Rv1G0184800 transcript:SECCE3Rv1G0184800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVALTLAGAAGNSIGKVLQKKGTLILPPLSFKLKVIRGYALNRLWISGFLLDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKEVMNGLDWIAITMAGIGTIGVGVGGEEQKVEEIPLFSIPWLVLTVVILFVLLNTWLHIYKKQRREQELTGPEVIEEVIYGLESGILFGISSVISKMGFVMSEMGFPKIVVPAAISCSVACSAVGFVYQTRGLKHGRAIVVSTCTSVASIVSGVVAGMVALDEHLPTAPAGRFFLLLGWFFIITGVILLVTSTRLIARLPKPVQKFLKSNMERSHSIRRPGSARGKDPNQSTTIHASTLHILTSTGKEKA >SECCE7Rv1G0506360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:748170274:748172234:1 gene:SECCE7Rv1G0506360 transcript:SECCE7Rv1G0506360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACCYFVSQLLIVMTLIYRVMTKSKVCSGTCSSETVQLPLPPGPWSWPLVGSLPQMVLNKPVFRWIHRVMKDMGTDIACFRLGGVHVIPITCPNIAREVLKKQDKNFSSRPLTFASDTISCGYKDAVLAPFGDQWMKMHKVLTSEIICPSRHKWLHNKRADEADNLTRYIYNLTAGGSSSTSGIANVDVRHVTQHYCGNVIRQLVFGQRYFGEPRPNGGPGPMEVEHMDASLTLLGITFSFCVSDYLPCLLGLDLDGHEKIIKEANTKVDRLHNMVIEERWRQWKSGKRQGGVQDFLDVLITLVDGDGKPLLSIDEVKAQCKTMILAAIDNPSNAVEWALAEMVNNPELLAKAVEEMDRVVGRERLVQESDIMHLNYLKACIREAFRLHPVAPFNLPHVAIADTIVSGYHVPKGSHVILSRLALGRNPTVWDEPLHFKPERHMGDNINVVLTESELRFISFSTGRRGCIAASLGTTMTVMLFGRLLHGFTWTKPAGVSAINLSESKHDLFIEKPLVLHAEPRLAVHLYPPMHH >SECCE7Rv1G0456740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11416212:11417558:-1 gene:SECCE7Rv1G0456740 transcript:SECCE7Rv1G0456740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWRSFVPRSTSSLLLSRLRPGRRHAGQLTAVLGNSNLIGSRGYAGNSDGDLQSRDEGNLQDATGKQHLYVVLDDHEDGFGIHKLDLGEHHDLRHDVAHRLPEPPVLRVAHTTLGEGVQFAAMGNSIVAIGTDTPISPVLDGRWLPGDIGGVLIYDTKTAVTTVAAHLPRGLQYGYKAAMAVGERLYMLDSKPRWDWDKREEDGTGSLHCLTTDPNFEGTAGDEFWEWRSLSPSSHWYWSSYHYPPAIPFSTEYITAWASHAQYDMVVSMRPTKTSVMGDIFSFIRERNQWTRLRESHLPVVGQAHYDGHLGAWVGLHAVSVDGDMYGPLVADGHLCAGDVMAAPEKWNVGKKKLFGFEEEAAAGCDHVEAKIIPMVSGKGCTQYCLMEHLQPKGSICEGDEWLLRLTSFHVERGKDGEPVAMAHRPACTYDVPRYNNDFDAQVFWM >SECCE2Rv1G0073430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:68302604:68304943:1 gene:SECCE2Rv1G0073430 transcript:SECCE2Rv1G0073430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYLKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPRDHAPAPAAAAPAPAPAAAAPKKSKAKK >SECCE7Rv1G0476030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:196165959:196166234:1 gene:SECCE7Rv1G0476030 transcript:SECCE7Rv1G0476030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPAKTEVLSLFRAFLRTARQFSDYNIREYTRRRAADAFRENRALADAPAAAAAFADGKQQLEVAKRQVLVYSLYAPKSKSVVEMKIQ >SECCE1Rv1G0023080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:292621034:292627635:1 gene:SECCE1Rv1G0023080 transcript:SECCE1Rv1G0023080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRSPAAATASGAASTAAAMAPGVSCVEPAVTLDQVPRWSDPDQRLYTPSSSPAAAEAADGGSEPAASSFLSFSDPLTGDDGGAAGGGCAGASRFPVDHEINSRIYLWRGHPWNMEVDAVVNSTNESLDEAHSSPGLHAAAGSGLAEECATLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKIAGVIFCITSSSDTEIYKRLLPLYFPRDKQEEETAVSKLPADVGDENGETVIDERKIRIRPLPAGAADRTVATAPLDLPLESGLASSRSTFKLDSYLDPSFMSLIKDPDLRRKEQWEKSSQAQKGFNYARLLGYGDIGFPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLHVVKEFEPLIQKPYTIVYLHSAASLQPQPDLGFMKRVQQILGRKHQRNLHGIYILHPTLGLRTAILGMQLLIDGEVWKKVVYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGRGMIVDPRTKHIYQRPSG >SECCE1Rv1G0012050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:85631874:85633391:-1 gene:SECCE1Rv1G0012050 transcript:SECCE1Rv1G0012050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCDGEIAPKLSNDGRSEDRLSALPDDLLIHILVRLRNPAVAARTSVLSRRWRRLWTLLPELHFPPESDPQHIRLALTAHEAPALQRLDVCFSVASHATPESVAAWLPIAARRLSGELVLVNVSGDETGERGTLELPCFENATKIVIELLVYPGLAMPLSGIFARLTDLYLGHIMLRGPSMLGDALSSPRCPALQKLTLGGTGVLGNFTIHSESLLEMKLLNLLGLLQLIVTAPALKLLNVKSCFADRLGNNPRPPVANISAPQLVSLMWWDDYDPRFTQLGKMENLQCLSTCPFTVYGQDVHKSYNSYCTKLLRSFELIHSLRFTLIYLLEDISHQQYLMEDIVRLPDITFMSLDIIENEHSFGARVHSMFSGCGLV >SECCE1Rv1G0043320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:591690575:591695559:-1 gene:SECCE1Rv1G0043320 transcript:SECCE1Rv1G0043320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATRRARLLLLLVAATVPAGLCQTNPDDVAALRSVMGAWSNYPPSWNSGDPCGAPWDGIMCSGNGRVTSLRLSSVNLQGTLSDSIGQLGQLMFLDLSFNSGLTGTIPASIGNLGQLTTLILAGCSFSGNIPKELGNLLQMTFLALNSNKLKGTIPPQLGLLSKLFWLDLADNAITGTVPISTGTTPGLDLLVNTKHFHFNKNQLSGTLTGLFNSNMTLIHILFDSNQFTGPIPRELGSIRSLQVLRLDRNQFAGAVPNISNLVSINELNLASNKLTGSLPDLSRMNVLNVVDLSDNVFTASEIPGWFANLTNISSISMSSARLTGVVPQELFNLPQLHEVVLSKNQLDGVLTMAGSISTQLQTVDLQENSIVDVTGISNYKKTLLLAMNPVCSDKPTVAFCTAQKQNVIAYSTSMAKCNSGSGCQSGQGQNPGNCGCAYSYNGKMVFRAPSFKDVADTVRFQQLEETLWRLLGLREGAVFLSRVHFNEDNYLQVQVSLFPSTGTLFNVSEVSRIGFLLSNQTYKPPPIFGPYFFIADQYVPFIVADSKKSKFSTGVAAGIAVAGGVLVIALIFVGLFALRQKRRNKELKERSTDPFASWGATQKDSGGAPQLKGARFFSFEELKSCTENFADSHEIGAGGYGKVYKGTLVDGIRVAIKRAQSGSMQGAPEFKNEIELLSRVHHRNLVSLIGFCFQQGEQMLVYEFVAGGTLRENLVVRGTYLGWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDENLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGKYVVREVRQAIDPADRDYYGLRAIVDPAIRDAARTPGFRRFVQLAMQCVDESAAARPSMGTVVKEVEAMLLNEPDGDGANSAGSSANEFDGAGRGAPSHPYSDVEITRSSYGGAGDASDYMPYFEVKPK >SECCE7Rv1G0473960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:163805161:163808528:1 gene:SECCE7Rv1G0473960 transcript:SECCE7Rv1G0473960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSALRLILGSSSASRRQILAEMGYQFKLLSADIDEKEIRKEKPEELVVALAHAKADAILDKMRNNGMMKEIVDSQETTLLITADQVVVHDGVIREKPTTPEEARKFIQGYSQSHAATIGSVLVTNVKTGTRREGWDKSEVYFHKIPNEVVESLIEEGNVFYVAGGLLVEHPLTSPLVEAIVGTIDSVMGLPKALTEQLIKDSLQEP >SECCE2Rv1G0073140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:64411133:64411783:1 gene:SECCE2Rv1G0073140 transcript:SECCE2Rv1G0073140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSSAAWKRWLRPEAYPIFATTGVAVSICAMQLIRNITTNPEVRVTKENRAAGIQENFDEGKRYSQHGFRKYIDRQRPQIMPAINNFFSDPPKY >SECCE7Rv1G0476710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:209467176:209471010:-1 gene:SECCE7Rv1G0476710 transcript:SECCE7Rv1G0476710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOH2 [Source:Projected from Arabidopsis thaliana (AT3G19260) UniProtKB/TrEMBL;Acc:A0A178VL39] MAIRGPDAASVLPMTLLFSLGFFCARFVLDRLLYKPLAVYFFTTKASELMNDEARQAKIVKFSESTWKLTYYASVQAWVLLIIKQEPWSLDTLQYFDGWPNQSIPPSLRLFYMCQCGFYIYSIFALIAWETRRKDFAVMMSHHVVTSALIGYSFLTGFFRIGTIILALHDTSDVFLETAKLCKYTEKELGASFFFGLFALSWLLLRLIYFPFWIIKTSSYQSIISLRKLDRFPTTLYYVFNTMLLTLLVFHVYWGKLIFLMIMKQLNNKGKVGEDVRSDSDDDD >SECCE5Rv1G0318750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:367331210:367335699:1 gene:SECCE5Rv1G0318750 transcript:SECCE5Rv1G0318750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSSKARLAVACAIGGIVLGAAVVALHVAGPVAVPGLPPLDALRRRFRRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDDEITANKGPPVTPLNERMVMVAAVKWVDDVIPDAPYAITEDFMNKLFTEYNIDYIIHGDDPCLLPDGTDAYALAKNAGRYKQIKRTEGVSTTDIVGRMLLCVRERSVSDRHNHSSLQRQFSSGHGQKVDDSGSGSGTRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARGLGDFLLVGIHTDQTISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDISKDMITTFNISLVVQGTIAENMDFAKDESHPYAVPMDMGIFRRLESPLDITTSTIIRRIVSNHEAYQKRNEKKEASEKKYYESKNFVNGE >SECCE1Rv1G0057230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692686741:692687208:-1 gene:SECCE1Rv1G0057230 transcript:SECCE1Rv1G0057230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKQKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNQKA >SECCE1Rv1G0012490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:93195126:93197473:1 gene:SECCE1Rv1G0012490 transcript:SECCE1Rv1G0012490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEKAARPSSSSSSDLDPLLKDLTEKKLSFRRNVASLASELKDVRNKLASQEQLFTRESQTRKVAETKARSMEEEVSKLQKCLLDKDEQLNATRGITEHYLDDLDDLKSRLSVTQATAEASAASAMSAQAQCLSLLKELNEKDRSLKEHELRVNKLGEQLSLLQKDLEARELSQRQLKDEVIRIETDIMGAVSRAGTSKDNELLKVLSDVSPRNIENISKHLNTKDTEIARLRDEIRILSAHWTNKTKELESQLEKQRRTDQELKKRVLKLEFCLQESRSQIRKLQRLGEKRDKQLKELKDQMAMKQPKDPRRDEGRKPFWENDTFKFVAGMSMLVVMVLAKR >SECCE3Rv1G0143780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2149446:2150039:1 gene:SECCE3Rv1G0143780 transcript:SECCE3Rv1G0143780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWNWKLLFLVFLLASTSAWGMVRARSDGLKHIHLYMHETFTGPNATLFAVVPPMVGVGDNSSMFGMVGVLDDELRDGPDPSNSSLVGRFQSLFAFAGLVTPPGMQTATTLVFTAGEHAGSTLVLVGSIVSSESPYETVVVGGTGVFRMARGYCILKAVWSPTPVSTVYEVNLLVKMEGKLLAKMDAWKRTTYAL >SECCE6Rv1G0442620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:818175368:818176702:1 gene:SECCE6Rv1G0442620 transcript:SECCE6Rv1G0442620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTAAPVAAASLPDDAVREILVRVDDVADLFRCAMTCKRWRRLILKASFLRRSRWPEQETASFLPGFFLCEKTVINDDPTFVTRFVPAPGSVFGPDQRSLESFLPRAAASGTGCGKRGQLLHNAVPLVARHGLLLVRLDTPTGKTKGGSVSPVRLAVCNLLSGACDKLPLLECDWDFDKSGYAILTGADCTRNGEKQPPVPSGYSSYFKVLAIGTDKDHRPRNLHSFTSGKVRWSWPSKLIFPAKESASYYLPLRHPRAVVWRGTASWLAYYFTMHDPILHTIEVDARTFNTSLTKIDTSVELIKAPKYKPHHYDEPQHTLLTVDVDGQLSFLHVQRRGSQLERWTRQEDAAPIRWLRTQVIELNPPSKSFMLTLLEEKGGMLFVKDNCGNVYTTDLETGAMEKFTYFGTINRRQLVPLEMDWPAFFVSRLGAHEPHSKKPSS >SECCE7Rv1G0484750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:346030628:346038648:-1 gene:SECCE7Rv1G0484750 transcript:SECCE7Rv1G0484750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASEMTGAMDSPEPRIETIPRKDEKTVKSTISLDSSVINLPSEAQVQAVTSNIGGEHSAAYPHHIYSSQAQPFYYQGTGYENLPNECDVYPPYTSVDGFEVGPAVICKEDPSIMYHGGYGYDPYAHYSPISTPVPVGVSGDGQLYSPQQFSSAPYYQQPLQPDMPYLGSPTPVSQGETVMPIDPIQSAFIADTLSPNSFLFGPRPEWFRSSQGTGSFLSPATSPQPFGDVSGAFGQSNFPMASGMMPPQQKSYGFGTPSDSYGRRFSHRGSFPHATNYGSPFPGYGLNGRSLIPVDKERRRGRGNALLCSFVGSLDFLNEQSRGPRSTRPKKQPADNSKDEKSSAGLDQGSYNWTDFVTEYKNARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDGAYHEVKKEEHCPIFLLFSVNASAQFCGVAEMTGPVNFEKSVDYWQQDKWTGQFPVNWHIVKDVPNNLFRHIILENNEHKPVTNSRDTQEVKLEQGQEMLKIFKDHEEDASILDDCDFYEEREKALLENKARLYQQQQISSSSVAEPKKPSTVPTDLVGHIAKNCSFVEPKKPLTVPTDMVGHITKTFAQAVRLGETKSVSPLGKKGPAGDLSVAAKPVEVRESG >SECCE4Rv1G0242810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:489927156:489928450:1 gene:SECCE4Rv1G0242810 transcript:SECCE4Rv1G0242810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGTSSAAALWGHEHLPLLARARSKDSVEYILQALWRTRRTGLDAADRAIVRDILQLPSDSELDPLLVCLRILTRRCVHENIAKEEIPKLFPAEVLPELQRLLTLLLQKFQPEWREDTLKDQASSAKLATTKGHLSENQDASEQPATAQIHCGTSSAKVSFESGEKEGKLQLAKDSLDKMLNDVYPVRGKVSNAGNTNGGHGEAARST >SECCE4Rv1G0216740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12380708:12382733:-1 gene:SECCE4Rv1G0216740 transcript:SECCE4Rv1G0216740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLAIKRIENNTNRHVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSRRLCPFSGRHGVEDVLLRYLNMSDNDRGEPIQNREYLISMLQRLKRESDMATQLANPGALNEKIEEIQKEIYACQQQLQITEERLRLFEPDPAAFGSMGEIDGCEKFLMDMLTRVVERKNYLLSNLAPFDPTAPGMQGGNGAQMYVHAMKTEEGGGMGTFAGAAAALWGSEDGQNPGHQIFGGTDPMIYLSDPDVYDGKSQVAGMHGGDGDVGGSSQATDPWRQEYSCTELLSTLIPNTPFPLMQHCLGPDDGQYLPAMVPAAQDHLEASASCSYNMPTSDESATPVMAYDGGGGVPPPNVG >SECCE1Rv1G0044460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:603036461:603045530:1 gene:SECCE1Rv1G0044460 transcript:SECCE1Rv1G0044460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSSSWTSMEGYLNEYFHIPAKNPPSDARLRWRRAVGLVVRNRRRRFREFSALGAIDDAQRRRILGKVQVVINVHRAALQFINGIKQYHLTHELIEEGFSISPDELAEITGMREDSTILKLHGGTSGISRKIKASLQDGINETEITTRQKLYGTNKHAEKPARSFWMFVWDALHDLTLNILIVCALVSLVVGLATEGWPKGMYDGLGIMLSILLVVLVTASSDYKQSRKFMELDREKQKIYVLVTRDKKTKKVLIQDLVVGDILHLSIGDVVPADGLFISGYCLLVDESSLSGESEPIQVSEEKPFLHGGSKVVDGTAKMLVTAVGSRTEWGKIMGTLSDSGVDETPLQVKLNGVATIIGQIGLVFAILTFVVLLARFLVNKGMDVGLMNWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSVSCICTDKTGTLTTNHMIVDKVWIGDMSKSVNGDSKITELKSAISEGAMATLLQGIFVNTGSEVVKGDDGKRTILGTPTEAALLEFGLTVEGDQYVEYNKITRVRVEPFNSVKKKMSVIIQLPNGGFRSFCKGAPEIILGHCDNVLNSEGDIVPLSDTQKQNVLNIINSFASEALRTLCIAFQDLDEFSDEQTIPENGYTLIALFGIKDPVRPGVRDAVMTCMAAGITVRMVTGDNINTAKAIAKECGILTEDGIAIEGRELHDKSSDELKELLPKIQVMARSLPMDKFKLVTSLKSMYQEVVAVTGDGTNDAPALCESDIGLAMGIAGTEVAKESADVIIMDDNFKTIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACVIGTAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRSPVRRGDSFITKVMWRNILGQALYQLLVLGTLMIDGKKLLNIDGPAADKTINTLIFNSFVFCQVFNEINSREMDKINVFRGIFRNWIFVGILTATVIFQVIIVELLGTFANTVPLSLELWSLSVVLGSVSMIVSVILKCIPVESGKRVTKPHGYEPIPEGPEAL >SECCE5Rv1G0309920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:162307451:162318286:1 gene:SECCE5Rv1G0309920 transcript:SECCE5Rv1G0309920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 130 homolog [Source:Projected from Arabidopsis thaliana (AT5G54440) UniProtKB/Swiss-Prot;Acc:F4K0C4] MANYLAQFQTIKSSSDRIVIAVEDVSDLWLNVKDSFEQRLPVKKACLNNKARNPVLVENLPAEFIQTTDSRLRSRFPQEQYLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNDQATKMAKKVYARLEADFNTKKRERCCKFDLHGPDDEFWDDFDSKMVDCIRNTLDRRVQFYEEENRRLSEQRFTPIWNFCNFFILKESLAFMFEVTNLHEDSLREYDELELCYSESVNLPGKPREFGGLDTGDDQAALLNPGFKALTQIVQDDVFREFEFRQYIFACQAKLLFKLSRPVEVAARGYAFVVSFSKTLALHENALPFCFREVWVITASLGLIKSTSTQYDGGVVAIDSEKEFYRLQGDLYSLCRAKFMRLAYLIGYGIEIEKSPVNSASLSMLSWPKPATWPSIPPDSSAEVMAKEKTILQAKAREKLFDIQRKPLPLEPSSLLREANRRRAFLSVGNLSELYDSVDGSGLGAHSKLSPNKSSSNLMTRTMSGPATSETSLPVDRPMRLSEIYVAAEHALKQTISDPDFMTSLSSPEEFENRYMELTKGAADNYHRSWWKRHGVVLDGEIAAIFFKHGNYDLAAKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLASCVKLLSLESSLFSSKERQAFQSEVVRLAHSEMRHPVPLDVSSLITFAGNPAPPLELCDGDPGTLSVAVWSGFPDDITLESLSLRLSAFSSADEGLKAIRSTDARVLVPGRNIITFDIPPQKPGSYVLGALTGQIGKLSFRSHGFSQDGPVDTDEFMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKGGILHIDAGAELKIEESQMIEIESYRSDGDHSSPIDGSKALSRPTDTGRVEKVPIENGKIKLPDWASDVTTLVWFPVRAIDDTIPKGTSPASPQKHSIVDGMRMIALKLEFGAFHNQIFERTIAVHFTNPFHVSTRVVDKCNDGTLLLQVILHSEVKATLHVKDVSLDLQAGFEHLGKGDGRPTSSLFPLVIAPSSKAGILFVIRLSGTKDLDELEQADSMLHIKYGISGDRATGAHSPVPVKPDDSEELLFKISLKLKRPVLDPCLAVGFLPFSTDCLRVGQLVNMKWRVERLKDLEEASLSDDEILYQVDANPQNWMVAGRKSGHISFSEAQGSRIEIAVTCVPLVSGYVHPPQLGLPDVGDANISCNPAGPHLVCVLPPTLSTSYCIPA >SECCE1Rv1G0013960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:109129972:109131364:-1 gene:SECCE1Rv1G0013960 transcript:SECCE1Rv1G0013960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKQAEEIKNLLLKIIIPLAFPLAGSFICGLIADRAIRHINLDSSDNSIQLDQSSSEGLRLIQGEEGGGEMESPNRASRKLVQAETPYSTGRLLGGGHARQASLTEEIAVAQDTESSSEVSVNNQRFQDVQGTSTAGGEEVESLKRVVSALEERAAGIESRFQDYCDVKEQESTYQKMQIMCLGMKLELLESQNQRLEAAATEIRAAAEEFAVMRASLDALQSKFRKVAKKSKQEFDAIDGRILALDAREAEMATRCRGFEQLMAEMKELVLQLQKGKGTDSESVEVAVERSMRKLSSSKDLLDGMEVLRDRWAADMEELIYLGWITAWLQHDLLVSDGEGGAAKGTVAIGDDDDGTGPTAEGQRKKGEKMVAVAAPINEVELRKTSSHASSCAAGEESCMGLAGCRTGIGRPRLLRKLKGWTRGKGPSKSSES >SECCE7Rv1G0455590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:7897750:7899325:-1 gene:SECCE7Rv1G0455590 transcript:SECCE7Rv1G0455590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSNFLIGSIPDSLGQLSMLIYLNLSHNSFKGSIPGPLGKLSSLASLDLSFNNLSGSIPTFLANFTYLTTLNLSFNSLEGQIPEGGVFSNLTLQSLIGNVGLCGAPRLDLSPCLDIPHSRNKHVVQILLPTLTLAFGAIAICIYLLFGKKPKGGEDKHFVNANDVIGHQIVSYHELIRATNSFSEDNILGCGSFGKVFKGQLSTGLVVAIKVINMQLKQAIRTFDAECQVLRMARHRNLIKILNTCSNLDFKALVLQYMPNGSLEMLLHQTKSTVRLGFLERLCIMLDVSMAMDYLHHEYYNLILHCDLKPSNVLFDEEMTAHVADFGIARLILDDNTMTCVSMRGTVGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGRRPTDAMFGAQLTLRQWVHQAFPTELVQVVDSQLLQGSTLSSCSRGGGFLASAFELGLICSSDLPDQRMRMRDVVVMLKKIKAEYTKLIATMPHSAAP >SECCE4Rv1G0242410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:476517659:476522286:-1 gene:SECCE4Rv1G0242410 transcript:SECCE4Rv1G0242410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGVLLALALLLSVCVRASHGVTDSQDTSVLRALMDQWQNSPPTWGESDDPCGDSPWDGVTCSNNRVISIKVSTMGIKGVLAADIGQLTELQSLDLSFNNDLGGVLTPTIGNLKQLATLILAGCSFHGNIPDELGSLPKLSYMALNSNQFSGKIPASLGNLSSLYWFDVADNQLTGPLPISSNGGMGLDKLTKTKHFHFNKNQLSGPIPDALFSPEMTLIHLLFDGNKFTGGIPDSLGFVSTLEVVRLDRNSLSGPVPANLNNLTNVNELNLANNQLNGPLPNLSGMTLLNYVDLSNNTFDPSPSPQWFWKLPQLSALIIQSGRLYGTVPMRLFSSPQLQQVILDGNAFNGTLDLGRSISSELSMVSFKDNDFSSVTVTSSYNGTLALAGNPVCNHLPNTAYCNMTQHAPSPAYTTSLVKCFSGACPPEQSMSPQSCGCAYPYQGVMYFRAPFFADVGNGTAFQELESKLWTKLELSPGSVALQDPFFNSDSYMQVQVKLFPSGGPYFNRTEVMRIGFDLSNQTFKPPKEFGPYYFIASPYPFPDRNGPASKSKGAIIGIAVGCSVLVIALVGAAVYALMQRRRAQKATEELGGPFASWARSEERGGAPRLKGARWFSCEELKRSTNNFAEANELGYGGYGKVYRGMLPNGQFIAIKRAQQGSMQGGHEFKTEIELLSRVHHKNLVGLLGFCFEQGEQMLVYEYMSAGTLRDSLTGKSGLHLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVSDSDKGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLELIIARQPIEKGKYIVREAKRVFDAADTEFCGLRGMIDSRIMNTNHLAAFSKFVQLALRCVEEGAAARPSMSDVVKEIEMMLQSEGLSSASTSASTSATDFDVTKSAPRHPYNDPLPKDKDMSTDSFDDYSGGYSFQSKVEPK >SECCE6Rv1G0388900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:143722200:143724124:1 gene:SECCE6Rv1G0388900 transcript:SECCE6Rv1G0388900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGPVVPKFGSWDAENIGYTVFFEKVRENKTAPVPGTAAAPAPRGHDDQEFDPYEYYENLSRNVPSRPPSSHGHAAPAQHYDHLGGNVPSRPPSSHGHGHPSPAQHYPAQHGHGGYHRRNGSNGSSAASEVSSRASKFSPPRPYQPRYGNSGGSYQQQQQAAGAYAAPVPRHHQQAAPAPRVAASPPRHAPQPVNERRPGQGAPPQARAAKAPSAVPKFGVWDEQNANAAAQGFTVQFEKVKRHREVAKAAAPDVPPPRRQLSPDRVVPTWGHPRRKPKKSFLSKVYGCLFPVVRQ >SECCE4Rv1G0284850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841218775:841220360:1 gene:SECCE4Rv1G0284850 transcript:SECCE4Rv1G0284850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEAAAAGPARNELESHGTAWSGDCDVNAGDLISHLADALLGTIISFLPTKDGGRTQILSRRWRHLWRSAPLNLEVLAFLPVPVFDRYAVPTSAVSKIISQHPGPARRFFFPSIRSGDVYAEMESWFDSPALANLQELDIGYEFLSASNTKGKCRLPQSAFRSASTLLVAKIRNCGFSDAVPPSFNFPLLKELSLHHVSFSGDAFHSLLSGCHALESLSMLQVHATAGCLRVCSPTLRSIGFRDNSGEETELVLEDVPRLERLLLPYSHRNDCVTIRVIRAPKLEILGPFSADYCKFQILQGMSPVSSANSIHTVKVLAVTCSALQLDAVLGVLRWFPCLEKLYVSFHEYYQTYKKYEPEDDPLYPIECLQAHLKKVMLTLYMGYEKQVHLARFFVLNAKVLNKIEFLVWKDYGDELVAHQHRLLQVENRASRDAQLEFRNSLFFIDKHVEDHIHDLSVANPFRQP >SECCE1Rv1G0002700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:10013036:10013314:1 gene:SECCE1Rv1G0002700 transcript:SECCE1Rv1G0002700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKKALIGVVAALLVVLQLLMAAAPMAMARSLEEPEEMAWTAKPNIPMEHIILPNGGGDPGCGWETCYTGVCFQSHCRCSNYPYCRNKNW >SECCE2Rv1G0101770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:569232731:569234855:-1 gene:SECCE2Rv1G0101770 transcript:SECCE2Rv1G0101770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDLFYEGNTDHSISSEDEDTLVRSCSNLSVSFGYHCNSYQSFLLENDEHDTSPQMRFESNAMTKSRNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSQGSPASTESSIFEISKNLWRSSAPTTVSSNFLTGTEVKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQQDGLCSSSEDSLNGVKSELTLAMEIAENEDVKLSESFRAGVLNCLTSAVEQAENDFLCMVEQDMDDRPDLVSVGSCVLVVLLQGTDLCILNLGDSRAVLASMPYAEMDTVKAIQLTEIHSLENPSEYQKLLADHPNDSKVVMGNKVKGKLKVTRAFGVGYLKQKKFNDALMGILRVRNLCSPPYVYTNPHTLSHKVTEDDLFVVLGSDGLFDFFTNDEVVQLVHQFMHDNPTGDPAKYLIEQVVHKAAKEAALTAEQLMRIPVGSRRKYHDDVTVIVIILGNARRTVSASTSI >SECCE4Rv1G0273530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:777997796:777999028:-1 gene:SECCE4Rv1G0273530 transcript:SECCE4Rv1G0273530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKGVRRREKNYRAAHGGDARLPPPPKQRELEALPSKLRRLIAIQKKQADASSGPGGGAPGKHGADATVKDKAGKDKKTKKQTLEAAADAKAAEGGPAADENANADGGKKKRKRGKVEDLRFKELEANVSVSKKQKRKKHLDEKKKKRKAGKAETHLEFPGREKVKFGDIVEAPPKLSFPKRKSHLDVSAERLRKEVVENYRNIKGWASRPGLQLPTLAE >SECCE2Rv1G0089040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:251644952:251645603:-1 gene:SECCE2Rv1G0089040 transcript:SECCE2Rv1G0089040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGSGAAKKAISRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGMVTIASGGVMPNIHNLLLPKKAGGSKAVAADDDS >SECCE5Rv1G0333860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:562453010:562453498:1 gene:SECCE5Rv1G0333860 transcript:SECCE5Rv1G0333860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A20/AN1 zinc-finger protein, Response to multiple biotic stresses, Regulation of abiotic stress response [Source: Projected from Oryza sativa (Os09g0486500)] MAQRDHKQEEPTELRAPEMTLCANSCGFPGNPATQNLCQNCFLAGPASTSPSSSSSSLPGVSASTPVLDRPRPAPLEAELARPYVDRAPATDAKPARTSVNRCSSCRKRVGLTGFRCRCGDMFCGEHRYSDRHGCSYDYKAAARDAIARDNPVVRAAKIVRF >SECCE3Rv1G0155820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69434257:69437324:-1 gene:SECCE3Rv1G0155820 transcript:SECCE3Rv1G0155820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVVSRSGREVVKGGIELKDSAKVADLQEAIHAKTKKYYPARQRLTLPAQPGKSGKPVVLNQKANLSDYCEKGSGTLTVVFKDLGPQVYYSTLFFWEYVGPLIIYPIFYYLPVYKYFGYEGDRVIHPVQTYAMYYFCFHYFKRIMETFFVHRFSHATSPVSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSDLQMKIGFGIGVVCQIANFYCHILLRNLRSPTGSGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLAVAAAIMTNWALGKHSRLRKLFDGKDGRPKYPRRWVILPPFL >SECCE4Rv1G0225870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:101297011:101298378:1 gene:SECCE4Rv1G0225870 transcript:SECCE4Rv1G0225870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREPPQSACSEGLAALSASLARGIAGNPVDSNLVFSPLSIYTALALVAAGARGATLDEILRVLGARSRGELDEFVAHAAGVALRDRADSGGPRVAFACGVWSDLACPLKPGFLKAVVADGGYRAEASTVDFRGDPDGSRQLINAWAARATNNLIDSVLGPGSVTESTRVVLGNAVYFKGKWEQPFDERNTADAPFRRLGGALPVDVPFMQSWDDQLVVVHDGFKVLKLRYKMVDALLTRDPKKPAPFFPKRAPLLRPDRFSNAASPSRHGSYGRAGPYPYSNSNNFTSGSTQFSLCIFLPDADDGLRSLVDAMASRPGFLHDHLPRRKVEVGEFRVPRFKLSFHDSVVDVLKELGLSLPFSPLGDLSDMTWADDSGFGMVVDEVVHNAVIEVNEEGTEAAAVTMVTMRFGCAASRSPPRRVDFVADHPFAYFIVEEETGAVVFAGHVVDPSRES >SECCE1Rv1G0061210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:714602950:714603279:-1 gene:SECCE1Rv1G0061210 transcript:SECCE1Rv1G0061210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTRNAIVAVLLLVVMVAAVSTPAVTAEELCNVKCSKNCKGEKEVCSNKCYEYCKYQVSAVEYVKMATKTLKEAAAASPEEAVKLKHQAETYLASAKSLSDKAGTPP >SECCE4Rv1G0272730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:771163219:771165093:1 gene:SECCE4Rv1G0272730 transcript:SECCE4Rv1G0272730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSAQYPSSPSSPGSPGSYPCLGSYPSPGSPDSYPSPSSYPSPGSPGSYPSPGSPNSYASPGSPGSYPSPGSPGGYEGEGEIIPPRNVTCKDTDGKRPGCTSTCPDRCPQQCIVLCPDCRTFCHDEVKPPKPVPPPAMFVFGDGALDVGNNAYLPQVETEEGYSPQVSRSCSGRFSNGANLADTIATSMGFQESPPAYMSLGGRLNMWGANYASAAAGIRISTNGERSISLPKQLGYFKATRSQMEAKLGSDAKVRDLLSKSVFLLGIGSQDVDPMVNVQDSNAWAHTEIQQLIAQYGEALTSLYDMGARKIAIVNVGLSGQTYYNICNKCIDKNAIAFDAALKPLMASLASKKSGLSYSIGDFYGFTTAVFANPADYGLVNTRDSCSQRGYPDWTYCYNPDGYWFWDPEFMTDRAAKLTAAAFYYGPPQFTFPITFKALLEKK >SECCE7Rv1G0511600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804400596:804401255:-1 gene:SECCE7Rv1G0511600 transcript:SECCE7Rv1G0511600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPLGTMLQRVPVSALLVDKAMAMAMPTVALGGGYHARRSLHGGGGDSPSKWRKPEAGRLKLNFDGSSRHASQSASIGGVYRDHKGEFVLGYAERIGAATSSVAELAALRRGLELALENGWSGVWVEGDDLSAVEAARGSHRRPRLGRAEEDLRLWKEIAELLPLLGDDMAVSHVRRGGNRVAHGFAKLGHSVPRPRVWRGEPPAEVLKYIQRDAGRK >SECCE5Rv1G0368760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:830626931:830628058:1 gene:SECCE5Rv1G0368760 transcript:SECCE5Rv1G0368760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTMASSSLVLRPRAASSPPQNPRRAPTRRAALPAPLRRPTETPREAGLSVVAGETRTMTATTRLYSLAPYPLLLAALLPGAEPISAAFEPFVELVRTFSLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIKLSDDPEEKAKAKDLHPKLLGGMFFFFALGATGGVTALLTSGKPIFESPHAVTGVIGLALLTVQSLLPTLFEGNPGLRGAHGLLGSSIMTLFLFHAAFGLQLGLSF >SECCE7Rv1G0455110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5324204:5324542:-1 gene:SECCE7Rv1G0455110 transcript:SECCE7Rv1G0455110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDTAATATAAARVCRRCKAKYSPSGNTPQSCRFHPSYFVCRRHDDQKRYYELKEGDPPYAAKFYDCCGAEDPDAAGFHLSYDDPE >SECCE5Rv1G0376500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872531258:872534907:1 gene:SECCE5Rv1G0376500 transcript:SECCE5Rv1G0376500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARRPRFLCLHGFRTSGEIMRKQVVGKWPVEVTARLDLVFPDAPFPAEGKSDVEGFFDPPYYEWFQFHEGFIMECGNLDGCLAYVEELMIKEGPFDGLMGFSQGSILSSALPGLQEHGLALTRVPKIKYLIIIGGAEFRLPIVADKAYANKIKIPSLHFLGDKDFLKTRGEKLIESFVDPFIIRHPKGHTVPRLLDETSLEVMSCFLGKMEREIYKLSSVEEEVPVDADNKETCI >SECCE1Rv1G0023030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:292129286:292131763:1 gene:SECCE1Rv1G0023030 transcript:SECCE1Rv1G0023030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGASLGTGSFPAEDGEIWAPVMSDPLDGGSNSPTQAEIEVYSAYVDYDAIDFQTVLEGPQDEHDMETLFGGAMLTSCGARSPTDIKEANLTHEIECEFYSPTNDHGMIQTLAPASPETKEMRGRRRSAPATVLPEKEEAPLTMTLGGGEEEDKNRKGGGEGHPLPCHRRSTRLALAATPLEEGASATFIVDLPDYMPKSGWRHGSRVRSARKRGIWSLNTEQTLLLPNYLGNATCFQDMSSTCKGQKCGHRKNNDHWTYEEMKKLVDALYISGVGNWTKLKNENFSTSVRTATHLKDKWRNLKKAYTGNAKKRILPTLDKDCVEKIQKLASKMKLHL >SECCE4Rv1G0228300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:133478317:133480925:1 gene:SECCE4Rv1G0228300 transcript:SECCE4Rv1G0228300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLKSSFLPKKAEWGATRQAAAPKPMTVSMVVRASAYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTPPGLGNYISGAILFEETLYQSTVDGKKIVDILVEQGIVPGIKVDKGLVPLVGSNDESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEIMLDGEHGIERTFEVAQKVWAETFYYMAQNNVMFEGILLKPSMVTPGAECKDRATPEEVASYTLKLLQRRIPPSVPGIMFLSGGQSEVEATQNLNAMNQAPNPWHVSFSYARALQNTCLKTWGGRPENVAAAQEALLLRAKANSLAQLGKYTSDGEAAEANENMFVKNYSY >SECCE5Rv1G0326310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:476694982:476698204:1 gene:SECCE5Rv1G0326310 transcript:SECCE5Rv1G0326310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDTPPPRGAALSDDDRLLVAHCAALSFPSASRSPPSAAASSASFQVHHASHPYPCAAFVFPPTWSAAGWMPSSPDAGGRAPFGDAEVDPALFPSLRSVGSGVPARASAAFVAAFRGLLDSSPLQTEVSKAVAEEKRVVFTGHSSGGSIATLAAIWFLENCTRRGSVNQAQPFCVTFGAPLVGDNVFNHAVRREGWSQCILHFIMPLDIIPRIPLAPLASSREQIQSVLNWLSPHSPNFSPVGNSLVIPEFYETLLRSTLSIASYEACSFMGCTSSILGTLTSFIELSPYRPCGTYLFLTSTEQLIILTNSDAVLQLLFYCLQLDPQQQLLDAAARSLSAHWEYESIKQSVMQEIICVDYLRAISSSLPGRQMNGTAISGLELSKEAMLSLAAAAQWEKQREINQAKIDANCSKIQEALKSLNEYKRTCELHEVSYYDSFKLQREVHDFNSNVRRLELAGLWDEIIEMLRRRELPDAFEGREEWVNLGTSYRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQKWREQSHRIPLGSSLESCFWAMSEELHAEMINGKSFEDLKDRVGKLESDALAWFTSGNLGRDVFLSSSSFVIWWKTLPEQHRSASCIASLVPS >SECCE4Rv1G0249720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:586053020:586057381:-1 gene:SECCE4Rv1G0249720 transcript:SECCE4Rv1G0249720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGERGSTKHQAEAEYHSHDFEWEDLRADVEANPSFSYHLSPFPTTTASPQPPSSEAWRSFHRRHASGKFFKERRYLLKEFPELLNSKGCAKVLEVGCGNGSTVVPILRCNPSISVYACDCSEDTLEKANEIVCNTQGVDAKDRFHPFLLDVSKETFPGWLFCKHCQSSNGKVVDLSLDSSHLHIRGENPISLKEDQCCVRGIDFITMIFTLSAIPFNTILATLERCVSVLKPGGLVLFRDYGVYDMTMLRFLPHQRVGFREYMRADGTYSYFFSLDTVRELFHAAGLLELELEYCCVRSVNRKNGKNMQRVWVHGKFKKPTSQ >SECCE2Rv1G0073960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73025933:73027054:1 gene:SECCE2Rv1G0073960 transcript:SECCE2Rv1G0073960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRCLNLIMHDLRDNLYSLYRMDPRHLFYETAEIAAQVQAAEDASKANKKQKLSMIESWNDLRKPVAHSKASNFFTMLRENSIMLADSFGRTTLLDTDFKSLVTMPPMRNGKGPNCICFSIPNPDPLLSLDSQCLFVLDLVPPGSGSGRNSSTFEFLTHLGSMDSSRPLVSSHYNWNWVWRDLPLPPFAHDTASSRAAMKCSSMLLDSSTMCISSGEEGIGTYTFDMVRHKWSRAGDWALPLCGKAEYVPNLKLWFALSPCSPHGLCALDLLANAMDFESPPNLLRTWDYLHLLDEAPYKRRLVNLGSGKFCVVSFFKTLKTKYVEENIEDESAVFTGLEVGRCDDGEGAIQMIKHMSKRYTFGRLGIHCVL >SECCE4Rv1G0275940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:796032524:796033135:1 gene:SECCE4Rv1G0275940 transcript:SECCE4Rv1G0275940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALTVDGRRVACQRQTRPTPLLASSRSRAKSHSSASVQHEEERGDAHGPAAAMTGAQVEAALNRKNVEVHQGEEQHDATVLPDEAIGVGALDGGEEDAAWVPDQDTGVFVPADADGHGGGAHPAPPQHLYGGVGGSASVLDQAVFVREEELEDVERPAMDLTDADGGSNN >SECCE6Rv1G0407360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555876184:555878121:-1 gene:SECCE6Rv1G0407360 transcript:SECCE6Rv1G0407360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G48560) UniProtKB/Swiss-Prot;Acc:P17597] MAAATSPAVAFSGAAAARPKPARQPLPRHQPASRRALPARIVRCCAASPAAAATSAAPPATALRPWGPSEPRKGADILVEALERCGIVDVFAYPGGASMEIHQALTRSPVITNHLFRHEQGEAFAASGYARASGRVGVCVATSGPGATNLVSALADALLDSIPMVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRVIQEAFFLASSGRPGPVLVDIPKDIQQQMAVPVWDTPMSLPGYIARLPKPPSTESLEQVLRLVGESRRPILYVGGGCAASGEELRRFVELTGIPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRSKIVHIDIDPAEIGKNKQPHVSICADIKLALQGLNALLNGSKAQQGLDFGPWHKELDQQKREFPLGFKTFGEAIPPQYAIQVLDELTKGEAIIATGVGQHQMWAAQYYTYKRPRQWLSSSGLGAMGFGLPAAAGAAVANPGVTVVDIDGDGSFLMNIQELALIRIENLPVKVMILNNQHLGMVVQWEDRFYKANRAHTYLGNPENESEIYPDFVTIAKGFNVPAVRVTKKSEVTAAIKKMLETPGPYLLDIIVPHQEHVLPMIPSGGAFKDMIMEGDGRTAY >SECCE7Rv1G0465840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:74443785:74447060:-1 gene:SECCE7Rv1G0465840 transcript:SECCE7Rv1G0465840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEKTAEEIRRTARSLSPSPPTTLPDPRGLRRGAPATGPGPGLGPGGPRPLRGFARPAPEPVDQPEPKRRLLSAVVKVDGSGTKEDDVNNAEGRQDGPTAFEGGERRGASNGGGFRRDGSQWVSRRELDNQLPEPLPRPSPKEEDQSLVRRNKRMLGKLLVGTLEKFQQENKKLSNSEAFMRRSEAQQKADQKAREDSERLRQQEREQAAEKRRRDMTLRARVAANSSSSSFLILLLLLLLLFLLLFNLFSPFMT >SECCE5Rv1G0331100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:536250120:536253594:-1 gene:SECCE5Rv1G0331100 transcript:SECCE5Rv1G0331100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGAMSTLLPKLGSMLKDEYNLHRKVRGEILFLTAELERMEAALVEVSEAPIDHPPSKLVKLWAKDVKDLSYEIEDSVDRFMVHLDDRAEKKAQSFMGFIHRSIDLLTRAKTRHKIGTEVKHIKSRIKEVSARRDRYKVDKAVEQPVLTSVDSLRLSALYKMETELVGTEEKVQELVDTMTDEADEATKQQLKTVSIAGFGGLGKTTLANAVYQKLKSQFDCGAFVSVSLNPNIDKIFKNILHQLDKHKYSNINEATWGEAQLINELRDFLLNKRYFIVIDDIWNISVWKAIKHAFVENRCGSRIITTTRILDVAQQVGSVYDLKPLSPIDSRKLFHKRIFGIEDKYPPTQLAEVSDSILRRCGGVPLAILTTASMLASKRGNDWSKVYQSIGSGPQNSSDMQDMRRILSVSYYDLPAHLKTCLLFISVYPEDYTIVAEDLIWQWISEGFVQEEHGKCLYEVGEEYFAQLINKSLIQPVDIGSDNKASACRVHDMVLDLITSLSSEENFLTTVGGLQHVSASSKIHRLSVQTRNEDDLKRLATMNLSHVRSLFIFGQDINLVPALSSFPVIRALDLSYCLDVDNHHVKIICNLLHLRYLRLCNTSITQIPEEIGNLQLLQVLDISQTGIEVLPPEFVRLTQLVYLHIDRRTKLPDQFGGLKSLQDFPVIPTITSPSMLHDVGKLTKLRNLLISFDEWNESYEGPFCRCLSNLVNLKTIKIIGAHLGSDSRSDYLSPRPRYIQSIHLINNINCALPRWMSSLSCLSFLTIHGLRTLRVEDLQVLGGIPSLSYLDIWVVEPTHERQSRLVIDGGHPFPCLTTLKVASRVMELRFAEGATQKLQTLKLRFSARQTLDQFGDLDFGLENVSSLEHMYVGRWSKPEPWEVEAAEAAVREALGMNPNQPTLEFSKWR >SECCE7Rv1G0502980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:703041837:703042415:1 gene:SECCE7Rv1G0502980 transcript:SECCE7Rv1G0502980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLLPSPLPLLLHGPASKPILLHVRQRHRYALNAAPDGNSGDTSTAASELPPTDTQPNPPPSAPPSTNSGTTSVKTRLRSRNQARRVQEPYLPPVEVKMMRGKGKANVSAAPRRDKEKRKKTWDEMSLGEKAYELYVGEKGALFWLNKFAYASIFIMAGAWILFRFVGPATGLYQLDAPPLAPTDVLRGS >SECCE1Rv1G0063250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:723933509:723934140:1 gene:SECCE1Rv1G0063250 transcript:SECCE1Rv1G0063250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGKPKPPGAPAPPPPPPPPPPPTAAEAKKGFMRRMFPFLLAVNAFVGAYMLVRTYYKDSPAKTADSASATATASTESTEPPVATPAKVLPPIPEDEQRRVYKWMLEEKRKVKPRNAAEKKRLDDDKALLKHIIRADTLPVL >SECCE2Rv1G0133840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898660360:898661292:-1 gene:SECCE2Rv1G0133840 transcript:SECCE2Rv1G0133840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTLSPLSTLPSALEANGVKKLRLYQGFWLRDIHVPAAIALQRRFEPRPDDVIVASFPKCGTTWLNALTFATMARRAYRPAGADHPLRRLNPHQCVPFLEGLFQGGREAELEALPSPRLMNTHMPLPMVVPSAVPGCRVVYVCRDPKDMAVSAWHFLRRLQPDLAFNVIFESVCDGAVAFGPVWDHVLGYWRASTAMPDKVLFLRYEELLRDPAENVRKLARFLGMPFSEAEDEAGTVDDIVQLCSFGHLKSLEANKTGHLDPHLPIPRDALFRNGASGDWVNHMTPEMASRLDKIVADKMRGTGLSFQ >SECCE6Rv1G0399760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:443481289:443481906:1 gene:SECCE6Rv1G0399760 transcript:SECCE6Rv1G0399760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHDIAAASSPFHVYQQHLPMTMAPAAASADAGLAPAPSKKAGGSVKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTIEWLLRQAEPSILAATGTGTTPAAFVSSSAPSTSSSSFSYPHTLLGKRPREEENDAVGAAAATSAFWDALQAAPRQDTWGFSPLEAQAAYMPMAQVHHHHLNLVAALSGAARRGEEETR >SECCE5Rv1G0337020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588510072:588511525:1 gene:SECCE5Rv1G0337020 transcript:SECCE5Rv1G0337020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDLATVLDRLADLLAAKAADGASSSDISGEGRQQVPQREVQYKLELMPNEIKLDGVGNYLSWSRRGMLILRTKSIEGYVLGKISEPKEKLGEEWKKWNATDSLVLTWLLNSLTPAVAASVEALSTSTDVWDALSKMYSGKGNVMLVSQIEDTIHNLTQDEKPVMTYVGELRHAWADLDHLAPLVLPHSECVAAAKKWIEDRRVLKFLKGLHSAFEGRRAALMHLPQLPTLEEAIAAMAQEETRLKQIEKVEVVPKPAYYVSNRQETRDCYNCGINGHLSHNCFAPRRGRGRGYGRGNYRGVRGRNAGNSNNFSYQRSARANMSGMDEGPGQSSSGQNEVKKGEQKTDASFGHFAHFVYTDEGEAEWKEDWDRNQT >SECCE1Rv1G0040940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:567338364:567338982:-1 gene:SECCE1Rv1G0040940 transcript:SECCE1Rv1G0040940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >SECCE2Rv1G0121260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:806601591:806601950:-1 gene:SECCE2Rv1G0121260 transcript:SECCE2Rv1G0121260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSFLFRMVAMIPDALRNAEKLPGALISCGAVQAAAALFLIISGTPGGLFLHHGRAPFYLYFGILIAIVVFGLVEASAGFWVSGDVAGRRAAGKTILWVSVLPIVVVAALAGFLVLK >SECCE5Rv1G0351330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:698161316:698162951:-1 gene:SECCE5Rv1G0351330 transcript:SECCE5Rv1G0351330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLPGKKDRGSKDAGDHKTGPEETPRWVSAWSSQAVTPASTPGAKEKRRWSFRRPAAAVSVGAGVGKDAAFLEPRVLDPDQSAIAVAIATAAAAEAAVAAKQAAAAAVRYSASAPASKRAVIGIEEAAAIKIQSVFRSYLARKALCALRGLVKLQALVRGHLVRRQASNTLRCMQALVAAQNRARTARLRLLDDVERPLRTPRMTPTRRSPHHPRLRQHQETEENIKIVEVDTGAGDAHCTPRTSRRSSCYATPLCRTPSKVELYQKVSPTPSALTDASGRSYSGRYEDFSFGTARTSSPYHYYYASDASCKQPPPPPQQQQQGHGAADHPLLFPSYMANTQSSRAKARSQSAPRQRASVSSAPEAASPWERQASAGRRRASLEGPAQAAARGLASPKSAVRVQRCQSQAGAACPWGVRLDMSSASVHDSECGSTSTMRTAATSMYCWSAAANSTGVA >SECCE4Rv1G0255090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:643736515:643738002:-1 gene:SECCE4Rv1G0255090 transcript:SECCE4Rv1G0255090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMARALFVAVLATCFVALGLSGGAAAERKTVGVYELKKGDFSIKVTNWGATLMSVILPDSRGNLGDVILGYDTIAEYVNGTAYFGGLIGRVANRIANARFKLDGKVYRLVRNDGNNTLHGGHRGFDKVMWTVKEHVAGGSSPFITLYYHSFDREQGFPGDVDVHVTYQITAPHALSVRMNATARGKATPVNLAHHAYWNLGGHGSGSVLGEEVRLYASRYTPVDAALIPTGRLADVAGTPYDFRTPAAVGARIGGLLSRGINGYDINYVVDGVGLRPVAVVRDGASGRAMELWADQPGVQFYTANGLSGVRGKGGKVYGRYGALCLETQGFPDAVNRPSFPSQILLPGKVYGHHMVFKFSF >SECCE4Rv1G0222100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:54330663:54332291:-1 gene:SECCE4Rv1G0222100 transcript:SECCE4Rv1G0222100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKIRWGELDEDDDGGDLDFLLPPPVVVGPDANGLKKTIHYRFDDDGNKVKVTTTTRVVKLARTRLSKAAVERRSWAKFGDAASGDDASARLTVVSTEEIFLERPRAPGSKADEPSASSDELATANKGTALMVCRSCGKKGDHWTAKCPYKDLVDTLDRPPTSDGPAAPNDPAKSSYVPPRLRKDAVYQDGGHDMRRRNDENSVRVTNLSEDTREPDLHELFRAFGPVSRVYVALDQRTGSSRGFGFVNFVQREDAEKAISKLNGYGYDNLILHVEWATPRPSSN >SECCE4Rv1G0254400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:636405951:636409037:1 gene:SECCE4Rv1G0254400 transcript:SECCE4Rv1G0254400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDDQEGGSESNQHQHQQQQNPNQRRKRYHRHTPRQIQTLEASFKECPHPDEAQRAHLSRELGLEARQIKFWFQNRRTQMKAQHERQDNCFLRQENDKIRCENIAMREALKNVICPTCGGPPIAEDYFDEQKLRMENARLKQELDSVAGLTAKYLGRPFTQMPQGTPQMSVSSLDLSMGGVPMGGVPMGGGHHHQPFCGGGLSLDLDLSGAGLGMPSSDTPTMHLPAPVTEAERPLMAKAATRAMDELIRLTQQGDNVWVKIPGGDGRETIHVPTYDSIYSKPGSSNFRAGDIRVEGSRDSAIVCMNPVALVEVFMDPSKFMEFFPSIVSHARITDVLVNGLNGRSESLVMMYEHLHFTTPAVPTREFSFLRYCRQIDQGLWAIADVSSDMQREVHYGAPPPRSRRLPSGCLIADMTNCYSKVTWVEHMEIEDKSPIGPLYRDIVQSGAAFGAHRWVAALHRACERYASSLEVPGVMHGETTGVTAEGRRSMMALSQRMVSSFCGSMTASPQHQWTTMPGVGGSEVCVRVAMNQGAQPGHPNGVVLGAATSVWLPVPADHVFGFLRDENTRTQWDVLAKENSVQPVSRIPNGPNPGNCITLLRALSTSQSAQVGQATMLVLQESCTDASGCSMVVYSPIDIPAANMMMSGADPSGIPLLPSGFAIWPAAVGGGASTSAATPMAAGSIVSVAFQILISSLPSSKLNAESIATVNNLVNTTVQNIKAALNCV >SECCE1Rv1G0011480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:78336648:78338561:1 gene:SECCE1Rv1G0011480 transcript:SECCE1Rv1G0011480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTKCRLLLRRLHDRHFSTAPPSNHRKSNPQVTIRWPERSRPSPDAGDTARAHEATVRRLAAAGDVDGVQYALQEMRLRGVACPEGALVAAICAFARAGAADRALKTFYRAHDLGCAAPTVRVYNHLLDALLRENLVGAVVPVYDNMRKAGVEPNVYTYNLLMKALCQNDRVDAARKMLDEMSRKGCQPDAVSHTTIVSALCKLGRVDEARRVVAETVPVCSSYNAVVHALCRQFRMPEVLSVIDEMIRRGLHPEPSTYTSIVDALCKAGELRMACAILARMVTQGCAPNVHTFTVLVKGFFDDGKAHDAVGMWNWMLAEGWTPSTISYNVLIRGLCYTGDLKRASSVFSGMEQNGCFPDVRTYSTLIDGFSKAGDLDGAMSIWTDMLTAGFKPNVVVYTNMVDVLCKKAMFDQAENLIDKMLMENCPPNTLTFNTLIRSLCDCGRVGRALSVFHGMRRYGCAPNDRTYNELLHGLFREGNCEDALRMLIEMLNHGLELTVVSYNTTISGLCQMGRNKEAMILLGRMIVQQIKPDAFTFNAIIHAYCKEGNVKAAAWMLGQMDAVNCPRNIVAYTSLMSGLCSQHRLNDAMVYLLKMLYEGICPNEATWNVLVRGIFTHMGTIGPMHLIEHIYEDL >SECCE7Rv1G0521460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874074830:874075333:-1 gene:SECCE7Rv1G0521460 transcript:SECCE7Rv1G0521460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFIECESKPEGQIMKKCYHFEWMDDYIQRLQGLGLLDSRGNAISEFNLPHDSAAPTAAARLEYPTVVDVELKAESKKINKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE4Rv1G0291390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876503261:876504430:-1 gene:SECCE4Rv1G0291390 transcript:SECCE4Rv1G0291390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRERIGSQLLSFSSTSGPAISPLHRLLSASAAAGPISPNPSRFAVEEYLVATCGLTRPQALKASTKLSHLNSPANPNAVLAFLSGIGLSGADVAPVVAKDPQLLCAKVDKTLAPVVDGLTGLGLSRSDIARLVLLTPGGFRRRAIVSRLHYYLPLFGSFQSFLRLLKRSSRFLSSDLDKLVKPNVAFLRECGLGDCDIAKLCIREPRMLSTNPERIRAMVACAERLGVPRGAGMFRQALQAVAFLNEEKIAARLEYLKNTFRWSDAQVRIAVCKAPFVLKKSKESLKRRSEFLFSVAGLEPMYIAHRSVILGLSLEGRIRPRYYVVKFLKQSRLLDRDWSFYTAVMVTEKVFMEKFICPHKEAAPYLAQDYATACKGEVPTSIIFR >SECCE2Rv1G0102520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:579022447:579029957:1 gene:SECCE2Rv1G0102520 transcript:SECCE2Rv1G0102520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRPLLLPEPPGEVDRAPEIFAGGVAAVRRAVVIGNGCAGAENQCLGLVRALGLADRLTLYRIIRPTGGINKWLHFLPISLHKMVDQVLRHILSNTTFTTLFQGKLSAPYPVSNVQSLGLSSVLEADSKRIVTMVRDTFEKEGLAIVVACGRDTIPYASSVRCLAPDNVFVIQIQHPRYRLDRFDLVVTPRHDYYALTAKGQQEVPWLFRRWITPREPPGPNVVLTSGALHQADSAALRIAATNWHDELAPLPKPLVVVNIGGPTRNCNYGVDLAKQLVSSLHNVSQTCGSVRISFSRRTPQKVSDLILREFSTHPKFYIWGGEEPNPHLGHLAWADAFIITADSISMLSEACSTGKPVYVVGTEHCRWKFSDFHNTLQKRGAVRPFTGSEDMSDSWSYPPLNDAIDVAARVREVLAQRGWTVG >SECCE5Rv1G0310530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:170799528:170800880:-1 gene:SECCE5Rv1G0310530 transcript:SECCE5Rv1G0310530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRKSMLVALILAVTCSVAVAYDPLDPTGNITIKWDIQSWTPDGYVAMVAMNNYQQYRQIMAPGWTLGWSWAKKEVIWSIVGAQATEQGDCSKFKGGIPHCCKHTPSVVDLLPGVPYNQQIANCCRGGVISAYGQDPAGALSAFQVSVGLAGTTNKTVKLPKNFTLMGPGLGYTCGPATIVPSTVYWSADHRRKTQALMTWTVTCTYSQQLASRYPTCCVSFSSFYNSTIVPCAKCACGCGAHKSMGGRGGKSHSDGCIMGDSKRALTPGVNTPKKDGAQLLQCTNHMCPIRVHWHVKLNYKDYWRAKIAVTNFNYRMNYTQWTLVAQHPNLNNVTEVFSFQYKPLLPYGNINDTGMFYGLKLYNDLLMEAGPFGNVQSEVLMRKDDRTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNSAPLVTPRSVIAAASACFLVLLLLVA >SECCE7Rv1G0456800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:12793754:12796136:1 gene:SECCE7Rv1G0456800 transcript:SECCE7Rv1G0456800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHQGVPKFGNWEDEGQGYTQYFENARMGKSPGRPANQNDRNEGAAQAPSSDPPSVKASPLRPGSEPGLRKNREERRATREDDLRRHEAAARKPHAESPNHRYGDQTNYDGAARKAGNERSPIHPRQQARLVNKGGVSSPIADRRGSAPTTPGRSKLRPTGRGDETPERGSAVPKFGDWDEKDPSTGEGFTDIFEKVREEKQSGADTVGTSHAYTNRYNRGDRYESSGCSCFSWFKK >SECCE3Rv1G0195910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:803623252:803624613:-1 gene:SECCE3Rv1G0195910 transcript:SECCE3Rv1G0195910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLARRNPPTPTEPTARKVKRTPSSLLLRISDICKVHSVGVAPTVGEKLKTNSTATATAESSEDGAHLKVHPHQVSSSDNNDECLSQSSSACCEEEVVDKLLDAISCLKVAYVNLQKAHVPYDPEEIAIAGERFASELEETANLQDLYVNMNEWSSPRYLSHISSRIQEYQDLVMDLQADICKKDSEIGWLRPELDELERKNMELEEKIARNGSCREGCFTVRKGVSTEVFMDLHERSYKCIHDFAKFIFDWTKVSGWNLSLSTTPIDSHVAYERRADKKYAVEAYFACVMLMADRDDYTSLDSVDCIMSFKDPFDALMTDPDSSFGRYCRAKYLVAVPQSMEDSFFGNLDHRAFVESGGHPRTPFYQKFVKMARYTWALLAAARALNPRAEMFYVKSGVQFRKQHMESTAAKVTGEEEKLSVGYTVMPGFKIGCTVIRCRVYLCEVNSMGF >SECCEUnv1G0541080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93408610:93414120:-1 gene:SECCEUnv1G0541080 transcript:SECCEUnv1G0541080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MATAARFLRRTLRASEGVSRLLSTSHSIVGRVAYTTRGIIDVGQPTPKSHPQLLADEEITPGITSEEYISRRKKLLDALPEKSLAIIASADQQMMTDIVPYSFRQNGDYLYITGCTQPGGVAVLSKEIGLCMFMPDKHKEDVVWEGQTAGVEAAVDFFKADKAFPLSEMKMILPEMIEQSKVVYHNVKASTSSYRNFDAFRRASLNNKVKDLARYTDELRWVKSKSEIKLMRESASIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVVHYSRNDKKINSGDLVLMDVGCEYHGYLSDLTRTWPPCGRFSAAQEELYSLILETNKECIKLCKPGTSIKEIHHHSTKMLISGFQELGIIGKGKSIQYNYLNPTSIGHSLGMDIHDSTSLSSDKPLEPGVVITIEPGIYIPPVPILNEKAPDRYRGIGIRIEDEVLITESGHEVLTASVPKEIPHLTTLMNMGGGGSTTDAHEARAACS >SECCE3Rv1G0180130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:564382123:564387960:1 gene:SECCE3Rv1G0180130 transcript:SECCE3Rv1G0180130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVPSAFLRRGASMTSHYNRRGSPRPHRGYSSRPPPPPAYADAELASGDSHQSTVPAANDSLRRGGGPRAPPPQYRHGPQFQPPPYGYGYGQPQPQPLPQVQPYGFVPYNYSHPPQTPFPGPQYGYGTPNQYGHWHPQPYRVLPPNGGFPPRNAGFGPAAPQLHPSLAQYKREWRSVQKLPPRHAERFKVLSYNILADYLAQEHQDLYRDIPSFIMDWNWRKNRIGLEISWWRPDIICFQEVDKFTDLEQEMSTRGYSGIWKMRTGNAVDGCAIFWRTARFQLCYKEDIEFNKLGLRDNVAQLCVLESVFRRNVQTGSTHLSTSPIHPQQAKQVVICNIHVLYNPKRGDIKLGQIRTLLDRAYATSKRWNDAPVILCGDFNATPKSPLYNFILEQKLNLFGLARNAISGQQTSSHGLYTGSNTSRYTFRPPLHTTNSREGRIITPDVHKPQSEAKSLVRDSCLAGREPVLTSTASTSCFNSESSKYFGNNRPCSGPSNLDEQGLSSCLAGLTKDACNSDGEAHAKATEGEEGAAVGSSSEECFGGIKVESKEPDIGGVQCSQTAVCDETIQSDSSEAIDSRHLLSSDLSGRIDSVRELRGVSKKDSNSQGDLSGNVICEDVTGGLEGNSVQSDTLLNVSKENPGEKEKCNESMSGQNNCTTPESESSHFSDSLKSADARDKMSDMRVEEEINTGSTHLISPVELTHQTNSVTSNSCGNQCTPEVVNKHLDSYSSSGQFGNHACSVEDDVTTNENLCSNVTSDPTSFKEFAGDNECLHVDKDQLPKISNGSVHAHKVVPYGGYYNDPYRWTVDEIKAATGKEECTYVEHNLKVRSVYTDVEDFDGTKDANKEPLVTSYNRKFMGTVDYIWASEDLQTVSVLDTFPEAILKEKNGFPTKKWGSDHIALVCELAFKE >SECCE2Rv1G0075410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:83938652:83939188:1 gene:SECCE2Rv1G0075410 transcript:SECCE2Rv1G0075410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDSVASLDLADFDRTMAANTRSAVAGIKHAARVMVPRRSGCIICTASTAGVLGGVNPAYCISKAAVIGAVRALAGQLGRQGVRVNVISPYGVATPFGLRGLAELLPEASEEELRRMVEPGMNEMGGAVLEVEGIARAAVYLASEEARYVNGHNLVVDGGFTVGKLIHTPDPVRTEE >SECCE4Rv1G0254960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:643004881:643005442:-1 gene:SECCE4Rv1G0254960 transcript:SECCE4Rv1G0254960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIESPVAASRLFRAGVMDWHTLAPKLVPQIVASAHPVEGEGGIGSVRQFNFTSAMPFNLMKERLEFIDADKCECKSTLIEGGGIGTAIETATSHIKVEPTANGGSVVKVESTYKLLPGVEVKDEITKAKDSVTAIFKAAEAYLIANPDAYN >SECCE3Rv1G0194440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:781129920:781138072:-1 gene:SECCE3Rv1G0194440 transcript:SECCE3Rv1G0194440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFGSLASSTNLTWILALLLILVTMIQVHGQSSTSGFVNIDCGWTNSSAYNDSALQLQYLSDGGFVEGGLSREIAAEFMAGAGNEQQKTLRSFPDGPRNCYTLPSISGKKFLLRAMFTYGNYDGFNRTMDGSLFLFGLHIGVNFWEAVNLTNWDPSTTIWKEVINVAPSNSVSVCLINFGTGTPFVSSLELRPLDDLMYPFVNSSVSISYFKRIRFGETTKYITRYRLDPYDRFWEAWSLTMYPWISLNTSDRVRRLPGDNTFQVPEGILQQASTLDTNYSFLKINVTVGPNLDAKNLQLLPIFHFAEINSSNPSRRFDIYSDNELLFSDFTPSRFQVDSMHQNGRFLHNPAAIFLLNKTRRSRLPPLINAFEVYSLVRMDNFTTDSDDVNYMKEVKKHYSLARINWNGDPCSPREYSWEGLTCDYSKSNQNPRIVAVNLSTSGLKGGLDISFMNMVSLENLDLSHNNLTGAIPDYQIKSLKVLDLSYNQLDGPIPDSILQRSQAGLLDLRLEGNPICSKVKDTYCSNKKKKTTSTVLIAVIVPVVLVSLLVAMGILWKLYWKGKSGDDEDYAMYEEETPLHIDIRRFTYAELKLITNNFQVIIGKGGFGIVYHGTLENGDEVAVKVLMETSIAESTDFLPEVQTLSKVHHKNLVTLQGYCQNKKCLALVYDFMPRGNLQQLLKGGDDYSLTWEQRLYIALDAAQGLEYLHEACTPSIVHRDVKTPNILLDKNLVGVISDFGLSRAFNDAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIITGQSPVFMDPQTVHLPNWVRQKIAKGSIRDVVDKKLLDQYDASSLQGVVDLALNCVENAAIDRPTMTEVVSRLKPWLPAVSSDKQSTSGTPRRKYSMNTEMPKQFQLMISGVSDAESSFQSGYTDGNGMSQATIFSGR >SECCE4Rv1G0249340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:581852453:581855652:-1 gene:SECCE4Rv1G0249340 transcript:SECCE4Rv1G0249340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGVAACSTTECTTAPLPPQAQPMAAEAAMDGEKGKKKGGLRTMPFIFANEVAEKLAVVGFSTNMLTYLTQQMHMPLAKAATTLTNFGGTSAMTPLIGAYLADACIGRFWTIAGASVVYQFGMALLTVSAALPQFRPAPCKAAEAAGGACEQALPWQLAVLYVSLLLNAVGAGGYRPCIVAFGADQFDESQTAERARTWGFFNWYYFCNGASQLVAVTAVVYVQDNVGWGWGLGVPAFCMGVSVVAFVGGYPMYRRLDPSGSPFTRLAQVVVAAVRKRRVPKVDDPGRLYENDEMDAPISMYGKLVHTSHLSFFDHAAIITDGDLPRTDASSSKQPLNLWRLSTVHRVEELKSVVRMGPIWAAGILVITASSQQHTFSLQQASTMDRRIAPHSSFEIPAGSMTVFTMLAMLVTLFVYDRALVPLARRRTGLDRGISFLHRMGVGFTISVAASLVAGFVERHRREAAVAGGTTDAGTAPLSVYWLVPQYALHGVAEAFNSVGHLEFMYDQAPESMRSTATALFWLSISLGSYVSTLLITIVHRWSAGPDGSNWLPDNINRGKLDYFYWVVTLLQVMNLVYYLICARQYTYKPVQHHEEEEGENKSMVELQQKV >SECCE2Rv1G0129070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:866508842:866510161:-1 gene:SECCE2Rv1G0129070 transcript:SECCE2Rv1G0129070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKAVKPDYGACGVAPGCTVDVVPLTVLDKVNFDTYISVIYAFRAPAPPNAVLEAGLARALVDYREWAGRLGVHAASGDRAIMLNDAGARFVEATADVALDSVMPLKPTPEVLSLHPSGDDGPEELMLIQVTRFACGSLVVGFTTQHIVSDGRSIGNFFVAWSQATRGAAIDPVPVHDRASFFQPREPLHVEYEHRGVEFKPYKKVHDYVVRGDSDDDEVLVNKVHFSREFISRLKAQASAGAPRPCSTLQCVVAHLWRSMTKARGLDGGQTTSLAIAVDGRARMSPQVPDGYTGNVILWARPTTTAGELVARPVKDAVELISREVAWINDGYFKSFIDFASSGAVEKERLLATADAAEMVLSPNIEVDNWLRIPFYDMDFGGGRPFFMPSYLPVEGLLILLQSFLGDGSVDAYVPLFSRDMNTFKNCCYTLD >SECCE6Rv1G0426740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:715794321:715795478:1 gene:SECCE6Rv1G0426740 transcript:SECCE6Rv1G0426740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAMPPSRGLPDEIVVWEILVRLPPKAVLRCRAVCRAWRCATSSRDFLLAHHARQPTLPILCDSKLLEKKGYSIDIIPFDHRAAADQFQSVARFGGTSYGLVACCDGLLVLAVNRIFLAIYNPATRQYAPLWMLLSGYRILGMYPHRATGEYRLLMYPRLRWQYGIEPKSQVGCYVLSLGSGQPPRHIQYADANQLSSTSVLLRGCLHWYQTDHWIKSSTIIVFDTTAESFRQMGAPVVSRWGDLFEMDGVLGISSFNRAAKIIDIWVLQDYESEVWTFKCRIELPVTQIKTWCENHGDDIFWHVVVVPGDGELLVLVKFNEWLIQVDLDGKLVDTFHRKDVIPTRFRLKQTLVQHTFFPTLEGYFVNETGFSTSAHLKTVE >SECCE2Rv1G0120400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:798583643:798584188:1 gene:SECCE2Rv1G0120400 transcript:SECCE2Rv1G0120400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSPSVCLAILLLALASPSPASASIPSTSNNNGVAVAAPGVPTAFQFLQAHNDARREVGVAPLKWNSTLEQDAKQYAGQLGIHCKLEPPTDLPRSYAWNRYWGSGYQDGAAATGSWVYGRRWYDHGANACAPGKECGSYKMVVRNTTRELGCARRTCRGTADTVAVCSYFPAGNYANPPY >SECCE3Rv1G0189670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:728984263:728989433:1 gene:SECCE3Rv1G0189670 transcript:SECCE3Rv1G0189670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDTGSGDVSPSHGGRVRHRRRTNETATDGNRANGTVLLVSDQNKYKSMLIRTYSTLWMIGGFVFVVYMGHLYIWAMVVVIQIFMATELFNLLRRSSEEKQLPGFRLLNWHFFFTAMLFTYGRFLSRELVNTVTSDHLLYKLVSGLIKYQMFICYFLYIAGFVWFILTLKKKTYNYQFKQYAWTHMILLTVFAQSSFTVANIFQGMFWFLLPASLIVINDIAAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFLLANVMGHFQWLTCPRKDLSTGWLICDPGSMFKPEHYFLGDWVPQWFPWKEVFLLPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFISPHNFSVDTILDQIVRNLTYEEQKSLYQQLGEIFRERQFMHS >SECCE1Rv1G0000730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2291236:2293755:1 gene:SECCE1Rv1G0000730 transcript:SECCE1Rv1G0000730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYILLGLLLLHSTPWCFSAAVDEDTLMAGQALAVGDKLVSRNGKFALGFFQPAASSSISKSPRNATSPRSGWYLGIWFNKIPVLTTVWIANREEPITHANLNLAQLKFSNDGNLVIVVNHGSSTESVVWSTHIVNNRTHNSLNISSAAVLLNSGNLVLKESPSSDLLVWQSFDNPTDVWLAGVKFGRDKVTGFSRYGISKKSLIDPGLGSYSIELEETRGIVLKHRNPSIEYWLYASSTTSSLNLVPVVNSLLSLDPRTKGLYNLVYVNNDQEEYYMYTSHDESSSMFVSLDISGQIKLNLWSQANQSWQTIYAQPDDPCNPPAACGPFTVCRGNPHPSCACMESFSQKSPQDWEFRDRTGGCIRNTPLHCTTEKNITSSTDIFHPISQVTVPYNPQSIVVATTQSKCEEACLSSCSCTAYSYKNNRCSVWNGELLSVNLDDGIDNTSEDVLYLRFAAEDLSANLRKNKRKPNVLVVTTASIIVVGLLMLMLLLLIWRNKFKWCGLLPIYSENQGSVGGIVAFRYTDLVRATKKFSEKLGGGGFGSVYKGVLSDSKTSIAVKRLDGAQQGEKQFRAEVSSVGLIQHINLVKLIGFCCEGDHRLLVYEHMLNGSLDGHLFKKRNDDAAAVLNWNTRYQIALGVAKGLSYLHQSCHKCIIHCDIKPENILLDASFVPKVADFGLAAFVGRDFSRILTSFRGTTGYLAPEWLSGVAVTPKIDVYGFGMVLLEIISGRRNSSPETSHYNNSSSNVYPHVGYFPVQTISKLHSGDVKSLVDPQLHGDFSLEEVERVCKVACWCIQDNEFDRPTMGEVVQVLEGLREIDLPPMPRLLAALTE >SECCE4Rv1G0235560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:337665647:337667221:-1 gene:SECCE4Rv1G0235560 transcript:SECCE4Rv1G0235560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMVLWLFSTNHKDIGTLYFIFGAVAGVMGTCFSVLIRMELARPDDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGFGNWFVPILIGAPDMAFPRLNIISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDLAIFSLHLSSISSILGSINFITTIFNMRGPGMTMHRLPLFVWSVLVTAFLLLLSFPVLAVAITMLLTNQNFNTTFFDPAGGGDPILYKHLFWFFDHPEVYILILPGFGIISHIVSTFSIKPVFGYLGMVYSMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKILSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTRIVLANSGLDIALHDTYYVVGHFHYVLSMGAVFALFAGFYYWVGKIFGRTYPETLGQIHFWITFFGVNLTFFPMHFLVLSVMPCRIPDYPDAYAGWNALSSFGSYISVVGIRRFFVVVAITSSNGKNQKCAESPWVVEQNPTTLEWLVQSPPAFHTFGELPAVKETKS >SECCE6Rv1G0388000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:126896148:126907861:1 gene:SECCE6Rv1G0388000 transcript:SECCE6Rv1G0388000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPEAVALDIPAEDGSPVARVPRRIRRRLLQARDSSADAPATAQEIEAKLRDAQLRRQQFHETLSCKARHAVRSTSQPSQEEDPKQRLEAKLVAAKQKRLSLLEKEQNRLAKLDELRQAAKKDAEMRFNREREELGMRVEHRVQQAEENRTQLLHARLQRRAALEERTKKFFGQRVTSESKYKETTVVLAAAFDVLGINQESANSLPFEKLALCIESTKALQTARALLDCLESRFILSETSSSCTPENIDHLLKHLGSPNTRILPSAARARVTPKRTTKNSDVGKLPRYSPRVVLCAYMILGHPEAVFNVQGEREKLLVESATNFVREFELLMKIIRDGLDGACILQQSTLGAVSPGSSNNQECSSIAADRTKFRSQLASFDKAWCAYLYHFVGWKAKDAKSLEEDLVTAACKLELSMMQTCKTTEGRSDSLNYTNINSTAIQKQVMVDQKLLKEKVWHLGGEAGIERMELALSETRSKFIGAKENRSPLATSDANAASLSGQSLLSDTKDNLGTDAERLGRVVQSLSKASSSTSQSNTRDNGGQMSSTGSGELPIENELVGYLLKASPSPSESNSGDKVISSQMSRTVPEKLPTENEQMVNEILHGSFSDSSDDVGKVEGDFKARVRETMEKAFWDVVVDSMKGDTPDYSYLVNLVKEVRDALQQMASKGWEEEITNNINLEMLSQVLESSTQGTQYLGQILQYSLGMLRKLSSPAKEDEMKISHDKLLNELIRHSDSHDRDPNAFVIAVIKGLRFTMEELKALQSEVSRARIQLLKPIIKGSGGVEYLQKAFADRYGSRSNALVSLTSTMQWISTSKDMVEEEWNEYVNSLQILPASDHVQPLVTTLRAGRGTPDQQQSTVPVAGSREILPECSREMLDRLVRIGLLQLISRMEGMERNSVPETFKLNWLRLRGVQSQFQQVIVIATSMLVQRQVLMSEDSKTTPSELEHTTLELVNTLTELLDSFSDVGTDKIIEVMVHSSTSAGPCSDEVVENRKQILSRVFLKSLQTDDIVFRKVSRSVYCAFRAITLGGNGAKGRKLAEAALGRIGATKLTDRVVKAAEVLIRVATISEQVHGPWYSHLL >SECCE5Rv1G0376340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872170536:872173105:-1 gene:SECCE5Rv1G0376340 transcript:SECCE5Rv1G0376340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGYYFSYASLCSALAVIVAGWLVYRWMNPPCNTGRLPPGSMGFPLVGETFRFFKPSPSIDIPAFYKQRLKRYGPLFKTNLVGQPLVVSMDAEVNRFIFQQEGKLFRSWYPDTTNIIFGKESLASCDGSLHKFVRSFAARLFGVDSLRDVLLAEMEQNVARSFAAWAAEPAGIEVKDAVSTMIFDLMAKKLIGFGPEKSRKLRKNLDVFFQGMVSFPLYFPGTAFYKCIQGRKNVQKVLKDLLKERLSAPQKRHGDFLDEVVDELQRGTAMLNEKFAVDLVGALLFASFATVSSSLTVAMKFLSDQPSVVESLKEEHEAILKKREGANTSGGVTWDEYKSMTFTAQVTNEIVRLSNVAPGIFRKTLTDVQVKGYTIPAGWLVMISPISVNLNPELYEDPLAFNPWRWQDESKKSTLLKNFMPFGGGLRLCVGAEFSRIQIALFLHTLVTKYRWKEIKGGEVQRISEIVFPEGYHIQIIPREGSIN >SECCE1Rv1G0030470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:428139828:428143485:1 gene:SECCE1Rv1G0030470 transcript:SECCE1Rv1G0030470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRDRIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLNNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRLASSSA >SECCE5Rv1G0301710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:33600526:33602542:-1 gene:SECCE5Rv1G0301710 transcript:SECCE5Rv1G0301710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRKKQLDLIKRMLHLNQQQPSPDGGGGEGDEEAYKILVMDGPCISLLSPVLRVGDLRKHGVTLHLNIDKARQQVADAPAVYLVRPTPANADRIAADAAAGLYASFHINFSTSVPRPVLDRLAAATAASRSAHRVARVADQYLDFVCLEDGLFSLAQPRAYVALNDPAAADSDITSLVEAVALGLFCVVATLGAVPIIRCARGGPAEMVAAALDARLRDHLLAKPNLFTEAASSAASSFQRPVLCLFDRNFELSVGIQHDWSYRPLVHDVLSFKLNKLKLPTEKYDLDDSDPFWVANSWSPFPKVAEEIEAQLAKYKQDVDEVNQRTGGGRDGVEFDGTDLIGNTKHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKERSLDGYYECENDMLVNGTVDRNMLLSLLRGKGTKEDKLRLAVTYLLSFEAPLASELEQVEAALRESEVDMSAFQYVKRIKSLNTQFAAASSTASRSNIVDWAEKLYGQSISAVTAGVKNLLSDGRQLALTRTVEALMEGKPNPEVDNYLLFDPRAPRSGTGGQFRGPFREAIVFMIGGGNYIEYRSLVELGQRSQPSKHVIYGATEILNGVEFIQQLAELGQKAGLGGGSSNLPPQLQ >SECCE2Rv1G0103270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:588174518:588177653:-1 gene:SECCE2Rv1G0103270 transcript:SECCE2Rv1G0103270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKLQERVALGRTAWMLADFVILFLILALVARRATSLGERGGTWLAALVCEAWFAFVWILNMNGKWSPVRFDTYPENLSHRKEELPEVDMFVTTADPALEPPLITVNTVLSLLALDYPDVGKLACYVSDDGCSPVTCYALREAAKFASLWVPFCKRHDVGVRAPFMYFSSAPEVGTGTADHEFLESWAFMKSEYEKLASQIENADEGSILRDGGDEFAEFIDAERGNHPTIVKVLWDNSKSKAEEGFPHLVYLSREKSPRHRHNFKAGAMNVLTRVSAVMTNAPIMLNVDCDMFANNPQVALHAACLLLGFDDEIHSGFVQAPQKFYGGLKDDPFGNQMQVITKKIGGGLAGIQGMFYGGTGCFHRRKVIYGVPPPDTVKHETRGSPSCKELQAKFGSSKELIESSRNIISGDLLARPTVDISSRIEMAKQVGDCNYEAGTCWGQEIGWVYGSMTEDILTGQRIHAAGWKSALLDTDPPAFLGCAPTGGPASLTQFKRWATGLLEILISRNSPILSTIFRRLQLRQCLAYLIVDAWPVRAPFELCYALLGPFCLLTNQSFLPTASNEGFRIPAALFLSYHLYHLMEYKECGLSVRAWWNNHRMQRITSASAWLLAFLTVILKTLGLSETVFEVTRKESGTSSDGGAGTDEADPGMFTFDSAPVFIPVTALSVLNFVALAVAAWRAVGGTAAGVHGGGPGIGEFVCCGWMVLCFWPFVRGLVSREKYGIPWSVKVKAGLIVAAFVHLCTRN >SECCE1Rv1G0049040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:638520971:638527307:1 gene:SECCE1Rv1G0049040 transcript:SECCE1Rv1G0049040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTPWLLLLCLAAAATLSGLLQARAQRDNKGFISIDCGFPGTTSYVDSKTELEYAPDAAFTDAGSNHNISAEYMKPRSLLSKRYHDLRSFPDGTRNCYTLRSLEPGLKYLLRATFFYGNYDGLDRIPIFDLHIGVNFWRVVNITNMGYALQLEALVVVPDDFVQVCLINTGAGTPFISGLDLRPFKKTMYPQATAAQGLVLMARLNFGPADDYSFVRYPDDPYDRIWTPWVNTRSWTMISSTKRVHSTNDDLFEAPTAVMQTAIIPRDTTKNIEFSWASEPQPNDPSPGYIAIMHFSELQILPTNAVRQFYIYLNDQLWYPTGLTPIYLNIGTTYNIVPLRHNHYNFSINATGNSTLPPMINGVELFSIISTTNLSTYSQDVSAIMAIKAKYQVQKNWMGDPCVPKTMSWDRLTCNYEATTPHRIKALDLSFTGLNGDISSSFTNLKALQYLNLSNNKLAGSIPDALSQIPSLTVIDLSGNMLSGSIPSGLQKRVQDGSLNLRYDNNPNMCASGNSCQSAVKRKNKLVIFIVVPVVVIVVMVLLVVLLFFLVTQRQQVNGGCGDNPFQLENRQFTYKQLNTITNGFERILGRGGFGIVYHGSLEDDTQVAVKLRSRTSKQGVNVFLAEAQILTQIHHKNLVSMIGYCKDGKDMALVYEYMSEGTLHEHLTGRGDNGEGLLDWRQRLQIALESARGLEYLHTGCSPSLIHMDVKPTNILLNASLEAKIADFGMSKAFKNDKDTHVSTNTVVGTYGYVDPEYLATMQPTTKSDVYSFGVVLLELVTGRPAILPESPMPINIIHWVRSQLAEGNIESVVDVRMHGCYDVNSVWKVANIALDCTAHASVERPTISDVVVQLQECLMLEDCYGNGDTNGALYTGSGCHEPNLNYESQDSVASDMEHVSRRMRTRTTDLVAH >SECCE4Rv1G0255530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:647553237:647562343:1 gene:SECCE4Rv1G0255530 transcript:SECCE4Rv1G0255530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAEADASCLESFELYETDSKFYILGTNTDKTLWRLLKIDRMEPSELNIDEDCTMYSHSEYLDLLKTLDEDHKSTGGLNFVTNCCGIIGFIKFLGPYYMLIITEQRKIGAIFGHAIYQVTKTAMIELSNSKMRPTLFNSNDENRYKKLLQTIDLRKDFFFSHSYHIMRSLQKNFNDPQEGWDLYDTMFVWNEFLTRGVRNILESTCWTVALVYGFFKQDKIKISGKDIMLTLIARRSRHYAGTRYLRRGVNEEGRVANDVETEQLVFYDTLGPRQISSVVQNRGSIPLFWSQETSKLIIKPDIILHEKDNNYEATRLHFENLRRRYGDPIIILNLIKTRERRPRESTLRQEFDKAIKIINNGLPVENHLRFLHWDLNKSTRSKNANALQVLMKVAFEALNLTEFFYCQVSSAQTPGSSLNLHAPLNGCGFRGHDDKSDGGNTDCINNNGDISQEDTCGSSDTSGSGIAEDVATNNGSTPVKPPKLQKGVLRTNCIDCLDRTNVAQFAYGLASLGHQLHALGSVGSSELDLDSPLAHHLMHFYERMGDTLALQYGGSAAHNKIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYHRPQQDKFAPWESESVAGGNVLNDKTSQLIKRARSDGSILRKSNTSMSSNGPNGMSTPGFGDFKTELQPPNCRSDSVHDAVSKLSYTPTVPHIKYASCELDYGSCSGDSNFLDLDWLSASDNGSLSRSRAVSTPNVNDNGARGVTPGITEGHLAEIHAEGLSHNFLQWVDEGEAFWY >SECCE1Rv1G0053800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:671723808:671726926:1 gene:SECCE1Rv1G0053800 transcript:SECCE1Rv1G0053800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISSAMRSLLLSASPTAFLPRSTALRPLLSLPFRPDLSRPRPLAPPARSLSKKPAPAAVAAARTRIDDRGLAMEPREAQSGEIHVIVGPMFAGKTTALLRRVQAEAGTGRTVALIKSDKDNRYGLDSVVTHDGTKMPCWALPELSTFQDKLGRDAYDKVDVIGIDEAQFFDDLHDFCCKAADHDGKIVVVAGLDGDYKRNKFGSVLDIIPLANTVTKLTARCELCDRRASFTLRKTQETRTELIGGADVYMPVCRQHYLDGQIVIEATRIVMDIERSTEVARC >SECCE2Rv1G0072850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:61849968:61851805:1 gene:SECCE2Rv1G0072850 transcript:SECCE2Rv1G0072850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGGRSPAAVVLDDLVDVRDRVEMLQTVLQESSPGATVEAGELVDGMMAKLSSAMSVLRTGDGGVAASSGPGRGQGGRRKRTGAASSGPHRRSSSRRRMKSPLIKTVTATTLNDGKSWRKYGQKQINDSTNPRSYYRCTHLPDQGCKAKRHVQESESNPSEYTIDYYGHHTCRDPSTFPSLIVQGAADAAPPPDCANLISFAAINGANHPFTASMSTSTSAFAHHLMKEPSDHHPMLFSRFSNYSFSPPAQEGVSSGSPSPAYHGKFMQRAGGQLIDVTGPRMSPLTVGSAPTEYWPVVEVTGVDMDAAAGIDSFPSSPSSLGFMSGSLGGSFGNNVDDDDLFSFDS >SECCE1Rv1G0024210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:321144264:321147266:1 gene:SECCE1Rv1G0024210 transcript:SECCE1Rv1G0024210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATMVTLTLLALSAATLARLLVERARRRRCYLLDYVCYKGTDDRKLPTDLCGEIIQRNKLLGLEEYKFLLKVIVNSGIGEETYGPRNIIAGGDASPDRVAEGMEEMDETFHAVLDELFARSAAPGGLGVRPADVDLLVVNVSMFSPAPSLSARVVRRYGLREDVKVFNLTGMGCSATLIALDLANNFFRTHANKVALVMTSESIAPNWYPGNRRSFMLGNCLFRSGGCAYFLTNSPRLRPHAKLRLRHVVRTHTGASDEAYNCALQMEDDAGRPGFHLGKELPRAAVHAFIKNLRVLAPRVLPLPELLRLAYATLSARFLTRTNKKKSSTALTIRMKAGVDHFCVHTGGAAVIDGVGKGLTLTEHDIEPSRMTLHRFGNTSASSVWYVLSYMEAKKRLNKGDRVLMLTFGAGFKCNSCVWTVERPTADAGVWADCVHEYPPKEIRNPFMEKYGFVKDMSAL >SECCE5Rv1G0333980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:563174867:563180154:-1 gene:SECCE5Rv1G0333980 transcript:SECCE5Rv1G0333980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G10060) UniProtKB/TrEMBL;Acc:A0A178V516] MELSSLLPSLSPRRALPLFSPTAASKTPRRPRVAAFACRADASLEGSSTTRRWFASLAAATAAVGIGVARGGEAGAVSTSRRVFRSNKIPESDFITLPNGIKYYDIKVGGGAKAVKGSRVAVHYVAKWKGITFMTSRQGLGVTGGTPYGFDVGNSERGNVLKGLDLGVEGMKVGGQRLVIVPPELAYGKKGVQEIPPNATIELDVELLSIKQSPFGSPVKIVEG >SECCE6Rv1G0423510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:696407851:696412135:1 gene:SECCE6Rv1G0423510 transcript:SECCE6Rv1G0423510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDLFEGLPPPAAPAGEDPAPSPAPPPPPPEPTVPRRSALKSSLKRDKPSPSSAATSSSSPAAAAPADVAAEGRVPEKRLRFRTTVDASEMQIIEAMQKITSHIGNPSKFSKASKLALQLIEAGSVKPETIGHFFAVLEAAMSSPGACNEPSVRADYQALFNAAEGVTECFNQQQKNQFDVWMLHAVVANDLCTDDSFVFSKAVGKIKDAISALPVATVDDDNDEATALAALAEIQSGTTENKAADSNTHAAASNSGEESSDPFGLDDLLEHKPKKSEKSQDKGAEALSRKAGEESRRLLRSRREALLKCLETAARRYRIPWTQTTIDILGRHAYDSVGRFTARQREAVEKLWNSIMEQQIRRKHGKSASGKLDVNAFERLQEKYSHEKISIRRAVGGAGDRRATQWLG >SECCE1Rv1G0045680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:611321977:611326537:-1 gene:SECCE1Rv1G0045680 transcript:SECCE1Rv1G0045680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRH1 [Source:Projected from Arabidopsis thaliana (AT4G23640) UniProtKB/TrEMBL;Acc:A0A384KFZ5] MHSMDCERGISSENIDDVYPADDDPHENPRHRRSYYKHVLLLAYQSCGVVYGDLSTSPLYVYKSTFAGPLRRFEDEETIFGVFSLVFWTITLIPLLKYVFIVLSADDNGEGGTFALYSLLVRHAKFSLMPNQQAADEELSTYHKPGYAAQDTAILRALRRFLENHSKSRTCLLLMVLFGASLVIGDGVLTPAMSVMSSFSGLQVHSHTLTNGEVVILSCIVLVCLFTLQHWGTHRVAFLFAPVIVTWLLLLGGTGVYNIIVWNPRVFYALSPTYLIRFFMRTGREGWIALGGVLLSMTGTEAMFADLGHFTATSIRVAFVGLIYPCLVLQYMGQAAFLSKTPECDIHFIFFQSIPVGLFWPVLVVATLASIVGSQAVITATFSIVRQCMALGCFPRVKIVHTSSLISGQIYSPEINWLLMLVCLSVTVGFRDTMLIGNAYGMACSGVMVVTTLLMSLVIIFVWQLGFVMATLFLLAFGVVEGAYLSAALMKVPQGGWLPLALSLLFVAIMYTWHYGTRLKHTFDVQNKVSLRWIHALGPSLGIVRVPGIGLIYSELATGVPAVFSHFVTNLPAFHQVLVFVCVKSVPVPHVCPEERHLVGRIGPRDFHMFRCVVRYGYKDLLGEDSDFENDLVLRIAEFVHMEAADAANNRPSDGAASAVEGRMAVVRRPSDLARTGRLLVQEPADEESVVVRAATAATDGDKSDTLESLQAMYEAESPAAAGAYGSRRQRVRFEISELAGEHVDPEVKEELAAIVEAKHAGVAYIMGHSYIKARKSSNVFKKFAIDIAYNFLRKNSRGPAVALNIPHISLIEVGMIYYV >SECCE3Rv1G0206810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:911263810:911266404:-1 gene:SECCE3Rv1G0206810 transcript:SECCE3Rv1G0206810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLIPTASSSNSSPEESTWTQENTFNIPIPATEENVPADHEQEQGPEELQNLFDLDQESMSIMMKVRFLIEKLNAASVLVFPSTCDEKQQVEVYQRLSRYYIEALKLSSLEKELDDAQSNKDLNPLHVYENIFLTLVEKDPEWYFHPEQCKLAGLDDYQRLVLRDDPMYGDWDEYRLNYHTYLGDLEYVKFREEISAKIKWIEDEAALFGDQRMKNELVALFQSLKIALQFRNIPGRSVMSGFREHINNLRFDFKDRKDLVGLYLELWKRVAKNKVNFGEALRQLYKEDIFPSRKALIEFALDSCPDTSLIKYNYDTYVSGIDEKLPEDEAHPLITEAVKKMFKKKKNYLDYTRKKLEIAARIGLIPTTA >SECCE4Rv1G0242010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:467788772:467792707:1 gene:SECCE4Rv1G0242010 transcript:SECCE4Rv1G0242010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRRGARPGLALLALAFALALAARGADASIHEYSGGGFAPRANSFFFHGGSEGLYASESSSNSSNSFIRFDIVTFRRSQESAARHEEMQQKTGLVEAIIVEIQDRDKIGGSYLHSDAICCTPELDKEKSCKVGEVIIRTNPDNPDWPKRIQTFFDGKNEETTMGTQSVSINKTGMYYLYFMFCDPQLRGLKITGRTVWRNPHGYIPGKMAPMMTFFGFMSLAYLALGLLWFLQFVRCWKDILQLHYHITTVIALGMCEMAFWYFEYANFNSTGTRPMGITLWAVTFTAVKKTVSRLLLLVVSMGYGVVRPTLGGITYRVAALAIIYFTASEALELVENLGNINDFSGKTRLFLVLPVAILDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLAMSVVISIAWIGYELYFNATDPLSELWRRAWVIPAFWNVLSYVLLAIICALWSPSRNPTGFAYSEETGDEADEEGLSLVGSAVKGTGDMVNMHVFTEDKRA >SECCE1Rv1G0013540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:106585033:106585314:1 gene:SECCE1Rv1G0013540 transcript:SECCE1Rv1G0013540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEIFKHVRDVDCYPNISIAYRILFTVPVTVASAERSFSKLKLLKNYLRSTMSQERLNGLATLCIEKKLLDDIDIDPIISDFASRNVRRNF >SECCE3Rv1G0210930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946431564:946437135:-1 gene:SECCE3Rv1G0210930 transcript:SECCE3Rv1G0210930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPALPNPSPLLDDPLPPEPKPRRDTKVWKPKLRDPPPAAQDPDEDAPEEPEPEQDEGPEPPPPLPTDAIEPTPSGEEEVTDDTSSVSSVSSTATAASEAAAGGKAERPFPAATDLLHISYNQDYGCFAAGTKTGFRIYNCDPFREIFRRDLGPSPAAAAATPDNDQAVHQPPAVAGGGGGGIGVVEMLFRCNILALVGGGDAPHYPPNKVMIWDDHQSRCIGELSFKSPVRGVRLRRDRIVVVLENKIFVYNFADLKLVQQIETAPNPKGLCSVSQQPGSIVLVCPGAQKGQIRVEHYGARKTKFINAHASRVACFALSQDGRLIATASTKGTLVRIFNAAEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGLTTNDKPLPAPDADVPHTSPSFSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMQQLECHNFLKPSDQP >SECCE4Rv1G0259190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:683770512:683772824:1 gene:SECCE4Rv1G0259190 transcript:SECCE4Rv1G0259190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILYAVVARGGTVLAEHSAAATNAGAVARQVLERLPDGGADSHVSYTQDRYVFHAKRTDGITALCMADDAAGRRIPFAFLEDVHGKFVKTYGRAALTALAYAMNDEFSRVLSQQMDYYSNDPSADQINRMRGEISQVRSVMIDNIDKVLERGDRLDLLVDKTTTMQGNTVRFKRQARRYRSTTWWRNVKLTAALILLLLVIVYIALFFVCHGFTLPTCIR >SECCE7Rv1G0519710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865580584:865582893:1 gene:SECCE7Rv1G0519710 transcript:SECCE7Rv1G0519710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQTRVFIVHMLPADASRFFARASATNMMTEGYVWIVTDNIGIVLDVLPQHTIETMLGVVGFRPYVGKSATVSGFMARFVTRYRAKFHQDPDVRVARPTIFQYWAYDVVWAIASATGKAINLGSSTPGNIGNLVQVSPAGQELLNSIMDEDFDGLAGRFRFVDRHLPVPAYEIVNVIEEKTRRIGFWSPVFGLSPFLNSSTQPVHDERRGWDFPVNGKILQIDVPVRHDFKVFVNVEASPNSSELIVSGYSIDVFEAAVKKLPYAMRYKYIPYDCANSYDNLVSEVYFKTFDAAVGDVTIIANRTRYVDFTMPYTESGVSMLVLARKDDDEPTMWVFLEPLTKDLWMATIAFMVVTGLFVWVIEKPINEEFKGSKWRQFSTSFYFAFSTWTFTHDQKFKSLQSKVIVVSWCFVMLVIVQSYTSLSSMLTAKRLQPSVTDPRQLLHNGHYVGYQNGSFVHSMLRRLGFEERWIKTPYINSFLLRYNKGFQKVGPIDRTVGFGFVFPKGSPLVEDLSKAMLNFIEGPEGSNIEKKWFSDPILSLDYGSPDTDSLRLSSRSLLGLFIRNGCVLVLKIIINLSRRACAKSTAKRNTASASNSEAQPSLNCNGVPAIQSL >SECCE7Rv1G0480550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:271458974:271460332:1 gene:SECCE7Rv1G0480550 transcript:SECCE7Rv1G0480550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADWTWACRAWEKWTAKHVGSSGMPVKAALLLNYDPTGPSRLLPIVAEEEGTKFTAVDLQPFINFFRRNNLQTEFFSIGPNQYLVTSIHEHWFCARCVNTTQPGGEGAIVMQIGAYLLVSMYDGSVGSASQAMVAVDQFAWHFNRRTH >SECCEUnv1G0555020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:295822419:295828623:1 gene:SECCEUnv1G0555020 transcript:SECCEUnv1G0555020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGGGHRGRGRGGESSGAQEGGRGQGGGRGRGLEAGGERGRGGHGHAPRGRAGEQEPHGGRGGDGRGRGRGGERGGHRDDAARGRGGRGGGGGYPSSPSRPAAGGRGDVAMVGEHDPRYGGAGPGRAVQPVAAPTAAVEVLGAEVERKMALSKAAGERPSSSSSAPVAAEEQRRVVMEPRVPAAPANLPPVSSKAEKFPARPGFGTIGRRCRVRANHFLVQVADKEIYHYDVAIDPETRSRGWNRSIINELIKLYKEHLDGRLPVYDGRKSLYTAGALPFKNKVFVVKLANAAKGNKREEEYKVTVKLASNLDMYSLRQFLAGRNRELPQDTIQALDIALRECPTTKYVSISRSFFSQSFGHGGAIGNGVECWRGYYQSLRLTQMGLSLNIDISATAFYKAQAVMEFALEYLNIRDASRPLIDQDRIKLKKALRGVRVEATHRTDKTIRYKITSVSSAPLKELMFDQDGVRVSVVQYFKKQYNYTLKYINWPCLQAGSDSRPIYLPMEVCSIVGGQRYSRKLNERQVSSILKMACERPAQRESSVLEIVNRNNYGNDDYSKEFGMKVMNQLALVDARVLPVPRLKYHESGREKVCNPSVGQWNMINKRMVNGGSINHWACLTFASRLHPNDIGMFCRDLAHMCNSIGMEMNMEPCVNITQARRQDTVESAIRNIHRHSAEVLTKQGLEGKQLELLIIILPDISGSYGKIKRLCETELGVITQCCLPKNVQKGGKQYLENLSLKINVKVGGRNTVLEDALYKRIPLLTDVPTIVFGADVTHPAAGEDASPSIAAVVASMDWPEVTKYKCLVSSQGHRDEIIADLYTETKDPQKGLVGGGMIRELLLSFYRATGCKPHRIIFYRDGVSEGQFSQVLLYEMDAIRKACATLQAGYLPPVTFVVVQKRHHTRLFPENHRARDLTDRSGNILPGTIVDTKICHPTEFDFYLCSHAGIQGTSRPTHYHVLLDENRFSADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYMEDEFSDGGSSSATARSAPARQLPKIRDSVKEFMFYC >SECCE7Rv1G0461810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:40208455:40212279:1 gene:SECCE7Rv1G0461810 transcript:SECCE7Rv1G0461810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGRPDSPPALPYELVEEILLRLPPDDPACLLRASFSCKAFRRAVSRPHFRRRFIRLHRHRALPLLGFLHNWEDERIPRFLPTTASSFSLPAPDLASWLALDCRHGRALFLSKVSVAQELLVWDLKTGIGRRVPVPPLFKDGYKYRTAAVFCPADGCDHRDCHGDPFRVVFLFTVPMYHQLRWPGHTAARVYSSETGTWGKLTAMLHTSCLIFTFHSSVLLGSSLLYFMSDSGWILQYDLASHDLTGFNPPHDGPEKTFSLMVAEDGGIGVGEAFGSQLKLWSREESDGTDARWVLWVLSRVINLEILLPNGALVDAETRVIVLGFAEGVNVIFVNTVAGLFTIELQSEQVKKVCDDHGFCNLIPVVSFYTPVDRGEYQDLLSSIPSEEVADEEGGEEEKTVDDAQQLLDEGSSAIEGGFVNTFECVSHDLNIRSVPSEESVTDTSSEDDDDGESKCCGSNGEDAAPSSEEGDSEEVLC >SECCE7Rv1G0520780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:871919123:871920716:-1 gene:SECCE7Rv1G0520780 transcript:SECCE7Rv1G0520780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTMIKKPHAVFVPFPAQGHITPMMKLAKIFHCKGFHITFVNTEYNHRRLVHCRGADAVAGLPDFRLATIPDGMPESDADATQDIPSLCYSIMTTCLLPHLKNLLRDLNGVVGAPLVSCVVADGVMSFSMDAAAEIGVPCVLFWTASVCGFMGYRNFQFLLDEGLIPLKDGEQVKNGYLDTPVTHARGMSKHMRLRDFSSFICTTDPGDVMFNFLKHEAEQSDRAAAVILNTFDELEQTALDAMCSILPLPVYTIGPLNFLTEQLVSEGGGREPRSVVYVNYGSITTMSKQELVEFAWGLANCGYDFLWIVRNDLVKGETAGLPSEFLEATKGRCLLASWCEQEAVLRHEACRVPMLCWPFFAEQQTNSHYACVEWGVGMEIDDDVRREVVEARIREVMEGEGVGREMRRKAAEWSEIAIRATTQPGGRSLANLESLFKDVLLTATKNAG >SECCEUnv1G0560540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:348245902:348246207:-1 gene:SECCEUnv1G0560540 transcript:SECCEUnv1G0560540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQVVLLAVPAVGGFMQAFKFSVLLWPFNLTLPLLRHLPRVCLTLMAAAAHYDAELRAYLTGRRTVPLQEPRYSTLRGVQGRMGEQLTAHGMIALVDISY >SECCE1Rv1G0001580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:5267725:5268058:-1 gene:SECCE1Rv1G0001580 transcript:SECCE1Rv1G0001580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVVLLLLLLLLVTTEMGTTKVAEARACLSQSHKFKGACFSDTNCAGVCRTENFPGGECNMHHIERKCYCRRDC >SECCE2Rv1G0106980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:641423033:641428741:-1 gene:SECCE2Rv1G0106980 transcript:SECCE2Rv1G0106980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSDHPSAPSCSSQSVVRVVGADPATFHAADSPESARQDPVDLVQPCPTFSIRDYVFDSRSKGIKRSWPFHPQSLELCLKRGVKDLLPPFEPPDLLRSRSFYTCVDSEQSVACPEAGAFDDLVKAREAGLTNVNTTGINLQSCQSADESLGPSQYTPTEGGKTTATDQGGNINESGHISDAIQAYQEDNICTKASSRTEVARPYLKSLGSSRETSEKKGKLLVKSGSMTDIRQRKDLSYNSSSVSNPKASNTCPVCKVFSSPSNTTLNAHIDQCLYAVSNAEPVVETVIVKPKVKQRKMQLMVDIYKTALPYTLDDLDRRNGTNWATEFSVPTVNKVVCAKNRSPRVVPSEAKDSERDSDVYVDSNGIKIRILSKPIGAPLVLGNEVGLKQVVKHQTGKSILMKKTSLESKSFRNKKFKVHGKKCNRLTHLKSQVELYPDGDIHDDTSEEEPTMHTQKPTESSSCGRSETIRQWVCSKRSGITNNLSRKSINISSDSMKPGTTKLARSRMIGGFDDPQITESYTEAFSSRSTEETATTLEVNENDDQEKGSSRLFRSIPRWSSENPSSSSAFPKVPRSAATLAKRKIKEIGRREASKSDKYETVRNSTSTKCSEPCLSVSIRGLSNDSKRTVSTSKVLRKHRSLSRTRKRDFSPSISGLVNDFGQEHELDHRHVKNTFSVTNNGTSEKVVKHTQEDTTDNDISYGTDMPVLGQGDHQHDVTQQTTSTHMDYEGEEHATQMQCTSVSRNTHEDCCSAISSGSLSLENSKTVPKGSSSTQYQCSTKQSTHHTHVSNIVTNNEMEECQVDPASTKESSTCFTNNRDMGLATPRDNSSITSNREDSNQDHVFLAFGRDSSDSPISVASTMSSPVALNDSRNEELGPGPSTVNVRTLEKSMSGSSQETKSMPPAREGEQLAKEKLYCCSCRESISREPHLDHESSTARSGTFARKQVPQLHMGLRTSSSFSTYQRTDTNSNPYLDSHGQLLTGKVLTESSMSSPSYATDCIRPSLQTQLPSPPSPMLRLMGKNLMVMNSQESGRPQAPKPAYMLGGNYMPPASFVPPDYQHSHSAFIDRTPSVRSHQIPLPSVQAGNFVGPPMHGGFMVQSNHHSLQKPYRNPAPVMHHPTYMMKEVIMINDDPPECRSEPQVSMHPPTGTYPTSMAVPNNFGPRPFYCHPPPMQILPRENFAGSMPVFPMFGAQRQQVRYSQSLQLTPSHVQPPQGYINPHVYYPQDLR >SECCEUnv1G0562090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:362163748:362169912:1 gene:SECCEUnv1G0562090 transcript:SECCEUnv1G0562090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVLATRGEDVRTTKNRENIDQIRQVNKTIVTDAGDVYDCVDVNLQPALDHPLLKEHKIQMEPSSFPNGLNVKSPFLHVESEAHPSTIECPIGKIPILRNNRREHIAGHNIDAVFTQFKQQEMAGIKYFADVYGAQAIINVYEPKVKKDSKDLSATAVQIDNGPDGPNGLDSIIAGYSVAPNVGDSFARFHIAWVEGASKKSCYDHTCPGFVQVNHNFGLGGRLQHVSVYNGKQYIIKVLIFKDTKTKNWWVAYGEGNTPIGYWPSSLFTYLSDKGNYTLWGGHVSGPTASSDSPQMGSGHFASEGHGKAAYIKNIQIVDANNNLVTPNENRVVDGSSDIRKYTVDGYGIDKQGIHMYYGGPGNFV >SECCE5Rv1G0354110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:721911236:721912929:1 gene:SECCE5Rv1G0354110 transcript:SECCE5Rv1G0354110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDATEHTSHHLQLTMDKAYIAILSFTFLFLLHYILGKVSNGRRSKGALELPPSPRAIPFLGHLHLLEKPFHAALCGLAKRLGPVFSLRLGSRRAVVVSSPECARECFTEHDVIFANRPQFPSQLLVSYDGIALSTSSYGPHWRNLRRVAAVQLLSAHRVACMSGVIGDEVRAMARRLFRAAAASPGGAARVELKRRLFELSLSVLMETIAQTKGTRSEADADTDMSVEAQEFKKVVDEIIPHIGAANLWDYLPVLRWFDVFGVRNKILAAVSRRDAFMLRLIDNERRRLDDGGAEGDKKSMIAVLLTLQKTEPEVYTDTMITALCANLFGAGTETTSTTTEWAMSLLLNHPAALRKAQAEIDAAVGTSRLVTADDVPRLAYLQCIVSETLRLYPAAPLLLPHQSSADCKVGGYNVPSGTMLMVNAYSIHRDPAVWERPLEFVPERFEDGKAEGRFMIPFGMGRRRCPGETLALRTIGMVLATLVQCFDWERVDGVEVDMTESGGLTIPKAVPLEAVCRPRPAMRDVLQSL >SECCE6Rv1G0403690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:508954462:508955625:-1 gene:SECCE6Rv1G0403690 transcript:SECCE6Rv1G0403690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTEKEREKQELLGRAGAVEIFQRAPTDKPAFTLAQIKKAIPPHCFQRSVIKSFSYVVYDLVIISSLLYAALVWIPALPAMQQLGAWPLYWVVQGCVMTGIWVIAHECGHHAFSDYLLLDNIVGLVLHSCLLVPYFSWKYSHRRHHANTGSLEHDEVYVPKKKEALPWYTPYIYNNPVGRLGYIIVQVTLGWPMYLALNTSGRPYPRFVCHYDPYGPMYNDLERAQVFISDVGVLAVALALFKLVSAFGFWWVVRLYGVPLLIVNAWLVVITYLAHTHPALPHYDSTEWEWLRGALATMDRDFGILNRVFHNTTDTHVAHHLFSNIPHYHAMEATKAIKPVLGEYYQFESNSVAKATWRSAKECIYVEPEDRKGVFWYSNKF >SECCE5Rv1G0346830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:664188966:664193324:1 gene:SECCE5Rv1G0346830 transcript:SECCE5Rv1G0346830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSPLPPSPCNPNTSGSTSDTKIFPTPPPPSTWFTQDVLLGFEQERTSSEGSFTCMEGGDAQPGISVGRALSSEGDLDLLEQLLSGDNAWLEVAPNTSRSPSFFASPSTFLSDATTTTTMPAGANSSTLWVQPASSTVRQRFEQALAYIKDMQRDAGMLVQLWVPQKNCDGQLVLTTSGQPFTLDNNSDRLLRFRDVSTHYRFSADVGSESSPVGLPGRVFIGKLPEWSPDVRLFTSYEYPRVKYAQDLDVHGTMGLPVFEKGSYSCLGVMELIMTRQKLNFTSEINNICSALQAVNLRSTEVSSIPRATKFNSASYKDALPEILEVLRAACVTHNLPLAQTWVTCAQQGKRGSRHSDENYPYCISTIDTACYVNDPQMQNFHDSCSDHHLLRGQGVAGKAFDTNQPCFLPDIGSSAKELYPLSHHAKIFNLKGAVAIRLRCTRTGTADFVLEFFLPTNCEALEEQKAVLDSLSGTMRSACRTLRVVTDKEMGDEAMLDVHEMNSFGLQGKNKVEELSFGDQAAEHREETSWTSLAGTSKESDLAELSIHGMLSPAGQGLSPAGAQTSAQGSKGKRRTKTEKTVSLPVLRQYFAGSLKDAARSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGGETAFQLNTLYKDLTNTSVSSDNNLSGSVTVPPHKQSNLADFEGHRHHRLSSNVPSTSHSHSSCSQSSDSSPSSCSGGSTKHPPQAGVDLLMSGNPLNHSPVQTLQTENASIRGHFPVQEAPDLLHNLNQKALGGQHSSRSPSPPKQNADAGMRIKATFGSEKVRFRLKPECSFQELKQEMARRLSIVDTSFLIVKYLDDDLEWVLMTCDADLQECLHVYKLANLQTVKISVHLAPIPEARVTVGHTGLP >SECCE3Rv1G0209090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936469810:936471773:1 gene:SECCE3Rv1G0209090 transcript:SECCE3Rv1G0209090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGFLDLERHFAFYGAYHSNPVNVFIHALFVWPIFLTALLLLHIAAPFPRAAAVFTAVYGAFYVSLDRRSGALAAVLCLLCWGASGALAARLGFSLGWKVVLVAQLFCWTMQFVGHGVFEKRAPALVDNLVQALLMAPYFVLLEILHKFAAYEPYPGFHANVQKLIDAKRKEWADKKAKKLS >SECCE7Rv1G0498300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:637732866:637733297:-1 gene:SECCE7Rv1G0498300 transcript:SECCE7Rv1G0498300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQRSSSTFRRSGSSGLVWDERFLTEGAEAKAAGEGGTDDAQPEMRRSRSTGGVGMMLRRAGGGGEDKKKQQEKKEQGQKKDEERDPQVFRTKEVAPDMDPPSPRVSGCILCAIFGGSGTRPAARRGRAKPKRRQRSAVKV >SECCE7Rv1G0498480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:640768849:640769337:-1 gene:SECCE7Rv1G0498480 transcript:SECCE7Rv1G0498480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGQKKNILAKTFQKCRSLGHRRQPAAYGGGGAGSSASAPASPRVADGRVAWAVPAGYFAVLVGPEKERFAVRARCANHPLFRALLDEAETEYGFAGCVGPLELPCAVDDFMEVMWEMEQGGGVASPSCARFAGRGHHQQQQHHGYRMLSPGTFLVAGRS >SECCE2Rv1G0113490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:723129888:723131314:1 gene:SECCE2Rv1G0113490 transcript:SECCE2Rv1G0113490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEARAVREEELSPVAEAAPVTARRPVRADLEKHIPKPYLARALVAPDVYHPGGSKEGGHQHRQRSVLQQHVAFFDMDGDGVIYPWETYQGLRALGFNMIVSVVIAIIIHATLSYTTLPSWVPSLLFPVYIDNIHRAKHGSDTAVYDSEGRYMPVNFENIFSKNARSAPDKLTFREIWVMTDGQRQANDPFGWVASKAEWMLLYMLAKDEEGNLPREAIRRCFDGSLFEFIADERRQPHGKQH >SECCEUnv1G0529860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9596020:9596562:1 gene:SECCEUnv1G0529860 transcript:SECCEUnv1G0529860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTPTISIAMVLVVLALMSYGLPSAHADVAFISNTCKKTKNPALCEDVLSYHENSTQASTVHELASIALEIATAIAKFNSKNFGVGARYSQGTPVGDALLACLMVYGDAIDNLEVLAKHSLQVGDYADALRKVLRAKAASDVCENAFKWIKEDPPVESDRKMKERCGVTAELIRLLTHK >SECCE1Rv1G0011650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:80311122:80314242:-1 gene:SECCE1Rv1G0011650 transcript:SECCE1Rv1G0011650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrogen regulatory protein P-II homolog [Source:Projected from Arabidopsis thaliana (AT4G01900) UniProtKB/Swiss-Prot;Acc:Q9ZST4] MSPATSSAVGTLSGGLLTNAAHRRRPFPTARLLPPRWSRLQVNAARHRRPATPARAQSAPSPEYLPDSEFYKIEAILRPWRVSHVSSGLLEMGIRGVTVSDVRGYGAQGGSTERYEGSEFSEDTFIAKVKMEVVVCKEQVEPVIDKIIEKARTGEIGDGKIFLIPVADVVRVRTGERGVHAERMIGGLSDKVPPVITIS >SECCE3Rv1G0180590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:585399605:585403700:1 gene:SECCE3Rv1G0180590 transcript:SECCE3Rv1G0180590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRTTPSAGHLSSRRPRRLIIDEDDDGGEGHRAPEVAAAGTQRVEAESAQDLVRNPLAPSSSSQPAAAPATRAQSAEIIEIDDDRAPVVASAVSQRVEAESAQDLVPNPVAPSSSALGTGGQPAEIIEIPNDEEEDVGEAAGPVKEEPVDDIDWPSLLLSSEEEKEAPRSDESTSGGGPDGTSNGIGTSRREGACGDGEEEGEEVGMLDPDDKDGAEDGHEEEDKEEEEEEEDKDEDEWEQEEEEEEEEWEEDSEEFEAEEEPGRRVLSDARVAGRYTGPRPGGDGSRAEIFLKRKFEGWYITKMADTASTSSTLASRLRSKRRCPDAKLLRQATRRKPYCVDTPSQSSSEAEEDDKDKPPPHPARSSSDEGGRGHGRTGIRHPRRRGKNADDDSDEDDGTATRRRRGQNAAHSDEDFEEPGGMAAARRRKKQRMEEDDAAADGGDEADEQGASRRAKKEGAASCRQAAGRKNKDFPAEGWEQQGDVTFKKSSLVPPRRQDGRDQETYDDLLYSIFEGIETTLQNASVPLDAPVPAPEQGGDPFPLVFSFGVQDEVVPEKTDLDDLWAQRDLALDLEAESKKLSSHTCHKDAESDEHEIPAHVGTFCKRGKHDLFHDDQIGVRCRKCDYIEIEIRHVFPSMAKESTDREPAVDHDKLDLFVDDILKSVGYEGASNVGLGVDKTGVVWDLIPGVREDMFPHQREGFEFMWRKLAGGIDIEQLRHTMNTDTTSGCVISHAPGTGKTRLAITFVQSYLELFPHCRPVIIAPRGMLATWEQEFSKWNVKLPFHVLSSSEIQWDQDKTIQKLVSKDHGLGQKLAMKKLSQKSKMMLKLASWYEGSSIIGLSYSLYRNLAKGEGKDGEMQRNLLLEKPGLLVLDEGHTPRNKKSLIWKVLAEVSTEKRIILSGTPFQNNFLELYNILCLVKPKFAKDFACTRLGKKGVASTSQSRTAAPHLEEDEGKEFWSSLRISNITDEHITEIREKLGPFVHIHNGDILQKSLPGLRESVVILNPLPRQKEIIAMMEESAGKGFLDAEYKISLASIHPFLVTSTKLSDTEAAVVDKMKSVRLNPCEGVKTWFVFEIIRLCEALKERVLVFSQYLEPLALIMDQLTTELDWTEGKEILLMSGNVRVKQREALMEAFNDMNSEAKVMLASTKACCEGITLIGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLIAEGTKEKVKYDRQATKDHMSKLLFSNEPQPTGSNLSPELISNDRILEKMAACEDLKDMFVQILPSH >SECCE2Rv1G0139090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:925201340:925201673:1 gene:SECCE2Rv1G0139090 transcript:SECCE2Rv1G0139090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKCIIGALVGSFGVAYVCDTIVSDKKIFGGTVCKTATDKEWFQATDAKFQAWSRTAGPPVIMNPISRQNFIVKDP >SECCE6Rv1G0413110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:621226018:621227352:-1 gene:SECCE6Rv1G0413110 transcript:SECCE6Rv1G0413110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVEEATVVEEVKVVECCMVTPSEEMPRRGLWLSPLDLMMVNRGHTPNVYFYRSGSGDGFFDVARLKASMAKALVPFYPLAGRLGVDGDGRPEIDCTGQGARFVVARSDLAADDFSARQPSPELRRLFVPRDIDDVMLAVQVTFFKCGGVALGTALHHVAIDALSAVHFFQTWSAFSRDGAGDGSGVAAAALELPCHDRTLLRARSPPRVVHPDALSVFLPLKNDPNIPVPEPSGPAVNEIFVLSNNQVAALKRACGGASTFCALSAHVWRCMCAARRLPTDAETRLSFPANFRRSLRPPLPARYFGNGIIMVGTACKVRDVVTDGLEDQAPLAPVAGRIRDAVRGVDDELVRSTIDYLETAPTMPAACSLPATELRINSWLGMPMYDADFGWGKPLAMQRAVQQRAGLVYLADNGGDGGVRVLVSEPSSVLEELRGLLYAKI >SECCE2Rv1G0098320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:480492432:480493388:-1 gene:SECCE2Rv1G0098320 transcript:SECCE2Rv1G0098320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLLLLLLLLPSAAVARQSSSFALDFFPGDGAIAQLALTGANATSAGDISMRTPHARVQYHKPIHFAPAAAGFSTYFSFSLHPSPKSQAASIAFFLTPAAPSPAVNALAVVFAAADSSHIRVQIDLAGETAAQTAPRGIPKKLHSWIDYNATSTTLQVRLSASRLPKPPRALLSHPLHLDSALLRSSKPMLAGFASSHANCSLFSWAFRASHGPPFLMHSQPLDPTGLSLTTPPPDRLPRARPGNRYPWVSLLLAAACGAVFTFVVLFVWYSMGKRRPVAPVEYPMHPSSSDIVYEKIVLVGVKDLPADVTAAAHK >SECCE3Rv1G0178690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:511392031:511411604:1 gene:SECCE3Rv1G0178690 transcript:SECCE3Rv1G0178690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPANIIVGSHVWVEDPTLAWIDGEVVSIKNNEVHVQTSNGKKVTTDRSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAIADVAYREMINEGKNNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDTQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAVLHIGNINFAKGKEVDSSVIQDDNSRFHLNTASELLECDCNNLEKALITREIVTPEEIITRTLDPESALASRDALAKTIYSRLFDWIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFVKPKLSRTDFTVVHYAGDVTYQADHFLDKNKDYVVAEHQDLLNASSCPFVASLFPSLPEESSKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLGPELLEGSNDDKIACQKILEKMKLENYQIGKTKVFLRAGQMADLDARRAEVLGKAARIIQRLMRTYIARKQFVLVRRSATHLQSFVRGTLVRNLYECMRREAAAVKIQKNVRRHKARESYLLLQAATVTLQTGLRAMSARKEFRFRKETKAAVHIQARWRCHSDYSHYKNMQRAVLTYQCAWRQRLARRELRNLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLKTDLEEAKAQEIAKLQETLHDLQLQVEEAKTMAIKEREAARKAIDEAPPVIKETPVLVEDTEKINSLTAEVDQLKALLQTERQATETAKKEHAEAERRNEELMKKFEGAEKKIEQLQDTAQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLRTPEILNAPNGEVKSSPDVTPISLNLKELEAEEKPQKSLNEKQQENQDLLIKCVSQDLGFSSGRAIAACVIYRCLLHWRSFEVERTGVFDRIIQTIGSAIEVQDNNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSTAASFGRVFSGIRASPQSAARPFLGSRLIGGLGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMTEIEVTDVDMPPLIRENSGFTFLHQRKD >SECCE5Rv1G0302060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:35013579:35013988:1 gene:SECCE5Rv1G0302060 transcript:SECCE5Rv1G0302060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQAPIINMASLGSRAAGRRHPTVLQQIALFLVVAAVIMNSSVCVGAAGHDAAAVGTDPNHPTFPSPPGKPYTGGRGCRTIYGCRDVPPAGGQP >SECCE4Rv1G0254630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:639898573:639903299:-1 gene:SECCE4Rv1G0254630 transcript:SECCE4Rv1G0254630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPNHKVVVNFQSVANAPKLRQSKFKIGGNEKFARVIEFLRRQIHQDTVFLYVNSAFSPNPDELISDLYNNFGIDGQLVVNYASSMAWG >SECCE2Rv1G0106300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:634184074:634188603:1 gene:SECCE2Rv1G0106300 transcript:SECCE2Rv1G0106300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAKVTITLGRSGQVVKRRTISDMGNDDEVPVSGRKRPVRERLGNNVADSDLYGSQQSNKRRQTESSSSQLGDDGLARQIGRDDLRLKLMRKGLTQKSNSEAEQNGVDLREKLSRKSKKLQGYEARRGDPESRSSYDEGEIPESGSGYATRGRVPESRASTLVSQVPSSRGADGLFKLESSGKPYPSWTADCLRYMSPDKPSVRRDITPPRSVRRGMSSPRAVRRGMSPPISARRGMSPPRSARRGMSPPRSARRGMSPPRSVQRGMSPPRSVRRVMSPPRTYDHTGSIPSLRSVGTTRPSSHITRDADTLRTHQPYEDSSTIGIDRGQQTNGITPSGRRPTSPVLKEVPSTVTGLLNSMGLEKYVVLFQAEEVDMAALSQMKDSDLKDMGVPMGPRKKILLAAAPYGKQRQR >SECCE6Rv1G0401960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:476207949:476208137:1 gene:SECCE6Rv1G0401960 transcript:SECCE6Rv1G0401960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLELGIWILPVTRIFTPCRRLVLLLANLQQLKASIMRTRSSSPAIWSRLARLQTITVTL >SECCE4Rv1G0293860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887306798:887310296:-1 gene:SECCE4Rv1G0293860 transcript:SECCE4Rv1G0293860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLVLACKPYLSPLLILVLFLSQTHLPCVNSSYPPTPALDESEADQQALLCLRSQLHDPSGVLGSWRNMSSTHCDWHGVTCSASRVIALNLESANISGQIFPCIAGLTFLTRIHMPNNQLCGHISPMIGRLTRLGYLNLSTNSLSGELPETISSCSSLEIIDLYSNSLEGEIPPSLAHCSSLQQIILSNNNIQGGIPTEFSFLANLSALFIPSNKLTGTIPHLLGGTNRLTSISLQNNSLSGRLPPALFHSTSLSYIDLSYNNLYGPLPPFSQTPSPLKYLMLTKNNLSGEIPTSIGYISSLLVLLIAQNKLQGKIPESISRIASLQKLDLSYNDLSGIVPPTLYEISPLTYLGLGANQFGGRLPNNIGNTLPSIKKLILEGNQFVGPIPDSLANASNLQALNLRSNSFSGVIPSLGSLSMLNYLDLGANKLESGDWSFLSSLSSCTQLQKLWLDRNNLQGIIPTSITNLSKSLEVLILIANQLSGSIPLEIENLSGLTVLEMDMNFLTGHIPDTLGNLRNLSILGLSHNKLSGEIPTSIGKLEYLTKIYLEENDLSGNIPTSLASCKTLVRLNLSSNSLNGSIPSELFSISTLSEALDLSYNHITGHIPMEIGRLNNLNSLSISNNELSGEIPSSLGQCLVLESLHLEGNSLQGNIPGSFISLRGINVMDLSRNNISGKIPEFFNSFSSLQILNLSFNDLEGRVPEGGVFANSSIVFVQGNLLCASSPMLNVPLCTPSPPKRKKTIFIVTVLVPLTTIVLVTLACATAILLKKRSRAERPIDLPLKQFESFSYDDLLEATDGFSSASLVGSGGLGLVYKGQLMSQVQTIAIKVFKLDQFGAAKNFLAECEALRSIRHRNLIRVISACSTIDPRGNEFKALILEYMENGNLDTWLHPKAYNQSPKTTLSLCSRLTIAVDIAAALDYLHNRCTPPLVHCDIKPSNVLLDDEMVACLSDFGLAKFLFSNSSTGLDNSSSIAGPRGSVGYIAPEYGTGFKVSVEADVYSYGVILLEMITGKRPTDEMFQDSMNIHDFVEAAFPERIVEMFDSNLTIDDEADEENLASVVEQWCVIQLAKLGLKCSEKSPKNRPKMEDVYAEIITVKEKFQRCILEESK >SECCE4Rv1G0233750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:250436291:250465103:1 gene:SECCE4Rv1G0233750 transcript:SECCE4Rv1G0233750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPPPPPAVETHFADLCKELEVDEAVASEAAALLEEGKGVFLTSPSFGSKSPEDVERLCFAFVLYCVAKLKGKGTKEESSRVRLWKILEGCKLKYNDFFKESQQLLSKSDHVLRSRYGTDWEDQLELKQLQSLVNLLADASRFYCKAFNELFLSASIGQEPGLTKSNPEYFCFGWLFFLALRSKSPELFKDLVSCIHGLVAILAILLIHVPAKFRSFTTEGSSHLIKQTVRGVDLLASLCHNYHTSEDRLKEMMGKLHKAINEFFSMKAVRASECKTETLDKIDTDGLIYFRDLLDKECFHSKFEKLEKLSGTTSWEGELNLKMFLINNDNIISAENSSRDFTNLSCPKRVFETLASPTKTIKCMLTVPSSPSSPDSNGGSVKVVQMIPVTSAMTTAKWLREVISSLPEKPSSKLEKFLSSCDTDLTSDVTKRVSIILEAIFPTKPSGHWGGSMGLNCTNAFDIPWAGARKMEASKLYYRVLEAICRAESHNTNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKMIENFVRHEESLPRELRRHLNSLEEQLLESMAWEKGSSLYNSLVVARPSLASEINRLGLLAESMPSLDDIVARQNFHAEDLPATPSKKWAADPDENGDSRSPKRSCIESRSTLVDQISQTPPAKQGYTLKAKWRPLQSTFASPSVSNPVGGNEKCAEVGVHIFFSKILKLAAIRIRNLCERLQHVEQTERVYNIFKLILDQQTTLFFNRHIDQLILCSLYGVAKVSQLTLTFKEIVNNYKREQQCIPEVFRSVFVVNTNHNGGLESLHVDIIVFYNEVFVPAVKPFLVALIPSGAHPDNKKNPNSQIPGSPKSSPFSNLPDMSPKKVSSSHNVYISPLRQTKKDALLSPSSRSFYACIGESTHAYQSPSEDLAAINNCLNYTSRRINTRINFDMVSDSVVAGSLGQPNGVPASSDPTGSFSFLSRKDNPGPDS >SECCE3Rv1G0213580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961525690:961526832:1 gene:SECCE3Rv1G0213580 transcript:SECCE3Rv1G0213580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASPATAPSSFPSTRVHKPTHLSLRVSSKLRATAAAASASSDPAPAFRSVTAFAPATVANLGPGFDFLGCAVADASLSLGDTVTATLDPSLPAGAVAISAITSPSRPRLADRLSRDPLRNCAGIAAVAALRALGVRSHGVSLSLAKGLPLGSGLGSSAASAAAAAKAVDALFGSLLPRDGLVLAGLESEKAVSGFHADNIAPAILGGFVMVRSYDPFCLVSLPCPPALRLCFVLVTPDFEAPTSKMRAALPKNIAMGHHVRNSSQAAALVAGVLQGDAALIGSAMSSDFIVEPTRAPLIPGMAAVKAAALEAGALGCTISGAGPTAVAVIEGEEKGEEIARRMMDAFLAVGKLKATATVAQLDRAGARVISTSSLE >SECCEUnv1G0543790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:119194603:119196506:-1 gene:SECCEUnv1G0543790 transcript:SECCEUnv1G0543790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKVKNLGLLPENKKPRQGGSGERKFIGLGEHRGLGLDDNKDFEAKRSLSQDDLSTMTTAGFDGPSAKRKRKNQFRGIRERPWGKWAAEIRDPSKGVRVWLGTFNSAEEAARAYDVEARRIRGNKAKVNFPKEPTVPRKRRARPAAPKAPKLSAAHEPFVMPSVNNLANPNALVYPSGDFASNQPLALPNNVPFVPTMNSPAPVEAFVMNTYSDQGSNSFGCSDLGWDYDTKTPDIPSIAPISTITEGAESALVQSNAYNSVVIAEGADSALAESNTYNSVVPPIMENNDVDFKAWAKFLMDDDVDEPIDSFLNFDVPQDVVGNMDLWIFDDMPMCDEFF >SECCE7Rv1G0515040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:837707027:837711478:-1 gene:SECCE7Rv1G0515040 transcript:SECCE7Rv1G0515040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSTAPKPLEAGAAAAAVGGGGGDGGGGGGGGKGKEQQQVAVAVAVAAGPPMAVPADAAAAEEARMKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKTAPVASQAVLSQQAAPPPPPPRDQDGVNVSMGASMVAPNTNANAVAPSWDNALVQPTQVTSAIATNNCSSSIESQSGTWPTSEAVEQEKVLPQMRAMPDFSQVYNFLGSVFDPDTTGHLQRLKAMDPIDVETVLLLMRNLSMNLINPEFEAHRQLLSSYGSGGDENKPEGMENLGSQSSHLPSMVTSE >SECCEUnv1G0540990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:92930246:92932632:1 gene:SECCEUnv1G0540990 transcript:SECCEUnv1G0540990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEMAKEEVAMLMGMSDEIKDLSIKLGDLKNFLADADKRNITEESVRGWVGELKRAMYQATDIVDLCQLKAIEQGPSKDMECLNPLLFCMRNPLHSHDIGTRIKALNKEMDSICKRGKKFKFAKLEAYQDMKMTRSLATDRKTDSLMERSGVVGEKIAEDTRALVELLTSEAGSDKTGHHMVVAIVGVGGIGKTTLGKKIFNDEAMKGKFTKKIWLSITQDFTDVELLSTAITATGGDQPGGGGTRDRALLVDALKNAIEDKKFFLVLDDMWGADAWNKLLMTPFGYGGPGSRVLITTRSETVARNMKAAHCHHIDKLGPEDAWSLLKNQQGEITVGMGRCPE >SECCE2Rv1G0074780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:79614814:79615922:1 gene:SECCE2Rv1G0074780 transcript:SECCE2Rv1G0074780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECHRVVRLVAVVGVLLLRWPALSSAQAPVSRTITVDSHGGGDFWSVQSAVNFVPHRNREWVRIHVRAGSYTEKVIIPEEKGYILLEGDGAWNTDINFDDYAGAHVRSRGDTSPTYQSATFTVLADDFVARNIAFKNTHNAHDKINKSQAVAALVGGDRSAFYGCAFHGFQDTLCDDLGRHYFSDCFIQGGIDFIFGYGQSIYNGCTIVSNMPLSYGQEPGSVTAHGRVHAGAPGGFVFKGGQVRGTGRQYLGRAWNQYATVVYYHVNMSSIIVPQGWYAWNAAGDTNDVVFAEVGCTGPGSDMTGRVPWETQLSEAEMEKFVDMSYIDDGWLGEQPY >SECCE6Rv1G0426160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:711994855:711996039:-1 gene:SECCE6Rv1G0426160 transcript:SECCE6Rv1G0426160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDATGGANPLLSGLPDEIVTWEILVRLDPKSVLRCRAVRRDWRRATSTRRFLLANHSRQPTLPIFNTAKFIDRVYYRIILTFDHRAANNTWRNKGLNLAARLDKGFRPVAVASCDGLLVLSKYNRPASGDCLSICNPATREHASLGPPWDFKLLGMYSHRPTGEYRLLLKRWSYMGWPDLPKYRIGFYVFVLGSNQQPRYIGWPEMTSWCIHEPVMVRDCLHWYPVHYLGEGPYQSESNPVIVFDMITESFRQMHVPIVPTNSYIFEMDGTLAIQHHNSDTQVMDIWVLQNYEREIWDFKYHIKLPIAEICEFTGGDEPWDVDVVSRDGELLLLIGFGWCLLHIDSDGKLIDCSFHDYETLCMSKCQLKQSLVQHTFFPALEGYAVNTSPFV >SECCE5Rv1G0306770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:99636053:99637093:-1 gene:SECCE5Rv1G0306770 transcript:SECCE5Rv1G0306770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAEESNVSSAMDLPEESIVSPAFPLLVLVPPPGPDRSSYKVFSLPDQKLHDVTPVVSSKKVSLPTPQGWVLVLGSSDSSSDDPGTYLLNAKDESRIELPALRDDEASGTCRCVLSNTVAAPGCGVLVFDLASPVMWFCRVGQDLRWSRHGYDIGCFDLPEDYCPPTKRNFFDVAAVNGRFFFCESSDSLGTLDFHTSGASEEPEVRLGAIAVPSIEVPMGITATYVVESCNDLFLVHMAFHGVCIDRPGELHVYRMDFSDPPAWRKTDCIGDRVFLLGDSNFAGSCSASGCGLKPNCVYWVNCFSEKNSDLHVLGVQDGSSEIVKRFENVLGVQKPFWVVPVDA >SECCE1Rv1G0010280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:61470915:61474714:-1 gene:SECCE1Rv1G0010280 transcript:SECCE1Rv1G0010280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G45300) UniProtKB/Swiss-Prot;Acc:Q9SWG0] MQRRLPALLRRAAAAGPARRWLSASSSLLFDDTQEQFKESVHRFAQEHIAPHAAAIDASNHFPKEVNLWKLMGDFNLHGLTSPEEYGGLGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGSPAQKEKYLPKLISGEHIGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDITAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPQENVLGEEGKGVYVMMSGLDLERLVLAGGPIGLMQACLDVVLPYVRQREQFGRPIGEFQFVQGKMADMYTSLQSSRSFVYSVARDCDNGKVDRKDCAGVILFAAERATQVALEAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKED >SECCE4Rv1G0284890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841239225:841239926:1 gene:SECCE4Rv1G0284890 transcript:SECCE4Rv1G0284890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPHISETQVEQELQSLEASISSSNSISTMCDGLRSLANIYDGLEELICLPSHQACSSQQRKMLDVEMGCSVELLDLCSAMQEAFAEMVVIIQELQGALRKGDDVAAQAKIQSFTRLAKKARKHFKKTTKKAASDKMVMLLANAREICTSLLESTLHLLSKQIEMPKQSLVCKAFHKKKAVVCEEGQLQELECSIGDLQNGAGNLFRKLVQNRVSLLNILSS >SECCE5Rv1G0362490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:786924577:786925666:1 gene:SECCE5Rv1G0362490 transcript:SECCE5Rv1G0362490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGDRVALDVDGATTTVAQAKGMVMARERVPVAMQRLFFAGCHLDDDDRTLADYGVQHDSVLFLSLRLRAGDTIPCQDEMHRLQVPAGWTAAKHEVHQEIHVHAHGHAGTHGDGGEEPGKAKARKPASRRALRKILSRLHVDTWTRQHDAKLLDLLQRRTAGRGGAVGDLTGEDWSAIRAELNAATGSGFPVEELQRRVGEFRRELEAAGRTKSHPRFGYDPRRRVVVAEEADWKRYTMENPDAAAYEGRSPRLALLRAVFSGDGRAGAGVKSRESRPKRYLNKLLRSFGLRCKL >SECCE4Rv1G0282440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829193486:829194216:1 gene:SECCE4Rv1G0282440 transcript:SECCE4Rv1G0282440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDHLSDLCSITETKEALKLRKKRPMQTVNIKVKMDCEGCERRVKNAVKSIRGVTSVAVNPKISKVTVTGHVEPPRKVLERVKSTGKAAEMWPYVPYTLAAYPYVGGAYDKKAPPGFVRSAPQAMADPAAPEIHYMNMFNDEDVNACTVM >SECCE5Rv1G0367960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:825319544:825322114:1 gene:SECCE5Rv1G0367960 transcript:SECCE5Rv1G0367960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSPPARLAVPAAVLLLVLLHLAATATAANFTCSAPRGTTCQSAIGYRVPNATTYGDLLTRFNTTTLAGLLGANGRALATPPTTRVRAGDTVRIPFPCLCAGNGVGQSDHKPVYTVKPQDGLDAIARTSFDAVVTYQEIATANKIADVNLITVGQKLWIPLPCSCDPVEGAAAAFHLAHIVNGGETTAGIAATFGVTEDTLLKLNKIADPKTLQKDQVLDVPLPVCSSSISNTSADHDLRLPNGTYALTAQDCIQCRCSSNTFQLNCTALQGKKGCPAVLPCSGGLKLGETSGAGCDSMMCAYSGYSNTSSLSIETTVFKNQTAPACEKGGSSRSVFAGSMWRISAISFHMVLILVCFL >SECCE1Rv1G0002830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:11018669:11019457:-1 gene:SECCE1Rv1G0002830 transcript:SECCE1Rv1G0002830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRAAAARISRPAWSAVGLTVTRKMNGMGRARAPRYFGDSIRSGRVLSEEERAAENVYIQKMEREKLEKLRRKKADQEKADAAKTAKGNNEKGEGPQPS >SECCE1Rv1G0025740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:359043207:359044493:-1 gene:SECCE1Rv1G0025740 transcript:SECCE1Rv1G0025740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRRRHPHPSAVSAHLRRRLSALPDVDPSPASAPASTRAAARASIIDLQLAVRAETDPDRVHSLVASALSSPDYHRLHTSRALFSLAASRLDRLHRPDLAASLLDQLLASAPVSPGLLARALSLFPGPDDAVRAFSSSVPAARSDVSLSALLSALLRAGRIDDLKSTFKSAESSLGVAPGCASHNVLLHAMVKNSELPAARKLLNEMAKKKFKHRPPPDIISYNTLLAGFSEQDDAEEFEKLLKEINENKLEPNVVTYNCRMHWFARKGETFKGEELLDVMESKGVLPNYVTYNALVQGYCKEGNVGAAMRVFKRMKVMKRREGRSDLGVSVHSQTYVLLFSSLVEKERLDDALWLCNSCFAMKAAPSFEAVKGLVEGLVKGGRSAEAKGVVAKMNFLVKGDAKVAWEKIASELSLEEGAPSSDP >SECCE1Rv1G0031920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:448200525:448204739:-1 gene:SECCE1Rv1G0031920 transcript:SECCE1Rv1G0031920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVLPETVAEAGKQGAALTDAWDYKGRPAARATTGGWACAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGSAAAANTVTNFIGTSFMLCLLGGFIADTYLGRYLTIAVFSAVQATGVMILTISTVAPGLRPAACGDATGQSPECVPANGTQLGVLYLGLYMTALGTGGLKSSVSGFGSDQFDESDDGERKMMMRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRWGYGVVAVGILCGLAVFLSGTRKYRFKKLVGSPLTQVASVTAAAWRKRSLPLPSDPSMLYDVDDKAAAGEDLKGKQKLPHSKECRFLDHAAVIDREAPAAASSWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFAVEQASVMNRAIGGSGFLIPAGSLTVFLIGSILLTVPLYDRLVAPVARRITGNPHGLSPLQRVFVGLFLSIAGMAAAALIERYRLTESANGVTLTVFLLMPQFLLVGAGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTCALGFFFSTLIVTIVHKVTGHGPGGDGGWLANNIDQGRLDYFYWLLAVMSAINIVFFTIAARGYVYKEKRMADAGIELADEEAMIVGH >SECCE7Rv1G0491980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:541752603:541753285:-1 gene:SECCE7Rv1G0491980 transcript:SECCE7Rv1G0491980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRRRRALAMAVAAVLAAVAVAQVATAATSYTVGAPDGLWDMQTDYAEWVAARTFHPGDNITFTYSRELHDVVEVGKAGYDACSSANNVSAFRTGNDVVALTAVGTRYFLCGLTGHCDSGMKIRVDVVAASTGPAAAPPTTSAGGNVVAGLGALVVTQVLLGSISVW >SECCE4Rv1G0278960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811570987:811573750:1 gene:SECCE4Rv1G0278960 transcript:SECCE4Rv1G0278960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDKVVSHNPMGSAGNKPPGPSSTEYQLKKYLLLLATLVATVTYAAGLNLPGGVWPDAKEGHLAGDLILHDTHYLRYLTFYYCNATAFAASVVVCLLLLLLDSESKPWAAALRVLMVLDLLGLMGAYAAGSCRDTFTTIYSSVIMSAFLLYIMIAFFFHVFSGNPEKQAEDPKNHKREDQHEVLMLLATFVVTITYVAGLNPPGGFWSNSKDGHLVSNPIMQEHSSSRYQAFFVCNTTAFVSSLPIIILLLDKKLILSLPSLNLNKSSARFVALYGFIVIALFGLVGAYAAGSCREPETTTYVVCLIGAVLAYISLQVVITKAITENNREWLQKIKKLFVELESSRYANQALEKARNLVILLATLVASITYQAGLDPPGGLWPDDREGHKGGDPVMLTTHPTRYKVFFYSNSAAFVASLIVITMVQSSSLLRRHTLEAAMLLDLFGLIGAYAAGSCRDVSSSIYVVALAGAVLVYVVIHIVFFTLDHGVKKEEETVLDNRREVLLLLAILVATLTYQAGLTPPGGFWSADDNLGHHAGFPVLLDNFPHRYTAFFYCNAMSFMASVALIVLLVNPNLYRPGIRCYALYVCMVAGMFGLMGAYAAGSSRHLRTSIYVLTLVAAVFAFVSLLVIYFWNQTRNKKTSTQVGNDGIHSSERRDPGADVESTGSREEDHGLKGKNEKEPQDEEDSPDEKRNKDLREYLMLVGVLAASVTYQSGLKPPGGLWQDNNNGHSAGSSILHDINRSRYQAFFYSNSTSFIASIVVVVLLLPKTLHGHKLLLWPMHTAILLNMLGLLGAYAAGSTREWETSRNVICLVIPVLVCVAGYAIWSFYKRRTNATKEIPHEATSVEKS >SECCE7Rv1G0460080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:29138435:29139975:1 gene:SECCE7Rv1G0460080 transcript:SECCE7Rv1G0460080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAAAAVPRMKLGSQGMEVSAQGLGCMGMSFAYGSPKPDADMVALIHHAVAAGVTFLDTSDVYGPHTNEILLGKALQGGGVREKVNLATKFGSFFGEAGMGVRGDPAYVREACEGSLKRLGVDCIDLYYQHRVDTTVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITSVQMEWSLWSRDSEQDIIPTCRELGIGIVAYSPLGRGFFSLGPKLTASLSDDTDLRKLLPRFQPENLEKNSLIFESVNAMATRKGCTPSQPALAWVHHQGSDVCPIPGTTKIENLNQNVGALSVRLTPAEMAELESYAAAGDVHGDRYPQMMSTWKDSETPPLSSWKVEC >SECCE6Rv1G0385300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:75067572:75068442:1 gene:SECCE6Rv1G0385300 transcript:SECCE6Rv1G0385300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYLIWLLKEHDVPAYRTRNAWSKEAWRRIVDAFNTQFGLSLSVTQVKQKEQDLKKDFKAIRDLISESGFGWDRDTMMVVAPDSVWEELRARKNKDALRWQDKSFPYYYDIFALYDGRYAQGRSCHGTDNYANKAPHISMELPEDPNRNRQPSHSAPEPTSAKRGKRQKTNSNLDDFQERYLSFKREEMDRFAAIEERKLVDPYSIQNCIAALEGLPDLQTEDMLKAADLFTDNKDNREVFLSFSTKELRLAWLKRKIQNT >SECCE4Rv1G0259210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:683805481:683810522:-1 gene:SECCE4Rv1G0259210 transcript:SECCE4Rv1G0259210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFWMGKVQSKNVPAYDGAIFLCNHLTRKECFHRKLFGLSSKCTEFIHKVKSGATLFLYDVEQRKLHGVFEATSDGAMNIIPDAYASSGFQYPCQIRFKRIWFCKPLMETEFEDAVQDNYYFARNKFNYGLTHQQVVKLLHLFSSRNRLQPRQNPRLQNEPPRESEISSVVNQTDNQSGSNSTSHGSLKSPCQTCTSSSVGEHAASPSHKLSEPISLKHRELQLDISDVAKSNSSRSSLHTSANTDVVTEPGTQEAVDDKFTDDYIPLQLEDDTSDGVDTLFDLLGDDGSSDSEENTAFHQACVRKKDDGHQPMADSKLRADIEGRKSVFARLMGRPESFVQRQKFKTKPFPSKNAKSSSSPNQRRKRRRAQQNKPFPCDNRATLGMPSAAKMIKGPAKGPALDYAFVWDDDRRSNKFSGGKPSNIQRVLWDVSTKEPDRYGACKRLFVPEGSKNLIGSSDRVSNKPPLFAEVHESRKVTVEEKTRPPFLDFKRCAKDLKVEGGDPDYTADVEEAATKKTGLASASYHGEEDESETALVPKDTRPMDMLTVSDENCKLNSTSLLSNDTCTQMSGANDETEMQLQDKQRRIEGCCEDVTGEKSALGDSGNAQLFRKLCFGDMQTIVETGSEVGSGHVDTETSLQEKQNQSARSCYGVVDTDTTLIIKNPETMESLPYHDEDGTFEMVATDGEATNQLKGSEDDKDSTNNLSPNRHRSSSPPCDLELSKEEEMRYQSYRTKHVAAPEISDSTDSFAICAEGYGSKIGMSTDSTSVHLVINELGTNSESRTSFFDGSCDKESNKHPLFAEVHEICKVTVEEEIRTPFLDFTRCAKDPNVGGDPDYTADVQEAAMKKMRLGSASYRGEEYESKTSLVPKDTKPMDMLTVSDENCKLKIISLPSNDTSAQMAGAYLKTEVQLHDEQKRIHVTGDTENMLTVSDEICKHKSISLSSNDTCTQMDGTYLETEVQLQDEQQKIQSYHEDVTGDAENMLTVSDENCKLNGISLSSNDTCTQMAGAYPETKVQLQDEQQRTQGSCEDVTGDKSSALGDSEDAHLFSRLNFGDMETIVETGSEVDFGHVDTETSLQEKQNQSSRSCYGVVNADTMLILENREAMESLPSHDEDGTLEMVATDHQDTDTVPQGKDGEAKDPLTGSEDDENTTSNALSPNRRRSSSPPRDVELSKAEDMRYKSYQAKHIAAAHEMSDSTDSFVVCAEGYGSKIGMSTNSTSVHLVINELGTNSESRTSFFDSSCDRESNKPLFAEVHESCKVTVEEEINTPFFDSKRRAKDPNVEGGYSDYTADVQEAARKKTRLAVASYHGEEYESETALVPKDTNPTDMLTVSDENCKLKNISLPSNDRCTQLAGAYLETKVQLQDEQQRIQGFCEDVTGDKSSIVGDSGNAHLFRRLVFSDMQTIVETGSEVGFGHVDTETSLEEKQNQSARSCSGGVNADKMVIVGNPETMESSPKHDEDGTLEMVATDHQDTSTLMILGTPQGKDGEAANPLTGSEDDEGTTSNTMSPNRHQSSSPPHDLDLELSKAEETRCLSYQTKHVAAAHEMSDFRLICGPR >SECCE4Rv1G0217350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:15527530:15528102:1 gene:SECCE4Rv1G0217350 transcript:SECCE4Rv1G0217350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMLYKIHSHAHILDLQARTNELGNSNEHMLVNLVSLESVRIARESYALLWQLIKDLGSWECPKLEILSVVARLSLEIQKLEHDVLPQLMVPEAKLDKGALEAVLLMQNTAITLTHLRKCFRQALGVSVLPADEDLVSLRIQDLSALLKHIVVHVFQGNCNIVWLHARIPWLVQLITAVLEAPVRFCDE >SECCE7Rv1G0525230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:889215806:889216163:1 gene:SECCE7Rv1G0525230 transcript:SECCE7Rv1G0525230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEAILSAQLASQFFNMNKFMASPVPQMQKQSDGRRRPAEKKEMNNDSALKIVGKQRQQQQQLKPRFALELDGLNCFETLVPL >SECCE3Rv1G0206400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:908702412:908708832:1 gene:SECCE3Rv1G0206400 transcript:SECCE3Rv1G0206400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASTDVASSREASRQAGKKALEEFRKKKKKAAKKATAAVVDQAAPVVPRVVENPLPNSNNGNTGEGLVSDLDSSTPSTSSAPSASYENGPTTSSRVTEFLSNGPVVADASANVSTVAPLQDAVDDGGSKFYGNLSFSDLVNGHHDDWRGDTAHKRVELSPDKDVPLTSKLSAFGNTDSGSQSTEVLSKWGGNSSLSQVHGTEKSSSFSSGNLFGKSENTFSQDYSPENDIFGRLRVTSKDSSQAGHSAYTSNRDYGSIFSSSKVADGLDHDANIGMLQNASDSTSTNFDKQDPFLSTAYPTAYNRSRPSFLDSIGVQRALPAEAPYVEPSKASNKLFGSSNSESSSVQQPNQQSMQNNVVDNSVIAGRQEYNSEKGPYDNAVLPDSLPSKDEKSLQYGNQMFQDFTSHEKDDGFASLEQLIEDLTTEKFSLQRTLEKSQELAQNLATDNSALTDKFNQQAHVISQLTSDMERLQDEIQAQLLALETIRSEYANAQLECNAADERGKVLAAEVILLEDKALKLRSNELKLEKEVEGLNSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNIPVSEKVNVIEKPSVDKRDASTATEDLDTGESSSSQTLTSTSDPSQDVGTSVSQNNMSDFPSFGEASSSIPDDQLRMIDNINSLMSELAVEREELMRALRIESSNCSKLKELNRDLTQKLETQTQRLELLTSERMANENVLARPVDTYFNDATLYADEGDEVVERVLGWIMKLFPGGPKRRTSKLH >SECCE2Rv1G0076830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:94935691:94937895:1 gene:SECCE2Rv1G0076830 transcript:SECCE2Rv1G0076830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAARKAAAALLSPPTLSPRSTALPSPPTSSSPSSPPLLRRPQSLRARAPEAGLARTRRERVPVPSPFVRGRHFHDARRVLGETPKRSAAAWTAVIAGCARAGRHADGMGAFAEMLAEGGAAPNAFVLAAVLRCCSGLGDVESGKRIHGWLLRNGVHLDRVLCNAVLDMYAKSGDYERTKRAFRAMAEVDAVSCNIVISACLQNGDILGSVQLFDESPVRDTSSWNTIISGLMRNGYAAKALDRLYHMARAGVEFNHYTYSTALALAGMLSLLDLGRQLHGRVLTAALETDAFVRSSLMDMYCKCGSMNAAVLIFDRWSHLTGDMKFAWSTMVAGYVQNGREEEAFEFFRLMLRQGVAADQFTLTSAVAACANAGMVEQGRQVHGCVEKLGHSFDAPLASTIVDMYAKCGNLVDACRMFDIAPTKNVALWTSMLCSYASHGKGRMAIELFNRMIAEKIKPNEVTLVGVLSACSHGRLVREGEHFFKLMQKEYGIVPSIEHYNCMVDLYGRAGLLGKANNFINENKIKHASIVWKTLLSACRVHKDMEHAKLASESLIQLEQCDAGSYVMLSNMYATHSKWRDTSKLRSLMRERGVRKQPGQSWVHLKNIVHTFVAGDTAHPRSSEIYAYLTKLMERIKELGYTSRIDLVAHDVEEEQRETALRFHSEKLAMAFGIISTPSGTPLRIFKNLRICVDCHEAIKYVSRATGREIVVRDLYRFHHFKDARCSCEDFW >SECCE7Rv1G0477380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:218374261:218378259:-1 gene:SECCE7Rv1G0477380 transcript:SECCE7Rv1G0477380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDIGAGAGRWSLHGRTALVTGGTRGIGRAVVEELAALGAAVHTCSRKEAELGERLKEWEARGFRVTGSVCDVSVRDQRELLLRDVADRFAGKLDILINNVGTNRSKPTTEYSADEYSFIMATNLESAYHLCQLAHPLLKASGVASIVFISSVSGVVAISSGSIYAMTKGAMNQLAKNLACEWAKDNIRINSVAPWYIKTSLVEEDLAKEDFVDSIARRTPMRRVGEPEEVSSLVAFLCMPGSSYITGQTISVDGGMTINGLYPTEN >SECCE4Rv1G0216760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12477240:12482367:1 gene:SECCE4Rv1G0216760 transcript:SECCE4Rv1G0216760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRRRAVAVGRPRGGGPAGPAGAAAASKDYISAGVNLMGAHLGTGRMRMVDNVNGSDSESSDSEEEMEDYGPLHEAAGTGRMDTCKYLVEELGFDINADANNDSGMTPLACAVARGKAIAVRYLLDKGANLNKQDSVGFSPLHYATKKGNDGLVRLLLSKGASADISSCEGTPLHVAVSDGNHGIVQILLQHDADPNRVFSDLGTPMTAAVLCANVISVAGKISVSAALKCMKLLVKAGAGLNCTTPDTPLVIATSKDLSECVQYLLEAGADANIPSNHNGTTPIEIAADSGRRELVEILFPYTKPIQHVSNWSVDGIITHAKSKHLKDKGKQSDKDSKVKQSDKDSKVKRKEDSSARKSSSEEKVLQDKKAELKSLGAKAVEEKDYASASKFYGEAIIVDPKDATLYSNRSLCRLRIGEAHDALVDADACIRLRPDWPKAYCRKGAALTALKDHKEACDAYMAAVKLDPSNQELHEAFWEAVAAMKADLGAGQSGSSSDSD >SECCE4Rv1G0249890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:587641862:587642623:1 gene:SECCE4Rv1G0249890 transcript:SECCE4Rv1G0249890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRALSWVSVSLLVFLASWSCAVARGARAPKAADPTYGFTSVRLDESNFVLQRPYDDASGARYSFDGTVRKLWVLSSDKPHARQSHTSPRTEIRMGGYDYSSGVWQFEGYGYVPSGTTGVSIMQVFGAGETATTLMLHVYDGALRYYDRQLVEDAIYDRWFRLNVVHDVEASTLTVYVDGEQKLHVHGRGGDSHYFKFGVYAQNHDSSCMESRWKDVRIFKKQ >SECCE7Rv1G0506310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:747836247:747836555:1 gene:SECCE7Rv1G0506310 transcript:SECCE7Rv1G0506310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHDGINILDDKFYLEDGGYACRPGLLQPFKKTRYHLNEFAGRNYTMTPQELFNLRHSGLRVTVERAFGALKNKFKILDKKPFHPYPTQVKLVLACCIIHN >SECCE6Rv1G0425860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:710125869:710127080:-1 gene:SECCE6Rv1G0425860 transcript:SECCE6Rv1G0425860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAEAPVLPPAKRKDADLARLQTSSLLPAAGWSALPPDLVRRVADSLLAANDLDCYMDFRAVCSGWRAATDDPKKDPSDPRFCPRRWTILEDGDDLLLLNAATGRFLRKRIPLLRRYYIVAITLGGYLVLADRNLPQVFNPLTGVLIRFAAPMPPGKEVAAATVVFGRGSTPMLNLEIFQEKKMPIAYHLSQKAVRGCVNAGNVWEFSKAVSVIYKIFNLMRSLHLDPSMFFSSDLPVAGQENDHTRCFVVELGEQVLIVIKLQQHVKVFKMDAKGHIFLPVKSIGSHAIFIGHHRCLAVDADKFPSVESNCIYYVERLGSSAYICMYNLKDEKDERISAGAVDFVKLHELFVLAAVRPFTIIQLLSSYTINAQDSQLPLQQDAN >SECCE7Rv1G0462860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:48969627:48972259:1 gene:SECCE7Rv1G0462860 transcript:SECCE7Rv1G0462860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGPELLEEARAPAFAAWMRGLRRQIHQHPELAFQEHRTSALVRAELDALGVPYVWPVARTGVVATISGGACPGPVFALRADMDALPIQEMVEWEFKSKEDGKMHACGHDAHTAMLLGAAKLLQSRKDGLAGTVKLVFQPAEESHGGGYHVLQSGILDDVAAIFAVHIDTHLRAGAVGSRPGPFLAGSARFKATITGKGGHGAMPHAAVDPVVAACSAVLSLQHLVARETDPLQGAVVSVTTISGGETFNVIPESVTLGGTLRSMTTPGMRYLMSRIREVVEGQAAVGRCAATVDFMEEELRPYPATVNHEGVYAHARAVAEGMLGPANVRLSPQIMAAEDFGFYAEKIPAAFFGLGVRAGGEEDEVHHVHTPRMVIDEDALPVGAALHAAVAIEFLNKHARATASPPAAA >SECCE1Rv1G0040990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:567988899:567989975:-1 gene:SECCE1Rv1G0040990 transcript:SECCE1Rv1G0040990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSDLPAELVAGIADRITEHVDLARFRSVCPSWRSASAEHAARRRVPLLLLPSQQNSRVNRRLWSLADDSITEIPMPVACGRSFLFASPRGWTLAVADDFSATLLHPFTGASESLPALPPSFHDGYQMILRDMVWDRSPDAVMVSQGKGAFFCRLQGDGRSWTPADLSPPARVGSITYCDGTFYLLDKRANKVTAVDGATFAVAAAIEPPDLVMADRSCVRESTLVVSSASELLLVVHGGCHRSKGFFKAFRADRRNLAAGWSEVADGGIGDRAVFVDHAHGFCVEANGINGVRRNCVYVASAHEEANANSGWGDWGRYTVSMLDFDGLTTQNLSHGNLLKCLHGRQRPSWSMPNLH >SECCE7Rv1G0514990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:837453038:837458997:-1 gene:SECCE7Rv1G0514990 transcript:SECCE7Rv1G0514990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MDQSREEFLEQFGGDYGYPDAPRGVDQMRAADFKRLQGTVYLDHAGAALYSEPQMADVVKDLTSNVYGNPHSQSDSSMAATDQVTAARHQVLKYFNASPRDYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYALSKGATALAVDIEEDKGLEKNHGRPSSDLFKISRRSNQRRVGDVHSQNCQNGSLSAASEDNWNLFAFPSECNFSGQKFNLNLVKLIKEGNLVGLPSPQQQGQWMVLIDAAKGCATEPPNLDVYPADFVVCSFYKIFGYPTGLGALIIKNEAASLLNKTYFSGGTVAASIADIDFVQKRKSIEQVLEDGTISFLSIASLRHGFKIIEMLTTSAIARHTSSLATYVRKKMLDMKHRNKKNVCIIYGQEASKVADLKTSPTITFNLKREDDTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDVIKGKPTGAVRISFGYISTYQDAEEFLKFLQSSFVSKPIASSNGHTLSMNTLNLVDNQSQQGVPDVRLKSIIIYPVKSCQGFSVQSWPLAAGGLKYDREWLLQGSGGEILTQKKVPELASIRTLINLELGKLFVESPKRKDKLQISLLENLTHLTAEVDVYGQRYEVESYDEKVNTWFSEAIGRHCSFMRCSSSRSSFCTSTGKNGRLCRDTRSKLSFVNEGQLLLISEESVSDLNSRLSSSNGNGKQHVLVDAMRFRPNIVISGSTPYREDNWKRLRIGDAYFTSMGGCNRCQMINLHQNAGQVIKSKEPLATLASYRREKGKILFGVLLSYEDGSSGEEEAVAERWLQVGQEVHASTE >SECCE6Rv1G0433580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:760394458:760395063:1 gene:SECCE6Rv1G0433580 transcript:SECCE6Rv1G0433580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASEVDPSVAPHIVVEGSSRAGGNREEALPEAAAVEALVETEPADGKEEGQCGLCLYMEAGGCKEAFVWWAECVQAAEKDGSDMVDRCSQATIDLKKCMDAHADYYALVLQAEQTVSDQSGAAIAAATADTNKNKGEESTPSPDIDETKMEEALVESAATTADEKEVVVQQEATPSTAAEGVKEEAIVEKAESLSLGN >SECCEUnv1G0528300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3630298:3631107:1 gene:SECCEUnv1G0528300 transcript:SECCEUnv1G0528300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIADPTGAVVVGCKVLPIFNENGIVDGAVKRIVHRIDGKKAVARVKELLKWAAQARPYGSSNGVSGKKWKQFLSFQGRDGGGAAAPVSKCDDDASSGSGSGGKLSFKWEAGSCSSASSVLYSPLSFASAPAGRTEQQTPSRGNGNYSYSNTYASRLSSVSQKSSSSEACRMAQWITTDSDFVVLEL >SECCEUnv1G0530250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:12008841:12009521:1 gene:SECCEUnv1G0530250 transcript:SECCEUnv1G0530250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVATVAFFLLVTMAAPARAVTFDASNTASGTTGGQRFDQAVGLAYSKQVLSDASTFIWSTFNQRAAADRKPVDAVTLVVEDIDGVAFTSANGIHLSASYVGGYTSGDVKKEVTGVLYHEATHVWQWNGQGAANGGLIEGIADYVRLKAGLAPGHWRPRGSGGRWDEGYDITARFLDYCGSLKPGFVAQLNAKMKSGYSDDFFAQILGKNVQQLWQDYKAKFGG >SECCEUnv1G0538620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:73473231:73474286:1 gene:SECCEUnv1G0538620 transcript:SECCEUnv1G0538620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFVKTLTGKTIVIEVASSDTIDNIKEKIHEKEGILPDQQRLIFNGRHLNAEWTVSDYNIQEQSTLHLFLRLGGPCTVCFHRYINVQMLAGKTVSIEVDPTDTIDTIREKICAPQRLFFAGKQLEDGRTIADYEFGNDITLHLDFGMQIFVKTTIGKTTTLLVEPSDTIDSIKEKIQGKQRITFDGKQLDGQGSLTDYNIKRGSTLYLRPCKHADMQILVKTQTSETIRVMFKLSDTIGAVKAMIQEKQRIMFEGRKLDDGQSTLADYDIQEESTLHLDFGMEIFVKTPTGKTITLEVDPSDTIDSVKEKIDGNQILLFGGKELESGQTLEDYNIQGGSTLHVEDRLTQG >SECCE6Rv1G0446760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846082814:846084025:1 gene:SECCE6Rv1G0446760 transcript:SECCE6Rv1G0446760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIADIQDELLEEIFSRLPTPADLACTSTARASFRRVIADHAFLRRYRALHPPPLIGMLQLHEPFIPAQPPHPSTAAARAFVGFDFSCSSFLPSTAGRSWSPINFLHGRALLASAPVNEESGSRILVGSEVCDSHYCLFLTRDLAVCDPVHRRYILLPAVPGDLKALVRRPDLLQLETFLAPGDDEDDPVSFRVICLAQCRMNLLLLVFSSLDGQWHVLTYDQWGAQATCPPLLNSESGFCNRQFVHGCFYWHLHFRNELLVLDVRTMEFSTINLPPEWPDLNSFVIFEAAEGMLGMLTKGYDEESEDDRYWLTYSILRNNQWHSEKVIPLPVKLAILVGVAGGYLLMEALYTTSSQENLKFGYFSVHVKTLQVELFAALSKPILPGQLYAGFPPSLCAPTI >SECCE1Rv1G0009990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:59319049:59327348:1 gene:SECCE1Rv1G0009990 transcript:SECCE1Rv1G0009990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAPPPLEAPRSPLAHNRCLLRDLGGHGDPSALYGVDAATTRFLLERLLRESGTARRLLTSSSSPGSSSTDAVDTDSKEPEDPAESAPGLVPVSGAKDPTSVASSSGTSPATALNQPLESTEEVPASRLSALLGPNGRVLIVANRLPFTAKRHPVEKWVFPSSSGGLVSALQGIKDVQMMWVGWPGVSAPNETDQTTITEELFKRRCVPVFLDEELTDQYYSGYCNKILWPLFHYLGLPQEHKINKAKDIKSQFEKYTQANQMFAETVSKIYKEGDIIWCHDYHLMCLPKLLKQSNINMKVGWFLHTPFPSSEMFRALPNRAELLEAVLNADLVGFHAYDYARNFVSACISLLGLEGCLEGIQFDERMVKVDAFPIGIDAQRFTKALEDPKVKEKITEFKELFAGRKVILGVDRLDMIKGFLQKVLAFEKFLEKNEELNSKYKVVLLQIAVPTRSDVPEYRKLASQVHELVARVNGRFGTLKATPILHLDQSLDFNSLCALYAITDVALITSLRDGMNLVSYEYVACQESKKGVLILSEFAGAAQSLGAGAIIVNPWDIAEVAGAIMRALDMPAEEREKHHRHNYELVSRHTAQDWAENYVCDLHDAASKAPLVQATHTVVLPIGEAAAQYVQSNNRLLILGFNATLTDQIELFEEGADIEPKLNPELKQPLKTLCDNENTTVVVVSGYGRSILDKNFADYKLWLAAENGMFFRRPDKEWITTSYEQDEISWAGSVKKVFEYFTERTPRSNFEQRETSLVWNYKYADAQFGRNQATDLLLHLGAYSLSNQSAVVVQGSRSIEVRPMGVTKGKAVGKIIHKLDLRNMMATPIDYVLCIGHFLAKDEDIYTLPSFDVQPGAKRKGKGCQAEDCSSIKFDLNPNNYFSCTVGRKHSVARYNLEGTNEVVSLLQDLAVAAAPGNTSHPA >SECCE1Rv1G0033190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:463762174:463763277:1 gene:SECCE1Rv1G0033190 transcript:SECCE1Rv1G0033190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metalloendoproteinase 1-MMP [Source:Projected from Arabidopsis thaliana (AT4G16640) UniProtKB/Swiss-Prot;Acc:O23507] MVPCRPGRAAACLPLVLILCLLSCAAARPAPAPVHGRHHGEGWHSFKRLLDAQRGTRVTGLGDLKRYLATFGYMPKPAGAEHGGDPTDAFDEHLEAAVKRYQSRLSLPVTGRLDVVTLDQMMSPRCGVQDDHGASVSPEQGGAVSRFTFFKGKPRWARRSDPDPVTLTYAVSPTATVGYLPADDVRAVFRRAFERWARVIPVAFVETNDYDEADIKVGFYEGSHGDGVPFDGPLGVLGHAFSPKNGRLHLDAAERWAVDFAGETKASAAIDLESVATHEIGHVLGLGHSTTPQAVMYPSIKPLEKKADLTVDDVEGVQLLYGSNPDFRLSSLYDATDTSGSPAGSSWAASSAGLVLCAVLVILVTHL >SECCE3Rv1G0201070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:859679535:859681358:1 gene:SECCE3Rv1G0201070 transcript:SECCE3Rv1G0201070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAETLLPRPEPPLSAVDHLGRPASHGSSGGWPAALFIIGVEVAERFAFCGIMGNLMIYLTGPLGQSTAAAASAVNAWLAAAMLLPLLGSAVADSWLGRYRTVIWASLLYILGLAMLTLSTVLAPGERPGCAGKAPGSAGCSTSSSSAQVALFFFSLYLVAFAQGGHKPCVQAFGADQFDENDPGELASRSSFFNWWYFASYGGNTITVSVLNYVQENVSWQLGFAIPCAAMALALAVFCLGTKTYRFHPLRSANKLPDDSENLPAPPREDHGATALLKLFPIWASCLIYAIVLSQSFTFFTKQASTLDRRMGTLVVPAASLQNLVNASLMIFLPVYERVVVPLARKHTKNPSGIKALQRIGIGLAISVVMMVVAALVETRRLRVATDHGLLDKPEVTIPMSVLWMVPQYLLIGLSDAFAIVGLQEFFYDQVPDGLRSLGLALFLSIAGAGNFISSFVVYAIDRVTTSAGGSWFSNNLNRGHLDYFYWFLTVLSALGMFAYIYFARVYVPKIKGVSVQ >SECCE5Rv1G0319210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:371788981:371789924:-1 gene:SECCE5Rv1G0319210 transcript:SECCE5Rv1G0319210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFVLSRGALVVMVVAAMVVAAQSSEAVARSGGADEDELRFPGFPGGRQRNPGFPGVPGGRAASPPAPRFKPSSPPPPLQSSPAISPPCVSGGAPSQPSLQPPLPGFPGLQQPGNGGGSSSSSPADCVTPLAGLMTCASFLTGSEPDTPTPQSECCSGLGMFLNSTAAVDDRSLRCLCPVILGDVNRMLPKPIDPVRMMYLPISCGVVLPPQVLFICFTGQPTPPVVSRIPDSWMTPASSALTP >SECCE6Rv1G0439810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:799514933:799517524:-1 gene:SECCE6Rv1G0439810 transcript:SECCE6Rv1G0439810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIVYGCAGKPERENSSSMRSNCAAMLRLHRSDDGGWYISENRASHNHELLRTCAEKLHWPSHRHIDSYTKDLVKQLRQNNVNLGKVYNIIGSLFGRMENVPFTKRCLRTFCGKLSREQADDDVRKTMDAFSELGSTDPEFSYVVEVDKESKIKTLLWTNGRSKMQYHNFGDVITFDTTYKTNLYDMPFGLFVGVNNQFQSIIMGGVMMREETIESFKWVFTEFIRLMGGKPPKTILTDQARAMEVAIEETMPDTTHRWCKWHVLRKAKEHLGPHYTKSSDFRAALHKVVNEMLTVDEFELAWAELLDKYKLHNNTFLIQIFEVRHKWAKPYFSGKFCAKQTSTQRSESANHLLKGYILPACPMNLFVKQYSKLQFDREAEEGFQEKRTRLGGIVLRHNYPLEEHASQVYTRTMYEMFGQALYRSGHYDVEEIERGISYNVRHVEAEKRDKWCREMHAVNVHDGGARYTCECGLFEHMGMLCCHAIKVLIHLGVRKIPSFHVLKRWTIDARDNLPLHLLHYQKDQGPPRLSSYRHTALHLTALEFVQLGDSNVDAFDRAMDILIAGKAELTILAAIKDGKSLVDQTQIGESANPANGMVAGSSNPDDMCSQMFISTECGLNSVSGEAGSSLSLSKLQAPDRKKQKGRPTTARDKPGYEVKDTRSRFCTVCREKGHKSTTCPRRGDLPKKPRKIPTCGNCGVAGHKKTSCFNPVVPFVKRTRDGPVE >SECCE2Rv1G0080940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:134429931:134431616:-1 gene:SECCE2Rv1G0080940 transcript:SECCE2Rv1G0080940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g26900, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G26900) UniProtKB/Swiss-Prot;Acc:Q9ZVG8] MPPPSPGKPLVTTITALLRSATRPAHLLQLHAAMLKASLFPHHALPTARLLASPLAPLPYALSLFAAIPCPTLFHHTALLRALSAAPSFPPAASASLAVLASARARLPALDEFAFQPLIALCAKNPRDDAAASLGLQAHALVLRYGFSGVVGLGNVLCHFYCCIGSMGDARRMFDEMPERDTVSWNTMIGSYTRAGEISAAVEMFSAMMCCGVDVSATAVVALAACGWQGESVHGFSVKTGFCKDVKVAAAMEGMYSRKGDIGCAKKVFEDATRRDLVLYNCMVDSCAKAGQIEEAMGLVDRMRQEGLKPNTGTLVGVLSACGASGAIVPGRRIHELALELGLELDTALGTSLVDMYFKCGYPKEATAVFYAMRDRDVMAWTAMIMGLGVNGQSDAAISLFRSMQQDGVTPNEVTFLAVLNACSHGGLVFEGKKHFESMVRKYGLSPHTEHYGCIIDLLGKAGRLDEAYELIRSLSSHGDAVGWRTLLAACRVHGNVELGRTVQARLDAMGKYHPSDTILLSNAYALGGRWDEIAQARDSQGQKMVMDRKEAGCSSIEVSS >SECCE3Rv1G0158660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:90025794:90030669:1 gene:SECCE3Rv1G0158660 transcript:SECCE3Rv1G0158660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWVRWRWWALAAAGLLCAVLPPAAATLSPTGINYEVVALMAIKTELQDHYNVLDNWDINSVDPCSWRMVTCSSDGYVSALGLPSQRLSGKLSPGIGNLTRLQSVLLQNNAISGTIPSTIGRLGMLQTLDMSDNHLTGSIPTSLGELKNLNYLKLNNNSLSGVLPESLATINGLALVDLSFNNLSGPVPKISARTFSIAGNSMICGVKSGDNCSSVSLDPLSYPPDDLKIQPQQAMSRSHRIAIICGATVGSVAFVAIVVGMLLWWRHRRNQQIFFDVNDQYDPEVCLGHLKKYTFKELRASTNNFNSKNILGEGGYGIVYKGFLRDGSIVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTECERLLVYPYMPNGSVASQLRENINGKPALDWSRRKMIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHQETHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRLANQKGGVLDLVKKLHQEKQLNMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPLKFTDFAGADESSVGLEAMELSGPR >SECCE2Rv1G0085320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:189298549:189299079:-1 gene:SECCE2Rv1G0085320 transcript:SECCE2Rv1G0085320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPQLPGPAGHLPLALAVTASFAISCSGRPRRRRRAKPKRVVFPPPPLRRLVSSSLRRLLPRPRPLGGGWPGRRGRRKAPAEDVVLLVLSLALGDRLAVLAEAWRASGLGQALGIWAAVCGRARRKKRMNGFRRLAALLLGIAFCALASHFRGAAFLEGLRKTGGGRKLARIFLH >SECCE2Rv1G0076460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:91101010:91101630:1 gene:SECCE2Rv1G0076460 transcript:SECCE2Rv1G0076460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGCGVAGGTEDGCGKKQQARPQFGRSLTYHHHQGHRLLPRWRRPQLAEEPRARPQAVVLYTTSLRGVRRTFADCSAARAILRGSRVAVDERDVSMDAALRRELQALLAARGRAFSLPQLFIGGRLVGGADEVRQLHESGQLRRLLEGAAGQDPAFVCDACGGVRFVPCPACAGSRKVFDEEEGAALRCGDCNENGLVRCANCSS >SECCE5Rv1G0361150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:777955433:777956699:1 gene:SECCE5Rv1G0361150 transcript:SECCE5Rv1G0361150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPVLLDELVEEVLLRIPPDEPACLFRASAVCKPWRRILAGRRFRRRYREFHGTPPILGLFHQGVRFVPTSALRPAHSGLPDWVAMDCRHGRALFAHIGNDEKTIDLIVLEPVTGNQRRVPWPYEDWPSCSAAVLCAAQGCDHQGCQDGHFFVAFVTTEEEEVPLGWLYSSETRMWSSLTSLHHPNVKFTNDFGEPSVLLGDALYFNGGRIMECQLGTLRLSLFKKPIDHRDGILMTAEDGRLGFAAVVDATNLTLWSREAGPEGAMGWRTLRVIDLKMLLPDDNLSIRSFETAEYIPTFGGAVYEFSCPRVSGFAEGTQVIFVTTSAGSYMVDLRTGRAKKVSDPGRLFFPFMSFYIPAMDAASTGEGQ >SECCE2Rv1G0103890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:595946355:595947740:1 gene:SECCE2Rv1G0103890 transcript:SECCE2Rv1G0103890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAHPRRRRLLPVAAAAAALALLALLVLLPTAPPGELAAPASLLRAAIAAHPSPASYARPCADHLSLSLHRLRAALSALESGDLPAALHLSSASLQYQYDCSHLLSLPAFPSHPLTSRFLDSLTLQTLTADPKPSSFYSTVAAFPARFRSAATVCKSNPACDFSNVQDAVNAAPNYTAGHFLITVAAGIYKENVVVPFEKTNILLVGEGMGATVITASRSVGIQGLGTYDTATVAVVGDGFRARDITFENAAGAGAHQAVAFRSDSDRSVVENVEFRGHQDTLYARTMRQLYRRCHITGTVDFVFGNAAAMFEECVIETLPRAEGSGKSARNVVAANGRIDPGQTTGFVFQNCTVDGSKDFIVLFQAKPQSYRLYLGRPWKEYARTLYVSCYLGKVVRPEGWLPWRGDFALKTLYYGEFASRGPGANQTSRVGWSSQTPEQHVRFYSVENFIQGHEWIAY >SECCE6Rv1G0439320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795834605:795837376:-1 gene:SECCE6Rv1G0439320 transcript:SECCE6Rv1G0439320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSTDQLLLPQEQRRRRRRPVWGLAVAALLLMLLLVAAGPLRSRVFRTPPPQERVALTLLSGAKEKGAVCVDGTPPGYHLQRGSGDGADRWLVHLEGGGWCSTVKECSDSRLSSKGSSKFMKPIRFMGNGILGGDQLQNPDFYNWNKVYVRYCDGASFSGDADAQAQDGTTLYFRGLRIYEAVIDELMEKGLANATQALFTGCSAGALSMMLHCDDFRARFPQDVSVKCFADAGFFLDEKDISGRRSLWSLYDRVIHLQNVRKVLPKDCLANKEPTECFFPAELIKSIRTPMFILNPSYDSWQIRNVLVPDSSAPDKSWLSCKENIRNCNSTQVEVLDGLRNKMVNDLKVVEDKEDWGMFIDSCFTHCQSLSGVSWHSPTSPRLENKTIAEAVGDWHSGRSRGAKEIDCKYQCNPTCNSLPPPRDVTAFDRAEIY >SECCE2Rv1G0128790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:864950226:864954516:1 gene:SECCE2Rv1G0128790 transcript:SECCE2Rv1G0128790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease YBEY, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G25870) UniProtKB/Swiss-Prot;Acc:Q8L5Z4] MARLHHLLSRALASNHLLRTPPLPFSARPTLPLHSQPPPPPHPHGRSPPPLLAAASRHYASSLPRRRRRGSAPLTFPRRRRARWKGPAELSVQIGIEEALPDDPLILSIAETLRTDVGKAMKLAFHNLGNSEYKTRDPCISNVDEYDSVEVSLLLCDDDFIRKLNKEWRDQDHTTDVLSMSQHIPGLQIPILQLGDLVISVETARRQAEERGHTLLDEIRILMVHGLLHLLGFDHELSKEAEEEMEQEEEHILNTLEWIGKGLIRSAYHFSTDMDHSENSDEANRDIEKRSLREGHQPELTHIVCDIDGTVVDYEGHLHSESIESLREAVSQGVTVIMLTGKTRASTIATFKLLNMEGRGDFISENSPGVFLQGSLVYGEHGQLIYRANLDVDICKEACFYSLKHKIPLVAYCEEQCLTLFEHPSVNLLHTVHHETKVKVMPSVEDLLEYSSIQKLIFLGNTDEDFSVLTQHWSELTEGKACVIKEQPNAIEIVPFNASKGGGIRVLLDHLGLTEDSDLEAVGDYTRWLSNK >SECCE1Rv1G0030710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:430836609:430837484:-1 gene:SECCE1Rv1G0030710 transcript:SECCE1Rv1G0030710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSKSAAVLVLLVSLAGAATTVDARFRAMQWTPAHATFYGDEATAETMGGACGYDITAGYGADTAALSSTLFQEGYGCGTCYQIRCVKATACYRGSPVITVTATNLCPPNWAQDTNNGGWCNPPRTHFDLAIPAFKKMADWQAGIVPVMYRRVPCMRKGGIRFAFQGNPHWLLVYVTNVGGAGDVGEMWVKGGGGMGWLRMSHNWGASYQAFGQLGGQALSFKLTSYTTGLTIVAADAAPASWSIGLTYQARANFK >SECCE6Rv1G0430970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745358525:745359652:1 gene:SECCE6Rv1G0430970 transcript:SECCE6Rv1G0430970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGKRLTRTSPPAAALPSTTTISANPASSSPYFLPDLIPLVASRLTTLEDFFALRAACRAYRARLPLSSSNLASQGPLLLVRHKASTSEALFHVPLRRILRFRLPCPRRGPIITCLRWLFPALRDPGPTSFHSFGCRVAIQDASRRELRIRHLLTGKRAGARLPGPPEECDAVIFSGDLIIAFTQLQPGIYYCRAGDAHWRVAWCDGGYQLQSLVSLKGTLYALVYPNYGLATIELDNNSVVLSFLEDKLSAETVLNCSTLWLAECHGELLLVVGTSTYYHVFRWKSRERKWARTESLGGCSLFFNIHEFAGSLGPDHPAVRRDCLYFTGWSGNWREYCLVDGSSHENVVDYPGRAARKHYLPLAWVLPSNLM >SECCE3Rv1G0182480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:628936527:628938331:1 gene:SECCE3Rv1G0182480 transcript:SECCE3Rv1G0182480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRGFGNIARRWRELNGANYWKGLLDPLDVDLRKNIINYGELSQAAYTGLNRERRSRYAGSCLFRRKDFLSRVDVSNPNLYEITKFIYAMCTVSLPDDFMIKPLSKAAWSKQSNWMGFVAVATDEGKEVLGRRDVVVAWRGTIRVLEWMDDLDISLAPASEIVRPGSANDPRVHGGWLSVYTSTDPGSRYNKQSARYQVLDEVERLQDLYKHEETSITITGHSLGAALATISATDIVSNGYNKTCPVSAFVFGSPRVGNSDFQKAFDSAEDLRLLRVRNSPDVVPNWPKLGYIDAGTELMIDTGESPYIKSPGNPLTWHDMECYMHGVAGTQGSNGGFGLEVDRDIALVNKHEDALKKEYSIPPSWWVVQNKGMVKGKDGRWHLADHEDDDD >SECCE1Rv1G0004710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:20406043:20413628:1 gene:SECCE1Rv1G0004710 transcript:SECCE1Rv1G0004710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLHGTIDATIVGADNLHDRSRHTGKVPGFLGNIVQGVQETTGLGKGLPRMYAAIFLGSACIARTRTIAVPAAGSAPWNEPLRAYCAHHAADVVISVMIEQLGLDGDTVLGRAYLPARELLSNDTTIDRWFDVLGANRKKLPDGPKIHVQISFRDVADQGLAWGGGVVGSFGVPRTFFSQRPGCRVTLYQDAHASDEFEPKIQLDGGGLYKPGHCWEDLYDAISNARHLVYITGWSVFPHITLLRERDGRQETLGELLKRKAGEGVRVLMLVWNDVSSIDGLLGGLMDTRDEQTANYFQGSGVQCVLCPRNMYVRGHIFDAKTDTFVYSHHQKAIVVDQELPSPSDGRRQIVSFLGGLDVCHGRYDTQSHSLFRTLGTGQAHGDDFSQVNFDDEDATLGKGGPREPWHDIHAKVEGPVAWDVLHNFEQRWRGNKAAATRTTSSTSQFQQNVQLFRSIDNIDTVGFPDSMEAAYQAGLLQDKHRVYERSIQDAYIHAIRAAKSFIYIENQYFIGSSFQWKSHDGIDPADVGACQLIPRELSLKIVRKIEDGERFAVYIVVPMWSEGSPTGRYRQAMLDNQRRTMALMYDDIAIALQAKRIDANPRDYLTFFCLGNREANNPEGGEYQPPQRPKTGTDYARAQMARRFMIYVHSKMMIVDDEYIIVGSANLNERSMAGYRDTEIAIGAYQPHRINTGAELAKGHVHGFRMSLWHEHLGMTHDDFLRPGSLECVQRVNKMADEYWSLYVGDQLKDDLPGHLLTYPVAVDKAGTVSALTGFEFFPDTKARVLGQPTGIDDYFLST >SECCE2Rv1G0112760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:715014726:715016178:-1 gene:SECCE2Rv1G0112760 transcript:SECCE2Rv1G0112760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKRGPWTPEEDKILVAHIHSHGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTDEEEQSIIQLHQLLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRVDPGAQEQHEDAGAAKKRKKPAAAARKRDGKVKMRKLDALTAKAAPVSSPERSVSSTVTESTSTASAAAEHGNSGSSSASVKEECFTSSEQSEEFQIDESFWSETLSMPLDDINDVCIEPHDAFGKPAADGDMDYWLKVFMEGGTDDNNDGALDLPQI >SECCE6Rv1G0389560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:155808642:155813150:-1 gene:SECCE6Rv1G0389560 transcript:SECCE6Rv1G0389560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNEETSVYVGGLPYDADEDMLRSYFGPCGTIVSVKVINDQRIRGKCYGFVTYTQHRAAQRAILEMDGKQIGNRAVRVNEVRTRGNTRDFGRDGFRRDPVRDGRDVYWDRRDRERSYDRHRDRDPYHDRDSDRPRDHDRDRYDERGGFDHEMDYSMDRDHEGDERRARDLYRGDHDRPVEARMDSDNDRDKENSKGYDSERDKEDKEQPPRKRFSRPKGRESRDISSSSDELQNDAKHQLDKAFQMHEDLENEVSQIRDKVTSKDHHIADLQKKSQKLEDELAAARKVASERQLTVTKLYKSFLQLQDYNDRAKTAEEDLKALVDSAMAEVDMAEDATTKDGSGYENGMA >SECCE5Rv1G0333920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:562897669:562898877:-1 gene:SECCE5Rv1G0333920 transcript:SECCE5Rv1G0333920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKRPSASAKVASLPEDTLANIHGRLSLLDRLAFAAVFRTSRDAFKPEMPCLVLPGNAPGTATLFSLAERCAAAVRSPGLGHSILGSSCCGWLITSDDRARLCLVNPVTGERRVLPAIDTIPCLDAHCGRSYFTFHKMWFLRGPPPYPYGTMTFRAEDMRHSLYRKVLLSDSATIAMLITGPDYGVAAFAMAGGGVWRLALPHDGVEPVATAEAGTWRLRHWRNAIEDAIHHDGRFYSITYSGQVEAWEEHDGDAPGVFASVVMAPRLVLPSDSDHRKYLMAALGGRLMVVLKETTGGRTSPSFKVQVLDSGGEEWKVTDDIGEAALFVGENSSLCVSTRKHPELKAGCVYYTEDDQDARDGYYNGVGVFSLKDGSWEKVEGLERHERLPWPTWFTPSIP >SECCE4Rv1G0236340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:348546435:348559350:-1 gene:SECCE4Rv1G0236340 transcript:SECCE4Rv1G0236340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLPVACPSSAMASDPGGGGDAWPLCGSGDSDQAPLTAGPARVTLRKRNLPGEAIARVGAGDEAAAPREAVAWQQSGMAELQEELEAERRAAAEAASEAMSMILRLQRDKSEAMMEARQFRRFAEERFAHDASEVAALHDAIARRDASIQSLSAQLRVCRSRLIQLGFLSPSSIPSSPTAAAGDHLFADDYPSIQPSDVGTPRIHHMLYTMPGRDAHKGVICASPRQRHGRALSNDSLYDSRIAAADEFPFVVERDVSDQDDDCNRVYTVDAVHRVPVAAPEDCCYFQTPMGNDVGFVDGVGAWAEEQEIRKLSARLQALEADRESMRHAIISMGAEKAQAVMLKDIAHQLCEEAAPLPVISLKLHPVPQAVVAPQRKLVKRQPFCIKLFIVNVIKWIVSVFSRQKKLDRIKYPFGLCGSYVGLMLLLDRSHKQRYRRFLKRAR >SECCE1Rv1G0013200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:101361483:101366468:-1 gene:SECCE1Rv1G0013200 transcript:SECCE1Rv1G0013200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKNSLLLQGQLRHATAQAAAVAAAAGAGRRGMATSTEEYMRRNYANNVSEYNTVIGSLVQQRRPYLLRDAYDDMLLDGVQPVRDTFHNLIVGTMKGSRLQDAFYFRDQMREMGLQPDVNLYNFLISTCGKCKNSDAAILLLEEMKAHGVKLKGETYICLLNALAATGRTDQVYAIVRDMTAAGLGLNKFCYAGLITAFKNKTPASEDTPAKILEFVQQSKGWQYVERAANDSAENIMMNVSEEELYNLPTAEFAHRRGFIFKQFTIYHVAIHACADLGSKETLEALLDMFTKENFNYDAFIVMQAVRCYLRCGDIDSAVKMFEEFSSSKPTPAELFVTLAEGAMIGYTQRGMEVAQATLEKMTERKFFLNPKMGTDLLLAASGEKTGGYTTANYIWDMLQTRNIIPALPAVEAYYKGLKEREIPSDDPRLVNVARVLDNLQLRFGPRRNLQ >SECCE7Rv1G0457090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14280025:14280963:1 gene:SECCE7Rv1G0457090 transcript:SECCE7Rv1G0457090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQTAVALVLLSVLCGIASHGVDAQYYWTTATATFYGGGDGSGTMGGACGYGNLYNSGYGINNAALSTALFNDGAMCGACYTIYCDTGRSRMCKPGTQITVSATNFCPPNWALPSDNGGWCNPPRVHFDMSQPAWTSIAIYEAGIVPVVYRRVSCQKRDGIRFGIAGRDYFELVVVTNVGGSGVVSQMWIKGSNTNWLPMSRNWGANWQSNAYLNGQRLSFRVRLDDGREVTASDVAPSNWWFGATYTSWVNFY >SECCE6Rv1G0434720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:767276841:767278296:-1 gene:SECCE6Rv1G0434720 transcript:SECCE6Rv1G0434720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAPSLPDDAVADVLRRLAPREVAASRCVCKSLRRVVDGHHLLRADLLPLKLGGIFLNLRELIYDTQFLSRPTTGAAVSGRLDYTLDTCHPDHRSLPRPYVIDHCNGLLLLRHCVVNPATQQWAPLPPSPYLPQPPGMYSVEHSHIVFDPMLSPNHFDVFIMPKIAFTVECEEFEWPPSSLILRVFSSKIGSWEKRTFFREGEAAWMIPGLVWFSLDSNEHHSPYWRGSLYTSWDNSFILRISLSDNRYQVIRLPTRLPKDNFKGDQQFYIGKSTKGIYCASIFELHGSHLHVWFLNDLNEWVLKHDKDIFPILPNLDYGNPCNGPWILQQFDYDEHSEEDDSVEKEKFEAIVKQGKFEWDSDNDNVLEPGRRCETTDICFLGFHPFKEVVFLALWDRVLPYHWSSSKLQDLGKLFPEFYKVQRDTYWKTQLQESFPYTPCWLGELPEKLN >SECCE4Rv1G0218030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19744745:19749865:-1 gene:SECCE4Rv1G0218030 transcript:SECCE4Rv1G0218030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRSLPILVLLLCCSCLPSWQQPWLLHALPLCTDSRAPAPLKGTLGFCSYSGSSCCDAADDAALKKRFEAMSVADAACAAVVKSVLCAKCSPFSAELFNSSSKIRMVPLLCNYTSSRSSAQSKDSTLDYCKLVWETCKDVTISNSPFQPSLQGSGRLPSAASKLADVWQSENDFCASFGGSSDDKSVCFSGNAVSFNTTEPSPSPKGVCLERIGNGSYLNMAPHPDGSNRVFLSNQAGKIWLADVPEQGSGGALQFDEANPFLDLTDEVHLDSEFGLMGIAFHPKFATNGRLFVSYNCDRTQSPNCAGRCSCNSDVGCDPSKLGTDNGAQPCQYQVVVSEYSAKVSSSNVSAATSANPSEVSRIFTMGLPYTAHHAGQILFGPTDGYLYFMMGDGGNKGDPFNFSQNKKSLLGKIMRLDIDSVQSQKQIGNQTLWGNYSIPKDNPFAQDSDLQPEIWALGFRNPWRCSFDSERPSYFYCADVGQDAYEEVDLISKGGNYGWRAYEGPYVYHPEWTPGGNTSLSSINAIFPAMGYNHSAVNKNVGSASITGGYVYRGSTDPCLYGRYIYADLYASAMWTGSEAPPRSGNYTSTLTPFSCSKNSPIPCEPTGGATLPSLGYVFSFGEDNRKDVFVLASKGVYRVVRPSLCGFTCAAEKPATGNGTSPSGPWSSLASVTRAGTSMAVAILASVVYALYF >SECCE2Rv1G0104760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:611414567:611417013:-1 gene:SECCE2Rv1G0104760 transcript:SECCE2Rv1G0104760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRAQTNSGESLISSTFASRYVRTALPRFKIPEQSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQNSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAEGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAVELVDENTICVAAILGSTLNGEFEDVKMLNDLLVAKNAETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPDELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKDIMQNCRDNATVLREGVDKMGYFDLVSKDSGVPLVAFSLKDSSRYTVFDVVESLRRFGWIVPAYTMPADAEHIAVMRVVIREDFSRGLAERLITDLNKVMVEMDAHAKKHGHHEHVKKTAHEIEKEVTTFWRSFVARKKNSLVC >SECCE2Rv1G0121400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:807499779:807504411:-1 gene:SECCE2Rv1G0121400 transcript:SECCE2Rv1G0121400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSAVEVAPAGGLVPDGKAGKKGKRNAEDDIEKAVSAKKQKTAPEKAVPVKNDLKVKKKLPPKKVESSSSDEDSSESEDEVMAQQESSSDSSDESSSDDEPAKKPAAASKKPAALASNGSKKVKSDSSSSDSSSDEDVMVPPKKAAQSAKQESSSDSSDESSSDDESAKKSAAASKKPAAKTEDSDSSSSDEDEDMLPAKAPAAAKKIEESSESSDSESSDSEKPAPEVKNSAVATAQKKNQELDGSDSDSDDSSDEDMATKKPVAKKVVESSESSDSDSEEEDTTAKPVQSSKAVAVKKGKESSDSSDSDSDSDSEEDTTAKTVSVKKEESSGSSDSDSDSDSDEPAKSTILAKRPLTTEKKSEHSKDDSDDSSDESDEEEPPQKKLKDSSSGAAKPVSKTAKKESSGDDDSSEEGSDSDEEKSKSASVAKSKQNEPRTPASSGQASTGSKTLFVGNLSYNVENEQVKQFFEKAGEVYDIRFATFDDGSFKGFAHVEFATIEAAEKAHKLNGHDLLGRPVRLDFAKERGAITPGSGRDNSSFKKPGQSNTAFVRGFDSSLEEEEIRSSLQDHFGSCGDIRRVSIPKDYDTGASKGIAYIEFDDSSSLPKALELNGSNIGEGLSLFVDEAKPRADNRDGADSGNRSGGRFDRGGGRRGGRFDGGAGRRGGRFDGGAGRRGGGRFGRGDRGGRSDRGRGRGGAPPRQSAGTPSAGKKITFGDD >SECCE3Rv1G0194270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:778880847:778884200:-1 gene:SECCE3Rv1G0194270 transcript:SECCE3Rv1G0194270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAILGAFMQTLFQKLSEALLDHFKSCRGIHGKLGSLSQILSQLQAFLDDAEAKQLADASVRGWLAKLKDVAYDLDDLLDRYSAKIMYLKKRKVKLSTKASVSSPSSFLRRNLYQYRIKRKISGILERLDKIARERDTIGLQMLGEMSRRETSERPQSSSLVDSSALFGREGDREEMVRLMLSNDGHSLSNVCVVPVVGMGGLGKTTLMQMVYNDDRVKEHFELRIWIYVSECFDGRKLTQETLEAAAYDQSFPSTNMNMLQETLSRVLRGKRYLLVLDDVWNEDYDKWLSYRAALISGGLGSKIVVTSRNENVGRIMGGIEPYKLQQLSDDDSWSVFKSHAFRDGDCSTYPQLEVIGRQIVKKLKGLPLASKALGSLLFCKADEEEWKGILRSDIWELPADKNNILPALRLSYNHLPPHLKQCFAFCSVYPKDYIFSKEKLVKIWLALGFIRQSRKKILEDSGNADFNELVSRSFFQPYKENYVMHDAMHDLAISVSMEHCERFEDGTRYDSAIKTRHLSFPCTGAGTKHFDPLYGFSKLRTLILMHGYNSKMSRFPDGVFMKLQFLRVLDMHGRGLKELPESIGNLKQLRFLDLSSTEIKTLPASIVKLYNLQILKLDNCSSLREVPDGITKLTNLRHLEGSTRLLVRIPGIGNLICLQELEEFVVLKRPGHNITELRNMDQLQGKLTIRGLNNVADEQDAICAKLKTKEHLRALHFIWDEDCNLTPSDQQDEVLEGLQPHIDLKELMIKGFPGARFPSWLATSFLPNLQTTHICNCRCTVLPPLGQLPFLKNLNIAGATEVTQIGHEFTGLGQIKCFPALEELLLEDMPKLGEWIFDGADQLFPQLTELGLINCPKLKKLPPVPPTLTTLRIDETGLESLPELQKEACPSSLTFLYINGCPNLTSLRVGLLSHNLTALKSLTVAHCEELVSLPEECFRPLTSLQFLHIYECPCLVPWTALERGLLPASVEEIRLTSCSLLAHVLLDGLQYCPRLKHFQIADYQIADYPDISNFPLELLPHTLQFLDISRCDDLQCLPPSLHKVSSLETLHISNCRELESLPEEGLPRGLKELYINQCPKIKQRCQEGGQDRVKIAHIRDVEIDGDVIVLEQI >SECCE6Rv1G0447400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850341801:850343114:-1 gene:SECCE6Rv1G0447400 transcript:SECCE6Rv1G0447400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKGAVKADTKLAVKSKGAEKPAAKGKKGKAGKDPNKPKRAPSAFFVFMGEFREEFKQKNPKNKSVAAVGKAAGERWKSLSESEKAPYVAKANKLKGEYNKAIAAYNKGESAAAAAPKKAAAKEVEEEDEEESDKSKSEINDDDDDEGSDEDEDDDE >SECCE5Rv1G0362070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782535549:782535926:1 gene:SECCE5Rv1G0362070 transcript:SECCE5Rv1G0362070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAGWVVAVARGSAAAWQRVACNPETLPPDRVLALIFCGPLHLLARLAACLCVPLLAASAPFRLASPRRRRRLLLLPAPELLLAPYSPSPSSSSSSSSSSDEDDDDDDDYGIEDGDGISPHVD >SECCE1Rv1G0029650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:417069409:417070974:-1 gene:SECCE1Rv1G0029650 transcript:SECCE1Rv1G0029650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGSAPPAAGSGMFVPTQTAGTVLCCICGVSMQPNPANMCARCLRARVDITEGVPRNAAVVYCPDCFSYLQPPRSWLRAGPESPELLQILLRRLKHPLARLRVSLSGAEFVFSEPHSKRLRLKLRLRREVLNGIVLEQTHPVEFVVHDRLCDSCARAQANPDQWVAVVQLRQHVPHRRTFLYLEQLLIKHGQAALAIRVASAPGGLDFFFGSRSHAARLVDFLGTVAPIQTNTAKQLVSHDTKSFIYNYKYTFSVEICPICREDLIALSPKASRDLGGLGPIVLCTKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVQYMVLDIEPESPEITIDGSRYQLAYAQVARMSDFGKNDTVFTVRTHLGHRLNPGDLALGYDLYGANMNDDDMDKALLRQNLPEVILVKKSYEKRRRTRRWKLKRLPVEEDLGNKAKGEEDRREDEYKNFLDDLERDPELRFEINLYKNEDYRSEMASTIGDDVPTVPIEELIEDLTLGDDEDEEEEGGADAGMVE >SECCE7Rv1G0485800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:384678838:384679116:1 gene:SECCE7Rv1G0485800 transcript:SECCE7Rv1G0485800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLLDVFVEHHNRGDHAQNGWKPHVYNAAIKAIRYKCVLRVTKDKICSRMKTFDKHYATISKILSQSGFGWDWVNNKLLMDSDDVWNKYV >SECCE3Rv1G0143690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2052470:2053795:1 gene:SECCE3Rv1G0143690 transcript:SECCE3Rv1G0143690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLPTAVVTIYLLIIMKRGAGTLYLIDYACFKGSANCRAPISAFIEHMYLTPSLDDPSARFMTLVLERSRIGNEAQLPPSMCYIPTYRSLKEARAEVEWVVFSAIDDLLAKTGVNPDILGMLVVNCSVFAPVPSISDMIVNRYKLRGDIRAVNLSGMGCSAGVTAVGLAAKLLQATRPHGAHYALVVSTETITSNFYEGKERPMLVANALFRVGGAAVLLSTSKEKARFRLAHLVRTLTAGASDSSYSCIFQEEDAEGNIGVNLSKDLPAVAADALKINMSTIGPLVLPLSEQLLFAVRFLGNKLPMYGWWRVVEPRIPDFHKAFDHFCIHPGGPSVIDSVQRKLGLSQEDVEPSRMTLHRFGNTSSSSVFYELAYIEAKGRMSKANRVWMIGFGSGFHCVSAVWECIRPADEPDKAWAGCISQYPVVDHSSAPNLPKH >SECCE2Rv1G0104840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:611958658:611960145:1 gene:SECCE2Rv1G0104840 transcript:SECCE2Rv1G0104840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAPPSPPGEEPSPASAPLLLRRRGSYQRCMSHARDELRSFRSCLRWMCVDHSDGSSAAASWLVFAVLAVAVPVAARVAMPRRAYDTQVQVSLTLSAALAYLTLTSLIRRRGLRRLLYLDRLRHDSQDVRAGYTVQLAGSFHLLACFVLPCFLADAAYKVFWYCAHRPFPAWWSAAACAMEMASWMYRTAMFFMACVLFRIICYLQILRMTGFARDFGQCADVAAVLGQHRRIRDQLRRISHRYRQFIMYCLLLVTASQFSALLGATRPSAKVNMATAGELALCSLSLVAGLLICLHSAAKITHKTQAITSIAAAWHADATINTVERDQENPRTPSRSCLQLLQQQQQVPPSPDSDQSDDDEMSPSEDSLDTSSKFTSFHATHISYQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMLEFSLVMWLLGKTIGIS >SECCE2Rv1G0126460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:846451412:846452555:1 gene:SECCE2Rv1G0126460 transcript:SECCE2Rv1G0126460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLIVGGIVGDIVDYFDASARLRVLYSNREITNGSELRPSQVANQPMVQITGRPGSLYTLVMVDPDVPSPSDPSQREYLHWLVTDIPERGDVSCGTEVVAYERPQPTAGIHRVAFVVFRQTVRQAIYAPGWRSNFVTRDIAECYSLGAPVAAAYFNCQREGSCGGRRYR >SECCE3Rv1G0188730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:718158849:718161532:-1 gene:SECCE3Rv1G0188730 transcript:SECCE3Rv1G0188730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVAAVAAGEAHTLALTGNGELYSWGRGTFGRLGTGREADVHVPTAVVPAAPSAAAGRQRPRFTAVAAGAYHSLALDDEGALWSWGYNIYLGSPETLQDEEQNTLARTPLKLSSVKAGGMMSFAIDSLGALWMWGNCPQQTDDGEFCIAATSAPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLACYSWGNNNHGQLGLGDKESRSRPVLISEFSEGSSWEVYEIACGAWHTAVLTNKKSFDQDLESRCWTFGIGDNGQLGHGTTATICSPKPVNGLPTGSFLISLDCGLFHTAVVSSDGEVWCWGMERGLGLCPDASFSGMDAGDALYPIRVQSPETNGFKFLGPVQVACGAAHTVLVAGDGYRMWAWGRGRSGVLGRDQTTDSYTPCVVLWPPLDENFQEIHGDQAQASTSRANDRTNTELELKLSAASEELQFLRSKLTLMERYANILHISIFRKPMDERTLPRSLQESAVFDIRKEFENILDSSDTDELARLEMFYRSMLSGVKDKLLKRKVQVMVQECIVSLSAGRQTPRDQ >SECCE4Rv1G0291600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:877081348:877082037:-1 gene:SECCE4Rv1G0291600 transcript:SECCE4Rv1G0291600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHHLALLLLAVLLRAAAIVADPDAVQDFCVPDAGRGRPVELNLIRSYPCRSPANLTAGDFAFSGVRAAGNFSADTGFAGVSVTPAQFPGLHTLGMSFARADLSAAGGVNPPHYHPRATETALVLAGRVYAGFVDTAGRLFAKVLVEGEVMVFPRGMVHFQLNVGDQPATVYGTFNSENPGIVRIPATVFGSGIRGAVLERAFGLTPEELRRIEKRFGVPNKAELED >SECCE4Rv1G0221070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:45298740:45300553:1 gene:SECCE4Rv1G0221070 transcript:SECCE4Rv1G0221070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKVADEPQLLSKKAGCNTHGQDSSYFLGWEEYEKNPFHPITNPTGIIQMGLAENQLSFDLVEEWLEKNPDALGLRRGAASVFRELALFQDYHGLPAFKNALARFMSEQRDFRVAFDPSNIVLTAGATSANEALMFCLADQGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCTSANGFRVTRSALDDAYRRAQKRRLRVKGVLITNPSNPLGTAVPRADLEMIVDFVAAKGIHLVSDEIYSGTAFSEPGFVSVLEVLAARAPLAADYALDDRVHVVYSLSKDLGLPGFRVGAIYSSNPAVVSAATKMSSFGLVSSQTQYLLAALLGDKDFTRRYLAENKRRIKERHDQLVDGLKDIGIACLESDAGLFCWVNMSHLMHSRSFEGEMTLWKKVVFDVGLNISPGSSCHCSEPGWFRVCFANMSAKTLDVAMQRLGEFVQTSSCKAAPAALRRAAGPARSMSCPLAMNMKWALRLTPGCADRKAER >SECCE1Rv1G0057210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692671471:692672457:1 gene:SECCE1Rv1G0057210 transcript:SECCE1Rv1G0057210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTTSPTIVLANMYQRPDMITPGVDAQGVAIAPEKMQEHFEDFYEDIYEELSKFGEVETLNVCDNLADHMIGNVYVQFREEEQAVAAHNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLYGGRSRRSHGRSRSPSPQHRRDNRDNRDRGGDFRRDGRDEYRGGGGGGGGGYRGGDGGDGGGYRGGGGGGGYRGGDGGGYRGGGGYRGGGRGGGGGRHDRYDDGPRRRYGGSPPRRGRSPPARENSEERRAKIEQWNREREEKK >SECCE7Rv1G0477460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:220278740:220281300:1 gene:SECCE7Rv1G0477460 transcript:SECCE7Rv1G0477460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLAPQFKWPPSTRAAVPREPGGGGCAAGGSGRPGRVQCALSSAAVVEAERLESLAAGARRLAFHGAVDVGPPPPSDSTSLAGHFGEALLNQEAVVTAAAAEAVALARAAADVAREVARMVQTDNRPDVGDIHDVVEDSYLTREVLRTEVRPGSRYTDARLLDDAGFISIFSDESESDDDEQGARGVAVKSARQPERRARRVRAAMKEAKTFSDRRPVTASSRKKRVKGCRNSLGCFYKMSGRKLLTAKQEVELSEGIQDFLKLEAIQKEIAHYNGGEPTFGQWAAAAGTDENTLRKRLSHGIYCKNMMVKSNVRLVISIAKEFEGPGTEFSDLIQEGIQGLIRGAEKFDASKGFRFSTYSHWWIKQAIRKSVLEQTQIIRLPAHMAEASSRVKECRRRLRRQLNRLPTNEEIALDTGMTARRVEAVMCLPRYSVSLTGKVGCTDVTYQEITADTSTETAEETLHRLFMKKDVAKALDSLTPREREVIRYRFGMDDGKARTLHDIGQLMGVSRERIRQIEMTAFRKLRSKKVTSLQHYLEPAESW >SECCE6Rv1G0390260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:180789391:180802935:1 gene:SECCE6Rv1G0390260 transcript:SECCE6Rv1G0390260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLQQTNALFRKNLVIQRRACKTNCCLILFPLILCAGIGGLQIAINRAVKRDPTPLNCNCSNAVVPVNTTGGPACSDGCPQPRAPKWPPVVQIPPSSTSWFGGGPSSTSCGAQGSCAAKFLVTGTNQSFVGSAMDNMIPVHDASVNVSADDISALADFVLAGYWGYSVSPLVDSFLQNKCTPNLTLSYSFVDGNETETRDVDCTEGLMLWRDSSWLISDDLYSGYTNMSNEFAAAYDFLSSDQGNFNLIISYNSTDEFRPYNGLPIPIFQFSGGNKPRLLEVPRLTNMASNAYLRLIGNGLKISFDFVKEMPSVGRSSGMYDITSIIGPLPYVWTIQLLFPVVLTNIVYEKQKKLRIMMKMHGLGDLPYWTISYCYFILLSMLYVLSFMVFGTVFDFTFFRLNSYGVQFVFYFAYMSMQISFAFLMATCFSNVRSAAVTGYFYVFGFGLIADYFFKPYIEDIFLSRSWIILLELFPPFSLYRIVYEFSQSALLVSQIDRTGMQWSDLNDSKNGMACVLVIVVLEWILFLLLSFYLDHFGSFQNGIRKAVVFLHSHRAGNPSQAAQQQITQIQEFRASVEMERTDVIKEREMVGQLLQEPNNSYSVICDNLKKVYRGKDDNSKKIAVRELSLSMARGQCFGVLGPNGAGKTTLINMLTGFTKPTSGTAYIEGMDIRLDMDKIYTRIGVCPQHDLLWENLTGREHLMFYGRLKKLKGVKLAQAIEQSLKSVRLFDGGVPDKLVQKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWKAVKSAKQDRAIILTTHSMEEAEVLCDRIGIIANGTLQCIGNSRELKTKYGGSYVLTITTVAGEEAEEEVAKLVRSILSAVSRVYRISGTQKFEMPKQEVRISAVFHAMETAKSRMTILAWGLADTTLEDVFIRVAKESEASSVT >SECCE7Rv1G0472980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:152320269:152344713:1 gene:SECCE7Rv1G0472980 transcript:SECCE7Rv1G0472980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYLPVNPNPTPNPTSSLRQPRPSTSGELHFRPRPVPRRARARTHLPSAFGRRPPAAAAAERGGKDYYATLNVRRDATLKEVKSAYRTLARKYHPDMNKSPGAEENFKEISAAYEVLSDKEKRSLYDRFGETGLHADYGGGDFSAHGVDPYELFNAFFGSSNKFFGDSMGPGRFHYSSNVNDNRGLDIRYDLLLPFEESILGGKREISVSRHETCGACHGSGAKSSNSITECTQCRGQGRSMKSQRTPFGIVSQISSCLNCGGSGKIITEHCTSCYGSGKVQVERSIKVDIPGGIEDGSAIRLTGGGSVDKQRGVSGDLYIFVRVEEKQGIHREGLNLCSDVTIDYTDAILGTTVKVETIEGLKDLYIPPGTQPGEKLKFAQLGAPDIKRPNHRGDHNFVIRVKIPRNISDQARSLVEDLAALKGTQGISVPSDENIDQGNLRDESHHSSSRKKTSLWGSVRNLFRGDEGDQRFASISAQPVIPRWTYQHGVHRAAPLLGGCFMIAALIFVMSRRGKFRFCLMGDDRTTKPEDGE >SECCE2Rv1G0102360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:576561172:576561447:1 gene:SECCE2Rv1G0102360 transcript:SECCE2Rv1G0102360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNAKLYMQNCYILKENERLRKKAQLLNQENQALLTELKQRLAKTAAGKATGNGNGNAAAGARAPLPDLNAAPPAHDKAAPKSKKTAAN >SECCE2Rv1G0121860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:811747958:811751891:1 gene:SECCE2Rv1G0121860 transcript:SECCE2Rv1G0121860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MASSSADPEKLMAKADKLTKLSFTRWNADWKSATALYEQAAIAYRFRKDNEKAKDAFEKASKGQEMISSPWDAAKHMENAGALAKELGLWNEVSDFYRRASEFYRECGRSQPASDALAKGASALEDKAPEEATKLYDDACTLLEEDGKEQMAFDLYRAAASLYVKLEKYSDAAAFHLRLGSAADKCNAVNSQCKAYLSAIIIYLYAHDFQQAQKCYNDCSEVQGFLNSDQNRCSMKLLSAYEEGDAEEIKRAAQSSAINHLDHVVIRLARKLPTGDLQAIKKDVGGDDGDSLDEDDLT >SECCE3Rv1G0192850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762911663:762914709:1 gene:SECCE3Rv1G0192850 transcript:SECCE3Rv1G0192850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRALSLVLLVAATYLSVSIATDTIDLTASITGNQTLVSAHGVFRLGFFSPPGSSGDRTYIGIWYAGIPVQTVVWVANRRNPVVGSPGVLKLSPDGRLVIIDAQNTTVWSSAAPTGNVATNSTARLLDSGNLVVSSDGSGSSQSVAWQSFDHPTDTQLPGMKIGLDHRSGVAWNITSWSSPTDPSPGEYTFKLVAGGLPDFFLFQGPKKMYSSGPFNGAGLTGVPNLMSQDFHFAVVGSPDATYYSYSITNPTLLSRFILDGTAGRMQRYVWLDSAWRSFWYYPTDPCDDYGKCGPFGYCDMSKTPLCSCLPGFEPRSKQQWDLRDGTSGCVRTTNLSCGAGDGFWPVNRMKLPETTNATVHVNMTLNQCRQACLANCSCRAYSAANVSGGTNRGCVIWGVDLLDMRQYPAVVQDLYIRLAQPDLDALNVSVAGKRRRSVVIAVAATISGVLLLAAAGCLCFWRYKARRKRRRQKPETAPGCGDNVLPLRPRKHPDLSPARDDENKMSSGEDDLDLPLFDLAVILAATDNFAAESKLGEGGFGPVYLGRLEDGQEVAVKRMSKKSSQGVEEFKNEVRLIAKLQHRNLVRLLGCCIDDDERMLVYEFMHNNCLDTFIFDEAKRKLLGWSKRFEIILGIGRGLLYLHEDSRVKIIHRDMKASNVLLDRNMVPKISDFGIARMFGGDQTTAYTLKVIGTYGYMSPEYAMDGVFSIKSDIYSFGVMVLEIVTGKKIRGFYDDELDLNLCGYAWMLWKEGRSTELLDDAMGSSCDQSQVRRCIQVALMCVDVQPRNRPMMSSVVMMLAAENATLPEPNEPGVNLRRNGTDTGSSGTQSEFTFTVTTTDTS >SECCE4Rv1G0225690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:98950534:98953269:1 gene:SECCE4Rv1G0225690 transcript:SECCE4Rv1G0225690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLIVVTKIGDILLEEATKGIIAKLSEKVTNLKELPVKVEEIKKQLTMMGNVIRQIGTVYLTDDVVKTWIGDVRKVAYHVEDVMDKYSYHLLQLQEEGFLKKFFIKGTHYVRVFSEITDEIVEVEKEIQLVIKMKDQWLQPSQLVAYPLNEMERQRSQDSFPEFVKDGDLVGIENNRIWLTRLLYSEEPEPENTVITVSGMGGLGKSTLVSNVYEREKINFPAHAWIVVSQVYTVDALLRKLLWKIGYTEQPLSAGIDKMDVHDLKKEIQQRLENRKYLIVLDDVWEQDVYFQIHDALQDLPGSRIIITTRKDHVAGISSLNRHLELEPLSKSDAFDLFCRRAFYNRKGHECPNDLEMIATSIVDRCHGLPLAIVTIGGMLSSRQRLDIWTQKYNQLRSELSNNDHVRAILNLSYHDLPDDLKNCFLYCSLFPEDYHMSRESLVRLWVAEGFVVRKEKNTPEMVAEGNLMELIHRNMLEVVENDELGRVNTCKMHDIVRDLATSVAKEERFASADDYAAMILVQQDKDVRRLSSCGWKNDTAVKVKFPHLRTVLLLEVSPCPGIISSILSESNYLAVLELQDSEITEVPASIGSLFNLRYIGLRRTKVRSLPDSIENLSNLHTLDIKQTKIEKLPRGVVKIKKLRHLLADRIADEKQAEFRYFIGVQAPKELSNLEELQTLETVESSPDLAEQLKKLMQLRSVWIDNISADDCANLFATLSTMPLLSSLLLSARDANEALCFEALKPNSTYLHRLITRGQWAKGTLNCPIFLSHGKNLKYLALSWCHLGEDPLGMLAPHMPNLTYLRLNNMCSAKTLVLSADSFPNLKTLVLRHMHDVSELNIIDGALPCIDGLYIVSLSKLDKVPQGIESLRSLKKLWLLNLHKDFRTQWDTEGMHQKILHVSEVRV >SECCE6Rv1G0410270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:596288315:596295342:-1 gene:SECCE6Rv1G0410270 transcript:SECCE6Rv1G0410270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSAT1 [Source:Projected from Arabidopsis thaliana (AT1G04010) UniProtKB/TrEMBL;Acc:A0A178W9Q7] MPPWRFRSGGLACAMAIAVATAAAASVGVAAGVADGEAEFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDSTKLFSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSIWKEWVKWCVEFGIEANAIIAVPYDWRLPPSLLEERDLYFHKLKLTFEIALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIQWLDKHIHAYFAVGAPLLGSTESVRATLSGTTSGLPVTEGTARLMFNSFAASLWLLPFSKYCKADNVYWKHFFEGKAHMNRQQCDAMEYSSDNSGWPTTLVSIEVPTTRGTDAYPSIMDITENITSNMECGNPTLLSFSAREVSDGTLFKTMLDYDPQSKALIHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGIDTKTEVGYYFAPSGKPYPDNWIITDVIYEFEQSLLSRSGHSFSGKPNNSSGDGTVSYNSLSWCKQWLGPKVNITRAPQAEHDGSDLQTSMNAEHYHGEDLFPNMTRAPHVKYITYYEDAESIPGWRTAVWELDKANHRNIVRMPVVMRELWLEMWHDMHPHSKSKFVTKAFRGPLRNEDCHWDYAKARCGFPEFCEYRYTFGDVHLGMSCRLKNSSTMLLRQYL >SECCE7Rv1G0481170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:281387259:281390479:-1 gene:SECCE7Rv1G0481170 transcript:SECCE7Rv1G0481170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPGHGFGSGAAEERDIDDLRRNDANYTALTPLWFLERAALAQPDRASVVHGPVRYTWAQTYRRCRRLASALARRSVGHGSTVAVIAPNVPAVYEAHFGVPMSGAVVNCVNIRLNAVTIAFLLDHSVAEVVMVDQEFFTLAEESLKIVSEKKKQNFRPPILIVIGDPTCEPKSLQYALGQGAIEYEEFLKTGDPEFNWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMALSVAMVWGMPEGAVYLWTLPMFHCNGWCYTWALAAFCGTSICLRQVSTKAIYTGIAKQGVTHFCAAPVVMNNLINAPASETFLPLPRVVNVMVAGAAPTPSLLAALSIRGFRVTHTYGLSETYGPSTLCAWKPEWDDLPLEERSRLHCRQGIRYTALEGLDVVDPKTMVPVPADGKSYGEIVMRGNAVMKGYLKNPKANAEAFAHGWYHSGDLGVKHPDGYIEVKDRMKDIIISGGENISTLEVEKVVYMHPAVLEASVVARADERWGESPCAFVTLKEGAGGSDEAALANDIMRFCREKMPGYWVPKSVIFGPLPKTATGKIKKHELRAKAKELGPVKKSRI >SECCE5Rv1G0365310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:806152010:806152951:1 gene:SECCE5Rv1G0365310 transcript:SECCE5Rv1G0365310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAVEDLPADVLACALRCLDGRSLAAASCATKGLRALAADPGTWRALCLAQWPSLGLKTLLEHSILGSAAVSPQRLFADAFTFPSTSTSTEAAADQCLPGELISAVDIYQNGAPRPLFSRVVETSTSSSWFLTSPFRVDAVECKDPVLVRAASFSPSELELSWIVVDPRSGRAVNVSSRRAVAVDRHWYTGETLVRYAVVLGGSKFEATVTCSEEAGCLTLSEVTLTVEDADGAGVSGEGSLRFLAAAMEAPRRKGGEKEMDEAKRRYEEFVRSKRGRKESKARREVLVDLCCSAVSAIAVLSFVASVVVR >SECCE3Rv1G0163330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:146922217:146929727:-1 gene:SECCE3Rv1G0163330 transcript:SECCE3Rv1G0163330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVAPAPGKAASEEKQLDDKELELERERKLKKEQKAREKEEKKLKAKQKETARLQAQATSDGPKKSEKKQKKKAAGDENPEDFIDPETPSGEKKSLAPQMAKQYSPSAVERSWYSWWESAGYFGADPASSKPPFVIVLPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERKLTRHDIGRDKFISEVLKWKDQYGGTILGQLRRLGASLDWSRECFTMDDQRSKAVTEAFVRLHKDGLIYRDYRLVNWDCTLLTAISDIEVDHLELKEETMLKVPGYSSPVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDSAIAVHPEDKRYKHLHGKHAIHPFNGRKLKIICDAVLVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINSNGGAQFEGMPRFAARVAVIDALKEKGLYKDTKKNEMNLGICSRSNDVVEPMIKPQWFVNCHTMAKAGLDAVRSKKIEIIPQQYEQDWYRWLENIRDWCVSRQLWWGHRVPAWYVTLEAEQLNDLGSNNDRWIVARNECDAMLEAQKKYPGKKFQLNQDPDVLDTWFSSGLFPLTVLGWPDDTADLRAFYPTSVLETGLDILFFWVARMVMMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVVDPLEVINGTTLEDLLKRLEEGNLDPNELSVAREGKKKDFPDGIAECGTDALRFALISYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDHYTPPATIVVSSMPPVCKWILSVLNKAIGKTVTSLEAYKFADATSAIYSWWQYQLCDVFIEAVKPYFFNDSQEFDSARAACRDALWVCLDNGLRLLHPFMPFVTEELWQRLPQPKDSCRKNSIMISEYPSVVKEWADDKLESEFGIILDTVNKLRSLKPPTDTNERRPAFALCRGEDIAATVQCYQSLVVSLSSVSSLKILAESDETPPDCSTAVVNKDLSVYLQLQGALNAEVELEKLRKKRDEIQKLQHALSQKMEASGYREKAPPSVQEEDMRKLTAFFEQLRVIGEAEKKLDA >SECCE6Rv1G0414500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:632323060:632325072:-1 gene:SECCE6Rv1G0414500 transcript:SECCE6Rv1G0414500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTREAFDVRKEVFAVGKMCGEERNLLRKRFRAELVAVRGLLEKADWFLAPGAVECCRGGAAPSSSSVLAAPPGKEEERPLLGAEPRSDVSLKVDVGKAAKRRSASPPAEAEHKEAPKMTRAEREQLAFSLVELAAELSDKAVELLPKQSNGGRREVEVNVNAMEDAALFELKMHVDKLINTRYPFLPPQDDSKMVEDDDENGRRVDMCPGVSPVVTAPSPLQLEILEYAAVFNATGLVDKMLSPLPQKYLALAEKGETECIAEKEDDEEYVDICGDASPVVIQNRIGAISRVPSIILSSDSYSDSDSDSGSDSDSRHSGSVSDNHYDDKIGTPAPPLLLPKVSSPTPAVLSKVIDDSAPPSEPTLEVVRNGELKKPDSPAPAILHKVNGHSAGRRSEPAPVIQNAEPEHVSSLAPSALCKTVDDSAAQPSEPALEVVPLQSGEPAGKHSSPAPALLPKVNGGFAGQAPVLQNAEPEKVSSPCLAFLIYKVVDGSALSLEAGPEVVQNGETEKLSSPTPTPSADLSKVNDGSSLPSSKPAPGVVQNAEPENKNAAAPPTRPMSELIAMALEKRRREERSQAREKARQELVETERSAMPSHRVHPADMERLGIVDIEHMVTQQRRPGVPPSLLQQLGLFLRADEDDAGEQQQQQPSSDPGVEDLEEGEIQ >SECCEUnv1G0541050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93185235:93188105:1 gene:SECCEUnv1G0541050 transcript:SECCEUnv1G0541050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAIVGVGGIGKTTLAKKVFNDEAIQHRFNTKIWLSVTKEFSEAELLKTAIIAAKGKLPDGGAQDKSLLVPALAVAIRDKKFFLVLDDMWGDNEWNNLLKDPFSYGAPGSRVLVTTRHGTVARVLKAVHPYHHVDKLGPEDAWSLLTKQILTTEKSEPTIDMLKDIGLQIIEKCDGLPLAIKVMGGLLCQKEKSRHAWGKLLNDAAWSVSQMPEELNYAIYLSYEDLSPCLKQCFLHFSLKPKKVLFEDIEYVGMWIGEGFVHGDSDRLEELGIEYHKELVLRNLIEPDTSYPGQNFCSMHDVVRSFAQYISRNESLVLNNGESTSNTFSMQRYLRLSIETKGVESDTFELRSLQEQKSLRSIILIGNFKIRPGDSLTIFSSLRTLHMESIDCVALLESLHQLKHLRYFAVKKCNGINSLPQDIHKIKLLQHVSFEGCKNLVRLPNSIVKLQELRFLDLDGTYVTDVPRGFRALKNLRTIFGFPSHMDGDWCSLEELGPLSHLRCITLVGLQNVSASSFARKARLGEKVHLSMLGLHCSSGFGDDGQKIENVTEKDQGVIEEVFDGLCPPPCIQDIKIQGYYGCQLPRWMRDTSTTLLNSLKIIMLHDLACCTQLPDGLCRLPCLEFLEVHQAVAVKRVGPEFVQPSSHHHHPSSRVVVAFSRLHKLVLNMMEEWEEWEWEEEVHAMPVLEELFIRSCRLRSIPPGLATHARSLKKLTISSVQGLQALEGFASVVQLHLFNLPNLTRISNFPKLRTLEIYYCQNIESLQGMDQLRRLVLTVYYNRPIPSYLQAVKPSHLLLDCGPEALASMALGKSGPEWDKFGHIQHVEAYADDVGEHIEKKWHLYYTSEPYSMVTNIDPQEFE >SECCE6Rv1G0415320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:640818927:640819571:1 gene:SECCE6Rv1G0415320 transcript:SECCE6Rv1G0415320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKILIALGVLTLALTAATCTHAQALACPKQCGADANRMECPDNLCCNQDGFCGLGGSYCSADAGCQSGACYVNKECGDGVVCPNNHCCSQFRRCGLGSKFCGEGCKNGPCNADIKCGQLANGAVCPNNLCCSGEGYCGFGVEYCGDDCQSGACSASGRCSAQEPCTNNYCCSKYGYCGLGRKYCGAGCLGGACYTGSILDVLTNCAPVPPLN >SECCE1Rv1G0023930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:316301750:316302127:1 gene:SECCE1Rv1G0023930 transcript:SECCE1Rv1G0023930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGRADDGHRGHLGPLGFGRRRGRQQSTPRAERRTSKSRSAYGRDASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWVAFPNNPTH >SECCE1Rv1G0014300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:112035396:112037133:-1 gene:SECCE1Rv1G0014300 transcript:SECCE1Rv1G0014300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEPGCENTISTLISIHTNRYMSTEEYDSSYDVDIDELAISMRTDLNRCMSLVEDRGKGNRCCPICKIVHRIRQTDTSAYEPTVLSIGPYHHSELPLQAMEMEKWICLDYILKLNRDVSLRGYLSLISGLEKEARGYYTEEINMDSREFLQMLLLDCCFILVYLGSVHAQGATADDAQMKENMIYEGKREAKDLSHMDAAPCQSLSGHSAVDIELNQMGTHTDSRNQGNYSGSIEWYNSSAVYDLLLLENQIPFFIVRIIYRFFSHDVATTPLLTNNICEFMEGILYLFPKVIAEANRPEDFYHLLHLCHKYLKPSHKVEHDHHLYAVKPHYFQFIFDVSRKIFTLGRKQDMFHELDWSDSVQEINRWRRAVNYHEAGMLFKKREFDEHNPHSLLDIRFRKGIIEIPCLPIDDKSSLLFRNLVAFEQTCPEVGDDITAYFVLISQLTSTAADVAFLAQKGIIVHQMESDEDVSSLFTKLSEYVEFDFSGEHYLKSLCCAMEAHYQSRINRWMAWLWHNHFRNPWLGFAAIVSVFVVICSILQTVLAFLLYTG >SECCE5Rv1G0321590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:406745252:406755615:-1 gene:SECCE5Rv1G0321590 transcript:SECCE5Rv1G0321590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPALSPPKRFRDATPPPNGSLPPSLNPPAIPKSPKALGPAALEERHPKPYPPAMVIAVEGSFVHQDEGEDGDHPMRYLPLGRVYSSTAPCPPPKKPRSSASAAAGGKPPVIVYYRRRRKKPRLEEPRPSSPATAPRQLGEEEEALGRGSRRKRPLKHELLSLGSAPPALGADRDGEELLGRRQPRRRGGLQKESTSAPRRRRRRSTQLEAASPSEKRWVELEIQGADPQAFVGLVCKVFWPLDDDWYKGSITAYTELTKKHSVKYDDGEAEDLTLANERIQFSISSEEMKSLNLKFGTSNLDKKGYDELLALAVSFHDYQGLDPDDLVWAKITGHAMWPAVIVDESNVHASRALKPIRLDQSILVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQTRFSRGLEEAKEFLLTQQLPENMLQLRKSIENDGSDVNGQDDVIGSCDNLSEERAEENGEDAEMTQIELGNLRVSNLGRIVSDSDHFHNKMHIWPEGYTAFRKFASVKDPHLVTSYKMEVLRNSDIKARPLFRVISEDGLQIDGSTPNACWKEIYCRIKEKQCNVASELEGNVCQRSGSDMFGFSNPQIRQLIQELPNARSCLKYFENGGDTLRGYRAVHVNWKDLDFCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPGAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSKINKDRWKLLCSICTVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLEEDEDPCIRLLSYCKKHRQPSTERPSLESNLGNPAQLVQTDAASSSGCARTEPYNFHRRRGQQQPQVTATASVKRLYVENMPYIVSGYCQNKVGCDTSCEPIQSVALLDAASQEASVNVSSMAEKYKSMKATFRKRLAFGKSRIHGFGVFAKVAHKAGDMMIEYIGELVRPPISDLRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDIDPWEELTYDYRFVSNEQRLPCYCGFPKCRGVVNDVEAEVQSTKIRVTRSELFQQKD >SECCE3Rv1G0153540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:54475708:54477168:-1 gene:SECCE3Rv1G0153540 transcript:SECCE3Rv1G0153540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARGTRQVREKDEGGVGKRRKFGAATPVFTMDNLDVLHCAVCCDPLRPPIFQCTAGRHIICSSCHDNLPDNLAGKRCFCCETTIYSRCHRLEDMVESLKVACPNGCTARIKYYQTEEHEQDCPEAPCFCPIADCSFSGPTARLLEHFSYKHRFPSTKVSYNKRFGMLINFHTNGDLSEPTLLVGEDGHLFIVYMKTESLGCGIAVFCVQPHITTGSKFKCNLSLWSAETGYSHATEFQTRNTNLYDGFPDDCFLFVVPKAMLPGPGTSDRVLVGMELTPQ >SECCE4Rv1G0276710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:799004547:799005407:1 gene:SECCE4Rv1G0276710 transcript:SECCE4Rv1G0276710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTEKMAVPTDAELLQAQADLWRHTLCYLTPMALRCAVELGIPTAIHGLGGAASPQDLIAALSLPPSKLSFLGRLLYQLATAGVFDTTDAGTTYRLNPISYLLVDGVHIDGDACQTAIVRVASSRYYVEAAFGLADWFRKDFDGPVPSPFEDLHGATIFDDSMTLLDPEMDKLVHEAKASHNHMGIGLVLRQCRDLFQGLESLTDLCGGDGTTARAIVKAYPHIKCTVLDLPKVIDKAPAEGVVKYVAGDIFHVVPPAQAVLLKVFMNLPSSISYIRVFLSYTF >SECCE6Rv1G0443640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:823870711:823871922:-1 gene:SECCE6Rv1G0443640 transcript:SECCE6Rv1G0443640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSQKIESTLPDIPGHLLEKIFLLLPTPEDLARTSAACVTFRGVVTDGSFLRRFRRLHAPPLLGFLNLDGFHPTLPPHPSAPAAHALALAADFTFSFLPFHCQWTVQDVCDGRILLERKHGKDEEDLPIFPDLVVCDPLHRRYVLLPSVPDDLAVSVEKQGRFFGSFCEPSLIPPNEGETEETAFRVIWLARCGINLATFVFSSSTGLWQAAASQPWTNLFIGHLPLYFLKRHFACGCIYWASPMNKKELLVLDTRTMEFSIADFPPDAWLQLQVAIVDAGEGRVGMFSTHDGNAFYESILCYMVRQNKGESSGQWVMKTISLGYGYRHYIRAATENHLILLRMDAQTQWPDVALSLPQSKMDFFSLDVKTMQLERLFAEHNQRSVVHIYTNFPPSLSSPTV >SECCE4Rv1G0268130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:739904545:739907658:-1 gene:SECCE4Rv1G0268130 transcript:SECCE4Rv1G0268130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTSGRPIEVLMEKVLSMNIVSSDYFKELYKIKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKLFTMKLTVNQMHGLLKHPDSPYIRAIGFLYLRYAADPKTLWTWYEPYIQDDEEFSPGSNGKMTTMGVYVRDVILGQYYFDSLLPRVPLLILRQVTAHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSVRERERSHDGGHGKSPPRMHRSQSRERSRDTERDRSDRDRGRYKDREHGRHSRDNRDRDYRRSSYSDRDVERRGHERRDRDSDRNGRSSGRRSRSRSRSRSPSRGRTNGDSHRSSPFGKAPESSNLAKLKDLYGDATNAKDDAGDDRSRRDSGTEEVIRLGGARWR >SECCE6Rv1G0450830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:869915376:869917009:-1 gene:SECCE6Rv1G0450830 transcript:SECCE6Rv1G0450830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMTTVFCLLLVVLALDASHVDARGTPSAARGSNSQWSSMFVFGDGFVDNGNLQKTESSRQWNYPYGSYLNSRGSATPVPTGRFSNYQIQSDFIARILGLNEAPPSYIATPRLSCDSSGMTFAFAGAGVYDVSDKQVPTLAAQVNAFTTLVNAGVISRQQLHRSVALVAISGNDYMKGADVNNAFLSSFDDIDTYIGNVTTEIAKNVVSLQRLGVRKVLVNNLHPIGCWPLRTSSNNYTACDLLANYAATVHNNNIEHLMGNKNNAHILDLYTAFTDIVNHAPGEGSEQSNNFKRKLTPCCEASTKLGYCGEVSPSGERLYSLCKNPDKKFYWDMTHPTSAGWEAVTEALEEPLREFLDRDYVP >SECCE2Rv1G0103380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:589442399:589443734:-1 gene:SECCE2Rv1G0103380 transcript:SECCE2Rv1G0103380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15570) UniProtKB/TrEMBL;Acc:F4IIH6] MAAACSAASRHLCCATALLSPSAAAAAPIRQRVGYGSSSTRRWPCRRWAHGPDAASRIRRLTTRSRTARVTCAYSTGAEAITACSWNQNVICSDVPVLVEFWASWCGPCKMVHRIVDEIAAEYDGRIKCYKLDTDDYPQTATSYNIERVPTVLLFKDGEKIHSITGTLPKAVYVRAIENSFLEQ >SECCE5Rv1G0315270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:288313030:288318843:-1 gene:SECCE5Rv1G0315270 transcript:SECCE5Rv1G0315270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKSSKKRKPVAPPPESDSEPEQTVHETADAEEFNQEEQPQQQSDAGDEHHEHDKEVQETGKEKKKKKDKEGSGILTSMLFSELPISELTAKAIREMNYTHLAQIQARSIPHLLEGRDVMGAAKTGSGKTLAFLIPAIELLYNLHFSPRNGTGVIVVCPTRELAIQTHNVAKELMKYHSQTLGYVIGGNGRRTEADQLAKGVNLLVATPGRLLDHLQNTKGFIYKRLKCLIIDEADRILEQNFEEDMKQIFKRLPQNRQTVLFSATQTKEVEDFAKLSFEKNEERKEKPVYISVDDGKSNATVEGLQQGYCVIPSDKRFLVLYAFLKKKQSKKVMVFFSSCSSVKFHAELLNFLQIECEDIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDYIVQYDPPDEPKDYIHRVGRTARGEKGKGSALLFLLPQELKFLIYLKAAKISLTEYEFNNKNVPNLQSHLENIVGENYFLNQSAKEAYRSYILAYNSHAMKDIFNVHDLDMKAVAASFCFKNPPKVNLDLESSASKRRKTRKVDGGARRHGINAANPYGRKGGDDNRQFARF >SECCE7Rv1G0482350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:303778110:303781881:1 gene:SECCE7Rv1G0482350 transcript:SECCE7Rv1G0482350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPCLRCCCDLAARGSLPPLGLAYRPRRSPRALALRYSSLQAGDSLGEDVLRMFLEDRQTNGDLVSKIADMVLRRNDTGLDMLEATTDQGNAADVGQPEDFRDDVMSEGVVGFEASGDFMSGETSLTVRRRLSALAGQKESDKRREFNLLRYEAIKDELLLLTVGIGAACTIYCVLVFSLEAGISYAFGVAFSWLYLQLLYQRADNLSKEDVPEVFLKKKVKKIGIRSEDLKDTIEKTLGGSLFVLSSPRLIIPAVIFGLSTFSSHFENSIFNFELVPGMMGFFAYKAAALVQVYRDNDDLRLILPEDDPDYS >SECCE1Rv1G0026850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:375400934:375403113:1 gene:SECCE1Rv1G0026850 transcript:SECCE1Rv1G0026850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGDDEKVEGLHHARQPPHGESSSSSSSSPSSRHATKRRRAHGAAVAMADRFFPNDLPDFVAEAPDGGDPPSAGLRGLLSLPYPRLSDRLLRAALRLKDKVVQETWTRTGGHVTDYTLYTGALGTALLLFKSFQVTGDRRDLNLASDIVQACDAASLGLPFLTFICGRAGVCALGAVIAKYCNDQLMVTNYLSSFDEIIITEKVPNELLYGRAGYLWACLFLNEHLSDKTIPAEHISSVAKDIIREGRKLSNKGSCPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKLDEQDDVKNTLRYMISNRFPSGNYPSSEDSESDRLMHWCHGAPGVALTLAKAYQVFQDDHFKQSAAEAAEVVWNRGLLKRVGICHGISGNAYVFLSLYRLTGNVEYLYRAKAFACFLLENADRLIAEEAMHGGDRPFSLFEGKAGMAYLLLDMVNPSESRFPAYEL >SECCE4Rv1G0282730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:830087690:830089755:1 gene:SECCE4Rv1G0282730 transcript:SECCE4Rv1G0282730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPQQHRKPADTEANVKLGVNKMHCLKPSKHTHKSPGNVGAKCRTGDGQSFTGSKIALKGTTSSMEVPFLNLTNVSAQPPNYSGKMKLLFFPIDEVIQKVLQQEKHNPYLELTLAPRKKMSSIVQHLNTKWGRSSCAKGDLMLFPYSATPDSIASSKKWTLHDSCTAADVYVAVGSPSTFCLRYGWFKPNLKQQISEASLAPVHSAEKTLGDKPSDPFEFPSKFTSPSVECNTEQAVADNQSKVTPLSWIDSISNISFGALLSQAVPSQDSKQPPLQNSSIFQQQIPATCDSFDAAIASLIARQQTSNQPKMSNPSPWEADETCHAFPPRNQNLARMSSCGPGNSSAITSTILGAIAESGTDGDQKHWLPALYRRQERGTKAPSDTTRLGK >SECCE2Rv1G0119000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:787280053:787281297:1 gene:SECCE2Rv1G0119000 transcript:SECCE2Rv1G0119000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAVGMVLSVGATVAVVYVVYRHVKKHDVPAVSIIAASVKTAGSTTGTALYAVVPDSQIRDATVETFLKEIAGDKPIRFTARQLWGFTNNYSDRIGAGGSGVMYRGVLPNGLAVAVKRLHAGRDDGSSEELFMAEVSTIWRTRHVNLVRLFGFCVDADVRALVYEHMERGPLDAYLFDSAATVGFPNLHGIAVGVARGLRYLHEECHQKFVHYDVKPGNVLLDGGLTPKVADFGLAQLMNRAVTHATVSGMHGTLGYAAPELWMQVGVTEKCDVYSFGILLFEILCRRRNFDETAPESWRWFPKLAWTKYEGGDLTEVVDSHGSAEEEKGRETARRMCEVAFWCVQQQLPEARPTMGSVVKMLEGKMDIPPPPNPFQHLMAVPELAWTSNGRASTIVGSGSTRYHSMVAVDS >SECCE3Rv1G0213740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:962076775:962080273:-1 gene:SECCE3Rv1G0213740 transcript:SECCE3Rv1G0213740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSSIVQVVSESTSDSGDVVPSSLVEIAPFLRVANEVEAINPRVAYLCRFHGGFEKAHCLDPLSNGRGVRQFKIALLQRLERENDTTLKGRVEQSDAVEIKNFYQQYYKMYIQALQNAADKAERDQLTKAYQTAAVLFEVLKAVDQTISETHIQVDPDSSIMRCPKIHAAYHALGDTKGLPWPKDHEKKADADLLEWLQAMFGFQKDNVSNQREHLILLLASMHTRQISKHEQHPKLDDHVLDTTLNKLFKNYKRWCKHLGRKTSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYLYHHMAFELYGVLAGNVSPSTGENVRPSYGGEEEAFLKKVVTPISKIVEMDEAERSGEIKLKHTHRRNYDDLNAYFWSTGCFRLGWPMRVDADFFKKRSNMCELCSSSTPQVCVRVCFILATVRSWAQRSRRRWWWSGGGSLEFVWLSTFSDGSVSQLQ >SECCE5Rv1G0353980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720901845:720902219:-1 gene:SECCE5Rv1G0353980 transcript:SECCE5Rv1G0353980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDASVPEGEEPKFAVEIVEEVLKTEVKQSTFLRNVGLKSSRNNSGKATAEVAAHVRDLEQKLERSELQAEVMQEELAAIKMKAEESEAARDKELEQLRKKSQEQEEKLAHLMALFGAKAV >SECCE7Rv1G0465220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:67610992:67611330:-1 gene:SECCE7Rv1G0465220 transcript:SECCE7Rv1G0465220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAVVAAILALLAVAAAAQGPMPAPRMAPLPAPPARSPTAASPSPMASPPAPTTDAPTDAPSAMTPSAVSATPSGAPVGAPAGTPSSSAVYSSAASFVAVAGAVAAAIVF >SECCE3Rv1G0161340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:115888449:115890952:-1 gene:SECCE3Rv1G0161340 transcript:SECCE3Rv1G0161340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEQLLCDIDGQRLTAAAILGHDGAVWAESESFPKVKPEEITAVINDFDEPGSLAPTGLFLGGTKYMVIQGEPGAVIRGKKGSGGVTIKKTSLAIIIGIYEEPMTPGQCNMVVERLGDYLLEQGF >SECCEUnv1G0538060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:71256870:71258638:1 gene:SECCEUnv1G0538060 transcript:SECCEUnv1G0538060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFCVQKLAGTLGTPDVPTRYVVRGHQDQQLATTAVAPVPVINLGLLSKQDGGAADEAAKLRSAIDSWGLFLISNHGVDAAVMDGMMAACREFFRQPLEEKQRQSNLIGDDEYEGYGNYEGYGNDQVSSPDQTLDWTDRLYLKVEPEDERRIALWPAHPESFRDVLHEFTKKCGVVKDELLRAMAKLLELDDNDYFVDQLSEKPLTNARCSYYPVCPRPELVFGLTPHSDGTIVTILMVDDSVGGLQVLRDGVWWDVPIVPHTLMMILGDQMEIMSNGIFKSPVHRVMTNAKKERLSVVLDYSVDPETEIEPSAQLVHEKRPALYRKVKVKDYIVAHYTYFSQGKEVVMGKLKI >SECCEUnv1G0564280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:382052300:382053412:-1 gene:SECCEUnv1G0564280 transcript:SECCEUnv1G0564280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRALELTLISGKDLKDVNLFSAMEVYAVVSLSGDPRSRQRVATDRSGGRNPTWNATVRFAVPASAAGSVHVLLRAERALGDRDVGEVHIPLSELLSGAPEGPVPVKFVAYQVRKIGSGKPQGVLNFSYKLGEVTQGQAGGAAYGGAQAAYAQPPPPAAYPPQGAYPPAGKADAYGAPSAYPPPGNAYPPQSAYPQAAKADGAATAGAYPPPSGYPPAGKTGDPSTAYPAPAGYPPAGPSGKPAKAGEPVTAYPAAAAGPSTGAPYGAPPPQYGYGYPPQQQAGYGYPPPPPQGGYGGYGYPPQQPAGYGYQQQAVKPPKKSGMGMGLGAGLLGGALGGLLIGDMISDSAGGYDGGYDGGFDDGGGFDF >SECCE6Rv1G0440390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:804286238:804294704:1 gene:SECCE6Rv1G0440390 transcript:SECCE6Rv1G0440390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSDAYGGHDGGKILPPKHAVVVGAPQPAVVHKVMAQPPQSTTSKMKGKVKETFFPDDPFRSFKGQPLRKKWLMAVKYVFPILEWVPGYSFSLFRSDLIAGITIASVAIPQGISYAKLADLPPIMGLFSSFVPPLVYTLLGSSRDLAVGPTSITSLIMGSMLQKGVPESPSADPTLFVQLALTSTLFAGLLQASLGILRLGFIIDFLSKATLLGFKAGAAIIVSLQELKDLLGIVHFTDEMSLVTVMASVFEHTNEWSWQTILMGACFLVLLLSARHVSMRWPEFFWISACAPLVSIIISTVLIVLFKGQNHNISTIGHLKCGLNHPSWDKLLFDPKYLGLTVKTGLVTGIISLTEGVAVGRTFASIKDYKVDGNKEMMAIGLMNIVGSCTSCYVTTGGFSRSAVNHNAGCKTAMSNVIMSLTVMVTLLFLMPLFVYTPNVVLGAIIIVAVIGLLDLPAAYHLWKMDKMDFLVCLCAFIGVIFFSVQQGLAIAVGISIFRVLMQITRPRMIIQGNIKGTDIYRNVHQYEDAQRVPGFVILTVEAPINFANTNYLNERTKRWLADESFSENKQTELRFVIFDLSAVPAIDTSGIAFLIDLKKPTEKLGLELVLVNPTGEVMEKIQRANDPHNHFRPDCLYLTIGEAIASLSEEANMAIP >SECCE4Rv1G0223400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:69317357:69321275:-1 gene:SECCE4Rv1G0223400 transcript:SECCE4Rv1G0223400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQSSVLVMAITCLMLFRTSEQSSESELLQQLRKQLEYPRQLEVWNNPSGNPCYTQPTSVVTVTCEGDAVTELKIVGDRITKPPKFSGYPLPNVSLSQAFVIDSFVTTLTRLTTLRVVILVSLGLWGPLPDKIHRLSSLQVLDLSSNFLYGSIPPKLSVMSRLQTLTLDANYFNGTVPDWFGSLSNLTVLRLQRNRLKGSIPASVGKATMLTELALAGNNISGEVPALDSLIKLEMLDLRDNELDGELPGMPTSLVTVLLSKNSFKGEIPEKFGQLRRLQHLDLSFNFLEGSPPEELFDLPNISYLNLAANMLSGSLSSSLTCSSTLGFVDLSTNRITGDLPACLSANLNNRVVKFDGNCFSADPEHQHEAKYCQQPHKGRRSSKDVGLVITIVGIVLIVLVLSLLLMASNKRNCQRVTAEQQLLQKQMQDNSTPGMSSELLESARYISQAVKFGSQIMPTHRVFSLEELKEATKCFERSAFLGEGSIGKLYKGKLDNGTVIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDNAVDESSVKRVFLVYEYVPNGTLSSYLSGSTPEKTLKWCDRLHVLIGIARAVHFLHTGIIPGSLYNRLKTSNILLDEHHIAKLSDYGLSIITEEIYKHEAIGEGQRYIQNNAEELETLQDDVCSFGCIVLEALMGSKLHRKGDPFILSELVLSISCQEEREHVLDPVVLGTSSQDSLSMVVSITIKCLSVESSTRPSIEEVLWNLQYAAQLQATADGDLRSEVSSQAC >SECCE6Rv1G0423020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692904600:692906280:1 gene:SECCE6Rv1G0423020 transcript:SECCE6Rv1G0423020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRSGGGGGGGEGGGCGGGQQEEKAEADAGSGCEGQAKGKPADDKDKDKGGGGCKDDKADKDKGCGGGGKDEKGGKDKEKKPPPPLPVVTAVLKVDMHCNGCAHRIRASVRRFPGVEGVAMEVDKGSMTVVGRFDAKKLRDRVASKTRKKVELVGGKDNKGGGDKDKCADGGGDKNKCADGEGKKEEEKKEQDDKCGGGGNAGKGKGGKDNKKPAVPVIVTVVLKIGSAGLHCDGCMHRIRCKFFKIKGVEQVKMDPAKNQVTVTGTMDAKALPEKLRKKLRRPVDVVAPGKGDKDKDKDKDGCNKDGKQPQQQGDGKQCKEAAEKALAAELQLWKTAFYDQQAMQATEFLLSDENPNACAVM >SECCE3Rv1G0203410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883207085:883208564:1 gene:SECCE3Rv1G0203410 transcript:SECCE3Rv1G0203410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GA 20-oxidase3, GA metabolis [Source: Projected from Oryza sativa (Os07g0169700)] MATLVFDAAVLNRKDDIPAQYVWPADEVPSVDGVEEIAVPVVDLAGFLAGDGTGLGDLVAACEKHGFFQVVNHGVDPALLAKAYQSCDAFYALPLAEKQRAQRRLGENHGYAGSFVGRFGNKLPWKETMSFNCSAPPEGAGKVVDYFVTVLGEEYRDMGEVWQEYCDEMERLALDVTDVLAACLGLGRGALRGFFAGDDSQMRLNHYPPCQKPHLTLGTGPHHDPTSLTLLHQDDVGGLEVFTGGAWRAVRPRSDAFVINIGDTFSALTNGRHISCLHRAVVNSSLTRRSLTFFLNPQLDRLVAPPAELLAVDGRPREYPDFTWREFLEFTQKHYRSDWRTLHAFVAWINQGRKA >SECCE7Rv1G0482100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:300249854:300252729:-1 gene:SECCE7Rv1G0482100 transcript:SECCE7Rv1G0482100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSIVVDTDDKNQRMENGQNGAIVPSNSSEPSDRSDRPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDLEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFKLKGAAAKADVFHMLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLMGLSSLEQSSHQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >SECCE4Rv1G0221500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:49518690:49520952:1 gene:SECCE4Rv1G0221500 transcript:SECCE4Rv1G0221500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVASMEDMKEARLGAPGQGGRVFPTGMLKVFLGFLLLGVGLSAAGMYMARHTLAAAAPAIFRPCLGASAGAGEEQPLDGLERWTRPPSRARHAMTDEELLWRASFAPRVRPYPFPRVPKVAFMFLTRGPLPLAPLWERFFRGHDGRYSIYVHALPSYRANFTKDSVFYHRQIASKVAEWGQMTMCDAERRLLANALLDISNEWFVLVSESCIPIFDFNTTYEYFQNSSQSFVMVFDDPGPYGRGRYNYNMTPEVELTQWRKGSQWFEVDRDLAIEIIRDTRYYPKFKEFCRPHCYVDEHYFPTMLTIEAPQSLANRSVTWVDWSRGGAHPATFGRGDITEEFLTRVRTGRTCLYNNQNSTTCFLFARKFAPSALQPLLVLAPTVLGYG >SECCE3Rv1G0196670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:810889899:810895998:1 gene:SECCE3Rv1G0196670 transcript:SECCE3Rv1G0196670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPASAAAKKKPHQPRSGAAGAGGGAGGGGKRLAVLGEEGCDFIGGIDDKYLLDRELGRGEFGVTYLCVDRDTKEQLACKSISKRKLRTPVDVEDVRREVAIMRHLPRSHSIVALREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAANVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRRMLEPDPKLRLTAKQVLEHHWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKAMDTDNDGIVSCEELKSGIAKFGSHLAESEVQMLIEAVDTNGKGVLDYAEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPDELREALKDDGAADSMEVVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLVKDGSVKLGVE >SECCE7Rv1G0481040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:278474207:278477622:1 gene:SECCE7Rv1G0481040 transcript:SECCE7Rv1G0481040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGEGGGRRRRKFEGGDGDDSDEEYVLEEEEDEEEVDELEYGHGGRDAASSSAGEEGGGSDAEYEVDDEEEEEETPRPKRPVKRKANPAPARSRRRRYEDDDDYSEELEEDEEVGDYQEDLDEEEEPETKCGGRSQKAKMPPLAQRSNLPRHVEEEDMDFDPDLDEGGGDEDTDFDPDLEGDDDEFEDDQEDEELGVSHTRTIPRLENTARRNPASKQRRGKKKNSNTSKVSKRNVRKPAPVRRRRKHSVIEHYQDDDDDFIVEDEQVKVNRNSRKKARFGRQVEVDRPVPVAEADIWPAIDSDSSEFEFGTSEDEPEGEPVRAAVRKGRKRRGVSGSSSDSEFHVSDQELSDVREKEVKTKKRVRVLQPSSDSEFHISDKELSDVREEEVKRKKRTCVLHSSSESEFHASDKELGDNRIEEARRKKRLLVSQSSSDSEFHVSDKDVRDSGEAKSLVAQPMPSVSPRRLSFTRNGWDKGKEKKELVDAGKPWCGICLSEDQRMTLQGVLNCCSHYFCFACIMEWSKVESRCPLCKRRFTTITKSSKVDLDLEPKKSVIKVEERDQVYQPTEEEIRRWLDPYENLVCIECSQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLSGEGSMHPRSLTNSNSAQLGTTAPISTFGRSPSINPWQTFQGFDLNVSPREIPRQNIHADSRASTLGVSTPTGRVATLSRRREWMHFLLDRQRHPASQDTGHDGVQHSGYALRADPDHRNFCASSESNSLQHNDSLPRIEPNHRNFSSPWEANSSQTLLDDIQDQRGCFSSVQAQRNSTPCLFADGNNFQQTESVNSNVKHMCSISPH >SECCE2Rv1G0081450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:140148515:140149531:1 gene:SECCE2Rv1G0081450 transcript:SECCE2Rv1G0081450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEGNGTGRGNLQLVVSELCRVQELVRQLELHLHAPDTSIDLCRALTAEIFALTDRSIGFVAAHFPDAPTTPSSTSSSLSGVSDQPFRTNTKKRKATARWTSQVRVSAAGGAEGPGDDGHSWRKYGQKDILGAKHPRAYYRCTHRNSQGCPATKQVQRADEDPAIFDVVYHGQHTCRPTGGGRRPPTNQHNNPHAESLLQSLKAGLTVDADHGGLNASVSPPSMASPVASGSNGGLTMSPYPVPAGAYAEWPLDGDLQEVVSALTAVTAPSMDCLFEFDPAFGDGVPNFFM >SECCE5Rv1G0369910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:838220950:838221324:-1 gene:SECCE5Rv1G0369910 transcript:SECCE5Rv1G0369910.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEGLDLSLSLRQYTPPMSQLVFACGYCPAKFRSPQALGGHQNAHKLERRLPRRAMALSSAAAGALGMAVVYYYRAPVHPGAHVRGAHRQQGTSTGAGAASFGTRRGNEELTDEAIDLSLKL >SECCE6Rv1G0407610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:560015911:560019186:1 gene:SECCE6Rv1G0407610 transcript:SECCE6Rv1G0407610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTRNLNPNPNTNNPDPPFDMGILFGTSPNPAPTAAPMFPAAAGPPSPFCPYSHPSATSPFHGGTYLHHTQDLHPPNPRPAISFPMPRPAISFPMPRPAISLPMPRPAISFPIPDLNANPSAALPGSYLHYLQDPRYLIPCAVNSYMRNRNLSVNLRAALGRLQDRQSPMASSGNSIHTLNPNTNLNLSAASHGRYLQNAQDIRHSMPHPVISSAMPNRSDNPRTAAGAKPEQNKGAPNGRQNNSNDVIHLSDSDSDSDDFFEEEAPPTHSKSNGKASSDSLKTGGKASSFSNGEGSKGGKAFSAGKGRKGSASNAKPAMSDAELKLQLDMPPNSILLSNCQAAEMLQKISGHMAILAEDPKIKIPESFDKAFQYAKEGNHFTSAKSVKEILEPLKDYGVNDGEICMIANIGPETIEEVYALIPSLKATRSINEGKIVEALAALANIKASK >SECCE7Rv1G0494250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:580637883:580638524:-1 gene:SECCE7Rv1G0494250 transcript:SECCE7Rv1G0494250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSTVSSWLCCPCRCLFCGLLSCIFSVVATILAIAGVVALSFYLLFRPHLIEATVVSADLADFTLTPSTWILRYNLSVALSVRNPNSRIAIHYHSVVAEAYYQGQNFARADNPDFYQDTGETTVVPVAFAGDHPLEGGVAAAGFRKEAIDHASFSVDIKLSAKMKLKVWVFKVPGPKPKVDCPLIIQRRNASAPAGDGRREFHPIECRVWF >SECCE7Rv1G0491390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:523819887:523828877:-1 gene:SECCE7Rv1G0491390 transcript:SECCE7Rv1G0491390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 2 [Source:Projected from Arabidopsis thaliana (AT1G79810) UniProtKB/Swiss-Prot;Acc:Q9CA86] MIPITISRVNQFDAARLDVEMSAMLKEQLVKVFSLMKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPIAGKEVRTGLEGPGLSVSQKILYCISTVGGQYLLSRLQSFSAFRRWGDSEQRPLARRAWGLVQHAEGLYRAVSFFNLLSFLYGGRYKTLVERILKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSASSSGDEANCPICVSSPSIPFVALPCQHRYCYYCLRTRSAATSSYRCARCNEVVVAIQRHGSS >SECCE3Rv1G0164030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:155676196:155683523:1 gene:SECCE3Rv1G0164030 transcript:SECCE3Rv1G0164030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPFVYCSPLRRRRHQYIPPPPFDVNALLLAALHGNLDRLKGIVNSFTQGNGDPLSIFSFNKDGVGVLYSAAWGGHLEVCKYLVEELGGDVNAPGIGTVALGATPFMMSAQSGDVPTVKYLLDHGGDLMKADDKGRTVLHHAVSAGSCKVTEFLLSKGVPVDIDYGRGPPLFMAATNEQDKTLKILLDHHANPNTIISGATTPLLGALIYSSLKCMKLLIKAGADVNIKASTMTLLVFATLQGGYTNFIKFLLKAGADPNIPDDLGRLPVEVAALRDCKEEVEMLFPLTMPIPTVPNWSIEGVISHVKIEGKKPMEQGHHERRKRLLKTQADTAFKQKDYEMASELYGLAIDHAESAARTLYANRNVCKLLTGDGEGALSDALRSRMLRPDWAKACYRQAAAHMLLKEYKQACDALLDAQNLDPGNAEIKRELRKARELMKNPLGGGEQ >SECCEUnv1G0560890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:350109208:350109480:-1 gene:SECCEUnv1G0560890 transcript:SECCEUnv1G0560890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGRKILGFMVKEEKEENRGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRRRLLAYLAKKNRVRYKKLIGQLNIREQ >SECCE4Rv1G0275570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:794428928:794430510:1 gene:SECCE4Rv1G0275570 transcript:SECCE4Rv1G0275570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTHCTIAHALEKTKYPNSDLYWKKFVDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGMYSVVHGIDVFDPKFNIVSPGADMSIYFPYSESQRRLTSLHPEIEELLYSDVDNNEHKYVLKDRNKPIIFSMARLDRVKNLTGLVELYGKNPRLQELVNLVVICGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHVRWISAQMNRVRNAELYRYICDTKGAFVQPAFYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVDGVSGYHIDPYQGDKASALLVEFFEKCQVDPSHWTKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGESANK >SECCEUnv1G0546450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:156476861:156481981:-1 gene:SECCEUnv1G0546450 transcript:SECCEUnv1G0546450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTFLLSTLLLAATANSLTLKQGCRPSCGGVDVPYPFGIGQGCFLPGFEIVCDNSRPILGNIEYAIQVFVLSLSVTPRPEARVMVPITWQCYNSSGDAIKWGISTVDYNRAGVYRISNTHNELVVLGCNTFVYTNGGPRGRSTYTYYTGCAAYCDNAQSARDGDCTGVGCCHVDIPPGLSDNKMRFSEDPDWKNPGMAFSLCDYAFIVEKGNYTFQRADLNMDRETSKPLRLDWAIRVDNGSSLSCAEAPRMPGYMCVSQHSECVDSTNGPGYVCNCTKGYEGNPYLHKGCTNLNECARSREEYPCNGICYDTEGSYDCKCHLGYESSGDPKDNPCNPKFPLPARIALGIGLGVSILVFALLLAFIMHQKRKLAEHFEMNGGNILKSVIGLPIFTEKDLKKITKNNSECLGNGYFGKVYKGTLPDEALVAVKSFIKVDKDRIGEFTEEVKIQLKMKHPNILKLMGCCLQLDVPMLVYEFAAEGSLRDILHWKQNQKLSAELRLDIAIGSANGLSYMHSEDIRHGDVKPDNILLSDKSIPKIADFGLSKLLNPGEKFTKKVIGCQGYMDPVFRNTGILTSKSDVYSFGVVLLELISRKKVEYGESGSLIIEFRHIYETKMSGRSLFDEEIVAEKDILILEEIGKLAMKCLKERLDGRPGMKEVAEQLVKIKENIVHATTTTLR >SECCE2Rv1G0089400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:257109532:257110824:1 gene:SECCE2Rv1G0089400 transcript:SECCE2Rv1G0089400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAVLLMFLSAALASNAAAVRVGLTRIHSNPDVSATEFVRGALRRDMHRHARFTRELRTVAAPTRKDLPNGGEYIMTLAIGTPPLSYPAIADTGSDLIWTQCAPCGSQCFKQAEQLYNPSSSTTFGVLPCNSSVSMCAALAGPSPPPGCSCMYNQTYGTGWTAGIQSMETFTFGSTPADQTRVPGIAFGCSNASSNDWNGSAGLVGLGRGSMSLVSQLGAGMFSYCLTPFQDANSTSTLLLGPSAALNGTGVRTTPFVASPSKAPMSTYYYLNLTGISVGTTALSIPPNAFALRTDGTGGLIIDSGTTITSLVDAAYQQVRAAIESIVTLPVADGSASTGLDLCFALTSETSTPPTMPSMTLHFDGADMVLPVDNYMILGSGVWCLAMRNQTVGAMSTLGNYQQQNVRLLYDIHKERLSFAPAKCSTL >SECCE6Rv1G0380910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:27332176:27341292:-1 gene:SECCE6Rv1G0380910 transcript:SECCE6Rv1G0380910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIGEEAGGQPWLRTTNAHAGRQVWEFDPAAAGALDDVDDARREYSSRRHQLKHSADRLMRLQFAELTAPKLDIPGVKLEEHEDVTEEAVLASLKRAIGQLSTLQAHDGHWPGDIAGPMFLLPGLVIALHSTGALNTVLTSEHQKEIRRYLYNHQNKDGGWGLHIEGPSTMFGSVLNYVTLRLLGEGSDSEDGAIQLAQNWILDHGGATFTTSWGKFWLSVLGVFDWSGNNPLLPELWLLPYCLPFHPGRMWSHCRMVYFPMSYIYGKRFVGPITPIVLNLRKELYKVPYDEIDWDKARNQCAEEDLYCPHPLGQDILWTTLHKFVEPVLSRWPGSKLREKALKNAMQHIHYEDENTQYVCSGAVGKVLNMLCCWIEDPNSEEFKLHIPRIYDYLWVAEDGMKMQGYNGSQLWDTAFTVEAILATELTEEFCPTLKLAHDYIKNSQVLHDCHGDLSVWYRHISKGAWTFSTADHAWPVSDCTALGLKASLLLSKISPKMVGVSIEANKLYDAVNCLLSWMNGNGGFASYELTRSYGWMEFLNPSEIFGDIMIDHLVTIVVECTSEVIQALTAFRKHYPGHRREEIDKCIHKAENFIGSIQRSDGSWYGSWAICFTYGTWYAVRGLVAAGRTFKNCPAIRKACDFLLSKEIPSGGWGESYLSCRDKVYTELEGRRPHVVNTSWAMLALIDAGQAERDPGPLHRAAKVLMNLQLADGEFPQQEIIGIFARNCTISYSQYRNIFPIWALGEYRRRVLGSGSPEGHGDA >SECCE2Rv1G0128130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:858129523:858136285:-1 gene:SECCE2Rv1G0128130 transcript:SECCE2Rv1G0128130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDREKEAKRRRRGRSSKRSRNATPSSDSDSSPSSDSSPSRSPGPRSRSSSKPEKSSSSRRRRHHHKSSGRSRSSRDDDRHRHRRRRRDERARHSSDGSDLSGSGSDDSGWMEEAQEIVRGILSEFPAITSELRQLLQMIDSGEGIDISGISDKPLVKRLKKLFRSLKLKESANGAYLLAAKGVPTLDVVGPVLEANAKPGNDPNETVPRNRQQVPLPNFDVQNKDDIPPEDGGKVDREEDTPIKRVIGPAMPSRELLAAAAEMTEALRCRDAELEADDGFLIGPPPPAMVAEAASANEAERFEEVTRILGADADALYDVLGINWKMSSDNIKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPQKRGAIDEKIQKKEEMEQFEVELKAMREAAEWRRLQGISLEGDEELLAVPKQPEAPPTRDEWMTTLPPERKAGVPMHSTTAFSMNGKEGRGDTSAWTDSPLDRAQKAQQNYLEAYNKAKAIADGHEEQGKSANASLVDKYNSSKRSVSLVQKHRESKKEKKKQKQQRGKEEWEENHPWKPWDREKDLTAGRQNVALDPENTAQGLSSRFSSGAVQRNFL >SECCE4Rv1G0255750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:650154677:650155662:-1 gene:SECCE4Rv1G0255750 transcript:SECCE4Rv1G0255750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGEPSNKKSRLHEEDEEEEPMAVKEERPERRESQGGEGALVAVEEAMQIPWVAAEVNPLFYLCFACQLPLRPPVHQCEGGHRVCGRCHGDRCTACDPPAAYSPFPFMDDALGAVRLPCCYKADGCGRKLMYHEAADHALGCAFAPCHCPAHGCSMWASPPALLDHIAAAHSWPVTEVGYGSPFRIAVPAPWRGGGTHLLVERNDRRLFLVTLSEFGDATAVSVVCVREGTAPRFRSTVWAEVASNTEENLFRRQSSVPSSSGGSDLPGGGPPVCLLVPPDFGSDSEDLFLGFRIDKL >SECCE1Rv1G0052220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:659988167:659988973:-1 gene:SECCE1Rv1G0052220 transcript:SECCE1Rv1G0052220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDVGVVCDDGSPGSASSITRTPVPHERDYLIMIPYAHTFDLLLKALGLPPATYHHKICPGGKNRVTVTFISSLELLDGSLVSSSKSGSILDSYEDAEDSAAIEAIRYMENAYGVEIRDYHYTHVKRLENQVKHLVTWLVAANKTIKKLRKGCYYAVRYMSSYSAQIQNTTTARHLARQDNTRRVLKSALASIEKLTQRLRYIGMKSEQRLEATRNSFW >SECCE6Rv1G0443030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:820470720:820473652:1 gene:SECCE6Rv1G0443030 transcript:SECCE6Rv1G0443030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILDKQDGDDDFNGVDEISRDEITTVSREIYHSLKDNRFMLIFHSGSDDEIDFFPLGIPAFGKFRENIMIWTYRRRILTITEHEEHKLIHKLRYTHLLAYDRERYLSGKQVYALLSKEAALIVDDYPCMRGLNPMMVADCYVYTLFLQSSFHNKTNSDWVGVASNCWICDGILQKDITLEICDTLHREISWECDDNVLTKFKKDFKLPFLVVKEDCVYEEGQYRWISTRSRDREIHGMKSLPEETSSFFLEFVMSGQPVALPDGLFEHSNNLGVLFLSCCAFNFASPPFLKCHSLRFLGLDNCTDDKTGDGKDHTGWVCLNSLWVLDLRYTDWNEILSPAKMDLMHNLMELSIEGVWCWQYTTCLVGRLPNLQRLRVIKPTRGPDNSLEPSNSFMDKANLEILDLSGNSEMKILPNSLPKASRLQVLVLDGCNKLENVLVTDGLPHLLKSFSFDGYGPASHRAPIVELPTKQERPLTPATKDGASVSKISLKGCSQLENLFVRGLPNLVELDLSGTAFKTLDFRNMVLEVPMLKRLFLLGCEHLRAIIWGSNADSFCLNLLCIDTRAGAGRSRPFIDRNKSFQLEVHATIVDARLVWSLWGLLCNNYGNKDVYFNIHVTSAVYSELNQSKLTEKEKKIVMYGDQVSLLQPVQADRYSDVQSMVGDAPMLAFPKPPTNNLDRHIEITKGSHVLDGGLGYVMTTLPESLHVHDVSSCASLPTGYGWRVLRHCRMERCAKLGEVFPRGSSEFMELETFWVSDLLTACWICSKGGYRDFNDGSFRKLQHLRLRCCPRLQFVLPVWFDSFPSLETLHIIHCGDLRHVFMLDDSWFPEERSIQVVAFPKLTTIHLHDLPVLQQLCEVKMLAPNLKSIKIRGCWGLRRLPVVGPRGRDMKKPTIEIEKDVWDVLEWDGGVAPGHFEAPVHSHYYKKKLPRVSVLR >SECCE3Rv1G0147780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:16089920:16091038:-1 gene:SECCE3Rv1G0147780 transcript:SECCE3Rv1G0147780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGAAPTEGRSRASLDKAGESTKKARLDVPNGHVKQEVAAAAYNPRVELTVRIDKQVLHCPICTLPFKPPVFQCKAGHLACGGCVAQLPFVQCKACVDGGGFFDPCPVVGAVVSSTRIECPNVGCQWNVTYHEVAEHQKACPHAPCQCTVPGCGYFGAPQALAGHLNTVHSVPIRIVQYGKVNQLQLSVSTQRVVLLGDDNCVFLLTMGALVAGVTTVSVVCARARAATQPRFTCKMWVNLEPPTAAANCGKEDMLLVAMHMRSSSSPGAVVTEGEPTFLTVPPMYLVPAAGDGASMEVPLHIRIDKLSPWSDASV >SECCE6Rv1G0385640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:83274020:83279185:-1 gene:SECCE6Rv1G0385640 transcript:SECCE6Rv1G0385640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSIFADELIPSSPPSPPHHHPSKRARCSPTRAFDDAAAAHRREPLLHHLRSLFPHMDPQLLERALEASGDDLDFAIRSLNDLRLESAEAILSAAVSEPENGLSTALKLSAEGNGQLDAISGNPPATDNCQTNHHSSDWVELFVREMMSASDINDARARASRALEVIEKSIMERTGAEAVQNLHKENAMLKEQLAIALRENAVLKRGVAIQHERQKEFDDKTQEVHNLKQLILQYQEQLKTLEINNYALRMHLQQAQQNSSMPGRFHPDVC >SECCE6Rv1G0443570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:823262343:823263005:-1 gene:SECCE6Rv1G0443570 transcript:SECCE6Rv1G0443570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISMVHCETKLAPFVFCSSTGQWRAAAPMLWSAMPVSPMDPAYLRRHHAYGCFYWDSTNIKRKELLVLNIQRMEFSIAELPSSGWGTLGVAIVEAGEGRLGLFGIRDGTAGGSKHDLCYSVRQNTGKNSGQWQMVKTFSLGPQGLHYLKAATERHVLLICSEAPRLVGLSMVMPDLLEYISVDVKKLQLERVCVKPFGKSLSRTRIYAHFPPSLSSPTV >SECCE5Rv1G0322350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:419844425:419845073:-1 gene:SECCE5Rv1G0322350 transcript:SECCE5Rv1G0322350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQKIVLKLPLDGERNRRKAFKAAVGMAGVTSATLEGDKIIILGDGVDPIALTTMLRRSLGKADLLSISSGDDKKKDGGYGYGGYGYGGEKKKDGYGDGGGGKDSKGNGGYHQNAVAPIPYPAYHQYNAMPSYPAYAYAPYQQQEQDPGCSIM >SECCEUnv1G0539440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75781065:75781427:-1 gene:SECCEUnv1G0539440 transcript:SECCEUnv1G0539440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGKVAEWIRKRTMPRKPAARRQSRDSGASEPILPSEAARKSWSSGSGGNGAGGGGAPPAHSKSRATAFLSAHMRLRPRVNVVAVLCEKVVYPLMWLVESVVLVGRLCFFLMRFGFKQL >SECCEUnv1G0568520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:426886605:426886993:-1 gene:SECCEUnv1G0568520 transcript:SECCEUnv1G0568520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQAPIINMASLGSRAAGRRHPTVLQQIALFLVVAAVIMNSSVCVGAAGHDAAAVGTDPNRPAFPSPTGKPYTGGRGCRTIYGCRDVPPAGGQP >SECCE1Rv1G0017480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:156243843:156244370:1 gene:SECCE1Rv1G0017480 transcript:SECCE1Rv1G0017480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPEVAVDGNSAYVPGPEDDTEANDDDADGSPMSINTLKRGTSSVDFRSTTSSPLKKMNMENGKTKGPFLRTLKENTTRMDKEAETSNTILQAIVDQGKEKAKRSEERKVAVATCQQLAIECGAAEESIEYFVACDLFKDKHNRFVFQNMKTPQARLIWLKRWCKAKKMYNEDES >SECCE1Rv1G0021850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:275374503:275374736:1 gene:SECCE1Rv1G0021850 transcript:SECCE1Rv1G0021850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFNGSFIFPECILIFALTLLLMIDLTSDKKDRPRFYFISSTSLVISITALLF >SECCE2Rv1G0134830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:903262645:903262986:-1 gene:SECCE2Rv1G0134830 transcript:SECCE2Rv1G0134830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEDFRACHDIASLAKMMVELERHVMFPTVYRLIELALLLPVATATVERAFSSMKIINTELRSKMTDGWLNDLMVCYIEREIFKSIDLGKIKQDFQNERRALPLPGSSRRH >SECCE1Rv1G0022560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:285879518:285879733:-1 gene:SECCE1Rv1G0022560 transcript:SECCE1Rv1G0022560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPTMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFLPTRHFTSYENARKDTKSRH >SECCE6Rv1G0415370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:641202959:641206185:-1 gene:SECCE6Rv1G0415370 transcript:SECCE6Rv1G0415370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLPLGIEPGEAPRAGETVASTPSSSAVVSCTRIEHSEQNIKDDEYTMLMTPDQHATADSNTGILPEQPKSSHFSWWMKALLVCFLLIMASYIFLKFGAPFAFEKVLFPFMKWEASAFSRPVLALVLVASLALFPLILVPSGPSMWLAGMIFGYGWGFLIIIVGTTLGMVGAYWIGSLFRERLHAWLKRWPQQMALIKLAGEGSWFQQFRVVALFRVSPFPYSIFNYAVTVTEIKFSPYVCGSVAGMTPEAFIYIYSGRLIRTLADVKYGKQKMTPVEIIYSIISFVAAAALTVAFTVYAKKSLNNIKSPDDVSEDHQPAAGSAGVTALKNGHQDVHIL >SECCE5Rv1G0376220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871843932:871844213:-1 gene:SECCE5Rv1G0376220 transcript:SECCE5Rv1G0376220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKELLLAAMMLALVVVAPGGALAACEVGQLTVCMSAISTGAKPSGACCASLRAQEACFCQYAKDPALARYITSPHARETLQSCGIAVPHC >SECCE7Rv1G0524370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885863418:885864965:-1 gene:SECCE7Rv1G0524370 transcript:SECCE7Rv1G0524370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMFSQALPIYTALVLLVLPLYYLYSKASCRSRNPAVLPTNWPILHMFPSFVANLHNMNDYFTLVLARSGHNFRAHGPPGTGMRFFVTCDPANIRHIFTTNYTNFPKGAEFAEIFEIMSGGIFTIDGEPARRQRTKIKGVLSNPRLVARMEAWCRDKVENNLVFFTHMASTSTSFNMQELMSRLMFDLATMPLFGVDPGLLSLDMPPMEVALAIDTVMEVAFFRVRVPASCWKLMRGLNMGPERKLKAAHKVLRRFVMEMMERRKINTSSVGNGKQHGGVDILSSFLNDPYYTEDAMFNAMTISYMIAARDTVGTTLTWIFYNLAQNPNIVPIIRNELSPIASRKVAAHPDEMVIFEPNETKSLVYLRAVLYETLRLYPPAPLERKMVAANDIMPSGHEVHAGDTIFISLHSMGRMEGIWGKDCLNYNPNRWLSEDGNKLRYVPSHKFLAFNSGPRMCLGKDIAVMQMKTVIASTLWNFDVEVMKGQCIEPKSSCILEMKNGLIVKLKKREMVH >SECCE6Rv1G0397160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:386402432:386405214:1 gene:SECCE6Rv1G0397160 transcript:SECCE6Rv1G0397160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYNVVQKSKRESSHDRKRRAHGDPNSGKLKHHNAPIAISGKRKRKLLRRLNRDQKEAVMVKALENNMGDVDMVSAEASSETATDKSQMKFNVKKNSRIQIKRLKGKGRKKAKNVKPPAKEKADAMVE >SECCE1Rv1G0027630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:384730710:384734268:-1 gene:SECCE1Rv1G0027630 transcript:SECCE1Rv1G0027630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY family transcription factor, Leaf developmen [Source: Projected from Oryza sativa (Os10g0508300)] MSSSSSSGASAAFTPVSQQQQQMASESLSSELQPPAPMQPEAPSEQLCYVHCHFCDTVLVVSVPSSSLFKTVTVRCGHCSSLLTVDMRGLLFPTTTTTAAAESAASAVTTTTSPPPAAAAQHGQFHYPTSLNLAPGNPPRHSLLDEISSANPSLQLLEQHGLGGLIAAAGGRTAAAPAPLPPPPVAAGKGGKEPSPRTNPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHQGLRKTSLLPQQDHQRKDGHGLLKEGLYAANMGVAPY >SECCE7Rv1G0464660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:63436772:63439114:-1 gene:SECCE7Rv1G0464660 transcript:SECCE7Rv1G0464660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKKGKMSAYEAERERTVEENKRKMEALNLRHLSAAVATHAPKTPSPMKHKRRRIVYAATPVPSPPRRSRRLAHLPEVKYADACAEVGEDSERVGRWSPRKRSGSIYLAGGEPISMKARLEAARKAEELETQLDPEFPSFMKRMLHSHVVRGFWLGLPSHFCDTYMPKNDCTITLVDEKDEEFESKYLAYKKGLSGGWAGFALDHVIRDGDSTVFQLIKPTTFKVHIIRATVGDDDSEEME >SECCE1Rv1G0027220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:379646979:379649165:-1 gene:SECCE1Rv1G0027220 transcript:SECCE1Rv1G0027220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSALSAATLPSAAAPVSRRRATALLRLPGKRVSGAVRCSAAPGGLGISGKMAELWQAARSAPPGTVLAAVAAAAVVYKVASGLLAPPPPPPRRLQEVADEALPPAPEPVQVGEITADELQQYDGSDPEKPLLMAIKGQIYDISQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDVSGLGPFELSALQDWEYKFTSKYVKVGSIKGTGPIEEGNVSTTSEIQEEAAAVKLNGEKAP >SECCE2Rv1G0076690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:93663349:93671920:-1 gene:SECCE2Rv1G0076690 transcript:SECCE2Rv1G0076690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVESAQPTPAATAEQAQDLIDAARYDELEDVVALFSAGVPLDSADSQGRTALHMASANGHLAVVEYLIQNGANVNSTNLEKNTPLHWACLNGHTEVIKALICAGAIVCALNSHEKTPMDEALTLGKMEVVDAIGAAVAQAELNGVTVS >SECCE6Rv1G0385930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:87355946:87356743:1 gene:SECCE6Rv1G0385930 transcript:SECCE6Rv1G0385930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGQRLNVVPTVTVMGMIKARLAAATRGHALLKKKSDALTVQFRAILKRIVATKEAVGDSMRGASLSLAEALYVAGGPLRHVIQQSVTGPARLRVRAHHDNIAGVRLPRFEHFMDDASARVPLLAGLAGGGQQVSACRAAHIRAIELLVELASLQTSFLTLDEAIKTTNRRVNALEHVVKPQLENTVTYIRGELDEQEREEFFRLKKIQAVKQRELERQMESAKLYAGEKVAGEVALKRGVSLGTAATMLDNGDGQRDEDIIF >SECCE7Rv1G0523050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879966564:879967670:-1 gene:SECCE7Rv1G0523050 transcript:SECCE7Rv1G0523050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPRRVQAHPVAAQLGEEGHHGGRPLRERLRFSVGRGMARGGRALLRPLRRAVALGGRHLLRPLRLFLALGRRPLRLLWRGLELGGHALLLVARVRQQEAVYGGGDGEPRTPPRPRASGSAIFYDPRGRRPRPPSPPQPTSPPPSPALPPPPQPSPPPRVLVDGEVVPEGVHALYVEYCSARLKCSQKSTLCHFCMFEIQRSPNFMVPISDMFVHCMQFHSEEGASVRCNEPGCSVRVRPGQDFRRHVYFCHQLPPDWWRAFV >SECCE7Rv1G0468250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95762240:95762461:1 gene:SECCE7Rv1G0468250 transcript:SECCE7Rv1G0468250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVVVAVLLMQCCNVVLAARLLEGDGGWLHGNIGAAGALIMQVLPGGSPGAGGSNGCTNNPNHPPGGKCNG >SECCE4Rv1G0261800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:704340169:704342414:1 gene:SECCE4Rv1G0261800 transcript:SECCE4Rv1G0261800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIASAWRLLLPAVLCCCLCIRPAAGEGWRCRFPAVFNFGDSNSDTGGFWAAFPAQPPPFGVTYFGRPAGRASDGRLVIDFIAQAMGLPLLSPYLQSVGSDFRHGANFATLASTALLPNTSLFVTGISPFSLAIQLRQMKELSNRAIAAGGTSSGQLPPPDVFRFSLYTIDIGQNDFTSNLASQGIQRVKRTLPSVVSQISETIQDLYSIGARNFMVFNMAPIGCYPDFLVELPHGSNDLDEYGCMTSYNGAVVYYNELLNNSLAEVRNTLQSASIVYVDKYSAMLELFRHPEAHGLKYGTKACCGYGGGAYNFNPDLYCGTSKVVKGNVASATACGDPQNYVSWDGIHATEAANNIIASAVMSGSYSYPPSDLSNLCQP >SECCE3Rv1G0189270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:723650803:723651696:1 gene:SECCE3Rv1G0189270 transcript:SECCE3Rv1G0189270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWGLKTKQRQLPQDQAHEESQSGKGKAAFSPLTWFSKLTAKHNAAASSKPRKHATPEAKSSGSGGGGFHEGATPSPASRSSLADTLSPAAADIVPRRLSVGNDDAEAEATVARQLSRRRHYSVGGDRDLPPLRHLTAFSRATSPPLRGSETAPTPLLTPMPPLASDTDEEKQPRSRQRRRRGSGRRSISGRTTPGARLTAVRVRSPRCAVAAVSGLERFAVVRRTSDPQREFRDSMVEMITSKRIGRPEELETLLACYLSLNADEHHDCIVKVFRQVWFELNPARIATVTSRPRG >SECCE2Rv1G0071360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:43338924:43340414:1 gene:SECCE2Rv1G0071360 transcript:SECCE2Rv1G0071360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGGTPENNRTSGEAPYLPPPSLVLCKLSKIDFAYTEACSLAWTMSLWSLAIHPAYLCSLVLRALPRLLRLTPSSLKKFCKHPPLIKTMEGAPPELPQDILMSILATLEIPDLVRAGSVCSSWRSAYSSLHSLGHYTRPQTPCLLYTSESAGDSVACLYSLAEKRTYKLTLPGPPIRSRHLIGSSSQGLLVTVDDRSEMHLVNPITSQQIDLPSVITAEQVKPIYNDSGALHKYEYSCHSAKKVYGPPSILALGELRNKFHYKAFVFSHTCNGYIVALIHNPLGQLSVARAGDDSWIWLPPYTNYHDCLYKNGLLYAVTSYGQIHAFDLSSPVVTMKMIIREPEPEDWFLNNYIVQAPWGNLLQVWRLYEHCDLEPEPGAFVLWNTGKLNIYEVDASGEKIMKNSCLRDHVLFLGHNQSLCLAAEDYPALKGNHAYFTDDSVLWTKGFKNSPRDMGILDLGNNSTEELVSPQLWSNCPAPVWITLNLRKMNLAFN >SECCE5Rv1G0300700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27760310:27762315:1 gene:SECCE5Rv1G0300700 transcript:SECCE5Rv1G0300700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAVLLQQWGLLLSLAAIVALWWAWRVLELAWITPRRLGRALRAQGLRGRAYRFPFGDLQEFSRLVAVARTEPMLPPSHDITARVAPLYHSVIKEHGKISVTWFGPTPRVIMNDPKLVREILANKSGHFRKRKFSNGIVRRLANGLVSHDGEKWAAHRKIINPAFHLEKLKKMMPAFVACCNELITRWEDHVGSDEAKEIDVWPEFQNLTGDVISRAAFGSSFSEGRRIFQIQSEQAQNVVKMLNTLYLPGFKFLPMQLNRRVKANAREVESLLKGIIGKREKAMREGTAGNNDDLLGVLMECNIAETKEAGSSKPIMTMDDIIGELKLFYFAGMDTTAVLLTWTLVALSMHPEWQDRAREEVLRVFGKEENQPDLDGVNQLKVVSMVLHEVLRLYPPVIQFDRQTYAEVELGGVRYPPGVILSLPIVFLHHDPDVWGADADEFRPERFAEGVSRAASGSSSSSPAFFPFGWGPRVCIGQNFALVEAKMALSRILQRFELGLSPSYRHAPFPVSTLQPDHGAPIVLKKL >SECCE2Rv1G0129970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:871878847:871883872:-1 gene:SECCE2Rv1G0129970 transcript:SECCE2Rv1G0129970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVLMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWYASNGDRLGTYRGHNGAVWSCDVSRHSTRLITGSADQTAKLWDVKTGRELFTFRFDAPARSVDFAIGDHLAVVTTDSFMGNMPTAQVKRIAEDLQDQTEESVLVISGITGRINRAVWGPGNQTIITAGEDATIRIWDSETGKLLKETDKEVGHQKAISSLSKSLDWSHFLTGSLDKSAKLWDARTLTLIKTYVTERPVNAVDICPTLDNVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDPEYFNIKM >SECCE3Rv1G0152450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:47462840:47463835:1 gene:SECCE3Rv1G0152450 transcript:SECCE3Rv1G0152450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQNKEVAALVEKITVLYAAIAKLPSLSPSPDVDALFTELVTACVPPSPVDVTKLGPEAQEMREGLIRLCSEAEGKLEAHYSDMLAAFDNPLDHLGMFPYYSNYINLSKLEYELLSRYVLGGIAPARVSFIGSGPLPFSSFVRAAHHLPDTVFDNYDLCGAANKRACKLFRADTDVGARMTFTADVADLAGELTKYDVVFLAALVGMAAEDKAKVIAHLGAHMADGAALVVRSAHGARGFLYPIIDLQDIGRGGFKVLAVCHPDDDVVNSVIIAQKTKDVHASGLCSGRAGDGQYARGMVPVVSPPCRFDKMVADVNQKREEFAKAEVAF >SECCE4Rv1G0237150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:357650710:357668396:-1 gene:SECCE4Rv1G0237150 transcript:SECCE4Rv1G0237150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAAAAAAATRGREAEIMEALRARVPFFKKQADSLTLEGVRRTLEKDMDLKINSLDPHKKFIKQCVDKVFSGCDNESTDNASEWAEAKVDNLSEDAQPMSGSNKISSSSDEQGARSSETDKDPEGVKNHSSRSDITEAMIKKAIDKSASYFRENSETLTLLRVRRTLEEELKLEKKALDAFKDFITKELDRVLQEPENGTTDHSKQGAGQKTSKGSKRARQDFDTSELNNSHSEKEDSDEDIRPKKRGAEKGKSIKQQKKLSTLKVEKVAKRDYKSDKDQGQKSAEDNPLSSAVDDKKAAPAHGKRVERLKSVIKSCGMSVPPSVYRRAKQAPESKREACLIKELEDILEKEGLSSHPSEKEIKAVRKRRERAKDLEGIDMSNIITSSRRRNASSFIPLPVPKIEADSDSDDDDAGEEENVEGGDKGDDDDAEAGDGSADDAGNRSNDSCGVTTR >SECCE1Rv1G0031050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:438358192:438360774:1 gene:SECCE1Rv1G0031050 transcript:SECCE1Rv1G0031050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g02330, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02330) UniProtKB/Swiss-Prot;Acc:Q9FWA6] MSRTPPALAAPANSTFSHLFQLCARGGRAALDAGRAAHARMLVSGFVPTAFVSNCLLQMYARCADTAYARRVFDAMPHRDTVSWNTLLTAYSHSGDITTAVSLFDAMPNPDVVSWNTLVSSYCQHGMYSESVALFLEMARSGVASDRTTFAVLLKSCGALDDLALGVQIHALAVKAGLDIDVRTGSALVDMYGKCSSLDDALFFFYGMPERNWVSWGAALAGCVHNEQYTRGLELFMEMQRLGMGVSQPAYASVFRSCAAKSCLSTSRQLHAHAIKNNFNTDRIVGTAIVDVYAKANSLVDAKRAFFGLPSHTVQTCNAMMVGLVRAGLANEALELFQFMTRSGIGFDAVSLSGVFSACAEIKGYLKGLQIHCLAMKSGFETDICVRNAILDLYGKCKALVEAYFIFQDMEERDSISWNAIIAALEQNGRYEDTVVHFNEMLRFGMEPDDFTYGSVLKACAALQSLEFGLMVHDKVIKSGLGSDAFVASTVVDMYCKCGMMTDAQKLHDRIGKQELVSWNAIMSGFSLNKQSEDAQNIFSQMLDIGLKPDHFTYATVLDTCANLATIEIGKQIHGQIIKQEMLVDEYISSTLIDMYAKCGYMQDSLLMFEKAQKRDFVSWNAMICGYALHGQGAEALKMFDRMQREDVVPNHATFVAVLRACSHVGLLDDGCCYFHQMTTRYKLEPQLEHFACMVDILGRSKGPQEALKFIGTMPFEADAVIWKTLLSVCKIHRDVEVAELAAGNVLLLDPEDSSVYILLSNVYAESGKWADVSRTRRLMKQGRLKKEPGCSWIEVQNEMHGFIVGDNVHPRSRELYDMLHDLIDEMKLSGYDPDSAYFAEVDEEGSASEQDDLLGMVGG >SECCE5Rv1G0301310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:30032102:30035574:1 gene:SECCE5Rv1G0301310 transcript:SECCE5Rv1G0301310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPPSSSPCLHGHGGKVACAVAACLALVTFLVVALDPAGTGASSWFLSSSSSTPGVLSSLRPTARGGGNGGGAPLLAATSSYAAAGGKNSSGKAVHFREDAAGGGPVLAASFSKADSGYVSVAPAPAPAPEIGFDDAASPDGTVEVRVPLIQGRVDVKLERVELGLAKARLVIREAIQNKGKRPPLTDRDYVPVGPVYRNAYAFHRSYLEMEKLFKVYVYEEGEPPVFHDGPCRSIYSSEGRFIFAMEMENRMRTRDPELAHVFFLPFSVVKMVKMIYEPSSRDMEPLRRTVSDYIDVLSTKYPYWNRSLGADHFMLSCHDWGPYVSSADGHLFSNSIRVLCNANTSEGFNPSKDVSLPEINLRTDIVDNQVGGPSASHRPILAFFAGGDHGPVRPLLLRHWKGKDADVQVSEYLPRGVSYIDVMRRSKFCLCPSGYEVASPRVVEAIYLECVPVVIGDDYVLPFSDVLNWPAFSVRVAVADIPNLKTILAAVSPRQYIRMQRRVRTVRRHFMVNGPPRRFDVFHMILHSIWLRRLNVRLHGQVD >SECCE4Rv1G0286690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850239667:850240127:-1 gene:SECCE4Rv1G0286690 transcript:SECCE4Rv1G0286690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKKRAAAIAALCIMLLLVLPSQRQQVAATMSEFCKCFDECYPGCRSAGEPRLACVPFCVKKCSPNTNQAGDGVGSAAATCRMACKIHICDSSEAPADAADADVCVQNCNKMKIWSHKAHN >SECCE7Rv1G0474600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:173851965:173853005:-1 gene:SECCE7Rv1G0474600 transcript:SECCE7Rv1G0474600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGSLSIRNWGFYETMKGNLGLQLMPSVAGGHRDTKPLLPNGTFLPHHNAPHHPPHSHHPRDYGNGEPSGGMPTDPPAIHMDFVRNEAWMHPSQHQHQHHHQNQHQQQHQHQHQHQHSREQKVLHAVPLGPAGHIGHPGHAVHHHPTGFGMMPDARGAHTLQMMQPQEPPVPEEEKITPPLVEEHSVVGSKPPVKKRQQGRQPKLPKPKKPKKVATPGEDGAPKARAPRSRGPLKPVEMVINGIDFDISRIPTPVCSCTGAPQQCYRWGAGGWQSACCTTSISTYPLPMNTKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIR >SECCE7Rv1G0514570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:834968357:834968638:-1 gene:SECCE7Rv1G0514570 transcript:SECCE7Rv1G0514570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELIRRLSFSDRVSDGSGGVPRGCVPVLVVGDGDEECERFVVRVEALRHPSLSALLDMAAQEFGYKQEGILRVPCAVRQFRQALTTAAVSKK >SECCE4Rv1G0260550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:696629278:696630504:-1 gene:SECCE4Rv1G0260550 transcript:SECCE4Rv1G0260550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLSFLHPPPNPPVLQPSPFHHRAPHRLSLRADPPLRAAATTTAAAAENPYSAAPTAADVEMFRGGDGVWTARSPTVVVLWDLDNKPPRGPPFEAATSLREAASLLGRVDSVSAFANRHAFTHLPAWVSADRRERRALDRAERTGAAAPPVPYSCAVCGRRFPTRPDLARHFRQLHERERNKKLGRLRSLKGKKRQKFRERYISNNTKYQDAARELLTPKVGYGLDSELRRAGVHVRTVPDKPQAADQALKRQVKHAIACGVDWVVLVSDDSDFTDTVRNARAAALRMVVVGDGCRALGKVADIWLPWDSVQNGEVDEEMMRGGKVPEFRYEEDDEQDEDEFIVDWGTNELDDVVDDIVTSRTKVFGATTMSAFAEEDIVDGILGLRHKEDDMLWSSDDEDEDGYL >SECCE3Rv1G0183120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:644579346:644583974:-1 gene:SECCE3Rv1G0183120 transcript:SECCE3Rv1G0183120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVRSPPPEPAAEAQPPPPSSPPSQLPATDPFRLSPDNSTPAPPLPAAPTTTAPAPSTGPFHLSPDNPTPAPPLPAAPTTTAPAPSTAPFRISPDNPTPASPLPAAPTITAPPPDGAGDSSPPSPPKAPTHPPLSTTDPSPLPRGNQTSAPRRTPPPPPARAPAPAAPPPPAPASPEAKPEQEADEAPGESENMMLALALTQNEAVVPPTPQKDAVMAESPTGSPQKESALTIAKLLSGEDPAATDAKPAPDKVAPAVVSESVAAGGGGGGGGGKVGVGSKRWLLNGVPDRVRRSELKRAELWFRVSAAVFCLISLSVMSAGTTPGWAGDSFRRYNEYRYTLAASVMAFTYSGFQLVAEVHYLVTGKRIIGDPWGNYFNLAMDQVLAYLLLSASSAALSRNDVWVSRFGVDQFAKLINASGSMAFLAFIALGLSSIISAHRVFSSIP >SECCE6Rv1G0423900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697960634:697962252:-1 gene:SECCE6Rv1G0423900 transcript:SECCE6Rv1G0423900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLNSIDLCSWKMEPDQSGTGQTSPRGSDWEVVQLTASNYASAPGPARTEPLDEEAEGQVYGAEGGDSAAAALLMSGHFSVPQNQAESLLVEADTSIEQQEARGGQFAVSDKGGDGMYEGQQEKLKDDGLDRIPSFNKGKSLSSVDMEPDNGNALHGVSQAGEEPVIFSSSGYSATDAEKEATESAIEKTEEKPPLQNIDPVTDSSNVVASGEESKPDGSGAPRNVWWQKQLISLYRSAKESNKLWPIVVAAAALMGMAYFRRRWQKGKLQLQQVKLQPASSKERINQATVPLNRIKDILVAGNHPSPAPHGNARLG >SECCE1Rv1G0010330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:61790701:61809712:1 gene:SECCE1Rv1G0010330 transcript:SECCE1Rv1G0010330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGDRKKGKGADRPGKPGLGPNRKEFKNHRKEEGEKAGGVEEQEQEQEQQPAPHPAALFNAADDGDFPRGGRSLLSRDEMAEARTEAEVEFEKEERMGKKKRKANVSSGIDGDDDLGTLFGGATTGKLPRFANRITLKNISPSMKLWGVVIEVNQKDIIVSLPGGMRGFVRTEEVSDIALHGNSKDNEGSVCAEVVHVGQLVPCMVLQVDDDKKEGKAHKRVWLSLRLSRMYKGLSLDAIQDGMVLTAQVKSVEDHGYILFFGVSTFSGFMPKAGKETVKIESGQLIQCVVKGIDKTRSIIHLSSDEDLISKSIIKDLKGLSIDHLIPGMMMSARVHSVLENGVMLSFLTYFTGTADIFNLSSSFPSGNWKDDYSKNKKVNARILFVDPSTRAVGLTLNQHLLRLNVPPINVKVGEIYDKSRVLRVDKKAGLFLEIPSPTPSPGFVSIHDVSDKDVKNVEKKFREGSLTRVRVLGVRHLEGVALGTLKDSAFEGSVFTHADVKPGMVVRAKVVTVEPFGAIVQFSSGVKALCPLPHMSELDNIVKPPKKFKAGAELLFRVLGCKSKRITVTYKKSLVKSKLEVLASYADAKIGLVTHGWITKIEKHGCFVRFYNGVQGFVSRSELGLEPGIEAESVYHVGQVVKCRIVSVVPTSRKLYVSFTMSSNRVIQADTAKVGTIVSGVVERLTPATVVVSVNGFSKGSILNEQLADHHGQAAQLKNLLKPGHEFNQLLVLDTEGQNLVLSAKHSLINTANDIPSEISQMQAGAVVHGYICNIIEAGCFVRFLGHLTGFSPKDKAVDRPIEKLSDVFCVGQSVRSHVLNVNAESARVKLSLQQSMCSSPDCSFIQGYFLLDQQIAALKHSGPTTSHDWVKYFGIGTLVKGEVGAVEEYGVILNFKDHPDVVGLIEHHQLGGSTVKVGSSVKGLVVDLADGVVNLSLKPELVGSVSKDGKKKKRHRAAVSDLELREEVNAVVEIVKDSYAVLSVPEYNHAIGFAPLMDYNSQLLPQHHYDNGQCITVVVGSIPSSDPSGRLVLLPKGPAQGSSISSSKRAKKSDYKVGSLVEAEIIDIKPLEVILKFGVNLHGRIHITEVLEEDCSEHPFSKLKIGQKVHARIVAQAEHSAKTGRNLKWELSIKPSLLEDLEELTASEAELNHSVNDIVCAYVVKVDREWVWLTVSRKVMAHLFILDSSVEPSELKEFQQRYSVGQAVKGRIIGVNREKRLLRLKAFDNQCMLENIDDTQKTVSSIAEHTKEGDIIGGRIQRILPGVGGLVIQIGPHLHGRVHYTEIVDSWVPEPLSGIHEGQFVKCKVLAVSRQAEGSVRVDLSLRSRKLDDSTTCAPRFEKINDLCPGTEVKGYVKNVNPKGCFIMLSRMVEARIILSNLSDEYVENPQKDFSVGMLVQGRVLSVEPLSGKVEVSLRKSTGSKSQKLDDISYSDLHVGDTVDGQVKRVESYGLFVTIKSSELVGLCHVSELSDEPVIDINACYKAGDIVKAKILKIDEDRHRVSLGLKESYFDSDLTDDENGNENDGGRVPMDISRAPQMSGGFNSTLVLPGPEPRASVPPLQVALDEYEGSDQEGDQKAHEIANGSESNVKKSDRRLKEKARKQREIEISALEERALQKDIPQTPDEFEKLVRSSPNSSFVWIKYMAFLLDLADVEKARSVAERALRTINIREEEEKLNVWVAYFNLENEYGSPREDAVKKIFQRALQYCDPKKVHLALLGMYERTKQNELADELFDRMTKRFKTSCKIWLRRIQFSLTQGKDVEYIKSVVNRALLSLPQSKRIKFLTQTAILEFKCGVAEEGRSRFELILREYPKRTDIWSVYLDQEIRLGDTEIIRALFDRVTCLSLPPKKMKFLFKKYLRYEKAQGDEERIEHVKQKAMEYVEISRA >SECCE4Rv1G0226910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:115038266:115041616:-1 gene:SECCE4Rv1G0226910 transcript:SECCE4Rv1G0226910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICKVWISLLLALAVVLSAPAARAEEAAAAEEAAAPEAVLTLHADNFDDAIAKHPFILVEFYAPWCGHCKSLAPEYEKAAQLLSKHDPAIVLAKVDANDEKNKPLAGKYEVQGFPTLKIFRNGGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKAAEDATYLEDGKIHIVGVFTEFSGTEFTNFLELAEKLRSDYDFAHTLSAKHLPRGDAAVASPLVRLFKPFDELVVDSKDFDVSALEKFIDASSTPKVVTFDKNPDNHPYLLKFFQSNAPKAMLFLNFSTGPFESFKSAYYGAVEEFSGKDVKFLIGDIEASQGAFQYFGLKEDQAPLIIIQDGDSKKFLKEQVEAGQIVAWLKDYFDGKLTPFRKSEPIPEANNEPVKVVVADNVHDVVFKSGKNVLIEFYAPWCGHCKKLAPILDEAAATLQSEEDVVIAKMDATANDVPGEFEVQGYPTLYFVTPSGKKVSYEGGRTADEIVDYIKKNKETAGQAAAATDKAAEPAATEPLKDEL >SECCEUnv1G0556350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310683159:310683716:-1 gene:SECCEUnv1G0556350 transcript:SECCEUnv1G0556350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRSEHIWVELLKGSRKRSNFFWACILFLGSLGFLLVGTSSYLGKNIISILPSQEILFFPQGVVMSFYGIAGLFISSYLWCTILWNVGSGYDRFDRKEGIVCIFRWGFPGIKRRVFLRFLMRDIQSIRIQVKEGLYPRRILYMEIRGQGIIPLTRTDDKFFTPREIEQKAAELAYFLRVPIEVF >SECCE4Rv1G0289980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:869901842:869903033:-1 gene:SECCE4Rv1G0289980 transcript:SECCE4Rv1G0289980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYHLQSSDYQKLFRRKMESAELQTPLLHGLPDEIALLCLARVPRQYHNALRCVSRGWKALLCSEEWHSYRKRNNLDESWIYVICRGTGCKCYVLVPDPTTRCLKVIQVMEPPCSGREGITIETLDRRLFLMGGCSWLKDANDEVYCYDAASNHWSKAPPMPTARCYFVSASLNDKIYVTGGLGLTDKSPNSWDIYDKATDSWFSHKNPMLTPDIVKFVALEDELVTIHKASWNRMYFAGIYDPADESWRGKENEIALCWSGPTVVVDGTLYMLDQSLGTKLMMWINETKEWVMVGRLSDKLTRTPCELVAVGRKIYVIGRGLSTVTIDMDMAARVDGFLVSSSTGPLMEHDFPPEKCRVITI >SECCE5Rv1G0307880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122206883:122211678:1 gene:SECCE5Rv1G0307880 transcript:SECCE5Rv1G0307880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G07920) UniProtKB/Swiss-Prot;Acc:Q39017] MYRMALPSWSDISAYLSEYWSVIAATVIFALVGGVTIYYTINQLNKNISLSLMKAIRARAKKYKKLKDKVPAASHIWRKEPGSRSKGLKCCVCLKSVSPPQYLGGTIHQCDICGATAHPSCSGNAHKDCKCVSMVGLDHVIHQWAVQWIDTADRSEEDSFCCYCDESCSGAFLAGSPIWYCMWCQRIVHVDCHNNLAKETGDVCDLGPLKRLILSPLCVKELHRTGATGLFSSITSGANELASTVRETIRIRSKRYKKNIGSPQPESPGTAEPQSDTDVDSEGSNTTAKRDDHVNGKLHEVHQSTESEKDKQFIADNATSRPNGQHEMSHAQNNQKYEIVDVPSDSRPLLVFINKRSGAQSGDSLRQRLQILLNPLQVFELGKHQGPEVGLSLFRKVPHFKILVCGGDGTAGWVLDAIEKQKFEAAPPVAILPAGTGNDLARVLSWGGGLGVVEKRGGLFSVLKDVEHAAVTVLDRWKITIKDNQGKLMSSPKFMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAKEGAKNIMDNMFYYFPWEVKLEIDGSNIEIPQDAEGILVANIRSYMGGVDLWKNEDSVSDTFQPQSMHDKTLEVVSFTGMLHLGRLQVGLSRAQRLAQGHHIKIEITTPMPIQVDGEPWSQEPCTIEVSHHAQAFMLKRVSEEPISHAASIMADILENAENSGTISASQKSALLQEIASRLL >SECCE4Rv1G0258080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:674359573:674363276:-1 gene:SECCE4Rv1G0258080 transcript:SECCE4Rv1G0258080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPVLSALAMVSLGFVLGFSFPASMTPNLQCGVLPWSRNGAANSSSSDNFLGRLWAPLLGNSSGTSNTTSGTVNVARRPEGAGRLPPGIVVSESDLHLRRLWGSPTQDAPVRKYLLTMAVGHRERANVNATVHKFSDKFDVVMFHYDGHTTEWDDEFQWSKEAIHVSARKQTKWWFAKRFLHPSIVAPYDYVFLWDEDLGVEDFNAEAYIEIVKKHGLEISQPGLDSTKGRSAYKVSVKRNSGEMHKTDAGGNKCPDVHKRPCSGFVEVMAPVFSRDAWRCVWHMIQNDLVHGWGLDWNFWRCVDDPEEQFGVVDAQYVVHHGVPTLRDQGNGEKEGSRAKVKDRQYEEMHAFDLRIDNADKELANSTARPSSQP >SECCE6Rv1G0449240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:860793009:860809760:1 gene:SECCE6Rv1G0449240 transcript:SECCE6Rv1G0449240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGVIVLLGLVVVGAVVIGARAAGLPVVPAMFMLGASTLDVGNNNLLPGKDVPRANHPFYGIDFPGSPGPRGGSATATTLPTSLHYRNRAPRQLGFERSPVAYLVLKSHNYLIPSALERGVSYASAGAGILDSTNVGKNIPLSKQVRYFTSTKAEMEAAWGRHEISKLLARSFFLLSIGNNDLLQSTPKSHADVVALYTTLVSNYSAAMTDLYGMGARKFGIINAGPVGCFPGVRLLNATWACHDGLNRLTSGLAAAFKSGLAAALAPSRLPGLTYSLADSFARSRAIFDNPQASGLQNGDSVYCGSGRVDAEGDCNRNAMLCSDRDAYAFWDYVHPSQRASELGAQALFDDGPTQITAPISFKQVAHEK >SECCE3Rv1G0160990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:110440987:110443053:1 gene:SECCE3Rv1G0160990 transcript:SECCE3Rv1G0160990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVVDPLDSLPSAILADVLGRVADAGDIAASRLASRALLAASYHCPRVRLSAAARARRLRGRGGGDGATAFRLAAANVASLLGTHLRSLALDSSEGHGCPDQAIWAKHAEFDDANDLHLTSGESVAAWASTAAGPALREVDIADFWPQSCWRKAGALPVISRLCHNLSTLRLRKAWLSVDGLRVMPTLTYLALESIRLDDENLSTLNECFPCLHTLNLIGVGGLKNPKIHLPELKYCHWEVSNVPRSLAIHAPNLVYLELKCVQPEILILDTPSVSTLKLTIDKIGHTIQVDGLVNLKNLRIESSDLNSLLRLFSESQDVRTLDLELPASAGRNELYEAVEPELFSRATEVKLSPRFSYELMRRIVFSMTSYDRRSCLRKLLVHMPPSILTACPFIPLVNNCAPSCEVTVLFHADSSDATRQAAAASWPLGFPDITWQWGTWQ >SECCE3Rv1G0166140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:183015386:183016780:1 gene:SECCE3Rv1G0166140 transcript:SECCE3Rv1G0166140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 20 [Source:Projected from Arabidopsis thaliana (AT5G45820) UniProtKB/Swiss-Prot;Acc:Q9FJ54] MERKSTILMNRYELGRMLGQGTFAKVYHARSLATNQSVAIKVIDKEKVLRVGMIDQIKREISIMRLVRHPNIVQLHEVMASKSKIYFAMEYVRGGELFARVAKGRLKEDAARKYFQQLIGAVDFCHSRDVYHRDLKPENLLVDEHGNLKVSDFGLSAIKECQKQDGLLHTTCGTPAYVAPEIINKKGYDGEKADIWSCGVILFVLLAGYLPFQDSNLMEMYRKISKGDVRYPQWFCSDARKLLSRLLDPNPNTRITMDKLIEHPWFKKGYKPAVMLGTPRVPKDLNDVQAAFSTDHKDGEGHRAEQPNSPLKPASLNAFDIISLSKGFDLSGLFEKDQEQKSNSRFMTQEPASAIVSKLEQIAETESFKVKKQDGLVTLQGSKEGRKGQLAIDAEIFEVTPSFYVVEVKKSAGDTLEYERFCKKGLRPSLKDICWNGPSEEKLPPVSESVPPTPSSKSTKRNVI >SECCE7Rv1G0500620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:669977603:669983233:-1 gene:SECCE7Rv1G0500620 transcript:SECCE7Rv1G0500620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEYGRPFIILREQEKKSRLQGLDAQKANIAAGKSVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILELMDVDNQIAKLMVELSRSQDYDIGDGTTGVVVMAGSLLEQAEKLLERGIHPIRIAEGYEMASRIAVDHLESISTKYEFSATDIEPLVQTCMTTLSSKIVSRCKRALAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELVQGIIVDKDMSHPQMPKRIEDAHIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRGQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMQRELPAVRWVGGVELELIAIATGGRIVPRFQELTTEKLGKAGLVREKSFGTTKDRMLYIEKCANSKAVTIFIRGGNKMMIEETKRSIHDALCVARNLIINNSIVYGGGSAEISCSIAVDAAADRHPGVEQYAIRAFADALDAIPLALAENSGLPPIDTLTVVKSQHVKENNSRCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVITPSEY >SECCE6Rv1G0394520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:299064494:299065078:-1 gene:SECCE6Rv1G0394520 transcript:SECCE6Rv1G0394520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKRCNIKLDGLDIHFRPWRAVSHAYNADLHFHVHVVVDGLPAFSWRLEVVDQLVGHKCTVQRLDDGLTTMEDTYSFGLWACMPSPHRIPKVLWCTLVNKGAGGLSSKVRIKEDRPDKWKRGVLFRILLHVDSVEDLTGAPVLDGGEPLTNFRPASHSLPQCNLGTIDGLPIGEGSGSILPAPIPALCELGPA >SECCE5Rv1G0298990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14573794:14577877:1 gene:SECCE5Rv1G0298990 transcript:SECCE5Rv1G0298990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrofolate synthetase [Source:Projected from Arabidopsis thaliana (AT5G41480) UniProtKB/Swiss-Prot;Acc:F4JYE9] MVARFPVSLRWSGGLLRASSSRRRGVSAMAGGGEEAQLGGFLEYMDRLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHYPSVHIAGTKGKGSTAAFLSNIMREQGYNVGCYSSPHLLTIRERISVGQHGGPVSASSLRDIFHLAKEAIDESIESENGSLTHFEVFTALSFLLFSQENVDVAIVEAGLGGARDATNVIRNSELAAAVITTVGKEHLAALGDSLQSIAVAKSGIIKEGRPVVIGGPFSTDIEQIIRDRAFLTQSPVISACDTGVRSITRCIGRDYAKPYQSCDIVVKISGDMPLSMELHDVKLQLLGDHQRQNAVTASCTALCLRELGWNVSDASIRAGLEKTQLPGRSQFLTQDEASVLGLDGASTILIDGAHTEASAKALSDVIKTVEPEGPVALIVGMASDKEHLAFAAQILSGTRPDVVLLTETGIAGGTARSMPASSLKDIWTGAALGQGIECADIGAIAGHEAPNVNIDHLAPAAGTDKPAPMLIGCGAAPFSRDLMKAASRLLRRADGDRAARGGLICVTGSMHMAAAVLLQLEQ >SECCE3Rv1G0161620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:119292576:119294967:1 gene:SECCE3Rv1G0161620 transcript:SECCE3Rv1G0161620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14820 [Source:Projected from Arabidopsis thaliana (AT4G14820) UniProtKB/Swiss-Prot;Acc:O23337] METRAPPLEGLSRHHKQVHAHLLRRGHPFPPAEHAEPDRAYLSVLRAATATPVLALAACACLLRAGLPAPGRHALPALLRAAARARCADSVGQAHAIAVRVGAEDDGFIGTALVGAYAACRRVADARRMFEVMPDRDLVAWGVMLDSYCQTQDYREALFLLNKLKRSRIVPDQVILATVLSACGHTRHLRSGKVIHSYILVSDIFIDARLSSALLNMYATCVDMEMAKKLYSGMQRKDLISSTVMVCGYAKNGKIDIARSIFNCMMEKDVVSWSAMISGYAESNQPSEALILFKDMQECGVCPDEITLLSVISACANICSLDKARWIHSFVVNNGFCKILSICNALINMFSKCGCLTLALNVFNAMPRKNVITWTSMISAFAMHGDGKSALALFDKMKSEGVEPNGVTFLSLLFACCHAGLVDEGRSLFECMVQEYRIEPKHEHYGCMVDLMGKAKLLPEAVDLIKSMHVRPNVAVWGSLLAACWMHGDLELGAFSARKILELDPNHNGAYMFLSNMHAKSGNWNNARELRGVIEGHRVSEETSCSRVELNGIVHDFGAGGEKHQENQRTVLKLSGIISN >SECCE7Rv1G0525460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:890385262:890387133:-1 gene:SECCE7Rv1G0525460 transcript:SECCE7Rv1G0525460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:9-cis-epoxycarotenoid dioxygenase NCED6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24220) UniProtKB/Swiss-Prot;Acc:Q9LRM7] MAHCPPLRPPAPATLPPPPSSTLNALHLPFQARKPPSTLNTTTLKGLFATALNLLEDRVIVPLEARRPLPSCVDPAVQLAGNFAPVQEMPSPLRNLRVTGEIPPALLGGVYVRNGANPLLPPTAGHHLFDGDGMLHAVSLPSSSSSVASYARRFTRTSRLAQEEALGRCAFPKAIGELHGGAGLARLALFGLRVVAGVVDTKNGAGAANAGLVYFGERLLALSEDDLPYHVHVGSGGDLSTVGRFDFTGQLRSPMIAHPKVDPATGELFALSYDPVRRPYLRYFRVDPATGEKSRDVEVALRQPTMVHDFAITESFAVIPDQQVVFDMGQMLRGGSPVVHDGGKVSRFGLLPRYDSNDSRMRWFDVPDCFCFHVWNAWEETDHAGDGPATVVITCSCMTPPDALFSEAADDDTANLRATLTEIRLDLRTGVSCRRELASGLSLEAGTVNRTLLGRRTRYAYLAIAEPWPRCRGVAKVDLTTGEAVAVHQYGAGRFGGEASFVPAAGGDKREDEGHVVVMVHDETASTSELVVLDAVTMEAVTTVALPCRVPYGFHGAFLTSDQLAAQRTCIS >SECCE2Rv1G0070770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:37776782:37779997:-1 gene:SECCE2Rv1G0070770 transcript:SECCE2Rv1G0070770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAERERRKMPLPPALPLATLIGRELRAGGSERPALRYGHAGFAKRGEDYFLVKPDCLRVPGDPSTAFSVFAVFDGHNGVSAAVFSKEHLLEHVMSALPPDIGSREDWLQALPRALVAGFVKADIDFQRKGEVSGTTATLVVVDGFTVTVASVGDSRCILDTQGGELQLLTVDHRLEENVEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEYIVPVPHVKQVKLSSVGGRLIMASDGIWDALSNEAAAKSCRGLPAELAAKLVVKQALKKCGLKDDTTCVVVDIIPSDHHLTSPQLSPKRNQNKLKSLLFRRRSHSSVGKFGGKSASIGSVEELFEEGSAMLEERLGRNLSLKAASPPSRCAICQVDQEPFEGLMEENGGSHCSSPYAPWGGPYLCLECRKKKDAMEGKRPSCSTACR >SECCE2Rv1G0078900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:112959658:112960791:-1 gene:SECCE2Rv1G0078900 transcript:SECCE2Rv1G0078900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRVKRNKTTYFIQCDPTETILNIKQKLQSITDHPPNNQRLILLATNNILDDSKTLADQKVENDAVVALALRKDNGFEEVSIADPSDFMASS >SECCE7Rv1G0519350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864451092:864453191:1 gene:SECCE7Rv1G0519350 transcript:SECCE7Rv1G0519350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQLHEIKVITNNFAKEQKVGSGGYGDVYRATHKGEEIAVKKLHQLQGLDDKQFHAEYRNLREVRHPNVVRLIGYCYETQKKYMEHNGELVFATVMERVLCFEYMHGGSLDKHIQDESCGLEWPTCYKIIKGTCEGLDHLHTSQGKPIFHLDLKPANILLDESMTPKIGDLGLSRLVASTKTRQTEMRDGTLGFMPPEYIDSGSISKKFDVFSLGVIIIKMLAGDKSYFRCSEMPHEEFIQLVSEIWRKKLQAKPGYSSHEIDMLGVISCIEIALRCVDRDRNKRPCINDIVHELDELDAKLKEMSLASDVSNDAMVQRSCDTNIISVDPSLELRFVFEPRKETSCCLQIMNKTDVIIAFNIKINQNKYSVQPSQGTMPPCSSRYVIVTLQAQEAAPSNMRCHDMLFVQNTGITQDMASRHGEIDYQELFKKAMADKVVDVVKLPIVYVTSDQ >SECCE6Rv1G0412630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:615547208:615550388:1 gene:SECCE6Rv1G0412630 transcript:SECCE6Rv1G0412630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPQRLMIVSCLAVLALAAGAGEVDGRPKARGFVTASGVRFMAGGRPFYANGFNAYWLMYMASNPADRSKVVDVLDQASRLGATVVRTWAFSDGQGSDRPLQITPGVYNEQAFVGLDFVIAEAKKRGIYLILSLVNNMDSFGGKKQYVQWARDRGHFLRSDDDFFSDGLTQQFYKNHIKRMVTRVNTYTRVAYKDEPTIFAWELMNEPRAPSDLSGRTIEPWVASMSAYVKSVDPKHMVEIGMEGFYGESTPQRKRFNPGQGYTGGTDFVSNNRIPTVDFATIHIYPDQWMPGSSSHAQVEFTKRWLASHIKDAGKVLRKPLLVAEFGWSARSGGYTVAARDGYFRMVYDTIYASVKRGGPCAGGLFWHVMAPGMESWTDGYDVVFERSPTTAAVVSQECAKIDRITSAV >SECCE6Rv1G0416330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:648160602:648162148:-1 gene:SECCE6Rv1G0416330 transcript:SECCE6Rv1G0416330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAMGVMNPLIGKLTALMGDEYKKLKGVRKEVAFLRDELSAMNAALEKLEFMEKLEPDTKNWRDHVREMAYDMENCIDDFMKDIGGADANAGAGFVKRMSRRLKTLRVRHRIAGQIEDLKALAVEANERRLRYKIDDCNTTCGSVDIDPRISVIYKDAAGLVGTDGPKKEIVSLLTDTEKKLKVVSIVGFGGLGKTTLAKQVYDDLEGQFDCKAFIPVSQKPDMPRLLNSLRLKLGINESSGICEVQDIIDQLREHLANKR >SECCE5Rv1G0363700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:795924862:795928371:-1 gene:SECCE5Rv1G0363700 transcript:SECCE5Rv1G0363700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERELMETFEAAKKAADAAAEEADGSSPEAERCLDALRRLREFRVNTDVLVSTQVGKRLRYLTKHPNSDIQAVAADLFGYWKKIVIEETGKKNGTPANGKSDNSAAKAEKPQPMKVEKKSANVKVEKNSTSTTVKSEKNATVKAEKNSTSASVKIEKTANNDSKVQVKVEKVSKEVSRTSETKKPSPVPNGPPKLTSLVRCNDAARDKYRELLVDAFVKVSKETSDDDREEIRDLLDQVNACDPYRVAVTVESALFERIGRSTGTHKVKYRSILFNLKADNNPDFRRRVLLGEVRPGSLVDMPADEMASDARKLENQQIKEKALFDCERASAPKASTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >SECCE5Rv1G0320300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:385729017:385732705:-1 gene:SECCE5Rv1G0320300 transcript:SECCE5Rv1G0320300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQMRPLPSRPAIHAVHRRTSPAPPSIGGLGQHGRVALVRKTTPVVCRSVASPAANQGAPAVERPWKLSDARLVLEDGSVWNAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNSGDEESIKCFLGGLIIRSLSICTSNWRCTETLDEYLRKRNIMGIYDVDTRAITRRLREDGSLIGVLSTDQSLKDEELLEMAKNWKIVGVDLISDVTCDAPYEWLDKTGSGWEFNDNQSSETFHVAVYDFGVKHNILRRLASYGCKITVVPASWPASDVLNLKPDGVLFSNGPGDPAAVPYAVKTVQEIVGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVRDNRTGRVDISAQNHNYAVDPESLPEGVQVTHINLNDQSCAGLVFPKMKLMSLQYHPESSPGPHDSDLAFGEFVELMKNNRL >SECCE6Rv1G0389270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:148168017:148172595:1 gene:SECCE6Rv1G0389270 transcript:SECCE6Rv1G0389270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWMGVEERAETLRSTAEELVGEDELLPLLHGNPSPVCFDSFEPSVSGRVHIWQGVGKVINVNKMVRAGCRVKILIADQRTGLNSSEVRAAGRHAIEVWKALGMSPDGVDFLWCSEETSKRAHDYWPLVMGISRNHHVGWLASLHADPENVKCNQLLEPIMKCADIFFLEADICQMSVDQREVSMMARGYCEHIGNENKPIFMLHHVLPGFKEGQQRMSESDPLSVIFMEDTESEVTKKIKKAFCPPKITQGNPCLEYVEHIVLPWFREFQVVPPDGGNRTYVGIEELREDYGNGTVHPRDLKPALAKAINQILQLVRDHFENCESKGLCDAVK >SECCE3Rv1G0197440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:818417115:818419398:-1 gene:SECCE3Rv1G0197440 transcript:SECCE3Rv1G0197440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAGGGSSGGRVREEQQPWPHWREQQGQRSPDMAAVPRPPRPRPGPARVAVVYYLSRNGQLEHPHFMEVALSSPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLADDDYVHPVGGREYVLKGTERLHPPAIHLPLLDAAAASSCSSGSHDTTTSSSSGWEHAQRKGVAAALTAELGEYRVYKAEDRVAAAADAATQTEDGHRGRSRGHQRRAQEELSREETSPPTASTSPETLESLIKADGRVLATVPGGGSRTKASSVLMQLISCGSVSVKGGLATPVMPRGVHYRPRPPRPPAHAAAETPVHRQKVVEDKEYFSGSLVETQRSAADACQDLAVLRRTSSYNADRAGKAEEAVDLHDRCIPRKPKSKKDGYQVISCAAHPSSKRIGA >SECCE5Rv1G0348190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672881642:672899455:-1 gene:SECCE5Rv1G0348190 transcript:SECCE5Rv1G0348190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MAARPAVAAAALVALVVAASALLCASAAAAAAAAGGPHMADLSVLLPPRMTRPVEYRLVGGDGCFTWSLDHHDIISVKPEYNDSSRCSTSARLASIAPYSGRKETSVYATDIISGITIHCKVFVDKISRIRIFHHSVKIDLDEIATLRVHAFDDEENVFSTLVGLQFMWQLTPTTLDNSNHHLAHIPLKETHLSDCSGFCVEMNARFELEDRDLGSDFFVVKGVGIGQEVVSAQLFEPQFEHVSDTITLTVAEAMSLEPPSPVLVTLGVSVNFKLKIFRQKVAQVVNLPSQYHLWHVKNSSVAQVDSSLGVVHALSLGFTDVVVEDTRVSGHQQVSSLRVVIPRTLFLYLVPVMDDSGHFHGITNIPSSEVWYVFPGQKYMVLVKAFAEGFDAREIFITEENNLRLESSTVELWNLSRVPDNSLGSYEVQTSRLLSPISQGEGYLVAALTYQAEASGSAKVLKLLQKVNVCSKVKTTWGEGTDNSNIIHLPWVPGVYQEVELTAVGGCGKTPEDYKLYSSDESVVSVSDSRTVRAKMPGQAVIKVVSAFDFLNFDEVIIEVSTPSALAILPIFPVEVAVGTQLHAAVTFKTSNGHPYSRCDYFNAFIRWSLLSENQTFEVVDASEALTVEALKHHSGSSAQYGNPCAWISLNASAAGRATIVATFSSESDSYFETFNEPIFLKATSKVSAYYPFLVLQAGNGNQFGGYWVDLSRLQSGIQNMGNNSPKELYLVPGSTMDVFLFGGPEQWDKVVDFVETVDVVGAPGNYITGSTAVQKISSGLYRVSCQSKGIFKLLFSRGNMIGKDHPVPAVAKSELSIVCDFPSAVTLIANENENRLDILEAASKADRSPNRLQVSPVVISNGRSIRLAAAGVHQNGRFFANSSSLCLRWEAAECEGLAYLDQDEDAEMLEQSSWERFLVLQNSTGMCTTRATVIGFSSRIASKIREEHMFLPSEHDNLTDAIQLQIVSSLRVTPEYVLLVSHREAQETLAVSGGTCFLDASTNDTQVVQIVQHPGKALCSQLILGARGLGSAVVTIQDIGLSPRVTTSSLVRVANVDWIQILSEEHISIMEGTTKDFQISAGTQDGQVFGDSQYKYMGIEVHLGDEILDHVNPSESLDGPKFSIKAAKTGTTSLYVSTKQRSGQRVLSQVINVEVYKPLRIHPEYIYLTPGASFVLSVKGGPKIGVSIEYTSLNVGTLEVQTATGKLSAKTVGNSTVRAAVLGNGGTVICEAFGRVEVGIPVAMALSTQSDRLCVGCSMPIYPSVPKGDPFSFYETCQSYTWMIADQKVATFQSARSWQNGLDQALYSEGKTYPWLSNGSSNAFINHVIGRSAGKTKISISVTCDFSLHGSSGSVSYDASKTILVIPDPPLARGLPITWLLPPFYTTTDLLPISVNSFGEPDSNGLDTTIGYSLLRSSGRSDPAMQNANAIDGSKIRTGESNAIDCIQAKDHSTGRTEIASCLRVAEVAQVRIAAAESSIQTAYLSVNDKVELDVKYADELGYTFSEALGVAPVKIETNYPDVLSIVMPRDVNGTYGAHQRFVLQARSHGTALVRLHINHPSRKSDFIMVSVGARMYPRDVVIHSGQHLNFTIIGDRMDARGPGQWLSTNEKVMHVNQITGEAHARGEGIAEVIFKGPNLKLRTTVNVLKVNQIVVDAPAEILTNAAAPPDGYKFSVKLSDSAGHSKESSVNQINAPFDCKVEPSFVGFVEPWSDRAVKKSYCVFHPYSPAQLLPVKSNPKDGILHISVRANLKEDSMVTGSAHALFVKGFYIKEPGALNLTPSCNHSIITIGGNTDVELFWSAKDLVSVSLVDTNENIGGPSQVVYRVEALKRQPFADKVTIILPATGQTEEIEVNYVTGDRTEPSSSSGLTTFGLILTCIIVPAGTLWAIMKLLEKPARQAPPRHAPAPAAGPAVAPDPASPAIGEFSPRTPQPFMEYVRKTVDDTPYYKRDARRRFNPQNTY >SECCE7Rv1G0518130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857703121:857703639:-1 gene:SECCE7Rv1G0518130 transcript:SECCE7Rv1G0518130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKAKGSTSIKALLVEDIGVCRLLLSTFLLRLHCEVTLAMNGKEAVDLFLEGKKFDIVLFDKDMPIMTGPEAIVKIRAMGETDVKMVGVSADDHAMEAFMCAGADLFVPKPIRMEALGPIIQEVINKKKNVMV >SECCE6Rv1G0421400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683811542:683812183:-1 gene:SECCE6Rv1G0421400 transcript:SECCE6Rv1G0421400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDCPADCGDCGDGDCFLCCCCCCDDGSRGHTPLRCLLILLLLLLVAILVAAYVFVLPVHVAVEDASLARLALAGPNGTALAYDISLAVAMHNRNWAMHARVGVPLVGELLFAGERFASVRMRGSSRGNIRPWKTEVYNVAASGESAAPLGSAGVAEFVKESAAGGVFRLQLKLTGEVKYPPHGNAHRLEATCPLELPLSSPARFKKIKCV >SECCE1Rv1G0035220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:497116462:497117753:-1 gene:SECCE1Rv1G0035220 transcript:SECCE1Rv1G0035220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVQALTKAFSGMGGLGVDERTMVAALANWRKQPEKRSGFRKSFPGLFKEHGVIERCEDEYMLHLAAEFSRFKNLMVLWAMHPWERDARLAHHVLHQAHPAAIVVEIACTRSAEELLGARKAYMALFHHSLEEDVAYKAKDKPYCSLLVGLVSAYRYEGPKVSDDTAKAEAKALGAAVKSAGGGKLVENDEVVRILTTRSKPHLVQTFKYYKELHGKHIEEDLGSEEALREAVQCLATPERYFSQVMAAALREGADHHGKEALSRVAVTRSDVDMDGIRAAYQEQFGAKLEDAVAGSAHGYFKDALVSLIVGK >SECCE4Rv1G0217600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:17539339:17540730:1 gene:SECCE4Rv1G0217600 transcript:SECCE4Rv1G0217600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANHEKAPETSTGGDTPRPVRAVCVFCGSRPGNRPSFSAAALDLGKQLVERQLDLVYGGGSGGLMGLVSKAVHDGGRHVLGVIPSALLPEEVSGETLGEVKVVRDMHERKSEMAKHSDAFIALPGGYGTIEELLEIITWAQLGIHNKPVGLLNVDGYYNSLLSLFDKGVEEGFIDDAARNIFVLADNAADLLTKLTAAAVAAHVDDGSGDDPNGTAAAGVKRKRG >SECCE3Rv1G0161810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:122727535:122731314:1 gene:SECCE3Rv1G0161810 transcript:SECCE3Rv1G0161810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MEGEERRLAASLTARYSEWVLEELDELRGSFLLTDPAMPGHPIVYASRGLAALTGYPRREVLGRNARLFQGAATDRAAVSGLREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFHAADGRVLHFLAVQVPIAASPRRHPGRPAAFAACREEARGEEELPCASHVGEVFVDIDKRGLEAEEPRIASGCDKEMALSTANSIFSTLNRYSKLTGLVVCERRCDSVGIPALSSSLNLSLGRIKQSFVLTDCHLSDMPIVYASDAFLSLTGYSREEILGCNCRFLNGPGTSAEVLEEINRHICREEACTVHLLNYRKDGSTFRDLLHVSPIRNSSGKVAFHVWVHLDESAKHDFSGLTPELWQLGAVGAVRVAVRSLSASGSLLRPSQ >SECCE5Rv1G0324410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:446681837:446684246:-1 gene:SECCE5Rv1G0324410 transcript:SECCE5Rv1G0324410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRSDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGIWKESEYSGHSIPVGGLAYYVTAPSSMADILANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >SECCE1Rv1G0016140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:134807316:134808769:-1 gene:SECCE1Rv1G0016140 transcript:SECCE1Rv1G0016140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTVVLYPSNLVGHVGPMTKLAGVFLKHGYDVTVVLVGYSTTGIDRTAVEGIVASNPSITFHVLRPPPAPPAASSDSKHRLLVLLDLLRRCNEPLGAFLGSIPRQRLHSVVIDMFCVDAIDVAAAAGVPVYTFFASCASCLSALTQFPALVAGRRTGLKDLGDTPLDLLGVPPMPASHFSEVMKEHPEGEVCKAITEVWRRNTETMGVLVNTFEQLESRAVWSLRDPGRNMPPVYCVGPLLVGAGNDKAKDDVDECLVWLDAQPDGSVVFLCFGSMGVLSAEQLKEMAVGLERSGHRFLWCVRVPAAAAGHGDTEQEAELDAVLPEGFLERTKNKGVVLKSWAPQMEVLRHRATGAFSVAAGVPMLCWPLYAEQKMNKVWMTAEDGMGVALELEGYRDGFVGAEEVEAKVRLVMTTEGEEGVMQLRARLRGLRDEAEAALADGGSSRVDFLRFLLDVENLEVGGEQLSL >SECCE2Rv1G0104510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:607727519:607730829:-1 gene:SECCE2Rv1G0104510 transcript:SECCE2Rv1G0104510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREIGGAEYELNEIDGTLHGSVGSRLSLFAREFKWRSSSGGSALRLPNNCYGSSFVIDPNGRWYRMWSNMMFLWSIYSVFYTPFAFCFFRGIPEHLMDLECVQLIFLADVAVHFFLAYRDPHTHRVVYDQQRIALRYIKGSFALDILGCFPWDAVYKFTGRMEVVRYLVWLRLYRARKIQGFFKKMEKDIRISYLFTRIAKLATVELYCTHTAACVFYYLATTLPPAREGGTWIGSLTMGDQSYINFRKVDLLTRYITSLYLAIVTMATVGYGDVHAVNAREMVFIVVYVSFSMLLGAYLIGNMTALIVKGSRTERFRDKMTEIIRYMNRNRLGSDIRSQVKAHLLLQYESSYKRDRIVDDIPAAVRSKTLYLDTVSKVHLFRGCSEDFLSQIVVKIQEEFFLPGEVILEQGTVVDQIYIVAHGCLEEIGATGEAGSEEIVVSELLPYDIVGDVAVICNTRQPHTVRASELCSLLRIEKQSLTSILQMYLKDSRQILSNLLKGKRTESKGKQLESDITYLIAKQEADLVLGVNNAAYHGDLFRLKGLIGAGADPSKPDYDGRTSLHVAASRGYEDIVRFLIKRGANVNSIDKSGKSPLLQAVKSGHERIISVLVAHGAALNLEDAGGYLCRVVAQGKIDLLRRLLRFGIDPNCRNYDQRTPLHVAASEGLHLVAGMLVEFGADLVAADRWGNTPLDEARRCGCKPLVRILEQARANAAAAADQ >SECCE7Rv1G0516250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844725162:844726094:1 gene:SECCE7Rv1G0516250 transcript:SECCE7Rv1G0516250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALFIPNRTRQICSTPSTDHFTQQPHIQHERRSDSKQATMAGEGDLKLLGLLVSPFVTRVRLALHIKGLSYEYIETDVLDKGDLLLRYNPVHKKVPVLIHNGLPLCESQVIVQYVDEVWPAAAAGAPILPADPFARATARFWAAYVDDKLFPAWLGILLAPTEAARAEKVGDTLAALAQLELAAAECLDGGKRPFFAGDSIGFLDLAVGCNMFWMEALRRMFGVTFLDAGKTPLLAAWAGRFAGTEAAAAVVPDPDDAVAFARKLQAKYGSAPAPAAN >SECCEUnv1G0535940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:61542836:61544884:1 gene:SECCEUnv1G0535940 transcript:SECCEUnv1G0535940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRLLLWLLVAAAALLLVASAKKSGDVSALQIGVKYKPASCSISAHKGDRVKVHYRGTLTDGTVFDSSYERGDPIEFELGTGQVIKGWDQGILGMCIGEKRKLKIPSKLGYGDQGSPPTIPGGATLIFDTELVAVNGEPSSKSDEDDADSDL >SECCEUnv1G0528630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:5381128:5383813:-1 gene:SECCEUnv1G0528630 transcript:SECCEUnv1G0528630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLIWNPGFDFDDKILGFIKGYKRTFNLACIDHRGTPQHPARTCTLESQDEAICWGIAYCVKGGLEKEREAMQYLERRECEYDQKISVDFYKDGNSLEPAVTDVLVFVSTPDPVGNKYYLGPAALEDMARQIATASGPNGYNRDYLFSMEKALSNISHEDDTIIELADEVRKVLSRSTEKKVTGSDMPLKSHTPVVHISALPEGTVAVST >SECCE7Rv1G0467760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:90884055:90886691:-1 gene:SECCE7Rv1G0467760 transcript:SECCE7Rv1G0467760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCVNLSRAVAAARRPGFAAAAAGGHGRSVVALSSSRRRTAADGGVSCGIANGYLGGLSGGSRPPSLPVHGKSSGPGSAREAGDQDHDHADGLGIQEFLGGKNFLITGGTGFLAKVLIEKILRTNPDVGKIYVLIKAKDSETALQRLQNEVVDTELFKRLQDVHGEDYHGFIATKLVPVVGDVREANIGIAPELADEIAERVDIIVNSAANTTFDERYDVAMDINTVGPFRIMSFAHRFRRLKLFLQVSTAYVNGQRQGVVLEKPFRLGDTIGKGSAGSSDSSEQHKNAVLDIEAEIKLAFGSRRGVDDDSASFSQEMKDLGLERAKLHGWQDTYVFTKAMGEMVINSMRGEIPVVTIRPSVIESTWRDPFPGWMEGNRMMDPVVLYYGKGQLSGFLADPAGVLDVVPADMVVNATLATMAKHGRAAEGGMHVYHVASSTVNPLVFGDLSRFLFQHFTRSPYSDAAGQPIAVPPMRLFDTMEQFASYVETDALLRSTRAGVPAGERLSQRLQELCAKSVEQTIHLGSIYQPYTFYTGRFDNGNTEGLMAEMSAEEKAAFHFDVRSIDWTDYITNVHIPGLRKHVMKGRGIAAESPPSSTVLTATSTSTV >SECCEUnv1G0549090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:197038901:197039992:-1 gene:SECCEUnv1G0549090 transcript:SECCEUnv1G0549090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKERQRWRPEEDAILRSYVRQYGPREWNLVAQRMNVPLDRDAKSCLERWKNYLRPGIKKGSLTDDEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREIRDSRRPPPEPSPDERGRYEWLLENFAEKLVKERQQVGVGATPLHHHLMAAPMLPPWMSSTAANGAAVSPAPPSPSVTLSLASAVVPPPTAAPWMQQQQQMAEDGAAFGFARPPPAPGMVADAPQAALAELAECCRELDEGHRAWAAHRKEASWRLKRVELQLESERACRRREAAEEFEAKMRALWEEQAAAVERLEAEYREKVAGLRRDAELKEQKMAEQWAAKHARLTKFLEQVGSSCRRWPPGEMNGR >SECCE2Rv1G0117090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:769840768:769842097:-1 gene:SECCE2Rv1G0117090 transcript:SECCE2Rv1G0117090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLQPVHSFIAIAVLAAVIHPCASVEFHRKLSSWSNAGATWYGAANGAGSDGGACGYKSAVDQPPFSSMIAAGGPSLYASGLGCGSCYQVKCTGNAACSSSPVTVVITDQCPGGPCLEEPHFDLSGTAFGAMAKPGQADQLRAAGVLQIQFTRVQCNWAGVKLTFVVDAGSNPSYLAVLVQYQNGDGDLSAVELMQSGAAAAWTPMQHSWGAVWKLNAGSALQAPLSLRLTSSSGKKLVASNVIPLGWKAGSAYQSAVNY >SECCE1Rv1G0058860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:702088699:702090060:1 gene:SECCE1Rv1G0058860 transcript:SECCE1Rv1G0058860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGEGEGGGGGEHGSTVRRDDDAPSVSTPPLPAIVPVASEDAKKKQRVEEEPTAPSLPEGALVEILSRVPYRSLCRFKCVSNPWLALCSAPDIRKRSPQTLSGFFYYDKPDGLSFCNLNGRGPPLVDPSLPFLRKTYRLISVRQVCAGLLLCSCSNSSRQQSFWNWISSLDESCYVVCNPATEEWTVLPPVGYPEGDPIPFLGFDAAVPSRFVVFAPRPNMFSDHDSAEVAIYSSETGRWTHLQSGWSPDPLVNGSRYTQVFLNGTIHLRGFGPRLATVDAEGKVWRVITMPGDSSKTCDVGQSQGRLYAWQIDNSHDCQLYIWVLEDYGTGKWTLQHTINVLELFGRNCREDGDSYVMFAVHPDCNMIFLTDDKNMTLSYDIDNLKVTVICTEGMRGLPYTPCFAELPSAGH >SECCE6Rv1G0423120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:693935282:693939839:-1 gene:SECCE6Rv1G0423120 transcript:SECCE6Rv1G0423120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPPKLLYIAVADGGGRRAFRYTRPVLQSTLQLMGCKARHAFKISKRVFSVMRSEFLDASRSDRAVKEENASSMGIREDAKMLNTEIPDASSSSLPFELYKTQTTILVSRERFLNIVCDALSSYKYVGPNQKADLLLACRIKEKKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVVSTDSIRHMMRSFADEKQNPLLYASTYHAGEYLDPVAVAKSKAKRQAKKLAMVSNPNTNEGQDNTSDVKSRHGSVLPPRTELIGSKQMAIEGFKAQSEMVIDSLDRLITSWEEQKQSVIVEGVHLSLNFVMGLMKKHPSIIPFMVYIANEEKHMERFAVRAKYMTLDPAKNRYIKYIRNIRAIQEYLCNRADKHLVPKINNTNVDQSVAAIHATVFSCLRRREVGEQLYDLNTNTVPVVDEEYRSQRAANSLGSKGMFQFIQRKGSSRNLMALLNPDGSVTKAWHVDSSDGNANGSTISGRSVGNRMVNPSQIGKAESVNLQFGSFGISAWLSDTGGGTSHAGSVDDLRADGIETGGRYFSSCCSSPKTSDCASKEHMEDYSVYGSEEEADDPPDAETDEDLTDEERDVHEIEAGSVDEHSTKSDEEYDDLAMQDVMENGNCSDDDEQAAGYGTRRSPPMDESILGADDAVVEGRYHHNLDLFTMSKEVAATKMPCA >SECCE6Rv1G0395740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:339617887:339618364:1 gene:SECCE6Rv1G0395740 transcript:SECCE6Rv1G0395740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLETHESNFIKPKIISKATLHSPKISSPPWSPAARPTASTVSFLQRCLLCHKDLAENNDIYMYRGDKAFCSVECRFRQIFIDEDAGSSFCAKGASTAAVRSGRRATASGGGVSFAY >SECCE3Rv1G0206350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:908130030:908133777:-1 gene:SECCE3Rv1G0206350 transcript:SECCE3Rv1G0206350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor ABI3 [Source:Projected from Arabidopsis thaliana (AT3G24650) UniProtKB/Swiss-Prot;Acc:Q01593] MDASAGSSPPRHSQGDAPRRRGKGPAVEIRQQGEDDFMFAQDTFPALPDFPCLSSPSSSTFSSSSSSNSSSAFAAPAGVGGRGGEGSRGEPSEPAAAGDGMDDLSDIDHLLDFASINDDVPWDDEPLFPDVGMMLEDVISEQQQQQQQQPPAGHGAGERAAFDAAAGAGEDAFMGGAGTGSAADDLPLFFMEWLKNNRDCISAEDLRSIRLRRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQKKRPRVGAMDQEAPPAGGQLPSPGANPGYEFPAETGAAAATSWMPYQAFSPTGSYSGEAIYPFQQGCSTSSVVVSSQPFSPPAAHDMHAGAWPLQYAAFVPAGATSAGAQTYPMPPPGTVPQPFAAPGFAGQFPQRMEPAATREARKKRMARQRRLSCLQQQRSQQLNLSQIQSGGFSQEPSPRAAQSAPVTPPSSGWGGLWSQHAVQGQPHGQLMVQVPNPLSTKSNSSRQKQQKPSPDAAARPPSGGAGTPQRTGQAAASDKQRQQGARTAAAAPAAGDKNLRFLLQKVLKQSDVGTLGRIVLPKKEAETHLPELKTGDGISIPIEDIGTSQVWSMRYRFWPNNKSRMYLLENTGDFVRSNELQEGDFIVLYSDVKSGKYLIRGVKVRAQQDLAKHKNGSPEKGGASDVKAGAEDGGCKEKSPHGVRRSRQEATSMNQMALSI >SECCE1Rv1G0062120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718455472:718456950:1 gene:SECCE1Rv1G0062120 transcript:SECCE1Rv1G0062120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYDKTIATAASLAASLMLVRSLADELLPSEVRDAVSSALASLRSRMTWQHTIVIEETEGWCNNYVYDAVKAYLATRINTNHNMQRLRVSSADESEKMVVSMEAGEEMADVYQGAEFKWCLVTRQVKGDPDSGGGGAREDRSYEVSFHKRHKEKALKEYLPFIVAAAKAINDQERSLDIYMNQYGDEWSSIILQHPSTFDTLAMDTKQKRVIVDDLDRFTKRKDYYRRIGKAWKRGYLLYGPPGTGKSSLIAAIANHLRFDIYDLELTGVDSNSDLRKLLVGMTNRSILVVEDIDCTVELKQREEDDEEHSKSNSAAKKKAEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALVRPGRMDMHIHMGYCTTEAFRILANNYHSVDYHATYPEIEALIEEVTVTPAEVAEVLMRNDDTDVALHDLVKLLEFKKKEATEFKTESKQAEAQKDGTEKIKAESKQAEEKKDGNEIKNESVQVEEKKR >SECCE7Rv1G0470820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:124405921:124407360:-1 gene:SECCE7Rv1G0470820 transcript:SECCE7Rv1G0470820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASTSAAAAPATPTSTLICLEDGNDLFLDDDYSPAGGLADSRLAADDGLLLLDRDDEYAALMLSKECAGAGGGGGAWGDELDEWTKAARAVCVDWIVKTNARFLFSGKTAYVAVTYLDRFLAQRRVDRGKEWALQLLSVACLSLAAKVEEHRVPRLPEFRPDEYDFDSASILRMELLVLGTLKWQMIAGTPFPYLSCFAARFRHDERKAIVLRAVKCIFASIKAMSSVEYQPSTMALASILAARGGREGTTAPSLEEELKAILGSSWQQLHTGHVYSCYSVMIREEDGSMQSSREVASSGVSAAAHAGSPGTSVAMAMAADDNNAIATDSADNKRRRVHSPQPQRQ >SECCE2Rv1G0089900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:264114712:264119188:-1 gene:SECCE2Rv1G0089900 transcript:SECCE2Rv1G0089900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFYVTIPYGLVVLGGGVAGYVKRGSVASLAAGAGFGGALLLAGALSIWAFTGGHSSSLFATVLQTVCAAALTIVMGIRYMKTRKVVPAGIIATISALVLIFYVYKISNGGNEVYFPVSAE >SECCE3Rv1G0179190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:530200839:530202129:-1 gene:SECCE3Rv1G0179190 transcript:SECCE3Rv1G0179190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPCKPHKLIRNSSSNTCRFASRASPTAWLPQRGQGKRPGLGLVGTHHTLLSTTARPVCVQTFSTRSILPSDSLPLRLSVPSGLPRGKEERQAAQTNQAMSLAPSIPSIKVKVGRVVPPPPYRACRSFAVIRSSKAEGPRRPAAPPLSPPPPMPPKTPALSTPPSLSQPPTPVKPAEPSPPPPKTKPAVAAATPPVGGVVTFEYQRKVAKELQEYFKKKKLEETNQGPFFGWLAKNEIANGRWAMFGFAVGMLTEYATGSNFVEQMKILLSNFGIVDLD >SECCE4Rv1G0278690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:810084770:810085132:1 gene:SECCE4Rv1G0278690 transcript:SECCE4Rv1G0278690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDELTSSFRAVTMDDSFAAAAAAVPVAPVDHDEEACTLRVRVPDGRMTCKSFGAGRPVTALFGYCQSVLAAGYDGTVGTRPFRLVRLAGGATEEIRPDESPLRDLGLHHCTVHLVFCA >SECCE5Rv1G0341660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:626861198:626863372:1 gene:SECCE5Rv1G0341660 transcript:SECCE5Rv1G0341660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASSNAVKSLVSKLGSLLAQEYALIQGVRDDIQYINDELASMQAFLNRTKRASSHNEQRQDWMKQVREVSYDIGDCFDDVNHRLGREPRGSGALVYFRRKWYLLTTLYARRCIATEIRNLKVRAQHVSDRRTRYGVENLTDKDGGDGGSDAGDPTDRLTPPLELIGTKKPVGVEHAIAELGGWFEKAKLDTQAHKKFLAIYGFGGLGKTTLAEELYTKFGDDYDCRASVQASQKFNLVMLLGSLVNQFQEQQAGASQPVSHDKIEELGQKGLKDMLGDQLKNKRYFIFVDDIWSVSAWEDINDSLPETNGTIMVTTRFKSVAVACCRRNGLLHDHKPLLEENSYELFRQIISDFSDSPTKSASDGQIIIGASVNLTGDERALLKKCGGLPLAIIVVSGLVASKLRSGTTTKTLDEHLQELNKALSGGLGTHLSTEGVKTILNQCYNDLPADLKTCLLYLSMFPKGIFISRKRLIRRWIAEGFIIEKHGRTVEEVAEDYFSELINRNLIRAVNNSSNGKVKNYQIHDMVLEYIVSKSSDENFITVVGGHWQTPFPSYKVRRLSVHRSAREERRMVETGEDEAVTCPVSDGV >SECCE6Rv1G0426730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:715669248:715670731:-1 gene:SECCE6Rv1G0426730 transcript:SECCE6Rv1G0426730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFDHSAYLAFEPTVSTHYKVFLVPCVPAASELHGDDGDVLLELEWPPELYVIHVFSSATRRWDKTTFIREGEAAGLVRNMHSDLLYNLYHYHAVYSQSTLYIHCEHGYLMRMCLSDDTYIVIKLPGVSEIALKGYPEYHLGRSLRGVYCAISHNRSPLLELWHLNESCSQIEWVLNHDTALKTFEHEDYAQQLDRQWILQDVNYHKSLFERNGNSAHEIYRATVEENYDWNSDEDNILDIEYDVEEGYEGNYYFLGFHPYKEIVFLISARRKTRVLAYDWNSSKFQDLGNVCSAYYDLVCGLPFGETDAAFPYTPCWIGEFPGNELESLFEDKELSRKKLELEEKSNLTCMDVYGMHKFGRAKTIKDSATKNRRRQQ >SECCE4Rv1G0261030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700076655:700077744:-1 gene:SECCE4Rv1G0261030 transcript:SECCE4Rv1G0261030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFGVFVCLLIVLPQILAISSPDNEIAPLKTCQFPCMTEVNLHLFLHQFIDGPNNPNRNEETLSVTSFPFGFGTTVVHDWTLTETTNSRDTVVARVQGVHVQAGLTKPNRWYMTHNIEFQQGRFAGSTLQVMGITAGLESGQWSIVGGTGQFIMAQGIISFTNHPASTFEDGIKELNIRVRFTRDITQAA >SECCE4Rv1G0223620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:72145868:72147643:-1 gene:SECCE4Rv1G0223620 transcript:SECCE4Rv1G0223620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHRRRSCLRRVLTIAGGVSAGLLLLAGGGTYAGVPLFSPSLLPLGPGADCSPPFAPPPFALSPLPPYLLSDLEADASPPQPETNLPRRLLPIHRSPPPPPCLPSNSESGADRSPPQHDDADAVLLPDGEILLLADAEPAGAKATCAFQGGASSPASALGSLPGSGRHAYVCLMPEPARSLQPLQAPLLLPTSAASASASADCPGRTSLLNWSDRIAFTSATLDSGHVLVFAKGVNHAAGGVQCIYRHCGHGVVASFPAITSVQQVTRCPAPPMQNTELRVTVAATGEDPVPSIATYHRPHQSQGGLVVTPAPKNLICACTMVHNVSKFLREWVLYHAAVGVEHFFLYDNGSLDDFADQVAQLRSAGISISTVPWPWIKMQEAGFSHSAATHQGSCKWMAFIDVDEFIFSPNWIGSEKPSKSMLEAILPVDPDVGQVYLWCFDFAPSGQTSHPQEGVIQGYTCRRKIILRHKSLVLLAAVNHSLENAIHHFTLKDGFRSIWNLQARVNHYKYQAWSEFKQKFKRRVSAYVADWRDPINLQSADRAPGLGVDGVEPVDWAQRYCDVKDNLLQRLSARWFGNGLATLGSQDT >SECCE4Rv1G0247590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:562719954:562720607:-1 gene:SECCE4Rv1G0247590 transcript:SECCE4Rv1G0247590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKLPHLKNLEIELGSAVGFPLSYDIFSLVSFLDASPALEYFILRVKQHAMGHYPVVRDDDECLMRKVVLRHNRLRQVTITGFCSAKSLVELTVHILESTHLLERLTLDTTCGYDRRIYGTSGKCPNSKKIGQCWPMSKGAVEEAHRALKIASRHITGRVPLAVQFEVREPCTRCHTGNQ >SECCE5Rv1G0306130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:83907563:83908588:-1 gene:SECCE5Rv1G0306130 transcript:SECCE5Rv1G0306130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGGLLTGGYGGASSWKAGRVMRAAVAAFFNGYHCFSPVAAMLALPFSAAVLASQAAASSSSSSSGAVLRGVSSRLRGMFHAAGFPPSPFFALLNGKLSQTVFTYAATLPVALTALLLAKACVAGVLRADPAHDRRRGRAPRMSLPPCGAVARAYPALAATHLVGSFAMLSANAAAFSLLFLAFGAADLLGFTSRASTLALSAAGAIAYSLAVGVATVVCNLAVVVAAMEERCAGHAAVLRACVLIRGRVPTALALALPTNLGMAAAEALFQLRVVSQRRKAGRLAPGVAGEAFSIAYIHALCVVLEIIVSCMFYRSCRRSEADELRELEPEEKGDLQA >SECCE2Rv1G0120530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:799351290:799354121:-1 gene:SECCE2Rv1G0120530 transcript:SECCE2Rv1G0120530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARLLLPRATRATASSSALLQRPLDSFSRCFRSLGPPLPRPPPAVFPRHLSDAAFDAQALDNRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDSSLVANHSSVAEDIVMVNNGCLCCTVRGDLVKMLLKLVKQKGDKFDHIVIETTGLAKPGPVIETFCSDELVSKYVKLDGVVTLVDCKHAMQHLNEVKARWVVNEAVEQVAYADRIILNKTDLVDDAELEVLTNKIKLINGMAQMRKAKFGDVDMDFVLGIGGYDLDRIEAAVQLNENKETGHCHLGHEHGHHHDHVHDSAVTSVSIVSEGVLDLDEVNDWLERLVEEKGEDLYRLKGVISVNESTGRFVFQGVHSMLEGCPAKPWEDDEKRISKLVFIGRNLDEVALRKAFKGCLL >SECCE1Rv1G0013800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108474305:108475199:1 gene:SECCE1Rv1G0013800 transcript:SECCE1Rv1G0013800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVKVYGLAASPFVATVLLCLEEVGADYELVPLDMAAREQRTEHYLSRNPFGKIPALEDGELTLFESHAISRYVLRKYGGASAADLLRESSLEESAMVDVWTEVEAHQYQPAIANVVRQCVILPFIGGVRDQAVVDEYVGKLEKVLDVYEARLSSSPYLAGGFFSLADLVHFGFTYCLVAGTEYATLLESRTSVMAWWGRIMARPSVKKVAPLVHLGLKLSSSA >SECCE4Rv1G0267920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:738068383:738070217:-1 gene:SECCE4Rv1G0267920 transcript:SECCE4Rv1G0267920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRDLRLAMLLLFSAAVLLSAVPAARAQQETDHEEEFSYSLDAENGPAHWGDIKEEWSACGKGNMQSPIDLASPRVSLVRGLGYLNLSYVPANATIINRGHDIMLQFEGDAGSVSIDGTPYYLRQLHWHSPTEHSVNGRRYDMELHMFHESAHGKAAVIGVFYQIGAHDAFLHKLEPYLEMIADRKDREEKMGLMDPRGARGKASVYYRYVGSLTTPPCAEGVIWTIVKRVRTVSRHQLELLREAVHDDMEKNARPRQEVNSRDISMFRPFEQNRH >SECCE2Rv1G0115330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:749055565:749073301:-1 gene:SECCE2Rv1G0115330 transcript:SECCE2Rv1G0115330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMVCPYCDNLITLIFHSMMQIVFLVHPTSAQQSNGASRVVPAEGYCSMYGICANRSDGKVLNCVNATKAVKPDTLFSTRIQSLCPTITGDVCCTVDQFDTLHQQVQQAVPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSAKQVNNITTVDGIDYYITSNYGEQLYNSCKEVKFGTLNTRAMDFLGGGAKTYKEWLAFLGRQANPNEPGSPYLITYRPDLSDSSRVKPLNTTVYSCGDPSLGCSCGDCPSSSVCMGSLLPQSKTETSCSVKMGSLKAECLDFSLVVVYIVFLCAILLWGLLYRTRGRTGFPSETKPPKNADDKLHSNNNGNVPENSVQVPKAASSSIVQTYMSTFFRRHGVFVTRHPLLVLCASLLVPILLCIGLIRFKVETRPEKLWVSPGSRTAYEKQYFDSHLAPFYRIEQLVLATSASDQPEAPTIVNDNNFKLLFQIQKKIDDLRANYSGSTVSLADICLKPLSTDCATQSVLQYFQLDPKKHDDLGIDHAKFCFEHYSSEETCLSTFQSPIDPSTILGGFPGSNYTEASAFVITYPVNNKVETTGQENGKAMAWERAYINLVKEEILPMVLAQNLTLSFSSESSIKDELNRESTADAITIVISYIVMFAYISFTLGDRPSRLWALFVSSKVLLGLSGVVLVMLSVLGSMGFFSAVGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPDGIVLEERISNALVEVGPSITLASLAEVLAFSVSAINPMPATRAFSMFAAMAVLLDFLLQVTAFVALIVYDFRRAEDGRIDCVPCARLKSGTVAGDNGGHQRLHFVARYMKDIHGPILGYRPVKFTVIAVFVGLAFASIAMSTRLQPGLEQKIVLPRDSYLQGYFDDLEKYMKVGPPLYFVVKNFNYSSASENTNQICSINQCNSNSLLNEIARQSLSPETSYIAKPAASWLDDFLIWMSPEAFGCCRKFTNGNYCPPDDQPPCCQLDQDSGSCSSNGACNNCTTCFLHSDLHNGRPSTTQFKEKLPWFLDALPSSDCSKGGKGAYSTSLDLSGYKNGIIQASAFRTYHTPLNKQTDYVNSLRAARDFSSQMSKDLQMEIFPYSVFHIFFEQYLSVWKTAIMNICVCLGTVFIVCFLVTSSLWASAIILTVLAMIVLDLMGVMAVLGIQLNAISIVNLVMSIGIAVEFCVHITHAFMISIGDRENRARQALSTMGASVFSGITLTKLVGVIVLRFAKSEVFVVYYFQMYLALVLIGFLHGLIFLPVVLSLCGPPQKTMEPIEQSQTLPSNEQT >SECCE1Rv1G0040600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:562208973:562210505:-1 gene:SECCE1Rv1G0040600 transcript:SECCE1Rv1G0040600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRGSLLRLLLRVTAGATAHHACARIHPLLVKSGHASDTRLATALADGYAKSGLVTHARRVFDETPHRDLVLSNVMISCYSSHGLLLDSWALFASMRRSSGLSGDGFTFSALLSARVPPSSCSQHLGLLAHGLVLRLGLQLDLVVATALLDMYAKCGRVADARRVFDAMLLRNSVSWNAIIVCYGHHDGGKEALQIFVSMLRNDDGCCCRPDELTLASLLSSCANMAAAYEATQLHAYALKRGLQGFLQVANALIMAYGKNGFLQQATQTFATIHSPDIVSWSSMVSSFAYLGRAKSAIHVFERMLQQGVRPDGIAFLGVLSACSHAGLIQHGLKYFLLMMTDYQIDPCPQHLACLVDLLGRAGRVQDAYNILLNISCQTNTDVIGAFLAACKTRGNIELAKWAADRLLVLEPNEPINYLLISNAYAAAGAWSELAKVRSLMRNVCGNKVPGCSWIEIGGKVQTFVSNDILLQQSTNMRQMMEILVSFMEKESNDDILCKDSDFISERV >SECCE7Rv1G0491780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:538561558:538567986:1 gene:SECCE7Rv1G0491780 transcript:SECCE7Rv1G0491780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G13682) UniProtKB/Swiss-Prot;Acc:Q9LID0] MSPSPPPPPSGRPRRAASARPGSYDESLVDTELQAYLGNSPSRRIRRLRRLSPEERQRETETEALIALSLGFPIDALLPAEEAILADPDAAAPNDYIVVRNHILASWRADPRVPLPRARVLETVASSYDHLVAAAHGFLTREGHVNFGVSAAFPASPPADALQVPAASVLVIGAGLAGLAAARQLLRFGLRVLVLEGRARPGGRVYTSRLGGGQAAVELGGSVITGIHANPLGVLARQLGIPLHKVRDRCPLYHTDGGTVGTRLDRSIDLVFNTLLDHATRLREALKEAAEGISLGEGIERLRRLYNVAKSEEEREVLDWHLANLEFSNAGCLSELSLAHWDQDDQFEMGGDHCFLAGGNSRLVHALCDGVPVLYEKTVKRIEHGADGVSITVEGGQVFQADMALCTVPLGVLKSGSIVFDPELPENKLGAIQRLGFGLLNKVAMVFPSVFWDEEIDTFGCLNKESSKRGEFFLFYSYHTVSGGAVLVALVAGEAALEFEKVDPVVTLHRVLGILRGIYGPKGVTVPDPIQSACTRWGSDPLCCGSYSHIRVGSSGTDYDILAESVSEDRLFFAGEATNRAYPATMHGALLSGLREASRILRASESRVDSDHKKYALQKSLRPPDGILEDLFMEPDLEFSRFSFVFSSMTPDDPQSMGLLRITLGNPHLEGDQKDHEPAAEKEAHQKAFHLYAAISREQANQLQLAGDDDRGRLEVLCKDLSVKLMGYDSTCDTGNSLIVSIQSAQKARKRLQRPKDFKL >SECCE3Rv1G0190800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:743683630:743688184:-1 gene:SECCE3Rv1G0190800 transcript:SECCE3Rv1G0190800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRLVVPIDVKKKPWEQKVRLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVRDDDSADDIKFLDLTITHYLSGPLRIVDAEGVPASPGDLLAVEICNLGPLPGDEWGYTGIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSVELLNIWNERERKLIETGHESLKLCEVLHQRPLANLPTSDNCLLGKIQEGTAEWQKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPVGPTALHVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDIKPKRLGHGPKLRRLPDVLR >SECCE3Rv1G0200200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:851759639:851763082:-1 gene:SECCE3Rv1G0200200 transcript:SECCE3Rv1G0200200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFDLQGRHGKSRVRVSRVWRRPAEAGGHLFVEWSVAVSVVSDCLPSYTSDDNSAIVATDSIKNTVYVKAKECTEVVSMEEFAVILGRHFTSLYPQVSEATVTIVERPWERVAVDGKPHSHGFKLGSEKHTTEVTVKKSGILLINSGLQGYSLLKTTQSGFEGFVRDRYTLLPETRERIVATEVTAWWRYPFEHISQLPPKPFCFTQRYQDVKKVLADTFFGPPDVGVYSPSVQNTLYLMAREVLTRFPDIASVQLRMPNLHFLPVNLGGKENPGLVKFADDVYMPTDEPHGTIEATLSRANSKL >SECCE2Rv1G0134080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:899608317:899609705:1 gene:SECCE2Rv1G0134080 transcript:SECCE2Rv1G0134080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSLARTTGLWSLPTHPRMFFSLVFRVLPKLLGLPPSLLKKFLKYEHIHPPHMETEVGELPELPHELLVDIFALLDIPDLKRASSVCSSWRSAYTSLCNLGLYKRPQTPCLFYTSVSAGESDAFLYSLAEKRSYKLTLPEPPIRSRHLIGSTNGWLVTADERSEMHLLNPITCQQIALPSVTTIEHVTPIFNKAGALCKYHYSRHTYGPYGLCTDGPSILALGELRSYLHKKAFLFYDASAGGHIVVLIHNPCWQLSFARLGDDKWTWLPEHSYFQDCIYKDGLLYAVTAQGKILAFNLRGPVVTTELIMDIAKDFLDENIYIVQAPCGGLLQVWRTQEASEYVEGADPATEVTNTKKIKIFKVDTTAEKLVGIDTLDDHVLLLGRNQTLCASVEEYPHLKANHAYFTDDCEPYLFGWKNNRRDIGIYDLANNSCEELVSPQLWSNWPNPIWITPSLTRL >SECCE7Rv1G0470200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:117501379:117502323:-1 gene:SECCE7Rv1G0470200 transcript:SECCE7Rv1G0470200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVDKWNPAYSCHRISRSIYRFTPDCTTDHRVVTAPAGAAVSGNGGDEVWEELYGEAQADAQDEPLLAMFYSELVLSHPSLEAALAAHLSAKLCIPGALPQDALRDILAGALAAHPEASQHTRADLLAARDRDPACAKMVHCFLYYKGFLALQAHRAAHALWSEGRRPPALLLQSRASEVFGVDIHPGARIGGGILLDHATGVVIGETAVIGDDVSILHGVTLGGTGKACGDRHPKVGDGVLIGAGASVLGNVRIGDGAKIGAGAVVLRDVACGTTAVGNPAKPIGKKAAPSLRPEEQPGVTMEQRWSDYVI >SECCE1Rv1G0062490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719681439:719685431:-1 gene:SECCE1Rv1G0062490 transcript:SECCE1Rv1G0062490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEPTRAAVVVAARAAAREVPRAAAAWALAHVARPGDAVLILVLMPPPPPAASSGRRPWSFPFFAGGCASAHAAAPAQRSDVSDLCAQMTLTLRDLYDPAKVDLRVRIVAGSGPGAVAAEAKRARASWVVLDRDLKVEETRCMAELQCNIVAVRRSRPKVLRLNLACSTEEPAAPPPQPEPSAEDGVSEETASVRGPASCSADSETPSGSTEDAGASSSVSTSDPGAASPLSASSEVGIHISLKKEEAEDVGASSVPSSSEEPGTSPLCASEEAGVSPMKKKEEESEDVETSYVSNSTNPGNSPLCASETDSSSLQKEDTMDAGAHSVSSSTDPATSPMFASETDGSSPETEAFDDSTGASSAASSAIGPTTPACALETEMSPLKTEAANVLSDPDSEASAPTPPAASAAGSPSLEPWMADILQRPGASPRPTPVRRRTPTADALLEKIAKLDLLTEISAVRSRSDLNFRGNVRDVVSLSRTPAPGPPPLCSVCQHKTPVFGKPPRWFSYAELEHATGGFSRANFLAEGGFGSVHRGVLPDGQAIAVKQHRLASSSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEGKRRLLVYEYICNRSLDTHLYGRHRETLGWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEHAIDDLIDPRLEDRFCENEVYCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVESGGCISAPSSDAGSMSRRMLSDRLHYQEQGSPVRSDQRVGGVNRSLETTLRSAWDADEQGLSNRFWYPSAAAADCSQHQR >SECCE1Rv1G0009140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:52810529:52811221:1 gene:SECCE1Rv1G0009140 transcript:SECCE1Rv1G0009140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTLLFTFYFLLITGLVAADPSRGKAGKMVTMTVQFPPADSPPGEMITISMHYVREDGVHDSHVHLDCDHHEPQLGSAAKYLVRSLEKILDEEASPSKAYNMPSQWMDVLFELVSGKIRRDLLYYRSIVSARSSFARANNHSVY >SECCE2Rv1G0124070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:829445440:829448449:1 gene:SECCE2Rv1G0124070 transcript:SECCE2Rv1G0124070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPLCLVVVALLVAPGGCRGESGSQVYVVYMGAVPPRTSPDFLRQSHLRLVGTILKRGKLAQSVVVQQYKHGFSGFAARLSKDEAAALRHKPGVVSVFADPVYQLHTTRSWDFLQQTDVKIDSAKRRSPRPTAASTSAPNTDTIIGLLDSGIWPESPSFDDAGFGPVPSKWKGVCMAGDDFNSSNCNKKLIGARYYDLGEVGSGRTRGSSGSPRDAAGHGTHTSSTAAGNAVTGASYYGLAQGTAKGGSAASRVAMYRVCSDEGCAGSAILAGFDDAIGDGVDVVSVSLGASPYFSPDFSEDPIAIGSFHAVAKGVMVVCSAGNAGPDASTVVNAAPWIMTVAATTIDRDFESDVVLGGNSSAIKGGAINFSNLDKSPKYPLIAGASAKSSSASSTSDSASHCEPGTLDASKIKGKIVLCNHSQSDTSKMVKADELQSAGAVGAILVNDFGRAVTTAYLDFPVTEVTSASAADLHKYIASTSEPVATITPTITVTEYKPAPVVAYFSSRGPSEQTGNILKPDVAAPGVNILASWIPTSSLPAGQKQPSQFNLVSGTSMACPHVAGAAATVKAWNPTWSPAAIRSAIMTTATQLNNDKAPMTTDAGTAATPFDYGAGQVNPTGALDPGLVYDLAADDYLSFLCNYGYGASQIKLITSPPAAFSCAGNASKDLISDLNYPSIAVTGLAAGASRTVTREVTNVGAQEEATYTVAVSAPAGLDVKVVPSKLQFTSSVKKLAFQVTFSGKDTSAKAALTGSMTWSDGKHTVHSPFAVSS >SECCE2Rv1G0137620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918702460:918703171:-1 gene:SECCE2Rv1G0137620 transcript:SECCE2Rv1G0137620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASASLLAGTKRSADAAPDDAELPLAQEGAVTKKNGQSQQQQQKLECPRCSSSDTKFCYYNNYSTAQPRHYCHTCRRYWTQGGTLRNVPVGGACRRGGSNKRRRTSAEPQTTSSDSPQLDMQETRALSDHPLPVFPFLTDGDPVFLPQFDLGPGGIPWAPAATDHLYDRLAAPWGGCDRALAPTGAWDDFGGLELAWPPPPPAGN >SECCE1Rv1G0006500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:34526374:34533608:1 gene:SECCE1Rv1G0006500 transcript:SECCE1Rv1G0006500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQAASDDNLPLFKALVRVLDKGRGRPKEAVEELRVEEAGKLQGFSALHIAASRGSLNVCRYLVEELLIDVDLVDKEGRTPLLFATYHNGGTAEYLLDHGADQDKANNDGISLLHYAAKSGNCEMLELLLAKGAYVDPASPYGTPLHGAAGEGQYGAVKILLDHNADYNKMVNGVTPLMAARDANSTECIKLLVKVQEEPMLKREIVASELISLGSISLKKKDYAVAAKLYSRAMQLDPDDAVLFSDRSLCWLHMGDGRKALLDANKCRKMRPHWPKACHRQGEALMLLKDYEGASERFLDGLKLDPVDTDIEDALREAMKSLKTSRSTKAR >SECCE4Rv1G0260370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:695227368:695228591:1 gene:SECCE4Rv1G0260370 transcript:SECCE4Rv1G0260370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWEWSERGSVKRPTAPPVASLGAELLGKILRRLPDMASLASAARVCKRWGRVASDPAVFRRFGSLRRPPLVGVLVTDRGREKFPLYCSEICFIKAPSRNNPELASAAANGDFYFMNHPDIDDDDEWRLRGCDGGFLLFSLGRYSTDLAVYDPLDRTAVFFKPPHYFRPFRYAIVADEADASFKVIAIQPDPWGDDASVVFSSRTRSWVENGSVCYRFSWPYTDGIAAGRFVYWRSNTKKDCYCEAKEEILVVDTKTMAWSYMTAPVQVGESYCVAHMAEHGGLCIVSSKEQRVLLWVRDTNGGWVVKQEVSLLNQFPYLKKLRRDQWMKRVRILAMRAGYVYMEFWSIRKTDSYLLVLNLNTVKLEMFLNNGLNNEDRPYRGPAFPFFLRMAPLPAADDEELQDA >SECCE1Rv1G0035710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:500407765:500414897:-1 gene:SECCE1Rv1G0035710 transcript:SECCE1Rv1G0035710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKPQAIWAASLPLFLGRRLLPKSSPSPPPSGRRLPFRPICKRRMSAQAEPRFAPLPTAQSEPDAGADGYQFRLVSYNILAQVYVKSAFFPHSPSASLKWKARSKAVLTELKSFNADLMCIQELDEYETFYRKNMESTGYSSIYVQRSGDKRDGCGIFYKPKSVELLQKEVMHYNDLVETCHLNDNVISAPSNNSSPSEESSGKEDNKKRGDPNDPRVRLKRDCVGLLAAFKLGDPCEHILIVANTHIYWDPEWIDVKLAQAKYLLSKVSEFEKIIANKFTCKPSVIIAGDFNSTPGDKVYNYLVSASSESTDEALIKLRSLYAENGGEPEFTNCTPGFTGTLDYIFLSDGGSIKPTSLLRIPRGGSPDVEGGLPNFHHPSDHLPIGADFQVLSS >SECCE6Rv1G0414140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:630026319:630026675:1 gene:SECCE6Rv1G0414140 transcript:SECCE6Rv1G0414140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFAPICIYLVISPLVSLIPLGVPFQFASNSSTYPEKLSAYECGSDPSGDAKSRFDIRFYPVPILFIILDPEVTFSFPWAVPPNTIDLFGSWSMMAILLILTIGSLYEWKRGASDQE >SECCE2Rv1G0124650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833572972:833576072:1 gene:SECCE2Rv1G0124650 transcript:SECCE2Rv1G0124650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPPSQPQPGMGAPMPPQGAGGQPPHWGAIPPPMPHYAQPPPQQQPPPQAMWGQPPPQAAPYGQVPAPQQYYAAPQAPAAPAASDEVRTLWIGDLQYWMDENYIYSCFANTGEFQSVKLIRDKQTGQLQGYGFVEFASHAAAERVLQTFNGQMMPNVELAYRLNWASAGEKRDDTPDYTIFVGDLAADVTDYMLQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPTEQARAMTEMNGMPCSSRPMRIGPAANRKTTGVQERVPIPNTNTQGAQSDNDPNNTTIFVGGLDPNVTEDALKQVFAPYGEVVHVKIPVGKRCGFVQYANRPSAEQALQLLQGTLVGGQNVRLSWGRSPSNKQTQPQEASQWGAGAAAGAAGGYYAGYGQGYEAYGQGYAQPQDPNMYGYGAYAGYPNYQQPAAAPQPPPPQQVKFPIYISANLSS >SECCE4Rv1G0250130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:589567791:589573728:1 gene:SECCE4Rv1G0250130 transcript:SECCE4Rv1G0250130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKRTPAGEEEAEKEYEVDVEPNRWRSSRDSRLELMGRLPPLRRHSRVPRAGADGEGGFLRGLVIHPDNKFYRSWTRFIVAWAVYSSFLTPFEFGFFRGLPKKLFFLDIVGQVAFLVDIVLKFLVAYRDPDTYRIVYNPSSIALRYCKSSFIFDLLGCFPWDAIYKASGRKEELRYLLWIRLTRVLKVREFFTDLEKDIRVNYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDYSYSHFREIDLATRYVTSMYFAIVTMATVGYGDIHAINVREMIFVMIYVSFDMVLGAYLIGNMTAMIVKGSATERFRDKMKEVIRYMNRNRLGKDIREQIKGHLRLQYESSCTEASVLRDIPVSIRAKISQTLYMPYIERTPLFKGCSEEFLQQIVIRLQEEFFLPEEVILEQGSAVDQLYFVCQGALEGAAVGEDGQETILMLEPGNSFGEIAILCNIPQPYTVRVCELCRLLRLDKESFAHILEIYFADGRKLLSNLTESNEYGKRVKHIESDITFHIGKQEEELTLRVNNAAFYGDLHQLTALIRAGANPKNSDYDGRSPLHLAASRGYEDVVKFLIHEGTDINLTDKFGNTPLLEAVKHGHDRVATILFSKGAKLNLENAGSHLCIAVSKGDSDFIRGALAYGADPNSKDYDHRTPLHIAAAEGFYIMAKLLVDAGASVLATDRRGTTPLDEGHKSGSKPLILLLEQAKDDELSKFPTRGKEARDKMHTRRCSVFPYHPWNTDAKRKEGVMLWIPHTINELIGSAQEKLGLSSSCRRLLCQDGAAVQDVDMINDGQKIYLVGDKDAGDSE >SECCE2Rv1G0091150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:308519870:308523999:-1 gene:SECCE2Rv1G0091150 transcript:SECCE2Rv1G0091150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWYRKSISDKAPARTETDSSASCPSETLTDEQDTLKSSPKSPEVASKEAQDKSNVKVKVLSERLSSVVSDIRAKDDLVKQHSKVAEEAVLGWEKAENEMASLKAQLNAATDKNSTLDGALKECVRQLRRAKEEQDQKVQGALALQSRQWESDKTDLELRIVELKAKLEAKSERSVSSDGDASSRLASLEKENSALKAQLLARTEELGLRTIEKELNRRAAEAASKQQLESIRKAGKLEAECRKLQATARRPSFSSDLRRTPSSLCAESVTDCQSDSWASALITELDQYKTETRSASLATAVDIGAMDDFLEMEKLASANGAVSRSNYAEDTGGQLVKLEEKDKALHEAQRELRACRHRAMVAEERSVEMQRQLNLVNGEREAEMQDAERKRNDLEGRLELAHGEITSLLDKGRILEERMDSEKALTLELAAKYQQMDALESETKELRAQLESDARKYSDKITLLERRLVEKCRAVEALEAKIKGAEIELELAGQEIVSFQKKVRGLEQQHKAMSVETAKRCHDLQALEAERNELTSRLQAASSDIFALNDKVDMLEETLEKQGPLIAQLESQLKSAQAEIMSFKENAGLMEMKLETQKNLSSAYITALDASEAQKKKMTSRLELKEKEAEGKIYLLEEQILKESSEFAVQCHDLKQELCTRAPGHQPKPMELKPMASTDLHNTKEKELARAAGKLADCQETIASLNAQLKTLSDFDKFIIPEVENHDITLAESWDGDLKLFDSAQLGCLAVT >SECCE7Rv1G0515600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:840187730:840188164:1 gene:SECCE7Rv1G0515600 transcript:SECCE7Rv1G0515600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGAGPPRLLSSRESIHPLSVYGELSLEHRLRFVLNGKMEHLTTHLHRPRTTRSPLSFWGDGGIVPFEPFFHAFPGGLEKAAINRTFLILPSFQEEREILFP >SECCE2Rv1G0071610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:46117191:46122329:-1 gene:SECCE2Rv1G0071610 transcript:SECCE2Rv1G0071610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSVALYNQLKAAQPFFLLAGPNVIESEEHVMKMAKHIKAITTKLGVPLVFKSSFDKANRTSSKSFRGPGLEQGLKILEKVKAAYDLPVVTDVHESCQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFELLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLNSPCDGPTQWPLRNLEELLEELIAIARVTKGKKPLKIDLTPFKE >SECCE6Rv1G0391060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:205920114:205921675:-1 gene:SECCE6Rv1G0391060 transcript:SECCE6Rv1G0391060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKMALGIKRASRSHAYHRRGLWAIKAKHGGAFPQAEKPAAVAEPKFYPADDVKPRTVSTRKPHPTKLRSTITPGTVLILLAGRYMGKRVVFLKQLKSGLLLITGPFKINGVPIRRVNQAYVIATSTKVDISNVNVHKFDDKYFAREKKTRAKKTEGELFESEKEATKNLPDFKKDDQKAIDVELIKAIDAVPDLKSYLGARFSLRDGDKLHEMTF >SECCE1Rv1G0062330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719367632:719368339:1 gene:SECCE1Rv1G0062330 transcript:SECCE1Rv1G0062330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHFTTVLASLLMVAGLAGTAAGVRFQATNNASTTPGGQRFDEEYGVGYTVQVMSEASYFTWKIFNQPAPADRRAAMDDRVKLVVNAINTNILAFERDSESSITLNAGYVNNITGDVRTLVTGLLYHEVTHVWQWGQQDTNQTHSWIYEGVADFVRLRAGYAAPYWVQPGEGSSWDMSYSVTAWFLDYCDQLRPGFVAVLNERLKDGYSDDDFLQIMGKPVQELWRDYKAKYGG >SECCE4Rv1G0214410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:166792:171256:1 gene:SECCE4Rv1G0214410 transcript:SECCE4Rv1G0214410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVASMLAEMAKEEVAMLIGVSNGIEDLSIKLRDLKSFLADADRRNITDESVRRWVGELKRAMYLATDILDLYSLMERSGVVGEKIEEDTRALVEVLISEWGGDKTGLHMVVAIVGVGGIGKTTLGKKVFNDEAIKGKFTKKIWLSITQDFTDVELLSTAITAAGGDLPGGSGALNRALLVSALKNAIENKKFLLVLDDMWEIDAWNKLLMTPFGYGGPGSRVLITTRHETITRSMKAAHCHHVDKLGREDAWSLLKNQVLTTEENGHEVDMPKDLGLQILAKCDGLPLAIKVIGGLLCSKEKSRRAWEDVLNDDIWSVSPTSDELNYAIYLSYQELPPYLKQCFLHFSLIPKKSKLSIRVIVSMWICEGLVQGGSANLEEEGKKNYKELILRNLIEIDPLFPSQLFCTMHDVIRSFAQFVARDETLVGHNGDTTKTNLRSSDFLRLSVETRGVGSDEFQWRYLRDQKFLRTLILTGNLKIQPGDSLVNFPSLRLLHIESANIGALVECVHQLKHLRYLALRKTDICKLPENIDEMNFLQHISLEGCECFMKLPDSIIKLQGLRFLDMDDTCVNTIPRGFRALTNLRVLFGFPAYTDGDWCSLEELGSLSQLNSLSLESLENVSSALLATKARINAKKQLTYLGLKCGGRVGDGLVQGEVSDSEEQIIEAVFDVLCPQPCIEHIVLKRYFGRRLPGWMASTAMVPLESLKILALENLPCCTQLPDGLCRLPYLEWIKVEKAPVIKRIGPEFVQQYNQLHRSSSQLAASFPKLQKLSFFSMDEWQEWVWEAEVKSMPLLEDLRITSCKLGRMPPGLMSHAISLKKLTIWSVQGLHSLENFVSVVELDLYDIPELVKISNLPKLQKLTIKYCPELEKLKDMDALRRLQLSIFNYESQLLVYLQTVKPSHLLLTCNLEVLTSMAEGESSSDWDKFSHIKHVEAYAEDGEDEKKWHVFYTSESCNIQTNIHQDRLVEEED >SECCE3Rv1G0186440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:684973194:684973604:-1 gene:SECCE3Rv1G0186440 transcript:SECCE3Rv1G0186440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCEUnv1G0562250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:363988389:363988859:-1 gene:SECCEUnv1G0562250 transcript:SECCEUnv1G0562250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRVIGQRKILPDPKFGSELLAKFVNILMVDGKKSTAEAIVYTALETLAQRSGKGHLEAFEVALDNVRPTVEVKSRRVGGSTYQVPVEVRPVRRNALAMRWIVEAARKRGDKSMALRLANELSDAAENKGTAVKKREDVHRMAEANKAFAHYRW >SECCE4Rv1G0280230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:818955917:818959221:1 gene:SECCE4Rv1G0280230 transcript:SECCE4Rv1G0280230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPVEVPVAAPVRTVKVTNVSLSATEQDIKEFFSFSGDIEHVDMQSGDEWSQVAYVTFKDAQGAETALLLSGATIVDLSVIIAPAPEYQPPVTASAPLSGTRVPVGGDNVVYKAEDVVSTMLARGFTLGKDAVGKAKSFDERHGFTSTATAKVASIDKKIGLSEKFTLGTTVVNEKVKEMDQKFQVSDKTKSALAAAEQTVSNAGSAIMKNRYVFTSASWVTSAFGKVAKAATDVGTMTKEKMSAEDQQKGSGGSSYTPIR >SECCE6Rv1G0377260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:883498:883922:1 gene:SECCE6Rv1G0377260 transcript:SECCE6Rv1G0377260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKLGVAAIAALCVLLLVLPGQVAAKSKFCECYEDCYRQCRHHILRFACVPFCSNKCSPNQAVAAAGGDRDRCREACANKVKICGQSDPSEDECERGCL >SECCE1Rv1G0053630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:670437429:670445888:1 gene:SECCE1Rv1G0053630 transcript:SECCE1Rv1G0053630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPMAGDGPIAAVAPRTPPPPSASAEPASGSGSGSGGAAGSAAEAPVLIFVYFHKAIRAELDRLHAAAVRLATERGGDGDVAALGTRCRFLFSVYRHHCDAEDAVIFPALDIRVKNVAGTYSLEHKRESDLFAHLFSLLQLDVHSDDDGVRREIASCAGAIRTFITQHMFKEEEQVFPLLITKFSYEEQADLVWQFICNIPVNMMADFLPWLSSSVSPDEHQDILNCLHKIVPQEKLLQQVVFAWIGGKEVTVAHDFDNSCSKGSNRCEDISHQTDKKICSHENCKIGKRKYAESNHSQLVTHPIDEILYWHDAIRKELSDIGEETRKIQRSGDFSNVSAFNVRLQFIADVCIFHSIAEDQVIFPAVDGEVSFEQEHAEQEQRFNKFRCLIEEIQTAGARSTAVDFYSELCSQADQIMEEMEKHFNNEETKVLPQARINFSPEKQRELLYRSLCVMPLKLLEQVLPWFVLKLDDANGQSFLQNMFLAAPSSETALVTLLSGWACKGRLKDTSNLGKFICLPSGAQGCLLDGDELKNCQSFCPCSLASNGTFSVPQTENASRPVKRGNHAESITNRNHCSQTTDIEESRCSKKPCHIPGLRVESSNFGAELFTSVNSFRSLSSSYSAPSLHSSLFSWETDTTFSSPDSISRPIDAIFKFHKAIRKDLEYLDVESGNLIDGDESCLRQFVGRFRLLWGLYRAHSNAEDDIVFPALESKDALHNVSHSYTLDHKQEEELFKDISIILLELSHLRDDSAHPIDEIDEAGKGHICSYSEIDWSRKHNELLTKLQGMCKSIRFTLSNHVHREELELWPLFDKHFSVDDQDKIVGRIIGSTGAEVLQSMIPWVTSALTLDEQNKMMDTWKQATKNTMFDEWLNEWWKSSPTSSGPSNEASSSLSEESQENLDQSDQMFKPGWKDIFRMNQSELEAEIRKVSQDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPRSEDHNGSTVIPGCFPSYRDPEKQILGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHAMERKATLEMMCMLCMKVQPVGPNCQSPSCNGLSMAKYYCSICKFFDDERSVYHCPFCNLCRLGEGLGTDFFHCMKCNCCLGLKLKEHKCREKMLEMNCPICCDFLFTSSAAVRGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEELPEEYRNRCQDILCNDCGRKGLSRFHWLYHKCAACGSYNTRVIKTEAPGCSTSN >SECCE2Rv1G0098990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:504479738:504483257:-1 gene:SECCE2Rv1G0098990 transcript:SECCE2Rv1G0098990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPLDPCNKPTSQRRITEGDTVVVYERHDSMRAVTVSAAGVLQNRFGVFRHADWLGRHFGSKVFSSGGVGGKGGRKAGGGFVHLLAPTPELWTLVLSHRTQILYIADISLVVAYLELVPGCVVLESGTGSGSLTTSLARAVAPHGRVYTFDFHDQRADSAREDFEKNGLSSLITVAVRDIQGEGFPDEYSGAADAVFLDLPQPWLAIPSAGTMLRQDGVLCSFSPCIEQVQRACETMRSCFTDIRTFEILLRTYEVHEGALKSATANEASSEGSSLPGKKRKVRSAGEALDSTQTSSVIARPCSTARGHTGYLTFARKSVHGSQTGATEVCPTS >SECCE7Rv1G0468400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:97483232:97485994:-1 gene:SECCE7Rv1G0468400 transcript:SECCE7Rv1G0468400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEFQDGKEEVIQAWYMDDSEEDQRLPHHREPKEFIPLAKLSELGVVSWNLNADNWEKDENLKKIREARGYSYVDICDVCPEKLPNYEAKLKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNEQWIRIAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPIWTPYNRPHDHLPARKEYVDKVINRGGNQTVEAR >SECCE4Rv1G0265570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726249945:726255188:-1 gene:SECCE4Rv1G0265570 transcript:SECCE4Rv1G0265570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase beta chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G34030) UniProtKB/Swiss-Prot;Acc:Q9LDD8] MLSRLAARRPRPGRARAVAAAAYRSSAAASSSVLPDALDRSSDAFARNAAAVGGLLSDLRSRVSQVLGGGGAGAVKRNEGRGKLLPRDRIDRLLDPGASFLELSQLAGSDVYEEALPSGGIITGIGPVHGRLCMFVANDPTTKGGTYYPITVKKHLRAQEIASECKLPCIYLVDSGGANLPKQAEVFPDRDNFGRIFYNQAKMSADGIPQIAVVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKISGVSDHFAQDERHGLALGRNIVKNLHLAAKETSVQNSACDYQEPLYDVQELRSIAPADMKQSFDIRSIIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGTHFIELCAQRNIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCSKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFMWPTARISVMGGIQAAGVLAQIEKNNKKRQGVEWTKDDEEAFKAKVVDAYDKEGSPYYSTARLWDDGIIDPADTRRVLSLCLSASAKPVPEDTKYGVFRM >SECCE7Rv1G0461590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:38629164:38630660:1 gene:SECCE7Rv1G0461590 transcript:SECCE7Rv1G0461590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGKAQAARDVCAASAAFASCSHRRRSPRRAIFVDWYLVLAIGEAASEDAIKRRYRHLALQLHPDKNRHPKAEVAFKLVSEAHACLTDKARRRAFDAERATAFCAAYHDRARAATTPCAAPTRRRASDKRMPPSAAASKQQHQARVAKQSSGGRRPTATQALREVQNRLRDECRVIDGCLRANAAGARRRQSFPLFDPSDRQRFPDYPHARPPPSFAQCRPFEEDELGAGQDHQSWCRDGSCESPVYQVSTTPERAARTKRPW >SECCE3Rv1G0168870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:227563053:227564049:-1 gene:SECCE3Rv1G0168870 transcript:SECCE3Rv1G0168870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKCHCPGQKMAVGKPEYKKIIEESLKITCVSGPTVMELMWGIQICMPSLVPSEKAGLTEDDRFPMSHGLKKVLSRYDCDYVKPKMLNEPILMTAYVLYECDSFEDKKSEELDHLAALIKVMSGIDTKGWCSLKIATALKNIWCPEDAGNSCEIISEDDVSRLVNGADKYEGVLVKDACLKLSRQIVKAHDARIKKRKLLKKYVAQAKKAYAA >SECCE6Rv1G0406390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:543565384:543565893:-1 gene:SECCE6Rv1G0406390 transcript:SECCE6Rv1G0406390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAVSAASSPFRRKSQPPPPVPQAAAPPAAKDHDTELVRIFRRYDTDGDGRISAAEIREILGCTDAEAQEIVAEADSDGDGLISIEELGALLKAGGPEDLPAAFAVFDEDGDGVITANELRRAFLMPLLGGEEPTIEECARMLAAFDQDGDGVLSFDEFKAMMAPKSA >SECCE5Rv1G0335550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:575731580:575734982:1 gene:SECCE5Rv1G0335550 transcript:SECCE5Rv1G0335550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVQFGRKAWFVVRVMSGYEEKRIRSYRLQLQQRLEQAQAKKKEIEKQPEQVILSEVRQVVQQMQALNQHLEEAETAIDEYFKPIDKNAQILTDMQMEKEEKQMKEMLQVMRGQIQMQREIEARKAEASARAVEPVDTQVSATTAEIPPKQENAK >SECCE5Rv1G0310110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:163978929:163985380:-1 gene:SECCE5Rv1G0310110 transcript:SECCE5Rv1G0310110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMAALARTGPSLAAAGHRSSTTFRPSASLSFAAASSRNRVRVGLSTAGWNRRATRVARATPNRIIASSEVEQTYIMIKPDGVQRGLVGEIISRFEKKGFLLKGLKLFQCPKDLAQEHYKDLKERPFFPNLIDYITSGPVVCMAWEGDGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELAQWESVQTPWLIE >SECCE6Rv1G0377550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:2601902:2603071:-1 gene:SECCE6Rv1G0377550 transcript:SECCE6Rv1G0377550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEATQFGPWSDLPPELLGLVLKRLPSLADRVRLRAVCHPWRSNSILHTLPLPFPWLTLPDGTFLDISENKVHRMPLPHGARWHGSIDKTLLLMSSDGVCSLLDPFSKMTLELPNLVTIWQSEIDDRTGRTNVPVSYKLVEPSHMESSHKFVVAALIPDKGYSPDLCIIQPPAATYTFKGVPNWDRHILLDFAFFHGRLYMVSEFYKLFTIDIGDNLCGGPNITCVIDTVGDYLRSPPYFCRKSGCGLLLYLVECGNKLLMVQRFTHCSQSSQGLSYDHTGGFTVLEADLRTNPAQWRMVSDLGGHALFLGRQSSKSMPAGECSGSQEDCIYFICDYPCPKSSTNPLHDAGIYNMRNGMIMPLHSGSTAVPQRQAGQWGLTWFFPPKVV >SECCE2Rv1G0105000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:613593693:613594371:1 gene:SECCE2Rv1G0105000 transcript:SECCE2Rv1G0105000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPSPLGAGAGAVCCMCGDRGLPHELLRCKLCRVRLQHRYCSDLYPRATAYRRCNWCLREPEPAEARAQAHAQPVANKKAEKRKMVASTETSTSDEEERRQHEAGCATATRSRRSAAEVGKPVKKPKVDERPPLPPSPGAAAKANSGDKKPMQAEKLARPAKANSGDKKPMQAGKLARPGRVKVRRYKLLAEVISC >SECCE4Rv1G0217360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:15634357:15640581:-1 gene:SECCE4Rv1G0217360 transcript:SECCE4Rv1G0217360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSPNRARTPFQDISNNQSLDPKEVKRQRDRERYAQNKDEINKRRREAYKQKKIAAAEIGGAQTQLGVSQGSNEIKNRMERERYAQNREEILKRQRQTREQNKHIAAVLDGSNIVTQVPATGQSAVTQLQNITSAGDAVPNSVHFTIDNDDDESDWLHRNDAYQMQRNSRRLTPTQMSLDENPQLSTVENTHMPEESSEKKANDHTKDAYRLLEPDVHTINIDECLGDGNLGEKSGDMEHDEEARIFAQPDVNFQSYRVEQHDGDGAPYNDAHDRVYMNLPKKHHVLTKVSDCRHCGALRFQYEGPAFCCRKGKVDVFTPDVCDELKRLFTSQLDEDAKYFRENIRYFNSHFSFTSLGVTLDRRVSTAAGTGVYTFRACGGLYHALDDLESGDNGPRHLQLYIYDTDENLFHRVKRSPNLRLDLIRKILAILEHNPYAQVFKSLGAVPNLDEYRISLNTDIKLDQRRYNAPTTSQVAAIWVEGSDPQKTFDRSVVVYGKGERPLYIRAYYGCYDPLSYPLFFPRGEIGWNRLIPYIGAADDTNQDSMDDSARQEYPAYVLPSDDYEDNPDEDFGDNEMNATKSRKYVSAREYYAFKLQVRKKLFNIVLFGGRLFQQWAVDMYIKIETMRLDWYSKPANQKVIRADLYQGLVDTVIAGESRGDRIGKRIVLPRTFPGGDRDMQRRFLDAMAIVQRWGKPDYFITMTCNPYWEEITIELLPGQLPQDRPDLVARVYKAKQRSMMDLLIKGKHFGEVAAYVHVTEFQKRGLPHEHILLIMKAKSKLRTPDDYDRVISAEIPDKNKYPVLHDLVVKHMLHGPCGALKKSCPCMIDGQCRFHYPQDFCDATLQGKDSYPIYKRRDDGVRVRIRGAELDNRWVVPYNPFLLMTYNCHINVEACSSIKAVKYLFKYIYKGHDKASFAFEQDIINDGGIIDEIRQYRDARYISPPEAIYRILGFKMFGVSPAVLQLQLHLPNMHTVAFQAYENLEDVVARPSSSKSMLTEYFGLNQKYPEARKLLYREFPEHYRWIAGNKKWQPRKNKRSQIGRLVHAHPAEGERYYLRVLLNHVRGATSFDDLKTVNGKPCGSFREACEQLGLIEHDRTLDDCMTEAATFQMPSALRRLFATILVFCEATQIRQLWDKHLASMSEDYRRTQSNEAALEQMVLRDIKDLLQSMGKDIKLYGLPDLVDVDGSSDVDNAEVTEERQVKVDQEHLDLFSCLNNEQLAGFNDIMDHVTNQKSQIFFVDGPGGTGKTYLYKALLAKVRSMGLIAIATATSGIAASIMPGGRTAHSRFKIPIKLTDNSMCSFTKQSGTAELLKQASLIIWDEVAMTKRQAVETLDRSLQDIMECSLPFGGKVVVFGGDFRQVLPVVTRGTRAQITDATLLRSYLWQKIRKIRLTRNMRAQTDAWFSEYLLRIGNGTEETIGDDYVHLPEDIVIAHTDDEEPINKLIEDVFPSLHTNARSREYMSTRAILSTKNEHVDDLNGKMISRFPGEEKVYHSFDYIEDDFQNSYTIDFLNSITPNGLPPHVLRVKINCPVILLRNLDPHNGLCNGTRLMIRAFQDNAIDAEIVGGQHAGKRVFIPRIPMSPSEDTSLPFKLKRKQFPIRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRGVSRKTTRILAKPNKEVDKSGRSTKNIVYRDVLEG >SECCE4Rv1G0276900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:800634741:800638278:-1 gene:SECCE4Rv1G0276900 transcript:SECCE4Rv1G0276900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATLADELARAAADYLKESQQDEEVEHRAWKAALTRSFAQVDELGASGVPRGTVGGSTALVVLLVRGRILVANCGDSRAVLCLAGRAVPLSQDHRLDRPEEMARVTAAGGVVFYYGGVLRVPGILAKTRALGHTLLKPEVICEPEITITARSGDEDFMILASDGLWDVMSNQVACSAALKCLEDKSTNAGTVVGREEEVRCIHAAFQLAALAFRMNSRDDISVVVVDMKIRG >SECCE6Rv1G0382430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:42570450:42571778:-1 gene:SECCE6Rv1G0382430 transcript:SECCE6Rv1G0382430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLVMKKVVKPSSFDLDIQLDKSWTEDVTCPICLDFPHNAVLLRCTSYEKGCRPFVCDTDKSRSNCLERFKSAHGQPVNVKVSAVNIAPRDSIHFISSNTNNHPACPLCRGDVIGWAVIGEARQHLNQKKRCCEESCCSYVGNFHELQKHTQQKHPNSRPSEIDPARRVDWENFQQSSDIIDVLSTIHAQVPNSIVLGDYVIEYGDDDAGDDYEVLHRVRGNWWTSCIFCKSFGSSRGRRRARTRERRSGGRRAGNRSGQESFTIDVPARSVDIREIRYDGIDDEYLVTGAMPSGAASRRMAGHYRDPRYVRRRAHA >SECCEUnv1G0538330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72567938:72573933:-1 gene:SECCEUnv1G0538330 transcript:SECCEUnv1G0538330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHYKLHFHPNLVSSTFSGVVSINVFVLAPTRFLVLNVVELTIDHASIHFKHLAPTDVVFFKDDQIMVLGFRKDLPLGEGVLRMHFNGTLSDQMRGFHRGKYEYMGEMAYMAYTKFESVHARRCFPCWDEPDFKAKFKLSLEVHSDLVALSNMPVLGETVDGSIKNVHFEESPLMSTYLVAMVVGLFEFVEGVTSQGTKVRVYTEVGKTKQGQFALDIGVKSLDLYNDYFDTPYALPKLDMIGIPDFPGGMENFGLVTFEEGGLLFDETSTTFTKLRRIAVSVAHELAHQWCGNLVTMEWWDNIWLSEGFATWMSYEAIDTFFPQWNIWMEFLEGTIRTHRLDSVTGSHPIEVEIHHTNEIDGIFDDIIYFKGASVLRMLQNYLGAKRFQKALASYVKKFAYSNAKTEDLWTVMEEETGEPLRYLMTPWTKEPGYPVINVKHEGEHIQLE >SECCE5Rv1G0376770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873915900:873917672:-1 gene:SECCE5Rv1G0376770 transcript:SECCE5Rv1G0376770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQWMYGDRHTSEYIENSEGARTTPSVVAFSQKGELLVGTPAKRQAVTNPQNTFFGTKRMIGRRFDDPQTQKEMNMVPYKIVKAPNGDAWVETTDGKQYSPSQIGGFVLTKMKETAEAYLGKSISKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVREYKNTENIDLSKDRLALQRLREAAEKAKIELSSTTQTEINLPFITADAGGAKHLNITLTRSKFESLVSGLIERTREPCKSCLKDAGISTKDVDEVLLVGGMTRVPKVQEIVSEIFGRAPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLISRNTTIPTKKSQTFSTAADNQTQVGIKVLQGEREMATDNKLLGELDLVGIPPAPRGTPQVEVTFDIDANGMVTVSAKDKATGKEQQITIRSSGGLSEAEIEKMVQEAEAHSHKDQERKALIDVRNAADTTIYSVEKSLGEYRDKVPAEVVSEIESIGRR >SECCE6Rv1G0439350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795900533:795903098:1 gene:SECCE6Rv1G0439350 transcript:SECCE6Rv1G0439350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMAYEEQRRRQIEENNRKLEELHLHQLSASVREAAGPKPSPVRSEAKSVKRKRVPPRDAPVRQSGRVASLAKQPKYRYDEHDYPTLVEKKNRRRASSTRSDLINRVYATDEARRHAIQDRMWDCWTPLPFTSLHAISMAKELLGKLERGGNPSFVKPMKQSHVTGGFWLGLPAQFCQMYLPGKDNVMILEAEEGGEYKTKYLAQKTGLSAGWRGFALHHNLVDGDCLVFEWIEWNKFYVYIIRQSSYYK >SECCE1Rv1G0032280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:452905384:452907471:1 gene:SECCE1Rv1G0032280 transcript:SECCE1Rv1G0032280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDSSTRSHVSEGSRLGYVRSESMDSAGHPSAARSGSLLSRRSSRPSSSGSISLSREMGDSILNSMRHSLQSADQLLGDADSSALAQVIDSGDRVLALEDEADEDTANTLDQHKSGPVRDNRIHGYSSHGTGLPAPESSLEPKGESSSGKVEQYMLSRRLDYVSYLIHLAAFGLFGVFTRYGLQKLFGPGCLALTSNQSPLYLDLPSNMLGSFLMAWFGIIFKTDIRHISEHLIVGITTGYMGSLTTFSGWNQAMVSMSSKDHWAYAIAGIVLGMFIVNESIRVGAETGERLRSWILKCIKENSSIGSKCNWEHLKVNTRTKHFVLIAVMMILLSFVWVLSIVLAIIKVRNLDDGAVLWLGCSVAPPGVWLRWYLARLNGQGIGKQRSLKWLPIGTLVANVFAAGIMASLAVTAKVVNTKRSTTVLNGIQFGFLGCLSTVSTFAAEIYAMRSSGQIGRAFVYAAATFVLSFVLGTLVYSVPVWVKHYQ >SECCE2Rv1G0113250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:720233201:720235227:-1 gene:SECCE2Rv1G0113250 transcript:SECCE2Rv1G0113250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MIITTAAFPSVSSFHRPRLRPCPRRAVLHLIRSAASSSSSSSSSWEEREEARWLREEQRWLREEQRWLREESRWRAEREVLLTEITALRLRLRALEPLPPAADSVPPAAPVPPPAPGSRVAPPPPPPAPGARVAPPPPPPSPAARVAPPPPPPAPGARVAPPPPPPTPAARVAPPPPPARDVEVRKEVVVEEKAKAKPKPKAGAGSGKKRALRVGSEGDEVRAMQEALEKLGFYSGEEDTEFSSFSTGTERAVKTWQASIGTTEDGLMTSELLEMLFTGRTMDDLKKEGVNGALVPPVTETAEVQQPVVEKIDYNKHKVYLLGENRWEDASRLTKKDKPTSGSTAASTKQCITCRGEGRLLCLECDGTGEPNIEPQFLEWVGEDTKCPYCEGLGYTICDVCQGIKTAHS >SECCE5Rv1G0338440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:598098805:598101273:-1 gene:SECCE5Rv1G0338440 transcript:SECCE5Rv1G0338440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLAALPPGLPPSPPPIQTPHRHFNPRTPNLQALSSENPSASAAASLLAAAARAGDLRLGRALHRRLLGTEILDTDALVANSLLTMYSKCGHVSAARRVFDGMRGLRDLVSWTAMAFCLARNGAEREALLLLGEMLESGLRPNAFTLCAAARACFPGELFRLSGGTVLGFVLKTGFWGTDVSVGCALIDMFARNGDLVAARKVFNGLVERTVVVWTLMITRYVQGGCAGKAVELFLGMLEDGFEPDGYTMSSMISACAEQGSVRFGQQLHSLVLRLGLVSDTCVSCGLVDMYAKLQMEQSVECARKVFERMPTHNVMSWTALISGYVQCGAQENNAIELLCEMLNESIEPNHITYSSLLKVCANLSDQDSGRQIHARVMKTSIGNVNVVGNALVSMYADTGCMEEARKAFDQLYESNIHSTSSDIGGTESSNASWSSQTESMDVGVSTFTFASLLSAATTVGLPTKGQQLHALSIKAGFESDKGISNSLVSMYSRCGYLDDACRAFDEMDDHNVISWTSVISGLAKHGHAERALSLFHDMVLSGVKPNDVTYIAVLSACSHVGLVKEGKEYFRSMQKDHGLVPRMEHYACMVDLLARSGLVQEALEFINEMPCKADALIWKTLLSACRTYDNIEIGKIAANHVIDLEPRDPAPYVLLSNLYAHGGLWDEVARIRSLMRHKNLSKETGLSWMHVGNTIHEFRAGDTGHPQAQEIYAKLAALIREIRDIGYIPDTSIVLHDMSDELKEECLLQHSEKIAVAFGLITTSPTKPIRIFKNLRVCADCHSAIKYISKSTGREVILRDSNRFHRMKDGKCSCGEYW >SECCE1Rv1G0042250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:578953287:578957664:-1 gene:SECCE1Rv1G0042250 transcript:SECCE1Rv1G0042250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSETTEEVGSEMAGGSRRTSRKITKTEYPSVLDVMDAAMNRILEEHMEWLVARWNAENPDATPLVVEALTEEQRESLEREHLAREVLIGQAYVDKMAAEDMARREMEENNPKKDPDELYYQEYRENWEWKYAKEFGSFEDTTRIPAMCFTDEPTKPGVTKPRRSMQIFSVKVEEIHGDLRWPLDVFGIVAVRDDLDHHRNIIFERKRDNCQTLNEEDPYLVLTGPSRAPLTLFGPMHFNVTLKVKCSNELEDQDLSLLGFRYECCESINYQASKGEPAVSSCVSSQKHRSQLSTLELTCGIVVSSIEATISVRVVDGPWPDGFSGRFTASTASVSHMKVLLLNIGDENVPVIAADGTIERSRRVVSVESFGELRVHAAGWLGSKQIDHEVVFQPLKSGRSSRSLKVSSCEMEVRIAWSLFPLCYPTDRIPSPKNGWIVAAV >SECCE4Rv1G0256120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:654327201:654334390:-1 gene:SECCE4Rv1G0256120 transcript:SECCE4Rv1G0256120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVASFRARASPSAPEMPSFSSGASPQKQQHNARRKQLIRQQRKSLPIATVEKRLVDEVRKNDTLIVVGETGSGKTTQLPQFLYDGGFCQDGKVIGITQPRRVAAVTVAKRVAEECNDQLGRKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRSLHANKNGKTSDRQDHSQSSTLKACQGIKTAPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQYPVDTLYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLERLIQERARQLPADSSKIWITPIYSSLPSEQQMNAFKPAPSGARKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCFRLFQECEFDKLAESTIPEIKRCNLANVVLQLKALGIDDIIGFDFMEKPSRTSILKSLEQLILLGALTDDYKLSDPVGKQMARLPLDPMYSKALIVSSEFKCLEEMLIVVSMLSVESIFFTPREKLEEARAARKSFESSEGDHITLVNVYRAAAECLEKSKNANAKEKTMEKALNRWCFENFINYRSLRHARDVHSQIQGHVQQMGLNLSSCGDDMVQFRRCLTAAFFLNAAMRQPDGSFRALATGQSVQMHPSSVLFRTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATED >SECCE2Rv1G0135250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905909608:905913207:-1 gene:SECCE2Rv1G0135250 transcript:SECCE2Rv1G0135250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFSLLCYRSILGVIFILPFALLLERGKWKELDTKALAWLSINAFVGYSLPMAMYYYGLHDTSASYGVIFSSLTPLFTFVLSILLGMESLRLKSKEGSAKVVGALLCFGGALLISLYNGKELHILSPVIKGITKSSNGVAGGQHHVRGTLLLLGDCICYAFWYPIQVKVLKVYPWKHWSSVLTCLLGGLQTCVVGIFLRRDKLSWQVGWNIQLLTIFYSAALGTAAKYWLNLYAVEKRGPVFPPMFSTLSIVFTMVLGALLLGESITVGSLLGSALVFSGLYMYLYGKARELRAKTTSGSSNEKLQAQPTHDSKFEVPRFGP >SECCE3Rv1G0209210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:937182573:937184338:-1 gene:SECCE3Rv1G0209210 transcript:SECCE3Rv1G0209210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDSAIAVRDKLRGKIGQTKVKRYWPGKKPEWADEAEDDIDLRAAKVSLDEAFPKTDEGDRDRDRPPKDDRRLRRLAETRAENKEELRADHRRIRQAEIVSTAEEERDRQEAQAEEEDDEDAQEERRRRIKERQRLREQEEEELLPQEDEPLEDDVQESEESEYETDSEDEQMGMAMVKPVFIPKAQRDTIAERERLEEEERQVEETIKKRLEARKIETRQIVVEEIRKDEHIQKALNEDASVEDVDTDDELNEAEEYESWKNREMARIKRDREERYARLKEKEEIDKVRNMTEEERREWEKKNPKPSVQKNKQKWNFMQKYYHKGAFFQEGGDDVSQSAGRDDIYTRDFSAPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWDAPWATNGPLRTKYNAKMAGMNAPIAKPKGSKKMKDWDTKRDD >SECCE7Rv1G0500340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:665999842:666000354:-1 gene:SECCE7Rv1G0500340 transcript:SECCE7Rv1G0500340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNSTLLRRVLQKAARAARTIAYLRPSPPTPTPSTPCRRLPPSLLNCTDDDDGGSPSFHTAASTPVETPPSVRSRTDSPGTTDIDSRAADFIERFRRNASLELRYCSPVTPARPPVSPDTYFNLSRLHGPALAHARPVCGRSSPGASASATSIKWPSSTCLGRRPTVQV >SECCE2Rv1G0079140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:115461078:115464912:-1 gene:SECCE2Rv1G0079140 transcript:SECCE2Rv1G0079140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTLDFRRKTQGQNNWSGPLRPVNVIRNKFPTYKNGLNGIVIKFADEPEMPSLKESVAKETADLLDRRQRLSVRELAMKFEKGLSTATLLSNEVKCKQVALLERDILLKNLKSVLESLRGQVAGKYKDEIEESVSMVDILAVQLSKRENELLQQKTEVTRIATSLKLASEDARRIVDEERTNARTEIENARAAVQRVHKVLKEKENSSQRIGKQDVDELREKVQEARRVKMLHCPSKAMDIKSEILVLRDQYAEISSSAAHLLKELELHQSFKENGVSSCELEGLESLGSMLCVVVKNGVALSNSSVQWFRIQPEGHKKEIISGATKLVYAPEPHDVGRYLQAEVNLGGETSVAKTAGPLDPAPGLVDYVETLVRNPETDYNVVVLQVNGIDQPTDSIHVLCVGKLRMRLAKGTTVVAREFYSSSMQLCGVRGGGDAAPQAMFWQPREGLSLVLGFETPRERNSAIMLARRFAIDCNIILAGPGDKTPW >SECCE5Rv1G0320620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:390656225:390658231:1 gene:SECCE5Rv1G0320620 transcript:SECCE5Rv1G0320620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSLSCRFLTIALCDAAEPWQLGSQDAATPMMQGIIDLHHDIFFFLILILVFVSRMLVRALWHFNEQTNPIPQRIVHGTTIEIIRTIFPSVILLFIAIPSFALLYSMDGVLLDPAITIKAIGHQWYRIKFGGRRASTQPYEYSDYNSSDEQSLTFDSYTIPEDDPELGQSRLLEVDNRVVVPAKTHLRMIVTPADVPHSWAVPSSGVKCDAVPGRSNLTSISVQREGVYYGQCSEIRGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN >SECCE4Rv1G0296600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903722827:903723754:-1 gene:SECCE4Rv1G0296600 transcript:SECCE4Rv1G0296600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSATMTVRGVLYMYSVARQAYERFMSVCGNPEQARNTVALLVWLDQGTISAIHHVPCIDDGAVAIIAEEANAILECLRYPLPVLPPIPLISTLCMQGGVYIEPGFFAFHQDLVVRGVAHFLDGAGKVVFDDRLNVLLRRSETGLVGNPPELMAPYSPLPVAVPEDCRSIFVTFSKTMPLHREEVFDYFREKWGDCVVRVLMEKTTGGNMPTYGRIIFKTEAVVNLVLNGEKLVKISIDHREIWLRKYIPRVTNVDA >SECCE2Rv1G0127470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:853101769:853102346:-1 gene:SECCE2Rv1G0127470 transcript:SECCE2Rv1G0127470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQKYRGVRQRHWGSWVSEIRHPLLKKTRIWLGSYQTAEDAARAYDEAARLMSGPAARTNFPSSAPVGGSLSPALRAKVEKCCMPTAKDSELTGTARARGYAARRNKVEEIIKGVDDEEECIEEMIRELTHYGSVEIVLSSACSSSPAP >SECCE6Rv1G0409150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:582519259:582520308:1 gene:SECCE6Rv1G0409150 transcript:SECCE6Rv1G0409150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRAALLALLVAALLPLALSRGQGRGPHLPGHGLAHRHGPGIGHHVHAPLGGGAWASAHATFYGGGDASGTMGGACGYGNLYSTGYGSNTAALSTALFNNGLSCGACFEVRCDPGGTEAGAPHACLPGSTVVTATNFCPPNFAEASDNGGWCNPPRAHFDMSQPVFQRIALYRAGIVPVSYRRVACQKKGGIRFTINGHSYFNLVLVTNVGGPGDVHAVSVKSSRSAWQSLSRNWGQNWQSNTLLDGQSLSFRVTAGNGQSVVSNNAVPRGWSFGQTFSGAQFH >SECCE2Rv1G0126920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:849681619:849691399:1 gene:SECCE2Rv1G0126920 transcript:SECCE2Rv1G0126920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMSTEGPSSSWLRGGTRKKQTTFLLRALLFICVAFRVAVSLIRVLYHVAAGSVLGVARVLFAAANERCLRGMDRAALGRGSFFAGAMANSWRVLMQGLASLMFLCARADEYVRQPPSPLVLTPHDKPAAHPQQVHISTAGKNKMRISWVTDDRSTPSVVEYGESRGNYTASATGEHATYKYFFYESGAIHHVTIGPLAPGTTYHYRCGKAGDELTLRTPPASLPVELVVIGDLGQTRWTASTLAHIGGADYDMLLLPGDLSYADTQQPLWDSFGRLVQPLASARPWMVTEGNHEAEALPVVGFAPFVAYNARWRMPHEESGSPSNLYYSFDVAGGAAHVVMLGSYAEFGEGSEQRAWLERDLAGVDRRRTPWLLVLLHAPWYNTNEAHQGEGEAMRAAMETLLYEARVDVVFSGHVHAYERFTRIYDNEADGRGPMYITIGDGGNREGLALEFLEDHKSAHLSVFQEASFGHGRLRIVDETSAVWTWHRNDDEYATVRDEVWLESLASPKLAMSTPNHQDEEL >SECCE6Rv1G0451970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874510258:874511614:-1 gene:SECCE6Rv1G0451970 transcript:SECCE6Rv1G0451970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALFLVATFVALGSGHGGEAFDPNPLQDFCVADASSKVRVNGLSCKDPTAVVSDDFFFAGADKLRDTVSERYGYSALTVQIPGLNTQGQRYARVDLAPGAVFPPHYHPRAAETAVVLEGSVYFGFVSSFPDNELFAKVLRKGDVFAVPQGLVHFLYNNGTAPAALYASLTSQNPGLVLLADALFAGALPDDLLAKTLLTDKHTVESIRANFPRS >SECCE2Rv1G0109540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:678239976:678246018:1 gene:SECCE2Rv1G0109540 transcript:SECCE2Rv1G0109540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MDGGGEVGWYVLGPSQEHVGPYTLSELRDHFANGYLNESTMLWAEGRSEWTPLSSIPELHSGVTKKDQPEQETEDDFEKFQKEVIDAESEVEALKAKATDGDLSKEHVIDDPDERPASPPDGVEEFTDDDGTIYKWDRTLRAMVPQNDVSGEMDGNGENDISRKKDDYELEDMTFALEEEVFQPPDIPGSSTLDENHALTEKEKKVPEKVDKRLEKKRKSEKPTEKKEPQKPPESWFDLKVNTHVYVTGLPEDVTAEEIVEVFSKCGIIKEDPETRKPRVKIYTDKETGRKKGDALVTYLKEPSVPLAIQLLDGTSFRPGGKTLMTVSVAKFQQKGDVFLAKKADKQKKKKGKKVEDKMLGWGGHDDKKVIIPTQVILANMFSPAELRNDETLLPELEVDVREECVKFGPIDNVKVCENHPQGVVLVKFKDRKDGLKCIEKMNGRWFGGRQIHATEDDGSIKHALIRDYDAEVSRLERFGEELEAST >SECCE6Rv1G0379350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13497718:13498164:1 gene:SECCE6Rv1G0379350 transcript:SECCE6Rv1G0379350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSNKASAAALLLLLALVAVTVADAAKSIASEPTVTPWSAEVDVSATCMGSLLALSPCLPFFRDAGTSEAPAGCCEGLGGIVQDQPACLCHIFNHTLERAIGVDIPDDRALGLLSNVCGLTPPEDLMASCGDGVPLPPLYVCPAPSA >SECCE7Rv1G0519890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866205139:866206593:-1 gene:SECCE7Rv1G0519890 transcript:SECCE7Rv1G0519890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMTVEEVRKAQRAEGPATVLAIGTATPANCVYQADYPDYYFKITKSEHMADLKEKFKRMCDKSQIRKRYMHLTEEILQDNPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPHESHLDSLVGQALFGDGAAAVIVGADPDESIERPLFQLVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEDAFKPLGIDDWNSVFWIAHPGGPAILDMVEAKVNLNKERMRATRHVLSEYGNMSSACVLFIMDEMRKRSAEDGHTTTGEGMDWGVLFGFGPGLTVETVVLHSVPVTDGFGR >SECCE4Rv1G0223580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:71904928:71905926:-1 gene:SECCE4Rv1G0223580 transcript:SECCE4Rv1G0223580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGRKHWCKQCKRSFPSGASLGGHMAVHRNWRKKQQSRTPSIAGERYGLRERCQKTSWLLDSASSDDDRWALFPKTECQLCFRSFASPDALSLHMRMHKRRRKMPVEHQASVGDGDHNVPLSAAPVMKRKRSRRMVLDTVPSPLMKSYGIEEADAARILVSLSGDHGMCSAFVDCGEGGEMDVNMAFDTLMTEMALSSPHHHGQVGDNELMEPEPSSSYEEVKFVSLSKVLKATASYECKLCGQVFTSGRALGGHRKRHSFADHGRVPTAPKSEATQPCEELLPPDRRSLLLSPPAPSIWNCSSTRPKPEPNPLSLVASSLWDERMLGVI >SECCE6Rv1G0424350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:700023201:700027650:-1 gene:SECCE6Rv1G0424350 transcript:SECCE6Rv1G0424350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSAATGALKPVLAKLGALAGDEYKRFKGVRDDIKSLTRELTAMDAFLLKMSEEEDPDVQDKVWMNEVRELSYDMEDSIDDFMQSVGSQDSKPDGFLEKMKNSLGKMKARRRIGNEIHDLKKHITEVAERNERYKAREVFSKAKNTTIDPRALAIFQHASELVGIDEPKAEIIKLLTQGATTQEKMNLVSIVGSGGMGKTTLANQVYQDLKGKIQCRAFLSVSRNPDMMNILRTILSEVSGQRYTDTEAGSIQQLIIKIADFLVDKRYFVVLDDIWDVDTWHVLKHAFPVTSSGSIIITTTRINDVAESCRSRPYNGDIYSIKPLDMVHSRQLFYTRLFNSEENCPSYLAIVSEQILKKCAGLPLAIIAISGLLANTERTEGQWKQVEDSIGRALERNPSVEGMMKILSLSYFDLPVHLKSCLLCLSIFPEDFVVQKKVLINRWIAERIIHTEVGYSKTYEFGERCFNELINRSLIQPGDTKRYGMVKSCRLHDTILDFIISKSIEENFVTLVGVPSLTVWTQSKVRRLSLQAGKQKELIVPRGLVLSHVRSLDVFGESVKIPSMDKFRHLRFLDFEDCKQLENHHLENIDKLFQLRYLSLRGANKVSKLPEQIGRLWCLEILNLRRTSVCELPASIVNLKRLVHLLVHRNVTLPCGISKLQALEKLKFVRAYSQSFNFLQEFEQQQSLKVLALDFEDYSSAERVNAENESKKAIIVTSLTNLGNLLSLTVWDGPEFVRESLCPMPLSLQKLKVMNSIIPHVPNWVSSLVNLQELHLELFRAEQRDFYILGGLPR >SECCE6Rv1G0413620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:625231589:625239316:1 gene:SECCE6Rv1G0413620 transcript:SECCE6Rv1G0413620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCESLCFLCPDLRTRSRHPVKRYKKLLAEIFPKSQDEPPNDRKIGKLCEYISKNPLRVPKITVYLEQKFFKELRAERFGSVKVVMAIYRKVICSCQEQLPLFANSLLTIVETTMEQNRHDDLRIIACQMLFDFVNYQVDSTYMFNLENQIPILCQLAQEMGEKETKSSLHAAGLQALSSMVWFMGEHSHISSELDNVVSAVLENYDSPYANSENSDDTIEDRRNRWVNEVLKAEGHDPPAVTILARVSSWKDIRATNGALNLTTQESASPNFWSGICLHNLARISREATTIRRVLETVFRYFDTNNMWSPSKGLALCVLLDMQIVMEKAGQNAHILLSMLVKHLEHKNVSNQPDMILDIIEVTARLAENSRAQSSTAIMAAISDMVKHLGKSMQDGLGDENKWNNCYQNGVDECIVQMSRKIGDAGPILDTLAVVLENISSTTPVARSTICAAYRTAQIIASLPNLTYKSKAFPEALFHQLIMAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGTSQTSKVNLQKTLSRTSSVFSSSAALFGKLKRNVSSFRGSPRRESSNLMPIISEDAEQGSENEPQLFKSQTIQRMTSVKDPSLPSATEISKSSGPAPETEPVILILSARQANLLLSSLWTQALSPENVPRNYEAISHTYSLMLLFSGDKNSHLEILVGSFQLSFSLRNMSLQAGFLPPSRRRSLFTLATSMIVFFSKAFSVPTLIPVVKDLLIESTVDPFLRLVDDLRLQALDSGIESVFRDYGSKEDDDFALKSLSNIKLNDQSKQIAVSLILDSLKLSDPEMSTVRNQLFEEFSADDVCPIGSHFIASPSKSPAYNAKLHQKSLEVIPMGFIFEDDTIVEPTDTLAEPTSRQPLDNGLLDVDQLLQSVSEASQNVGRLSVSTNQGLPFKQVADQCEALLLGKEKKLSVCMSAHQQEVGTGMLESSEPDSPIAGIILHTDDDQCDSNFCKLPVLNPYDKFLASAGR >SECCE3Rv1G0188400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:713084120:713086205:-1 gene:SECCE3Rv1G0188400 transcript:SECCE3Rv1G0188400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPPLLADLVAKSHSSSTAVRCSGSVRRWAVAGLAGTGRRDRHRRRRTWGRRGFRVSAVATEPRSPEGGAAEDYYAVLGVMPDATPQQIKKAYYNCMKACHPDLSGNDPDVTNFCMFINEVYTVLTDPIQRAVYDEINGYTATATNPFLDDAPRDHVFVDEFSCIGCKNCANVCSKVFEIEEDYGRARVYNQSGDEELIQEAIDSCPVDCIHWTSAAQVTLLEDEMRRVERVNVALMLSGMGSSFDVFRMASTRWEKRQAKVLNKVRMRMSQDDSNKGSSWSDIWGSPTRYQKNEEDTKERMKRAAAAARRWREYSRKGADKPPAFKLPEAASNQE >SECCE1Rv1G0032520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:456269640:456272974:1 gene:SECCE1Rv1G0032520 transcript:SECCE1Rv1G0032520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVLPVAPLAPAHLSPFISARRRSSSASSDPPRRRRTGPSVRATAAAGAATTSGWTPDSWRARPARQIPEYPDTAALAEAERTLASFPPLVFAGEARTLEERLGEAAMGRAFLLQGGDCAESFKEFGANNIRDTFRLMLQMAVVLTFGGQMPIIKVGRMAGQFAKPRSNPIETRDGVTLPSYQGDIINNDDFDEKSRAPNPQRLIRAYSQSASTLNLLRAFAQGGYADLQRVTEWNLDFLRHSTQGDRYVELAQRVHDAIGFMLAAGLPPQHPMMTTAEFWTSHECLHLPYEQALTREDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGISNPVGIKVSDKLDSSELVKLCEILNPHNKPGRLTLITRMGAENMRAKLPHMIRAVRQAGLIVTWVSDPMHGNTISAPCGLKTRSFDAIRAELRAFFDVHELEGSYPGGVHLEMTGQNVTECIGGSNTVTFDDLSSRYRTHCDPRLNASQSLELAFAIAERLRKKRDRTWTSLISKLEA >SECCE4Rv1G0218520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:22717363:22718927:-1 gene:SECCE4Rv1G0218520 transcript:SECCE4Rv1G0218520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICKEKINFLGHEIGEGKIYLQEHIAKKILEFPDNMSDKNVLQQFLGIVNYARNYIDNLAKLAGPLYAKLRKNGQKYFNSEDIKLVKAIKEKVKNFKPLELPLEDNYFIIETDASKVGWGLFATRDEYLFLGEENRLKMFHPNTFNFKPKPHIKLDEAQRCILDNFWYQYTLKREEKGYFLSILNSLAEYFNELNKNSPKPEKIEIPKGETLYLIFDGNKPGIYLEWENIMIEKLDAKRKGQDLTFKRYYNIDDALLWARKVLGPDYYIDPKAKEYIQIRRGVPASPAPTKGEASSSKNINKEESPKYKTYQECLLKGLDPLDSEYIDQEMDKRFEEFSKIIKKELKEEILKELRHEMDEKFEEIKKECDQKYDFNLLNDDDDHMDIAGHGQRPE >SECCEUnv1G0556040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:307891635:307894141:1 gene:SECCEUnv1G0556040 transcript:SECCEUnv1G0556040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATEEEASKVGSEETLAVVNPAGEVAVTGSGDGADHQSGEPDATITRPPPPSRSNNYGAVVIGGTFDRLHRGHHLFLQAAAEQARERIVIGVCDGPMLAKKKYGYLIQPIETRMENVKEYIKSIKPDLEVHVEPIIDPYGPSIIDEALEAIVVSKETLPGGHAVNRKRAERGLTQLEIEVVELVPEKSTGNKISSTAFRKIEAEKALQQQKLDQQEEQQTVELECRT >SECCE5Rv1G0329370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:515762794:515766719:1 gene:SECCE5Rv1G0329370 transcript:SECCE5Rv1G0329370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGPRVAGATRRPSSPRPPSAGAGGRERPPSAGAGGRERPQPTTRRGDEDPRAAADGKASNSKKRLPSAAAAARGALPSMLLRRSELLRRGGKNGNMQSLNVSCASEASDDSFCSRASTGRIGRPAGAAARRRAAAGSSAGPPSARKAASVAPDAAAVALGSMIGEAAAAPGPPRCPWVTRNTDPCYTAFHDQEWGVPVHDDRKLFEMLVLSGALAEMAWPVILSKRDAFREVFMDFDPLLVSKLNEKKFLGPCSPARSLLSEHRLRTILENAHELLKIIEEFGSLDEYCWGFLNYKPMVGRYRSPREVPLRTPKAEALSQDLMRRGLRGVGPTVVYAFMQAVGMANDHLVTCYRLDECEASDGCGHGSTLVKEQERSKMCGMMIECVSLESSMAAAMISIS >SECCE7Rv1G0493540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:570114041:570121263:1 gene:SECCE7Rv1G0493540 transcript:SECCE7Rv1G0493540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPETNLGQQSPNGPDTEIDVTDFVVLDCDEEGRFYVKQDAKGEQQPSGGLSPMDVDFKGIPSMVDEGKLKSSSDPSAQVPIDFNSASLEKFCKEAARSFFTEKGLISHQINSYNDFISHELQELFDSLGDITVEPDYDPSKRVGDWRYATVKFGRVELEKPTFWADNGELEEQKLRLKPLHARLQNLTYSSKMNVEMTVQVYSLNQSDKSKTGKDPYIQKKLILSPETKWVTIGKLPVMVKSNLCWLYELQETECQFDYGGYFLIKGMEKAFIAEEGRCLSRIWVTNSPSWDASYLSRIIREKIYVKLVQSKENDGFHKVINLSFLGVTMPIWIMFFALGVSSDKEAFDMIDIQDCDSSLVNILSATIKQSDEQCEGFRRGGRARQYVDEHIRKTKSPPEESFDGYVGKYLFPGVSDNRCKALFLGYMMKCLLMAYCGRWKCDNKDDFRNKRLDLACQLLRRELWVHLRHATKRMVKVMQRHLSGDGDLQVLDHYVDASIITNGLNRAFSTGSWCHPYKYTRCSGIVATLRRTNPLQMMSAMRKTRQLSSYWGSAGDARYPNPSYWGKLCFMSTPDGEKCGFVKNLAASAVVSSVMRKPLIELFVSCGMKKLDELLIQEISGTEKIFLNGNLVGVCAYPGEFVTNLRNMRRSKQIDPQVEIKRDKQHKEVRVFSDAGRILRPLFIVENLKSIAKPNGGSYSFQQLMDQNIIELIGAEEEEDIQCACGIKDLFSGDKKEGLLYYSHCELDPSFLLGLSCGIIPFVNHNAAKRVLMQAEKLSQQAIGYSPTNSQYRVDTLFHQMYYPQRPLFKTVLSDCLGKRGLTIPEYFNGQNAIVSVNVHQGFNQEDSLVFNRASLERGMFRTLHFKSYKAQIENKEVIRRLKHREKTDFGKTQSKKGRVDSLEIDGLPFVGASLQSGDIVIGKVSESGEDHSMKLMHTEKGMVEKVVLSANDDGKNSAVVTLRQVRQPCVGDKFASMHGQKGVIGLLDSQENFPFTCQGIAPDIVINPHGFPTRQTPGQLLEAALGKGIALGGVSRFATPFTTPSVDVITEQLHKAGFSRWGGESVLNGQNGERMKHLVFMGPTYYQRLTHMAEDKVKFRNTGPVHPLTRQPVVDRKRFGGVKFGEMERDCLLAHGATANLHERLFTLSDVSQLHICQVCERVANVVLRPVEGGRKVHGPYCNFCRSAENILRIKVPYGAKLLYQELFSMGICLKFETDAS >SECCE5Rv1G0356090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:737059220:737059748:1 gene:SECCE5Rv1G0356090 transcript:SECCE5Rv1G0356090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDAGMGACGADGLELSLRLGSPTTAPAQAPAPARRNLTIVYDRRVLCAVDVVELQAMAIISMANRETTGKISDMDDASIAHSRSRASPDHGVIAAPAPTLLGDQGGLSMKRSLQRFLEKRKTRANTASPYGVHRPARAPRS >SECCE3Rv1G0175720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:432003805:432004038:-1 gene:SECCE3Rv1G0175720 transcript:SECCE3Rv1G0175720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNDGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE5Rv1G0330310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:527122420:527122692:1 gene:SECCE5Rv1G0330310 transcript:SECCE5Rv1G0330310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEMASLSQHGALLKVGLFVLVQALVYLILAQSSSVFSTTKSLRPADSLGARRMVALLSDLPLGGEPSPRAVSVEPSSPVPVHAHQKKD >SECCE2Rv1G0119250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:789969889:789972327:1 gene:SECCE2Rv1G0119250 transcript:SECCE2Rv1G0119250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRALCLVAGAILLCSCFARVQCGDEQEQAQEIQRLRSKVASLEDEVGWRKDETSQLESVVRERTAQIAALVAGLEAMQVTNVADDESVVKASTNTAMIEKQIERLGNDLEDQVKKGELLEARASEAEKSLLELGQKLDRVEKINAEQRKKIEELEQDLQHSNAKLSEVQRRAKLKAEELANVHGMWLPYWFASRSVHCQELASAKWHLHGKPVLDALMEKVAETLAHAQRLVEPHLQATKNKLLHVAKFHFNSLKNSTKPYASAVAASITTAYRAFKDAIQPCVAKSQEFADRYWQECRKFSEPHVARIVAAVEPHLSALESYTRPLKSVWRQLVMSMSLYHSQVQKGISGFLEDSEPLDPFSAHRLAWWMSTALFALPMLYAYKIFSATVRKKIQARADRGGGTSSNRKRARRVEE >SECCE5Rv1G0344250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644359430:644361430:1 gene:SECCE5Rv1G0344250 transcript:SECCE5Rv1G0344250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITIAPPGEDFIDIILSRTQRQMPAIVHKGYAINCILQFYMGKVRYTQQNFYEKLSTIIDEFPRLDDILPFYGDLLHINTARNIIAKISKDYLRLLKYGDTMYQCKFLKVAVLGRMCTVLKRISPSLAYVEQIGQHMAMLPSVDPNTQIFHEHGYKGRCGHTTLCFHNKTLFISHADFKYLRYQVIDTPGILDRPFEDRNIIEMCIIIALAHLRVVILFFLDISRSWGYTISQQAVLFHGIKSLFGNKPLPLDGLSEGDMELVMEMKSEAMKIIPQGGDPSEVGVLLTMSALTDEGVMAVKNDACERLLELIVEIKVKSKKINDFLNMFHVDMPKPRHNRDTPTCIPRVVPEAQEIAAAKEKKKLERDHENENADVGVYSTGLKKHYLLANDEWKEDILPETLDGHNVADFLDPDILERSAHDAFIIDGRDELTEKHHDILGKIRKKKAMLIQEHRMKKSIAESHPIVPRKHEKDRKFTTWARNSHPWVLILLQLRTDFAASQDAVSVRGHSAELMKLCTRWWSWSKSGLVEEVVPGDGLRDTAQKKKATKKSRDSVKNRNKDARRGEADRVIPTLKPKHLFSGKQGIGKTSRR >SECCE2Rv1G0138390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921495836:921499626:1 gene:SECCE2Rv1G0138390 transcript:SECCE2Rv1G0138390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAAPTTNLYALDLVSFQSKHGILASSTWVSHPADELSMLGIDGLLVTKDAGDTDVALIDRSFLHVGKAVVSASNMGGQIGVVIGVTTMLDLVDRGREATGEVIGGLPPSAVRRVRALNLGDYVVSGQGQWLGRVVEVSLDVDVVFDDGAICRVTDAESPTMQLWPAESTPGLHRQQTNVTFYPGQLVASGDPSVVFGGARWLSGHWKTRHEVGTVTKVEMAGVLVHWIASAYGDTDEQFLHGSAPPAYQNPNDLMYFCCAYECSWGVGDRCFLRESTAASPSSEIDSLHDPQDQSSLQSDDSSSSDEEEHVEPSPPSLTAGLATAPVDKEERFYRKQLRKPMFVRYRGRARRCYQWSAPLRTMTVSRTRTTLDVLWQDGTRQHGVPSTSLSPFEMMNEHEVFPGQYVVDARDDDFMGGSTGRRVGVVRNLNSKDYTVHVSWFKAASEGWEVECDDEAVSVYDLGRDPDHSVFYGDVVVRSLSSNVSGNNARQPQVVDLSWVGRVVDFSGGHIQVKWGNDTTSMVLPHEITVASKEHYSELQAEMGDWLEEESVDNHQESGAADVDNDPTDARNIQGARVEDGVSSANESDGHAATRTNRLGGVIQSLIRSVVQVLARAKLYRVNRTSTSTSVLPATMHNVVEVSAHVAVGGSHVDPSIGGAAMEAVFPRVIRSDENGDGDVEDAVRSGEATTGDDDTLKFQNFDVVQSPPDHHYLDTMDQGSSNGGKSWVKTVQKEWKILEDSLPDTIYVRAYEDRMDLLRAAIVGASGTPYQHGLFFFDLQLPPSYPAVPPQVYYRSFGLRLNPNLYPSGTVCLSLLNTFGGEGTEVWSPGTSSLLQVVVSLQALVLNDQPYYNEAGHETLVYTPEGHRNALPYSENAFLLTLRTTLHLLRHPPHGFEGFLTDHFRQRGTHMLTACEAYLRGSVPADDGGMELPCSTGFKIALANLVPRLVAAFGEMGAQG >SECCE6Rv1G0415920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:645435026:645435586:-1 gene:SECCE6Rv1G0415920 transcript:SECCE6Rv1G0415920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVSIKESNEMGTEVFPAVDNKGKLPVHPMPEVVVPPIAEEEPKTAEASDDDRMEEPPSNKRRNYGHYHEEDGPTHFCKVILAPKLECIPMPLDFTKHFIAVSMEFRLRNNTSYSWKVTVKLMNNRVTLDQGWATYAAVHQIKIGYMVTFKILTPHTLKVIIFDDDGIEVINKCGKHDEAFAAKD >SECCE7Rv1G0495520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:598834284:598834730:1 gene:SECCE7Rv1G0495520 transcript:SECCE7Rv1G0495520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAMSPDSLLLFYSVAAAVTAAFGLFSLYRHLARGRRHEPGAEAPDGDPEERRPLAVTRVASSLLPPFMYNRLVRHSGKGDGAGLTECAVCLGAIRVGAMAKLLPACAHVYHVECIDLWLASHSTCPLCRCTVGGRSAQDLACLSPA >SECCE7Rv1G0469790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:113149136:113159310:1 gene:SECCE7Rv1G0469790 transcript:SECCE7Rv1G0469790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) UniProtKB/Swiss-Prot;Acc:Q9SCJ9] MNRHNTRNKNKRPRADESASPSYAALKRIHSTGAITQDDIRQLYSVWKPVCQGCHGNTKDSPNCFCGLIPTTGGARKTGLWQKNGEHVGSLGPDPSKDLRASTETPAGLTNLGATCYANSILQCLYMNTSFRSGIFSLEPDILKKQPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLERSLSYSKVAVAKTIVQDLFRGSVSHVTRCSSCGRDSEASSKMEDFYELELNIKGLSNLEESLDDYLSVEALNGENQYSCESCQKRVDATRCIKLRSLPPVVNFQLKRYVFLPKTTTKKKISSSFSFPGQLDMGKRLSDPSSNYIYDLAAILIHKGSAANSGHYVAHIKDESNGHWWEFDDECVSSLGFHPFGEKPGKSSDKADQKSEGTSTEGSVANGNNSSHHEAAPTSNMGEMFSSTDAYMLMYKRSSKDENGIKSNNNAEASNSSLPRHLMDEIDALNASCVKECGEYLCKKDNLLASIQERRQEVKSVITEAPATPDDDSYFWISLDWLRQWADNATPPSSIDNSPIQCEHGKVPASKVTSMKRLSSKAWEKLLSKYGGGPTLSKDDVCKECLKDVAKTAVSADVYRDRKASLKNLAEAALAGSIPEGPSYFISKAWLSHWLRRKNVDITFDADKGPTSALRCSHGNLLPEHASGAKRVSVPEGLWLFLYETNAREADDIVTFPSDTQPCEICDHKLSAVASVEDNLRARKLKQRQSHEKLISGKGFALNPGQKYYLVPSSWLSEWRAYITATGKNVSSLPEPQSLEAAINSLKCEKHSRLLQRPLDIVYKRGGITQKTSNTDGLAIISESDWQSFSEEWNVAHADGACAEIVFSKSSEDKPHESSEAMVMDKDPDQSINGANDDLEDCRPYVRTDPEVCEECIGERESCALVEKLNYQNEDIQVYLVRGKEAPKSIREASAALPVPDRRTSKRSRRTTTGNSISLKVSGSTTVYQLKLMIWESLGIVKENQKLHKGPLEIEDDLATLADKCIFPGDVLWVKDSELFEDRDIADEISEPKADALPAEEGFRGTLLTSSVSAQLCQDIVLSE >SECCE3Rv1G0156550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:73487412:73488212:1 gene:SECCE3Rv1G0156550 transcript:SECCE3Rv1G0156550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLKVSPNFDVYDSNGYAILTGLDCCSKDALTPTVLPSNPLSFFKVVIIGYSGDDLKFNLHMFSSSDKSSWDERTNCFDSDVQSYDYGSFSDAIVHNGLPHWIFHNFGEGCLQVINLNPKTGHISLTKVPLKLNYQPTSPSCLTLGINGVLSLLWMQKEGPQLQIWEQHEDQGNMRGTSEWLCTRTIDLKQPVKNNEIKELLVLTEKCGTLLISDNYGQVYTTDLKTGMMEKIVDWPSRRSVCHWDSMPLEIDWPTIFVSGLTK >SECCE6Rv1G0443090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:820586093:820589054:1 gene:SECCE6Rv1G0443090 transcript:SECCE6Rv1G0443090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATTAAAAAAAQLLADTRRKVPRCRARLGTTTRLSWPGRFAVEAFAGQCQSSATLMHKFSVTSQSTLVRRNTRRQCSDDQSALQTGCSEVNRSQHGYDVNRFEEISQEVSKKLRAFYQFCRPHTIFGTIIGITSVSLLPMKSLDDFTAAVLKGYLEALAAALCMNIYVVGLNQLYDIQIDKINKPDLPLAAGEFSVATGVFLVVTFLIMSFSIGIRSGSVPLMCALVVSFLLGSAYSIEAPLLRWKRHALLAASCILFVRAILVQLAFFAHMQQHVLKRPLAATKSLVFATLFMCCFSAVIALFKDIPDVDGDRDFGIQSLSVRLGPQRVYQLCISILLTAYGAATVVGASSTNLLQKIITVFGHGLLALALWQRAQHFEVENQARVTSFYMFIWKLFYAEYFLIPFVQ >SECCE2Rv1G0090510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:279814973:279820573:1 gene:SECCE2Rv1G0090510 transcript:SECCE2Rv1G0090510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT4G18060) UniProtKB/Swiss-Prot;Acc:Q8L7W0] MEAFRKQASKFKEQVAKQQQAVIKQFSTTGYERSDSVVIDEVELQQHQRLEKLYSSTRSGRDFQKDIVRAAEGLVSIGTKHVEVGTKFSEDCYRYGGENNADGEALTKAATLYGGALRNVEKEYEDFNRTLCSQIIDPLKAMAVCAPLEEARGFAQRYSRMRHEAEILSAEIARRKARVRESPVAEHTIKLEQSEGKMIEHKASMAVLGKEAVAALGAVESQQKRLTLQRLVGMVEAEKLFYLRLAAILDDVEAEMSSEKQKRESAPPCRKRAEKAQYFLAEAVHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECRGKAGWFPAGYVERRENIPPNKVFPQA >SECCE7Rv1G0504350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722367570:722370615:1 gene:SECCE7Rv1G0504350 transcript:SECCE7Rv1G0504350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARKSVCVTGAGGFIASWLVKLLLSKGHYTVRGTVRDPGNAKNAHLKALEGAGERLELLSADLLNYDSIASAVAGCEGVFHVASPVPSGRSTNPEEEVIAPAVTGTLNVLKACYEAKVKRVVMVSSVAAVSNNPNWPKGKLFDEDSWSDEDLCRKGEDWYFLSKTLAEREAFAYAAKTGLDIVTICPSLVIGPLMQSTVNTSSNILLNYLKGERATVENKLRNLVDVRDVADALLLAYENPEASGRYICSSVPVKVSDMISVLKTLYPTYPYPTNFEEVEGNTVYSSEKLQKLGWTFRPLEKTLGDSVESYRAAGVLN >SECCE4Rv1G0240180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:440645808:440647629:1 gene:SECCE4Rv1G0240180 transcript:SECCE4Rv1G0240180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRERKKAAALQEKLQLLRSLTHSHALSNTSIIMDASKYIKELKHKVVMLNQEIACAAQDSRSRQTSYPTVNVETLGHGSFLVNALSDKSCPGLLVSILEAFDELGLSVLQATATCADTFRLEAIGGENPVENMDEHVVKQAVLRACSSHSGGGNKQT >SECCE6Rv1G0378560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9396530:9397800:1 gene:SECCE6Rv1G0378560 transcript:SECCE6Rv1G0378560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKDAYVGEDALSGGVELRRRYPVEHGVVKNWEDMEKILHHTFYDELDVAPEMQPVLLTEVPMNPKANREKMTQIMFETFNVPAMYVESQELLSLYASGRTTGTIVSCGDGVSTVVPIYEGKIVQHAVRRWDIGGRDLTEFLTRILSERGYRFTTAAELETARNVKEKLGYVALDFEREMSSSPVEESYDIPGQCAITIGDQRFRCSEVLFDPSMIGMEARGIHEAIYDAINKCDADVRRNLYANIVLAGGSTMFPGMADRLSKEVTALAPGGMKIKIVAPPDRNHSTWIGGSMLASLSTFQEMWISSAEYNECGPSIVHRKCLQ >SECCE5Rv1G0358600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756246715:756247770:1 gene:SECCE5Rv1G0358600 transcript:SECCE5Rv1G0358600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQCKISAAMVSEERSYVLKLDGYSRAKALLKNCECATSAPFSVGRHDWVVRYYPNGGSEGYDDFISVYLRLESADAEDVKAKFTISVLDKNGELVPSYSRTNSMETFSSKGDNWGCHKFVKKADLEASAHIIDDCLTIRCDVTIIHGKETRVPPSDLHRHLGDLLNKKDAADITFQVSGQSFSAHRCVLAARSSVFKAELLGSMEESYAASPVEICDMEADVFKSLLHFIYTDTVPPVLDLVMAGHLLVAADRYNIRRLKMICEEKLCSHIDSDMVATSLALAEQHGFHALKNACLQFLASPSNLEAMMASDGYDHLKSSCPYVFKELVAGILPAELKAAKDIIMTMWK >SECCE6Rv1G0387210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:108601777:108606954:1 gene:SECCE6Rv1G0387210 transcript:SECCE6Rv1G0387210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLTAVAGGRDDDMEEVALLGSYGEAEGPSSRKGQEEEEEEAGMRRAQVRVTGMTCSACTGAVEAALSARRGVRSAAVSLLQNRAHVVFDPALAKEEDIVEAIEDAGFEAEILPDSAVSQPKSQKVLSGQFRIGGMTCAACVNSVEGILKKLPGVNRAVVALATSLGEVEYDPTAISKDEIVQAIEDAGFEAALLQSSEEDKALLELIGLHTERDVNLLYDILRKTEGLRQFDVNSVRAEVEITFDPEVVGLRSVVDIIEMESSGRLKAHVQNPYVWSSSNDVQEASKMLHLLRSSLFLSIPVFFMRMVCPHISFINSFLLMHCGPFRIGDLLKWMLVSIVQFVVGKRFYVAAYRALRHGSTNMDVLVVLGTTATYVYSVCALLYGAFTGFHPPMYFETSAMIITFVLLGKYLEVLAKGRTSDAIKKLVELVPATAILLLKYKDGKYAGEKEIDALLIQPGDVLKVLPGSKIPADGIVTWGTSHVDESMVTGESVSICKEVSSSVIGGTMNLNGILHIQAAKVGSGTVLSQIISLVETAQMSKAPIQKFADYVAGIFVPIVITLSLLTFCTWFLCGTLGAYPNSWVSETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYIIFDKTGTLTQGKATVTTTKVFSGMDVGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSSKDDVKKRKEDAFSQWLLEVADFSALPGKGVQCLINGKMILVGNRALISENAINIPEEAESFLVDMELNAKTGILVAYDGDFIGLMGVTDPLKREAAVVTEGLKKMGIYPVMVTGDNWRTALAVAKEIGIEDVRAEVMPAGKADVIRSFQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNIVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >SECCE3Rv1G0206520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:909442727:909445576:1 gene:SECCE3Rv1G0206520 transcript:SECCE3Rv1G0206520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAAALSCSCSCSPSPSPSSTLLLRRTVSAFHCRPHAGVRLRPRLAPLHVVDDSKEVETRSETDRLVDGLNFGELCNDFECISSPYVESTARQIARDILEIRQDNRALSCYAVAVKYKDPLRSFVGREKYKRPLWITEALEKPIVTVQEMSMQSTSTLTIKWTLRGKPKNAFFAAAGGELVVRVDSQFVLNQISGQVLEHFESWDLSTSSPLAQAYFWFSRRVYSTVEAGKDTIEAAKGVASRLNKDDNLEVYPDPLGDPTKFFTRPDDLNQDVVQIGLFLAVLYFIVQFLKTTL >SECCE1Rv1G0028840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:407913671:407914239:1 gene:SECCE1Rv1G0028840 transcript:SECCE1Rv1G0028840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKADAPFLNTPIEHYHAMASIYGTMGAKGQNARSGNDLLSIDFEDEENGEVNTSPNVGESSHPKAPPKKKAKVKHVVDDPLVITLKDGFKLVAEALVKSSGDDDDIPDDLWDVISKLPDFDEEHLEHYYAHLVDNPKTARAFIKLTQFNKYVWVSRYVKKNF >SECCE2Rv1G0124520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833116117:833117166:1 gene:SECCE2Rv1G0124520 transcript:SECCE2Rv1G0124520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILLRLPTSSRRRARLVCRLWRDVVNERSRPKLLLWRADKAIAYVSGDLSSPPRTGSCTELWRCSEPGRPRYGLQLVGTCNGLLCLCDNTKGVGGTITLLNPATGEELPVRPLPCAGSFIGTHHSIEWGYAYSFAHHPITGQYKLVRVPSSHDRVCEFNAVHVLTLGEAAWREVPVEPGDSTTRLAAGIVSVDGTTYWVRVTGGGAAKIVSFDLRDERVVSTTIPMPVRRDHYRLTERLTEVHGRLGFITGPNVWVLEEGQRWSHRYNFKQDIPRRHFVYGEYVLTYERLSYYKHMPSLGRTPTGVGHWDKGTLVADMAPCEESSYRNYEAFAYVETTELIGVYATN >SECCE5Rv1G0297740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:5222493:5227419:1 gene:SECCE5Rv1G0297740 transcript:SECCE5Rv1G0297740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSCLRYQVCGFGLSWIWLCLLLLHLLQNCSLVLSDSPYLVGMGSYDITGPAADVNMMGYANTEQIASGIHFRLKSRAFIVAEPDDGKRVVFVNLDACMASQLVNIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIITSLGFVRQSFDVIVDGIEQSIVEAHNNLRPGKIYVNKGDLLDAGVNRSPSGYLNNPAEERSKYRYNVDKEMTLVKFVDDEFGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQNGLPKQTGHANSDDFGSLHLPRRVSTIIPEPDEITDDLMQLASSYKASGGRILASSNITRRIRNTQENNAKFVSAFCQSNCGDVSPNVLGAFCIDSNLPCDFNHSTCNGKNELCYGRGPGYPNEFESTRIIGNRQFLKAVDLFNSASEELQGKVDYRHTYLDFSQLEVSVSTSTGGQQVMKTCPAAMGFSFAAGTTDGPGAFDFKQGDDKGNPFWRLVGGILKKPGKEQVKCQAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQLVILSVPGEFTTMAGRRLRDAVKNVLISGSNGEFNSNTHVVIAGLTNTYSQYVTTFEEYQVQRYEGASTLYGPHTLSAYIQEFQKLATAMVANKEIPATNILPPDMLDKQIGLLPGVILDSTPPGVHFGDVSSDVAANSGFRKGSTVNATFHSACPRNDLLTDGTFALVERLNGDNWIPVYDDDDWSLRFKWSRPSKLSPESFATLEWTIPEDAVPGVYRLRHFGASKPLIGSIEHFTGTSRAFAVR >SECCE2Rv1G0064890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5521851:5525289:1 gene:SECCE2Rv1G0064890 transcript:SECCE2Rv1G0064890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKFRPLMAAAPTAKAAPAVAFSTAAERDGYAQYNHTDACQHLRWTARESYEYMYARPWSRVVDFYAELVRAGAGAQGLAELFGKDEKDHTLDTNGEEEPLVSCEKQMSAKSSKARGGRWERANFKIVLSYHGGSFDGWQKQPALNTVQGLVEKHLGQFVDERKAKQLQERSLPVEGYATVAGRTDKGVTALQQVCSFYTWRKDIQPGDIKDTINEAAPDKIKSLVVSEVSREFHPNFSAKWRRYLYIFPLDGDATSISEDEQSSTILENPGFKAPQSFDVAKVDKIIRQLEGKMLSYKIFARDTQASRSDGPATECFMYHSRAAVTKLYSADENCKEGATVMCVELVANRFLRKMVRVLVATTIREAAAGADEDALLSLMDATCRRATAPPAPAEGLCLVDVGYEDFDEQRCFIVD >SECCE5Rv1G0367360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:820679006:820681988:-1 gene:SECCE5Rv1G0367360 transcript:SECCE5Rv1G0367360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTTREGSGGGGVRQYNRSKVPRLRWTSALHRCFVHAIHSLGGQDMATPKRVLQVMGVGGLNISHVKSHLQMYRNMRNDVGMQGMQQVQQSGQKEHTRSTGGGTEVCTDDDGECRVPGYDSPKPRKESTTLPLHLHPQLKRRAGASETKTREEASASPTSVHRVQGGGGICEGRDMAPLSSHASAAAGGHYYVRTDMIQAQAVLQAPPMAAARLVEPRPWMPLGMKQTQKQRRELWMPAARLHRGGDGELAASTLKFLGFLVAPGRHPPRSRAACCDGYPIEVGTPPNRPAAYTASRTDGVRPCRLEPPAPGNVVDAGFTNLTLVGERDGGCSLSLALALCPAGGGGAESSMLSSSTVSSSSSSGSRISLDLSLSTLDS >SECCE5Rv1G0343010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:634411692:634414476:1 gene:SECCE5Rv1G0343010 transcript:SECCE5Rv1G0343010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-1 [Source:Projected from Arabidopsis thaliana (AT4G34960) UniProtKB/Swiss-Prot;Acc:O49605] MLRKAAVGFLACLVLYLAFSSYLRSQRAAYVQFPAVTHRVYLDVEIDGQNIGRIVIGLYGEVVPKTVENFRALCTGEKGDGPKGKPLHYKGTPFHRIIPGFMIQGGDIVRGDGKASESIYGGTFPDENFSVKHTHPGVVAMANSGTDSNGSQFYITTIKTGWLDGEHVVFGRVIQGMDYVYAIEGGAGTYNGKPRKKVLITDSGEIPREKWGEEAD >SECCE6Rv1G0433010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:755325312:755326826:1 gene:SECCE6Rv1G0433010 transcript:SECCE6Rv1G0433010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPDAAKGHAAGAMDVEDGTGGGKKAAGRWPGLVHFFFVLTVVMCALVYAPRFLSPTVPVDFLEPQRPSSGRAAAVQGRTVDGGRGNEGHALVLDNQVNSPCASMGGHGICCDRSDFNTDVCFMAGDVRTDAASLSFLLFPPPNGTANANATVAREKEERVQPYTRKWEKHLMANIQEVRLRAARPDEAQDGHRCDVRHDAPALVMTAGGYTGNLFHAFNDGFLPAWLTVQHLRRRVVLAVLAYNPWWAGTFRELVSGLSGHNVIDLLHDKRTHCFPGAIVGTRFHGILAVEPARTRDNRTLVDFHDFLAGAYKDDSTPEPQQQQPRRPRLGLYSRKGTRVIENEAAVARLAESVGFDVSILETANGAPLSSEYAAVSACDVLVGVHGADLTKLLFLRPRRAAVLQVAPLGVPQVARGCYEKATGMMEMQYEQYDAAANESSLVGKYAADDVVLRDPEAATRERGWELTARVYLGGQNVTLDLGRFGDTLRKLHSRALRLP >SECCE7Rv1G0493070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:563511319:563514389:1 gene:SECCE7Rv1G0493070 transcript:SECCE7Rv1G0493070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQPSEEPEEQVDLEGDDDVMDDEEGYRRRRHGGEDSDEPEEEPEEPQIEVEGDGDGDGREEDAGMAVASDEPAVGSGDEMEKGDGPEDEEEKMKWEELLALPPQGSEVFVGGLPRDTTEEDLRKLCEPLGEIFEVRLMKDKETKENKGFAFVTFTAKDVAQRAIEEVHDKDYKGRTLRCSLSQAKHRLFVGNVPKGLSEEELTSIIKGKGPGVVNIEMFKDLHDPSRNRGFLFVEYYNHACADYARQKLSSPDFKVDGSQLTVSWAEPKGSSSSSSDSSSSAAQVKTIYVKNLPENVSKEKVKDLFEVHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLSDKKPDHSFKSGGAPSYPLPPYGGYMGDPYGAYGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGMPPPPPPRRGDRRDGGGRGGEGSHRRYRPY >SECCE5Rv1G0359290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:761040944:761041444:1 gene:SECCE5Rv1G0359290 transcript:SECCE5Rv1G0359290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLSVVLLLALASAMVVTAQNSPEDFVEAHNAARADEGVGPVIWNETLAAYAQAYAGQRRGDCRLKTSPPGHPYGENLFGGSGADWTAVDAVTLWVSNKQYYDHVSNTCSAPPLKSCLTYTQVVWRESTAIGCARVVCDSGLGVFIICSYNPPGNLVGQRPY >SECCE1Rv1G0063560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:725861153:725865507:1 gene:SECCE1Rv1G0063560 transcript:SECCE1Rv1G0063560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVLLKFWKTKTKFLVFRLGLSPAPRLARVSFAPDPRRRPAVPFRPSGMAADMAGCCCCCSCFEFLRKLHHRPAAGDSDGAPSKDLLLPLPRSSDDGSFYAGANSSSSFLGDDGRSFYEREEEDYLLRQSDGEPPRKRSEDIILSRARNGFACRDSLVRDTRKLFRSEDETTGCKMINQYLHLGKIGAGSYGKVVKYRNIKDGRLYAIKVLSKPYMLKVRVVRSETAMTDVLREVSLMKMLDHPNIVNLIEVIDDPNSDKFYMVLEYVEGKMVCDNGIGLGEATSRKYLRDIVSGVMYLHSHNIIHGDIKPDNLLVTSTGNVKIGDFSVSQIFEDDDDMLWRSPGTPVFTAPECCQGSAYHGRAADTWAVGVTLYCMITGKYPFLGETLQETYDKIANDPVEIPGDTSPQLADLMQRLLYKDPGDRMTLQAAAAHPWVAGAEGPVPEFVCRCGFGRRNRNDSQEAVQ >SECCE2Rv1G0097910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:471323606:471324979:1 gene:SECCE2Rv1G0097910 transcript:SECCE2Rv1G0097910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSGNDLKTVMEKLLQAFEDQVDRDLPHREVLDHIFRILKANKEKIISEPPPPEVEKKEKLLRSIGDALQQCKARSRQQQSESDKAKSKKMTMPSVSDCNPFKSRPSQLSVDPLLEQTIAILGDVPPPSPSPDQEDAGEETVTEYYQWTTSYVDESRIYGWDKEANEVVDALVGKEEGEDDQVLFRTAGIAGIHGSGKTALAHKVFVHDRIKDAFPLRLWVCVGPPDHEDRFNLLYRMLDNLGLDTAKVEDIVDKADVVVKAATDEDKIKSKIGVLLFILYVTLYKTGYLIVFDDIRAYSDWYSNLTLQPPKDGEWYDRLAYGLPKARKSAVLVTCRSEDGARVMVRTGGVFHPPKLECEEGWKLFEREYKEAKEKDKDKGDKEEEDKLYKELQEMKKEIIGKCLGLPVAIVQAAKGFAALEHEPEADAAADQTATSKTEPGPATEATEANQSAN >SECCE5Rv1G0342330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631753039:631754363:-1 gene:SECCE5Rv1G0342330 transcript:SECCE5Rv1G0342330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peroxidase 40 precursor (EC 1.11.1.7) (Atperox P40) [Source: Projected from Oryza sativa (Os08g0302000)] MERATMALALLLATLAASAAASPVNKSCVTGSAGASVSIGYGGAGASAGAGVSLGADCPRAEEIVRAAVEQAVAVDPRMAASLLRLHFHDCFVNGCDGSVLLDDKPFFVGEKTAGPNANSLRGFEVIDAIKTQLEQECPETVSCADVLAIAARDSVVASGGPSWEVETGRKDSRTASLQAANSNLPAPTSGVATLVQKFTNVGLTAKDMVALSGAHTIGKARCTTFSARLASVGVSAKDTGFLQSLQQLCAGSAGSALAHLDLATPATFDNQYYINLLSGDGLLPSDQALAAPSGSGAEDVAALVTDYAFDAALFFDDFAASMLRMGRLSPAGGRDAGEVRRDCRVVN >SECCE6Rv1G0437430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:783569840:783578476:1 gene:SECCE6Rv1G0437430 transcript:SECCE6Rv1G0437430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPGSASKHTFSSLLKQKPAKTSPPRSRGTMAISMRDVDPAFQGAGQKDGLEIWRIEKLQAVPVPRESHGKFFAGDSYIILKTTARKSGSFQHDIHYWLGKDTSQDESGTAAIKTVELDVALGGRGVQYREVQGNETEKFLSYFKPCIIPEEGGVASGFRHAEINEREHVTRLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDTNHEGKCDVAAVEDGKLMADADAGEFWGLFGGFAPLPRKTFSEPNGKDTASPPKLLSVNKGQTVSVDCEVLTKALLDSTKCYLLDCGPEIYVWMGRETALEDRKQAGLAAEELLREGDRPKSHVIRLMEGFETATFRSKFNKWPKKAEAVVSDESRGKVAALLKRQGFNVKAVAKSAPVKEEPLPQIDCTGNLQVWRVNESEKTFLSFSEQCKFYSGDCYIFQYSYPGDDGEECLIGTWFGKKSIEEERATATSLANKMVESLKFQAVLVRLYEGKEPIEFFPIFQNLVIFKGGASTGYKKFVSENGIQDDTYSENGIALFRVQGSGPDNMQAIQVDAVAPSLNSSYCYILHDGDTVFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKILGIKSEYSSQKIAREQESDAHLFCCTFLKGVLKVREIFNFTQDDMMTEDIFILDCHSCVFIWVGQNVDAKIRAQALSIGEKFLELDIPMENLSRETPLYVINEGSEPQFFTRFFTWDSAKSSMHGNSFERRLSILKDGIKPRRDKPKRRPTTSSHTGRSSVPDKSQRRSTSFSPDRVRVRGRSPAFNALAATFENSNARNLSTPPPVARKSFSKSSSPDPVKPPQRAASIAAMSASFERPRQTLIPKSIKASPEVKKPQSEASKPKPEEDAKESTPAAKDGQTVTPTIQEDVKEDQPEDEEGLPTYPYDRLRTSSSNPVTDIDSTRRETYLSSAEFREKFGMTKEAFAKLPKWKQNRLKIALQLF >SECCE3Rv1G0202460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:874189932:874191440:-1 gene:SECCE3Rv1G0202460 transcript:SECCE3Rv1G0202460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGAPFDALSSLDPETFAGESRAVINFLADYYRDVETYPVQPQALPGCLRALLPDAPPEDGEPMEVILEEVRTHIVPALTHWQSPKFFGYSPMNASTAGFAGEMLSTGLNIVPFMRAASPAATELESAVVDWMGRLAGLPDRFLFSSGGGGVLHGSTCEAVVCTLVAARDRALSRLGHEGILRLVVYASDQSHCTFQKGARIVGIPRSNFRVIPTTAASGYGLTADSVRDAVEADVASGLVPLYLCATVGTTGLGAVDPVRDLGELARRHGIWLHVDAAYDGSALICPEFQHHIDGAELADSVSMNPHKWFLTNMDCCCLWVASPVALTSALSTNPEYLSNVTDGGAGAGVVDYKDWQIALSRPFRAMKLWAVLRRYGGAGMRAYVRRHVEMAMWFEQALEADGRFEVAAPTRFSLVTFRLRPRHEGDDDAVDCLNRRLLVAVNASGRAFMTHFVVDGKFVIRMAVGGAMTEMRHVQDTWELLREKAEEVGATPKYSGHD >SECCE2Rv1G0139190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:925839263:925840120:1 gene:SECCE2Rv1G0139190 transcript:SECCE2Rv1G0139190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMESTSPPPGAVLQVVFVDGERSVDLGTVTVHPSLGVRRLQAVVADRVGVAPQQISASLARPRRQRRVPLDEGADLAAAVAREGAGCYVLAGLRRSRRDRRGGRSRRDRKGAGAGAGAQQPLLMAPSSERTILKRLPSTDLASLAGPAPAAAFGGWDYEAQLRELQRQHEWYMMSTTAPDPYLLPPLLDDPPAALWPARPSTPCPDCEAAAALGLREPAFHWCVRDAVTVGFRSPVGPIERPSSARRSPSQTPSPSPSPPPFAPAAGRHLQSFLHPGLAPLCY >SECCE4Rv1G0223340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:68856578:68857880:-1 gene:SECCE4Rv1G0223340 transcript:SECCE4Rv1G0223340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSKVPDGCTLLERKTPHQIARGRVIKLTTMAAQAQQLTVPTEAELLQGQADLWRHSLYYMTSMAFQCIVKLGIPTTIHRLGGAASLPDLVAALSLPPAKQPYLRRIMRLLATSGVFTADNSTDVVTYRLTPLSWLLLDDVAVDGHPSQTSVVLASTSRHCLEAAMGLSDWFTKDVTASPFEDLHGVALFDGSMAEQDPETDAVFNDALASHDNFGFLTVLRECGTIFQGLESLTDCCGGDGTTARAIMEAFPQIKCTVLDLPQVIDNVPADGVVNYVAGDMFKSVPPAQAVLVKLVLHHWSDEDCVKILAQCKKAIPSREEGGKVIVIDILVDPSSGSTYEAELLVDVAMMVTTNGRQRDETDWSELFMKAGFSDYKIVKKLGARGVFEVYP >SECCE5Rv1G0364720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802761883:802762359:-1 gene:SECCE5Rv1G0364720 transcript:SECCE5Rv1G0364720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRFGEETEVDGAAIAHEVMMLLAARSAAMGAGEPVAVARPRAFECKTCNRQFPSFQALGGHRASHKRPRGDPISEQAPAPAPARRHGCTVCGVEFALGQALGGHMRRHRAHEQEESASGPAIAQREPGETRRGLLLGLDLNAPPAEQALELSLWA >SECCE1Rv1G0030810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:433929722:433934739:1 gene:SECCE1Rv1G0030810 transcript:SECCE1Rv1G0030810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFAVDAAAASSAAAAAALNGAVDWWKDVNDSPMWQDRIFHALAVLYGIVSVVALVQLIRIECRVPEYGWTTQKVFHFLNFVVNGVRSIVFVLRRNVQLIQPEILQHVVLDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINGVVYAIQIILWLVLWWKPVRVMVILSKMFFAGVSLFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLIRCVMMCLNAFDKAADLDVLSHPILNFFYYLLVEIVPSAMVLFILRKLPPKRGITQYHPIH >SECCE6Rv1G0396360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:364014453:364024198:-1 gene:SECCE6Rv1G0396360 transcript:SECCE6Rv1G0396360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEEDDTEEEDDNEDARALVTGARFSCNDLECSFEESGDELDSSNTSYHLMEKRSLERSILLELERDHRLKIQEEVRSKLSSLEVCHQNEIQRTISAFARLQKYAESRKEIDRRLDVQFQRKIAEVLDKHLSMVQRDHEQKSQIVERRIRDDAAVEEAKRREQSMKEEKIKQERARQEAEARQKATAKLAADAQKAAYEAAQKLVVEKEAAKSRAEAVSTSSQISQNSVAHATMSTSTEIKSELPGIKIYADRSALEAESWRRALHDQVPSNIYLSKEYSRYDRQIGKSISKLMPTTDSVKARASELIKALDGQDCPRPIACRLFADKMISIVKSRNPTDKTFGKLAFACGYVMLLVTNQVPDAMDYLLAEFNKVCMYTVPKHLHALNAQARNTDYFRLIGYQEEDGKLQSTEKYLVNVVAYVKLYAAMIQTEIKGVRHPHGLAEGWKWLAMFLNTLPAIPATAFALHAFLKVAGFALHKKYGSQFMKILDVISRHFIPALKAQGSKVQPEAINNLQNYLSDKIYLEEPEGQYLAQQLLSKMFL >SECCE6Rv1G0423390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695987701:695988573:1 gene:SECCE6Rv1G0423390 transcript:SECCE6Rv1G0423390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGAGRVFPFPVPQQQAPSSTRRFPPPMPSLAPYYYSSPSSSSSPATSSRLAHHVTLSLTSLPILVLTVLGILTTSALLLTYYVFVIRCCLTCHATTSDHDSGAPRSLVISLSRRRRPRHSSGVADHELPVVHGPEPDEGRGGLGEQAIRALPAFRYSKAAKDNADATGGDASECAVCLGEFQEGERVRLLPGCLHVFHAECIDTWLQGCASCPLCRAAITATTGKQAPLIDQPRRPEELVIQVQVNLAGTGEEGALTQQQEVALKNSTHFHGDGSGGGSSSNGAHCR >SECCE7Rv1G0508460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:772330582:772337324:1 gene:SECCE7Rv1G0508460 transcript:SECCE7Rv1G0508460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQPPADDVVVQLSAAAVAAVDERYDDNAGAGHGGGARRTFSQHYKMEHRKAPDITTWWQVGVLSYQTLGIVYGDLGTSPLYVFSTVTLPNPGEEDFLGLLSLILWTLTLIALLKYTFVVLRADDHGEGGTFALYSLLRQHVNFSESMPMPVTRLASDINLKFHSKKKKLPSKIREFLERSTVAQSVITYVVLAATSMVMGDGALTPAISVLSAVQGIQTRSPAITQDHVVILSVIILVILFLFERYGTSKVSFAFSPIMLLWFLSVPFIGLYNIIKYYPSVLKAASPLHIIPFFVKNKRKAWEQLGAIALCLTGAEAMFADLGHFNKSSIQVAFSAIVYPSMILAYSGQAAFLIKNPSKLSTTFYSSIPEPLFWPMFIIATLSAIVASQALISASFSIIRQSVALGCFPRVTMKHTSEKYEGQVYSPEVNYFLMITCILITVGFRGGPQIGQAFGTVVIWVMLFTTTLMTVVMVVVWQTNIIVAGLFFVVFFSIEGIYMSSLLNKVLQGGWVPFAITAIFLAITISWTYGRRKKNEYDAANLVGKHDFIKIVTGSSQVPGICIFCTDLMNGIPPIVRHYVKHTGSIREVMVFVTVRILPVRSVLPEERFLVDKLDHVGVYRCILQYGYMDNHTMDDDDFVVLVVASLKQIADNDEILLLDSAFTNGTSFVIGRTILKMSNRQNCFKRFVINSLYRFLQKNFRSNMSSLKIAPGKTLQVGMHYEI >SECCE2Rv1G0135220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905845781:905847190:-1 gene:SECCE2Rv1G0135220 transcript:SECCE2Rv1G0135220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGVAAAAVALVFLVFLAASSSAAGAHVDDAAEHGVPRGLTRRTEADVRAMFDAWLARHGRSYNALAEYVRRFRAFRDNLDFVDAHNARAAQRGGFRLGMNRFADLTNAEFRAAYLGAGAAGRARNAVGDRYRYHAEDVLPESVDWRQKGAVAPVKNQGQCGSCWAFSTVAAVEGINKIVTGDLVKLSEQELVDCSRNGQNSGCNGGIMDDAFDFIVRNGGIDTEEDYPYIAKEGKCDLAKKARKVVSIDGFEDVPADDEASLMKAVAHQPVSVAIEAGGREFQLYESGVFTGRCGTELDHAVLAVGYGTDADGGKDFWLVRNSWGPGWGEGGYIRMERNVTASSGKCGIAMFASYPVKNGPNPKPAPPAPEEKCDRYSSCPAGSTCCCTYGVRSVCLAWGCCPAEGATCCRDRATCCPSEYPVCNAGDRTCAKSKGSPFAVDALPRTPAKRQRTAVSQLVDSIFSF >SECCE7Rv1G0518470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859205321:859207939:1 gene:SECCE7Rv1G0518470 transcript:SECCE7Rv1G0518470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTQGAIDGLLGVLAKAITDEAQLIGGVPGDMQFIKDEMDSMNGFLLHLTKTEGQHDDQVRAWMKQVREIAYVAEDCVQRYIHDMVPHEAASCGRLGALAAMAHLILLHPKKFYRLHNLGKQISELKVRVHDVGERRLRYGVTVPAGPDVKLAPMTPAGQQEEKREAFVHALDLELQQDVVGSKAWWRAKHEARLRSALRRATAVGGLLPAALPSAVVRYISLSRAIIHRLPPLIQSEAATVHGILKKCSQDNGGDAAAFRCTKKMFLCALYAYPYVTNQELEKLKEKLEGRTEDPKKEVMIFCYSMLTISQKSSLQYLTAFLHESEISRTSMVRRWVAEGLVGKESGGAGGGGGRTPEEEGECYFNELLFRGFISPARFSDAGTVKSCVMDKPVSDFILGITESENFEVSLPAHLERQLNIRKIVQRIQPPQQKQRRAADRWRNITPRCCNNLCGHSAFPEEDHPMDALVDFLEKLPELYRLNVLDLGGCKGLKKRHIKSIGDVVWLKYLSLRNTDVSHLPTCHINKLTLLETLDIRGTSIRPHDTEKINLPKLKHLLAGRYLKPGEKVSLITVRMPGKIGSMRCMETLSHVQVSKYGTELRGVAKLRQLRKLGVVVHGNADSAAHLGRVLHALSGCLRSLSVFITTQGWALDELSSSSTQEMMMGATPRPSFILENLDIKGKISGLPSWITKAQKLANVTLRHTELSGEDALRRLAGVLSLRCLKLNGAAFIEQQLVFRVVQFKALKILVLEGGPITTITFLSADAAPALQKIVWAIGSSSRVRDGEDLIVGINYLPNLKAIELRGDFNTTSLLDWLQVTAESTSDPRYHIRYMLSSTTSSGNELITEVPKTARHTTVSIPVAVINQH >SECCE6Rv1G0390070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:172945143:172948571:1 gene:SECCE6Rv1G0390070 transcript:SECCE6Rv1G0390070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEGASLPSPAGGEDSRRRRVSYFYEPTIGDYYYGQGHPMKPHRIRMAHSLVIHYGLHRLLELSRPFPASEADISRFHSDEYVSFLASATGNPTILDPRAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKGEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTVSFHKYGDFFPGTGHITDVGAGEGKHYAVNVPLSDGIDDDTFRDLFQCIIKRVMEVYQPEVVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSFNIPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYNLHIQPRIVENLNTTKDLENIKNMILDHLSKLEHVPNAQFHERPSDPEGPEEKEEDMDKRPAQRSRLWSGGAYDSDTEDPDNMKTEANDLSANSIMKDASNDDL >SECCE1Rv1G0031770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:446579625:446580080:-1 gene:SECCE1Rv1G0031770 transcript:SECCE1Rv1G0031770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKTSSTVVAMSTLALTASILLLAASSDAACPSKSKPTPSAPTVTGGSGSGGGKCPVDVLKLGVCADVLGLGDGLTNLMAGSWSTASSGKKPCCELVSGLANLDAAVCLCTAIKANVLGVVDVSLPLQLGLLANHCARKLPAGFQCPN >SECCE4Rv1G0250390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:591866742:591869523:-1 gene:SECCE4Rv1G0250390 transcript:SECCE4Rv1G0250390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMRRSSGAAAWVLGVAVAAASLFSAAEAAGAAPVPHDYEQALRKSLLYFEAQRSGRLPHGQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLLEYGGDVAAAGELAHALESIKWGTDYFIKAHTKPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRERPGSDVAGETAAALAAASMAFRETNPHYAHLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRASYLDYVVDNAHEFGGTGWAITEFSWDVKYAGVQILATRLLLRGEHEERHRATLEGYRAKAEHYVCACMGRNAAAEDNVERSPGGMLYVRQWNNMQYVTSAAYLLSVYSGYLTEAGEGAAVTCAGGGGSAGAGEVFAHARAQVDYVLGSNPRGISYLVGYGAKFPARVHHRAASIVPYKDRKEFIGCAQGFDDWFGRKSANPNVVVGAIVGGPDRHDRFRDDRVNYMQTEACTYNTAPMVGMFAMLNRVARQQGPSPAAGRPESSRSTAAAE >SECCE2Rv1G0138220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920985629:920987915:1 gene:SECCE2Rv1G0138220 transcript:SECCE2Rv1G0138220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-19 [Source:Projected from Arabidopsis thaliana (AT2G20740) UniProtKB/Swiss-Prot;Acc:Q940P5] MAGRAAVRSCVQTALKAANSLVGLAGMAVILYALWVLRAWSQQAADHLPAPWFIYTLLSLGIIMCLLTCSGHIAAETANSPCLSCHMIFVFLLVILEAAIATDIFLNSYWEEDFPDDPSGKFDEFKHFVRSNFDICEWVALSVVAAQVLSIILAMVLRALGPDNEIEYDSDDDAVPARLPLLRNKPQHGPSYGEPNSPRRIDSWHVRILDKANEQ >SECCE6Rv1G0424370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:700081638:700082583:-1 gene:SECCE6Rv1G0424370 transcript:SECCE6Rv1G0424370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQQEPSKAGAVAAPPGFASEPAVGGAVLENGPPAPGSATDRDAVLAKVEMERKLSMVKAWEENHKSKARNRAEQRMSSIMSWENTKRAAVQAKLRTREEKLEKKKAEYAEKLRNRIAMIHKEAEEQRAAVEARRQEEMIKFQETAAKHRSQGTTPAKKFLSCFG >SECCE2Rv1G0085790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:196615765:196617267:-1 gene:SECCE2Rv1G0085790 transcript:SECCE2Rv1G0085790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQKLRRLVRGPRRAAGEDRLSALPDELIGLIVGRLDTRTALSTAVLARRWAHIPRDLPALNFRVSDILPPEYDQAVALRQDNLPPDPAMAGIGDGFLARCEMGSTRALADGVTSFLAANRDGDARRRVKALRLEFFPTDHGGCIERLIAAAVGSWGVEDLEIVVRPASGHHDGPAYPFPDDCLDDGGRSRLRSLALGNTTLPPLHSYEALTTLVLQGVAASTPVAVYERLFSDLTRLELLHLISCSCTADLDHLVVDAPGSAIRELVVEECAFSRITVRALPMLARLACIGTTVVLRLDSVPNLTQANLTFWGSKKPSNFLGKAPAATTSLVLRFTGPSRWVVPMHLATPFLGLKRLLVADLPSNWDVSWPRMLVLAARSLEVLHIHVAHSHEKQGEEIAWWLWPISKRKLRHRNMKEVVMIGFTQTSRQIKFLRDLVRMCRSLQRVVLLRDGQVRYNGLWDWKMVGQPECPWSTDDKMAVTKMIKPASRPLVDVLLG >SECCE2Rv1G0066730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:15167528:15168654:-1 gene:SECCE2Rv1G0066730 transcript:SECCE2Rv1G0066730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALRHFLVFFLGAAITAVFVVLLLPPSPSPCPCDGTPAADQKLASSDQAAAMKKLDTLQGVNNAAWEREEEDDEKLAELLRSAAMDDNTIIMTFTNEAWTAPGSLLDVFLESFRIGVRTEPLLKHLVIVAVDGKAFEGCQRVHPLCYRLAATVDFAGEKLYNSPDYLDMMWARNKFQARVLALGFGFVFTDVDIVWFRNPLLRIPMGADIALNCDWFSGDNPYDLNKTANGGFLHAKPRARTLAFFADWYQARTRYPGEHDQFVFDQVKHELAARHDVTVQFIDTQYLSGRCEPRMDFRKLCTFHANCIIGLQYKLEYLTGVLDQWKRFKAHEELLGTNSTALN >SECCE3Rv1G0202610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:875711880:875715324:-1 gene:SECCE3Rv1G0202610 transcript:SECCE3Rv1G0202610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAAAPAGVDPRSGFCAATRAFHTVRSSSAGALPPESLPVTAAAYAFSLLASPLPDRPALVDAATGIAVSYPSFLAAVRSLAGGLWSSLGLRPGDVALVVAPSRLDVPVLHFALMSIGAVVSPANPASTPEEYAHQVALSRPVVAFAAPEVAAKLPAHVRCVVLGSDAYRSLASAGAGSAPPPVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALICAHAENREMVAAEATEAGEEPPPPAVTLLPLPLFHVFGFMMVLRSVSMGETAVLMERFDFGAALRAIEQYRATLLPAAPPVLVAMIKSEEARRRDLSSLLVIGVGGAPLGREVAERFVAVFPNVQIVQGYGLTESSGSVASTVGPEESKAYGSVGKLASHLQAKIVDPSTGEALGPGQRGELLIRGPLVMKGYVGDDKATAETVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQSHPEITDAAVIGYPDEDVGQLPMAFIVRQPGSNLTGQQVMDYVAKHVAPYKKVRRVVFVNAITKSPAGKILRRELVQQAMSMGASKL >SECCE1Rv1G0017550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:156818953:156822632:1 gene:SECCE1Rv1G0017550 transcript:SECCE1Rv1G0017550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFLVWNMRLKCPARKAVCHCNPLALFFLVVATAPAMAISFLSTANTSFVPFRRLPRHKPTAAPSLPFPTHRRRSPSCSLLPPQRRRLSSSKTPTTSLLSSITAASRTLLFLLVASILSLSGVRRPLPSLAAPPPPTQQPQDDTKGQREEEPDQGDQEEEDEAEWFRKEEEEVEAAWMQPSDEEEEEEDDDEVQMYLEVLSEDPGDVDALKCLLFARMRRKDWGGALRYAAQLREAEPGEVEWRLMEALLHELNGDIATAERLFQEVLAEKPLLVRALHGLALCMHKRLEGPTVFEMLEKALQLAVSEQRVPEERNIKLLIAQMHVVKGDLDVASDKLRTLINEDPRDFRPHLCQGIVYALLDKKEEADEQFDVYRSLVPDEFPDKSFINDVILSAKVESKDRLQKDFRSEYLSKK >SECCE7Rv1G0473810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:161663746:161668770:1 gene:SECCE7Rv1G0473810 transcript:SECCE7Rv1G0473810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVWQLVGAAAATLLAAALVAVALGRQRQRRRRRAPVEGIPAPVDGCAVADGEGSAAVDGPTDVIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLMELGLQDCVDEIDAQRVLGYALFKDGKNTKLSYPLEKFHSDVAGRSFHNGRFIQRMREKAASLPNVQLEQGTVTSLLEENGTVKGVQYKIKSGEELKAYAPLTIVCDGCFSNLRRALCSPKVEVPSCFVGLVLENCELPHANHGHVILANPSPILFYPISSTEVRCLVDVPGQKVPSIASGEMANYLKTVVAPQIPPQIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASALCKYLESFYTLRKPVASTINTLAGALYKVFSSSPDKARDEMRQACFDYLSLGGVCSNGPIALLSGLNPRPLSLVAHFFAVAIFGVGRLMLPLPSPKRMWTGARLISGACGIIFPIIKAEGVRQMFFPATVPAYYRAPPEAEF >SECCE1Rv1G0037010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:515725901:515730055:-1 gene:SECCE1Rv1G0037010 transcript:SECCE1Rv1G0037010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARRKSSPSWAAAIALVSLFAVAGVAAAGAGAPRRILVDTDMDTDDLFALLYILKQDRSQFDVKAVTISANAWIDAGHGVNQLYDILYMMGRDDIAVGVGGDGGISDAGEIDPDVGGYLPLIDQGMSTVGGCRYRQAIPPGRRGGRLDTDTNGGLRRGFLPQGPRGYVPLRQPTAQQVMVDTVSAGPTTLLLFGTHTNAALLLMAHPHLRRNVERVYVLGGGVRVTGNLFTAYGANPFAEYNVFGDPFAAYQVLHSGVPVTLVPLDATNTIPVTEEFFAEFGRRWQTTPEARYCFQSLDQVLRRHRRPAPGLHGSTGYYMWDSFAAGVAFSSMRNGEANGANDFSELEYMNITVITSNKPYGVGDGSNPFFDGRAAPKFGLKVGGVHSGHVQTGIRDSFCLVPGNDTGRCQDGYTKEVTGSEGVRVRVATSAKPNTDNNSAFDREFSKNFLEVVNLAKQAGRFNISTQFPYYREVLYKPDFINVSRGKPVIFDMDMSPGDFVSLIYLLKAPREVIDVKGVLVNGNGWANIASIDIVYDILHMMGRDDIPVGLGNTTAMGNPTLGCNNVYAIPLGSGGFIDSDTLYGLARLLPRSPRRYTPESTDDPEHRQPLAFEVWQSVKRQLCPGDKITLLTSGPLTNLANISLSDRDASSVIEKIYVVGGLIKDEGHEKGNVFTVPSNRYAEFNMFLDPLAAKTVLESNLNITLIPLTAQRKTASFESALEALEQTQQTPESKFVRELFALLKELRSKEKLYHHVDIFLGEVLGAVYMVQGSDLKSTVKLKQISVLADTMKNTDGQIVISKESTKLVHVLSDFNVETYYNRLANSLTNKKQSAIVASFEEQKAIWSRPPNNSGPGHIKFL >SECCE1Rv1G0025840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:360824196:360826211:1 gene:SECCE1Rv1G0025840 transcript:SECCE1Rv1G0025840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEAGSGTPYVALRLVPSQLSLVPGHTVHAVFELSIYNHSKGMYCGCKASYNFDFKNTYSKEHCLIPLQELLKSSAFLVDDSCVFAVEILKIDVSSPEKKAVVVQKKATIVQNLFVQNKGFVKGTYTWTMNNFLELDLKHFVRSPTFEVGGQKWYIGMYPHGDKYSTDCLSLYLYPDASDEHQFESKKVAIMTLIILDQKNGKHFTRTSGLWVCGQGWGWPNFLGLKKLKDPLGGYVVGSSCIVKADLTIVGSSNDG >SECCE2Rv1G0076150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89122898:89125521:1 gene:SECCE2Rv1G0076150 transcript:SECCE2Rv1G0076150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRAAASLASPPSGARPGRRPASVVRATASPAVLDKRNRRREQNVSGEFFVDHRCIDCATCRWMAPEVFKRVDGMSAVAAQPSSEEERTKALQALLSCPTASIHTDKPTEDILQVQNTFPLPIDDDLPGVYLCGYHSESSYGATSYLIVHPEGNIMVDSPRYTPKLVDKIEKLGGARYMFLTHIDDVADHRKWAERLKCERIIHSGDVVDITADVEWKLTGSGPWNIGNDFELIHTPGHTEGSVCLLYKPLKALFTGDHVAKSEYSDELNLFRMYSKQSVNLQLDSMRKLLDLDFEWYLPGHGYRIRYEDVQAKNAAIDSLLANYKN >SECCE6Rv1G0413490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:623872594:623874930:1 gene:SECCE6Rv1G0413490 transcript:SECCE6Rv1G0413490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTRPLCLLLAVTAGAMAAMAAAEDLEMEAVSSYIVHVAPPHAPRLPRRGLLATRPYAAFLRNRIPVEMSRPAPRVLYSYAHAATGFAARLTARQAARLASSGSVLAVVPDEVQELHTTLTPSFLRLSESSGLLPASSGAADVVIGVIDTGVYPEGRRSFAADPSLPPPPRRFRGGCVSAPEFNASAYCNGKIVGAKFFRRGHEAARGRRAVGETVSMSPLDTEGHGTHVASTAAGSAVPDASLYGYGEGRAVGAAPGARIAVYKACWKGCASSDTLAAFDEAIADGVDVISASLGTMKARKFYKDTTAVGAFHAVSKGIVVSASAGNSGPGESTVVNVAPWFLTVGASTINREFPADVALGNGETFTGTSLYAGKPLGRTKVPLVYGGDVGSNICEAGKLNPNMVAGTIVLCDPGVNGRTEKGHAVKLAGGAGAILGGDEAQGEQARTSAHILPASAVTFAAAEKIKKYLRTEASPVATIVFHGTVVGRSPPSPRMASFSSRGPSRLVPEILKPDVTAPGVDILAAWTGVASPSLLDGDARRVQYNIMSGTSISCPQVSGIAALLRQARPEWSPAAIKSALMTTAYNVDSTGAVIGDMSTGRASTPFARGAGHVDPNRAADPGLVYDASTEDYIAFLCALGYTAEQMAVFSPATNCSTRAGAGVGDLNYPAFSAVFGPEKRAVTQRRVVRNVGSNARATYRAQIASPAGVVVTVRPQKLRFSATQGTQEYAITFAPRMFGNVTEKHTFGSIEWSDGEHTVTSPIAITWPASQVADM >SECCE7Rv1G0515730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:841034012:841037720:1 gene:SECCE7Rv1G0515730 transcript:SECCE7Rv1G0515730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSKKKQRSAWGALLGSCLGGEGGKTGKQVRPGPGPRKRESAAAAVGAGGRLSFTDVMSAASEQELSVSLVGSNLHVFTVAELKAATQGFLDDNFLGEGGFGPVYKGAVDDKVKPGLKAQPIAVKLWDPQGAQGHKEWLSEVIFLGQLRHTNLVKLIGYCCEDENRLLVYEYMAKGSLENHLFKKFPPVLSWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDPDYKAKLSDFGLAKDGPEGDETHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILTGRRAVDKTRPSREHNLVQHMRSWLKDPEKLGKIMDPALEGKYATTGAHKAALVAYQCLSGSPKSRPDMSKVVEDLELLLNLVDDVPGEAVMHAASQDDTRKERTRRRNGERESSNGDHQNKARPPKKTVRGRGNQSEELWEWNTPGEGRM >SECCE1Rv1G0030640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:429985474:430000786:-1 gene:SECCE1Rv1G0030640 transcript:SECCE1Rv1G0030640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MASKFGLAGGIPERRVRPIWDAVDSRQYKSALKLCTALLAKHPTSPYALALKALTLERMGKPDEALSVCLEAKELLYSNNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVKQQQTALRMYKTVGEERFLLWAVCSIQLQAYFSSGGEKLLAFAEALLKKHISSHSLHEPEALSLYVSILEQQAKYDAALEVLSGDLGSLMGREEDKLRLQGRLLTQACNYVAASEIYQKVLESCPDDWESFLHYLGCLLERDVKLPKPTTGEHTCSSCSVDSNKTSLSEEVVESRLASALLFVQKLQKNDSSDCVRGPHLANIEIERQHRLSGNSTKFMEALVNYFRRFGHLSCSSSDVEIYLHMLSGDEITELLDTISRSFDASSVSVKALGLTITTFKVQELLGTLSSKSTTDLQCIAKGMVETFYKNLPLSRDLDPQESMHGEELLSMASNILVQLFWRTSNLGYLLEAVLVLEFGLTVRKHVWQYKITLVHLYSYLGALPLAHRWYVSLEVKNILLESVSHHILPQMLSSPFLQQTASLVKDYLRFMDDHLKESADLTCLAYRHRTYSKVIEFVQFKNRLQRSMQYLAVKSDSVILSLKQKSESLEEVESVLENVNHGGGLVDLSSEDNMKHLTFNEDLEARPWWTPTTSINFLSEPIDESLTPACFRAKVCKHKSTEKDGPKMRDAERKCLVPRLVYLSMHGCVSSLRETEPNGSVSDITVGEMKTLLEKYARTIGYSMDDALSMILGISSGKKSMKDFAPDIVSWMSFAVFINAMNLWSNESVIPRTDPSSPSSWEIVDSLVKICIEEHLTDANRILSCPGNKIPLLVQMVTEPISWHLIIIQSCMRVVAPQGKKKKKSGPSLRPNMPQLQGIQRSVQCLIETLRSVQKWLSDQMSPEEQGLDILMSYLQGTGDEGPGQTFRVLEEKPAAHASEFGDRIAQSLEAWSSTGVVRRIVGAEHEVLAEFKKMVDSKLKLLMSESASLSSVSH >SECCE4Rv1G0285450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:844755161:844757381:-1 gene:SECCE4Rv1G0285450 transcript:SECCE4Rv1G0285450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASFRFRRRLPRPPRPALEDDDLLCEILLRLPPQPSSLPRVSLVCRRWHSLVSRPAFRRRFRVHHRRGSPPLLGFFDGHHRNTFQPALGAPDRLPRGLFSLELDGSYTTLGWRHGLALFFFPVSLQVLVWDPVAGDQHRLPVPPEFRLDLVVDSGAVLRAATAATDAGDHFQVVLVGSDPKQPRRVLACVYSSEAGAWGDFISAPVSPETFFFTGKPAVLAGDCLHWSVTAPGSGSRSILKFDLDRQSLAMELLPGDMYTPGSPAFAVIRAEGGRMGLLFLSGFTAQLWSTVTDGDRVEIWEQGRIIELDKLLRLHSKKDPPHLIGYAEENSVVFLWTVVGVFMVHLESLQFKRISKATIGSHYHPFETVYTPGTSDGKRRAEVLRHSWWTRWRQYIRQLLS >SECCE5Rv1G0361630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780508884:780515561:-1 gene:SECCE5Rv1G0361630 transcript:SECCE5Rv1G0361630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G23880) UniProtKB/Swiss-Prot;Acc:Q9LKF9] MGTSVQVMPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDHCDPALLQPLASVAPTIDAVLLSHPDMMHLGALPYAIKHLGLSAPVYATEPVFRLGLLTMYDYFLSRWQVADFDLFTLDDIDAAFQNVVRLKYSQNHLLNDKGEGIVIAPHVSGHLLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTALGSFVRPAVLITDAYNALNNQVYKRQQDQDFIDSMVKVLSSGGSVLLPVDTAGRVLELLLTMEQYWAQRRLVYPIYFLTNVSTSTVDFVKSFLEWMSDSISKSFEDTRDNAFLLRHVSLIINKEELEKLGDAPKVVLASMSSMEVGFSHDIFVEMANEAKNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRVPLVGDELKAYEEEQERIKKEQVLKASLSKEKELKASHESNAKASDPMVVDASSSRKSSNAGSHVGRNVDILIDGFVSPATSIAPMFPFFENTADWDDFGEVINPDDYMMKQDEMDNNMMLGAGDGMDGKLDEGSARLLLDSAPSKVISNEMTVQVKCSLAYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCAKNSDLHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVISKKLGEHEIAWVDAEAGKVDEKLTLLPHSSTPAAHKSVQVGDLKLADFKQFLANKGLQVEFAGGALRCGEYITVRKIGDSNQKGSTGSQQIVIEGPLCEDYYKIQDLLYSQFFLL >SECCE1Rv1G0003480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13962491:13963637:1 gene:SECCE1Rv1G0003480 transcript:SECCE1Rv1G0003480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSVAVLLLCVGVCVARNSDFSIVGYSEEDLSSHDRLIELFEKWLAKHQKAYASFEEKLHRFEVFKDNLKLIDEINREVTSYWLGLNEFADLTHDEFKAAYLGLSPATTRRSSSRSFRYEDVSAHDLPKEVDWRKKGAVTDVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTALSEQELIDCSVDGNSGCNGGMMDYAFSYIASSGGLHTEEAYPYLMEEGSCGDGKKSESEAVSISGYEDVPAKDEQALIKALAHQPVSVAIEASGRHFQFYSGGVFDGPCGAHLDHGVAAVGYGSDKGKGHDYIIVKNSWGAKWGEKGYIRMKRGTGKGEGLCGINKMASYPTKDN >SECCE2Rv1G0064970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5865089:5867454:-1 gene:SECCE2Rv1G0064970 transcript:SECCE2Rv1G0064970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGDGSDLELQKQQWARTQDVLKGRLVLEDDFEWSLPSVSSKPGQSDARGKLKYIGGVDISFLKEDPSTACAAVVVLDADTLEIVHEEFDVVRMQVPYIPGFLAFREAPILLGLLEKMKINAHHFYPQLLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNLHHVDGLDQSEVRKQLEAKGNCNKECISLTGQSGTTWGAAICSCPGSSRPIYISVGHRISLDSAIGIVKYCCRYRVPEPTRQADIRSKVFLQKHQRLQQ >SECCE2Rv1G0097360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:457461390:457465511:1 gene:SECCE2Rv1G0097360 transcript:SECCE2Rv1G0097360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYADVNVVRPKEYWDYEALAVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYINNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDGLNAYLNKYRIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRTRAQ >SECCE1Rv1G0055720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:682567266:682568054:-1 gene:SECCE1Rv1G0055720 transcript:SECCE1Rv1G0055720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEEIRGSIKKKKKTTTGCFAPIFAACVPSVRQQPGGDDDAARSRLSFSFAEQNQPESIIDPAASVVARKDGRQQQQQHCAVIVGTIFGSRSGRVTFCVQRDPAVPPPFLFELSVPMQSLAAEMASGLLRIALECHRSSGRSSSSAAGENAAAAGGSSRPRNVWTASCNGRDAGHAVRRPPTEWERGVLESMRTMTAGVGALPATEPQEGISEEVMYMRATYERVVGSKDAVSYHLISPRTAGDSPPQELSLFLLRTRGD >SECCE4Rv1G0294340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:889924849:889926387:1 gene:SECCE4Rv1G0294340 transcript:SECCE4Rv1G0294340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGSCQPNVVTYSTVIDGLLKEGEVNKAYNLFCEMLQRGISPNVVTCSSIISGMCKLHAMDKAEEVLQQMFERRILPNTTTYNSLIHGYYSLGQCEEVDRIFKEMSRHGVQPDIVTYSMQMDYLCKSGRSAEARKIFDSMISLGQKPTVTTYNILLHGYALEKSFHDMYCLIDLMVGNGISPDHHVYNILISAYAKEETVGEVMHIFTKMRRQGLNPDVASYGTVIDLLSRIGRMDDAMSQFNQMITEGLAPNIIVFTPLISGFCSCGKWEKVDELFSEMLDRGICPNIVFFNTIMDRLCKNERVMEAQDLFDLMVHMGVKPDVCTYNTLIGGYLFDGKMDEVRKLLDNMVSIGLKPDVITYTILIDGYSKIGRIDDALIVSREMLSGKVKPCVITFNIMIGALLKCGRKEEAKDLFDGIAPDVVTYSLMIQKVIEEGSLQESDDLFLSMEKNGCAADSHMLNAIVRSLLQRGEVPRAGTYLSNIDEGSFTLEASIAGLLTALASGGKC >SECCE5Rv1G0374220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862107910:862111355:-1 gene:SECCE5Rv1G0374220 transcript:SECCE5Rv1G0374220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPRPPLPLAGAGAVLFLLLLLLPAEAVPFIVLHGIGDQCANRGVAEFTRLLADWSAADGHCLEIGSGTWDSWLMPLQQQADVICKKVKEMEELSGGYNIVGLSQGNLIGRAVLQYCDDGPPVKNFISLAGPHAGTASVPLCGSGIFCVIADALIKLEIYSEYVQAHLAPSGYIKIPTDMDDYLKGCRFLPKLNNEIQGERNATYKERFSSLENLVLIMFENDIVVIPRETSWFGYYPDGAFEPVLPPQQTKLYQEDWIGLKTLDEAGRVKFVSVPGGHLGISNSDMRKHIVPYLKDKPSVSASLAATWHAIGEALGLMGNDVGVLLQSST >SECCE5Rv1G0357520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:747451368:747457014:1 gene:SECCE5Rv1G0357520 transcript:SECCE5Rv1G0357520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPPPPGPPASSSDPPLPAEPGEPLSLSRGYLSLRQAGPASEEHASPAPAPADDRCRAMMEVVWKDSGEEGKWKVSKLVVEHNHGLGAAPTGAAPELGMDFDSVQDAKGFYYGYGERAGFKARMGSNRRSAGTGAIIMQRFLCCRGNYSYRKGNKAKDSDAAKEVEEGAAGEREGEGKAAAHKKRGRKPGKKSTPVIEVENSVEKGVAIAGAENGQAVPSTKNLGTAGDKKDVAEKDVESVVELEEEHDELEDVAQDGVHANSDADEDEMAKEVEVKEKRGRGRPKKAVTEGNALQAGVSTDLGVTVSECAIDERKKILNKYLSKRQSRPVSGRPAKIVSRQALAERRKRGDGGRFLASDGPLPSERRSKRLEKQNLQNEKKPESKEDEIVEAEQDPETEVVAGPGGEPKVGMVFLNEDKAYEFYVSYAGTAGFNVRKGCSEKTANNVTKSRAYVCSKEGFRPKSVTAEPKKQRAETRTGCEAHMTVKITTSGKYVVTEYVADHNHDLEAPVVDIQILRSQKLLAKLQQPPDPPKVVLIPNDYKNYVRTRHTQDMQLGDARAISEYLQRMKGDNPSFFCSIQVDEDDQFRNVFWADVKSVMDFNYFGDVVCVDTRYSTSDYFRPLLLFIGVNHHKQPTIFGTAFIYDESVESFKWLFETFKSAMSGKQPRTVLTDRSTAISDAVASAWPGTAHRFSLLHLYQNATKVLSDTFQGSETFSHDFSRSLYDYEEEGDFLSSWEILLAKYNLKDNEWLSKLYEERERWALPYGRDIFCADIAATLRSDNMDAILTGVLKTEIDLPYFFNRYDKFLEERRLAEQQADYLGVQMTQRVPPLRLLWQAANTYTPALFEMFRSEFELVMACMVYCCGEIGPISEYEVTVKNRPQVHFVRFDSSEYIVVCSCKKFEFVGLPCCHVLKVLEIKNIKELPPQYILKRWRKDAQSESPKENFGFAAVDEDPKFTVSKRHNSLYRTLYKVAAKAAENVEGQKFMESQYDQLLEQVELLLQAKVHDKPSVSTIMRSQQPNLLQNDTSNSEPRRASSKKNKNVENRRRQQSPLESSKKKKGKQGLVEPEEAELPLRVLAPTISNDIPNHMSTPTNQFLAPSHIMQAPYVTQQFGLNSLQGFSGMSPFGQMQEQSPVHLQQPHLQQPPFHGGPQMHQAPPPDIQSLQFLSSNPQLGHQTTDQGQYTIPVWDFL >SECCE3Rv1G0213030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:957865055:957868750:-1 gene:SECCE3Rv1G0213030 transcript:SECCE3Rv1G0213030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGVMVSAATGALYSVLEKLGTLLVQEYKLQKGVHGEIKFLTDELTAMHAFLLKMSEEEDPDQQDKVWMSTVRELSYDIEDSIDDFMQDEDDKDAKPDGFISKIKHILGKLGKRKAHHQMFQDLKQQVIEAGDRNERYKTRQPFSNMNSATVDPRALAIFEHASSLVGVDEPKAELIKLLIGEDGVASTQQLQQVKMVSIVGSGGMGKTTLANQVYQHLKGKFNCKAFVSVSRNPDMMNTLRTILSEVSGQSYAATEVGSIKQVTEKIRNHLAEKRYCIVVDDIWKNETWDVIKCVFPMTSSGIIMTTTRIKDVANSCRSLFGGHIYNIKALDTGHSRQLFHRRLFKSEDDCPSYLKQVSEKILEKCHGLPLAIIAISGLLANTEKTEVLWNQVKDSIGRALERNPSVEGMMKILSLSYFDLPPHLKTCLLYLSMYLEDSTIEKEDLIRRWIAEGFIHREGRYTAYELGERCFNELVNRGLIQPWIDDTTVYTMETCRVHDTILDFIISKSIEENFVTLLGPPTLTIETQGKVVRRLCLQGVKKRNSTVPTSDLVFSHVRSLTMVRGRLEIPSLEEFRHLRVLNLMDCSELEDHHLENIVRLFQLRYLNLQGTEIRKLPEQIGRLGCLEVLDLRWTSVEELPASIVNLRKLMHLLVGDCVRFPDGIAKMQALETLELVRAYIQPFDFLCGLGHLKNLRKLWLNLRFKVDSDTEDRNMVGEEQNKAIVSSLCKLGTQNLRSLTIFVESNLLHEESLCLPTLKDLSLPFWAFPQVPKCVSSLRNLQRLRLAVEGLKQDDLCTLGALPSLLVLCLYLMESAESNEKLRISGEVGFRFLKIFIYRGSVRPVDLMFGTGSMPKLEILELNGIGANCLGFGIENLPCLTSVKCTDVKGDDGIVEAVKSAMERAASTHPNHPSLLFERHHRY >SECCE2Rv1G0109070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:672874977:672876501:1 gene:SECCE2Rv1G0109070 transcript:SECCE2Rv1G0109070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFKAFVNSPVGPKTTHFWGPVANWGFVLAGLVDLNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNETVQLYHLSRCARAQGYLDSKKEPEAQQ >SECCE4Rv1G0264940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:723973117:723974817:1 gene:SECCE4Rv1G0264940 transcript:SECCE4Rv1G0264940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVTGAGGFIGSWVVKELLLRGYHVRGTARDPADRKNAHLLALDGAEERLTLCRTDVLDYGGLRAAFHGCHGVFHVASPVSNNPDLVPVAVEGTRNLISAAADAGVRRVVFTSSYGAVHMDPNRSPDAVLDETCWSDYDFCKNTGNLYCCAKMMAEITASEEAAKRGLELAVVVPSMTMGPMLQQVLNFSSSHVARYLTGAKSTYPNAVAAYTDVRDVARAHVLVYEHPDACGRYLCIGAVLHRAHFLQLLGDLFLQYPLTAKCEDDVKPMAKPYKFSNKRLRDLGLEFTPLKQSLYETVTCLQKRGHLPVHVVPAAAKHA >SECCE2Rv1G0081060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:135482410:135485224:-1 gene:SECCE2Rv1G0081060 transcript:SECCE2Rv1G0081060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDFDCAAASPGGQWMGETASRRRQRRLSSSSLRAYLTPAFDAVAAAGQGGISPSSYSSGGLELGFDASLLRLRRTCFSANAELDSRRLLYSPQSPPPQQQQARARPMYAMADHEAAYLYGPKRQAGGLTGAPGFPELKQTFSNFRSPDGAVILGNRPDLLSTPKPGATTPSAAAQAMSAAAQPTEEEDDLIAEALYGHSGRRRLPIFREICPE >SECCE2Rv1G0093220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:373524594:373527665:1 gene:SECCE2Rv1G0093220 transcript:SECCE2Rv1G0093220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNLKLGVEVVSAHDLLPKEQGTANAFVEVEFDGQKFRTAIKDSDINPVWNEQFFFNISDPSRLQEKELEAYVYHANRASNNKTCLGKVRISGTSFVSQSDAAPLHYPLEKRTILSRARGELGLRVFLTDDPSVRVSAPGQDFNFASTPTTAQEQAVANAIQNPFQDTRINQVRQFQHLPREQQQRPPPMAGQQYYPQGQGSYGEQQQRSHSAAGMKTEAPQVARMYSAGPQQPVDFQLKETSPTLGGGRIIHGRVMPGEKAGAYDLVEKMQILFVRVVKARELPHMDLTGSLDPYVEVHLGNYKMKTKFFEKNQRPEWDEVFAFPKELVQSSTLEVVVKDKDILRDDYVGRVMLDLNEVPIRVPPDSPLAPEWYRLMGKDGMRDRGELMLAVWYGTQADECFPSAIHAGSTPIDSHLHNYIRGKVYPTPRMWYVRVNVIEAQDIFTMEHHHIPDVFVKVRLGHQLLKTRQVRSPTKNFMWNEEMMFVAAEPFEDDLIIQIENRVAQNKDEVIGETMIPLARIQKRADHKAVVRPLWFDLRRPGLIDVNQLKEDKFYAKVNLRICLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGLLEVGILSANGLNPTKTRQERGSCDPYCVAKYGHKWVRTRTIVDNLNPRFNEQYTWDVFDHGTVLTIGLFDNCHIGDNNNNHSHSHSQSHSHSSTSSSHMDKPIGKVRIRISTLETRRVYTHTYPLLVLHPSGVKKMGEIHLAIRFSVTSLLNVFLTYSRPLLPKMHYAQPLSIVQQEMLRHQAVQLVAQRLGRMEPPVRREVVEFMSDARSHLWSMRRSKANFFRLMQVFSGLIAAGKWFGDVCQWKNPVTTVLVHVLFVMLVFYPDLILPTIFLYMFLIGLWNYRFRPRVPPHMNTRISYADVAHPDELDEEFDTFPTSKSADLIRMRYDRLRHVAGRIQTVVGDIATQGERLQSLLSWRDPRATAMFLLFCLFTAIILYITPFQVIALCLGFFWMRHPRFRHKVPAAPVNFFRRLPAKTDSLL >SECCE3Rv1G0202350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:873227359:873228888:-1 gene:SECCE3Rv1G0202350 transcript:SECCE3Rv1G0202350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTPNQFPSSSWQTHQDASICTNQELDYGHPHYLGIEDVALDAVELELGPRAAKATKVDYLSSPYHASWPPAHADFESSRVRKTKQFRDVLETCKQKVEAMEALEHSPPVASGGFEEQAGEAVVAGGSGADGMRLVQLLVACAEAVACRDRAQAAALLRELQVGAPVHGTAFQRVASCFVQGLADRLALAHPPSLGPASMAFSVPRSSCLDGARGEALTVAYELCPYLRFAHFVANASILESFDGESNVHVVDLGMTMGLNRGHQWRALLDGLATRAGGKPARVRVTGVGARVDTMRAVGREIESYAEELGMCLEFRAVDRTLESLHVDDLCIDAHEAVAINSVLELHCVVKESRGALNSVLQTIRKLSSKAFVLVEQDAGHNGPFFLGRFMEALHYYAALFDALDAALPRYDARRARVEQFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSMPIKMAAKAREWLEENAGGSGYTVAEEKGCLVLGWKGKPVIAASCWKC >SECCE2Rv1G0142160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:938791838:938795492:1 gene:SECCE2Rv1G0142160 transcript:SECCE2Rv1G0142160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVQLLTLIILVLSSLLTCSLLGATALRGDASTDFQALRCFKLHLNTSAGLLASWKIDDSLQRFCTWSGVTCSKRHKSRVVALDLESFHLDGQIPPCIANLSLLTRIHLPDNQLWGPIPAELVQLNRLRYLNLSSNNLSGIIPSNLSSCSQLRVVDLGSNSIAGEIPPNISHCSNMQQLNLGHNKLTGGIPQGLGTLRNLSVLRLLGNTLTGNIPVSLGSSPSLHSVYLTKNSLTGPIPSLLANSSSLQFLVLANNKLGVEIPPPLFNSTSLQVLSLAANNFTGSIPSVLPNIMDSPLKYLILTSNNLAGTIPSTLGNFSSLSWLLLGQNNFQGSIPMSIGKLPSLQVLDLSYNFLSGSVPTSIYNISTLTYLAMGANMLAGEIPYNIGYNLPRLHTLDIGMNKFHGQIPTSLANTSNLEKLNLATNSFHGIVPSFGTLPNLISLNLGKNHLGAGDWSFLTSLTNCTQLVQLFLDANILQGYLPSTIAGLSKSLEVLLLRENKISGTIPQGIEHLTNIQILYMEKIWLTGGIPESIGNLQNLFVLSLSQNKLSGQIPLSIGNLSKLSELHLEENNLSGPIPRALGDCKKLGILNLSCNSFDDSIPKELFTLSSLAEGLDLSHNNLSGEIPLEIGRLVNLGPLNIANNQLSGQIPYTLGECVHLESLHMERNNFHGRIPHSFMNLRGITVMDLSQNNLSGEIPNFFESFSSMKLLNLSFNNLEGPIPLGGMFQNKNEVFIQGNKKLCATTPLLGLPLCSAVIPQKKSHTSKILKIVAITALSLVISSCFGVIVFKKRKKIKQASHPSIKELKKLTYADLVKATNGFSSANLVGTGKYGFVYKGRIESEEHHTVAIKVFKLDQLGATKSFLAECEAMRNTRHRNLVRVVTVCSTTDPVGDEFKALVLEFMRNGDLESWLYPTLLHEHHSKKQLCLGSRIAVAPSNVLLDDVMAACVGDFGLAKFLHGYYTSSGIDSSTSLVGPRGSIGYIAPEYGFGCKISMEGDVYSYGVIILEMLTGKRPTDEMFNDGLSLYKFVEKSFPENICEMLDPRIIPYYGSQDEEAGSTLGQENYQMAEETMICVRKLAKLGLLCAAEMPKDRPGMHDVYAEVDAIKEAFSAWQG >SECCE2Rv1G0096620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:441805277:441814461:-1 gene:SECCE2Rv1G0096620 transcript:SECCE2Rv1G0096620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPDRANDDLRRRLAVDTPPPPHIAKEKQGLDTGMPLSPQWLMKEPSSQGSRSDATKTSGNGEDMDSSAKKKDVFRASVLDGETARRDRWRDDEREPNSGPRWVRWRETDKEQGDTRKVEKWSDDSSKFSVDGRRAPQERWGDSSNKEGNYDQRREGKWITRWGSNDKESENWRDRWGDSGKEGDASREKGFSQFVAHGKDGNIHDKDTERDDNISRSWKSSHPVGRGRGDSSYHPSQISQKTSSLYGYGRGKPDNEITGFSGSRGKFTPSSGSTNAGSTGSSRPFHLGLLSDRPGGASGDRTAFRYSRMKLLDIYRTTSHVTDFKMPFDVCEEGSAFMQEEMLEPLALFAPTTDEAVILKAIDKGEIINSGVHQVNKDGSVGKSNPDGVASKESKLGRRDDQPGSADDLKGDTAGSLRGVPGNVDSLRTETPSYVVPQRSRFIGDHRLGTTDFPQQMPNVFDQESKVVGMTGVDELTSPIQPYPNPENLSLYYKDPQGRIQGPFSGADIIGWFEASYFGIDLLVRVANAPPDAPFLMLGDVMPHLRAKARPPPGFSTTKSSDMLMPETPPTGKFVSSSSTHSGSAGVGIFNSGPSRNGGAVEAQNRFLESLMSNGMQGPSAAMTGGLNEYNSSSFGNIAMAGGETGHNMNYLLAQQRLLERQKSSQNAVPYWSADGIPAAQAQNKDTASEVSALHSKVPSSMADPSRQASQSQNVDLLAMLHSAEKPKASAGLPPWSNYPEARSVNPNLHVDLTQGPLNMHQNLQNSQQMATAVQQQNFMPQNQPPLSHLPSEKLLADISQDPQLLHMFQQQYLLSQLQLQSQPPVAPQPQPQLSMLDKMILLQQQQQQQQQQLQQRLQLEQQQLLLQQQHLVSHVAPHGHPNQQLDDPYGSKHTTLPAGDSMNLGVRTIQEVLEADRILTNHGTPQGQQSSQAFMNMRGMEGVGTSQSSVPTVPLPHEFFMGASSKERFPHPQKLGNSASENTQLSASMVNMMQTEVAERYEEASGNYQQVGIGKVESKPANILSLRSTEASSSALSEAKGFPETSLNPISEIMSSHNCVQEITNTLDAVELTMTTDAKTPDAQETKKAEKKKKQKKKQTAVDVGKGAPNTVSQQPRLEAEVDASNQAVTKHGLPDDTDELFWGSTARVESSSRVVGPPLGFETYVNLPPKSLPEEYAINRAEWEPSALSEPHAAASQKGWRKPTQGPRPKSLLEIQAEEQLRAQRVAADSAKITMPAPPVPSGPWNTMSASSEQQFGGAGKSLGGQESAGDSRNKRSQLHDLLAEEVLARSSNADNENIGNANDVSFPPLSPAVVQPDAPAFDDDDFIEAKDSKKNKKKGAKSKVSAVKAPLPVSSIDSSAMSIPAEKGKSSKQAKQEKEILPAPPSGPSFGDFVPWKTDQANFAPAPAWSNDSVKVHKPLSLRDIQREEERRSGVVQQQPPSPTPAKVSVSQRIHGNASSKQASGSSPSKAVAPVQMISNPSNRAKSNAEDDLFWGPSDHSKQDKKQSEFPSLASQGKSSMTKDQSSVNRHKSQVSKLPLSTAPSANLTGKGKTEAANKQTEAMDFRDWCDSEWSRLTGTNDTSFLEFCIKQSTAEAEMLLRENVGSLDRNGQFIDRFLNYKAFLSTDVIEMAFRAPSNRAPRPNPASAAKGGPSAEAEQDTAGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNVD >SECCE7Rv1G0526890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:899331691:899332485:-1 gene:SECCE7Rv1G0526890 transcript:SECCE7Rv1G0526890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTREMKAIIFVLDKAESLHVHDNSSITTVIPEHMMSIEKKMLRWQHLKCCHVVRCPKMHTVFSTMYNYSNFDELVDFWVSDLLMAHCIWSKQRTLDFEDNWSFGKLQSIHLFSCPRLTFVLVFGKLYTLRSLETLHIAFCGDLRLLFPVEPEILTRIATNHKGVLELPNLKHIYLHQLFKLQHICEAKMFAPKLETIRVRGCWGLRRLPAVGRGSRPTVDCEKDWWEKLEWDGLEAGHDPSLFELRHSAYYKKPLPRVSVLR >SECCE2Rv1G0142860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943083265:943084554:-1 gene:SECCE2Rv1G0142860 transcript:SECCE2Rv1G0142860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDAVLGFGDELVADEILARLPALCAVRCVVLSKRFRQLLRSSHFWLRRRHLGAPGLELPHAACLYKDPRRKTFYFHVVGPALATLKHTVNVEQWYECVNTCNGLVLLADQEKGQQSSVHGLVFNPATKEEVRLSVPLPPPTCESRFLGFAYAPSSKVYKALIYGVAGHVTRLMVVSLGCQQEPRTLFSYHEELGSPQCLHMGDGKIYICMDNTNLWDHYGTLVLAFDADDETITNIALPEKEPRISFYNDMMVVCGRPCIYESKAPDTLLWLLTPDHRWEPRYILVNQGSHFLKPSWDCGGGLLFAKSTNNKSAYLYNLNVGEAAVGCITRLVAVRSMRIEYEKPKRFKYTTDLWDYHPTLISPASILGDAALISGRGEISDVAPDDEVAGTFVEMTQKLIIDPATHMLSSIYSRSASTSSSGQPD >SECCE7Rv1G0466580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:79595180:79595608:1 gene:SECCE7Rv1G0466580 transcript:SECCE7Rv1G0466580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHLLLIIFMASILQAATSDTAYDILAQNNFPRALLPLGVKSYVNHGGALQVSLPNSCDFNVTVAGGQHKIRFDSLVSGVIQPGSITQLGGVRIQLEWDFPAFHTVERIGDKLRFTGGDHAALFDQSFPVSNFVQSPRCN >SECCE3Rv1G0202590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:875239979:875243460:-1 gene:SECCE3Rv1G0202590 transcript:SECCE3Rv1G0202590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MPSIAGRLFSAGRGISGLRLRGLQRPLLSSLAAAGGGGDAPHLPVVIVGAGPVGLALSFLLAKFGIKCTVLERSMEFTRHPRAHFINNRTMEIFRKFDGLAGDIERAQPPVDLWRKFVYCTSLSGTILGSVDHMKQEDFDKVISPISVAHFSQYKLVDLLLKKLDGVGFQTCFPDELGGSSAQDLLLENKILMGHECSSIKLTDDGILVGASFNNGGRMQERKLHCGLLLGADGARSKVRELAGISMKGERDLQKLVSVHFVSKDLGKYLSSERPGMLFFIFNPDAIGVLVAHDLEHGEFVLQVPFYPPQQMFEDFSAKVCEQIIVKLVGWEPADIQVLDIKPWAMHAEVAEKYVGCDNRVILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLLLNGIADPSIIQTYESERKPVAIFNTELSLENFKAAMSIPATLGLDPTIANSVHRVINSSLGSIIPRNLQKVVLEGLFSIGRTQVSDYILSENNPLGSLRLARLRSILDEGKSLQLQFPAEDLGFRYEKGALVAEDCADTTYQAEKQKHSKRSSGEYIPSAKVGSRLPHMLVRPLSASSEGVFSTLDLVSGDKVEFVIIIAPLKESYKLARAMLRITDEFELSAKVCVMWPQGSGGEEVKGSMSELAPWTNYVDVEEIARASANSWWEMCQVSNRSVILVRPDEHIAWRTEPERVRDAYADVRKVFSQILSLNRPQV >SECCE7Rv1G0479600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:255277364:255280721:-1 gene:SECCE7Rv1G0479600 transcript:SECCE7Rv1G0479600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWPPPARLLILFLLAFYWRLGGGAGIGGFGVGAEELSSNGASFSRRRLLQIGGENQGAGYLFSHVQAPTSGPVSAPSPSAFISSPPEGAPSPFYSRPTPQRSPLRHDPSVLPHPLKFRPAPQGAEHDHSLRTSSRPVHKHSWTTYGLIAAGVAAFLIISAAGALYCRAKKVGTVKPWVTGLSGQLQKAFVTGVPALKRSELESASEDFSNIIGSTSSCIMYKGTLSSGVEIAVASSLVTSAKDWSKECESQYRKKITTLSKVNHRNFMNLLGYCEEGHPFTRAMVFEYAPNGTLFEYLHVREAEKLDWVTRLRICMGIAYCLEHMHQLNPPVVPRSLDSTTIYLTDDFAAKVSDLEFPDDAKGSSPRSNSTKGVLDPSSDLETAVHRYGVVLLEILTGRVACSDEDGPLERWASRYLDGQMRLAELIDPSMGSSFSEEAARALCEVARSCVHPDPKRRPTMSEVAARLREITALGPDRATPKVSPLWWAELEIMSSDS >SECCE4Rv1G0241250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448328637:448328882:1 gene:SECCE4Rv1G0241250 transcript:SECCE4Rv1G0241250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSVKIYDTCIGCTQCVRACPTDVLQMIPWDGCKAKQIASAPRTEDCVGCKRCESACPTDFLSVRVYLGPETTRSMALSY >SECCE1Rv1G0041720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:573639918:573642197:1 gene:SECCE1Rv1G0041720 transcript:SECCE1Rv1G0041720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLPSAAAVAAGLRRSLCTARSRPRPPWALIQRASVDESGAPKPGVSFRAGEPPSVAGLTFPAHLVHPRRPGAGDGGFVSGQVAATSSDGLLLVRFCHARLDAHALGSLDALPLPSQMRSLSWAGTDADPEVVRFVCNPLSGELYRLPDLDGTKRTSAYRHLGLLTQSKAGDGPPDRYAVAEMFTAGGPEEEGEGGFVMRRFLSETGKWDKVAGLPSPLPAGRKMDIDNTVVAFGDRLWWIDESWGAISVDPLSDRPELRFVELPRGSVLPDLDGMASMRTLGSCRRMGVSEGKMRYVEVSNEKPYVISLFSLDDGGSSWTLDHETAFAPIWDGALLCSAPLEQMLAIGAINPLEANIVYLACGDKILGVDVVTKKITGISGLAIAVPDHPLLPCVLPTWLESSQIPSAGWSKKNTRKSEVSPNTVKRENLHVEIELLK >SECCE2Rv1G0073040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:63771794:63773128:-1 gene:SECCE2Rv1G0073040 transcript:SECCE2Rv1G0073040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITAECEHCMEPAVLVTPSQPTPAHTLYLSNLDDQCLLRFPVEYLFVFAGDVDVDALRTALSRVLVDYYPLAGRLGPGDDGKLVVDCNAEGALFGEAFLPGLTVGEFLQESAKPHESWRKLLRRGAGPRSLIGVPPLVVQVTRLGCGGTILCAAISHCLCDGIGAAQFLHAWARVARLEAADHHVAPFHDRCVLRPRCPPHVAFDHQEYAMNSLPDGDERAAASSLFAGPLVPVSLTFTGAHVQRLKDRCAPWLEECTSFEALAAHVWRAWVRSLGPPSDLRVKLLFTVDIRRRVKPGLPGGYYGNGFVLACAESTAGQLAAPSGEQHAVRLVRAAKGMIDDDYVRSTVDLLELRRDAMPDLAASLVISAWTRIGLEEVDIGAGRPVHVGPLTSETYCLFLPVVDDPRGTTALVSVPEVAAERLEDRCLHGFDDMHHVENEEQ >SECCE5Rv1G0336560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:584962682:584963818:-1 gene:SECCE5Rv1G0336560 transcript:SECCE5Rv1G0336560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTAIRRAALACRVSRRLLSHSATAIRRASPTLLGHFYHPMCPQDPHAFELPPAAAPAFQPLTASSPRFSLDFLPDIFDYTLYDSHLGLLLLRRKPQFHTRGFIVCDPVSRRHALLPPPPISFRYGGEVVGAALLSRDRDAPADPDGGLRFELLCVALEVDRPRAWVASFRDGWCRWTALPRSRGVTVDFDAMRFERMCVHAAGGMYWHILNWPAVLALDAATMEFSFLPAPEFMWGGLDGPHKYRIGEMPEDGRLCVASLEEHGLQLCVRGHGDNGWVLERRIAMEEVLDSVPYLPKDPVVRHFRLWLGDVDRGRTGRVFINTMGFGNFSYDMNTKKLEYLAAEEDGMTFGHPVFAYFSTPDDGMVILINLFPDE >SECCE1Rv1G0000390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1415769:1418085:-1 gene:SECCE1Rv1G0000390 transcript:SECCE1Rv1G0000390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLAKIDMEWFQEPPTWLLVASVILVLLQRWRGKAPPLPPGPYSLPIVGNIFMMDQLTHRGFAALAKQYGGLLHLRLGKVHTFAVSTPEYAQQVLQGQDGAFSHRPATIATTYLTYGRADMVFAHYGPFWRQMRKLCVMKLFSRRRPGTWLAVRDESAALVRAVARRSGESVNLGDLIFNLSKNVTFRAAFGAEAAGDGDGRKQDEFIAIMQEFSKLFGAFSISDFIPWLSWADPQDINVRLCAARAALDEFIDKIIDEHIKRGKNPDDMDADMVDGMLAFLPEAKPEKAAGDDLQNTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMAEMIHNPDDLLQLQQELAETVGLDRNVDESDLNKLPFLKCVIKETLRLHPPIPPLHRENAEDCVVGGYSVPRGSSVNINVFAMGRDAKVWKDADTFRPSRFMAGEGEAAGVDFNGGCFEFLPFGSGRRSCPGMALGLYSLELVIAQLAHGFNWVLPDGKKSSELDMGDIFGLTAPRAARLWVVPTPRLTCPLVVDVDAACRT >SECCE1Rv1G0018490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:195166464:195166982:1 gene:SECCE1Rv1G0018490 transcript:SECCE1Rv1G0018490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGVGSAEAEICPGLGMPMAELEQVFRRYDANGDGKISADELASVLRALGAPPGPGEVQSMMEEMDADRDGFIDLHEFAAFHCGPCKGGAAADAKEQQAVTEAELNEAFRMYDADRNGLISARELHRVLRQLGEKCSVADCSRMIRSVDADGDGSVNFEEFKKMMGGGGRN >SECCE5Rv1G0363750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:796158087:796162162:-1 gene:SECCE5Rv1G0363750 transcript:SECCE5Rv1G0363750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGVRLKWRETSTASQLSAKRDMSGGGTGMAGGKMRNRREDARGEGGTRFGGGRGNGEEREERGGRGRGGGTEQKRWRSDAGSQGDARRGPSGFDGRKRKGDHGSGYGDYDDASSFSKPRMDRKNPSAGTRGKFSSRGGDGFKPRRSEEGEFRPMRRSSSNGSGIGRGGGDRFKPRSMEDDGFRSVRRDSSTGSGMGRGEGGRFKPRGSEDDGFRSMRRDSSKVYGGSKGDKGRSMVSMNSQASKWKKFDKDIRVERRNGGDTNADLDEHDAGSRRSDDSRQNTEEKPRARPTRVLDNSGKKLRVYKNDSVSDSEEIAPPKKRKRMKLDPYDTSNKRIEDATPKQDVCIPEKIPEKSTPEPEETEMSINAKFRDIQPSSSILSYVEDNLLGRRRLIDIKNAGYNTKLSAPLDNVPFSTRIERDRIEDSVFRNKLDFFAAAKIPSSFPPPTIPEIAFAGVSNVGKSSLLNALTRQWGIVRTSDKPGLTQSINFFKLASKLCLVDLPGYGFAYAKEEVKESWQELVKEYVSNRVGLDRVCLLVHTKRGMKPLDYELIDLMERYKTPYQIVLTKTDLVFPIDVARRAMEIQESLKKNKSVVKPVMMVSSKTGAGIRNLRGVLGKLARFIKP >SECCE1Rv1G0034820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:491624358:491627211:-1 gene:SECCE1Rv1G0034820 transcript:SECCE1Rv1G0034820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRWLQAAVLMCLLLLCSGRELKNKRQAQGYNSTLAKTLAEYTSAVYTNDLSQLFTWTCEKCGDLTEGFEVIDLIIDVKNCLEAYVGFASDMSAVVVAFRGTQENSIQNWIEDLFWKQLDFDYPGMTEAKVHSGFYSAYHNTTLRDGVINGIQKTREAYGNIPIMVTGHSMGGAMASFCALDLIVNYGLKDVTLLTFGQPRIGNAVFASHFKKYLPNAIRVTNAHDIVPHLPPYYQYFPQKTYHHFPREVWVHNIGLDSLVYPIEQICDDSGEDPTCSRSVSGNSVQDHIHYLGISMHSESRGSCRIVTDDSMLRHKVDTVDGAIVFSKQPGLPVDQLLSTQ >SECCE5Rv1G0331440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538839850:538842899:1 gene:SECCE5Rv1G0331440 transcript:SECCE5Rv1G0331440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVIAEELKLDCATMDMFIKQDEEDDFSGLDRDSRDVIPSIAAEIDRTLRDCRFMMIFLNGSDDEVDVTRFGIPQMTEFRNNRMIWTFKRRSLTIHSRPSGIKEKLRNTQLFLDAALVYGEYQITESEFCALVQGEAATTIARYPCMLDIDSTMVTDGCLLELSLHYNFHSTTRFGWASNSSNYWLFDGIIQGDRAMEISNALHGEIRWECDASLLHRVLEGLEPLFLVTTDTNPPTVSTRCLAKGRWISITSQKQEVCVMKNIPATVSLFFLAFKRPDHLPSSERSDPTPAFQGQDVPTTLLPSGMFEHSSNLAVLVLSYCAFCFTSPPFLTCHGLRFLGLDHCKHKTREGEGHTDIEWTFLLSLCVLEVRYTEWDEMLSEVKMDIMTNLRELNIEGVKCWKYINQLRKRLAHLHRLRITKPMIHHPEETDAVVNSNMDKLEILDLSGDTEMKVVPISLLNASRLQVLVLDGCNGLECVAAPDTLPPSLLSFSLDGYGATSHWILAGNLLPPENLRPPSTDQEDAKASKISLEGCTSLENLFLRGLPNLVELDLSGTAIEILDFTTMVVQVQCLRRLFLLGCEHLLAIRWDQKGHSGTQPQLELLCIDTRSGTGYSRPSMDHITQSFRLKVHAILSDARLARSLWPAIQHYRSKGSLADIYFNIHISSLPVFHSEDVPPKATHRILARQYGDVHAMVGDAAMQAFPEAPATELDRHVEISQESYGLETELLGYVPARNNLALLMRRCADSMHLHDISTSASMPMNEWNYLRHCRVERCTKLDTVFPGTYGFEALETIWASDLLMARSIWSKGGSYYHYSSFGGLRHMHLRSCPRLRFVLPVWVSSFPSLETLHIIQCGDLRHVFVLDEEHPETRVVFSNLSAIHLHDLPMLQGICEAHMLMLAPVLQTVKIRGCWGLRRLPAMEGRGLHMKKPDVEIEKDVWDALEWDGVEAGHHPSLFEEPRHLRHYKATLPRVSVLR >SECCE6Rv1G0443490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:822956597:822957187:-1 gene:SECCE6Rv1G0443490 transcript:SECCE6Rv1G0443490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKMHLSMPLPAGLEDFPFTSFQEAFPVKLRAEQEAPILKAKVKKAKLKVEMAKVKPAVKAKAKAKVKDAKLKVDEAKPEVKEAKVELKTMTAEEEEALLKFVALLEKEEDFLDAKAEAEAEAAKCGSKRKPELERDEEGAAFLAWIEATKPPTDQEEYSDGYVSDSQDDDIYERHVQANNARWPFLIGRREIP >SECCE6Rv1G0431910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:748612464:748612808:1 gene:SECCE6Rv1G0431910 transcript:SECCE6Rv1G0431910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKACISLVILALVLAGPDTRAGPLPGIDPAAAVMPTSLSSSSPKKLEDGMAPELKDSMTADLEGHRRVLAGKGISAGFLNPNKAACTRTCPARGRPYTGRACLRRYQCRSGE >SECCE6Rv1G0411320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606492870:606493166:1 gene:SECCE6Rv1G0411320 transcript:SECCE6Rv1G0411320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEALISPELRDVLAKVAAFLLVQGLVYLILTNSSDVFSKNKRLRSLSFRPMRSMSVRRVLAPLSDVPVGTDDDSPSPSLSSPSSWSLRRWGSRKED >SECCE3Rv1G0190910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:744267751:744269016:-1 gene:SECCE3Rv1G0190910 transcript:SECCE3Rv1G0190910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRFLNILVWDCQKFTYTLRRFDLSHNQFFYPTPEEAAEHAKVVPPLTGMPDKSTPSANSSAGAGHKKKPIYIRPPAPMVNMKPSICAKTRYDQWGEMDCFPLTETKLFFTDSSARIFRFDADTHCIDTMPSLHTPKSSPLSFSIPPNQATSKEGEGEGEGGCLYIIDRILKPNKEGQVQFESISYRLRCDNSSKAWHCDALPPPPFVHDRAYKQASICSYALVGGHTICISIRGVGTYCFNTVACEWSKAGNWLMPFHGRAEYDPELGLWFGVSERNIHLPCVADISGVLRGEEPLPEHTRIWADADMPEGWYPRLQYPTEVVSLGSGRFCVTNFVETKGFDERCGLWLVDDIFAVFTGMELVLPGNDNDEGKANSNGKGESKGNADGNGNRIAGVRMIKHKSRSCRSPGTNLIKSVL >SECCE7Rv1G0498070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:635194345:635199468:1 gene:SECCE7Rv1G0498070 transcript:SECCE7Rv1G0498070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRVQSHPAGASSSASSGGDGSGSGFCLSDIMEIDAGSGGDHKAPGSPRSKHSATEQRRRCKINERFQILRDLVPQSDQKRDKATFLLEVIEYVKFLQEKVQKHEACAGGDWSQENTKLAPWSSNRVPVDLMPGVAPATKNCSAGNFLDNSIPTMPEMLQNAETVVEPAKLNADIIESQYQSQWQELPCPADCLVNSEMSNEKEELTIDEGTITVSSVYSQNLFTALTNAMENLGIDLSQASVTVHVNLGRRATSRSTNISSAKKDMPAAN >SECCE7Rv1G0506620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:750934486:750939416:-1 gene:SECCE7Rv1G0506620 transcript:SECCE7Rv1G0506620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein 16 [Source:Projected from Arabidopsis thaliana (AT1G75340) UniProtKB/Swiss-Prot;Acc:Q9FWS3] MNRRPELCRNYQRGSCKYGTQCRFVHANSNQQQQQQQQAKPNPFGFGAGSRQQPQQQSFGAQSQQQQQQQKPNPFGFGVQGGAAQQRNEPGPAKPFQNKWVRDASAPPTKQAEAQPAPQAAHTSCTDPQSCRQQISDDFKNEAPVWKLTCYAHLRSGPCDITGDISYEELRAKAYEEGKQGKPMQSIVEGERNLQNAKLTEFTNLLNKARATPSFPTAGSFPEVKNNSTFGSSQTNGPPVFSSFNQLGAATNFGSGPRTAAPGVPMNTVFGQPTQSTPSFGAPAFGSTGMRFGVPVGSQTTKQSFGSYQGSSMSSNSNFQNSTSSGHHRDIDKQSVELLNGMTPRTSAMDQAPVEDSRNEKQDDSIWLKEKWEIGQIPLDEPPARHVSHVF >SECCE4Rv1G0274250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783495911:783497095:-1 gene:SECCE4Rv1G0274250 transcript:SECCE4Rv1G0274250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSRLSVVSASISPVVSGLQGWADLPDGPLHSIVALLGSSIDILAFAATCHSWRAAFCSYRSASNLCTLIPPLLIRPAGPNQGSSLPSIFPEKCSSVPYNSRYMLRIRKVIDVASKNSALRCQIPQETFENMHFAGTSHGHLICCYRGDCLVVDVFTGAMVSPPRLPFSNGYYGGTLTAPLTSPNSHLLVSTQSSLFDWSVGSDYWQELQLPHGSIYQIVQLDGQFIAMDHDMRIYTLRLAPRLGLREISTEWCSEIEPESFVQARLVVCGNDLLMVDHFVHLSSEDPVCHRLDMSTEPAKWVKVKTLDNWAIFTGGDERSPPFACARPERWGGTSNSLYYAHHSQPWSVHELRGHVDLAPTSHTNFNWRKRFVPTAMAMWVYPSMFYSDGR >SECCE3Rv1G0166810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:193646198:193647910:-1 gene:SECCE3Rv1G0166810 transcript:SECCE3Rv1G0166810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEHHSPSSAKTSPRGAAGGAGEHHSPSTKSPRAGGGGGGGGEHYSASSKSPRAGLGGVSSLLPSGGVSSLLPNGAVATLLDSRWTLSAAITVFLFLAVTLTVTSSSSSLSPVSASFFSFLPAGRADLVEPPQAPATPPPPPPGAGVPRLAYLISGSKGDLDRLWRTLHALYHPRNLYVVHLDREAPVGERLELAARVANSTAFRRVGNVEVIRRANMVTYRGPTMVANTLHACAVLLRRSRDWDWFINLSASDYPLMTQDDILHVFSTLPRNVNFIEHTSRLGWKEGQRAQPLIVDPGLYASKKQDIFYASSRRELPTAFKLYTGSAWVALTRDFAEYVVWGWDNLPRTLLMYYANFVSSPEGYFQTVLCNAPRFVPTVANHDLHHIQWDVPPRQHPHALTLGDMERMVRSDAPFARKFARDDPVLDAIDAQLLGGRGGTNGTAAGMFVRGGWCGERGDCEGAAGAEDWVLRPGPGAERLRRLMDRIVRSEAFANRQCK >SECCE3Rv1G0183180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:645595446:645595994:-1 gene:SECCE3Rv1G0183180 transcript:SECCE3Rv1G0183180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHGRFHHGGDGDQGAGPAVVDHADCTAQSCRSCVAVSLADCIALGCCPCAVVSLLGLALVKAPLAVGRRCVQRLRRRHGKLQHKKRVRDMDLAGGRPSPGHSAAGAKCAGGGGHREPGPGEAASKGEDDVATAAASEEERVWLEMYQVGQWGFGRLSFSVNPPPRAGHSADGDGCESDV >SECCE6Rv1G0381260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:31169214:31177145:-1 gene:SECCE6Rv1G0381260 transcript:SECCE6Rv1G0381260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-arabinokinase [Source:Projected from Arabidopsis thaliana (AT4G16130) UniProtKB/Swiss-Prot;Acc:O23461] MVARGSGAEEAPPPTQQRLVFAYYITGHGFGHATRALEVVRHLIGAGHDVHVVTAAPEFVFTTEIDSPSLHIRRVLLDCGAVQADALTVDRLASLEKYHQTAVVPREAILRTEVEWLHSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVAAGNHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSEVRKELGIAEDVKVVIFNFGGQPAGWKLKKEWLPDGWLCLVCGASDTQELPPNYVKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGIEMIRRDLLTGHWKPYLLRALTLKPCYDRPINGGEVAAHILQDTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPEWYSLSEKEIGVRPAVPASRRINGSAASSFEDFEILHGDMQGLTDTMAFLTSLSGLVGNDPRIPEKQSRERTAASVLFDLEEDIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAIQRSDPIKQKLWKHTQARQLANGAVPILQIVSFGSELSNRAPTFDMDLSDFMDGDQPISYEKAKEYFSQDPSQKWAAYVAGTVLVLMTELGVQFTDSMSILVSSSVPEGKGVSSSASVEVAAMSAIAAVYGLNIAPRDLAILCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLISQSFPSTTQSCDASEEYEKYGVDLLKSEASLQYLCNLPPHRYEAAYARDIPEVITGDEFMEKYGDHNDAVTVIDPKRSYIVKAPTRHPIYENFRVEAFKALLTAAKTDEQISALGELMYQCHYSYNACGLGSDGTDRLVNLVQEIQHRKTTPQHGGPSLFGAKITGGGSGGSVCVIGKNSLKSSEEIFXXXXXXXXXXXXXXXXXXXXXXXFCISEHPEFSNCKHIQKRYKAATGYLPIVFEGSSPGAGKFGYLKIRWRSA >SECCE4Rv1G0270070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:752487735:752488128:-1 gene:SECCE4Rv1G0270070 transcript:SECCE4Rv1G0270070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFYTMLLALALVLLCSDVVTKAVMANGGGATATVTPMDCKVLPTIPGICTPKKCMEDCQGGIGRTSVGECVADGCQCTYCTIPPRGRRN >SECCE7Rv1G0500940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:676632030:676636739:-1 gene:SECCE7Rv1G0500940 transcript:SECCE7Rv1G0500940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATAAESPERRRSEAVAWLRALLRESALPLPPPRASDEELRAALADGALLRAALDKLARPASPRDEGGAAAAAERDVGRFLAAVERMGLPGFAPSDLQTGPMSAVVACLLALRDQFVSHAGEGWTCGLPQNGRMHTMGFPGRENGQVTQNSEAREDGKQMETTLQKVSKSPAMSEPSSVISRPELSSTSRHAGRNFHEVFQLRQGGYSDLPSSKISDMMKSTSLDNAPTQSLLSVVNGILDEIIERKSGEIPYHLAFLLRKIILEVERRISTQAEHIRNQNNLMKAREEKYKSRIRVLEALASGTSGQIHVCSNATNGIAHVVAEPVHQMKMEKDNLEEKRQLLEEDLTKLTKDKENVTKLTKDKEDMARLLNDKEDIIRLMKEKEEMARLMKEKEDTVSLKKGKDGDRNQLADERAKPIMYKDELISLMKEKENYKVTIMKLKLELEAMKSSCEKSHSLLETKNEDVLNLLKDKENSGNIISQLRQELVVARRSHETYIQDLKTTALQENRDFEQRIKEVELKLEDSTKRGRYLEELLESRIQTWERKEIMLNQFVGIQMQNIQDLRLSSVSIRHEIQNCQKKWSEELSGLGQSLKVLANASEKYHATLEENRKLFNEVQELKGNIRVFCRIRPFLPNEDHKSSTTEITGDNGELILANPTKIGKEENKLFKFNKVLGPTTSQDEVFKDIQPLVRSVLDGYNVCIFAYGQTGSGKTYTMTGPEDATEEELGVNFRALNDLFLISRNRGDTFNYEVSVQMIEIYNEQIHDLLGSNGSEKKYPFVASIV >SECCE4Rv1G0227120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:117491272:117493810:1 gene:SECCE4Rv1G0227120 transcript:SECCE4Rv1G0227120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMWVKVIVILLLFLRLSRLSSSSSLVFELRGNVYPIGQFFVTMRIGEPAKPYFLHVDTGSGLTWLECDAPRQSFHKVPHEVYRPRKSNIVPCEDERCAVMHKDLRTVHDCTELPDQCDYEINYKDGYSSMGVLLTDKFSLPMHNDRPNLAFGCGYDQQGDKEELDVDGMLGIGRGTGDLVSQLKQQGLITENVVGHCLSSHGGGYLFFGGDVPSTGVTWLPMDEKYMIYYSPGTVTWNLDVLLKYKLSKKPRDAIFDSGSAYSYVHMDTYEQLIQAVDVTLQDSTLQKVSDDPDLLQCWRYNEPIQSVDDVKNEFEPLELTFTHDARQVTLDIPPENYIVIMERGNVCLGILNGTEHGMEELTIIGDITMQNHLVVYDNERARIGWVRASCDIMPGSAPIIGSRL >SECCE6Rv1G0407980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:566946768:566947494:1 gene:SECCE6Rv1G0407980 transcript:SECCE6Rv1G0407980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESGRAMRRIRKELELLWSDPPAFCRPGPAPVTDLFHWEVVIDGPHGSPYAGGTFPIDVAYPKDYPFHPIKLTFKTKVYHPNIGPEGKVALDIFGSEWRPSLMISTALLSIVSVLHDPLLDLPVRRDAARLYKRERGLFEQKARDWTRRHASAPVASFYPTATETFEEAAPASGVVRRRPSCGAGQWRSFAARLPCIRP >SECCE4Rv1G0250350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:591279492:591282893:-1 gene:SECCE4Rv1G0250350 transcript:SECCE4Rv1G0250350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVISASHGVFGPLLVKLNALLAGEYTRLKGVRREMRSLRSELSNMHAALQKYTMLQDPDIQVKAWISEVRELAYDTEDCVDKFIHRLGSPGARHLHHRGIKEFFRRSARRLKTLGSRRQIAKQIVELKARVMSVKDQRTSYKLDDMVCGTSRHAAVDPRLSALFIEEAHLMGIEDPRDDLAKWALDAENSLGTRCRVLSIFGFGGLGKTTLANEVYRKIREHFHCHAFVSLSQKPDVRKIIKDIISQVPCHDEFTKDIQFWDEKRSIEKLRELLQDKRYLVIIDDIWSTSAWSTIKCAFPENNCSSRIITTTRIFDVASSCCQVRDDRIYYMEPLSDLHSKKLFFNRIFGSEDCCPDILKDVSDAILRKCGGLPLAIISISGLLASRPAVRNEWEKIRKSIGLALDKSQSLEGVKSILSLSYSSLTPNLKTCLLYFSNFPEDYKIERDTLVRRWIAEGFISEERGQSRQEVAENNFYELINKSIVQPLDIGYDGKARACRVHDLMLEFAISKAAEENFITVLGGQMVLPDSNCYIRRLSVQHINSELASALASKELRHVRSLTASGCIKQMPNLVEFESLRVLDFEGCEGLKEYDLNNVNKLFKLKYLSLRSTCISNVPSGVVMLHDLETLDLRDTYIQELPAGIVQLSKLQYLLTARCIFYGETTIPSGIGKMKSLCEISGFNITTSSVGAVEELENLTNLTELHVVFNGGGSEKYKRHEEMLLSSLCKLGRYSLQSFRIQSKDSTPLDFLDSWCPLPSSLQLFIMSTSYYLPKPPKWLAPTVTSLTHLNINLSEITEEDVNILGRMPALISLELWFKTVRKERLIVQGNGFRSLKEFYFIHSYYFVGARYLLFEEGALPKVEKLQVPFYVSVAEAYGLYLGIEHLPCLKDAEVSIYNEGATTSESKAAVVAIRNEANVHPNHPRVTIFGQEKISFNFP >SECCE3Rv1G0199830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:848343385:848344278:1 gene:SECCE3Rv1G0199830 transcript:SECCE3Rv1G0199830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALTPFQLTATLYIALLATRHAGSIAVYWVQNDGEASLADTCASGNYEFVILAFLPKFGKGQTPQLVLASHCDPSSGCCRGQSKDIKVCQSHGVKVLVALYLWNNYLGGASSSGPLGDIMLDGIEFHIQKGSAKFWNDVATDLKNLGKNGGQTVLLSAAPQCPFPDEWDSGAINTGLFDYVWVQFYNNEACQFSAGRGAFMGVWTKWESVPAGMIFLGLPASKDSAGMGFIPAGELISRVLPLIKGSPKYGGVMLWSKFYDDRTGYSSAIKSDV >SECCE6Rv1G0444730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:830424604:830426675:-1 gene:SECCE6Rv1G0444730 transcript:SECCE6Rv1G0444730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPVADPGPTKDKGVGSKGEMDLPVADPGPVRDEGELLRCPFCDSEAVYKLAQFLLPGLAAVCVDGTTGDLFRSPSDVAVDLRKEMVDCITQRSETFIADIEAEQNAETEMSDDPYEIVSIFMDDFSRTKRNIIGHVSGWLLSDSRDDKIDDFVQEMEMTRFWPLERREAIAEVLLRNVDIKTKFHCPEKYENEERLADHKAQCSFRPVTCPNEGCRAKVSVRCMQDHDAACLFKILQCEQNCEKRLLRRDMDRHCVTVCAMRPMKCPFGCDSSFPEHNLEEHCSESLQQHLLKVLQVIHKNNFTADELKDAALRLEKSEDHGKLVKARDARSLTNIVKDLEAKKFQCCVVSHVNLGG >SECCE1Rv1G0003930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:15753851:15758654:-1 gene:SECCE1Rv1G0003930 transcript:SECCE1Rv1G0003930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAESNDTTKLQSPLLAAPPMPAPLAGDGGGELRLESILGDGSAPWARRMCAATAVELPMLARLAAPAVLVYMINYLMSMSTQIFAGHLGTLELAAASLGNTGVQMFAYGLMLGMGSAVETLCGQAYGANKFDMLGIYMQRSTVLLMATGVPLAALYAFSQPILILLGESPEIARAAGIFVYGLIPQIFAYAVNFPIQKFMQAQSIMAPSAYISAVTLVVHVILSYLAVYKFGLGILGASLILSASWWIIVVAQFIYVVTSSRCQLTWTGFSVRAFSGLPQFFRLSIASAVMLCLEAWYFQILVLIAGLLKNPELSLASLSICMTISGWAIMISFGFNAAASVRVSNELGAGNPKSAAFSVVVGTMVSFTLSLIISVVILLCRDYISYIYADGEDVAAAVSKLTPLLALTVILNGIQPVLSGMAVGCGWQAFVAYVNVGCYYVVGVPLGCILGFYFDLGATGIWCGMIGGTLMQTVILVWVTFRTNWDNEVAEAMKRLHKWEDKKPLLAVEE >SECCEUnv1G0571600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:510135419:510135973:-1 gene:SECCEUnv1G0571600 transcript:SECCEUnv1G0571600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGIPVGVDTYNIVLSGLCRNNCTDEAIELFKKLRAMNVKINVIILNTMISAMFKTRRIEEAKDLFATISAIGLVPSVATYGLMMTNFIKEGLLADADDMFSAMEKAGCAPNSRLLNQVVRVFLKNGEVVKAVTYLAKLDAKQLSLEASTISLILSLFSRKGKLREHVKLLPVKYQPPEMLG >SECCE1Rv1G0050620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:648184056:648186722:1 gene:SECCE1Rv1G0050620 transcript:SECCE1Rv1G0050620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLPILLLHSALSSKPTPSRLLSSAAAAAAAATTGSVARGGGAHGTVSAVLAIVGPIELLLPSSEARLYVRLLRRCALDALAAGAGAVHGHVLKRGFAPDTLVSNVLMDTYAKGGSLGACRHLFDEMPHRDVASWCTVISAHASRGLWVDAIGVFKGLLSSEVRPNRFVISATLNACARSGVIELGLMVHGLVIKSGLCVDRFVEVGFVDMYAKCGNVGCSFRIFSEIPVKSSVAWNAMISGFIENDCVVEAAELCRDMHRVGIAMDVVTLRLAAGVAAFLGMFELCRNVHVYALKVGLGRDCYVLSELVKSAGRVGDTQYIRQLVASARRPDASLYSLAISGYHSNGCQDEAVKLVEHLLSSGLSLREGDLVTVLDVCHIKEEVQQVHAYTLKSGSFSYINVCNALISVYSEIGSLMHAEAMFRTSESRDIVSWAAVMAGCVKNLQFEKAFGYFRGLRKSGVLLDQHCVATIINSCTGLEDMDKGEQIHALALKVGILIADFVSASLVNMYAKCHRIECAAELFSHTQFPRNLVLTNAMLSGYCWNFMPEKAVLLFCSEYRSGLRPDHFTCSTVLSACADIGAKEAGEQVHGYLVKIGSEYMDAIVGNAIIDLYVKCGCIGSACSFFHSMRSWSINSYAMLMLGYIQNRCSDEALQLFSKMQHSGLRANRVTFARILRGCADLCAIDLGRQVHASIIKMGLISDVYVANALVGMYKRSDGLMESRRNSQEILARNGPEQNTEDNRYSEQRDGSSDLEEIGLFTLEEEKHETYAHVRNVSIGAASQYYGTPLPIHVVGQELRMNTIMWSGRNGKGSESKVFLDTGYQGSRGGSHKLFYLLHVDSTGSDQFVLVVFIDNSLLKIKDTRFVNTELVRSGVAPALGIPP >SECCE4Rv1G0291340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876431517:876433037:-1 gene:SECCE4Rv1G0291340 transcript:SECCE4Rv1G0291340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGGAHGDAASKFTLPVDSEHKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLVMLSAPTVFCMAVIDDASGYIAVRFLIGFSLATFVSCQYWMSIMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIQKCGATPFVAWRIAYFVPGMMHIVMGLLVLTMGQDLPDGNLASLQKKGDVAKDKFSKVLWGAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFHLDLRAAGTIAACFGMANIVARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCIWLGRASALPASVTAMVLFSICAQAACGAVFGVAPFVSRRSLGIISGLTGAGGNVGAGLTQLLFFTSSQYSTGRGLEYMGIMIMACTLPIALVHFPQWGSMFFPASANATEEEYYASEWSEEEKSKGLHIAGQKFAENSRSERGRRNVILATSATPPNNTPQHV >SECCE1Rv1G0060770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712212074:712214767:1 gene:SECCE1Rv1G0060770 transcript:SECCE1Rv1G0060770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSSPRMHMSRNVVDLGLLAACRCRRWAARPAPRRRAPCVCFVAAPSQTGLAAIDVPAAETIASAAAGTARLPERVSIASLLDVVSDDLLKLNNNLKSLIGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAEVAGLSELTTEHKRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRIAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQQSTLFDCDVTLDDYLLKSYYKTASLLASSTRSAAIFSGVSTAVCEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQESPELREMIDSEFCDTGSLSAAMELVHRSGGIRRAQELAKEKGDLALQNLQCLPRSHFRTALENMVKYNLERID >SECCE7Rv1G0467100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:83473718:83479492:1 gene:SECCE7Rv1G0467100 transcript:SECCE7Rv1G0467100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCLWPCMSSANAMTGGMGGGLFGPKARGPTELVRHTRDLLRFIADHPERCGGKLDAKREQKITDLSISVRAMKTILYGDGDGDPVAEACTQLTREFFKDNTLRLVIVCVPSMDLDTQKEVTLVFANLSRQKVDSRIPASDYLEVNQDLLDILMAGFNNRDIAIHYSIILRDCVRHQVAARYVLYSQHMKKFFDYIQFPDFSPSAEAFKTFKELLTRHKSSAAEFFTKNYDWFFSDFHTKLLQSSNYVTKRQSIQLLGDILLERTNSSVMFRYVSSKENLIVLMNLLRDPSQPIQVEAFHIFKLFTANKNKPREITSILVANKSKIIRFLNAFTREKDDNRVLESDKAQVLADVTAMKL >SECCE7Rv1G0466230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:77421675:77423045:1 gene:SECCE7Rv1G0466230 transcript:SECCE7Rv1G0466230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANSNLRVLDAGVVRPSDLDLQPRSLPLTFFDVKWLRPPPVQRLFLYRLPSNHDTTRLMSDLKASLSKALALFYPLAGHVRLAPNSNRYELFYQPGDGVTFTVAEYDADIEQDLAHAEPVQVAKLAPLVPPLPKGRAVLAVQATVLLGGRGVALGVTMHHAACDGASSTHFLHTWAAVCNGAVEMPSPPVIDRTLIADPRGLYDIYSKGLPSDGSEIEFVSSSVSSVPDDQLLGTFTLPQELLHGIKDTVAREAARQGVPPPRCSSLLAAFSFMWSCYCRVKQKHNQTETTYFLFSVDHRTRLKPPVPDRYLGNCLGPAIAAARHDELAAPGTEGLFAAFMAIAKALQEEVGEGSQDRWDGCVERVKEAAKVGLFSVAGSPRFRVYGIDFGFGPPVKVDVVSVAKTGAMSMAEARRDGHGGVEVGISLPTSGMEHFRRCFDDAMHAISMQEWVYI >SECCE6Rv1G0443420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:822845032:822846591:1 gene:SECCE6Rv1G0443420 transcript:SECCE6Rv1G0443420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVVERWAGLGSAVATVIFLWSVVQNYVPPTFRLYLTTWAAKLAACFNPYLQITISEYGAERFQRSDFFLAVEAYLSDACARRARKLKAELGKDSKNLRVSVDDHEEVTDDFSGTTIWWYASKRQSKANVISLYPGQDERRFYRVVFHRRHRDLVVDSYLPFILGEGRAVTIKNRQRRLLTNNASGSSNPYRGKSVWSHVPFEHPATFETLAMHPDEKEAVIDDLMAFQESKEYYAKVGKAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLFIETTGKSIIVIEDIDCSVDFTGKRRKEKKASSDKDSDNDDKPKLPIEPEKDDATKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCRFEGFKVLAKNYLDVIEHELFGEVQHLLEETNMSPADVAENLMPMSKKKKRDPDVCLIGLIESLRKAKEEAAAAKVKEAEEAQAKKAKEEEKIEVKKAKEEDKEKDKAPEAANEDIKQGDK >SECCE2Rv1G0126670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:848260132:848263848:1 gene:SECCE2Rv1G0126670 transcript:SECCE2Rv1G0126670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHADANFGKLPVSRRMSQAKLVVATVMALELLLVATAAAGGPVALPGCPEACGNITVPYPFGFRQGCFRKGFNLTCDETRRPPKLLLGDGVEVDAISLADGTVHVRTKVVAFRPLYTNGAVGARRSIDHNYSWYGGLPEVYKSGAQLAVSTEHNVFVAIGCNFIGYLVAVSDGGREYVSTCSTLCNGKTRDALCTGVGCCWTTIAQRYPGYQVMFKDLDDTVAADHAGQSRASVAAFIVDREWFVGTMQNTVSFNDFVNDDFGNGPSSMPTVLQWWLDVDSDRDLVVNDPRSASRWRCISSNSFAAYIGDAVNKVRCNCSDGYQGNPYIVDGCQDIDECLRPDVYPCHGTCINMPGTYRCSSKKRIISLAGLITIIAIVAGFGLLFSLLGVAQVIKKLKKQRAKKIRLNFFKKNHGLLLQQLISSNKDIAEKMKIFSLEELEQATNKFDHNRILGGGGHGTVYKAILSDQRVVAIKKGKIVVQREIDQFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLSYHLHGQSENHLSWKTRLRIALETARAIAYLHSAASISVYHRDIKCANILLTDTLIAKVSDFGASRSIAIDETGILTVVQGTYGYLDPEYYYTSRLTEKSDVYSFGVILAELLTSVTPVFYSHSSEGTSLASHFVSLMSDNRLLDILDTQIIHEGGVEDAEVVARLAQACLSLKGEERPTMRQVETTLEDVHNSKVKHSSQRTKVNQSALKDQPWIGNKGGEGTRLYSLEKEFIQSSEFPR >SECCE5Rv1G0374840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:864968371:864968640:-1 gene:SECCE5Rv1G0374840 transcript:SECCE5Rv1G0374840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRPYFGDQMGNARYVEQVWRAGHALGGELEREKVEAAIRRLMRSDEGEEVRPGARELKSRAAEAMAESGSSRLSIHKLVNHILSLHT >SECCE1Rv1G0008250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:45812315:45813107:-1 gene:SECCE1Rv1G0008250 transcript:SECCE1Rv1G0008250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSAELPPMPFPSDLTKEGGAREAGGGAAEVVTTSSSARRGTLLSLPSMLKPWGNQRRLRCVPVNRHGEAIAPDTRSSSDKQSEVSDRLQLGLDKVAEAPGTGNPTVNKYLKVTEAVSPQPLKARPGHRRRLPMPSHAATPAVGASPQAFERERRSVRADALKRPQFSVSLTAEEIEEDIYGITGALPRSRPRGRPRKVQKQIDMLFPGARLSQINMESYRVPDNR >SECCE4Rv1G0296050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:901365775:901367459:1 gene:SECCE4Rv1G0296050 transcript:SECCE4Rv1G0296050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAISLSVAAPTLPTISSLRRCAAPPLRGRRSRTTVRARMPLVQQEVTLPSLAHREVARALAAEAAAAVPLLPSAVPANVADFRNSTGTAVGMLDVRRGAPASSIDFMLYSSLHCKVPNGAIDITSVLIFLNALTDAPHFLMEFIQGSPTSMVVILDLLPRKDLVLHPEYLHKYYEHTQLDKQREKIEELPQTHPYRSPSLFVRSACSPTAVSVTIDCGQGGEGTLEEIVCGHLASAVNEVLRIWLHSCARDTFEMEEAEREIMIKRDQAVRLKSIEVDLTANLPRMFGPDVSGHIIAEIRKAFGVQLQES >SECCE5Rv1G0331340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538098302:538098823:-1 gene:SECCE5Rv1G0331340 transcript:SECCE5Rv1G0331340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEAAEPGPPSWPPWTSLLLRAMSKRRTWAALFLAVYAALLSSSWSLLASVRAWYYAASAGGAAHPAAWPAALYASVMYGAVFGLLSMGAALAVAAPAMLVTWITVLVLLAFAGKPRRSLVAEARRATADIARLALRVLLCEGNAVAAVCAAASFAALLFGRRDDAERRLI >SECCE4Rv1G0295710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:899518693:899519786:-1 gene:SECCE4Rv1G0295710 transcript:SECCE4Rv1G0295710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDRIPAARFSLPKSSTPYHQNEAYMGCRHGLCLLINMHKHETVVWDPLTGEERIVAFPPGCTLGCSWAWHGAVLCIDAEDGHVHGDCFSSPFKLVFICTEYNTPAFCYVYDSASGVWANIFSTMTITAGMSWLRRPSTLVGNALCFLICGGDVLVFDFKMQSLGLIKKPVENHGIDDWWFQLLRMENDGLGLAVLLDLTIELWERKSNCDGVFEWVKLQKTIPLEGMVPMRRMDSVFFVGYDEDANVIVLATMVGNFTLQLNSMQIKHIVKRNNICHDTFYPYWNFYTPELH >SECCEUnv1G0533530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:31071063:31072479:-1 gene:SECCEUnv1G0533530 transcript:SECCEUnv1G0533530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVKVHNVSLQASERDIKEFFSFSGYIVHVEMQSGDERSQFAYITFREEQAAERAMLLTGATIVDMAVIITPATNYQLPAAVLADLEPKTPSGIGSAIQKAEDVVGSMLAKGFILGKDAVEKAKTFDETHQLTTTATAKVSSIDKSLGLSEKISTGTIVVNEKMKEMDEKYQVAEKTKSALVAAEQTVSTAGSKIMSNRYILTGAAWVTGAYSKVATTATEAYNKERAMAEQDGEPAKSSEEAGQESKGEEGDPAKVPVPENTETGQMADQEDECPMANKPEGTGIGNGEQKNQDGEIAKDQTQESTEITAEEQKHREAELPKATTHESLLMPEQTEQEHKQPDTNKVANSDISGGPVTIPVCMATDDGNSSNSPKKPGPA >SECCE5Rv1G0299510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:17963519:17966112:-1 gene:SECCE5Rv1G0299510 transcript:SECCE5Rv1G0299510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEIFGSGLRLTRNNGRVLRLLFGDRSANASFVIASNIAVKGENNWGRPNWLCVLPCASNYFCTSIYATKGKQSAITKGVDRSEMKGRTKQVLVGHASYPVSEARILKKTTHRDGSIYKINYGFLKLWHLTQRDETQLEPMMFSNPTNCFPDKDRCIVHSATAMMQIFSLKLEKASTNISLVQLYGYIAVRDRYDSLLNYVFNRSRDDPIIVEQGSLIEMTGPKRGITMVAPALVEFDMRIKKGKQEDDLQLIDGAMEYHDLVTPEYPFTHRINGDCGAVDITLALVRCSFEATIDVLISKVQCGFDLSLSSCVVLMNGLHEIQLFRGSVVESCGLRRYVIAVKKDTLMHLKFKVGQNSCKNDLDHHCSFKAKKHGYDYQQIMLELASISVKVTWSNLQR >SECCE1Rv1G0063740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726529693:726531303:-1 gene:SECCE1Rv1G0063740 transcript:SECCE1Rv1G0063740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMHGHYVAVAVAAVVAVLLLPGCLDAYPDLDPDEAVVVDVGALEEGSSCYFPVTAPVVPESPEARRQHYRAIAAKDLARHRRMAASTRRRQLAASDVTDLLAATSGSGTPLSTFELPMQSALDSMDVGMYLVTVHFGTPAVAYSMALDTANDLTWLNCRLRGHRRHRGKAKANANAKTMSLEQALEPPLVKKTWYRPGRSSSWRRYRCSMRDSCAHFPHVACKTGEHNESCSYNQQLQDGTVTRGIFGRETATVAVSGGRQVRLPGLVLGCSTFEAGGTVDAHDGVLTLGNDKVSFSRLAGKSFQGLFSFCLLATHSGRDAFSYLTFGPNPAMEAGSGFGETDIVYVQNAPSLGVQVTGVFVNGQRLNIPPEVWNYRINGGLNLDTGTSVTSLLEPAYGVVTRALATLLDPKLEKAAEEVIPFEHCYKWDGKNPAAEAIVPKMELVLMGGARLEPSPKGVLMPEVLPGIACIGFFRREVGPNILGNVHMQEHIWEFDDIKGKLRFKKDKCTTHINIPPPNAHANPKPKPNPTNN >SECCE1Rv1G0046020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614196688:614198205:-1 gene:SECCE1Rv1G0046020 transcript:SECCE1Rv1G0046020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVISAVTGELVSRFISFLADKYRSPHRAELEEKQLKRLHQLLLRARTVVEEADRRYITNSGMLAQLTMLADAMYRGYWALGAFGYMSLEETETTPMEEEEVGEVRNSTPAKRLRTVHGSARKNKSMYLVDLQGEVESLEGVVAGMMEFVVLLGGYERMLRRPYDTYLYSDNIMFGRHAEKQKLLNFMLWHSSNSPGGAPAVLPVIGAPAIGKRTLVAHVCKDQRVSSHFSSILHLNGDSFCRFADHGSLMSGRILVVVELVSEVDQEDWDRFRSTVATSMDSESKVIIISRLKSSERLGTVEPIFINTLSYEEFSYLFKALAFGSVNPAQHPRLARIADELARAFQSEWSIATANLFADIMRRNLSVHFWLCILSRLRRVVERNLSLFGEHPKLLARRCHQIDLTDLVLHPMDSPLRVMPSCTSGSSRTEVTVERELLPRVRFGDLVLNPGVRPQGDFNVVSWESRLPPYTSFVHFVPNGNAAPSAAEQSTPLSGRKHAAVPL >SECCEUnv1G0546480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:156603395:156606751:1 gene:SECCEUnv1G0546480 transcript:SECCEUnv1G0546480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAGGAVRSLLGVISDEAKLLGGVGGDVQFIKEEMESMNSFLLHLARKTPGSGEHDEQVRTWMKQVRYLAHDCSNCIDVYLHRSDPAVHRTGGILLRYVWWVPWFMKKTVAQHLAATQLRDLKARARDVGERRIRYGVEVPASSPSFQGAAPRVLAARDNNLAEDYYRATSDDPRREQALSDPRIFYKCTEKLMHWLEHQHEDGPFQAIAIAAPDEEDGCQIIDEALCHDSVKEKFDHIFQVCEDMFIYEASTPTAGDDMSSSFLKEPWDFVRNILEQLELEGEADESVEEFGEEEIDEKIGKIEEKIEGHLEKAGNIDYRVEPLGVICGIMRVLLQDTTGNEDQNQTQEKILDETAQNMKKYMESEGEMSSCRIGVEHPQYVAILRELLPKQATTPAKAATNKLAGEDHILKKIKDITLKIQVELLPVSLHQQDKPVKESGKDHLSREDCRERIDQVLKKIKEHLLIQETTGRVREHLQGTRTLVVLQNASGYKWEETVEALRDFGCNSMAVVVSTKYMQGANEFCYGTEPIVYSSIRYYHDTVLGLTNRRVNDDKEYSSKIFRDILEKCSLDEFCIKMFIHALFANPMRSREELEKLSNNLVFGGSVEANGYNMIKFSYNDLPREYKTCLLYLTIFPRHEKINRTRLVGRWVAEKLTTGQDWPSSVNQAEYCFDVLTDLWLVCPCDVGAAGKVKSITVHPVVYNFITMMARKEDILDTRLSRHLARHFSILSNIRLRPSDSILDFLKQPSRASSRSKLGQVLDLEGCASLRDNQRWLRNVCTSFILLKYLSLRKTDVNQLPKEINRLQQLEVLDIRQTPMNASAIKKLMLLKLKRLLAGHTSTSGDGGGDASILSTVQIPHKVGKMTDLEVLSHVHASKHQDRELREIGRLSQLRVLGVVIYDWKAQVENLLQGISDLNECLKSLSIEIRPPPTCQTATPPDIPAADAIAVHCKNTPKLLESLSISGVTVYGRLLPLFFATGCPKLAKVTLHNTSLDQDDMESLADLPNLRGLGLRHVNLHTEGKLIIQTNGFQNLKYLVVEGGGITNIDFEPGEAPKLEKIVWLIDGVESLLGINNLPKLKEMVFNDGVRLPDQVKETIETHQNFIDNNGIWY >SECCE6Rv1G0379740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:16354044:16356992:1 gene:SECCE6Rv1G0379740 transcript:SECCE6Rv1G0379740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGRAVLLLPLLVVSALFAQIGASDPLFHESFDEGFEGSWIVSGKEEYTGVWKHEKSDGHEDFGLLVSEPARKYAIVKELDSPVTLKDGTVVLQFEVRLQNGLECGGAYLKYIRPQEAGWDAKEFDNDTPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKSPPSVPYDKLSHVYTAILKPDNEVRILVDGEEKSKANFLSADDFEPALIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPTEILDEEATKPEGWLDDEPEEVDDPEAAKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKRPMKQNPAYKGKWHAPLIDNPAYKGIWKPQEIPNPEYFELDKPDFDPIAAIGIEIWTMQDGILFDNILITDDEKVATAILEKTWKPKFDVEKEKQMAEQAAAADSEDLSEFQKKIFSVLYKITDIPFLEPYKMKIIDLIEKGEKQPNITISILASVAVILVTVLFRTIFGGKKPVAPVKPVAEVKKPSATEADAAGSSGDKEEKEDDTTAPRRRSRRET >SECCE7Rv1G0512730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:813948477:813950127:1 gene:SECCE7Rv1G0512730 transcript:SECCE7Rv1G0512730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAVTVMCSSAGEDLPANLTSASTEPPSLFDGTTRLYVAYHCPYAQRVWIARNCKGLQGQIKIVALDLADRPAWYKDKVYPENKVPALEHNKQVKGESLDLIKYIDDNFDGPALLPHDSEKKQFAEELLAYSDSFNAITFFSCLRSKGDVTDEAVAAVDKIEAALGRFSDRPFFLGQFSLVDIAYVPFIERLQISYSGIKNYDIVGGRPNLGRFIEEVNKINAYTQTKLDTQVTLDIIKEKFGIP >SECCEUnv1G0533400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:29358935:29360955:1 gene:SECCEUnv1G0533400 transcript:SECCEUnv1G0533400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQGFFSALREEVARGLSPARARRRAEEVAAAKAALRYAGAGGGEALAPLMEGPDPEEAGGGDGAGRARRDGWGRWVRGQLARAPSSGPVASAGGAGAARRNDLRMLLGVMGAALAPVHVCAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLSSVRNSYAMGKVRMVATECETAGRVVRNRMAARCAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHSAKGPVRPLRRSLQGLDPLTTASMFAGARCIGERKVNGDDCFILKLCADPETLRARSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMIAHAGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSISETVELPQSGKSKAGGLLPCHGAKVAALEKADDNVAWSGALQRDCK >SECCE3Rv1G0209040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936107572:936111804:1 gene:SECCE3Rv1G0209040 transcript:SECCE3Rv1G0209040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVSSLLVVIRSEALLLRGVRDDVQFIKEEMESMKSFLAHLSRWAPPGGEHDEQVRTWMNQVRLLAQDCNNCIDLYLYRGNPDIHRARGGLRGYLWWATWFLHKLAAQHRAAEQLCLLKERARDVGERRLRYGVEVPDKSGKGQLALTASSSSWAAAAGGYAAGDDEEEDGDGQLMGAMATGSHSGPRAFTKPRTLDDYVREKLWEWFNGIPGDAGETLSTVVVAPYTYQDLLALVEKMWLFSQNPNGGYHRIVVVDIPAVHHEFAPLRAKEVLFYILRELKRAKSNPQEQGTEGQVDVDPWDAYLRRWKIYHEKKRAIALLDIEENIDKMKIYEKLDKIKSDTQGRLAKGDGLPKGDKLQGDFDQLDLDVLLQLLLQTASQQDQKGKIKDMHRLPAWDKNNIIVKKLKEHMEAEEKDKKIEEEEEEATKHMGLKEGEESAAKHMEEGGGEITIHSEEEEEGGGGGETGKHMEEGGEVTVHSEEEEGSGEEGEIKSQQAAWIQLDEEQYAHILRKLFPKSGSSNNNRPLQAQDRSLDKQAAKTTTATLGEDQVKKLIHDAKEDILRELQQGKYDKSEGAGDPGAPDQNPETVSEKIFASFVDQMMDKMKHEFKEQLKIKGLVEEIKHNLDYHPFRKNYECPLFMLKVDELMDVSTCEDIRNSLSLLNCSADLIIVTATKDIQQAKEYCYPQAEPIDYSLAGLYHDTVLELTSQQKNEDGYNPQIFHDILYECEPHEFCMKVFTHALYANPYRSGEELHKLHSTLQALPTPSFNNIAKVMFKFSYNDLPKEYKSCLLYLAIFSPGQKIRRSTLIARWAAEGLTSKEDWPSSVRQANRCFDKLVGRCVVDPADIDAMGNVKSCVVSDPVHGFITTIARKQHIVETRLSHHLACHFSIFNDLQLRSSDRIDQFFKGLSKSSQVSLLKVLDLEGCLCFLKKKHQYLKEICSKMLLLKYLSLRRTDITQLPSEINNLRELEVLDIRETRVPPHATAHILLLKLKRLLAGHTDPSNFESNPRIPHRIDKMVNMEVLSSVKAKQSNDLKDIGRLWQLRKLGVVIDDKDSHLKNLLKAISDLHECLCSLSITTPIAAPHEAELPEVNVPYLKKHPKILESLSIKGTTQKGRLLPLFIKGDNNKLAKITLCHTLLSQDDLEVLAKLPKLRCVKLQHIVCTEHMLNFKGGEFRCLKYLVVEDPDLTNITFEDGSASELEKMVLSISSKCSISGVDCLPKLKELELNSSLCVRLLYDAKQIAKLTLRDTLLEQVALQLLTKKPNIRCLVLLDKSLGGTQNEITLEKDEFLWLNLLVVDCSAITKIVFNSGSAPRLEKIVWSSSTSLSGIDKLPRLKELEFNGDKIPNEVSEAIEKHKNKPSLKHNGPETRNEATGDGEEDDDDAATFSFCWKKQV >SECCE3Rv1G0210900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946221978:946224047:1 gene:SECCE3Rv1G0210900 transcript:SECCE3Rv1G0210900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSMAATMFCAVVLAALAAAAGGDAAVVEHTFVVHEMNQTHLCNTTKIYVVNGQLPGPTIDITDGDTVVVHVVNRLPHGLTIHWHGVRQMRSCWSDGAGFVTECPIPPGGEHVYRFNVTGQVGTLWWHAHVTCLRATVAGAFIIRPKGGRYPFPTPAKDVPIIIGEWWQLDLVELDRRMHDGNFDDNPLSATINGKLGDLSNCSGKPEESFVLDVVRGKTYLLRIVNTALFSEYYFKVAGHTFTVVGADGYYLTPYKTDMVTVAPGEAIDVLMAADAPPAHYHMVALANQPPEPDPQIPGFVSRGLVRYAGSSHNNNGLPVPTPLMPSQHNTMPSYYFHSNLTGLAHPDRHRVPMHVDERLFFTLGLGSICRGTNKTCQRGRSPETIVVATMNNVSFRHPTNASLLERYYDGRTSGLYTEDLPDHPPHPYNYTDRALIPPGPLEKALEPTFKATKLRRFRYNTSVEIIFQSTALLQSDSNPMHLHGYDFFVLATGLGNYNPKTDPKKFNYHNPQLRNTVQVPRTGWAAVRFVTDNPGMWYLHCHFEFHIIMGMATAFIVENGPTPETSLPPPPPEFKRCGANGLTQP >SECCE2Rv1G0140690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932370088:932371104:-1 gene:SECCE2Rv1G0140690 transcript:SECCE2Rv1G0140690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLPLPTTTTTSGRSLPPRATATTTTLPFPRSPLRLRRRQTCISVSSEGGSNNGPLPGLPPVDGEEEEDDDESCPVECVTEFKTDEELARFLERSRATGALVVVDFFRPSCGSCKYIEQGFMKLCKGSGDHGSPVIFLKHNVIDEYDEQSEVAERLRIKVVPLFHFYKAGVLVESFATRDKERITAAIAKYTQPDEQEREPEG >SECCE5Rv1G0357620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:748445899:748447701:1 gene:SECCE5Rv1G0357620 transcript:SECCE5Rv1G0357620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSSSSSSSSWGPSPAAVTALVALLGLGVAAYIVGPPLYWHVAEALGRSAGACPACSCDCDALPLLQLPEDCAKQFKEVKGRASGEETEKSITEMLLEELNQREEEAIEAQQQADVKLLEAKKLASQYQKEADKCSSGMDTCEEAREKSAESLLGQRKLTSLWEERARELGWKPENVKPHRNQ >SECCE2Rv1G0071120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:40461284:40466444:-1 gene:SECCE2Rv1G0071120 transcript:SECCE2Rv1G0071120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRLGPRLCFACANSTAASSSSTPSRRRPRPLLRLLPYRGHANPIGFSAMCAAGSLPGPVAQDHDAVSGYRLPPKEIQEIVDVPPNPSYHLSPRRDRIMFLKRRAMPPLSELAKPDKILAGIRIDSSSNMRSRMSFYTGISIHLLMDDGSLGPEIVVHGYPDGAKINFVTWSPDGQNVAFTVRYEDEEGSDSNLALWVANAESGEARPLFNQTNIRLNAIFELFVWVDHSTLLVCTIPSSRGDSPKKPLVPFGPRIRSNEQNNVIQMRATKEMLKDLHEEELFGYYATSQLVMVSLDGIVKPLASPAIYTFLNPSPDEKYLMLTCVHKPYSSIVSYKRFPKKVELWTVHGRFVRELCDLPLAENIPIAANSVRRGKRLIRWRPDMPSTLYWVEAQDGGDANVEVSPRDIVYMEPAEPLNGEKPHVLLKLDLRYRRTSWCYGLHALVYEYWHKTRRTRTWVISPDCNDLSPRLLFDRSSEDAYSSPGSPMMCRTPAGTLVIAKIKTNYEGTYILMKGQGATPKGSIPFLDLLNITTGAKERIWESDLDKYYESVLALMSYHPKCEIQLNELKFLISKESRSEAAQYYVSIWPDKKQVQITSYPHPYPQLASLQREIIRYERDDGVKLTATLYMPPGYSPSKDGPLACLIWSYPGDFKSREAAGQVRRSPNKFARINNSFPLLWLARGFAILADPTIPIIGEGDQEANDRYIEQLIASAEAAVNEIVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMVANKIKKPILLIHGEEDSKVTTAMQSSQFYDALKGHGVPCRLVILPFEGHRYAARESVMHVIWETDRWLQKYCASNSSST >SECCE1Rv1G0022360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:284364971:284368066:1 gene:SECCE1Rv1G0022360 transcript:SECCE1Rv1G0022360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGLAMSGGREVAISAVFTALLVVSILFLPSLLLTSGRLGPSSAKEWPFLVVTKDGGGYPVSFAYLISASTGDAERAARLLAALYHPANSYLLHLDREAPAEEHRRLAELVAGQPVYGRVGNVWIVGKPNLVTYRGPTMLSTTLQAMAVLLRVGRRWDWFVNLSASDYPLVTQDDLMEAFSRLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEADRSELLRPSPNITTNRRGLPTAFKLFTGSAWTMLSRRFAEYCVVGWDNLARTLLLYHANLVSSPEFYFQTVACNSREFRNATVNSDLHFIRWDTPPKQHPLYLGPKDYRRMVLSSAAFARKFRDGDLVLDRIDREILKRRPPPRDHAASGSAARHGGQFFSYGGWCSEGEVGLCSAGNPWEPSSRKGAIKPGAGSRRLRVMLNKMLSGRNFRKQQCR >SECCE3Rv1G0192520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:759854970:759855203:-1 gene:SECCE3Rv1G0192520 transcript:SECCE3Rv1G0192520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGMRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE2Rv1G0142980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943493260:943497112:1 gene:SECCE2Rv1G0142980 transcript:SECCE2Rv1G0142980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSATGIISAVDDCVNLFHWARSAIPSLHSGMSGSQQESLQEHVSRLQGGLQRLRDALPAMYDLIDRAERRSHDTRVEKLLPVLKDTVYEVEDLLDEAKWYEVKAQVEGNATQSPPPLVEFIDDVVQGSNFGKLSHVQSRLSNLSSQLEIVGLAEATQHVDRLVKSNTGYLPYEDHFFCYDKELAQVMGFSTNSKRKSATSLANASTSASANNQFSNGSRRISSLPVLVIQGTGGVGKTTLARRIWFNQPGKPRIIWIRVSDDFDVKRLTKKAIQFCTGEETTTDDLDSLQRDLSKHVSNKTLLVFLDDMRDDALKENGQCWKRFCAPFRNVLGGSMILVTTRCSAVTEGLRTMEPIILDGLEGDVFWNFFKLCVFGNGSSEIDPELERIGRSILPKLKGSPLAAKTLGRMLSMDLQASHWNSILESELWQVRQEEADIFPALQLSYMHLPFYLKRCFAFCSVYPKDYKFQKARLAEVWVAEGFVESQDIGCQYFEDLVTRSFFQMVRGGYVIPDLLHDLAQKVSEHDCFILRNDSCFDKVPHDVRHLYVLPSSDFDGSNLLRLCRYTKLRTLICEKSLGKEAGFVMGHWCTKLLRMRVISCASTDELPGSIGNLKHLRYLEISRDCALKRIPSTFRWLYNLQILYAKKCKLESLPSGFGKLINLQKFESDGLPYYPGCRMRVNAADGQGQRIVRLMKNLNQFHGLEITHVGMLTKDDAAESKLKNKKDLEELKLIFESDGLPISPRFLGYPDSQFMQDYEIEMPHQSQIMQYNEIEVLQSLQPPISLKSLFLQNYAGVSLPSWFRPPNIPSLESLTFRDCVGLKSISLCMISQIIGLNLIPAVIVHNNNDIVGMFLSLTDVAIYGCRNLSTLEHFLLPKYVPAMKKITIRDCKRLESIPTEMFGDFHFLEELNISSCPKIRSRRLVSPSLKKLRLSRSALFYSIECCSLTYFDLSSEFVMSIQLQTWSLPALRELHILCRSLTSIGGSTNLSLCTGSGSIRAFSSLSVLSISHCDKLSTLDDLLTQEYLPAIEEIAIRFCGELLSLPGESFGSFSYLKYLRIDNCRSLNWQRRLVLPSSLQRLSLHYCGDMFSSVPSCLANLTSLVSLTMKGCQGIRCIPGDIWRCDLTSLELLEIRDCPDLVSVGGAKAVEKIKSVWICGCPNLKGVTGILRRCRKG >SECCE5Rv1G0349260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682589869:682593988:-1 gene:SECCE5Rv1G0349260 transcript:SECCE5Rv1G0349260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPERDSTEIEIFGMQGIPSDVLAAHYGEEEEPSSKVAKVEVPTIRPPIMPNYPLGMPFPPRPYGAPRPMYNPAMMVRPPIWPLQQQQPWFVQQPAVSVPQMIAGQAPQQPLFPIQNMPNPMMTSAPANLLQTSYPMATTGVPSPVAPQASQPLFPVNTTGNGAANSSFSSSISPATIAANSPASVGTAGYGYVANNHGTGGQAVGHPPAPAPAPATSSKTSATQPATSEVYLVWDDEAMSMEERRLALPMYQVHDETSQMSSVDAAFDRRISDITFSRPSP >SECCE7Rv1G0457930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19498887:19499498:-1 gene:SECCE7Rv1G0457930 transcript:SECCE7Rv1G0457930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAASLLPGLLPTPPTKPCLIILPASFASKTKPGRADSVERWDAHKKDKKPTSPPSWCSSSSSPGRASSCPRWDINKQISGGSHTSSSSSSSRRSSTSTGEASPCERWDNNKQLPPSRATSADRWDMHKKPSLAQAAAVSWTGDKEDQEDNKTATVLKPAAPRIGPMFHGPSFVASPDPSMLPMPTFFRSRNPGVLPVQAF >SECCE3Rv1G0192290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:757330552:757332371:-1 gene:SECCE3Rv1G0192290 transcript:SECCE3Rv1G0192290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGACSSVSLLLVAIAFLYLAHRLRPKGKICGGGTCSSAAAALPPGPSPWPVVGNLPEMMLHKPAFRWIHLMMKEMGTDIACFRLGGIHVVPITCPEIAREVLTRQDANFVSRPLSFASRAISYGYKDAVLSPFGDQWKKMRRVLTSEIVCPSRHKWFHDKRADEADNFTRYVYALASSSTSGADVDVRHASRHYCGNVIRRLVFGRRYFGEPQPNGGPGQLEVEHIDATFTSLGLLFSFCISDYLPWLLGLDLDGHEKKVKQANATMSRLHDMVIDERWRQRKGGEKREDQDFLDVLIAVNDGDGNPLLTMEEVKAVCKDITLAAVDNPSNAVEWALAEMVNSPELLDKAMEEMDRVVGRERLVQESDIPQLNYAKACIREAFRLHPVASFNLPHVAVTDTTVIGYRVPKGSHVILSRTGLGRNPTVWDEPLRFRPERHMGDDIDVTLTESKLRLISFSTGRRGCMAASLGTAMSVMLFGRLLQGFTWTKPVGVSVIHLSESKHSLFMAKPLVLHAEPRLPMHLYPPRAC >SECCE2Rv1G0141330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935020212:935021432:-1 gene:SECCE2Rv1G0141330 transcript:SECCE2Rv1G0141330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAPSSPARSPPRAAANNPNSGGGGVMRGSVYYVQSPSRDSHDGETTTKGATSVHSTPALSPMASPRHSHSSVGRDSSSSRFSRGAAHHHKDKSAGRKGAPAGKGWQEIGVIEEEGLLDDEDQRRPMPKRCKYCLIFVGGFAVLFTFFALVLWGASRSQKPQIAMKSITFQNFIIQAGTDASLVPTDMATTNATVKFTYKNTGTFFGIHVTADPFTLSYSQLNLAAGDLKKFYQGRSGRRTASVNVKGNKVPLYGSGPTLMAQPAGGKGGAGKVIPVPMVLRTTVRSQAYVLGALVKPRFTKEVECKVVMNPYKLNKPVSLEKACKYS >SECCE7Rv1G0463370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:52361157:52361462:1 gene:SECCE7Rv1G0463370 transcript:SECCE7Rv1G0463370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEAPAAAAVPEKAASSVPEKAAASSSCFKSTLGEDASLLELAKDHYKQFTEAQAGEHWECLKNKVSSMFAEPITFFGGGAKDHGSSTNTTTPPSVESQ >SECCE3Rv1G0151450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:38835562:38836964:1 gene:SECCE3Rv1G0151450 transcript:SECCE3Rv1G0151450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPDVILPRVLIVSRRTVRKNKFVDFVGEYHLDLVVGYGAVPVIVPRVAGVHAMLDSFEPIHGVLLCEGEDIDPALYDAGRKESDGDALSPEQLEAVRRLHPSDAAVDHEKDSIELLLARRCIERNVPFLGICRGSQVLNVACGGSLYQDVEHELHPATSDSAVCHMDYANYDGHRHPVRVLPGTPLHDWFADSLLDGDQLMVNSYHHQGVRRLADRFVPMAYAPDGLVEGFYDPDAYNPGEGKFIVGLQFHPERMRKEGSDEFDFPGCAKAYQEFVRAVVAYQAKLAAAHAHVHVQSAVATPAKLKREMEKQRKVIFRSVSLAKNMYVFGKNTGAQHPAEERDGDLDAGAEFLESNRALSVQQEKRLKQMGATVRNASGYLNRLKVSEEREAAARALMAKMSVAQLASLAAFYRAMGNVCSEVLDAKLQPPLHE >SECCE6Rv1G0399670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:442936214:442937185:1 gene:SECCE6Rv1G0399670 transcript:SECCE6Rv1G0399670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSALGSPATSATAAPLPDLSIPYDLATRGQWQALLAHLAHPSHAHHPHHRLLLSALSALSLAKLRRFSDGAALLASLHPDPGCPPPPFLLRLLHALLPLFFPDRPLALDRLYTLLSSVRARPDAQHPEWRRRDALVSSILASDHLAHREFDVALALVAELVAREPDNPVLLSRLAYAHLQIGNLAAASAVFQHVESVAAGDSSHDNLLSRNRALECIVAKDYAAAVREYERCIEADPTDAIAVNNKALCLMYSRDLGDAIKVLEGALETMPTAALNETVVVNLCSMYELAFVNHGEVKRTLADWITRVAPDDFDKSCTRM >SECCE3Rv1G0202370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:873249707:873251236:-1 gene:SECCE3Rv1G0202370 transcript:SECCE3Rv1G0202370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTPNQFPSSSWQTHQDASICTNQELDYGHPHYLGIEDVALDAVELELGPRAAKATKVDYLSSPYHASWPPAHADFESSRVRKTKQFRDVLETCKQKVEAMEALEHSPPVASGGFEEQAGEAVVAGGSGADGMRLVQLLVACAEAVACRDRAQAAALLRELQVGAPVHGTAFQRVASCFVQGLADRLALAHPPSLGPASMAFSVPRSSCLDGARGEALTVAYELCPYLRFAHFVANASILESFDGESNVHVVDLGMTMGLNRGHQWRALLDGLATRAGGKPARVRVTGVGARVDTMRAVGREIESYAEELGMCLEFRAVDRTLESLHVDDLCIDAHEAVAINSVLELHCVVKESRGALNSVLQTIRKLSSKAFVLVEQDAGHNGPFFLGRFMEALHYYAALFDALDAALPRYDARRARVEQFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSMPIKMAAKAREWLEENAGGSGYTVAEEKGCLVLGWKGKPVIAASCWKC >SECCE6Rv1G0396480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:366354553:366362561:-1 gene:SECCE6Rv1G0396480 transcript:SECCE6Rv1G0396480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MASKPSSAMAAASSEVGGGVARLEALAMDKVAEAADAVATASSVGEVVCAIHAVAVLLFPVGSATVSGTLDEPIKSQIISVLSLSHDERESWRRAFYHGPAFPTMSKILLGNIALKWLCQIHNTLRKEVYDSFFVRGPPTEVIQALVPALSQNENSKEDHNIVCLNIERLLILCLLENKGVGQIVAEFMFLNKHNDGVLSPDRITFISRVAQLLASVPDKARMGASSALTSSPFFKSVVSQLLVRAEEAAIESSANKDFNEQDALSSVLLFVGEVLSRVSRRGSTGILVAELIPMIRNHLQRCVAPDCKTIIPDMIKHVPQSRFWFNVVEALRDQHSIERLTEEMLRQLASHHLNDEEAYWILWTLFNQSIMHIAVMRAMFIDKFLLWKTFPLCCLRWILHYAVFEFPPNSVTEAQMRRTSNFLVTLQSLVTVWSKKEFVQSYSVEQQAYITAAIGLCLENMSKEELEMNRDVLNCILQGVSCRLESPIDLVRKMASAVALTFSKVVDPKNPLYLDDDCSENVDWDFGVLSPKEITAPSHDVEFGSKSKPCPCKNRKHAGDKKGKTIKHDILDNRVKVVEIKSKQDSDEMSGAAINFEEYYDEESINIDASSDSSLEPYDLSDDDTDLQKNFTHISDLAAALQKPDDLDGVESALSSAEKLVRASPDELRHCSGDLVQALVHVRCSDVAMEGEEDSSEEKRQNALVALLVTSPFESLDVLTKLLYSSSVDISQRILVIDVMTEAAQELAETKIVKSERRHGNLISDTTPSWLVPRDSGPVGASPWREVSETGSLLKNWSHRYEREVPSRPSQVKSGKSRKWGLGKAKDSQVEWSKNSFPLYAAAFMLPVMEGYDKRRHGVDLLNRDFVVLGKLIYMLGVCMKCTAMHPEASAIAPAFLDMIRAREVSQHAEAYVRRSVLFAASCVLIALHPSYVASVLIEGNQDISTGLEWIRTWALRIAEADPDTECTSMAMNCLRLHSEMVLQTSRALESAEHSKAGTRALPSKLDNIIIPFANMM >SECCE2Rv1G0088940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:250391761:250395745:1 gene:SECCE2Rv1G0088940 transcript:SECCE2Rv1G0088940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36170) UniProtKB/Swiss-Prot;Acc:Q9LVY0] MIDCPRLLLAAASSAPGPSSTACRRFTTGCPPFSLSCRSRLSSFPVQSASSGVGRQSSVVDEPEAVEWAMQDFYALRKDVELAVERVNEVRQDAGLEHLLGEIASLENKSGDSTLWDDPSKAQELLVALTELKEKVKLLNDFKSQVEEADTIVQLTEELDSIDTGLLEEASKIIKALNKALDNFEMTRLLSGPYDKEGAVITISAGAGGTDAQDWADMLLRMYVRWGEKQRYKSRVVEKSPGEEAGIKSATVELEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESLDVEIPEEDLDISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCAEERSQLANKIKALRRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTACETSDISGVMDGELDPFIKAYLQYKLSAAAEEQSVK >SECCE4Rv1G0296730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904266898:904272636:1 gene:SECCE4Rv1G0296730 transcript:SECCE4Rv1G0296730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLNEAKITEFFKNKTMLITGATGFLGKILVEKILRVQPDVKRIYLLVRAPDAAAARQRLETEVVGKELFGMLRQRHGSGFDAFVAEKVVALAGDVTREGFGLEAETLHELRLTEELNVIINSAATTNFYERYDKALDVNVMGVKNMCDFAGKCPNLDVLLHVSTAYVAGEKQGLVPERPFRDGDTLRDGTHLDIDAELRLAKDPRNQMEADNDIYMSPKAKRKAMKDLGLTRARHFGWPNTYVFTKSMGEMMLGQMTRGGHVSVLIVRPSMITSVQNDPLPGWIEGTRTIDMILIGYAKQSLSCFLADLDLTMDVMPGDMVVNAMMAATVAHASYTQSLRSEKKPHQQPQLVLPAALQVYHVTSSLRHPAPYAVLYRTGIRYFEEHPRVGPDGRTVRTRKVRFLGSIATFHLFMVLKYRLPLELLRLLSILCFGLFGLSALYQDLARNYRLVMQLVDLYGPFALFKGCFDDVNLNKLRLAMADHPTMTSPLFNFDPKTVDWDDYFYKVHIPGVMKYVLK >SECCEUnv1G0531360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17162337:17163200:1 gene:SECCEUnv1G0531360 transcript:SECCEUnv1G0531360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKITARQSTGGKAPTKQLRAAARKTAPSTGGVKKPRRYRPGTVALREIRKYQKGTELLIRKMPFQRLVREIAQFSKSDLRFQSHAVLALQEAAEAYLVGMFEDTNLCAIHAKRVTIMSKDIQLARRIRGERM >SECCE3Rv1G0203740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:884805834:884806940:-1 gene:SECCE3Rv1G0203740 transcript:SECCE3Rv1G0203740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTITTSSFLKTSFPGVCFPSATRTPSPAAKPRVGPICNSISSSTPPYDLSSFKFSPMKESTASREMIRRYMTDMIADVNSDVIIIGTGSAGLSCAYELSKDPSVNIAIIEQSISPGGSGWLGSQLFSSMVVRKPAHLFLDELNIEYDEQEDYVVIKHAALFTSTLLSHLLAKPNVKLFNGVVVEDMIVKEHRVTGVVTNWALMSINQDTHSQTQSHMDANVMEAKIVVSSCGHEGLFSANGKGVKRLKDIGMIKMVPGMEALGTNISEDAIVGLTREVVPGMIVTGIEVAEISGPQRMCPTFGATIISGQKAAHLALKALGRPNNIDSETALA >SECCE6Rv1G0396290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:361897041:361899511:1 gene:SECCE6Rv1G0396290 transcript:SECCE6Rv1G0396290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSWLITCRGVAKKIRNDNCSNRQISELGAEACRECPNCKHIIDNSDVAIQWPGLPAGVKFDPSDLELLEHLEQKSGVGGSEPHMFLDEFIPTVENDEGICYSHPENLPGTKKDGRSAHFFHRVSNAYGCGQRKRRRISNSDYSTSDEHVRWHKTGKSKAVYGNGVIKGWKKILVLYKSSQTGGKPDKADWVMHQYHLGLEENEKVGEFVVCKIFYQLKTNQVDKNESEMANEASDAFVARDYPKTPKAKTPQPCRPNNSPCETEQTLLKTCETEQKQNDPNLQYQEEETNIPAISLADADPSDWFLASQAGTSLEEPLRCHEDINSFGSDRPIYSQGYYDGLPDLLNLGAPPEDLLFSSQDLLSFFSQEDV >SECCE3Rv1G0208900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:935488066:935489653:1 gene:SECCE3Rv1G0208900 transcript:SECCE3Rv1G0208900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGASGTPQAVGLVLVLSLIVIYLATSSSRAEKLLRKLPSPPFKLPVIGHLHLVGSLPHVSLRDLARKHGPDVMLLRLGVIPTLLVSSPRAAKAVLRTYDHLLASRPHSPVGDILFNGSTNVSFAPYGDYWRQTRKIVTTHLLSANKVLSNRAARETEVRLVLARLTAAAAASLPVDVSELLGNFANDVVCQAVLGRLPREAGRNKMFRELLQVDSKLLGGFILTDFFPSLARLDMVVSRKAANQKKVWDDLLEDLIDRHQSQTVKDEEDFIDVLLRVQQEYGLTKDGIKAILMEMFEAGTHPTYISLDYAMAELMRNPRVMTKLQAEVRGCATKRREMMVTEEDLESMGYLKAVMKETMRLHGALLIPHLSVADCDLEGYTIPSGTRVIVNAWALGRDPTSWENAEEFLPERFMEHAMDAACDLQGNDFRFLPFGSGRRICPGINFAAVTFETILANLLYHFNWELPEGSPGVDMTEEYGIDVERKVKLLLIPRAAQDL >SECCE3Rv1G0195260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:792986677:792987738:1 gene:SECCE3Rv1G0195260 transcript:SECCE3Rv1G0195260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRFLNLLVNKLNGRSPAVNLHRIDLASLFCPAGLPKPAHPAAITKKASASGLAPARLPTATISFYQPYPPSQNGWMDFMALNDDIIAFDYESRTLLYDSTVGAFRVINPIIDPRCRSISLTVGNVFYVMARQSGLPCQGYHFQALSLKDWCWRRLHPLPINFLEIIQNPTHKNGLGLGEVDPFEFSAYAAVGQDIWISTVGAGTHSFSTSNGKWSKLGDWVLPFSGPAQYIAEHDLWFGFSRKDEHLCVANLVKEPPAPPLREFPFQQVWEEPHLSEAWTPMAASLMPLGSGKLCIARVFRTSKGGKDGKAESIVVLAGVEFVNDASTGRLVIIKHKSVSYIVGGNVFKLL >SECCE4Rv1G0227270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:120057440:120059883:1 gene:SECCE4Rv1G0227270 transcript:SECCE4Rv1G0227270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTTNTSEGGAKPSSLCPRGHWRPGEDEKLRQLVEKYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLAAHRVHGNKWALIARHFPGRTDNAVKNHWHVVRARRSRERCRLLAKAASSTFPSYSYSGGAQLDFAGASAGSFCFGFSKPSGSGFFGSPPAAAAVAPSSTPVLFNGYGASGSKSLLSRYSSYLDGGKQPAAPSSLSIAFSSPPSREALALDGRGGPDHHRRTDYHVDGGDEPLKTKDAPPFIDFLGVGVSS >SECCE4Rv1G0254910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:642778671:642779051:1 gene:SECCE4Rv1G0254910 transcript:SECCE4Rv1G0254910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPDAAVLAFHLADGCAKILVPLLCFLGSGGPSLRGPAVDEAAVFLLLVLPMAYLTGVFLAHFAGVVLAPTPAAAVSSADPARFVVLLFTLVSAWLLLIFVPFAAVSFLGAASGGLGRGSARTC >SECCE5Rv1G0307840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122038925:122039950:-1 gene:SECCE5Rv1G0307840 transcript:SECCE5Rv1G0307840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGGLLTGGYGGASSWKAGRVMRAAVAAFFNGYHCFSPVAAMLALPFSAAVLASQAAASSPSSSSGAVLRGVSSRLRAMFHAAGFPPSPFFALLNGKLSQTVFTYAATLPVALTALLLAKACVAGVLRADPAHDRRRGRAPRMSLPPCGAVARAYPALAATHLVGSFAMLSANAAAFSLLFLAFGAADLLGFTSRASTLALSAAGAIAYSLAVGVATVVCNLAVVVAAMEERCAGHAAVLRACVLIRGRVPTALALALPTNLGMAAAEALFQLRVVSQRRKAGRLAPGVAGEAFSIAYIHALCVVLEIIVSCMFYRSCRRSEADELRELEPEEKGDLQA >SECCE3Rv1G0189420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:725819868:725820065:1 gene:SECCE3Rv1G0189420 transcript:SECCE3Rv1G0189420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGWFKVVEVQVQSARAYQWRWEEKAGVVVSLLDDALFHVLYAAEAVVLSAALCGFFLCCGCNI >SECCE2Rv1G0134260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900838327:900839750:-1 gene:SECCE2Rv1G0134260 transcript:SECCE2Rv1G0134260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSQMSKDPRNHHYLDLGAARQVPETHSWEGLHEHPVVDGGVGAGEDAVPVVDLRDPHAAEALARASEQWGTFVLEGHGIPSELLARVDAGIAGMFKLPASEKMRAARQDGQSHGYGPPPIASFSSKATWSEGYTLSPANLRAELRKIWPDAGEDYRHFCDVMEEFHRQMRAVADKLTVLFVAALGLAGEQGAAVEAERKIVETMSETVTMHLNWYPMCPDPKRALGMAKHTDSAFFTFVMQSHVPGMQLFRRGPDRWVRVPPGALMVNIGDLFQILTNGRFRSMYHRAVVNRDNPRVSVAYHLGPPADAKVAPLGGKPAYRTVTWREYILVRKEAFATGGSALEMVSLSPNDDDDIGDGADQISEILS >SECCE2Rv1G0078370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:107462965:107463846:-1 gene:SECCE2Rv1G0078370 transcript:SECCE2Rv1G0078370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVLQLRSSDGKVLVAPAWDYRPAAAQALPLEMPVPSRALERVLQYWTKHSLAKATGESRESLARWDADFQRRLEEDGLAKEAASAAQELRRHGVDHGGRPHRHAATAASDVAAPAKAARADPVRAWCPLVHHLKGVNHGERSHAPAMPGAFHASAARPGPTTTLPAAAGADSVDVRCAIRARGRQMAEDEESACHHCKRPASKAAAPVKKVSRPVGSKVIPAVVSRPITQLPVTAVAPVVKKMTPAVSTLRARRGKGELSCKVPKQIRVTAAAPMKQPIPWLRPVVLRPC >SECCE6Rv1G0437880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786524308:786524805:-1 gene:SECCE6Rv1G0437880 transcript:SECCE6Rv1G0437880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLAATLLLALASAVIVTAQNGADDMLNAHNEVRAAVGVGPVTWDPIVAAYAQSYAEKRRTDCKLVLSPEVRPYGENLFHAPGTDWKAVDAVIYWASGKQYYDHATNTCSAPSGESCDEYLQLVWRDTKAIGCGAVLCDGNAGVFIICSYSPPPMVGQVPY >SECCE4Rv1G0229740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:152707993:152710993:1 gene:SECCE4Rv1G0229740 transcript:SECCE4Rv1G0229740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVELAVAGWFVSVCLSKLADPVIQHAKDRYKDQKNFVANTEKLKWRLEQISEAIGRAKEREVDDSKLQSWIQRLRDAVFTAEDVLDSIKYAMLKHKVTIKPARKHILTPSKDAADSIPQDKQDADDLAKVIKKLDRISAEMSSLCFLCGQDATQGLVPDQAPDWRVTTASDTQPNLVGRQKEADELMAFLLNPEQGNEKVSRISVVGMGGVGKTALVREAYNDSRTDCFGVKVWICVSHRASITRVLIDATNAASKKLLLYRHPAPDKGTIKSMLADVLKDKRFLIVLDDIWDWDLSRNILDAFNMPFSQAREGSKVIVTTRDPSIGEGMSTVGPIHVHGLQSEHYRQLFKELAFHNKDPEEYPELVPIAKNIAERLNGLPQAAETFCQALRSDFNANHWRGISKRKMYQMGAEKCGIMATLRLSHEQLPRDLKECFVSCSLFPKGHAFRKEEIIRVWMALGYLDGPGAGVQRMEDTGNGIFTQLLQRSFFKEKSEAPGTYIIPEPLDELAEFLSSGEYFRIEKRSEFDDGPINIPERARHVYLDINYLARASGRLRRITNLRSLVVVGQIPSDPKKSDLIQTLKELLIGMKCLRVLMVSEPLLADLPTLKHLRYLEIHVEDNYGNACLPESICKLYQLQTLITRFCGNKLSMPNNFNQLISLQFLETEPEAVCAIADIGKLTSLQEINTFEVGDNDEFGISQLENMNQVRGSLCIKGLEMVKGKDEALKAKLDKKEYIETLDLCWKISKERTESMMTADDGVLEGLQPHCNLSKLIINGYTGMEPPNWLQGPQARELNLKCIELSNCSGWEDLPPFGILPYLKVLHLRQMGSLWRIKKEIYGQGNSSGFPQLHELLLEDLCNLEEWFLPSRDIQLVFPKLRSLSITNCGNLKRPHALPYLLENLPSVVIKNCPNIDKDYIRPSMTIDHEKCSP >SECCE5Rv1G0359220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:760650297:760651319:-1 gene:SECCE5Rv1G0359220 transcript:SECCE5Rv1G0359220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRKRAFASVVFTAIASCAAAQTPGGPPGSAKYMDFSDVMAISFFMAIFFPVFVVLLAFACLRLFRPADEPPAAENSSAEWPHSPHKEGLDAAEIAALPLVSYRDVKQHRISDGRIDPLECAVCLLEFDDDDSLRLLPTCPHAFHPQCIGLWLEKHVTCPLCRASVLDPPPPLVPEQELETPAPPDSPPPVHVHDTVVLIEDDPSRGEEEDDRARILARTRREAGREALPRSNSTGHERAGGMERFALRLPEHVRLEILMSHRLRHVTSAVASVRVREGSAHDPAAGGNSVRSAVARLFSLFAPGDGWSGDVEDKSGGSSRWRRDDSTRGAGEDKRND >SECCE6Rv1G0413790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:626193038:626194198:1 gene:SECCE6Rv1G0413790 transcript:SECCE6Rv1G0413790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSARHQLLRRDWADLDAGPAGLVAEHVLGNDILDLVRFRAVCKAWHTCSAHLRAHGVLDRRFHPRGWIMLPKAYNNSNGRRRFMNIFTGESIHRGLPDLAHSHTHVLGTTSEGLILRLGIDVGQLLNPLTGQVTRLPSATTLLSIAEFDYEQLFELSLYGAGLAADDTVVIHFCSFPLAIAKPGDERWTHIRSQHRITSVLPFAGRVYCATSRNITLVETRTSVANQPAQLVVAAGHELHEGFGSLKKFKYELYRGRCRLFLVENNRELILCLRDSREQQIPGECNVSVYQVDLDAKNMVPLHGLDKKTLFLGRKRRLLLVGTGVSPSIKAETAYLCWLKPKDEADVYGIDLFGGGRFEHKFKKVDVAYYLSCYVSKCCSEYI >SECCE1Rv1G0029410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:414156495:414158921:1 gene:SECCE1Rv1G0029410 transcript:SECCE1Rv1G0029410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHGEPSSSDPSGKKDYSMAILERKKSPNRLVVDEATGDENSAVALHPDTMDRLQLFCGDTVLLKGKKRKDTVCIMLPDDACDKTKIRMNKVVRKNLRVRLGDVVSVHQCPDVKFGNRVHVLPVDDTIEGISGNLFDAFLRPYFLEAYRPVRKGDLFLVRGGMTSVEFKVIETDPAEYCIVAPDTEIFYDGEPVRREDEEKLDDVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGTGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPAIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVELEHVSRDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDTIDAEILNSMAVTNDHFKIALGTSNPSALRETVVEVPNVSWEDIGGLESVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGNSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDVESRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDMEKEKRRKENPEAMEEDDVDEVSEIKAAHFEESMRYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPDQPAAGATAAADPFASTATAAEEDDLYS >SECCEUnv1G0535310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:55189541:55191152:-1 gene:SECCEUnv1G0535310 transcript:SECCEUnv1G0535310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVISSSGGKVYPGHMTAFVFFSCLVASSGGLIFGYDIGISGGVTSMDSFLSEFFPSVYAQAKANKDKNQYCKFDSQLLTLFTSSLYLAALATSFLAASVTRIFGRKWSMFCGGITFLAGSALNGAATNVMMLILGRILLGIGVGFANQSVPLYLSEMAPANLRGMLNIGFQLMTTIGILSANLINYATVSIEGGWGWRIGLGLAGVPALIITLGALALPDTPNSLIARGYTAEAKKVLVKVRGTSDVHDEYDDMVAASEEAKAIEHPWLNILERKYRPQLTIAVLIPFFQQLTGINVIMFYAPVLFLTIGFGGDASLMSAVITGLVNMFATIISIVSVDRLGRRALFLQGGTQMFVSQIVVGTLIALQFGTTGEGEMSRSYAMLLVLFICLYVAGFAWSWGPLGWLVPSEVFALEIRSAGQSIAVCVNMTLTFIIGQAFLTMLCHLKFGLFYFFAAWMVVMTTFIALFLPETKGVPIDEMNLVWSRHWFWSKYVVQEGGSNRRTQGV >SECCE2Rv1G0102470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:577663052:577666842:-1 gene:SECCE2Rv1G0102470 transcript:SECCE2Rv1G0102470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATH12 [Source:Projected from Arabidopsis thaliana (AT5G03910) UniProtKB/TrEMBL;Acc:A0A178USA1] MAMAMANPAPLPAFSSQSRAFTPNISLRSSRAISHSRALALTATTACSIRLRVRAAKDCSPPSSYPLSEVLPYVAAEWRTIAKGWACAAAAVYCLSRTVPAAGRLPRALAAGVGGGVSAEVSRGVVALAALASARAAAAYVQQALLWEAALRAVARLRGRAFERLLARDLAFFDGREGMAAGDIAHRITDEADDVADAVYSVLNTIVPTSLQLVAMGTQMVAINPQLSLVAAMVIPCMSIVIAKLGERLRQISKEAHLSLAMLAAYLNDVLPSMLTVKVNNGEHKEMLRFHELAFLDLKNNLGKKKMKALIPQAVRTTYIAGLVVLCAGSVAVSGTTFDPEGFLSFLTALALFVEPIQDFGKAYNEYKQGEPALERIFDLTRFIPEVRDKPSAVHLNSAKGDIKFIDVTFGYGAGMPAIVDGVNLHIRGGETIAIVGPSGGGKTTLAKLLLRLYHPQSGYIALDNHDIQDIQLQCLRTHIAFVSQDPMLFSGTIADNIAYGDPFGETNMSKVENAAKIANADEFIKMLPEGYDSYVGQRGSSLSGGQKQRLSIARAIYQNSSILVLDEATSALDSRSELLLKEALMNLMANHTVVIIAHRLEMILMADRIVLLEGGKLQEITRSAFLSLEGRFGSPPDVLSRELGEA >SECCE1Rv1G0012000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:85123297:85124367:-1 gene:SECCE1Rv1G0012000 transcript:SECCE1Rv1G0012000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPRETAASPCGGGGGKESCPFCEMSRQHAAGCARRLPKRIILVRHGESQGNLDMSAYSTTPDYRIPLTPRGVEQARAAGRGILDVVSSGDPDANWKVYFYVSPYERTRATLRGIGAAFPRDRVIGAREECRVREQDFGNFQVAERMRAIKETRERFGRFFFRFPEGESAADVFDRVASFLESLWRDIDNGRLDPSTTCETNLVIVSHGLTSRVFMMKWFKWTVEQFERLNNFENCEFRVMQLGPGGEYSLLMHHTKEELEDWGLSPEMITDQQWRASANRRSWSEECSSFIATFFDNWNDPPEEEGDGDRQEEDDAKIKSLDLE >SECCEUnv1G0548890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:194876693:194880312:-1 gene:SECCEUnv1G0548890 transcript:SECCEUnv1G0548890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METALVSSTTGALNSVLGKLDALLGDEYGYLKEVHDEIRLLTHELTALYSFLVKMSEEEDPDIKDKVWMNEVRELSYDMEDSIDDFMHDKGDKDTKPDGFIEKMKNWLEKMKARRRIGNEIQGLKKQIIELGNRNESYKTGVVISSTRNTTVDPRALALFEHASRLVGIEDPKAELIKLLTEEDGVASTQRQEVKMVSIVGSGGTGKTTLANQVYQDLKENFECKAFISVSRNPDMMNILRTIFSEVSRRPYADTEAGSIQQLIREISDFLVDKRYFIVIDDIWDIDTWDVIRLAFPMTNSGGRIITTTRMNNVAHSCCSSFHGHIYDIRPLNMAHSKELFHGRLFNPEENCPSYLQEISDQILEKCDGLPLAIIAISGLLANTERTKRQWNKVKDSIGRALERNPSVEGMMKILSLSYFDLPPHLKTCLLYLSVFPEDSFIKKKCLIRRWIAEGFIQTEVGYTIYEFGERCFNELLNRSLIQPMKTNDYGNVKGCRVHDTILDFIISKSIEENFVTLVGVPNLDIGSQGKIRRLSLQVGQQEILCTPKYHVLSHVRSLDVSRKIVKIPSLDKFRHLRVLDFGGCYWLENHHLEHIGRLFQLRYLNLQYATEVSKLPEQIGHLWCLETLDLRHTTVRELPSSIINLKRLVHLFISGDAIFPCGVAKMQALETLKCVHVFKQPSNFLQELGQLQSLKKLVLNFETDSSICSIAEEEFKGAITASSLSNLGNIISLAVWNGSKFLGEPLRVPPSLQKLTTSSSAMTQVPKWVSPLVNLQLLCLEMVRVRQKDICILGGLPTLRILFLVIDSFSEAGRSEDTRVTVDGETGFPFLRIFSYLMPYRGMDLMFAAGAMPKLDKLEIAFDANKTECASTSGGAFDFGIKNLPCLVTVRCVVVGDHTSVDAAKVAMERAASTHLNQPTLLFVEV >SECCEUnv1G0559970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:343363275:343364172:1 gene:SECCEUnv1G0559970 transcript:SECCEUnv1G0559970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVGEERPRGHRFVLVHGVCHGAWSWYRVVTALRSAGHRVDALDMAACGARPGRAEEVGSFQEYSRPLLDALAALPPGEKAVLVGHSYGGQSLALAMQAHPDRVAVAVFASAAMPAAGKPLKFVSEQFAQERGPGFFMDSVIETTAGDDPERACKTFLLGPEYMAQRLYQLSPPEDLTLATMLVRPSRQFVDDAVMNDDEVLTAERYGAVSRVYIVAEEDASWSPEFQRRMASWNPGTEVRGLHGADHMPMFSKPRELSDLLVEIANKYYI >SECCE1Rv1G0013130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:100927829:100931116:1 gene:SECCE1Rv1G0013130 transcript:SECCE1Rv1G0013130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRNLRRTLPKAGSSSLHRRLAPSISSEGFAARGGGGEAAAASISAALVPAAPGGGGVCRRLMSTSKGRSMRSKVEKRMGRETGRTQKELRRAVKLRKKLMTEDERLIHSMRRAKKKVALLLQKLKKYELPDLPAPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMASMLTRLSGGIVVNIHNTKTIIMFRGRNYRQPKNLIPFNTLTKRKALFKARYEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASVFFRAIDEQQGTPYVFHGDKQPTAGTTELKEADVEPAEDSDQEELDNFIAEIENAAEKQWEEEEAAEKEETSRLQYRYREEMMGERRGFNRSYDNSDADDRTQGRYRRDNDNNKWATDTRRWDDDSEVEASGEDVGERRGFGRSYDNSDAEDRSQGRYRRENKNNRRPTGSSRLDDDTEASGEDWDTDDGRDSMVGFDKERHVPDEHPRRFDSMRHERSSSSHRQNYMPGASRSPSRTPKNAVSVSDDSEDDVSDSEDDEVWGADYKEETSSSSPKVNFPNYKSSSEEDTGGNWMRGCRTSQTKKNTDEDWDSD >SECCE6Rv1G0413640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:625432646:625433988:1 gene:SECCE6Rv1G0413640 transcript:SECCE6Rv1G0413640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSSFSLALLVALSAVGCAAAQAADYDFFYLVLQWPGSYCDTKKTCCYPRTGKPAADFGIHGLWPNRDDGSYPQNCNPASAFDPSKVSDLLSSLRTEWPTLACPASDGLQFWAHEWEKHGTCAQNLFNEHGYFQTALHLRDQLRVLDALSSAGISPDGGYYTLSDIKGAIQQGTGVEPFVECNRDESGNSQLYQLYFCVDARASGFVECPVQPGGRPCGNRVEFPTF >SECCE6Rv1G0416240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:647745591:647746991:1 gene:SECCE6Rv1G0416240 transcript:SECCE6Rv1G0416240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPLQHVAVLERWEVPPSPPPAAGQPRALPLTFFDLVFWDFPPVQRVFFYGSAERDVLDVPGFLRRELPLFKASLAAALHHFYPLAGRLPCELPAEPEVACSEGDSVRLTVAVGGDDFGDLAGDQPRDTLRLRALLPPLPSRGGESRGVFAVQVTVFPRAGICVGTTLHHAVADGSSYIHFFRTWAAIHRRLAGADKKVVDVTPPLFDRGVVRDDAGLREAFLRDHLAAGGDGRLDDWDLSRRPGSGGVVLATFRFTEELLGRLLKRVESETSAQRCSPYALACGAAWAGIVHARSRNGSSGNGQHQFGFVTGCKPRASPPVPGNYFGNCLGLCRVQEAEDNHGGGLTASAGSAAIWRAIEALAEQGQALRDARGWVRLVRECAAARAVTVAGSPKLGVYAAADLGRPWGRPRKVEILSVERTGALALAENLDGGGGIEVGVALPRGEMEAFRAFYGDHVAGLR >SECCE3Rv1G0159030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:93636872:93637233:-1 gene:SECCE3Rv1G0159030 transcript:SECCE3Rv1G0159030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILARRPPPSILLAAVLVFFLLVSSPYPLEARAIHGARGLPVNGLVARRSQLQINGGRKLLLILAPPPPAPMPGTPIGPQPNSLSPPPKT >SECCE6Rv1G0434250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764493245:764493919:1 gene:SECCE6Rv1G0434250 transcript:SECCE6Rv1G0434250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFVKTPTGRTISLKVHSSDTLYTVKAKIQQQYRLVFDGVQLEDNRTLADYGIQHDSTIDLQEKMQIYVTETRGGRTITLEVDSLDTIGNVKSKIQDMEGFRKGQQCLIFANKQLEDDNHTLADHNIWKESTLLLVLRPCRPGESRMMHIFAKTLKGKTLTLEVESSYTIENVKVKIYEQDGTPPMQQVILFDCQRLQEGRTLADYNIERESTVYVVKHQCGC >SECCE3Rv1G0166550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:188001748:188004211:1 gene:SECCE3Rv1G0166550 transcript:SECCE3Rv1G0166550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGRLRTTAEDASLFPISGTQALNPCMAFPASRRLSAAAAAAPKLSSLFTPRPLPKPRPLPPESGDDPRRRKPRPRSRHPWGEDAAALLRRLHEGRYLPGPYLPDAPHVVSPDAVKAAAERFGNDHQVVAKWLSGSDLKKVALFGCPSVERRTVFASKRLRAFFDLPEEKVCSSCNIRSSCQFINQEVPRYDKVILSDTMRILALFVLDAYPEPLQVTAEVKASVRKLLKDTINLSI >SECCE1Rv1G0056740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689014710:689021714:-1 gene:SECCE1Rv1G0056740 transcript:SECCE1Rv1G0056740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MPICPAPSESSGSGGRVWIFHGLALGAAAAAAAAAYLYRRPRGFRSLAVGIIPARYASSRFEGKPLALILGKPMIQRTWERVMLASSLDHVVVATDDEKIAECCRGFGADVIMTSVSCQNGSERCCEALQKLRKHYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAATGLKHEDAFDTNRVKCVVDNQGYAIYFSRGLIPFNKSGKVNPHFPYLLHLGISGFDSKFLKIYPQLTPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDIQKIEALMRARNIQ >SECCE3Rv1G0152160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:45194331:45195404:1 gene:SECCE3Rv1G0152160 transcript:SECCE3Rv1G0152160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEILSSTGEHSSQYSSGAVSTATTESGVGGRPPTGPSLPVAIADESVNSRSASAQSASSRFKGVVPQPNGRWGAQIYERHARVWLGTFPDEDSAARAYDVAALRYRGREAATNFPCAAAEAELAFLAAHSKAEIVDMLRKHTYADELRQGLRRGRGVGARAQPTPSWAREPLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLRRTPETTTTTGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLGAGDSIVFSCSAYGQEKQFFIDCKKNKTMASCLADDGGAATASPPVAETAKEEQVRVVRLFGVDIAGEKRGRAALAEQELFKRQCVAHSQHSPALGAIVL >SECCE4Rv1G0229610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:151632565:151634321:-1 gene:SECCE4Rv1G0229610 transcript:SECCE4Rv1G0229610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVPPVPMDPATAARFRRDGHDPDEMEALFARVLSRTHFALPDPPVSVDARLFGLLPHDSVDCVSHLPDLLLGNIVSRLPVKDGARTAVLSRRWRGVWRSAPLVLVDSHILPAGTAAARADARRVTSAVSRVLDAHPGPFLCVHLTSSYMEEFHGLLTRWFQTLAVKGIQDLVLVNRPWPLDIVIPSTFLGMATLTRLYLGLWKFPDTAGVRRSTCFPNLLELGLCSVFIESKDLDFILDRSPVLETLCVHGNIFKLSLCLVSQSLRCLKITGSFFEEIALVDAPCLERLILTGCWTRGGVCTKVKIGHAPKLHSLGYLDSGSHVLEFGNTVIKAGTKVSPSTMVTSVTILALEVRYGVRNDVKMIPTILRCFPNVETLHIMSVETDQPSGKNLKFWNESGTIECIRSCIQRLVFHDFRGDRSELAFLKFFFEGALVLKEVVLVLANGSFTTMGDIRSKVKPLMSMKRARDSSIMVNTNGGSIGNFKRASDFSLCDPFVDY >SECCEUnv1G0531720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17748213:17748668:-1 gene:SECCEUnv1G0531720 transcript:SECCEUnv1G0531720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRSNVFDPFADLWADPFDTFRSIVPAISGGNSETAAFANARVDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKNDKWHRVERSSGKFVRRFRLPEDAKVEEVKAGLENGVLTVTVPKAQVKKPEVKAIEISG >SECCE7Rv1G0509030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:776719129:776719440:-1 gene:SECCE7Rv1G0509030 transcript:SECCE7Rv1G0509030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGSAKRQRKVLHDKIQGITKLAIRRLARSGGVKRILRLIYEETHNMLKIFLENVIRDSITYTEHVCLKTVTAMDVVYALKRQGRTLYGLGG >SECCE5Rv1G0364490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:801727691:801733408:-1 gene:SECCE5Rv1G0364490 transcript:SECCE5Rv1G0364490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMLRSALGSALRHPAPASRFFRKGVTGSRDATRRKLSSSGRDGSNNSTPADLFGDDSSKTWRRPEVVFITTAALSMAAYTYIVNGKTVLGYQREVMENQEVKPEKDNAI >SECCE6Rv1G0444340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827439777:827440088:-1 gene:SECCE6Rv1G0444340 transcript:SECCE6Rv1G0444340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSLIQREKKRQKLEQKYHLIRQSLKKKIRSKVSPLSLSEKTKMREKFQSLPRNSAPTRLHRRCFLTGRPRANYRHFGLSGHVLREMVYECLLPGATRSSW >SECCE1Rv1G0060000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:708360234:708361142:-1 gene:SECCE1Rv1G0060000 transcript:SECCE1Rv1G0060000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIAAAKGPHGHDPMSSSRRHFRWPVLGKSGSRGAGAASGDEGCVRGSEAEEEEEEDERAMAFSSACPSFHSEDFVSPPKKPPPQEEKQGKQQQQRRKVRTAVARLRSALSAAVSGRRRQVGLGARLTGTLYGHRRGHVHLAFQTDPRACPALLLELAAPTGALVREMASGLVRIALECERAKVATGTTGSGDGGGRKLVEETVWRAYVNGKSCGYAVRRECGGADWRVLRALEPVSMGAGVIPAASCGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDSSSSGSGINGGPELSVYLLRV >SECCE1Rv1G0056440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:687006357:687007772:-1 gene:SECCE1Rv1G0056440 transcript:SECCE1Rv1G0056440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEREGGGEQYGEPANALGDGGRDEEDPPRFSPPPPPLPGLAVVPVEFEEENKKKQKHEQAEVSFSEDVLIEILSRVPYRLLCRFKCVSRSWLALCSDRGVRKRSLQTFSGFFYLAVSWRFQNLSGKGPPMVDPDLPFLRITYKQIYVEQCSTSLLLCTCWKPPQPMQLWNSFSEGEPNQSFRRIEDNHIDYVVCNPATQEWTSLPPMEWENEADDRLSHFSLYEYILGFDPAVPSCFVVFAALISDHRLRIIMIYSSDTRRWTSVHGRHWDDLEIYWFSHPKCTFLNGSMHFFNYSSIVAVDTKGNAWRKITIPPAITNRDDEPSIGQSQGLLHAWLMDYKNGYQLSIWVLENYDSGIWTLKCTVNCLELFGRDSCKQGAYYYRMFAIHPDCDLIFLTDSKEKTLSYDMSNQEVVVISASGDFLGGLPYSPCFAEWKEDGH >SECCE4Rv1G0287810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:856856864:856858158:1 gene:SECCE4Rv1G0287810 transcript:SECCE4Rv1G0287810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSCRAWHCLLALFRVSSSAFGQLSPVFYAKTCPTLELIVRSTVTKAVLVERRMGASILRLHFHDCFVQGCDGSILLDDKASFVGEKTALPNVNSVRGFEVIDEIKKNVELVCPGVVSCADIIALAARDGTVMLGGPSWPVPLGQRDSTTANLNEANSDIPTPNLNLDELIKAFKKKLLSRRDMTALSGAHTIGFSQCLNFRDHIYNDTNIDPAFATLRQRTCPAMAPPGDTNLAPFDVQTPLVFDNAYYGNLVARRGLLHSDQELFNGASQDALVRQYVTNPALFASDFVTAIIKMSNLSPPLGTPTQIRRNCRVVNS >SECCE5Rv1G0343740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:640715897:640716427:1 gene:SECCE5Rv1G0343740 transcript:SECCE5Rv1G0343740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAVGCALEWEPWLGGLFTAADLAAADLLLQLRLGEAAAAASTTTSRSQRSASSCWEDLPVDEEERMVKETAVPLGSIELDCRTGKSSATSCCEDLAVEEEERVVKERALPLGSMELDRRAKKRYRVLSELYAATRPAKAAAPASKKKRKKYHDHDGGESAGSWSEKATRYGDY >SECCEUnv1G0527360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1150228:1151172:-1 gene:SECCEUnv1G0527360 transcript:SECCEUnv1G0527360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANRPNNVDGPQSRTSSVASSGTPPAPSTSASARTSSISSDDGTGGRPGQSPARGAPGHTLEAPSRKSHRPGRSPSRPAQLFQKLRRALPILAPRCARTPAGSAREVAAAASTSSGAADSHLMSRHVASGGGRRPCRRVTGTLFGRRKGRVALALQETPRSLPSLVVELALQTHVLLRELGNPAGARIVLETERRRAGAGEGPKRAPPLLDEAAWTMFCNGRKTGYAVRREATEYDLTVMETLRAVSMGAGVLPVPAGAGGGGSGSAPDDEVAYMRGSFEHLVGSWDSESLYMVAPQGGGTGPELAVFFVRL >SECCE4Rv1G0244730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:520183323:520184349:1 gene:SECCE4Rv1G0244730 transcript:SECCE4Rv1G0244730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGNKHARVKKARVDEPLLLPANVKQEVAVVVQEPNGGGGGGGGGAVVAAEVQVAPAGSEIDIKIDSTELHCPVCSDRLRPPVFQCAAGWHKACSRCQSKLPGKQCHSCDQGGPYVRCPLMDGIVCSARVQCAHRQHGCQSYVAYYEARDHESVCPHAPCSCTEPGCAFVGSPRSLLGHLTDAHSWPVHSIRYGTHLGLGVRASEPRVLLVAAEEDEAVFLLATGALGPARAVSVVCVRANGEAGQQYRLKLWAHGSNERAIMLDCQVAGSDAPGKVAVETVDFLTVPPAMMTGPQADKEVVITVCIDESF >SECCE6Rv1G0424430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:700431285:700432988:1 gene:SECCE6Rv1G0424430 transcript:SECCE6Rv1G0424430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSTSPAFHLLPLLLMFLLVTNEGATLNITNQCSYTVWSAAVPVGGGMQLDPGKWCTLDMPAGMAGGRVWARTGCIFDAKRNGSCQTGDCGGVLACARNGQPPNTVAEFALGQYNNTDDFNISLVLGFNVPMEFLPMPGQGGPNCSKGMHCNYPMDYSSSTLTFPIGTKYQVVFCPSTPLGKVDVLILSVTFFVFLFLLMALFCVTPWLIYKCSVSIRRRRQWRREMAEEELGELQGTPMRFTFRQLNVATEQFIHKLGEGGFGPVFKGQFGEDIIAVKCLDQTGQGNTEFLAEIQTIGSIHHINLVRLIGFCAEKSYKLLVYEYMPKGSLDRWIYSRGDNTAPRLDWRMRHKIIIQIAKALSYLHEECMDKIAHLDVKPQNILLDNDFNAKLSDFGLSKLINWDKSQVITRMRGTRGYLAPEWLTMQISEKADVYSFGIVIMEIISGRKNLDTSLFEESMHLITLLQEKVECDCLVDLIDKHNATMQVHKEEVIQMMKLAMWCLQIDFKKRPKMSQVVEVLEGTMNADTNIDHNFVATSPASYGIAGNVMFSSPPLASGLSGPR >SECCE5Rv1G0338540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:598851509:598854267:1 gene:SECCE5Rv1G0338540 transcript:SECCE5Rv1G0338540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 35 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43370) UniProtKB/Swiss-Prot;Acc:Q8VY74] MRVGVGVGGSAGAVFYADKYHPIQAGSIDGTDVAPHDNAVLRALLCSTAGLYDPFGDPKAAGDPYCTVFVGHLSRLTDDDTVRKAMGRYGKVKSMRLVRDIVTGASRGYAFVEYETDREMRRAYEDAHHSIIDGSEVIVDYYRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRPIPYDELKKLGIPPPPEGRYMTRFEVPPPPRRKGGNVDREESPPPRRISKDRADSTYRRRRSPTEGEGSPRRRKSSHDHREESQRRIRSSREASTHSRQRSPTGDSGDHHKQKGHREQSDISSYDRHAEDDGGHHRKRKRSREPGDIRRSHSRRRSPTEDDAGRRKRRKSPEPGDTSRHNRHRSPAEDDSSGHRKRRRSREPGEVSPGTEDGSVRRGGTSTEAGLSPRRRSHREQRQHGGSSHSRHGDHARSRRSETRDYSQ >SECCE4Rv1G0278360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:808533729:808535380:-1 gene:SECCE4Rv1G0278360 transcript:SECCE4Rv1G0278360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSLAPGEKPHVVMIPYPAQGHVTPMLKLAKLLHARGFHITFVNNEFNHRRLLRSQSADTLRSLPAFRFAAIADGLPPSDREATQDIPALCYSTMTTCHPRFKELVGKLNEEAGASGGALPPVTCVVADSVMSFGLRAAREFGLRCATLWTASACGFMGYHHYKDLLDRGIFPLKDEAQLSNGYLDTTIDWIPAMPKDMRLRDLPTFLRATDPNDIMFNFFVHETAAMLQASAVVINTWDELDAPLLDAMSKLLPPIYTVGPLHLTVHNNVPEESPLAGIGSNLWKEQDAPLRWLDGRPPRSVVYVNFGSITVMSKEHLLEFAWGLANTGYAFLWNVRPDLVKGDDETALPPEFSAAIKGRSMLSTWCPQEKVLEHEAVGVFLTHSGWNSSLEGICGGVPMVCWPFFAEQQTNCRYKCTEWGIGMEIGDDVRRTEVEAMIREVMEGEKGREMRRRVIELRKSAVASARPGGRSMCNVDRLIHEVLLA >SECCE7Rv1G0467870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:92343598:92345081:-1 gene:SECCE7Rv1G0467870 transcript:SECCE7Rv1G0467870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPPPQRSRHTLVDDAIREILLRVPPDDPASLVRASAVCTAWLEIISDPAFFRDYRAFHGAPPMLGYLHNKSYESHGVARFDPTGAFCPLVRDRRNWHAADSRHGRVLFYTPREKHADFIVWDPITDRQWGFFTDPKLSGIIETAEDQQEEITWMAAVLCAQDGCDHLACHDGPFLVAFAGSNELERTTFASSYSSEAAEWSEMISIENPNATSAMEETGHTAVVGNKVYFPREWSRILVLYDVGEQELSAISLQDQPYGALIGEEDGALVFASIGDSKLYVRLMEDGPNGVVVRGQCRVIELQTSLPPRALSSTYWMVRKTFVSGGPSLVGFASGAGVIFLNTEAGLFTVEVSSGQTKKVHRKMFVQTVIPYVSFYSRGGTRLTLETQQ >SECCEUnv1G0558550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:333268653:333268940:-1 gene:SECCEUnv1G0558550 transcript:SECCEUnv1G0558550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFIEHFVVVPTEFKLRNNTDCSWKVTVKLINDRVTLDQGWATYAVVHQIRIGYMVTFKLLTPDTLKVIIFDDDGVEVVNKCRKHDEVFAAKD >SECCE2Rv1G0097420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:459526633:459529522:1 gene:SECCE2Rv1G0097420 transcript:SECCE2Rv1G0097420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPVGNHGGDAVQLAAEIEELSLGSTGGLGDRLSALPDDILYSILLRIPSTPAAARTSVLSRRWRGIWAQLPEIRFPFPSDPAAVGPALAASAVGPALRLLHVACRDDTGANAWLRTAARRLVAGGELYFYNRTPGEEKGQAEALSWQCRTFELPCFQTAAKVWLRLGFVDLELPPTGVFARLTVLRLEHVNLECGFQLGDMVSSPRCPALRELCIARARGVVSLCIISQTLERLELDILHGLEELTVVAPMLRALNVHACFAWRKPVAAVYASGLEVLWWSDAFHPSFVLFGEVENLQQLTTFDIHVYGRFDYALLQDYVMLLQHFPTVSCLDLKLNYQRDLSQYEYLMGIITKLPNIKILSLWLHTKGHAIGPSVFHLLSKCPGIRELKLTLLDNLEVDTPCTSVCACGQQQNWSTSYTLDALEEVEIRNFRGLEHDFAFVDMLFGLSTAIKKMTITLHHLASPSEELCSLGNLGTCFEIHYNTSEVSYAPPDSLFPKTPDDCCE >SECCE7Rv1G0477220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:216253098:216275841:1 gene:SECCE7Rv1G0477220 transcript:SECCE7Rv1G0477220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRRRRAFGGRPELRLAYGSRARPLGRAILALLPPPPPPGAPCPVCRGTASGCLACRRWAHLLHDSDPVAYRRLVTRAVCAVEPARAAPPPPRYTPGNAGHSQAQLVRETIKWILTDRSCRTKNVLCNGIHQGGQANLVSSSSWNILLHRIGDLLMCYILRHSSVFLSIKKSDYFQVTGVPLNIVLHKPIFACTMARKQQSRSTKVKCPTCHVLRNAKMKLNITGGNRGNSSDSAFYCSDNTQKCDALQSSASCDTLQSSASCDAERVIKPNCSSDGCNCSNCFTRKPRKRKRLYSWQRRSKQKQFCNEDKLLTELSKLNDSNYSACNLLSDGSAAAVNGQTHSLKCIADNISIGMNNDEFVSQTEEPCNVPVLSLKTSPSSVLNTSPSQDLLCGYSKSRVQCTSPKVGPSSYSQLNSGSICFNCLMLNASKCVSVDSLIPRQAIFYNKEISENVFHRSNLTNKIKGPDALSLLKRIFGIKECCIKFVQCDCHGSSTPNSNCLYHWMLQLVKSLVSNSKRCQYKKLFLKHCSVKSKVAKDGFPSGNIQYSTGGKSVYCGESFAQLEAYSTHQQVVSFVWAVLTRIIPQPLLGNPSSKRSLRMNIWKFIRLRRFETFQVTDCIRELKASECSWLSKIGFTSCFCSVLLGEETGLSNGTKEQKQNNLLHCWISWLFSDIVIPLINTYFYVTERETKRYDVFYYPKSAWRKLTSNTIASLNVQSFKNLCGTSGRAIKHLYRSSRVRFLPKAKDIRPLVNFKAQSKDGVLNKCHLVIKKLRDDNPEMFGSSVFDYDGVYKNLSSFMSSVRRQLKESKIYIVVAGVSKAFDCVNHDVLLKIMDDVLKGDEYALRKCTKVIYSRSKNVAYRFDSNVSINNGNHINDFSIQPSSGGGILVDQGTVSTIRKEELQRVLFEQVKCNILKIGQFFYLQQVGIPQGNKLSPNLCSLYYGHLENSVILNFLHDGNSGDATSEPEFLMMRFIDDFMFISFSKKHALNFFNRMRRGFVYYNTYMNDSKYGYNFNIGDNEQCDNRLYRGDDGVTFIPWSGLLINCENLEIQADYTRYLGITIISTITVKMHSSMKYLSSKLCRYMRPKCHPIFYDSNINSLGTVRLNIYQAFLLCAMKFHCYMRSMPYSSISKPELLHVIKKTFRYMHSLIVSRMQDMELQSNVCPVLKLRRKETNWLGLSAYIRVLQKKQSRYKDLLALLIAEAEGYGHMDRDSDSLCYAVDDSHSSMFWKFKY >SECCE3Rv1G0152400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:47194993:47196543:-1 gene:SECCE3Rv1G0152400 transcript:SECCE3Rv1G0152400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 14-3-3 protein 6 [Source: Projected from Oryza sativa (Os02g0580300)] MAQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIEVELTKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKSGTERKDAAENTMVAYKAAQEIALKELPPTHPIRLGLALNFSVFYYEILNSPDRACDLAKQAFDEAISELDSLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEMKDAPKGESGDGQ >SECCE7Rv1G0471900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:134484661:134487730:1 gene:SECCE7Rv1G0471900 transcript:SECCE7Rv1G0471900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAAKTPTKPSATAAPKTPAKPAPSAAATAAKTPAKPPPTAATAKTPARSVSRARFAHASENSDPNILASPPRTTSKTPAKPAAASTVRKKRGTPAPPPPVPQRRFLVAKKGAHRRRHAGASGGGGEFDFDKCREAAREALRASHEEFFLKERAVSAASEEQESQKEEEAAEDEANSAAVVEEGEGAEVADLEGSGKVRAIRSRVMAKAMNSVPDAGAGRVKHLVHAFESLLSISGATADAERAGEEAWALPGLQPWNEGSEGSPMAVFSSSDFMNMGPTRLCSSLDGKSNRSSWDSQTGGRRSRRNSSESLRSSWNKKLKVTSQHPFKLRTEQRGRAKEQQFIQKVQEMLIEDEKKRIHIAQGLPWTTDEPECLIKPPVKERTEPVDLVLHSDVRAVERAGFDQYVSERIKFCEELRLERERQQKLEEEEMIRQLRKELVPKAQPMPYFDRPFVPKKSSKTITIPKEPNFHLRPERLSCDAWSLES >SECCE1Rv1G0032610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:458148662:458155995:1 gene:SECCE1Rv1G0032610 transcript:SECCE1Rv1G0032610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDLMHQKSMERQPDCRRRRAQDTNGLVASQAKINVLPCQQDDDSQGGELDIQMPNLPEDVWCHIHSLMPMRDAAQAACVSHAFLRSWRCHPNLTFSSTALRMNKKTCVNDEIARDFRSKVDQILKKHSGIGVKKLTIEMVQYYTAKDSCYVNSWLLIAVTPGIEELTLQLSMGEYNFPCSLLSNGSGESIRYLHLCGCSFRPTAELPWLKSLTKVRLHDVCFTGDELGCLLCNSFALERLVLTHCHEMVCLKIPCMLWRLRYLQVFACENLRVIDNKAPNISSFLYSGERIQLSLGDTLKMEYIHLLFRGALHYACVELPSSMPNLKIANIYSTSEMANTPVPRSKFLHFKKLIISLNRITFSYDYFSLVSLLGACPSLETLVLDVSQKKMEHVSIFTDPSDLRKGQQHHKMKRVKIIGFTSAKSLVELTCHFLESITSLEYLTLESYQSRPRCSVPANKRRKCFPLPIDVLREAQRGLLAIRTYIEPKVPSMVKLRVVEPCRRCHAAVEL >SECCE6Rv1G0422470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689974392:689974997:1 gene:SECCE6Rv1G0422470 transcript:SECCE6Rv1G0422470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPIHTIICLVCWSFCLLPFFASSNSRLLPGKPLSAGSTITSDDGTFSLGFFSPSSSGTKKYYVGIWYKNIPKDNVVWVANRAMPIADPSSATLAFTNKSNLALSDTNGQLFWTTNISATGNSSSEATGGEATLDNNGNFILRSSQGIILWQSFDYPTDTLLPGMNFRITHKTHALQRLISWRNPQDPSPGKLLIWCRP >SECCE2Rv1G0072800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:61272730:61274678:-1 gene:SECCE2Rv1G0072800 transcript:SECCE2Rv1G0072800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:Projected from Arabidopsis thaliana (AT3G08730) UniProtKB/TrEMBL;Acc:A0A178VLF0] MVYSQTSSLAVKLGPKLFTTKTTLPMDRPGVVSSENAEYDFSDVFGSSPVETATELCVVDPDSPAAPVESPEEVYNDPAVIFKRSHSLVGPSSLVSCSLGLGKLTLNIVDGSSELVGHTTEEKELNLEQFSDEEFGDAVTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHSEYMKAERDILTKVDHPYVVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYKQGLFREELARIYTAEIISAVAHLHANGIMHRDLKPENILLDAEGHAMLTDFGLAKEFLENTRSNSMCGTLEYMAPEIIQGQGHDKAADWWSVGILLFEMLTGKPPFVGNRDKVQQKIVKEKLKLPPFLTSEAHSLLKGLLHKEAGKRLGTGPGGSDEIKKHKWFKPINWRKLDAREIQPSFRPDVAGLTCIANFDVCWTNTPVLDSPAATPVTAGGGQGNFAGFTYVRPAPFLHDLKPPSSSS >SECCE4Rv1G0240770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448139774:448140184:1 gene:SECCE4Rv1G0240770 transcript:SECCE4Rv1G0240770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTIADLLASIRNADMNKKGTVRVVSTNITENIVKILLREGFIESVRKHQERNRYFLVSTLRHQKRKTRKGIYRTRTFLKRISRPGLRIYTNYQGIPKILGGMGIAILSTSRGIMTDREARLNRIGGEVLCYIW >SECCE7Rv1G0518310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:858428454:858434299:-1 gene:SECCE7Rv1G0518310 transcript:SECCE7Rv1G0518310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARSILIPTYYYLISCYLFLAAALSFDYNFTIPSDREHLNFINASYAGRDRIILTDDSSNLTGRVVHRKPVRLWDGRTGRRASFTTSFRFAIVQDSNRMDNLSRGDGMAFFLGPFPATTPPPGSDGGLLGLFSNPNSTGDADSRRPPHTLAVEFDTRWNHGWDPSNEDGADHIGVDVNGIRSNRTRSLPPLSLHGILWASVTYDGESKVMKVALRKTELTSESSTTYEFNVTMDLRDDAGLVQDAAVGFSAATGVLCESHQLLAWSFHSTDPSHADTKMWVIFLAVTAVLLAGLLAVLLTLLYTKFMNKPRQCLDGNCLQVARKFSYSELVAATDNFSQDRKIGKGSFGDVYRGLLAVPNLQEVAVKQMQKPTLKQNRKNYMHEIKTLCQLRHKNLLRLIGWCDDGGRLVLVYELEPNGSVSDHLHSGSASGRMLTWPQRYNILLGIAAAIDYLHNGAYDSTKKYVLHRDIKPSNVMLGEGFEAKLGDFGLVRQVIRHGGGGTPRTTVIGSMDYMDPKYIELGTLSSASDIYSFGLVLLEVATGVRPSVPGTAAHRNTLIAAVTESHSRKAILEMVDERLRGELNQWWWQMERVMVTGLSCVEPVRDERPSIKDVIDLLSKHEQSPASNHYLKDTSMRPKDRFPFMLTRNVALANARATSLP >SECCE2Rv1G0065260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:7663312:7664243:-1 gene:SECCE2Rv1G0065260 transcript:SECCE2Rv1G0065260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQTVVLLLCLVATAGGTPGALCIRPLPRSATSRQRSAAGFFTARTPLPLVDWHAHGAVTPVMHQGRLIGSCWAISAVGAIEGLNKIHTARLVSLSSQQIYDCTNKNIIDTFIKAFDWVVRNGGIASEETYPYVGREQTCKQEKLRMISASIASYSMIIRNEQDLLLQVAYQPVSVRMWLDPTSFNNYTGGIFTGPCGNASHAMLAVGYGSLADGRKYWIIKNSYGVHWGDQGNFYVQRGPNHQGGLCGIATYGGYPIPKNKIR >SECCE2Rv1G0075000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:81716211:81717147:1 gene:SECCE2Rv1G0075000 transcript:SECCE2Rv1G0075000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADAVPPSPPPPARAVVVRFALALMLALGLVLGVAAGALDCLGFATAWAVSAASAAKVVARRAWGEGSAPFLFLQALTYGALKVCVYSFLVLLALTVLHQCVAYVIAVLSGPTSGFKKSAFGATRPVSVARFFRLLRPIVLGFVAYVAFILLAIAGFLVAMMSPHVEGSISQGEMVGSVIMDVGIFGSHATACFVIMPALVLTLWREYQANRKASSLSC >SECCE1Rv1G0048560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636211055:636212647:1 gene:SECCE1Rv1G0048560 transcript:SECCE1Rv1G0048560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAECAGGGGGGALREVKKEAGAGDVFLVDDLLDLPCDDDEEEDDAAAAAAGFADGAADCSAGEAACGAGDVGNASGDSSTVTAVDSCSNSLSGGLADGDFSGGLCEPYDQLAELEWLSNYMGDDNFPTEDLRKLQLISGIPSASSSQAAPRAPVAAPVQPCAAGGGGGTALWRSDAHAGQVSVPGKARSKRSRVAPCNWSSRLLVLPPAPASPPSPASAVISPSESGTAFPLFPNKKPAKSSKKKEAPAAPAMTAAEAAAAEGRRCLHCETDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVPSKHSNSHRKVVELRRQKDTPAQQLLHHSHQQQPQQHQLGPGLGLGFQVPSPLLFDGPAAPHLGGGADEFLIRNRIGPDHRQLI >SECCE3Rv1G0169120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:232517942:232522192:-1 gene:SECCE3Rv1G0169120 transcript:SECCE3Rv1G0169120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKLLQLFGVGKKKKKKDSKKKGKSINPVSQGAAPQSTLNILASKSVLDPCSSGASTVLSLQKDEPGCSSNISSMIKEVNGSENEDHKSFNQFDVVQDYSDHHYAKTSPGKTTKDWAKTIQNEWKLLQRDLPESIYVRVYEDRIDLIRAAIVGPAGTPYHDGLFFFDVCFPPEYPRCPPKVHFHSSGLRLNPNLYESGKVCLSLLNTWLGSGSEKWGKSNSTMLQVLVSIQGLVLNDKPYFNEPVIFSSKEKHSLGYNQTAFVLTCKMMLYLLQKPPKHFETLIVCHFHERERAILEACLAYASGMVVGSSVKDGRTYLRNKCFAGFKKSLDAQTELLAKELAVNRLRALELKREVPAADKTMSTS >SECCE1Rv1G0058690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701648859:701650526:1 gene:SECCE1Rv1G0058690 transcript:SECCE1Rv1G0058690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRAAGRRLIGAAGAGDCSSGAAAAARRGYHERVVDHYSNPRNVGAFDKDDADVGTGLVGAPACGDVMKMQIRVDPASGKIVDACFKTFGCGSAIASSSVATEWVKGKQMDEVIAIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKTKLAKAEE >SECCE5Rv1G0297360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:1533689:1537037:1 gene:SECCE5Rv1G0297360 transcript:SECCE5Rv1G0297360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGLLIKYFLRVDAGGLFHTYPHRGGPFKSLQEAQDAIDSYHINLCKFKYLGGLSKEDRFVWHTLYWRNGTRKNSKEALDAMMNYNPTREVVKALLDKHNEDHLLEDLAYEIKKVMSYKAHFPRKDSQHKCRSFSHLNVLVERGPNAIKDLLFIEMTCTTDGEFEEYVLSCICVVSSDDDGECCECGDDVKHPTGAEYKMCEAKPRVRCRNPISHEEFNEFIVVRDEDWLEAEEAAVRRQFRMRNVADGHGARSKYIVPARRAQA >SECCE1Rv1G0044030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:598996199:598998645:1 gene:SECCE1Rv1G0044030 transcript:SECCE1Rv1G0044030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHNQHAAAAPQNRGAAVRAGKQKGAAAGGGRPGAGGNRQVLGDIGNVVHAHVLDGKIQLPAGINRPITRSFGAQLLKKALADPSKNGVAVPPAARAALKPLAKKVPVKLKPAAPHHEPAAAKIVTGSDENGKPSEVVAACSAPRRKVVHTLTTVLNHRSKEAAIDDIDKLDGDNELAVVDYIDDIYKYYKEAQHECRPIDYMGSQPEINPKMRAILIDWLVEVTHKFELMPESVYLTMYVIDRFLSLQAVPRRELQLVGMAAMLISCKYEEIWAPEVDDFISMADYSYSRQQILSMEKNILNSMAWNLTVPTPYVFLVRFAKAAGSGADKELEHMIFFFAEMALMEYDLVTVRPSLVAASAVYAARCTLKRSPIWTETLKHHTGFAEPRLLEAAKVLVMAHAAAPACKLKAIYKKYACEQYGRVSLRPPAVAAPQRLA >SECCE1Rv1G0024750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:331215833:331216453:-1 gene:SECCE1Rv1G0024750 transcript:SECCE1Rv1G0024750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator, Regulator of meristem activity, Regulation of inflorescence developmen [Source: Projected from Oryza sativa (Os10g0478000)] MDLVPQPDSPHSDGSAGATSGASSAVSSLSPGGVVSPLPSRYESQKRRDWNTFGQYLRNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHAAGCPFFGHPVPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPENNPFGARAVRLYLREVREHQSRARGVSYDKKKRRKPPHNPGSADIPSHEGNGNGQHQYHQMPPPPPGAAA >SECCE4Rv1G0265470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725983298:725985544:-1 gene:SECCE4Rv1G0265470 transcript:SECCE4Rv1G0265470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMIEDSFPWEQQRLVYVYAVAGAVLSWCAVRALEWAWWRPRRLERELRAQGLRGRAYHSVAGDAPLMERLHKEARSRTMPLGSHDVVPRAMPLFHQTIKEHGKLSITWFGPVPRVTITEPELAREVLSNKLGHLEKLNLGRLQRMLHHGVGSHEGEKWVKHRKIITPAFHLEKLKRMLPAFAACCTELVQRWEVLAAGDAPCEVDVWPDMKNLTGDVISRAAFGSSYHEGRKVFQLQGEQIELIVQAMDKMHIPGYLFLPTKGNRRMNQIVAEIERVLKGIIAKREKALKAGEATSGDDLLGLLLESNMAHCRTGDTNASITTEDLIGECKLFYFAGMETTSLLLTWTMIVFCMHPDWQDRAREEVLHVFAHRMMPDYDGLTRLKVVTMVLYEVLRLYTPLTSVHRKTCKPMDLGGVRYPAGMLFMVPFLCLHHDKNVWGADADEFRPERFANGISKAGASDDAPPFFPFGWGQRTCVGQNFAMLEAKMGIAMILQRFSFELSPSYTHAPFPVGLLHPEHGAQLRLTRRP >SECCE6Rv1G0377700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3016294:3023466:1 gene:SECCE6Rv1G0377700 transcript:SECCE6Rv1G0377700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPAATATTTPPLAGPAIHRGPGAARLLSGSLSRRSPPASTAQAVSSAASAAPKPRGLLLPCRAAEGAAPARGTGAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTDIGKKAKEYMDSGMLVPDQVVTDMVVSRLSQPDVRERGWLLDGYPRSYAQAQSLESLKIRPDIFILLEVPDDNLIDRCVGRRLDPVTGKIYHVKNFPPENEEISSRLITRSDDTFEKVKSRLETYKQNSEAVIPTYSNLLNQIDGNRPVEVVFHEIESMLEKICTNASGNKLAKTNGKPPDSAASKKEWRGIPTRLNNIPHSREIRKYFYDDVIQATKRAIEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLTFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEIMDWGDYGAMGAFINIGAVGASEVDKEDDMFVLIAPQNAVGNCIIDDMRAMTDAAGDRPVILVNPRLKDMPASSGVMQTMGRDVRLQYAASFETCYSFRLLFYAGTFYPIMGALRMAYPNKYEIYRRVDEPNGEKYDLLAEFTGNPTADDITNAFVGTKK >SECCE2Rv1G0107650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:651156819:651162160:1 gene:SECCE2Rv1G0107650 transcript:SECCE2Rv1G0107650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3A [Source:Projected from Arabidopsis thaliana (AT2G47620) UniProtKB/Swiss-Prot;Acc:Q8W475] MSPAAAGAASSGEAPPSREPYTVPASSGWFRWDDIHEKERQALPDFFGGAGGTGFGTASRNPRIYREYRDYIINKYREDPARRLTFTEVRKALVGDATLLRKLFGFLDASGLINFSATSSRPAAQQPGPGAVIEAPLGLQVTPRPPASYFVEEGLGGGSGDGAFRLPQLTSYTDVFGEWTPGKGPICGFCGEECKDGKVETLKDGFKVCSTCCKTNSAKEEANKCSIVKKESSDNHASNAWTDAETLLLLEGVLKHGDDWDLITQHVRTKNKTECIARLIQLPFGEHMLGNINNGKSDSRFHTNQTTDGKTNHFIVKEASSQSADMVDGMQIDAEEDGADKLVEDHPSKRRRLCSSIDATSSLMEQLALLTTAVSPDVVAAAAAASIKALGNENPQAKKAFHLSEKEYQVKSFSSNHVQESDCNAGNKETEMHGQTVPDKKMGKNFISTAYQVRAAVGTAVGVAAARAKMLVDQEEREMELLMASIIETQLRKIQYKIKHFEELELIMDQEYTTIQQIKESLMKEWQTVLERAFQTGAPLQRDEVLTRLFLERSTP >SECCE3Rv1G0182640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:634003737:634010805:-1 gene:SECCE3Rv1G0182640 transcript:SECCE3Rv1G0182640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEWPRHKLCLLLLAALACSLRAGATAASKSTSTLAIRHKPPSKPRHGSTGQHRPGPRGSHASSGMASCNMFQGSWVHDDALPMYDAAGCPFVEPEFDCQKYGRPDKLYLKYRWRPASCELPRFDGRDLLSRWKGKKILFVGDSISLNQWESLVCMLHAAAPASRTSYSRGSPVSTVTFQDYGVSVAYYRSTYLVDIVEESIGRVLKLDSISGDAWLGTDMLVFNTWHWWTHTGKDQPWDYVQDGAKVMKDMDRLTAFSKGMSTWARWVDSNVDTSKTKVYFQGISPTHYNGAQWGESSSTCAHQTQPIAGPTYPGGPLPAQGAVRSALSGMSKPVFLLDITLLSQLRRDAHPSAYSGGHPSNDCSHWCLAGLPDTWNQILYASLLA >SECCE1Rv1G0020880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:261244541:261279230:-1 gene:SECCE1Rv1G0020880 transcript:SECCE1Rv1G0020880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLOMO [Source:Projected from Arabidopsis thaliana (AT4G33210) UniProtKB/TrEMBL;Acc:A0A178V148] MADGGKMKGVEGSGDGTGHGEDMDEEAREELELALSLGRRGWHLPPVPVPPPAPALRWSMVFPAWDPDAAGSSRAAESVWDSPPVLPLRFRDMWRGGGAEADAGVAGEAEEHNNVEEEEGSEDGDRDLQNKRPKVGAFGEESSGVNASFFGYEAQHLHAFAEHDHLKLSHGPENELDFGLSLISNDGGNDILRETNNHGVCDVERSGGTNAEDLEIRMDLSDDLLHLIFSFLCQKDLCRAGAACKQWQSASIHEDFWKYLKFENTRISLQNFVNICHRYQNVTNLNLSGVLSAESLVIEAITFLRHLRTLIMGKGQLGETFFQALAECPLLNTLTVSDASLGSGIQEVTVNHDGLRELQIVKCRALRVSIRCHQLRILSLRRTGMAHVSLNCPQLLELDFQSCHKLSDTAIRQAATACPLLGSLDMSSCSCVTDETLREIANACQNLSVLDASNCPNISFESVKLPMLVDLRLSSCEGITSASMGAVCFSRILEALQLDNCSLLTSVSLDLPHLKNISLVHLRKFADLNLRSPVLSYIKVSRCSALRCVTITSNALKKLVLQKQESLCNLSLQCHNLIDVDLSDCESLTNEICKVFSDGGGCPMLRSLILDNCESLSVVELNNSSLVNLSLAGCRSMTFLKLACPKLQVVILDGCDHLERASFCPVGLESLNLGICPKLSVLRIEAPNMSILELKGCGVLSEASIDCPCLISLDASFCRQLMDDSLSQTAEACPLIEHLILSSCLSIDVRGLSSLHCLQKLALLDLSYTFLMNLKPVFDSCLQLKVLKLSACKYLSDSSLEPLYREGALPMLVELDLSYSSIGQTAIEELLACCTNLVNVNLNGCTNLHELVCGSDYCRSGDMPAFPPDSAPDKTKDIRESLDCQLEVLNCTGCPNIKKVVIPSTANYLHLSKINLNLSANLKEVDLKCFNLYNLNLSNCNSLEILKLDCPRLANLQLLACTMLQEDELKSALSFCGALEILNVHSCPQINTLDFGRLQAVCPTLKRIQSSLIA >SECCE3Rv1G0166150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:183018948:183019256:-1 gene:SECCE3Rv1G0166150 transcript:SECCE3Rv1G0166150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGEASTTVLRFFSFIGAGVICTKAINMYLDHERKQEEASAAAEAAAAAATSDSAVLVAADSSPASAVAAAKP >SECCE3Rv1G0185070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:670568085:670572104:-1 gene:SECCE3Rv1G0185070 transcript:SECCE3Rv1G0185070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGPTVLRLRPRAASLSAPAISAPHRCRLSSVAYSYPKLAGSFAVQRVNGSRMQYLGTLKEQVLRSSSNHDGHIKRIVSCTASNKQEPIASLISDTPVLEDTKSNTADSSASLGSDFTERSVGKSGFVSFHGGSSQMISVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRRVLSAVFEDSLLTDFLILFFTEALFYGGVGIFVLLIDKVWRPLQQVAPKSYIWSKARFFRISSVTTMVLSLIIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKVYRLHQLNRAAQLVTALTFSVRGTEATNQTLSIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >SECCE2Rv1G0106330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:634873343:634873892:-1 gene:SECCE2Rv1G0106330 transcript:SECCE2Rv1G0106330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKLAVAALVASLLLLSTIKAADSPAPAAAPLGPPPHNIVDPSKDCGWACNLRCSANSRPNLCSRACLKCCSVCRCVPAGTAGNKETCGKCYTDWTTHGNKTKCP >SECCE3Rv1G0184850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:667112448:667116676:-1 gene:SECCE3Rv1G0184850 transcript:SECCE3Rv1G0184850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVFEGYERQYCEESASLSRKCTAASALDGEKKKQRLSEIQSGVQEAESLIRRMDLEARNTPPSVKAGLLAKLREYKSDLNNLKSELKRISAPNARQTTREELLESGMADTLAVSTDQRGRLMMTTERLNQSTDRIKESRRTMLETEELGVSILQDLHQQRQSLLHAHDTLHGVDDNVGKSKKILSAMSKRMDRNKWIIGGIISTLVVAILIILYFKLAH >SECCE1Rv1G0023290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:302029640:302032001:-1 gene:SECCE1Rv1G0023290 transcript:SECCE1Rv1G0023290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGAEQHGCEHYTRRCRIRAPCCGEVFGCRHCHNQAKNSLEVDLLDRHEIPRHEISKVICSLCNKEQDVQQNCSGCGACMGKYFCEKCNFFDDDISKKQYHCDGCGICRTGGMDNFFHCEKCGCCYSNVLKDSHHCVERAMHHNCPVCFEYLFDSTMDISVLHCGHTIHLECLNEMRVHHHFSCPVCSRSACDMTDAWQKLDQEVAATPMPEFYQKKMVWILCNDCGATSSVRFHVLAQKCPGCSSYNTRETRGGPATAACSRV >SECCE4Rv1G0290640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873543177:873543726:1 gene:SECCE4Rv1G0290640 transcript:SECCE4Rv1G0290640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSAAAAEKEATKSPKKKTTTKSPKKKVAAKE >SECCE4Rv1G0249440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:582854814:582857541:1 gene:SECCE4Rv1G0249440 transcript:SECCE4Rv1G0249440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGRRKGAKVMQLDGTAFRVKPPAYAGAVLRDHPGFQLLESEQVKLLGVRARPLENDALLRPGRLYFLVALPRPTVPPRRAWSGALHVGARERLESLMLTRRSTSDLSFPTAPASPMSTASEGGPVQLRMRLPKAQVAKLMGESRDAAEAAAKIMQLCAANGAVTPERSPRFLPTADWGTGGFAQTPERSPRFVPTPDWGAGRFAQTPERSPRFAVTPEWGARFMMQTPERGAEMAKTPDRWSALPRTPEYASADVKGSRKEKRTRFVALPDEIIA >SECCE4Rv1G0291020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875169090:875170616:-1 gene:SECCE4Rv1G0291020 transcript:SECCE4Rv1G0291020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVPSTPTTPAPINFLLPVDSEHKAKSIKIFSFGNPHMRAFHLGWMSFFTCVVSTFAAAPLIPIIRDNLNLTKADIGNAGVASVSGAIFSRLAMGVICDLLGPRYGCAFLVMLSAPAVFCMSVIDGPGGYITIRFLIGVSLATFVSCQYWVSTMFNSKIIGTVGGLTAGWGDMGGGATQLIMPLVFDAILACGATPFTAWRLAYFVPGMMLLVMGLLVLTMGQDLPDGNLRSLQKNGDMNKDKFSNVLRGAITNYRTWIFVFIYGYCMGVELTTNNVIAEYYYDSFHLDLRAAGTIAACFGLANVFARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCLCLGRATTLPTSITCMVLYSICVEAACGAVYGVIPFVSRRSLGLISGMSGAGGNVGGGLTQFLFFTSSQYSTGQGLQYMGIMVMACTLPVALVHFPQWGSMLLPPTAGATEEDYYAAEWTEEEKSKGLHNAGIKFAENSVSERGRRNAILAIPATPPHVTPQHV >SECCE4Rv1G0278380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:808732791:808733342:1 gene:SECCE4Rv1G0278380 transcript:SECCE4Rv1G0278380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFICPRCRAGVDRRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRMAVAATRAPEEEMEGKEHTDRELVVELRMLKKKVRKLEDQAQIAIPICNYFWAVVGMVITLVVMLKMYGKA >SECCE4Rv1G0231520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:185456696:185458362:1 gene:SECCE4Rv1G0231520 transcript:SECCE4Rv1G0231520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAVREAAADGIVTFLWVLCVSTLGASTAAVTTYLSLHEGMHYALLVTVSILALLLFAFNLLCDALGGASFNPTGVAAFYAAGLTSPSLFSIALRLPAQAAGAVGGALAISELMPEQYKHMLGGPSLKVDPHTGAVAEGVLTFVITFAVLWIIVKGPRNPIVKTAMLSVSTVSLVLTGAAYTGPSMNPANAFGWAYVNNLHNTWEQLYVYWICPFIGAILAAWTFRAVFPPPAPKPKTKKA >SECCE5Rv1G0344680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:646825839:646828490:-1 gene:SECCE5Rv1G0344680 transcript:SECCE5Rv1G0344680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVTGAMGSLLPKLGQLLMEEYNLQKNAKKGVESLMREMKSMHAALCKVAEVPCHQLDEQVKLWADEVRDLSYDIEDIVDKFIVRVEGPSKPAADGNKLTDLVDKMANLFNKGKARRQIASAVKEINKDVQEVANRRGRYTVDNIVPKPTAVTTIDPRLRALYTEVTELVGIYGKRDQELLKLLALGDEDPSNKRPKIVSVVGFGGLGKTTLVRAVYDKIKSGFDSRAFVPVGQNPDMKKIFRDILIGLDKNMYTNINLMVLDERQLIEEIRDFLHDKRYLVVIDDIWDGKLWGDIKLAFSNMNNLGSCLITTTRIFNVAVACCPSTHDSIYQMEPLSDSDSAKLFCKRIFSSENECPTELKEVSRDILKKCGGVPLAILTIASILVVGHQIKPKVEWHKLLDSIGRGLTEDASMEEMQRILSFSYYDLPCDLKTCLLYLCMYPEDHEIDKEDLIWKWICENFVQQGKHQTSIFEVGETYFNELINRYMIIPIFNAFCQVRGCRVHDMVLDLIRSLSAEANFLTILESNKDVTSSQNNIRRLSLQNIEEDQQTTPLANSMSISQVRSITIFPPAVTIMPAISSFGVLRVDLHRCRIGALNFQPKLRDIGYHKVSL >SECCE6Rv1G0418100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:660958383:660961111:1 gene:SECCE6Rv1G0418100 transcript:SECCE6Rv1G0418100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MADGDSSDFTFCKVDYAKNDGLLDSPNSIPVASMTLEDVGSDSETKKLQDDKQTVNPVTDEKSSSISSSANGVSLRESNIKEPVVPTSSGESVQSNVSAQPKPVKKSAVRAKVPFEKGFSPMDWLKLTRTHPDLAGLKGQSNRRLISLEEVKQHKTGDCIWTVLKGRVYNIGPYMKFHPGGVDMLMKGAGKDCTALFNKYHAWVNAEFLLEKCLVGYLDPNE >SECCE7Rv1G0481010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:278023459:278030068:-1 gene:SECCE7Rv1G0481010 transcript:SECCE7Rv1G0481010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASLFSLSAAAVVEDVLREHGCRLSDRDLASRRTGEAAARRNEAAGWLRRTVGAVAGRDLPEEPSEEEFRLGLRNGQILCSALNRVHPGAVQKVVTADSVDGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKNARVVNCVLALKSYGDWKQCGGTGLWKYGGNLKPSASGKSLVRKNSEPFRRCQSTNEGEAPYEDAGFNGDAHLDCGDMSRSRPLKMLVSAVLSDKRPDEVPQLLESMLGKLVDEFENRLKSQNELVKAALKNGTDSTKCFSKSKVLVEATPNFSERKMDTSEIYSKHKQTKKEASGKVALKQHSILQQQSKHLEDLKANLQTTRAGMEFIQMKYSEDLNILGRHLFSLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRIRPFLPGQVSSSTVGCIDDGNITIITPSKSGKDGRKSFSFNKVFGPSSTQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTFTMSGPKNMTEQTQGVNYRALGDLFNLAEKRKGTFVYDIAVQMIEIYNEQVRDLLTSDEIRNNSQNGINVPDASLVRVASTMDVMELMNIGHRNRTVGATALNDRSSRSHSCLTVHVQGKDLTSGNIIRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAVGETISTLKFAERVSTVELGAARLNKESGEVKELKEQISRLKTALQMKDSGSEQNITRHPEALNTKMPSPVFSNRRQGSCDLLPGQANFRQPMEDVGNIEVRPNPKLRQKKPSFDLQDLLASNDSPSWPDSNSRVNFQTGEERETVCGDWVDKVVVNNNHSLGDWEGDNTALPDFFYQRYNSGLRDEQQRPRFCSTNTDDSDDIDVATSDSSESDALWQFNVSSINSSVIQSGSKIKKPQTKIREASDTRTPSHSQIPSASRKASNGQNRSGRQPLSGSDSRRLSSNGRHPGTK >SECCE7Rv1G0518490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859238343:859240961:1 gene:SECCE7Rv1G0518490 transcript:SECCE7Rv1G0518490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTQGAIDGLLGVLAKAITDEAQLIGGVPGDMQFIKDEMDSMNGFLLHLTKTEGQHDDQVRAWMKQVREIAYVAEDCVQRYIHDMVPHEAASCGRLGALAAMAHLILLHPKKFYRLHNLGKQISELKVRVHDVGERRLRYGVTVPAGPDVKLAPMTPAGQQEEKREAFVHALDLELQQDVVGSKAWWRAKHEARLRSALRRATAVGGLLPAALPSAVVRYISLSRAIIHRLPPLIQSEAATVHGILKKCSQDNGGDAAAFRCTKKMFLCALYAYPYVTNQELEKLKEKLEGRTEDPKKEVMIFCYSMLTISQKSSLQYLTAFLHESEISRTSMVRRWVAEGLVGKESGGAGGGGGRTPEEEGECYFNELLFRGFISPARFSDAGTVKSCVMDKPVSDFILGITESENFEVSLPAHLERQLNIRKIVQRIQPPQQKQRRAADRWRNITPRCCNNLCGHSAFPEEDHPMDALVDFLEKLPELYRLNVLDLGGCKGLKKRHIKSIGDVVWLKYLSLRNTDVSHLPTCHINKLTLLETLDIRGTSIRPHDTEKINLPKLKHLLAGRYLKPGEKVSLITVRMPGKIGSMRCMETLSHVQVSKYGTELRGVAKLRQLRKLGVVVHGNADSAAHLGRVLHALSGCLRSLSVFITTQGWALDELSSSSTQEMMMGATPRPSFILENLDIKGKISGLPSWITKAQKLANVTLRHTELSGEDALRRLAGVLSLRCLKLNGAAFIEQQLVFRVVQFKALKILVLEGGPITTITFLSADAAPALEKIVWAIGSSSRVRDGEDLIVGINYLPNLKAIELRGDFNTTSLLDWLQVTAESTSDPRYHIRYMLSSTTSSGNELITEVPKTARHTTVSIPVDVINQH >SECCE6Rv1G0438820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:792775066:792777551:-1 gene:SECCE6Rv1G0438820 transcript:SECCE6Rv1G0438820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRRRTLKREGKWMRHRGSSRQCNPQQQQEKMAAPQQVRAVPLARALRLRTRASAAPAAAPETSRRALLGLTEPELRQLAVDLGQQSYRGKQLHDLVYKNRAKQVEEFAYVPKVFREALVGAGWNVGRSPVHHAVTATDGTTKILLKLEDNRLVETVGIPVDDRGTPRLTACVSSQVGCPLRCSFCATGKGGFARNLKGHEIVEQVLAIEESFKHRVTNVVFMGMGEPMLNLKAVLEAHQCLNKELKIGQRMMTISTVGVPNTISKLASHKLQSTLAVSLHAPNQRLRETIVPSAKAYPLEALMDDCKNYFLETGRRVSFEYTLLAGINDEKAHAEELAALLHACGGGYHVNLIPYNPVEGSEYKRPYRKAIQAFVDALESRKITVSVRQTRGLDANAACGQLRNEFQKNPLLESSPPSEPNQLLESSTPLEPSLVPA >SECCEUnv1G0544610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:130786722:130787858:-1 gene:SECCEUnv1G0544610 transcript:SECCEUnv1G0544610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLCIPTWVAFPGDGSVLVGEDAKNHTAPIFGFKRLLGKSRDFEREEEDVRELMARVPYKVVGRERPLIQVKKTADGAVKNLGADEITAIVLAKLRESAEAYLGRAIQEAVVTVPQQYNDPSRYSMLRAAELAGLRVTRMIDEPTAAAVAHGLHKKLRDEGNVLVLHLGGGTSDASVMSYVDGVFEFTGADEDPFFGGQDFDQRIVDHFVELIRKKHGKDLSNDKGALGRLRMACEQAKKALSSQDLAELSIKSLVDGVDFSGSLTRAEFEELNHDLFLKAMALVESAMRQAGLDKNKDLLDEIVLIGGSTMIPGIRRLVTDYFDGRKLKDVNASVMPDQTVTLGAALLTHPTANGYPCMGGDRRQWGYSTDWCFTY >SECCE7Rv1G0502510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:696896265:696898243:-1 gene:SECCE7Rv1G0502510 transcript:SECCE7Rv1G0502510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADEERPLLNHRCLPPQPEDECSRYTSDGTVDIYRQTALKQSTGNWRACVFILGAEFSECLCFFAVSKNLVTYLTTVLHESNVDAARNVSTWVGSCFLTPVVGALLADSYWGRYSTIAIFLSVYIFGMLIMTSSTALPLILPHSSEESSGVHRAAVYLGLYLVAFGTGGIKPCSAALGADQFDGADPTERVAKGSFFNWYYFSINIGSLLSTTLLVWVQDNIGWTVGLAIPTVLIGFGLAVFLAGGKIYRYKPLGVGGSPLTRVSQVVVAAARNRRLELPDDASALHEHGRAERTSQFRFFDKAAIVMPSPERKGPWRLCTVSQVEELKMLLRMSPVWASLLVFFAVTAQMSSTMIEQGMAMDNFVGTFAVPPASLSTFDIISVLLWVPLYDAVLVPLARRVTGNDRGFTQLQRIGVGFALSTAAMAYAAVVETRRLASATPMSIMWLAPCYFVLGAAEVFTSIGMLEFFYDQSPGYMKSLGAALAQLAIAGGSYLNSALLSAVASATGWIADNLDQGHLDYFFWFMAALSALNLLQYVYCSSRYKTK >SECCE7Rv1G0503120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:705093001:705093375:1 gene:SECCE7Rv1G0503120 transcript:SECCE7Rv1G0503120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHVMALFRAKVV >SECCE1Rv1G0057050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:691709075:691710103:-1 gene:SECCE1Rv1G0057050 transcript:SECCE1Rv1G0057050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSLSLHLCLAVLALAAAASEAAGFYEQFDVVGAGSNVRVNDDGLAQQVALTLDQGNGGSGFSSKDKYLFGEFSVQMKLVGGNSAGTVTSFYLTSGEGDGHDEIDIEFMGNLSGDPYVMNTNVWASGDGKKEHQFYLWFDPTADFHTYKIVWNPKNIIFQVDDVPVRTFKKYDDLPYPSSQPMTVHATLWDGSYWATRHGDVKIDWSQAPFVVNYRGYTSNGCVSSGGSSACPAGSDAWMNTELDDKALGTVAWAESKYMSYDYCTDGWRFPNGFPAECSRN >SECCE4Rv1G0250070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:589443073:589453523:-1 gene:SECCE4Rv1G0250070 transcript:SECCE4Rv1G0250070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKDDNFGEWYSKVVVNSEIIEYHDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKSYYFPLFVTENVVQKKKDHFEGFAPEVAWVTKTGNSELEAPIAIRPTSETVMYPYFAKWIRSHRNLPLRCNQWCSVVRWECSNPIPLIRSREFLWQEGHTVFATKEESDEEVLHILELYRRIYDEFLAVPVSKGWKSEMEKFAGGLYTASVEAFIPNTGHGIQAATSNCLGQNFAKMFEISFEDENDPAQKKNEKNKRSLVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPRVAPIQVMVIPDPSEGADTTAINGSCETIVCTLNQAGIRADLDARENYSPEWKYSHWEMKGVPLRIEMDLKDLANKQVRVVRRDNGAKVDIPSTDLVEHVRVLLDGIQDSLFQTAKQKRDACIEVVYTWDEFIAALNDKKLILAPWCDEEEVEKDVRARTEGADLGSAKTLCAPFDQPDLLEGTVCFASGKPAKTWSFWGRTY >SECCE4Rv1G0248950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:577495115:577496416:1 gene:SECCE4Rv1G0248950 transcript:SECCE4Rv1G0248950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDADDVFRYFRLNPTELQAVTYYLPRLLSGETLHCADKLIHRVEISGCEPKDLAARYAPVPLAVSTGDRFFFTTCKSKNGSKVQSVRGAGAGTWSIQKTTEISHAGAKVGEVKNLSFKTNGKSTGWVMEEYRCLLPEATVSDGVKVFCKMHLAQHAPDAARQESAAYNRQQAQPEAVTPSTHAQKRPAPAAAADPHPPRPKKRMRGAVPVPVPAPTTPSFLMYDEAASFPVQDAQASCESTTTSSRSDVAQAPEICSQSDVLESTQSEEAGSSIARSTSQENVFEPLEPVSNLPEWEEDGFDLEELMRIMEDDPIEVEPVTGANTGVEMGQQEPLYLDAMDQSVLEGMLQSDYPAFHDADKEKRYNAASDLDAPSLQGQDHLIKPRPCSFDPFEAAWNDEEALENEKRCNPAANLHAGGHNSFFSPASVY >SECCE6Rv1G0437670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:785780157:785781671:-1 gene:SECCE6Rv1G0437670 transcript:SECCE6Rv1G0437670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSTASCCFASSPHGRPRLTHTTTTRSPARVRLVSRSHRNPLPLSSSSQPTPDVAGDQGEDFSAAGASEVEEDRDERYGFEMEVRKLPGKKNRRLVRARVRVGAPLQAVWATLTDYEGLAGFIPGLSECRLLHQDKSFARLYQVGEQDLALGFKFNAKGTIDCYEGEMELLPESRARRREIDFNMVDGDFKVFQGKWSVHEVDVATDEGSEISAGQEFQTTLSYVVELEPKLWVPVRLLEGRICKEIKTNLICIREEAERIQSLLDE >SECCE6Rv1G0445510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:837761187:837764737:1 gene:SECCE6Rv1G0445510 transcript:SECCE6Rv1G0445510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLHSGRSVCVRGWSQNPSKFGTCKHVQHNFSVVAKDPSMAISRVTWSPDGDLIGVAFTKHLIHLHAYQHPNETRQVVEIDAHSGGVNDIAFSEPNKQLCVVTCGDDKLIRVWDMHGQKIYSFEGHEASVYSICPHHMECIQFIFSTSIDGKIKAWLYDTSGARIVSDAPGKWCTTMLYSANGTRLFSCGTSREGDSHLVEWNHNEVSIKRTYSGFCKKPSGVVQGVVQFNTAQNRILAAGEDNQIKFWDVDSTSMLTSIEADGGLPSLPRIRFNKEGNLLAVSTVGNGFKILANADGLKSLPASGNQPFEVFKLPYEASVMKVSAAPVVADISPNIGRMDHLDKNSPVKLSPTMNDGDPTSRSMDMKPIISKEKPDKGKPWELIEGLNPQRFRVASMPETPYRTSKVRSICPEESVPHAVNSKNDSYVMSACGGKVSKVLNDDDLLREIIVRIGFPATLVRAALVCKRWYHLASEPAFVRRFRECHPPCLLGFFLEDQEDSNVAPFRFFPMLPQPPELAAAVHRVASYSLDYYRGAPANFLCSWKGRVLISLYNQVNHNKFAIGVHSPLCPGRGQSVIPPFPHVQTQDNYSHRMSDLLIEADGLSYLHLLVDSNIQRTKSMVHVHKFRHGEGVWRAYLTLETDQLLDPRREPKVLANNKIYIPSAQSDILVLDLTDSSISTVQLPQGVAYGDRDTMLALADEASGVYLIHAKKLQLHIWLHKGDNWLLVDTICLLEMVTNLRMSGCIVEDESTAPLRINHVGDYAEFVFMEKGRYALHLDIKYKQIRKVYDTTKEDGRLGNIHPFMMIWPPSFPALKDDPTRNTY >SECCE3Rv1G0181960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:620530178:620531062:-1 gene:SECCE3Rv1G0181960 transcript:SECCE3Rv1G0181960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIMERSPHDSSFSFSRRHFKWPVLGKSSSHGATSTAVVEEGFVKISSGKQAEDDEEASLAFSSACRSFHSEDFVSPPPKPLKQHRKNTKPGRTVVSRLRTALTAAISGRHRQVGLGSRLTGTLYGHRRGHVHLAFQVDPRACPALLLELTAPTASLVREMASGLVRIALECERSNGASAFPGTTAGAGAGRKLLEETVWRAYCNGKGCGYAVRRECGAADWRVLRALEPVSMGAGVIPASCGGGEGDVMYMRARFERVVGSRDSEAFYMINPDSSSNANSVGPELSVYLLRV >SECCE4Rv1G0270650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:756074005:756074250:1 gene:SECCE4Rv1G0270650 transcript:SECCE4Rv1G0270650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRRVGVQRKSDYLLGAVDGGDDPGVAHLKQHRPVGPGEDADLALELPHLQGKAANRKNQRQSSQHEEDEAALLWTRRF >SECCE6Rv1G0410840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:603639711:603640457:1 gene:SECCE6Rv1G0410840 transcript:SECCE6Rv1G0410840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLERGGGFQLPNSEQENSLFLRALISVVSGNTAAPALHPEPSTPPFAATAAAPAPACGRCGMDACLGCEFVAAATATTGSSSEGEECSAASFVKNGGVGKRRAGSSGSKFRGVRQRPWGKWAAEIRDPHRAVRKWLGTFDTAAEAARAYDVAALEFRGHRAKLNFPASSAAASASASASASSWAAAQPHPERQHGPESPREKCGSNASSPAHVLGQGRPVAREQEIWDGLHEIMMMDDGNFWSKP >SECCE5Rv1G0358280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755136143:755136652:-1 gene:SECCE5Rv1G0358280 transcript:SECCE5Rv1G0358280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAMSGSSILLATTVAAALLVASASAQSGCTAALVGLYPCMNYISGNDTAPTKSCCSQLASVVQSQPQCLCSALGGDSSSLGGMTINKTRALELPKACNVQTPPASKCNGGGSAPGAATPEVQTPAGSGSKATPSAYLQGNGGSSLRSTACLVFALAAAAFYAVSAV >SECCE5Rv1G0350220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:689117772:689126328:1 gene:SECCE5Rv1G0350220 transcript:SECCE5Rv1G0350220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSALHPDRCLLPLAGPRRRHQALRMLPPRLLVLPHRRRGAVRRFSSRDGGGGGGPAGAVEKRSVVPEVAAERKGGGDAHAEEVEEEVAAAAAVEGALELRWPPWEGLPERYRLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWSSSTAGLVQSSFFWGYALSQLPGGWLAKLIGGRTVLKAGVLVWSLATAVIPVVAGFMPGLVLSRILVGIGEGVSPSAATDLIARTIPLQERSRAVSVVFGGLAFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLVFESVQEPQPGDNEDILNLESTSAGSNGHVSSSVPSKSSDSSLEEMTDSLKDVPWGEFFKSKAVWAMIYTHFCGSWGHYTCLSWLPTFFSEELNLNLTDAAWVSILPPLGSMVITSIAAPFADNLISSGVDTTKVRKICQAIAFLSPAAFMMLSSVDLGLPPWVVVAFLTSGISLSNFALSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALVGYLVDTTHSWSMSLFVPSIFFYLTGTAVWLTFASSKPQDFNKLASEALTESKQ >SECCE5Rv1G0361680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780732739:780739716:-1 gene:SECCE5Rv1G0361680 transcript:SECCE5Rv1G0361680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADAGGVLEVVVFPWLAFEHMLSFLELSKRLAARGHAVAFVSTPRNLARLPPVPPHLSPRLRFVAVRLVALPLPRVDGLPDGAESTVDVPSEKIELLKKAMDGLAAPLAAFLTDAVAAGRRPDWIVHDFCHQWVPPIAGGHGVPCAVFMTVYAAFVAFLGPRSANAEHPRAATEDFAVAPRWIPLPSTIAYRRRSEAEWIAATFRANASGVSDMDRVWQLFECCRLAIYRSCDEVEPGMFALLTDLFQQPAIPAGILLPPVIADDDGDRSEILRWLDDQPPKSVIYVALGSEAPLTPGILHELALGLELAGVRFLWALRRPSGMFSAANDAVTDEVLPAGFEERTRGRGLVRTGWVPQVAALAHGATGAFLTHCGWGSTIESFASGHPLVLLPFVLDQPLVARAMAERGVGVEVARDEGDGSFDRDGVAAAVRRVMVDDEGKELASNAMKLREVLTDQGRQEKYIDDIVEHLRRYRGCC >SECCE1Rv1G0027120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:378231130:378236526:-1 gene:SECCE1Rv1G0027120 transcript:SECCE1Rv1G0027120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELEEIVSTAGGVGSPPTPLWFLVFLALGLHAVVVSAVTFLAWLYRAFLRRGKDLGLRYGAWAVVTGATDGIGRALALELARRGLHLVLVGRNPAKLSRVSKEAQNAAPSCMVKSVVFDLAGDATELSRGAARVAAAVKGLDVGLLVNNAGATYPCAAYFHEVETPVWEAVVRVNVEAATRISRAVVPAMAAKGRGAIVNVGSGSSVVVPAFPLYAVYAASKAYIDQLSRSLSVEYKHCGVDVQCQIPLYVATTMSPVKGHSPFIPSPEEYVKSAIRCIGYEPRCVPYWRHSVQWFLASLAPDSALNLWRLRVGIRKRNEMRAQLGEKELS >SECCE7Rv1G0456920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:13590738:13593714:1 gene:SECCE7Rv1G0456920 transcript:SECCE7Rv1G0456920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAGGGGDDKPFNFLQILCEGVIAGGAAGVVVETALYPIDTIKTRLQAARAGSQIQWKGLYSGLGGNLVGVLPASALFVGIYEPTKRKLLDMFPENLSAVAHLTAGAVGGLGASLIRVPTEVVKQRMQTGQFRTAPDAVRLIVAKEGFRGLFAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLMAKRELKDPENALIGAFAGAITGAITTPLDVLKTRLMIQGQTKQYSGIVSCAKTILREEGPGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSVLAERSSRKAALAEKDE >SECCE5Rv1G0326150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:473909939:473911075:1 gene:SECCE5Rv1G0326150 transcript:SECCE5Rv1G0326150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAQGRDWSSLPVDVLAQILSRIKWSSHPSFGLVCRQWQYACTLLPFYPAWITPLLLNATNVGTTSFRYYSPYYHKNFEISTKLDTPGAKMYCVKGVHLMLGSEFMVHSAELRTGAVCELPPTSRPGFDFAVYDGVDRVYGVEAINGLTVSLATKDSKGGWGEWEEHDIDDFHLVRAPFTVSPDCNPVLHDGLLYVMGEDGRLIVYNGSSHDQRFDILEKPHGFGFKCHDSYLFESDRGELMAALVGRRGTPVDTVKLNKRTMEWEKTESLEGRALFTGTLTTMMKRTNIKWMRDKVFLPRLFNWPETIHVDLVERDSELAFVPKLGAVLPTKKDDNNDTNMWTHEVGQGKEACAFWGTERLDYSVWVDFSTC >SECCE1Rv1G0036960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:514998352:515000465:-1 gene:SECCE1Rv1G0036960 transcript:SECCE1Rv1G0036960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAALLLAGLLAALTYVLRLVHSILWVPHRLERRLRRQGIRGPPRSLLSGNAVEYLAMTKAAQSAPLASFHHAFIGRAAPQYREWAARYGRPFVFWFGPRPRLVVSGPEVAKAVLTDSTGAFDKGSTGSGSNPQARQLIGEGLVGLTGEKWAHHRRVISPAFNMERVKGWVPEITAITSSMLDKWEIQGETRAEFEIDINKEFHTLSADVISCVAFGSSYEEGKRIFQLQDEQIQLVILALRTFYFPGFRYVPTKKNRRRHSLNKEIRNSLRRLIEMNRSKCEDSKNLLGLMLSAGKTNNEFKMGIEEIIDECKTFYFAGKETTANLLTWATLLLALHQEWQHKARDEVLQVCGKNEHPNTETLSSLKIVNMVLKETLRLYPPAMFVNRTVTRDVKLGKLDIPAGTLLNLPIVDIHHDVDIWGANAEEFDPSRFADGKSYHLGAYFPFGIGPAICVGQNLAMVEAKLVLAMVLQRFAFDVSPSYVHAPMMVMTLQPQYGAQLLVHKI >SECCE6Rv1G0377850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3756726:3757187:-1 gene:SECCE6Rv1G0377850 transcript:SECCE6Rv1G0377850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE1Rv1G0039830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:553936557:553940742:-1 gene:SECCE1Rv1G0039830 transcript:SECCE1Rv1G0039830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGDQAEESIVAMADGGHGSGKDGARVMDGGEESERGHGDGGFTVKDMLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMASGLVLQVFYGLMGSWTAYLISVLYVEYRARKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAAVHGQVPGAKHSGPNSLMLYFTGATNILYTFGGHAVTVEIMHAMWKPRKFKYIYLVATLYVFTLTLPSASTMYWAFGDALLTHSNAFSLLPKSGWRDAAVILMLIHQFITFGFACTPLYFVWEKAIGMHHTGSVLRRALARLPLVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPAVAHMLTYRSAHARSNAAEKPPAFLPSWSGMFMVNAFVVAWVLVVGFGLGGWASVANFVKQIDTFGLFAKCYQCPPRAHLPAAGSPLSAPARH >SECCEUnv1G0539780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77331803:77332725:-1 gene:SECCEUnv1G0539780 transcript:SECCEUnv1G0539780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPARSRNVARVLVCLKEVGAEYEVVDMDLKALEHKSPEHLARNPFGQTPAFQDGDLILFESRAISRYLLRKYKTNGVDLLREGNLKETAMVDVWTEVDAHTYNPAISPVVYECLVNPLVLGIPTNQKVVDESLEKLKKVLEVYEAHLSKHKYLAGDFISFADLNHFPHTCSFMATPHAALFDSYPNAKAWWERLMARPSIQKVSASLAPPKA >SECCE3Rv1G0160690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:107448105:107450374:-1 gene:SECCE3Rv1G0160690 transcript:SECCE3Rv1G0160690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSWIHDYGANSGAGGGNSGFMCGYAASCVVPEGLQSRKEEEERTQIQHHLNQISMQMNMEDEPAAYIVPAGDGAAVGMQQSVLDEFDFLPSHHDGGCSFPSSSSTSSSFRSASLSCSPENSSAHALGTPAAGLHFPEVSSHVPPLVLPCDDVDYHQYVLNFHDTPAMEPGVVPASAFSRYARHLGARRRPKPGCGQKMFKKSMSVLVKMQTAMRYSHQQQHFQQASAEADLSSVNQLQHMISERKRREKLNDSFQALKTVLPPGSKKDKTSILITAREYVNSLKSKVCDLEEKNKALQAQLAECARAAGVEEDDADKVEIQITRAAADREDGTTTSEVCTVKIAARPAHGNTMDVVLRTLQCLNDQMGEDDVSLVAMSTSDGDGGNGLTGAFLTMQLKSASGAKWEEEAVREAVAKAVAARRGDAAGRSRLAE >SECCE1Rv1G0061510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:716318016:716320654:1 gene:SECCE1Rv1G0061510 transcript:SECCE1Rv1G0061510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICSCEDTINEFEMLTCDAARVQLDTLKKILEANADAEYLRQFGLDGRTDAQSYKSCIPLCVHSDVEPFIQRVADGDSAPVVTGKPITSLSLSSGTTQGKPKFLPFNDELLENTLQIFRTSYAFRNREYPISEGKALQFVYGSKQVLTPGGIFATTATTNLYRSQRYKEGMKDILSQGCSPDEVIFGPDFHQSLYCHLLCGLIYSDEVHSVFSTFAHSLVHAFQTLEEVWEELCADIRDGVLSEKITVPSIREAVSKILKPNPELADSIHKKCAGLSNWYGVIPALWPKAKYVYGIMTGSMEPYLKKLRHYAGHLPLISADYGASEGWVGSNIDPTVPPEQVTYAVLPQTGYFEFIPLEKPTGEETENSAAIHYIESEPVGLTEVEVGKIYEVVLTTFAGLYRYRLGDVVKIARFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEAAKLLEGEKLEIVDFTSYVEKSSDPSRYVIFWELSSEATDDVLSGCANALDLAFLDAGYMGSRKIKTIGPLELRVLRKGTFREILLHFLSLGGAVSQFKTPRFVSPSNGKVLQILNRNVAKSYFSTAYGL >SECCE4Rv1G0261810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:704343277:704343687:-1 gene:SECCE4Rv1G0261810 transcript:SECCE4Rv1G0261810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGMFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE6Rv1G0412330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613979742:613981398:1 gene:SECCE6Rv1G0412330 transcript:SECCE6Rv1G0412330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSSLLPAVLYPPPASVFVTAMSLVSFASMASAGLSELRGQHMAYSKFWHVVSGGQQQKKGDTGGALLSSRDGMLVAYAPALVAGAASFIVPGAVEGLRAELLAAALAVHFLKRVLEVLFIHRYSGNMPLNTALTISSSYLLSTITMIYAQHLAVGLPDPTTNLLYPGVLLFAVGIVGNFYHHYLLSQLRKGGDDDKGYKIPKGGLFEFVTCPHYLFEITGFFGFAMISQTVYALALASGTAAYLVGRSFATRRWYESKFEEFPASIKALVPYIL >SECCE2Rv1G0121060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:804298048:804300913:1 gene:SECCE2Rv1G0121060 transcript:SECCE2Rv1G0121060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAEANRIREKYSDRIPVIVEKAGKSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLL >SECCE2Rv1G0071390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:43406857:43410313:1 gene:SECCE2Rv1G0071390 transcript:SECCE2Rv1G0071390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPRRPSDEARRGAYKPPGVDAARSRRRREDRLLALRRRNRDAGLFKRRREEPALTPAPVVPPSDAAADATAPPPSSEPSSPPCSPPPADALRAAADAELEGLSEMVDKVWSDDTTSQLEATVQFRKLLSDGKNSTMIKIIRADVLPRFAEFLSRQRLPQLQMEAAWVLTNIAASDYTLLVAECGAVPRLVELLDSPDANIRHQATWALGNIAADMPSCREIVLDHGAVTPLLAQFKEDMKVSVLRTATWALSNLCFGKLPAEVQVKPILEIISLLIHSADEKILADACWALYYICDGVEGGIQDALDAGVCPQLIKLLMHASANILLPVITSLARISAGDDTQVQVIVEQGILPCLAQLLARNYPKIIKKQACLIVSNITAGSKDQIQAVIDADVMNHVIALLKTSETDLKKEAAWVVSNAASGGSSDQIQYLVSRGCLEPLCNVLKYQDVDLVYTCLEGLQNILVEGEIGKQGNESATNPYAQFILENGGLDNLEDLQDFDNDAVYKLAMKLLERYWDEEVSDDANLIASKDGPPENVETVPEDAAQPPVAAPSVDGTE >SECCE4Rv1G0217650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18125586:18129745:-1 gene:SECCE4Rv1G0217650 transcript:SECCE4Rv1G0217650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRTDARTHTSSGAGHPLHPDPPPPHTSQKEKKNRNLRSVRPHLARPSRPAAPRAAPHGTSQAAMAAASLLEPARFLSPRHPRSLPPPPRRGLHHLRPTPLPARARFPRLRASPASPIPPCPVAAEPAARPPSHALLDALKRSLLDSLAALRKPALALLLAGALLAAAAPRHAALAASGGRVGGSAFSSRSSRSSPSPSYGYSAPAPRMGGGYSSAPFYSPSPFVSVGPAFGIGFGGSGFLLTLVGFAAFLYLGGFLTDSSGGGSVLTDTQKTTVLKLQVGLLGMARSFQKELDQIAEKADTSTPAGLSFVLAETTLALLRHPDCCISAYSTVDVKRSMDDGEKRFNQLSIEERGKFDEETLVNVNSIKRQKAGTQRSSGFSNEYIVITILVAAEGVYKLPTINSSSDLKTALQMLGGVPSSKIMAVEVLWTPQNENDTLSERELLEDYPLLRPL >SECCE7Rv1G0481620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:285632523:285633656:1 gene:SECCE7Rv1G0481620 transcript:SECCE7Rv1G0481620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSPPEGSQAMPPNWSALPIDIMYRVVGRLPTGDDRLRAGDVCRKWRAAVRRRRLRHGNGPVVYLALVDGKTFSFPGANPAPPADGCAHYCGACDDRLLFADEDFFCYRLTSPFTGRTRALPSLAYVQDEAMGPDMCVRKLVLCPDGLIAAIVGREHFAKVALCTLECFSWSLSADDKWRWYEDLVYHEGRLYAITNSGELLAFDVGYENTGEPKISGVQTVIDGCGYVGVGAMNYLVKSRSGALLMVNRNMEGGRSAYAFEVYKADLRPSGSQWDHVTALGGDEALFLGRLGSRAVRADREGLEGYQIFFLDDTVGMWFRSMDRPNQPLYHAGVYDMTTGNVTGLLPRKWSKDYDGPVPPTWLFPDEEEDDE >SECCE2Rv1G0119710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:793853894:793854908:1 gene:SECCE2Rv1G0119710 transcript:SECCE2Rv1G0119710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSKQAYACVVLVALCIAARTAAAWGRIDDRLEVNWGHGGHGTVSADGQVMSLSLDRNSGSGFRSKDTYLYARTDLQIKLAPGNSAGTVTTCYFLSEGSWANHDEIDLEFLGNSTGEPYTLHTNVYINGAGNKEQQFHLWFDPTADFHTYSIVWTPLHILVLVDGTPVREVKNHADKGVAFPSWQRMRLYGSLWNAEDWATQGGRVKTDWSLAPFVAQYRNFTVTTSSPGGGGYYDQEMDATAQQAMKWARDTYMVYNYCADSRRFPYGSPPECYMP >SECCEUnv1G0553930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:280716196:280716750:1 gene:SECCEUnv1G0553930 transcript:SECCEUnv1G0553930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYALHPDGHTIFMSAHDILYQHVPKGTFSFDTKRCEWRWHGEWALPFQGQGYYDSELDAWIGLRKDGYICACEVASRSRESAVQPYCKVAKEKLFLKVPERRVAATRATLAYMGNSNFCLVDCVQREGVEATCIFDCCVLHVSTFGLKYDRRGELQTTRHCSNSCVVSKHILAFSPLVFWM >SECCE4Rv1G0241010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448231699:448232043:-1 gene:SECCE4Rv1G0241010 transcript:SECCE4Rv1G0241010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVFSPGELIGLLRAERAGRALDESIYYRAILLGITRASLNTQSFISEASFQETARVLAKVALRGRIDWLKGLKENVVLGGIIPVGTGFQKFVHRSPQDKNLYFEKKIYSRRK >SECCE3Rv1G0205370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899935961:899937625:1 gene:SECCE3Rv1G0205370 transcript:SECCE3Rv1G0205370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGQIGGGRVDRLSKLDDGVLGNILSFLPAKEAAGATALSTRWRDVFASVHTVSLEQSEGAVSFANRVSAALFARHRRHGTATPIRSLRVAFRDYDNGMNDLDSIVDQWISYAMQESGPELHLDLRLRAHEICGRGYSLRSSAAKDNQAGIQDDERASSSRSACSSDDENREAESLLHALAGITLDVISDDEVETPPPPKTKRPWQRQQKDKLYTVPRYVFSCAALRTLCLGSCKLNPPAGGTNLPSLKALVLSHVADSGRKIQRLISSCPLLADLTLEACARVTELSVLDKQLQRLALRCCHRLASVIIDASELRAFEYRGAVPAQTSFLTMHHGPRSLSSCRVDLCGGELTSEEDLTRLGEFLRHFETAKHLHLTSARLGSGIDNDAFATRFPTFMKLRHLELTGSLPHDDDTHGNAVVAAVARILGHAPNLEVLTLFFKAPPREKPECYDLYKEEEFLNAHHLKYDRGTILLETPPNKLLVPCLKEINLVNYQGGGAQRKLAKFLLGNVARLEALYCGFAEGPLWIQTKLMDEMRGWVMDKIEPDDMMFM >SECCE2Rv1G0089540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:258179809:258180219:-1 gene:SECCE2Rv1G0089540 transcript:SECCE2Rv1G0089540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLEPTLTLDETHYQEGYTNGYDDGLVSGKEEGRQVGLKNGFQAGEELGFYQGCLDVWMSVIRLDQDAFSARVRRYMEQLAALVSNYPLSDPEDEQLQGMMREIRLKFSVITGSLGAKLGYEGRPTSSEQDIEDM >SECCE4Rv1G0216200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:9777325:9781849:-1 gene:SECCE4Rv1G0216200 transcript:SECCE4Rv1G0216200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQLAGSLWQRSRSISGTEISIDLNAPTGRSTLHHHHLPAPSVAVAVVSAPQNPSPGPAPAAPAMSTNGSSPRVRDTESSLEKVKRQLSTGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKLRRNETAIKGTILFDASSTITLSPVNFQGMPKYDGCCFYIGTPQKKDYFLCAETPGAAKAWVSTLHATQLVLQAHKEAVNSLAGNGSPSTLGTVATAVANANATALEAMKEIDAALKVSMRAALGLGTNNSNVGQLDDLTIMKETLRVKDEELQHLAKDIRARDATIQEIAEKLTETAEAAEAAASAAHTIDEQRRLLCSEIERLKKAMETQMEQSMLKLRQSEEKVISLSKENDQLLKERDAAFQEAYMWRTELGKAREQAVIQEAAIARAEEKVRVSEADAAVRIKEAAENLHAAEKEKEELLALIGVLQSQVQREQSSTKQVCEERSESCSGADNSPPLTKHVDASDDDVDKACVSDSRSVLVSSESTEVQLAVDGVDIRPIGDPEWGGFQQSEALIADVREVSPEAEGSSLDIPVVNPPPANDHMQGGATHP >SECCE2Rv1G0128670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:864231806:864233674:-1 gene:SECCE2Rv1G0128670 transcript:SECCE2Rv1G0128670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSNETFLPTAAWGEATLRPSFVRDEDERPKVAHDRFSDAVPVISLDGIDGARRAEIRDRVAAACEGWGIFQVVDHGVDADLIADMTRLSREFFALPAEDKLRYDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPVKARDYGRWPEKPAGWRAVVERYSERLMGLSCKLLGVLSEVMGLETEALAKACVDMDQKVVVNFYPRCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGKTWITVQPMSGAFVVNLGDHGHYLSNGRFKNADHQAVVNGESSRLSIATFQNPAPDARVWPLAVREGEEPILEEPITFAEMYRRKMERDLDLAKRKKQAKDQLMQQQLQLQQQQQAVAAAPMPAATKSLNEILA >SECCE4Rv1G0250940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:597734273:597737885:-1 gene:SECCE4Rv1G0250940 transcript:SECCE4Rv1G0250940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSQMARVKLGTQGLEVSKIGFGCMGLTGVYNDPVPEDAGVAIIRRAFDAGVTFFDTADAYGPHTNEVLLGKALRQLPRERVQVATKCGIAGFDAGGMLVKGIPEYVRTCCEASLERLAVDYIDLYFQHRIDQSVPIEETMGEMKKLVEEGKVKYVGLSEASADTIRRAHAVHPITAVQMEWSLWTRDIEEDIIPLCRELGIGVVPYSPLGRGFFAGRAAVESIPSGSLLSKHPRYTGENLEKNKVLYTRLEMLSTKYGCTPAQLALAWLLHQGDDVVPIPGTTKLKNLDDNIEAVKVKLSKEDLKEISAAVPAGEVAGSRIMGILEPYSWRVANTPPQK >SECCE5Rv1G0297340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:1518818:1519333:-1 gene:SECCE5Rv1G0297340 transcript:SECCE5Rv1G0297340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSALILILAAALTAGASARSFSITNRCAFTVWPAATPVGGGRQLNSGDTWNLDIPDGTSSAIIWGRTGCNFNGNSGRCSTGDCRGALACTVTGQPPLTLAEFTLGGNTDFYDISVIDGYNLPMDFSCSTGVNIQCRDPSCPDAYHQPNDVRTKACSGNRRFNIVFCP >SECCE5Rv1G0339440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607425304:607426533:-1 gene:SECCE5Rv1G0339440 transcript:SECCE5Rv1G0339440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSPMQAVLVAPGVKDKQVLPYMRDALKEKHAVAGLMRSIAGSGVRSAFYVLDLARVVDLYMRWRRALPDVRAYYAVKCNPEPALLGALAALGAGFDCASRREIEAVLALGVEPGSIVYANPCKPEAHIEYAARVGVNLTTYDSEEEVAKVKHCHPNCELILRIKGPDNGDPKVDLGTKYGAHADEVVPLLRAAQRAGLGVAGVSFHIGSGGTRTDVYRGAIVAARAAFDAAAALGMPPMRVLDVGGGFMAGGNAFEEAAAVIRDALAEHFGDLPCVEVIGEPGRYFAETAFTLAARVIGKRTRGEVREYWIDDGLYGSLNCVLMDDYVPRPRPLAAPRAGEETYTSTVFGPTCDSLDTVVTGYRLPEMSVGDWLVFDDMGAYSIGSGSHFNGFSMSDITTFLAYSS >SECCE3Rv1G0180700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:588310274:588311545:1 gene:SECCE3Rv1G0180700 transcript:SECCE3Rv1G0180700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSKELLDLPSGPNPPSFIESLFAGREQHKGKRKAGPPSDPLPKSQVLGKVKDFLGEMAKANEKLKLDAQNKPPEEYDIEALTGNEKEYIEMDLILGVADLHSEQAVDAAEVTMSSFPPSASSFASSSSDSEDDSDEDADDEPEMPSKGKCGNPDKPEANPAKGKKPNKRPKIVVLN >SECCE4Rv1G0249740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:586139537:586143385:-1 gene:SECCE4Rv1G0249740 transcript:SECCE4Rv1G0249740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLILWHRLLVFFNLVSLCCGLSSDGHALLALSKRFTLPDTISSNWSSSDTTPCGWKGVQCEMNNVVHLNLSYSKVSGSISPEVGRMKYLRQLDLSSNNISGPIPQELGNCVLLDLLDLSGNSLSGGIPASLMNLKKLSQLGLYSNSLSGEIPDGLFKNRFLERVYLQDNKLSGSIPSSVGEMKSLKYFRLDGNMLSGALPDSIGNCTKLENLYLYGNKLNGSLPRSLSNIKGLVLFDANNNSFTGDISFRFKSCKLEVFVLSSNQISGEIPGWLGNCSSLIRLEFLHNRLSGQIPTSLGLLKKLSILILTQNSLSGLIPPEIGSCRSLVWLQLDANQLEGTVPNQLANLRNLQQLFLFENHLSGEFPQDIWGIQGLEYVLLYNNSLSGGLPPVSAELKHLKFVKLMDNLFTGVIPPGFGINSPLIEIDFTNNRFVGGIPPNICSGKRLKVWNLGNNFLNGTIPFTVANCPSLERVRLHNNSLNGQVPQFRDCANLRYIDLSHNSLSGHIPASLGKCANITTINWSKNKLGGPIPPELGQLVKLESLDLSHNGLEGAIPAQISSCSKLHLFDLSFNSLNGSALTTLCKLEFMLNLRLQGNRLRGGIPDCISQLHGLVELQLGGNVLGGHLPSALGTLKRLSTALNLSSNGLEGSIPSQLRYLVDLASLDLSGNNLSGDLAPLGSLRALYTLNLSNNRFSGPVPENLLRFMNSTPSPFSGNSDLCVSCHDGDSSCKGANILEPCSSLRKRGVHGRVKIAMICLGSVFVGAFLILCMFLKYRGSKTKPEGELNPIFGESSSKLNEVLESTENFDEKYIIGTGGQGTVYKATLSSGEVFAVKKLVGHAHKILRGSMIREMNTLGQIRHRNLVKLKDVLFRREYGLILYEFMDNGSLYDVLHGTEAAPVLEWRTRYDIALGTAHGLAYLHNDCHPAIIHRDIKPKNILLDKDMVPHISDFGIAKLIDLSPAASETTGIVGTVGYMAPEMAFSTRSTVEFDVYSYGVVLLELITRKMALDPSFPDNVDLVSWVSSTLNEGNVIESVCDPALVREVCGTAGLEEVCSVLSIALRCTAEDARQRPSMMDVVKELTRARREVVSLPKQATSGSSSSCQTLAT >SECCE7Rv1G0490420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:490393448:490403028:-1 gene:SECCE7Rv1G0490420 transcript:SECCE7Rv1G0490420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISAPTSSPFLPAPRQVGRWSSSPSTAKPAVLSLRRPVTVARTAAGNAPSSPVGAVVTELDVVSSFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNTMEKPGDRMSCYLTKALGNVGAELAHQVPGRVSTEIDARLAYDTQGIVQRVHELLQIYNEHDIPSERLLFKIPATWQGIEASRLLESEGTQTHLTFVYSFAQAAAAAQAGASVVQIFVGRIRDWAKNHSGDPEIDEALKKGEDAGLALVKKAYVYIHKNGYKTKLMAAAVRNKQDVFSLLGIDYIIAPLKILQSLDESVTDPDEKYGYVQRLTPSLDKMYNFSEEELVKWDQLSLAAAMGPAAEELLASGLEGYANQARRVEELFAKIWPPPNV >SECCEUnv1G0531640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17674822:17675545:-1 gene:SECCEUnv1G0531640 transcript:SECCEUnv1G0531640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGTVAKKLQTGSDGVGVANIYASVEKMDTKYMHDKIVQEALLSSCRPMFLERPTTTLPAAPSMRASIHRMTSYPRQYASLTAPALAPMSMAADVARDSSLYGSIAAGGGHVQGLVTYTIMDDLTITPMSNISAVVLITKLNREEKDLVLDEKSVKIGEKEAFDILKTSVNSNTVLTDVFLSDDNTNVSLSKNKRSRTSSGEKKKDKIPDFYV >SECCE6Rv1G0418200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:661477824:661478270:1 gene:SECCE6Rv1G0418200 transcript:SECCE6Rv1G0418200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATSRPRGLVLSTPNCRSASPTRAKLAGGDATHLPSSISSPPPTRSQHYCTCSSTNHPGSFRCSVHKELTLVASTGSSTKPPSPPSIRGLGSKRMNSRQCARRALKPSPAAQQSQQRRRAGGFRPRPSRLSAVSTAGERPRDNYT >SECCE2Rv1G0126660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:847894597:847900069:-1 gene:SECCE2Rv1G0126660 transcript:SECCE2Rv1G0126660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLPSIPSPQAKLIVPILVVLMAVQLLPATVAAGSVALPGCPEACGNITVPYPFGFRPGCFQKNFNLTCDETRHPPKLFLGDGVEVEAMSLSEGTVRVQRRIMRSYENMTQPQSLGFGASWPAAAWPDQNTGVRLAVSVEHNAFVVIGCSLVAYVIAQATITSDGEGTSVGACAALCNYGIPDPGDTSCSGIGCCRTTISQSLAAYGVQFNRLDGTPFGAAHPFGAAFMVDRGWFAGTNIVAVQNAIYQDYLGSYTNNVTSVPTVLEWWLDVAGDRDLLVRDLGSESGWRCISLNSVALNVSNNYGAVSCNCSHGYEGNPHIVNGCQDIDECLQPDVCQNGTCTNMLGTYRCSVKKSASRLPGLITIIAISAGFGIVFSLLGISKITNKFKQRRAKNLRRKFFKKNHGLLLQQLISSNQDIAERMRIFSLEELEQATNKFDHNRILGGGGHGTVYKGILSDQRIVAIKKAKIVVQREIDQFINEVVILSQTNHRNVVKLFGCCLETEVPLLVYEFISNGTLSFHLHGQSENPLSWKDRLRIALETARAIAYLHSAASISVYHRDIKCANILLTDTLTSKVSDFGASRSIATDETGILTAVQGTHGYLDPEYYYTSRLTEKSDVYSFGVILAELLTRMTPVFRSHSSEVTSLASHFVSLIRDNRLLDILDTQIVEEGGAEDAELVARLAEACLRLKGEERPTMRQVETTLEDVQNSTVNLSSQITRVNRNALNDQSYKGSKGGEGTRLYSLEEEFIQSSEIPR >SECCE7Rv1G0466470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:79360584:79365025:1 gene:SECCE7Rv1G0466470 transcript:SECCE7Rv1G0466470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRASVLLCFILQSVTIAAETSEQWGYVEIRHKAHVFWWYYKSPQRVSSPIKPWPTILWLEGGPGGSGVGVGNFQGIGPLDVSLKPRNSTWLQKADLIFVDLPVGVGYSYAEDPSMLATTDVQVAADATELLKALTKEIPTLHSSPLYLVGESYGGKLAAKVGISVAKAIHAGTLKLTLGGVVLGDSWISPGDFSLSYAQLLRDVSRLSDESVAPLNRMAAIVKEQIAAGQFTTAKMTWTNLLDLIDQQSDGVDMLNFFLDKGEGSSTSQVFLTTPNTIDGLMNGVIKEKLKVIPKNIIWQGVAIQVFHAMNATYMKPAIDEVDQLLAYGVNVSIYNGQLDVICSTIGVEAWVKKLKWAGLKSFLSTPRQALRYCDSPVHCPTTVEAYARSYQNLNFYWILGAGHKVPVDKPDVAVRMISNIVQSPDS >SECCEUnv1G0527920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:2690519:2692077:-1 gene:SECCEUnv1G0527920 transcript:SECCEUnv1G0527920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVLDAFASYLGDLLKQAAEEELGMMLGVSGDIDKMGVKLGDLKNLLADAERRRITDDSVQQWVTELKRAMYEATDILDLCQLKIMERGSSLPDMGCCNPLLFCLRHPRFSHEIGGRIKKLNQTLDSIKERSAAFSFLNLTSYEDRTRVRPSAASRKTDPVLERSGVVGDKIEDDTRTLVEKLTNKNDIDDIMVVAIVGVGGIGKTTLAKKVFNDEAIQHRFNTKIWLSVTKEFSEAELLKTAIIAAKGKLPDGGAQDKSLLVPALAVAIRDKKFFLVLDDMWGDNEWNNLLKDPFSYGAPGSRVLVTTRHGTVARGLKAVHPYHHVHKLGPEDAWSLLTKQILTTEKSEPTIDMLKDIGLQIIEKCDGLPLAIKVMGGLLCQKEKSRHAWGKVLNDAAWSVSQMPEELNYAIYLSYEDLSPCLKQCFLHFSLKPKKVLFGDSEYVGMWIGEGFVHGDSDRLEELGIEYHRELVLRNLI >SECCE3Rv1G0144810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5422847:5425007:-1 gene:SECCE3Rv1G0144810 transcript:SECCE3Rv1G0144810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAITSPRHLLTIFVYIIICLCHSVALATALSFSFNFSGPGSSDLCDTELSCERDACMGAGAIELTRNDFAANVFSVGRASYTRPVPLGDDATGEVASFSSNFTFQIKPQNRSQDNFGLCNSSLTSDASADGISFFLVHYPSRLLPNSGGANLALFNFSNGINATGDARLVAVEFDTYLNPWDHSDNHMGIDVNSLESRAYTNVTKRLVSNDAIMTAQISYDNRTGVLVVLLDIDGDGPRYSVSTWVDMKRELPQQVSVGFAASTGLCAELHQVTYWSFSSTLDDAMVPINMVPRRRRLVVVLLPLVAVAFLVLVSVVTVAVALWRRKLKKLAEFERGVGPRRYRYRELAAATKDFAVQRKLGQGGFGSVYRGDSLSDQDNAVAIKKLSPESFGQGRKEFESEVKIISRVRHRNLVHLLGWSDSRKGLLLVYELLPEGSLDRHIYNTSHPLTWPERYKIILGLGSALRYLHTECDQCVLHGDIKPSNILLDSSRSTKLADFGLARLVEHGADPWTTRVVMGTAGYIDLVFVCTRQPSTEADVYSFGIVLLEVVSGRRPVMATDQPDDSTIPLLRWIWDLYGSGTIVEAVDERLLGDDGDYKPQMHRALVVGLWCTHPRPGMWPSVLQLMNVLQSEDVTLPTLSRSLPVVSLGSHGYNSASSSANVGSDVSLAISGR >SECCE5Rv1G0321130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:397337039:397341690:-1 gene:SECCE5Rv1G0321130 transcript:SECCE5Rv1G0321130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAASSASEGGSPAAAAAAAAAAASSFPATSLYVGDLDVSVQDAQLFDVFAQIGGVVSVRVCRDVTSRKSLGYAYVNYNTPADAARALEMLNFTPINGRPIRIMYSNRDPSLRKSGTANIFIKNLDKSIDNKALYDTFCVFGNILSCKVATDPAGESKGYGFVQYERDEAAHAAIEKLNGMLMNDKKVYVGPFVRKQERDNSPGNVKFNNVYVKNLAETTTEDDLKEIFGKFGAITSVVVMRDGDGRSKCFGFVNFESPDEAALAVQDLNGKKFSDKEWYVGRAQKKSEREMELKEKFEKNLQEAADKYQNTNLYLKNLDDTVDDEKLRELFAEFGTITSCKVMRDSNGASRGSGFVAFKSADDASRALAEMNNKMVGNKPLYVALAQRKEDRKARLQAQFSQMRPVPMPQTVGPRMQMLPPGVPVGQQMFYGQPPAFINPQPGFGFQQPFMPGMRPGGAPMPNFMMPMVQQGQQPQRPAGRRAGAGGMQQSMQMGQQQMMGRGGGRGYRYPTGRGMPDPAMHGVGGVMTSPYEMGGMPMRDAGASQPVPIGALASALANSPPETQRMMLGENLYPLVDQLEHDQAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRSAQQHTNQSPEQQLASLSLNDGLISS >SECCE7Rv1G0474400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:171628483:171630369:1 gene:SECCE7Rv1G0474400 transcript:SECCE7Rv1G0474400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g37170 [Source:Projected from Arabidopsis thaliana (AT4G37170) UniProtKB/Swiss-Prot;Acc:O23169] MRTKPPTFSVTTASQLHDAIDRLLPLLRADAAHAPAARALAAAAASLPPSTLLSNRLLHLLSSNAASLPDALALLSSTPSPDCCSYNTLIAALSRSPRHLASARALFDRMPHRDHFSWSAIVSAYSRHGRPLDALALYRRMQEDPGNAGADNQFTASSALAAATAARCARAGRELHCHVARTGIGAGDAVMWSALVDMYAKCGRLDDARRVFDGMPVRDVVSWTAMVERYFDAGRGGEGFRLFLQMLRAGGVRPNEFTYAGVLRACAELAVESLGRQVHGRMSKGSIGDSCFAESALLHMYSKCGDMASVARVFEGMPKADLVSWTAMISGYAQNGQPEEALRYFDIFLKSGIRPDHVTFVGVLSACAHAGLVDKGLEVFHSIEDKYGIAHTADHYACVIDLLSRSGQFERAEEMINKMAVKPNKFLWASLLGGCRIHKNVRLARRAAEALFEIEPENPATYVTLANIYASVGLFDEVEGVRKIMDAKGITKMPASSWIEVGRRVHVFLVGDKSHPRAEEIYALLKKLYGKMREEGYVADTGFVLHDVEDEQKEHDIGYHSERLAVAFGIIVTPEGSPIKIFKNLRICGDCHTAIKLISRIAQREITVRDSNIFHHFKNGGCSCRDYW >SECCE6Rv1G0386540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:96974627:96979187:1 gene:SECCE6Rv1G0386540 transcript:SECCE6Rv1G0386540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARLQAAMTSDRAESVLRGACAAAAAASALLLGLSAQTKTVLFVRKKAVPKDVEALWVLIVAAAVAAGYHAARLLKRLYSGGRFAGGEDDRGCARAVAWACFLLDKGCAYVVFASAVAALQACFVALTGVEPLQWSRLCNIYTRFCVQGAFGMVCGLAAAVGMALLSVFSARDLFGLYSPAGRRPPRSRSETSQTGLISKNEMARESAGDD >SECCE2Rv1G0101510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:566045873:566048052:1 gene:SECCE2Rv1G0101510 transcript:SECCE2Rv1G0101510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAVWLPVALLVAAVALSSVLTAPAAAAATESGEADHAVQQHSERISGSAGDVLEDNPVGKLKVFIYDLPRKYNKKMVTKDPRCLNHMFAAEIFMHRFLLSSAVRTLKPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISNKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRHATLVQTFGQENHVCLKEGSIIIPPFAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTDHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGIFVEEKDVPKLDTILTSMPIEDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGVYLPPGEKHLNWTAGPVGDLKPW >SECCE5Rv1G0344520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:645840302:645843720:-1 gene:SECCE5Rv1G0344520 transcript:SECCE5Rv1G0344520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVRPPALVDDAMRAVFMRLPADDPRSLVFAGAVCRSWRAILSDPGFAHGYRALHGAPPMLGFLHNEYRRGNRFVPTSTFRRPASQDRPDCQVLDSRHGLVLLYAPAYDPPLEARDLVTGDWWDIDDDPKCRDIMHWPGDDPDDFYNGIIHCNATVLCAKDGCDHLDCHGGPFLVALVGSVEEGGIVLATVYSSETRQWSDKISVRSPGLRTDDDGGHTAVVGDKVYVPSYECDSVVEFNICEQQLSVINVPENLGQGFLYLIGVEDGMLLFASVLKPRLYLLSMEAGPRGAAAWARCRVVELQPLLPRRALLDMSSSDVWTVGFAEGVRVIFLRTPAGLHTIELNSGRVNKVGEFSIEKVMPYTRFCTGGTYRLVNKVDEQGIEKVITPMAMALSPPALVDDAMREVFMRLPADDPKSLVLAAAVCRSWRDILSDPGFACGYRAFHRAAPMLGFLHNAYRSGNRFVPTSTFRRRASQDRPDCQVLDSRHGLVLLYAPAYEVPFDVCDLVANKWWEIEDVPKCRDTMHWKDIYEGVLHCNATVLCAKDRCDHLDCHGGGPFLVALVGSVDEGSIALATAYSSETREWSDKISVQSLGLQIDDGGHTAVVGNKVYVPSYECDSVVEFNIREQQLSVINVPENPGQGFLYLIGVEDGMLLFASVLKSRLYLLSMEAGPRGAAAWARRRVVELEPLLPPRALLDMSDLNVWAVGFAEGVSVIFLRTPAGCYAVELNSGRANRVGEYSIEKVMPYTSFCTRAFRRVLASDEAPRAVVGGGTTV >SECCE6Rv1G0432790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:754335099:754335545:1 gene:SECCE6Rv1G0432790 transcript:SECCE6Rv1G0432790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAATAERFSRVFASFDREADCRISAGELRLCMKAALGEDVSAEDPEALVASADADGDRLLDEQEFHRLVAQPETEEAEEKCRGLREAFAMYEVKGQGCITPASLMRMLARLGSEQGIEECCAMIRRFDLNGDGVVCFDEFKVMMDA >SECCE3Rv1G0150570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:32953126:32954553:-1 gene:SECCE3Rv1G0150570 transcript:SECCE3Rv1G0150570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGTAEARRPPHVAMLVTPGMGHLIPLAELAKRLAARHGVTATLITFASTASATQRTFLASLPAAISSVCLPPVDLSDLAPGATIETLMSEECARLVPALTGVLSGLRETTRLVAYVADLFGADSFDAAVAAGVPRRYLFHPGNLHGLTFILHLPELDVSMPGEFRDLAEPLMLPGCVPIPGPDVMSAVQDKSDPCYRWMVHHAKRYLESEAILVNSFDAVEPDVARVLSHPEPGRPPVYNIGPLIRAAASDDEPRAACLDWLDRQPPKSVVFVSFGSGGSLPKEQMHELALGLELTGQRFLWVVRSPSDEGALNANYYDAESKKDPLAYLPEGFVERTKDIGLLVPSWAPQTEVLAHEATGGFLVHCGWNSVLESLVHGVPMVAWPLFAEQRQNAVMLSEGVGVAMRVPATKRKEEIAAAVREVMAGQGKGADVRAKVAALQMAAMEALLEGGAAATALDEVVRKWTSGESC >SECCEUnv1G0551630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:236940099:236942549:-1 gene:SECCEUnv1G0551630 transcript:SECCEUnv1G0551630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKASSLSELGFDSGGASSGFFRPVADGCPTSSAVPHLRLTKVSVIGAGNVGMAIAQTILTQNLADEIALVDALPDKLRGEALDLQHAAAFLPRVRIVSGTDPAVTRNSDLVIVTAGARQIPGETRLNLLQRNVALYRKIVPPVAEHSPDALLLVVSNPVDVLTYVAWRLSGFPASRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYMVGEHGDSSVAIWSSISVGGMPAFKSLRDSHRNLDEAALEGIRRAVVGGAYEVIGLKGYTSWAIGYSVASLAASLLRDQRRVHPVSVLASGFHGISDGHEVFLSLPARLGRGGILGVAEMDLTEAEAAQLRRSAKTLWENCQLLDL >SECCE2Rv1G0140990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934021384:934023897:-1 gene:SECCE2Rv1G0140990 transcript:SECCE2Rv1G0140990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRSIVRDLRESFGSLSRRNFEAKISSVPSLSGHHRGKSLESASDLQDSLVTNLQGNWASLPPELLRDVMKRLEEDDSNWPSRKDVVACASVCTTWREMCKDIVRNPEFCGKLTFPVSLKQPGSRDGLIQCFIKRDKSKLTYRLYLSLTSAVLDDNGKFLLSAKRSRRTTYTDYAISMDPKNISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNASKLCPQERTSRRFSSRKVSPKVPAATGSYPIAQVNYELNVLGTRGPRRMQCTMNSIPTSAVDPDGVVPGQPKELLPRLFEESFRTTASSRYSTDFGSCRFSEFGGGPLREDGGDENAGDKESPLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAPSPPPPASGGEAAPEPPSQPQPPQQQPTSTAAQPQPAGSSLSASSSSSSSHHDTVLLQFGKVSKDTFTMDYRYPLSAFQAFAICLTSFDTKLACE >SECCE4Rv1G0245370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:528495131:528498712:1 gene:SECCE4Rv1G0245370 transcript:SECCE4Rv1G0245370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRWRRKCRTRDAVLALLIASVLAPLALYSGAPISPFSGPALTGSTFGRDPSNLISQNEAGKRLNALPQDTFDPVPKAASDTRVNNVGDQGTHLGQNGGIQQVVMGSNIEMSGESRGSKDGRVRNVEEAKVSSHDTSKRERADGMVITSEEGTRLTKQSGPTNFGAAGDSKVRTMHNAGDLNAHLDKNDEKSSQQITDSTSKESGAMITSGNTSYSSASSDKTILVLKDQLRRAKRYIGFLPSRGNHGFVKDLRRKMRDIQQALSSTTIDRQLPKNVHQKIRAMESILTKIKQVHDNCAAAIDKLQTNLHWTENQLEANKQGATYAAQVAAKALPKRLHCLALRLTNEYYTSSSKNRHFPYQDKLEDPKLHHYALFSDNVLAAAVVVNSTLIHAKKPADHVFHIVTDKLNYAAMKMWFLANPPVKAAIQVQKIEDFTWLNSSYSPVLKQLASQFMINYYFHTPQNKPDKNPKFRNPKYLSILNHLRFYLPEIFPKLNKVLFVDDDIVVQQDLSPLWSVDLKGRVNGAVKTCGEVFHRFDRYLNFSNPLIAKKFDRRACGWAYGMNMFDLSEWRRQNITAVYHYWQEQNEHRLLWKLGTLPAGLVTFWNNTFPLDRSWHLLGLGYKRNVNPKDIERAAVIHYNGNLKPWLEVGLPKYRSYWSKYVNFDHAFIRECNILP >SECCEUnv1G0533940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35350824:35354624:1 gene:SECCEUnv1G0533940 transcript:SECCEUnv1G0533940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSPPPPPPPPPPPETPQLHGVVIITLPPPDQPSKGKTITAFTYTDEPSPAPPTPHPHRGQPMAPAAAQARRSRRAVSPRRAAAMVLVLGALALAAYYSFYSDVAVQFLGMEEEEAQRERNETKSFLFQLYPKAHKGRALREFGDIKLAAKRVDDGGGRKVTKKLDVKGATSAGTNSTVLLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPAKEKIVPPRDLLCQELQGDQNYCETCKQCDYEIEYADRSSSMGVLAKDDMHLIATNGGREKLDFVFGCAYDQQGQLLSSPAKTDGILGLSSAAISLPSQLASKGIISNIFGHCITRETNGGGYMFLGDDYVPRWGMTWAPIRGGPDNLYHTEAQKVNYGDQELGHAGNSVQVIFDSGSSYTYLPEEMYKNLIDAIKDDSPSFVQDSSDTTLPLCWKADFAVRSFFKPLNLHFGRRWFVMPKTFTIVPDDYLIISEKGNVCLGLLNGTEINHGSTIIVGDVSLRGKLVVYDNERRQIGWANSECTKPQSQKGFPFFL >SECCE7Rv1G0518990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:862979159:862983422:-1 gene:SECCE7Rv1G0518990 transcript:SECCE7Rv1G0518990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNRVTMLSSSETCHLGSSSNNQAIDQQGLLPSNPAVDEHNLLPDTLESENYPHYVLNSHEVGMPSGSMIGQQNTSLSLWDSPGSSSAGFLPDHDSLLQAKREHFAPALSMGGPLIIEGRRRENSSSLPSHNLNIDLNINQADQFETGNVDMVQSNVHSGMNTYPPNRGLSTTENVLRRAISPGAIRSSLHSANLFDGTAGQETGGSSSHTVNHFRSSIKRKNVAGSLGESSANGSSRNHRQNNNMLLPSLSSHESTANLNMTSVNYGFYPPMEQLDQNTDASDDDIFSDPYTLSGHLHESERFLRSTRMRISPNEYEQSLTNLLPEESFRCSAHQPAQQQSSFTPVQPRGASSSASSQNRPHVPAVAQFSQSLQRHPSNGNFGSSVGNPADTINPRPASQDPGRSLARSNLPEPFMLGPSLFTTDSTNLLSAPGSRSNQQNSSSSSTFRAAANVGPQQVPGLNSSQPSSAARGSADVPRRSSLAASVSHSRSSSIALQHRGLSSSSHEIRNHQPGSSARASLQHYSRAVPPSIDRQNPGYLDLQSFMQSIAASREGSRTVSELRNVVEQIRQGRSAARLEDFLVDRQLIRRASLVDRHREMRLDVDNMSYEELLALGERIGHVSTGLSEEKIMSGLKQWKYLHIPLEEPATAIEPCCICQEDYADGEDMGRVECGHYFHTACIKQWLVIKNTCPICKKAALGT >SECCE2Rv1G0113760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:725436147:725440801:1 gene:SECCE2Rv1G0113760 transcript:SECCE2Rv1G0113760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEPRRRPPGEEAPRYGPFDGLFTVQIHHKGFFCGSGSNMTYVDYEVDFFDNCDSDTWSILWIDDFLKQLSYDRGVSKHDIYWCQPGKSVADGLKQITCDADILLMIGATIEHTNLLLIIDHGDTLETLTGDDVTTDGVPELPKVITPIKDNKGKHNVSFTKEAIFIEFASSGEGPKQKRAKRARRFVSFGEGCSSSGTSVGDEEIDEGWLSSDTDQQDAGIEEETDEEFHDSDFEPDVGDDDLFDENVDKDVDDHREREFIPDIEAELPEDALDDSHLNLSKEEKEKLKYNFTTFNSATDLNAPTFRLGMVFADMKELRLAISAYSVRNRVKLKKTRNTATILVVVCKPGCSWQLKAGKDNRTNSILIKKFNGVHTCTKAWDLKVLTAPFLTRKFREEFRDNEKMSLKKFQDKVQVEYNMIPKRSKLGRARRAAVREIRGEDDDQYKWLWDYGQELRRSNPGSTFFLCTKQLTDEKTKVTRDHLSTLYWSLDACKRGWLAGCRPIIFLDGCHIKTRYKGNMLTAVGIDPNDCIFPIAFGLCEVECTSSWEWFLASLKADLNIFNSSSYTIMSDKQKGLINAVQKVFPHAEHRFCVRHLYQNFHKVHKGEQLKNDLWSIARSTNDIAYQKNMDQIKSHSEGAYKWVEKLAPRTWIKAFSNSFPKCDILLNNMSEVFNSYILEARELPVVSALNNMHNKLTNRIVSKQRESDKWTGRLCPKIQKKLDKFIEWAANCMVQEAGKGVFQVKSFNNTYLVDLNMNNCDCKRWELSGIPCHHAVACARHERIEPESLVHPCYSIESYKKAYAYNIMPLRDKGHWEKMHGIDVYPPVYTKVMGRPKKNRKKDPEEKKDKNGGIKLTKAGSTMHFSICKAPDHNKKGHHKHVNDIQNEHAAKQAEEDFDDPSLIVNIMPHRVRPCLDPTQTPESMVFMMQEQERFVYPPVRDFGPLPESNFIANARAEIPAPRVTTAMARGRVRRGGTDASGARGGAATYGARGGGQNARGAANVARRGGHNARRGGGHNARGGHNARGGANVARGDGDHNDRGANVARGARAAAPGFYNLHFGDDGANRNEAANFMQAEEVLITRNAPDDEWAYDFLSL >SECCE5Rv1G0304600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:59932309:59932692:1 gene:SECCE5Rv1G0304600 transcript:SECCE5Rv1G0304600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGYLRAASGATRNRQTLLLRQRPAASATSVTPRALVASSATRHASTVANPPPGAEPLPEDAPRQTPPRLGEPDPVGPPTPTPKEKITGTPPSEEDEGGLPGGVPDTTPPPDVPLPPVSPDGSNV >SECCE1Rv1G0034930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:492996130:493001805:1 gene:SECCE1Rv1G0034930 transcript:SECCE1Rv1G0034930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKKGVGAKDKAPPPTILPDEAYLEAVTEKRIRLFEQMLDRQARRHAENGGGPIKITLPDADGTVKEGKKWVSTPMDIAKEISSDLAARCLIAQVNGGLWDMTRPLEDDCELSLLTFDSKEGRDTLWHSSAHILGQAVERVYGCKLCIGPCTTRGEGFYYDAYHDNNVTLNASHFGRIQGWARRAVAEKQPFQRIEVSRDEALEFFAENKFKVEIINELPEDKPITLYRCGPLVDLCRGPHIPNTSFVKAFVCLKASSSYWRGKADRESLQRVYGISFPHSKLLKEYKHMMEEAAKRDHRLLGKSQKLFFFDSLSSGSCFFLPHGKNIVNKLLAFLRQQYRDRGYQEVESPNVYKMKLWKTSGHSANYKENMFVFEIDGEEFGLKPMNCPGHCIIFKHETRSYKELPLRIADFGVLHRNEASGALSGLTRVRRFQQDDAHIFCRESQIKEEVKSVLEFINYVYSIFGFDYELELSTRPEKYLGDIETWNKAEQQLAEALDKFGKPWEINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFALPVCFKLTYAAEEEAKHERPVIIHRAILGSVERMFAILLEHYCGKWPLWLSPRQAIVCSVSSDSLEYAKQVHAKIHNAGFDVEIDMTDEKMSKKVRDAQLAQFNYILGVGPEDVESEMVRVRVRDKPEVSTMSVDDLISLLRDEVAAYR >SECCE4Rv1G0281260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825619641:825621254:-1 gene:SECCE4Rv1G0281260 transcript:SECCE4Rv1G0281260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGMARIDEWKPVMAMLVFDLISAVTTALIKAALEDGLDRLVLITLRQLVATVFLSPIAFFKERNTRPKLTLEILVYLFFSAALGAALSQYTFFYGLQYTTATFAITFTNLAPVLTFLIAVLLRVESLNMKNKAGAAKIIGTLMSFAGVMLLTLYKGVALTHQAEPSGQHAEAAAAESGKKSWTLGTLALLANCLCFSFWLLLQSKLTKKYPALYSSTAYMFLISSLQGGGLTAAIQRRASVWVLTKPVEIVTVLYTGILGSGVGYVLMTWCVEKRGPVFTSAFIPIIQIMVAIIDFFFLHENIYLGSVLGSILMIMGLYILLWGKSRDASATVPPAKEEEDKEKQIKS >SECCE3Rv1G0183890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:655974928:655975905:-1 gene:SECCE3Rv1G0183890 transcript:SECCE3Rv1G0183890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGFGPTTATYNVLLKAHCSDADAPIGDAVRLFRNIPKPDACSYNTLMDGLCRRSRRAEAQELFSEMVENGVAPTVVTYTTIINWLAREGCLDDALEMFDEMGRRGIAPNVITYSSLIDGLCKGGRAASALHLLERLAKEVKQPNTIIYSSVINGLCKEGLLREAMEVLDRMRLQGRKPDAGLFGKLIVGLCDAGRATEAANYLDEMVLAGVQPNRVTWSLHVRINVAVLTALCVKGEVGRAFQVYQSMRTRGISTEPRTFHLLVDFFSKKNHLEKAAHVVLDMLSERCIPERETWEVIVSGYWNKKKVKQEAEQIWNQLAVI >SECCE5Rv1G0361320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:778864739:778865815:-1 gene:SECCE5Rv1G0361320 transcript:SECCE5Rv1G0361320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACKTASTCSPAEVQGTHVFHISGYSKHRGMGNDPNSFIRSRIFAVGRHYWAIRFYPDGYDGASPDYITVILELMSNNTKVRASCDLRLVDHCTGLSSSVHKTGPRIFDSDYITKFAPTDAYFKKRNEIEGSTYLRDDRLTIECIITVIEKPRVADTEPFPKIDMPPSDMIADVGRLLEEKEGFDVVFLVGGETIEAHRFVLAMRSPVLKAELYGPMREARPGQCITIKDMQPAVFKALLHFIYTDSLPGDMDLEGDKDMDMVRLLLVAADRYAMERLKLVCQSILCEDLNVDTVATTFALADQYNCHKLKDACLEFMEISNDMDAVVATQGFKDLKATCPSLVVDALEKRRKFRKA >SECCE1Rv1G0026980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:376526719:376527000:1 gene:SECCE1Rv1G0026980 transcript:SECCE1Rv1G0026980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRIGPILGHTMHYRRMIITLQPGYSNPLLDREKN >SECCE2Rv1G0133960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898960016:898960626:1 gene:SECCE2Rv1G0133960 transcript:SECCE2Rv1G0133960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKVVLKLDVHDDRQKQKAIKVVSALHGIDHIAVDMKDQKMTVVGTVDPIAVVSKLRSKPFPTAQIFSVGPAKDGDKKEGETKEGAKNDASKQAAYPPYWFPPPQYHPHYHVHSVEEDPNSCTIC >SECCE5Rv1G0358000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:752358535:752359048:1 gene:SECCE5Rv1G0358000 transcript:SECCE5Rv1G0358000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCHHFEWMDDYIQRLQGLVLLDSRGNAIREFNLPHDSAAPAAPTRPEYPTVVDVELKTELKKMNKNFKQLIELKKKSNLIALGILALGIFYLMAISR >SECCE7Rv1G0501520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:683863265:683863549:-1 gene:SECCE7Rv1G0501520 transcript:SECCE7Rv1G0501520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPAVSVSMAKASGGTAAVGGQQQQQQPERGTFSCGFRMPLHYPRYRKADYEAMPEWRVDCLLREYGLPVAGDVEDKRRFAMGAFLWPSQY >SECCE5Rv1G0361120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:777712796:777713299:-1 gene:SECCE5Rv1G0361120 transcript:SECCE5Rv1G0361120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEQKNGNFGREFVKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPAATARLEYPTVVDVKLKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE2Rv1G0115730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:755379378:755380384:1 gene:SECCE2Rv1G0115730 transcript:SECCE2Rv1G0115730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os04g0568700)] MASPALGTGTPPFLTKTYAMVDDPETDDTISWNESGTAFVVWRRAEFERDLLPKNFKHSNFASFVRQLNTYGFRKIGLDRWEFANECFRKGEKRLLGAIQRRKGSGAGAPAPAMMATPIATAIPISPTPTSSGGDPAVSSSPPPGLALVATGAMAELEEENARLRRENARLARELARARRVCDGVRHLVWRYDHGGEEVGEEDERHGAAGGKPMLFGVAIGSKRSREDAHGHGGGDEENGAEQDGEDDEEEQEHDDDDERHAGRREQGKAMRTERSDLNVLSLSVRAAAAARPDGGSRDRSGNH >SECCE3Rv1G0146720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12237952:12238563:1 gene:SECCE3Rv1G0146720 transcript:SECCE3Rv1G0146720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKPACMSLAPLAAGSAASGGGGGCKVIHADGRVTRLARPVRASELMLDHPGKFVCDACRLAVGCRVPGVAADELLQPRRAYFLLPMDMLYSVLTDDEMAALAAASHGAATAATAAWRRIVVTTTRRRRSASSSSGRGASSRQSNGDAGRVFPVVGLLQLQDAPAGHPTSSAGAKSSSAGATVGLRRLRSWQPVLDTIEEAP >SECCE5Rv1G0347110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:665609917:665610993:-1 gene:SECCE5Rv1G0347110 transcript:SECCE5Rv1G0347110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPGLAADWSSLPSDLVSRIADIFLATSDVDYYIALRAVCRNWRAGTDDPRGSDRRFRPRGWIFLGGNSGSGSGHHLFLNVDTGRFLWKDLPKLYDYMPTTDGLLVVPLVASRSGSNICLFNPFTGHRVLYPFIGMLSFDRGVTVAGSSPVLLYLWGGHSAACLDPTCGFEWVTLFSAEPAFESLASMVAYQGRPYVADQRGAIAVVEDHSGRDPRLSTVVAANWVQSRPTFLVVCAGDLLLVRAPTIEGRGEVVEVCWVDVEGKQLWTIKGIKNYAIFLGDRCISVDADKFPGVEGNCIYYIGGGARPQERGICMHSLDDGRDEKLFDDEVDQIEVQARPWTLAQLLMNNAHYQLR >SECCE7Rv1G0474870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:178637201:178646335:1 gene:SECCE7Rv1G0474870 transcript:SECCE7Rv1G0474870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MGKRPPVVILPSSSDDDGGGGRCTTSRGPSTRRTRTPATAPPANQAPSNSRKKPRRGSSGGRGRRRASETALSGSLKDEFDMLTEDFSECLNDLGVSGSMHQTEELWIDKYKPLSSGELAVHKKKVEDVKNWLEEKLKAPKATFGGRSLVLTGQAGVGKSATVKAIAAEIGADLCEWTTPVPTLWAELVHANSELEYVSKLEEFENFVNKIRKYSLLSPTSIGSQRKLIIILIDDIPVTSGKASFARLGKCLTGLIQSTQIPTVISLTHHHKNEANDTATWNSEELESLLQGAGAHKIVFNPVTISSIKKILLRICKQESSGTTEELVHQIATSCGGDIRHAIMSLQYYCLNPRRLDSALATSASLSDLKGHATLVPAQDCYGLGSVIHSPCGRDETLTLFHALGKFLHNKRETHGDVNIDLDSFSLKEQLRRNPLKMDVPEIILSQAHGKVRTVADFLHENVVDFIDDDAVDDAWVVMSYLSEADCLLAGSPIASSRWTVNESNESENMSQLIAASVAARGVLFGNAHPSPSRWHTIRSPKVWQIERTFRSTKGLILKERFDLSSTSGSRNFSDVVTDFRPFERWISPHNDMARNNPSRHDVAGGPNSIDMLDAEGNNCEEDEDEIEEW >SECCE3Rv1G0155990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70060487:70063612:1 gene:SECCE3Rv1G0155990 transcript:SECCE3Rv1G0155990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPGSALRRLYLSAYNWVVLFGWAQVLCYAASALLESGHEAVYAAVERPLQFAQTAAFMEILHSILGFVRSPISTTLPRITGRLYITWGILWSFREAQSHILVTSLVISWSITEIIRYSFFGMKETFGFAPYWLLWLRYSTFLVFYPIGLLSEVGLIFVAIPSMKTSWKCRPMMPNKWNFSIDYRYELALTMALYVPGFPYLFRYMVAKRKKVLSARKTA >SECCE7Rv1G0466930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82422808:82425378:1 gene:SECCE7Rv1G0466930 transcript:SECCE7Rv1G0466930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISKISTVKGSKCQVCLQAKQPRKSHKTAEARDLAPLELIHSDLCEMNGVLTKGGKRYFMTLIDDSTRYCYVYLLKLKDEALTFFKNYKAEAENQLDRKIKRLRSDRGGEYFSNEFDLFCAEHGIIHERMPPYSPQSNGVAERKNRTLTDMVNTMLDTSGLSKEWWGEALMTACHVLNRVPTKHKTMTPFEEWERKRLKLSYLRTWGCLVKVNIPIPKKRKLGPKTVDCVLLGYAFHSIGYRFLIIKSEVSDMHVGMIMESNDATFFEDIFPLKDMSSSSNQEIPTPSSDEFSVIPEPTIAMEHVENPVEGDNGTPVRSKRQRIAKSFGDDFIVYLVDDTPRTISEAYASPDADYWKEAIRSEMDSILANGTWEITDRTYGCKPVGCKWVFKKKLRPDGTIEKYKARLVAKGYTQKEGEDFFDTYSPVARLTIIRVLLSLAASHGLLVHQMDVKTAFLNGELKEKIYMDQPDGFVVPGQEGKVCKLLKSLYGLKQAPKEWHEKFERTLTAAGFVVNDGDKYVYYRYGGGEGVILCLYVDDILIFGTKLDLIKEVKDFLSRCFEMKDLGVADVILNIKLLRDENGGITLLQSHYVEKVLSRFGYSDCTPSPTPYDASVLLRKNRRIARDQLRYSQIIGSLMYLASATRPDISFAVSKLSRFVSKPGDDHWHALERVMRYLKGTVSYGIHYTGYPRVLEGYSDSNWISDADEVKATSGYVFTLGGGAVSWKHVNRRLKSVRKLRNSGVITLDYIQTSKNLADPFTKGLSRNVIDNASMEMGLRPTA >SECCE3Rv1G0159850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:99951286:99952613:1 gene:SECCE3Rv1G0159850 transcript:SECCE3Rv1G0159850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLLLAQLLLAVALATVQAAPRMHPVVLVPGYATNELDARLTELYQPSSPGCGARKGEGWFRLYLNYSALQDPGNVPCFAEQMSSVYDSATGDYSNVAGVETRVPFFGSTQAFRHPDPDRKNFSYMSTFVERLEKTGYRDGETMFGAPYDFRYAVAPVGRPSRVGDAFFRALKGLVERASGLNGGRPVVLATHSFGGLLAHQFLVRQTRAWRRRFVRRFVPIAAPWGGLVRGMQTLVSGNNLGLPFVDPRALLRQGRSLQSSLWRLPSPAAFGGTTPLVTTKSRNYSAGDVADYLVAIGLGEAVGPYESRVLPLFGRVLPHPGVPVTSVVGVGVGTTERIVYPGDDFDGTPSVVAGDGDGVVNLASVVVVETLWSHRGRDFRMVKVSNMSHNALLVDDRALEIIIREIQRAN >SECCE7Rv1G0471680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:132727131:132729601:1 gene:SECCE7Rv1G0471680 transcript:SECCE7Rv1G0471680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVWSGCSTSRGSFTQELPRRSVKGGQGTRIRRGAGGRSRGDARPVSGGMVMARGACVCRAAPCVLESDVTGKEEAGLGIRGVEDERPGAAGFDYHQRHGLRRRPARPAAVEKDPVGARSVPSASASEPADKFEHGGSRLHFLEERDEELLSRRLMKLSQSNKVRSAIELFDSMLASGLQPNSHACNSLLASFVRRGSSVDAMKMYEFMKGKGLATGHTYTLILKAVARTEGYISALQMFSEIEECNESRETLDVIVYNTMISACGRAKDWRQVEKLWGRLAENSLSGTLMTYDLLVSTFVQCGQSELAIAAYEEMLRDGLDPSEDIMKAIIASCTKEGRWEFALAIFRRMLSAGMKPNIIVFNSVINSLGKAGEDELAFRMYHLLTSSGLEPDQYTWSALLSALYRSGRCWDALELFQGIKSKHPSVLNSHLYNIALMSCERLGQWEHALQLLWMMEKSGLQISAVSYNHVIRACEVACEPKVALKVYRRMTHERCSPDTFTHLSVIRACIWGSLWDEVEDILEEVAPDSSIYNTVIHGLCLRGKIRLARKVYTKMRSIGLTPDGKTRSFMLQHIASAE >SECCE5Rv1G0331490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:539100280:539102577:1 gene:SECCE5Rv1G0331490 transcript:SECCE5Rv1G0331490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAVRGISSSPHLAWRIKQTENEIVQMFQPPVRRSEEAVATTGPRYTHAIRVMDERFIRILKIFKWGPDAEKALEVLMMKVDHWLVREVMKTDVGVSVKMQFFRWAARKRNYEHDTSTYMALIRCLEVVEQYGEMWKMIQEMVRNPVCVVTPMELSDIIRMLGNAKMISKAVAIFYQIKARKCQPTAHAYNSMIIMLMHEGEYEKVHELYNEMSNEGQCFPDTVTYSALISAFCKLGRQDSAIWLLNEMKDNGMQPTAKIYTMLMALLFKLDNVHGALSLFEEMRHQYCRPDVFTYTELIRGLGKAGRFDEAYNFFYEMRREGCRPDTVLMNNMINFLGKAGRLDDAIKLFEEMETLRCIPSVVTYNTIIKALFESKSRVSEISSWFERMKGSGISPSPFTYSILIDGFCKTNRTEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLAHELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAVDLFEEMNRLGCTPNVYAYNALMSGLARAGMLDEALTTMRRMQDHGCIPDINSYNIILNALAKTGGPDHAMGMLSNMKQSAIKPDAVSYNTVLGALSHAGMFEEAAKLMKEMNALGFDYDLITYSSILEAIGKVDQE >SECCE4Rv1G0256060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:653696831:653697124:-1 gene:SECCE4Rv1G0256060 transcript:SECCE4Rv1G0256060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIKKLADSLASPIVFVQPMPPTDPYANLWKRINALTITTKDKLEIVAYLSKPDQDIFRSYLNHADETILGEWVLSYFEPRFREDGGTGGSGASH >SECCE3Rv1G0146240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:10680106:10680954:-1 gene:SECCE3Rv1G0146240 transcript:SECCE3Rv1G0146240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPPTGPPRVTHPSHPGHVLTLYATGGALFQCDGCRHYGEDDRRYRCDPCDFDLHVCCVPFKVEDLTLTHPLFKGCTFDFRYSPPGGGTLPGSGSFCGACGDQVLGFMFHDGHRQIGLHPQCANLAERVVQHDGVFDLLREAPPHGSCGLCRQGHGGRRDGFWCYGYLDGARQPVYTHVACMMEACYKRSQVAQVSAPTACAVGTPMTWEVGTPQSTTATWEAGTPQSTSATWDTGLSSSINKISLFCKVAVAVGRVASAVSTVTGGDPLWIDTTAVEPVE >SECCE2Rv1G0122960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:820243776:820244066:1 gene:SECCE2Rv1G0122960 transcript:SECCE2Rv1G0122960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVACSFFFDDELFGEPGTAAMDACALCAKLLARDRDVFMYQGDTPYCSEECRHEQMQLDAVCAKQAARRQQRFSAETECHRGQRQSRKVSVAS >SECCE1Rv1G0035000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:494703952:494706823:1 gene:SECCE1Rv1G0035000 transcript:SECCE1Rv1G0035000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] MATAASPPAAASARASAIRSRSGRLFLPAALPADGRGDTATTYKELGLYSWKRRIEDAVIRVELSASDALEREEARRIKHEEVLQSRNLWDNPAKSHETLSALSNAIRAVDHLKDLLYKAEEAKLISQLAGMDVINGELFKQAYDISLDASEFLDRYQMYELLKGPYDKEGACIMVTAGSEGVASELWAEKLFDMYTSWARRQGCKEGLVEKITSTSGHIQFAALEIESEYMFGTLSGEKGIHRMIYSSVGNCGTDEAISARVDIIPLFLDRPVNFHVDDSDLEISPLPSECENRDRRTGATVRVRHIPSGVTAESSGERSYFANKLKAVSRLKAKLLIIARELRVPDAKMIEKPAVEEGCRRETRRYTFGPQELVHDLNTGIQLSDLNSVLEGDIEPFIRGRIVSRHG >SECCE6Rv1G0385720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:84390395:84392750:-1 gene:SECCE6Rv1G0385720 transcript:SECCE6Rv1G0385720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMASRAATPVPLLFFFFVAASLLAVGASAAAAAAPGVNSPFVLAAARTQRKDPLDGLRYYTGGWNISNEHYWASVGFSAAPVFAAAGIWFAVFGAALFLAGCCYCCCPSRSTSYSRPALVVSLLLLLAFTAAAAIGCAVLYDGQGRFHGSTAATVDYVVKQSGDTVDNLRTFSGFLEAAKAAGVGPVSLPDDLKGRIDGVVRRVSSASDELAARTASNSAKIRDALDTVRKILIVLAAGMLILAFAGLVLSACGLESLVYVLVFLGWILVAATFVMCGTFLLLHNVVGDTCVAMGEWVRRPQEHTALDDILPCVDTAAATEALGRSKEVNYRLVEVLNGVMSNVSNRDFPPQAPLSPPLYYNQSGPPLPPLCNPYTPDLKDRACAPGEVVGPDAAGRAWSGSVCRTVDVGGSEVCATAGRLTPSMYAQMLGAANVSYGLSRYGPVLVDLADCAFVRRAFQAVGEDHCPGLRAYSEQVYRGLLAVAAAGLLSVLLWVVHSRERRKRSDAKEMELMAPPPPFRYPLEEKALLHSPRRRPYM >SECCE1Rv1G0033250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:464979368:464979994:-1 gene:SECCE1Rv1G0033250 transcript:SECCE1Rv1G0033250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEDSKSARVTDNLNSALTIHQLTEEKNKLDADYDKLVKDVHQLVDMQQDRVVDFSYLQSNLTYQHQCRVELVAGMKAEMAKKDAYREKLNQKYELLCNLTSAQATVIQNLKLKNLKEKELLSEARQNLELKNAEFTNFEEKLTQQKLELKFQVADLLKGKEKLDEEKYMLHLKIGEIMKAEEKLKEKIKGIQAILQN >SECCE4Rv1G0292460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882625863:882631091:1 gene:SECCE4Rv1G0292460 transcript:SECCE4Rv1G0292460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLGKAVLDGALGYAKSKAAEEIALQLGVERDVDFIKDELQMMQSFLMTADEERSQNKVLTTWVKQVGILAYKVEDNLMDFGLHSEKKPFLGCIPRNTWDRRRIAKEVKELRAKVEDVSGRNLRYRLIRESSGSKPTAAEEQASIATAAMFGINEARLATLEHQKSSEVDLHQLITSKDVDLRVIAVWGTSGDIGKTSAIQEVYDDPKVLKMFGFCAWVRLIHPFSPQEFLRSLVRQFYESSHDGVEKAEQVTSVGANVLAKMEMMNQSDLVSVFNKVLCSNSYLIVIEDLSTIVEWHCIKKYFPDNKKQSRIVVSTQQAEIASLCTEKPYQVSELKQLSCDQTIYVFHKKNSEHQISMDDVRVAMYDTSNEARLAAVEEKPEVGLRHLIEILILIAYLFLVHAMHAPCSAKPISDSNTVTTSEKNTSSPISEIQEEDQEPHNAGEENVLNSTARKKFDRSRTPSLADELLFRKVISVWGMGGLGKTTLVRSIYRSQELGGWYSCWSIALMNLQELKQELARLLALKKCLIVLDDISSTYEWELVKQCLDNAERIIITTREKNIAKHCSREDKNMYSLQVLKFDAALDLFIKKVFKDNIVKNDLAPAMMEQARVTLQKCGGLPLAITTIGGFLATKPKTAIEWRKMNDCISTELEINPEFMTIKSVLMRSYDGLPYHLKSAFLYLSIFPEDHKIRWGRLMRRWIPEGYSRGMQGITAAELCRRYFDELLDRSMILPGEGIYSQKINSCQLHDMIREICISKAREENLVFTLEEGCCLSDTQGAIYVLESMLDLSHVRSLTVFGEWRSFFISDNMRYVRVLDLEDALGLRDHHLGQIGQLRHLKYLSIRGCRDILCLPNSFRNLRHLETLDVRDTTISEFPTIITDLWKLQHLRADGRDPFDPEGSGEIVHKYNAYVDHIYIHRGGGVVYSRRPHSYIGRKSHLCSLLSRGHVFLRPQVLDANLNRYDIFNLYRFREGATRWSLHALGFVDVSGRNGNATIKEFGQLTQLRKLKVGGLSYRNINELWSAIAGHNQLQSLSVKIGNSGEDLLDGCLGEDLLPPSSLESLTLDGKLVNVAEWIHKLQNLSKLVLTYSRLEQDDDIKAIGVLPNLAVLRLDYDSFRGTQLHFQRSSFPSLMALELMYLSKLQSLLFEEDAMPKLELLKIDAWDELKDISGLPALKSLKEIRLHGSENMKEEVERQVAEHMKHVRLN >SECCE7Rv1G0504270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722049111:722049986:-1 gene:SECCE7Rv1G0504270 transcript:SECCE7Rv1G0504270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSSKWWQGPMDFPPQPPPQQMQQHHQHQHPQLQLPAVTMPAPAPAPAVPAPAASPESKQQPQGQGQGEGQMGAAAGAIVPLRRPRGRPMGSKNKPKPPIIITRDSPDALHSHILEVAPGADVAACVAEYARRRGRGVCVLGASGSVVDVVVRGAAAPAPLPGRFELLSMTGTVLPPPAPSEASGLAVMLSAGQGQVIGGCVVGPLVAAGPVTLFAATFANAVYERLPLQDAADADVKPDLSTAPDASVPQEVQAQQPLAISQAMAMGAGYPDHRSPQYPWGGHQGGGI >SECCE6Rv1G0390720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:190728361:190729836:1 gene:SECCE6Rv1G0390720 transcript:SECCE6Rv1G0390720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKVANSSGSDNAEAKLSPSGLPVREVPGGYGVPFLSPLRDRLDYYYFQGAEEYFRSRIARNGGATVLRVNMPPGPFITTDSRVVAFLDARSFSVLLDDAKVDKTDTLDGTFMPSLALFGGYRPLAFLDAADPRHAALKRVMISLAAARMHHVAPAFRTAFSAVFDGADAGLGDGPVQFNKLNEHHMFDFTCSALFGGTPPSKAMGDSAVTKAIKWLGVQLHPLASKIIKPWPLEDLLLHTFRLPPLLVRRDYADLTAYFAEAAAGVLNDADKAQSGISRDELLHNLVFTAIFNAYGGFKIFLPHVIKWLARAGPALHVRLASEVRAAVPNGSDITVSSVDKMPLVKSVVWEALRMNPPVEFQYGRARQDLVVESHDAAYQVRKGEMLFGYQPLATRDERVFKQAGEFVPDRFVGDEGRLLGNVVWSNGPENSEPAEGNKQCPGKDMVVAVGRLMVAELFRRYDTFTADVKEMPLEPVVSFTSLTRAKAE >SECCE2Rv1G0085980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:199963570:199964517:-1 gene:SECCE2Rv1G0085980 transcript:SECCE2Rv1G0085980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQQVFGSSYVDMPFFAANGAGTAQGESRPRARRRRRRAARCGGGDGAGGEMDGGGDPKKRRLTDEQVEGLELSFREERKLETGRKVHLAAELGLDPKQVAVWFQNRRARHKGKLLEEEFAKLKHAHDAAILHKCHLENEVLRLKERLGATEEEVRRLRSAAGSHGASGDGGDAAGAVGACGGSPSSSFSTGTCQQHPGFSGADVLGPDDDLMMCVPEYGGYADSSVVEWFSLYGLI >SECCE1Rv1G0051030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:650945095:650946843:1 gene:SECCE1Rv1G0051030 transcript:SECCE1Rv1G0051030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPRRRTLLKVIVLGDSGVGKTSLMNQYVNKKFSQQYKATIGADFLTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNAKRTFNTLGTWHDEFINQAGPSDPKQFPFILVGNKVDLDAGSRRVVPEKKAKDWCVSKGDIPYFETSAKDDYNVDTAFLCIAKLALEHEHDQDIYFNSVAEQTPKTDERTSGCAC >SECCE1Rv1G0036440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:509499243:509508404:-1 gene:SECCE1Rv1G0036440 transcript:SECCE1Rv1G0036440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM REPEAT PROTEIN INTERACTING WITH ABF2 [Source:Projected from Arabidopsis thaliana (AT5G19330) UniProtKB/Swiss-Prot;Acc:B9DHT4] MEAEQQKPQRPRRKAQKRRIDDEAAASAAAAAAAAAAAAAAASAVSSPLGSADADDDNEDDEGSVGPEICCRQSQAAVAREVRTQVDALHHCFSWRHADRATAKRATSVLAELAKNEEMVNVIVEGGAVPALVCHLKVPPMVAAVEEEQQPRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPLLVNLLRRHKNVTNSRAVNSLIRRAADAITNLAHENSNIKTCIRIEGGIPPLVELLESQDIKVQRAAAGALRTLAFKNDENKTLIVDCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSECKVHIVQRGAVRPLIDMLQSADFQLREMSAFALGRLAQDTHNQAGIAYNGGLLPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFVKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLVYLMRVGEKSVQRRVALALAHLCAPEDQRTIFIDNNGLDLLLDLLVSVSSKHQQDGSVALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWDVFELMMRFIYTGSVEVTNELAQDLLRAADQYLLEGLKRLCEYTIAQDVNLDNVSDMYDLSEAFHAMSLRHTCVLFILEQFDKICTRPGFSQLIQRVIPELRNFFAKALRPSHRSVQP >SECCE2Rv1G0099360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:516228720:516229637:-1 gene:SECCE2Rv1G0099360 transcript:SECCE2Rv1G0099360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 40 [Source:Projected from Arabidopsis thaliana (AT1G67100) UniProtKB/Swiss-Prot;Acc:Q9ZW96] MRLSCNGCRVLRKGCSEDCSIRPCLQWIKSPEAQANATVFLAKFYGRAGLMNLINAGTDDSLRPGIFRSLLYEACGRIVNPIYGSVGLLWSNNWQMCQAAVEAVLSGKPIVQVSSEDAAADRTPPLKAYDIRHVSTSPAADGRLHKVAKPGRTRFKRASSASSHHNTSSDSNNKPNPQPQPRAPTAEEVLDRQHRKEMEEGAFQRAPSHESSDSRHEDPVEPHCQQEASADTEAEAGSHVSQAEQEQEQSTEPAAEHAEGVEKEEDEELGLELTLGFAPVAARPAGFHLSVRTAAEPAFVGLRFL >SECCE6Rv1G0402630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:486220712:486223818:1 gene:SECCE6Rv1G0402630 transcript:SECCE6Rv1G0402630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSPSMSSSGSPPNPEALMEQIKAQLAQAYAQELLETVGTKCFAKCVTKPGSSLSGSESSCVSRCVDRYIEATGIVGRALFSHR >SECCE3Rv1G0147420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15199312:15200131:-1 gene:SECCE3Rv1G0147420 transcript:SECCE3Rv1G0147420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTSTALSMKLLIDTKAQRVLFAEASKDVVDFLFSLLSLPVGTAVKLLGKEAMVGCVGNLYGSVEKLDSTYVQPDAAKDALLHPAVLSPAAGTKMFRLTEPSSGSGQSKGFFKCSYSGCTGYVTDTSGTMCPCGRGYSMTTAMHFIPGKVAASVGTKGFVQGIVTYTVMDDLAVTPMSSISSITLLNTFAVKDLSALQEKTVQIGYDEGLEILKASLQSKAVLTDVFLKAPTSA >SECCE2Rv1G0124120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:829870287:829872661:-1 gene:SECCE2Rv1G0124120 transcript:SECCE2Rv1G0124120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAWADAALLLASPSPSCSPLARPRHGRLRKGFPCTTRSKAGFQINHYQARSLKVKAKMDSGDGETQLAPVKFETPSGQLLAQILQSQPHLIPVTVDQQLENLTAEKIAQKEKAAKVPEDLLYKRIAEVKEKERQNTLEEIIYCWILFKFMENDISMTPALSPSGGPVRDISSLPNQEYKLQSIHSQDALEMIQNHLNLIMGEQAAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKTMKMLPPSLNEKLFTERDIKPNPFMDMESLVRMTPDGEMVDVEEDEETNPNKLRSYVSRLDADTLQRYATIRSKESVSLIEKQTQALFGRPDIKVLDDGSVNAKDGKTVTLTFTELTHLVLEAAGFGAFLWEAESHVESKYHFVNS >SECCE4Rv1G0293320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885602088:885602771:-1 gene:SECCE4Rv1G0293320 transcript:SECCE4Rv1G0293320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVALRYIRNDSARHNTLKKRSKNLMKKAGEVATLCNAKACVLVYGEGATVPEVFPSHAEAVAILNRFKSMPEVARLKKTMDQESVLSQRVAKLRDQVQKTRCELQDRETKFLLHDAMVSGHLPGNIEELTTMGWKLELMLKSLGERIAKKSGQLPVYQTQAPYIIDDMDMGPPTMYRVPPQQQEGWLERVRSEGDLGTQIYNGHNTGGHNSTNVGFFPSHMNM >SECCE2Rv1G0088360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:243443171:243444805:1 gene:SECCE2Rv1G0088360 transcript:SECCE2Rv1G0088360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclin-D6-1 [Source:Projected from Arabidopsis thaliana (AT4G03270) UniProtKB/Swiss-Prot;Acc:Q9ZR04] MDMPGEDEYVYGYEYEFDLENPFTSPADEPIASLLDAEGHHAPSVSAAASAVRRDAARFISKVRYDGELAVHPRVAYLALNYVDRFLSKGQLPFERKPWAPRLLAISCLSIAAKMQRVDAISMDYIQRDEEFMFDAVTIRRMERVVLGALEWRARSVTPLAFLGFFLSACFPPPRHPALLDAVKERAVDLLLRAQPEVKMAEFSPSVVAASALLAAAGEIAVAHLPAFQAAVAACPFVNSEKLRECGEVMAAVCGVVGVGVGPAASAETPVTVLGHGHYRSASSESDRTVGSAANVADAKKRCMGPPSQSQWG >SECCE3Rv1G0188020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:708218072:708218656:1 gene:SECCE3Rv1G0188020 transcript:SECCE3Rv1G0188020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSLLSSLATLGLGYSIAIALGFLVLLASVLLASYFCFRHGEGAGGHFAGAITPTSSSSHLSITVPRVLFVAEGSESPEAYSSAAAAASSPVGLDPAAIASYPKAPFSRATGGDAMCSICLSEYMDGEMLRLMPECRHRFHVMCLDAWLRRSASCPVCRSSPIPTPVTTPLATPLSELVPLSQYAADRRRSR >SECCE3Rv1G0171380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:270339001:270340312:1 gene:SECCE3Rv1G0171380 transcript:SECCE3Rv1G0171380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKPSYYLFVFIFAALLLSSIAGAQRKLLMNEDDAGSTMQQEDDEVLVVMQGGRILTQVKTNDYGTYDPTPTMAKPHFKDIPN >SECCE1Rv1G0045270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609130378:609131064:-1 gene:SECCE1Rv1G0045270 transcript:SECCE1Rv1G0045270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVLFFAVFLAALVSVSAAQGVLEQSLTDARCRGEVREKPLHACRQILEQQLTGRAGEGAVGVPLFQAQWGARERCCQQLESVSRECRCAALRGMVRGYEQSMPPLGEGHPHHGSSRERQQEQGCSGESSTAEQRQEVQGGQYGSETGGSQQQGGGYHGVTVGHGGQQQGQVLSHKRPQRQQGEGFSGEGAQQKPQAGRVRLTKVRLPTACRIEPQDCSVFSADQYY >SECCE6Rv1G0416110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:647072105:647078872:1 gene:SECCE6Rv1G0416110 transcript:SECCE6Rv1G0416110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDACGPLPPRKSDANAHDHDDAPPLTQRRPATPRRHPSPNAAAPGTPRRHPSPNAATGVAGSQAKRSQSTERRSGSPARPASGAGGGGGSRAAAPSRISAPTSPPSSPSSSSSSSSTPVRDAVSDTQSAPRRLSGGGRAPDGLWPSMRNLSSSLQLESRDRRAADQARARDASAAADRKRSPMRGRNGGEQPENPHARVIDHHRWPAMMGGRVSGSAMSRSVDLTDKLHRPALSSRGGASPKRTTSVSSAASALSRSIDLADKIDRLVSSSRGEESPRRSSASNGTADTSKSITDGKDARPAALAVPSRGMISPVRTARALSKSMDLTERDYSMLPSAISSPGISPSVSVSSVSNATSRTTKSSEKPNGRASSPASSRGRSPRSSAASGGSIGGISKNTDAPEKDKRPASSRGTSPGRRLASDGVNAVVKNMDFAEKDSRTVISSVPSRAVSSPRRRLASDAIDVIPRSSDISEKDNRPSTSSSLRGVSPRKRLVSDGFSGISKGVDFADKASTPSTSSAASRGVAPRTQVVSDCVGTTSKGMDSADKDNRPSTSYAASRGMSPRRRLASDGISSISSNINFAEKDGRIVASSVAHRGISTIRRLASDGVDIMSKSMDSPEKDVRPSTSAGSRGLSPRRRLVSDGVNVIPKRMDLSEKDTKPATVSAASRGVSPRRRLASDNVEAISKSSDSVEKETRSSASSMASRGVSPRRRIVSDSAEAISKSSDIAEKGTRPSTSAVALRGVSPRRRLASDSVEAISKSSDFVEKETRSSTSSVASRGVSPRRRLASDSAEAISKSSDFAEKETRPSTSSVASRGVSPRRKLASDSVEAISKSSDFVEKETRSSTSSVPSRGVSPRRRLASDGVNTVLKSTDFAGKDYRPSTSAAASRGTSPRSRVASDSVDAPSRSMNFADKESRPSTSSGGIVDPVDNGSEQSISSAASGGTSDSILDGTNAQVEAIQFAEEVNSVTPDGCSDDTSESMYSGNVGTGEASLSIAVQDRQPSRSVSDVSEDMSQSVDATQNHNRAISVKVPSRGTSPRRRLASDGINTMLKSMDFAEKDKRPMTMSVSSRGMSPRRTARVDSANIMSKSMDFSDKCNGQISSIIPSRAVSTRKILGPDAANAMSRSVDLTDNIRQPVSSTVQPSRVSPRKMSSAYNRVKGPELLSGDIGSTGSANGDGSQEENASSSPDAPSNNSERFAPPKQLARTLSSPSRGLLRPSSPTKASSSSLASRRLPSPLRIRPSTPVSPCSSGRSDSPSSILSYIGDATRGKKSPSHMEDAHQLRLLYNRNLQWRFTNAYVDEMLSIQKMGAETMLYSVWDANSRMSDSMITKRSHVERLRQEVKLGIVLKQQMDYLDQWAELQTDHSTSLSGAIEALRASTLRLPVTGGAKADVLTVKNAVSSAVDIMQAMGSSVCNLLSKLEATHSLVTELSAVAAKESTTLNEYRELLGTAAALQVHESSLRTQLMQETE >SECCE6Rv1G0453580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:883902739:883914713:1 gene:SECCE6Rv1G0453580 transcript:SECCE6Rv1G0453580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRILVIGATGNIGRHLVTASLNAGHRTAVLVRPATVASDSDKAKLLASLEARGVTVVYGDMNDHGSLVAAIKQHGEVVISAVGHGRPEELDGQIKIIQAIKEAGYVKRFVPSEFGCDVEHHAEHTMEPAKSMIVESKLRVRRAIRDAGIPHTIICSYWAIGLLFSTLGNSGENGPLSTGVDIFGDEKSRAVFVDEKDMSMLTIRAVEDPRTLDKILHVRPPANMRSFGQLVHLLEKKTGRTFERHYVTEHELAKKIQEAPFPLNFQLAMVHSTLVHGGARERAVNPDVDVEATRLYPDVQFVTVEECLDGLLL >SECCE2Rv1G0132290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:889022417:889029707:-1 gene:SECCE2Rv1G0132290 transcript:SECCE2Rv1G0132290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPVPAQLRRCPCSAPLWPLSSLHRRHRRASPFVGGRRQGYSHSPGLGIKDNSALRPGVYLNFNVQSSAQEWKEESKRICSIKTTNAVMNNVYNGYALRPGNLHHDPLEDCKTSNQSSLYSVRERMAPNSLANRHANMELAKQHAMSPTAGAVSALTSAVDYDIKPLNRSSGSEVEIQWPNGSKIDASLPNIHQVKKGLQFDGKADGYDEVEHECTAKTVVNDDIKLPNRPSGSEVEMHWPTGSEIDDSLLTTGKVKKSPKIRKVKKSPQFNDLAIDGYNEAEHECTAKTAVQPPPAKAPLSKEAKDAREALATIYDKVLVVDTVESARSVVQLLTTKYKSFIHACDTEVSNIDVKQETPVGHGDVTCFSIYSASSDAEADFGNGKTCIWVDVLDGERDVLMEFVPFFEDSAIRKVWHNYSFDKHVIENYGIKVAGFHADTMHLARLWDSSRRLDGGYSLEGLTNDSRVMGVVPKELQKIGKRSMKTIFGRKKIKKDGSEGKITTIDSVEVLQREDRELWISYSSLDSMSTLRLYESLKSKLEKKHWTFDGCPRGSMYDFYEEYWRPFGAILVKMETAGMLVDRAYLSEIEKVAVAQRKVAADKFQKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRRNPGEFLPKSRALKVPNDVNTVTEGKKAPKYRTIEICSIVEGLKPEVFTASGWPSVSGDALRSLAGKLKTDLGYTTDDSEDDEYVSDSEISVDDVEDTTSYGTAYKAFGGGKEGKEACYAIAALCEICSIDSLISNFILPLQGNRISCKEGRIHCSLNINTETGRLSARAPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLANCRSMLDAFKAGGDFHSRTAMNMYQHIREAVEEKRVLLEWDPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAAGLARDWKVSKREAEDTLDLWYSDRKEVSSWQKEQKESAYEKSEVYTLLGRSRRFPNIASVTNKQWKHIERAAINAPVQGSAADVAMCAMIEIERNTRLKELGWTLLLQVHDEVILEGPSESADVAKAIVVECMSKPFYGTNILSVDLAVDAKCAQNWYAGK >SECCE7Rv1G0482160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:301888523:301891207:-1 gene:SECCE7Rv1G0482160 transcript:SECCE7Rv1G0482160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small and basic intrinsic protein 21 [Source:Projected from Arabidopsis thaliana (AT3G56950) UniProtKB/TrEMBL;Acc:F4J0V0] MAPAPAPASSGRIRPWLVVGDLVLAVLWVCAGALVKLAVYNVLGLGGRPEGEAAKVSLSVVYMFLFAWLESATGGASYNPLTAISGALASRGGLALYLFTVFVRVPAQVIGAVIGVMLMRFAFPKVGKGAALSVGVHHGALTEGLATLMVVMVSLTLKKKEQGFFVKTWITSIWKMTIHILSSDITGGIMNPASAFAWAYARGDHASFDHLLVYWLAPLQATLVGVWVVTFLTKPKKTKEQEADKNKNKKE >SECCE3Rv1G0200550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:854514698:854515249:1 gene:SECCE3Rv1G0200550 transcript:SECCE3Rv1G0200550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIPPQATYIRAKKVKEERAWEAPRPGYAKLNTDGSFVMESGAAGGGMILRDDTGEIIYTACRELHTCNTALGAELAACKEGLELALHRTELPIMVELDSTEAVAMINRREEDRSVYRGLVQEIKRLAIHDEREISFASCSRSQNKCSHELASYGRCTPRTAVWFYSGIESVVRLAEAEKPP >SECCE2Rv1G0113390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:722346896:722350488:1 gene:SECCE2Rv1G0113390 transcript:SECCE2Rv1G0113390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROW1 [Source:Projected from Arabidopsis thaliana (AT1G04020) UniProtKB/TrEMBL;Acc:A0A384KH31] MEGMRRFVNPLKLNVQKMELELTCPVCLKLLSAPTMLPCCHTCCSKCATTEAMNGHSCAICKLAYHPQDLRPASQIEALVNIQRSISSTVSSMSLQLETQADIHVAKATSQGTPESGTTSSYNLVASELPCSQASGPAIENVDGVQAMDPAPGNRTNDVAVEPTVIVQTGPCGSQTPVGSGDLECDSNDLEGELITSGSPPQSTLKRGPNVTDDSTRGLKRQKSLDQSEKQPSTNAAWKCEFCHSSEISECTGPLLHCLDEKLVKDDQAWKSNVLHVHEKCAEWAPQAYFEGDMVKRLESELARSSKMKCGICGLKGAALGCLFKSCRKSYHLPCARGVSGCKWDEGEFVMLCPTHSSKRLPCERSKSKNKKAAQLQQPSSETALCDSNSPFPMEMNELWTSLGPTSEWVICGSALSDQDKEILDQFEYHSGVTTTNNWSSNVTHVLANTNEHGACGRTRKVLLAILTGKWVVNVNWLNACLKAKKPVPEEPYEVRSDVHGAIDGPRTGRLRAMQKAPSLFSGLAFYFIGHPLTFKVELDELIAAAGGSIVGKADLSSTSLIVYNKEIPNGCNEDAVDEVFSKREAEALDLATTFGCRVIPHTWLLDSIASCTLQPIGHCI >SECCE7Rv1G0495610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:599724701:599725063:-1 gene:SECCE7Rv1G0495610 transcript:SECCE7Rv1G0495610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSFAKASSLVVLLLLALALQQLEADMSMSCSGMLSDLSPCLGFLQGEEDYPSADCCDGASSLVAAAATTADRQEACECLKSASGEGSAEATAARDLPADCGLSLPFTISPDVDCSQ >SECCE3Rv1G0178490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:504685041:504697013:-1 gene:SECCE3Rv1G0178490 transcript:SECCE3Rv1G0178490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRFSSGSGDVRSGSSLTVGERLCAAFLPFAVFFVLTDCLADICPSSSSSSSSRLRREPSASSFLTAKKKSHLFRRRVGPGCISLDFRNLARLAEESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFIDRVFDLFDEKKNGVIEFDEFIHALSIFHPCSPVEDKINFAFKLYDLRQTGFIEREEVMQMVIAILNESDMKLSDELLEAIIDKTFEDADTDRDGKISQEEWKEFVLRHPNLLKNMTLPYLRDITTSFPSFVFNTAVED >SECCE3Rv1G0196940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:813662755:813667645:1 gene:SECCE3Rv1G0196940 transcript:SECCE3Rv1G0196940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKAAASKADVAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQSVQPKPDPTKTAAKKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSILCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDIYSDKRDGDTMDDWDQETLEKVIASKNAEYQQNKPTDIVCKHFLDAVEKKQYGWFWVCPNGGKECRYRHALPPGYILKSQMKALLEEESKKVAIEDEIEDQRRKVQTTTPMTTELFMEWKRRKAEEKEAGLAALRADRAKNDRMSGRELFMADASVFIDDAEAYEVYERREEPQANQDQGKKSQDEGPSSSTSNGKEADEEPDDEDIDDDDDLDLDELNELEASLSRTSIQIREPGEGTSS >SECCE5Rv1G0365870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:809720105:809721856:-1 gene:SECCE5Rv1G0365870 transcript:SECCE5Rv1G0365870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDGPVAAVAAPGLVVSFGEMLIDFVPDVAGVSLAESGGFVKAPGGAPANVACAVSKLGGSSAFVGKFGDDEFGHMLVDILKQNGVNAEGCLFDQHARTALAFVTLKSNGEREFMFYRNPSADMLLTEAELNLDLIRHARIFHYGSISLITEPCRSAHVAAMRAAKSAGILCSYDPNVRLPLWPSAQAARDGIMSIWKEADFIKVSDEEVAFLTQGDAHDEKNVLSLWFEGLKLLVVTDGEKGCRYFTKDFKGSLPGYSVNTVDTTGAGDAFVGSLLVSVAKDDSIFYNEAKLREVLQFSNACGAICTTKKGAIPALPTTATALDLISKGTN >SECCE4Rv1G0250780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:596235199:596236285:1 gene:SECCE4Rv1G0250780 transcript:SECCE4Rv1G0250780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSLLLPLALLVLAASSAAVAQLEIGFYSKTCPDAEKIVGEEMAKIIAAAPSLAGPLLRLHFHDCFVRGCDASVLLESTDGNVAEKDAKPNKSLRGFGSVERVKAKLEAACPGIVSCADVLTLMSRDAVVLAKGPFWPVALGRRDGRMSSATEASKELPPASGDVPLLAKIFASKGLDLKDLVVLSGAHTLGTAHCPSFADRLYNTTSENGTSGLVDPSLDSKYADKLRLKCKSVDDRAMLSEMDPGSFKTFDTSYYRHVSKRRGLFRSDAALIFDDTTRDYVQRIATGKFDGEFFKDFSASMIKMGDVGVLTGAEGEIRKKCYAPN >SECCE5Rv1G0355060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:728778050:728779619:1 gene:SECCE5Rv1G0355060 transcript:SECCE5Rv1G0355060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMRKLYVGGLLPSAQQEELKEHFSRYGEVLCVRVVRDWESDQCRGFAFVEFADDEGPRAALEEKEKANHVFGGRTVDVKRARTRPMRYQNEQSFYQYASNQSPMQSPMQSPVPNQWYTQSSSNNSYVGNVNRSCDTNKVFVGGLRGNITKEHLQTYFEKFGNITDVVVICEGPTQRSRGFGFITFDSVEAMTKVLESKFHDLNGTKVETKRAIPKGHSYYQDRRQYSPMMWDGNNSPIGYSGLYPPHMQYIVNNHYLMPVPQYMCSPVGEHGYMMNGGGPLTRQGPIYTGYGAPLGYGYDYVNTNRLGVQMVEARNDNKVIEDVTEQQQVDLPATNNISKQETLGIE >SECCE5Rv1G0373620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:859958444:859965458:1 gene:SECCE5Rv1G0373620 transcript:SECCE5Rv1G0373620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQAGAASGSGSGSGDPDPPPAPPEHAAGEGGSSSAAAARLPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFNSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEENADDNSRMEKKVESGNSSISAEKKTEQQSENGLPENIVSVLKEDIIQVQDYMPESRTSEGLSGADSHEVTSSDSEEKILKAEGNGQKDLDQQKNNSAKKDKPAAEPIVVPIVLRMSDFDHKALLEEWIATRAMRDNCLPQDHRKLINNLKLIQDYLCSFEAQGLTVVDISANSFPQTLDWLHGYLLQCIERGLLAACSEGPKQ >SECCE6Rv1G0398630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:423877203:423887238:-1 gene:SECCE6Rv1G0398630 transcript:SECCE6Rv1G0398630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGSERHTAQVVGADGEMDGPALERFAAAAGLVQRGLSYAVVSIFGPQGSGKSTLLNRLFGTSFTEMDALKGRNQTTKGIWVAEAVGIEPFTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINLWCHDIGREQAANRPLLKTIFEVLMRLFSPRKTTLLLVIRDKTKTPIEYLAQALKEDIHKIWESVPKPEVFKKAALSEFFNVEVTALSSYEENEVLFKEQVGKLRHKFIHSIDPGGLAADRRAVIPASGFCISAMHIWKVIRENKDLNLPAHKIMVATVRCEEIADEKLRSFMLDEGWLELEAAVTSGPVQSFGMKLSDIIDFYLLDYDVETMYFDEGVRTVKRQQLQSEIVNHTHPAFETVIEHLHCTVLSKFKDDLEQLLRSGERFAASARHCAQSSSVEFEAGCRDAVVKHADWDATNSRNKLQQSVEVHTACLRIAKLDELKATYEKKLLDALSGPVQSILETGERDSWPSIRRLYRRETEHAILTFSDSLSEYELDQKTSVEMILELREHARCTVVKKAREEAGNILIRMKGRFSTVLSHDEDLMPRTWTTNEDIHAITREARLAALSLMSVMAAVRLDDKPDKIDRALMVSLLDGGPLCWKRSTEFTSDPLASTTWQEVSPKDTLITPVQCKSIWRQFKAETEYPVAQAILMQEAHRRSNNSLPPAWTILVLAILGFNEFMYLLRNPVYILGLFLALVLSYAVWLQYDIRAYFRHGTVSGILTISSSLLPTIMDTVVAIINMSHREKHSLHRSRRAPPYHAQSLSDQSQQHAEVHYHASPDPLSSVDSSSGSDS >SECCE2Rv1G0130470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:876584632:876588149:-1 gene:SECCE2Rv1G0130470 transcript:SECCE2Rv1G0130470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKTWLWRKKASERTVLVKNKPNISDKEQEEKIARLERSLQGLNEQLSFAHAECFEKDAILSKQAKVAEEAILGWEKAEAEAIAIKTELDDTLHQKAMVEQRVCQLDEALNVAAEERELLIRDTAQIISCEKDKVWNLEQNVAEKENIIASLDDEYSRLSEILTAKEKIILDLTESNAVKESDLKDLAAKLESTERSNSSLRYEVCMLQKQLDIRSEERKCNLKSADASHKQHLESVRKITKLEEECKRLRSMVRKRLPGPAAVARMRSEVETLGSSTTHTRTGKLNSPPSFNSYDQMQNSSNASHVSPSLLARLHVTEDENKAMKESLSRKDGELQLSRTMLARTTSKLSQVEAQLEDLSGDRATTELAKRSPTVVENPLSSISEGGRNEDNVSCSGSWASALISELEHFKKGKLTTPSCKSTRMSDLSFMDDFEEIERLAMVCDDKPSKSYDVKREATESAGKDLVPVDGLSETTNQVHPHKTEKGLLKLIELVEGVIQRSSKDYSSKLVQSGGNMGDQSTLITGYFAHAFLWKTSELTCVLRHFIVVCNELLYGNTDVERFVLEVSLTLDWILNHCFSLQDVSEMRETIIKHLHLDSSDGHEAVAAKQIGVQAINGIDEPSTPNSVQMSLVSASSPMDIGLKADNDTDSIRNEVSFSKFHASEGKSSSLRAELNALKETGNLVAHGVDGKSTVSELDKHKSIANSEVNKGNLQGSSYSTEEDPKCVSGNKDKNVRTQLEISTASEKLIECQETILNLGKQLKALASPKDATSVRPERKPRSKSLNEMLAVDDGGFDDLSSPKTKEIICSEIRPPHERNFSADEGGGDSESCYSHPTPVVRPAKPSGVSGTCKKETAAKAVSLAVVPSKQKGNPNLLKRILTGRRRDAIIKPKVVLTA >SECCE6Rv1G0407040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:553575250:553575657:-1 gene:SECCE6Rv1G0407040 transcript:SECCE6Rv1G0407040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSAVLLAAGITLMLVVHILVVLWVLRRGMTARVAEHAEEDAGLTAEELGELPCHEFKEGGAGECAVCLEAFLAGDRCTVLPRCEHEFHAECVASWLRKSRLCPICRAEVAGPPKEAGAVATEPTEVVVEIPAA >SECCE2Rv1G0130350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:876065296:876066150:-1 gene:SECCE2Rv1G0130350 transcript:SECCE2Rv1G0130350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGTGTTDIVIPRAAPGHAGSKRTLAVYDPAAAQQRADAARLSADAGALVPSPVAVGVAGEPINAVPLSAVAPKERAEPPGIRAPKGLLAYLSLRIDLPVHFIVEKTVTATDVDPQQNRFRLPIEGVMHNLRPLLSHLDREAANLLHVEAPRPRLPKLPKVPGEKTKKRRGKEHGGLPVLVIERYAGIRELQLTRWDSSGVCVIKGEGYMDFINNCGFGVGDVVEIWAFKQRAMRLFGVDVYEETYPESPLYVLFSKKGQMLPPPHAPVASDGGEETAQDCAP >SECCEUnv1G0560470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:347789441:347791427:1 gene:SECCEUnv1G0560470 transcript:SECCEUnv1G0560470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEALPLKLSVGRDEDRISALPDELLLRILERLDLPEAVRAGAVSKRWRHLPHQLSLLTLHISRSQVETMDAFTAALMSAACPPADRNCECQRSRAIQFQALRLGFYLSAPHLTSIGSAVADVVTHGKTKCLEFRICPPPGDYTVTQYADFGEQFMSFSRACQVAFRWLTRLCLKNLVFGDTDVTDLITACDKLECLTLRSCTLVDEHSALKIDTPCSGLEELEFIHFVCARIELISVPKLRRVSCFGWRFENPPVRFDCVPELRDVDFGCRARTWQAPFVLSECLSRSVPNLSKLHLDFFGQMIWIQPEQSKQLTGIFGNLTDVRLLAIFPECDLSWTLFILEAAPALQSLSLSRDRHSCVTFEDTAEKTNVVWEPSKDLKHLNLKVLQISGFKEEDKVANYIRLVMKCAEGLKRIVLLGELRLCKNCNDRERKGQVDEARRRRVKEQLAHGSSSSVEVIIR >SECCE3Rv1G0182530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:631173589:631174665:1 gene:SECCE3Rv1G0182530 transcript:SECCE3Rv1G0182530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILLSASTCTAESEQGEHLFKISDYSIHRGMGVGCYIESSWFTVGGHDWCLHYYPDGYTEDGKDDMVVGLELMELGRKAFTARVSSTISLFHWTTKRFSLSSSVAMSAKLRDPNYFVTHNINRGEMEASGYVVNDCLTIKCALTVIKEPYVFEEEAQVQVPPSEITGQLGKLLETKEGADVTFEVQGEEFLAHKLVLAVRSPVFKAMLYGSMMEKDSSCIVIDNMQPAVFKFLLHFIYSDSLPAADDDDLLDGDDKKEVIRHLLVAADRYGMERLKLMCESILCKHLDAESVATTLALTNQHTCSGLQDACIRFIASSSTKILDDVVASRGYNRLKRTCPDAVMKMWEKASRLRKT >SECCE5Rv1G0346850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:664212409:664213390:1 gene:SECCE5Rv1G0346850 transcript:SECCE5Rv1G0346850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGAKHARFSLQVDMQCRCMGCVGKVERAMAAIGSFTGVETSVGDVDAGVVAVAGKVDPAELCLWLKRKTRKDVKILSPHPPAENRKQKMILVLGSSSGTGDTTPSAPPLPQHFSSALTPSGVQSDHEDLHLIEEKIRDLERARDALKVRSLKNELTAAKSELKQSREVISNSKKALLDSALSQLDAFKKLESLTQVTM >SECCE2Rv1G0112240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:707544786:707545811:-1 gene:SECCE2Rv1G0112240 transcript:SECCE2Rv1G0112240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASSMRISPPQEGEAKTNEELYQHFTKLVSSWPCSPALSNYPLYRHENGWHGSLAPMVGTMVADACFAARPSDIIVATLPKSGTTWIKALLYSTVHREEHPADSPDHPFNTHGPHECIKFLEYQLYTHNRIPDLDELPDPRLFATHVPFVSLPRSVVVSGCKIVYVCRDPKDTLVSQWNFANKFRVRDGLEPLSVETAADYFCDGVSPFGPCWDHVLGYWRAHSANPEQVLFFRYEEMSRDPAAHVRRLAEFVGCPFSVEEEEGGAVDAIVKLCSFEHMTGLEATKGGKTELTFGVVENSSFFRRGQVGDWENHLSPETAGKVDAITEAKFRGSGLSV >SECCE2Rv1G0139830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929153730:929156199:-1 gene:SECCE2Rv1G0139830 transcript:SECCE2Rv1G0139830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSSPQTLDGVLWAEAASSAALRQYYALPKKGKPQGRESTVLAAFLLSSPENPLNPTVLSLATGTKCLGAARLGPRGDLVHDAHAEVIARRALLRLVYAEIGVDSPPSWLVSSGADGRWRLKDGHQLHLYITQLPCGVMPVPPSPLEVRREQLDTMVNGCSDVGFVQRKPGRGDTTLSVSCFDKITRWCVVGIQGALLSHILEPLYLSTITIGQSSDGAPEGFCIEDNVVKVLGARLSCLSSKFPDPFKPNKPLFFEAPVPPKEFQQTSGDVPPLTCGYSICWNKSGLHEVVLGTTGRKQGTSSKAASSPSTESLLCKIRSAEAFISLEHPLVTKFRHEKLSYRAIKDMACEYQQMLELLRKAPFFGRWRAKPTLVDSFTVPRS >SECCEUnv1G0553680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:276593301:276593714:1 gene:SECCEUnv1G0553680 transcript:SECCEUnv1G0553680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLVNYQHPDDANQAWFPKLFVVLGITVAVLSILMLLADVANRQACKRAVYNGACALTLPMKTLWLVVYIVDAVLVFLVIPFAMFYYEGDQDKSVFFLPPYFLYPPPFDSLTY >SECCE2Rv1G0113590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:724403287:724403823:1 gene:SECCE2Rv1G0113590 transcript:SECCE2Rv1G0113590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSACLATLMAIALASLSPATAILVPGHDPGAAAVAPSWTQFLRAHNDARGAVGLPPLTWNWTLQLDAMQYANKLRVPCSLSPVPWAADGVYGRNLYRASGHHNAAHAVGAWVGERRWYDHRANACAPGKTCGEYTQVVWNTTRELGCGRRTCQNGRDTVAVCDYFPPGNYVGVPPY >SECCE6Rv1G0448900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:858959860:858960777:-1 gene:SECCE6Rv1G0448900 transcript:SECCE6Rv1G0448900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAFLLSLVLVSRVACYSASPSYRCDWCPRRSTASLLPPDAADATLTGASCGYGGPAMAVKLADGGFHIAAVSAGFFRRGRACGACYQLRCRGRSACAKDGVKVVVVADVPETNSTGRGGRFMMNKHAFAALTTAGGGGQLASLVDAAVDVDFRRIPCVYKGKNLVVRVEETSSRDEGLLAVRFLYQGGQTDIVAVEVAQAEIPGATQSAAAPPPTTWQYMTWREGSPGVWRTSRAPVGPLRLRLVVTAGSGGKWLRTEGVVLPAEWHAGGVYDTGLRVTDVAANTCGGVSCSASGDDDEEE >SECCE6Rv1G0411150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:605690193:605690942:-1 gene:SECCE6Rv1G0411150 transcript:SECCE6Rv1G0411150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLERGGGFQLPNSEQENSLFLRALISVVSGDTAAPALHPEPSTPPFAAAAPAPARACARCGADGCLGCEFAAAAATTGSSSEGEECSAAGFVKNGGVGKRRASSKGSKFRGVRQRPWGKWAAEIRDPHRAVRKWLGTFDTSAEAARAYDVAALEFRGHRAKLNFPAAAASSSATASASASASTWAAAQPQRQHVAMPESPREKCGSNASSPAHVPGPGRPVAREQEIWDGLHEIMMMDDGNFWSKP >SECCE4Rv1G0264230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:719624913:719625977:1 gene:SECCE4Rv1G0264230 transcript:SECCE4Rv1G0264230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEANCRICQESFSTTVNALTEPIDIYSEWIDECERVNTVEDDDGA >SECCE6Rv1G0410500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:599000179:599004962:1 gene:SECCE6Rv1G0410500 transcript:SECCE6Rv1G0410500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASRTSEARRDAVFARWVIFSPARSRRPTDLKSHGPANPSPSPDDGAPKPSCPFCLGRESECAPEIFRVPAPDASQPWRIRVIENLFPALRREAEPPAPEDEEPVGAGECAVRGFGFHDVVIETPRHDVRLWDLDAEGVGDVLLAYARRVQQLAEHPAVKYVQVFKNHGASAGASMAHSHSQMLGTPFVPPSVSSRLNCMKEVFEKSGKCSLCAIQSKDILVSETDNFSAFVPFAASYPFEIWIIPRQHSSYFHEADQDKVLDLAGLLKSMLQKLCKQLNDPPFNYMIHSAPFGLSSSCLPYTHWFLQIVPQLSVIGGFEMGSGCHINPVFPEDAAKILREIDSSV >SECCE4Rv1G0241830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:462465346:462467344:1 gene:SECCE4Rv1G0241830 transcript:SECCE4Rv1G0241830.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSASGAEPANAGIEGSADPCSSAGGGHRLSVHQIVGGGKAADIILWKCKHATVGVIFGATIAWWLFEKSELSFLTICCDVLLILIVVQLIWVKISGLLNKQPRQLPELILSEEMVNNAAASFRVKVNNMLMIAHDITLGKDFRLFFQVVSVLWLLSVIGNFYSSVTLAYIGTIALVTVPVLYHRHQEHVDRYAGMVHRNISRHYKIVDENVISRLPRSFIRDKDD >SECCE1Rv1G0033390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:467193841:467194614:1 gene:SECCE1Rv1G0033390 transcript:SECCE1Rv1G0033390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ABI4 [Source:Projected from Arabidopsis thaliana (AT2G40220) UniProtKB/Swiss-Prot;Acc:A0MES8] MEHKPNPAVLATTTTEAASSSDVGSSVSSGGRKGKAVGKGGPENAKFRYRGVRQRSWGKWVAEIREPRKRSRKWLGTFATAEDAARAYDRAALLLYGPRAHLNLTAPPPLAPGADSHPRPLGSSASSSSSSAPPPLRPLLPRPPQHSGGAGAVFQHHHHNQYRFLPLRMTPPSTSPPLYYASTATASTVTTTVAPPHETTASLPVASSSTVASQDGAPAEAGEAEVTPEWYLAAEEEDYEAALLWNEPDPLFDIFSK >SECCE6Rv1G0442030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:813780797:813783041:1 gene:SECCE6Rv1G0442030 transcript:SECCE6Rv1G0442030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Ubiquitin-NEDD8-like protein RUB2 [Source: Projected from Oryza sativa (Os06g0650100)] MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGQ >SECCE3Rv1G0146250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:10699996:10702189:-1 gene:SECCE3Rv1G0146250 transcript:SECCE3Rv1G0146250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDHGGKLVKSLRGAAHKYVGVGFFLGFFLVLLTYFTVSEQFAIAAPNAIRRTSPGHQTPTAPAVADKTVQQQQLPVKKEEAPLPEQEQVPPVDEEPHRETEEPNSETEEDPKPTDEASKIVTTTAEESAPAKKPACDIQGPWASDVCDLAGGSGGVRIHGSTHTVLVPPTIESGSSNPNPQEWRVLPYSRKHMSGIKEITVRELPSAADAPRCAVTSQVPALVFAMGGLTGNYWHDFSDVMIPLYLQASRFDGEVQLVVTNIQPWYAGKYRHIIARLSRYDVVDMDKDDQVRCFPSAVVGIRMHKEFSIDPAKDPTGHSMPEFTKFLRSVFALPRAAPMRVTAGSNKKPRMMIISRRHPRKLVNVAEVVALAKRIGFEVVIGDPPFNVDVGDFAREVNAADVLMGVHGAGLTNSLFLPTGAVFIQVNPFGKMEHIGEVDFGAPAVDMGLKYMAYSCGMEESTLVDTLGRDHPAVKDPESIHRSGWSKVAEYYLGKQDVKLDLQRFEPVLRKAMAMLRE >SECCE6Rv1G0413570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:624434295:624435566:1 gene:SECCE6Rv1G0413570 transcript:SECCE6Rv1G0413570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSTFLLLLCASTTLATGTGVRIPLKHVDANANITTAERLRRAGVRLHRRLEVFSGVAVPFTSRGVGDYIAEFSIGDPPQAVQAVIDTSSVLVWTQCMTCRPNCFAQNLEHYDFSLSSTGRAVPCNSSLCADDNAYERQRCAGGHGGTCTVRANYGEAGVAGVLRTERFTFGTTTANITFGCITETNNSVQMALDGASGLVGLGYGDLSLVTQLGATRFSYCLTRFFGGNASSSTLFIGPSAGLSGGTPVTSVPYRNLGVPFSSFYYLLVSGMSVGRARLNIPFPAMGVIVDSNFPFMSLVDVAYKELIQEVSRQLGGHLVASPVDWLELCLAGADVGGLAPPMVLRFQDGGEDLTIPAENIWAPMDRESTSCMMVINSATLEPPMNRTTIIGSYMQQDMHVLYDLENQQISFQKAHCNTL >SECCE4Rv1G0216980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13895547:13897353:-1 gene:SECCE4Rv1G0216980 transcript:SECCE4Rv1G0216980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAGGHGGGRVPSTQFAKHVVAGRWFMFFASILIMAAAGGTYIFAIYSKAIKSSLGYDQQTLNTLSFFKDVGANVGILPGLINEVTPPWVVLACGAAMNLLGYLMIYLSITGRTARPPVWLMCFYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAIYGTDNDGADLVLLMAWLPAAISLVFIPTIRIMPRGAPAAAASSSRERKAFFYFLYASIVLAVYLLVMNVVELEVLKFPRPAYYVTATVLLLLIFFPIVIVVQQELKTYLEPPLPTQSHSQSPPCTVTPAATTCFQDVFRPPARGEDYTILQALFSVDMLVLFVATICGVGGTLTAIDNLGQIGQSLGYPQRSVTTFVSLVSIWNYAGRVVAGFASEYVLARYKVPRPLVLTAVLLLACAGHLLIAVGVNNGLYAASVILGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGAVASPVGSYILNVRIAGRRYDEEAIRQGGRRGKDLTCIGVRCFRESFYIIAGVTLLGALVSLLLAWRTRNFYRGDLYGKFKADQLAMGPVPAREERPEEATTKDAVATTNGSKIGAAH >SECCE5Rv1G0302430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:38668233:38670276:-1 gene:SECCE5Rv1G0302430 transcript:SECCE5Rv1G0302430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHNKVYNRYVKRTSKFMAHDEAEGCNIGDRVRLDPSRPLSKNKHWIVAEVLRRAKMYVPPPPAPKASGATTQQSSSKPSV >SECCEUnv1G0530010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:10370322:10370797:-1 gene:SECCEUnv1G0530010 transcript:SECCEUnv1G0530010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRHVVVVLLLILLFLQLLPLILAATAAAATHGNAEAAITKERGSVGAMMRQTLGSRPPSCEGQCRWACGGRRCEAVQVPVTPRDLGQELRKKEKRTSPAAGRGEGALLPSSYDEHSNYKPLGWRCKCLHS >SECCE1Rv1G0036420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:509478681:509482401:1 gene:SECCE1Rv1G0036420 transcript:SECCE1Rv1G0036420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASHGQTAAAVPYCDLFRCFCRWRLYCSDSIQRYAPGMDYRHIPDGADDRPQWSVLVGCTCADEHLHNLRLHRFRVTSSGRVMGHSNDLLEPFFTVHPEDKDNTVYVEATAALASGGRRLDVICAHYPPPHLIRADKLDMPPKAVAVDLVNKSTSQLEPLPFLDGSYHAVSAHGELWAPAVLTERSEDTSSWRLILHRLAKGGDGWEWEEVASHHSPGRLKPIRQYMFTWLPGYAVIDDKFILLSLVDGTFFCFNCATGSLTRVRTTDKASNFECISGRAVHVQEEGAIYFINGATLFAYKYSPEEEEDAPLDPPVMVDMLWPYYNEGRGFVVQLAGQMLCAVWTNMYEACSCAARHAMITTFRVRSAAGSDGEGSPVHSIEVLHSTCRRVDMLREKSYPYHHYDTFALLQAYTDDAYKVDDTSLRAMFGGFDNPVEDSQELLKCCRNYLKRKGELVWTADSLVDCKVVTKCDVYFICQVDQHSVLYQISTTGGKLRCDASVLEPQLCLDTIRDGGVSVDFPATWHFVHDGSQLFVIPSFPHHGQYKVDLSSMTYEQLEIKQRDTYFSAVFRAGGDIVAIGEKLTAVYILDEQSLEWVHRRTSGDLDLDLEQEIKVSGFADVGDGKFLVSDFDTERCFLCDVRHGGEWFAVEPPSMSRWLGEIGLLNGRCIFAEGFVYACWDEGLEAYELVRQDDGRFILGAPMALEFPWDKFSDRRFMSFECIGKEEDSIVFCVIQGYFTPDPFTDSHSLTATTVIVERVDAHRGGKRPVRVKHVDVAVSSVRHEEPILTNYAFAL >SECCE3Rv1G0183640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:652454846:652459282:1 gene:SECCE3Rv1G0183640 transcript:SECCE3Rv1G0183640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 19 [Source:Projected from Arabidopsis thaliana (AT2G34060) UniProtKB/Swiss-Prot;Acc:O22959] MTMGSSRGISLVLLLVVYLGGTRAREAPLPLPSARSAGVNNRRAPERHGLSLDFYGKSCPAVDHIVANVTAERFRDHPATGPAVLRLFHHDCFVEGCDASILIAPTAKAAGGKVERDMEENKNLPQYGFDTVEMAKAAVESKCPGVVSCADILALAARDAVQLAGGPYYAVKKGRKDSKVSLAGKVRGSLPHANSTVDELLRVFAAKGLGAGDLVALSGAHTIGFAHCAHFLGRLYDFRGTRRPDPFMDARLVKALRMTCPYTGGSARAVVPFDVSTPFQFDHAYYANLQARLGVLGSDQALFLDARTRPLVLELGADKARFFQAFVASMDRMGSIRVKKGKKGEVRKICSQHLY >SECCE7Rv1G0521680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874531130:874539637:-1 gene:SECCE7Rv1G0521680 transcript:SECCE7Rv1G0521680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGFIGRRIVQASLAQGHETYVLTRPEIGLDVNKLQLLLSFKAQGARLLEASLDDHRALVAAVKQVDVVVSAMSGATLLLQLKLVEAIKESGNVKRFLPSEFGMDPARMGNALEPGRITFDKKMEIRRAIQEANIPHTYISANCFAAKFAANLGQMGTLFPPKEKVRVYGDGNIKGIFMDEDDVATYTIKSIDDRRALNKTIYLRPQENILSQNELVAKWEKLSGKVLEKISIQSDEFLASMEGTDLTNQVGVGHFYHIFYEGCLTNFDIKDNEEEEEASLLYPEVQYTRMDEYMKRYL >SECCE6Rv1G0433910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:762423007:762424461:1 gene:SECCE6Rv1G0433910 transcript:SECCE6Rv1G0433910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGDGMRLPYDVLLDILRRLPGRDVSASQCVCRAWRDIVNNDHWLSLERYFPRRAFPGLFVNKTGCRSNTSFFAPPATRGMPGLRGPVYPHQAIVFQSCNGLLLLEEGDYYVLNPATARYTRLPWPSTSCCLYGISLAFDPAVSFHYHVFRFPKGGLLKEPLSATRTQGEVPPLEEAKAEEFEEPMKKVLSVLMYSSCTGQWEDREFTPGLCASGHLYDMVGRTPESYEGSFHSSNYWRGSVYMHCHNSVLLILRPSKGTYDMVQLPGEPCGPKSWYSLPKNSVLASYERGVHYVVTNNSQLRVWMLMELTNGQLGWALAHNTCLNLHGHMIRTLKIQPKMIWKIVGSNGGPVSLSDYDTEDDWATPHDSEYSWDSDEDNYIDMVGGADYHESVEQGAYCSIMGFHPHKNALILALSGAVVVYHLDTSRMQYLGDADELDKDNSQHACCVEDSFIYRPCYKDMLSTGKLSMPPETDIRSNST >SECCE3Rv1G0200340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852581875:852582519:1 gene:SECCE3Rv1G0200340 transcript:SECCE3Rv1G0200340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGRMHARRLLSHAAAATPAVAAPGPSGQTPPLSPFGSVDATVISILSLLFCVLIVALVIRALVQCACRVTRRVCYGPAEPPVDAEAGGLETAPPRDGAAGIGGKKKRAGKGAAIRAIPTIEYSAEIELAVCCSTECAICLADLKQGERVRVLPRCHHGFHVRCIDRWLSAHQSCPTCRQEPFALSARPEEPAPAPAPAAVQVHVEAAQLETI >SECCE4Rv1G0250340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:591253431:591253955:-1 gene:SECCE4Rv1G0250340 transcript:SECCE4Rv1G0250340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSSLAMFALAIVMAVVAGVSAQNTPQDFVNLHNRARAVDGVGPVAWDNNVARFAQDYAAQRAGDCRLQHSGGRFGENIFWGSGQSWTAADAVKLWVDEKQNYHLDSNTCDAGKVCGHYTQVVWRKSTRIGCARVVCAGNRGVFITCNYDPPGNFNGERPFAFLTLDAQAK >SECCE4Rv1G0268900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:745974688:745976249:-1 gene:SECCE4Rv1G0268900 transcript:SECCE4Rv1G0268900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEELKKLEEELKNRESIIRTREEELKRLATEPDKVAVTPSPAIIPAPSSYVASIKTYVPITLDLQDSNYSKWRELFLVALGRYGLSNHVIGDAAATPSDTSPTSDWGRDDYTVLSWIYGSISIELFGIIMAPGSTARQVWDSIANLFHDNKKSRALALDAEFRNTPQGDMSIHDYCAKLKSLSDALGDVGISISDETLVLTVLRGLNEQFSHLRSFLPFQVPFPSFLQTRSALVLEEAQKKTDAKNSAATALWASDNSILPRAGGERVPPSGGGRGYGDQRPLGPSSGWSNSGGRGTFTNNRSRGRGGRGRGRSEPWMYNPWTGLPTRAQLQQQSTPAPWQPQPWHAPGVLGPRPAAASPQAYAAYGPAPAAPAYNGHQQQPAPTAPTYNGNQHQLDPALLNALNSMHLPGNQEWFMDTGASSHMASNHGASFQGRDPSMQ >SECCE5Rv1G0355270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731178743:731180914:1 gene:SECCE5Rv1G0355270 transcript:SECCE5Rv1G0355270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQTNGDKTIGGGDDAFNTFFSETGAGKYVPRAVFVDLEPTVIDEVRTSAYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTTSLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVSEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEDGEEDDDY >SECCE3Rv1G0194970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:788545844:788549233:1 gene:SECCE3Rv1G0194970 transcript:SECCE3Rv1G0194970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAVDKKKKLLLAEGLLLPVSMVLVQAFTMGALILSKLAFNVGMAPFVLLAYRNLIGAITVAPFAFYFERDMMKKVNLKVWGWISINALFGIVLAMGLHYYGLRATNAAYTVNFLNVIPVVTFIIAIILRVERLKIGTCPGKMKVIGAAICVGGTMVISMYKGKLLHLWPTHLLKSQLQAVGAVSSAPDHHNMLIGTLFLAGSCLSYAFWFIIQVRVSKEFPSKYFSTMLACVSGTVQAVVIGVMIDRRPMAWALKWNLQLLTVVYSGVFNTGVTFCLILWAVSRRGPIYPSMFNSLSLIITTVLDSVLLGTDVSVGSLLGALLIIIGLYAFLWGKGKETQEQRKHTREAENGNGAANGNGSAAGNGLDSVQVGKHEVRIRVEVS >SECCE7Rv1G0456210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9619122:9620414:-1 gene:SECCE7Rv1G0456210 transcript:SECCE7Rv1G0456210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEALSMSGATAIPAEYVRPQEERQGLGDAYDQAATSWSAAGSPRIPVVDVAAFDAADPGSPSSLAVVHAVRAAAEEWGVMHVAGHGIPEDLIDQLRGAGTDFFRMPIEDKEAYANDPAAGRLEGYGSRLAGSAGEDGKREWEDYLFHMLHPDARANHALWPAHPPEYVPVTKTFGEHVSALSSRLLAILSLGLGVPADTLERRLRLTSGEGEAREEDDLLLKLKINYYPRCPQPELAVGVEAHTDVSALSFILTNGVPGLQVLDSSGAWVTARDEPGTLVVHVGDALEILSNGRYTSVLHRGLVNRQAVRVSWVVFAEPPPDSVLLRPLPELVQGDGAQTPRFEPRTFRQHLERKVLKKTNDQQEEEEVKKPPVAAQEEEAMKTPVTAQEEEKEKATKPPVAGEEEHKVLKEETKMAPVAANLVEVN >SECCE3Rv1G0202850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:878352396:878352832:-1 gene:SECCE3Rv1G0202850 transcript:SECCE3Rv1G0202850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASRCVSLLAQRRGYSAAAAMVKGAGRSAAAEEKTAVAAKSAMATRKEVGAGAEKAAWVPDPVTGYYRPSGASKEMDAVDLRAKLCYAKQSM >SECCE2Rv1G0130210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:874481762:874483174:-1 gene:SECCE2Rv1G0130210 transcript:SECCE2Rv1G0130210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPENRDQETAGPVYAPTPLTTAWSVDLGNRLNGTLVHTNINGTIGLLLPAAPTTPSPTAPQNQYVQSAVDLITLLYSCATAVAKGDKELVNKVLERICSSLASDDVRGPLHRLASSFADALALRVVQPWQGVCRALQLQKTTPASAAAAARRQFAEICPFLRLAGTTTNYAIIDAARTERNAVLHVVDLGGADHDQWLLLLHLFAKRPGAGAHDQILRLTIVNEEDEFLSVTGALLAREAESLHIGFQFHPVKLHINELLSSIEPLGVRNGEALVIVSTLQLHRLLAEEFAEMVARPHDKKGKAQANATMSRADALLRDLAELSPKLMVVTEQEANHNDEFMARFENALKYYGALFDALEESVPARGSTIERANMEQCLLLQEIRDIVACEGAQRRERHERMEKWAERMKAAGFASVAMSADAVAQTVMLGQMVTGCSRAYRVSSENDVCFFIRWRDFPMFSVSTWRAV >SECCE7Rv1G0474970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:180243107:180245849:1 gene:SECCE7Rv1G0474970 transcript:SECCE7Rv1G0474970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSSFALNHAVVFLVSLMVHCGTELVAAVPPDGWYDYTAHTDCRGRPEPAQYNGGILKYGNGDDPNGYKTTETGVLSPAFVVYNLNKSTMYTFSGWVKLEGSSSALITARLAPDNSGTRCIGTVLARSDCWAFLKGGFVLDWPTQTSVIFFQNADRTPMKITIASSSLQPFTTDQWSTHQKDMIRKRRKRMATIHVADPHGTRVVGASVSVEQTAKDFPFGSAIASTILGNDAYQKWFVDRFNAAVFEDELKWYSTEPASGLLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPRWVKGLSPDDLRSAVNTRIQSLMTRYRGEFAHWDVNNEMLHFNFYEQRLGPNATMEFFSVAQDADPLATLFMNEYNVVETCDDVSSTVDAYVARLKDLRAGGAVLEGIGLEGHFSKPNIPYMRAVLDKLATLNLPIWFTEIDINNKFDAQTQAVYLEQVLREAYAHPAVSGVMLWTALHENGCYQMCLTDWNLKNLPVGDVVDRLLQEWQTGQAAGPTDAHGAYSFSGYLGEYVVTVSAGNTSKQSTFSLSPGDETRHITVQI >SECCE7Rv1G0524560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886437979:886441092:1 gene:SECCE7Rv1G0524560 transcript:SECCE7Rv1G0524560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNNSIPPLVHDDVHKPRPYPASQWGDFFLDYKPCTPQQYLSMEGTAEAKKEEVREIIIDTTKSSDLPQKLELIYTLQRIGIDYHYGKEIDELLCEIYDGNTELLDLRTASLQFYLLRKHGYRVSSDVFSKFIDKNGNIESTDATSLLGLYNAAYLRNHGEKILDIAIISTKKILKSIVNHLDTTIAEEVRHNLETPLFRGTNRVETKRYISAYEKNSIRNEIILEFAKLDYNLVQGLYCDELKDLTIWWNRFDIETHLTWARNRMVEIHFWMMGVLFEPHYSYPRIVLTKLFTLVSVFDDFYDNYSTTNESNMFTTAINRWEEHAVEQAPAYMRPFYKGTIASINQIEEDLKLQKYKHAELVKKLFIDAANCYNAEVKWRDQKYVPANLEEHLKISAPSTICMQISNIAFLLMGDVTSSETIKWAWGYPTIIKAVCIIARVMNDIVSHEREQASQHMVSTVQTCMNENRCTVEEANEKLNEVVEQAWMDISESCMQPSVHPLVVLSRVANLARVTDFLYKHDDGYTLGYSVKGTLDSVYVHPMDV >SECCE6Rv1G0388760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:142001536:142005001:1 gene:SECCE6Rv1G0388760 transcript:SECCE6Rv1G0388760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPDLSAVSALALQVDLLQLPPEIPAPGAPALRGVLDHLFAHWLSLPDTVALVSCLAQKAKANGVGGFAGGAMLPSMMMQGGANVPPLSPRSPRLSRKPSGVGGHSNRCASPLRPATARAVKEVIPQFYFRDGRPLPYEQKRQCISIVEQLFAGHSNGLRIQEFRMVTRELCKLPSFFTTVLFGKIDKDNTEFVTRDAFMDFWVNSNMMTMDSATQVFTILKQPDHDYLTKEDFKPVLRDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYFLNRIGSGLLSLRELKRGNLLDSLRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKVPGKMCYEDFVHFILSEEDKSSEPSQDYWFKCIDLDGNGILTHIELQYFFEEQLHRMECMAQEPVLFEDILCQLVDMIGPEDDTFFTIKDFRRCKLSGHFFNVLFNLNKFMAFESRDPFLIRQMREEPSLTDWDRFARREYIRLAMEEDGEDASNASGDVWDESLESPF >SECCE3Rv1G0206270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:906831906:906841103:1 gene:SECCE3Rv1G0206270 transcript:SECCE3Rv1G0206270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTIGAKYKTTLKDPGHGGVLRMSEDKLIFTPNDPRSLMKLSVDFRSIKGHKFNKVDGSKPTPPLLNLSKDSDKGGGYIFEFDNVGNRDLCRDFVARVLGKHQGTVPARPNPNVPPEKSAVSTGPEQLSSAEMERRMKLLREDSELQKLHKKFVLGNILQESEFWATRKNLLDDETNKASKQKPGFKSAMLADVRPSADGQTNKVTFSLTTEIIHQIFAEKPAVHRAFLDYVPKKLSEKDFWTKYCRAEYLLRTKNTLAAKAEAADDEELAMFLKNDDILAKEAKLKIKRVDPTLDMEADAGDDYIHLSDHGILRDGSRETTDIDSELARRTLSQDLNRHAAVVLEGRSTDIESTDTKTVAEALARSKKEPPPSSAADDASHERLVKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANALRPLGSNDGRKARSCSLSTDDAFRHLMDQISSVKMNCPVVQSDVALKVLNELNEGISRSRRLNLKNPQDSLLGRLPHRTRDELMDHWAAIQELLRHFWSSYPITTTVLSNKVQRLKEAMTQIYQKLQDIKESAQPDLRHEISQLVKPMTQALDAAFYHDQQQKSSKAGTGSKPNGF >SECCE2Rv1G0079250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:116634144:116637197:-1 gene:SECCE2Rv1G0079250 transcript:SECCE2Rv1G0079250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDDERASRLHHREQDRDRDRDRSSSRRRHDKDRDHSSSRHHRDGERERERERDRDRDRHHRDKERDREERKALERAEKERERQRREERHAEKRSRRRDEFDDEENEDRERDRKSRRRSSHHHHRDPEPEAALLTTTDGEEAERQRKKKIEEDVEAERQRQKKKMEEDEAERQRQKNKMEEDKAAERQRQKKKMEEDIEAEQQRLEDEMERRRRRVREWQEKKRREQQEDGTGSAVADGPGKSEMKWSLDGEESDEDGGAGTGAMDVDLPNGATVMEEDEIDPLDAFMNSIQLAPAPTSTESVIAYGEYHEGGMVSKDAAPTGRIMQGDDESDSDYNDDPEGGSDGAEALINPVKKTKAEKLVTADHSKIDYQPFRKNFYTEAKDIKKMPAEDAAAYREQLDLKVRGKGVPKPIKAWVQSGLTSKLLGAIKKLGFDKPMAIQAQALPVIMSGRDCIGVAKTGSGKTLAFVLPMLRHVKDQPPAAPGDGPIGLVMAPTRELVVQIYSDIKKFSKAFGVNCVAVYGGCAVAQQISELKRGAEIVVCTPGRMIDLLCTSAGKITNLRRVTFLVLDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEMLARRVLAKPVEIQMGGRSVVNKDITQLVEVRPDGERFFRLLELLGEWSAKGKILVFVGAQDKCDSLLKELFQHGYPCLSLHGGKDQNDRESTLSDFKSNVCSLLIATSIAARGLDVKDLELVVNFDVTNHYEDYVHRVGRTGRAGRKGCAVTFISDEEERYAPDLVKALELSGQAVPEDLKALADRFMAKVKQGTEKAHGTGYGGSGYKFDHEEDEARQSARRAQGRAYGYEEDEPEPDSDHHEEEEDGGATALPAPPTMAAAAASNAGRPALLPPPAAPNQQNIAAAAVNVQSVLARIQAQAAPEHYQAELEINDFPQHARWKVTRRETLAPVEEWTGAAVTTRGTFIPPGQIVGANERKLYLYIEGPNESSVNKAKAQLKSVVEDCANQALNLPAGKYSVI >SECCE3Rv1G0205630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900874522:900875475:-1 gene:SECCE3Rv1G0205630 transcript:SECCE3Rv1G0205630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARCLLTAALVLALSHGAHGHAGAGLSSSFYDDSCPSAHDIVRRVIQNARIADARIPASLIRLHFHDCFVQGCDGSLLLDIDLPAIMTEKEVPANDRSARGFKVVDDINRALEKACPGIVSCADILALASEISVELARGPRWSVPLGRRDGTTTNIESANNLPSPFDSLEMLQEKFRNFGLDDTDLVALQGAHTFGRAQCQFTQGNCSAGQDKETLVNLDTVTPNVFDNKYYDNLLHGRAPLPSDQVMLSDPVAAATIAPIVRRFSESQKDFFKNFAASMVKMGNISPLTGRAGEIRNNCRRVNIKPY >SECCE2Rv1G0103420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:589869907:589873559:1 gene:SECCE2Rv1G0103420 transcript:SECCE2Rv1G0103420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) UniProtKB/Swiss-Prot;Acc:Q9XI00] MMSSIISMIIEIMIPDSDISIDIRPEFNSFDHLRSTGYISTDRPWLKLYGIRVPPVSPFNSLSSTPDLALIHQCLPDELLIEIFGRMSPYTLGRAACVCRKWKYTTRNPTLWRNACLKTWQRNGIEANFRMVQSLYDSSWRKMWVQRPRIRIDGLYVSRNTYIHTGITEWQFKKTVNVVCYYRYLRFFPTGKFLYKISPQKVKDVVKCMHLRASKGDSVFKGDYTLSGDGQIEMALLYPGHRYTLVRMRLRVRGTTIGANNRLDVLKILTTGVNGTELGNWKGNILELVEDWEENETHDPDVPAVSHSRGLTPFVFVPFEEVDTSVLNLPVEKMDYFVPG >SECCE6Rv1G0423180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:694248359:694248871:-1 gene:SECCE6Rv1G0423180 transcript:SECCE6Rv1G0423180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRVVLFLTLAILLPSLVASSTSSLINTTCSKTPNVSYDHCIKVLSADPAGASATDKSGLAIAAAERTVRSVTSTVHMMGDLIHELNMCIEYYRRMGELTVGAIDDLHAGRDAGSIYLKLQEASNEPLSCDKALFQGAKKNLMEQENSENKILALLASRITFLLFNRRS >SECCE6Rv1G0427150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:718069666:718079256:1 gene:SECCE6Rv1G0427150 transcript:SECCE6Rv1G0427150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDIWPERGRTTPLHSPIILFLTPLLPKSKPSPSPVHRRPWTRKSPSTVHTRRSDILAAGQDPETLDVGSNLLLYTLYDFLPAPPVSPAAAGASWVPDGADRISGLPDAVLGEILSRVPAKDAARTAALASCWRHLWRSAPLALVDSHLLPGGGARGQLVIGAPSPRAVTATVSRVLRFSEQLHRGPSILALQYRFKIHCALARHPRRQGVQDLVFVNSPWPIDLRLPATLFSCAPLTRLYLGVWRLPETAAVPRGAGFPNLRELGLCLYAMEDRDLAFMLERCPVLEILVLMASQSGVRLRLVSQSLRCVQLGFTYLEYIDVVDAPRLERLFQWEITTPSLCAMKHSSRIKIGRAPNLHMLGYLLPGEQELGITNTVIVAGSKENIVPTVQILAIELHFGVRDAVKKVSGFLRSFPNLETLHVQSVRVSEKSTGKVNLKFWQEGGPIKCVMEGMKKVFFYEFRGSKNEVAFLKFIAERGRVLEQMVVVVAVECFSSGDNVNAKLKPLISAKWKSKSCKLQLFKSPLNAGGGPAYSHPLASDFGFADPFDLKYYDKAERISVS >SECCE1Rv1G0034950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:493221997:493223933:-1 gene:SECCE1Rv1G0034950 transcript:SECCE1Rv1G0034950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLLQYLKLFLLLGLSGVTTEHVPKYDVLASLGTLPLDGHFSFHDLPAAARDFGNLSSFPPVAVLHPGSVADIARTVRHVFLMGEHSTLTVAARGHGHSLYGQSQAAGGIVIRMESLQSVRMQVHPGASPYVDASGGELWINVLNKTLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQTFRHGPQISNVNELEIVTGRGDIVTCSPERNSDLFHAALGGLGQFGIITRARIALEPAPQMVRWIRVLYLDFVNFTEDQEMLISAEKTFDYIEGFVIINRTGILNSWRSSFNPQDPERASQFKTDKKVLFCLEMTKNFNPEEADIMEQEVHALLSQLRYTPPSLFHTDVTYMEFLDRVHSSEMKLRAKGLWEVPHPWLNLIIPRSTVHTFAKKVFGKIIEDNNNGPILLYPVKKSRWDNRTSAVIPDEEVFYLVGFLSSAIGPHCIEHTLNLNKQIIEFSNKASIGAKQYLPNYTTQPEWKAHYGARWDAFQQRKNIYDPLAILAPGQRIFQKTPVPLPMSS >SECCE6Rv1G0436840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:779438095:779445697:-1 gene:SECCE6Rv1G0436840 transcript:SECCE6Rv1G0436840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSSRPDASAGGFPAGSARGAPGAGTEPPSLAQYISLDQFPVGEHRHSRSAELRRALTDSAEQPLVALAQGKPLPPAAAEELRRIRGGVAESSARAKDRVKSLQESIQKLDKYKNVVTRRRQRSDGASVDRSSGSVGGSLRIGAQNSGDNPAQRLEERAKSSTMSKRVRSSLTADARLEGRVSVSTRQGGPLVDTEKNPSLEKEKSSVRIANATSGFSEDKLRGLAPGGEGWEKKMKRKRSVGTMLNRGSDVDRDVKPSVQHRSNSEVRGRSSDAIPFRHGASAGASGGSKMDGGSQLSSSGSRYLLKTEMDSTPLPNERRERHGGLDKERVLVKGNKAHISEDMQPGTLSPVTKGKATRAPRTSSLVGIHSSSTLLRSAGGIDEWEEAPCTNKASPLGSTTNRKRPMAASASSPPVAWVGQRPQKMSRTRRANVVSPVSNFDEPVSEGSPVDVAVRPALETPGLLLPRGAASNNSQAASRMDNVTSPAGLSESEGSVATEHRNKEKVTNSGDFENEGANSAHVASDLIFSSKKSRIPLKEELEDGSIRRQGRSGRGIVHVKGCSSIPKEKLDSTETRKLVKSVRPASEKNESKLGRPPTKKGSDRKASSRHPEILNCGSMDTTGESEDDREELLAAANAARGAIVGAYAGPFWKKIEPMLTFISSEDLSFLKNQIIFLEELEMGMSNKHDEDKLTASTNYNGPPSMAERSPQVLPPSNSSLLLEQGEANGVGPRESVDILSYNNGENHNNTSQKAQGQGIFGEMAPLTSRLLSALIVEDVDDFPESNGVQGDILMEFSNDYLPRAASVEFEATGLESSFGMSPDFKHSNSNPAYNSMSNGFAVSSNLRGSYSQSSACSENLSDGINVMGYPENGSLHGSVPQITQQYQTSGKDLSLPLYGYQYAQMSLHDRTLVELHSIDIFPEMPELDEGEDEDINKVILELQKRLFDQVNQKKCQLNKLEKAIRNTKNMEERSLEQHAMNKLVERAYKKLLGGRGSSSHKGGLSKAASKAAKQLALAFAKRTLARCQKFEETEKSCFREPFLWSVLSAPLPKSDPVDGGPPGSADRPKALKLDRSPLSQGSTKLKKGERERDPSRDGSAKNSSSKSGSGRNSSGSGRNERKTKMKPKQKLAQLSTSGNVLGRVTEPSNSSFPSPSPRESNEWNNPLSTRPTQQPRNSAANVAPESLDAPMNLPPMDPMVDILDVPEGNDISAWFTDGLDDSLQDFDFSGGLEIPDDDLTQLGFM >SECCE3Rv1G0199860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:849123229:849124223:1 gene:SECCE3Rv1G0199860 transcript:SECCE3Rv1G0199860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALTPFQLTAALFVALLATCHAGSIAVYWGQNDGEASLAETCASGNYEFVILAFLPKFGKGQTPELNLASHCDPLSGGCRAQSKDIKACQRRGVKVLLSIGGGDGSYGLSSPGDARQVAMYLWNNYLGGASSSGPLGNVALDGIDFDIELGSSKFWNNLARDLKDLGKNGGKTVLLSAAPQCPFPDEWDGGAINTGLFDFVWVQFYNNEECQFSAGRKAFMDAWKKWESVPAGKIFLGLPASKDAAGTGFVPASELTSRVLPLIKGSPKYGGVMLWSKFYDDRTGYSSAIKSNV >SECCE6Rv1G0386850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:102869245:102871525:1 gene:SECCE6Rv1G0386850 transcript:SECCE6Rv1G0386850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKKLDEEEAVKTCHDRRSFVKKAIAQRDLLASSHVAYLQSLRRVSLALFYCLAEDEHLYFLQDTSAQCTHRPCSPERKAVLLVVNRLRQGGAPVHPLVGRWDGEAGAAEAAVVDGFFGVDPRLFRPRANDMPVSSPSHLPSAWDVFWVDPFSSLPTDRVGYVNHGVQEANVYQEDGEMPELEEISEDGSNGEGESEEEAPGHEQAEAAQVVVEPREGKEKKKVAGVGNKLRVRASAEVEQQSTPGRFTVFVDRPPASVAEAMRDIKGHFSKVAETAGEVSVLLEVVPYKKKVQPAAPRGDADGDDGDEQVGAREASPEPFQLFQSHKESLDRLYAWEKKLYEEVRAGERVRLSYEKKVAQLRSQDANGAEPFAIERTRAAIRDLQTKLNISLASVDAVSRRIVAVRDDELLPQLAELIRGLARMWRVIGDAHRVMKRTADEASALLSSSAARAEGGIRGPPPPPGPTRAATAAGALATELRGWRAALEAWAESQRGYAAALWGWARSCVKDGEDMPRLIVAWARAVEAVDVDAATRAMEGVAAEAAAIATAARRRSSSAEEEPPNEEEGKRRVCVGLAAALGAVAEAGGLASAAYGELVDEMDDREREAEMAGRDDEPSIQNNPP >SECCE5Rv1G0310270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:167645417:167647050:-1 gene:SECCE5Rv1G0310270 transcript:SECCE5Rv1G0310270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCTKRKLGGEARCRCRCRHVHVHYHLPPRRRVSLLRWLPRPRLSLLSYLLIPPVLFFALLAFVVSFLWFTLLYFVASLLSEDGNLESVKNGGVGLASDVEDSGPEGNSEAKGEAARHAGEHLTGDSATPENSFRTLEIKEVRADGFSQMSRTNDDKLVEDVNIFETSSAMADSEEFSRCRQVQDVPFDWFVNEHNIRVASSSTPGDLFPSLYSTDSAEIHDLPGMAEARGMSSDFLVESKQNMPVSSNTSSHHHSISDEYGEEYCDDRKGLSVCATYEIIDFIDKHETRDQAPDGSFPEDELFQSSDGSAHGSVPEEHTEGNTQEKDAKSVLELVVDSIATLEDSREKLEVQKMPEVLITEANAEQSVGASNQVEDPRSEKEESLVSLHSVCEDAASSDASTHHYLVYEDDKQEEVTKHSTAEAPDHVSAVVCNIFENRQTPRREPSDGFENDDKAREVASQESLGDEAGKINDDSGSELDLKGAHCGAPLLRRSPSQWWNLCGVVDVFAGSED >SECCE5Rv1G0367080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819292591:819293868:1 gene:SECCE5Rv1G0367080 transcript:SECCE5Rv1G0367080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQLRRVVAVALLACIMALGPPPATAKGMSAGIAHNITSFLSGHPEYKQYNRYLTETRVCDEINARAGVTVLVLGDAAMSTLVSDAGADLGAIKNALRLHALLDYWDVKKLKALPAGADTLTDTFYQAAGGAATSATGSVKMAKLEGGGFGFASAASPGDAYDATFAKALKQAQYDFAVLEVSAPIEFDGLFDGPSVANLTKLLEKAGCKRFAELIASTGVLKDYQAAMADEAGLTLFAPKDDAFMAKGAPDVDKMPRADLVALLRYHALPGYNPRSSLKLVKASARPFRTLASTAAGRYNVSVVARGDDVSLDTGLRKSRVAETVLDDTPVCVLTVDRLLMPLELFAGAPAEAPSPTPAPAPSPADATLSSPPAPPPADAPSEVADDHVHKDVKASSATLVASRTIGALAAAACSVVLMSLL >SECCE5Rv1G0338770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:603147657:603149236:-1 gene:SECCE5Rv1G0338770 transcript:SECCE5Rv1G0338770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHVADASEYLAITGWGIDDVKLAKKAWVFVGQQCKKFCISPVNYEFEVHAMSAEKLPFILPAVFTIGPKISAIGDEAADKRDLDEQLLLYAKLIAPLHHNTSHVHDLVKGVIEGETRVLAAELTMEEIFKGTKTFKEKVFSMVQLELKQFGLFIYNANVKQLVDVPGHEYFSYLGQKTQQDAANQAKVDVAEARMKGEVGAKEREGLTRQNAAKVDAETKVLSVRQMGQGLKEEAKVKAEVQVFENAREADIAAAKAELAMKKAGWDKQAKVAEVEAAKAVAIREAELQMEVEIKNAMRQTEKLKAEQLSKATVQYDTQVQDSNALLYSRQKAAEAALFEQMRTAEARKAQADAKFFEQKMAEDAKLYAKQKEAESVALVGKAKTEYVASMLEALGGNYHALRDYLMIEGGMYTEMARINAGAVNGMQPKISIWSNGGDAGGEAAAGNALQQVAGVYKMLPPLVSTVHEQTGMLPPAWMCTLPKDSAAN >SECCE2Rv1G0101750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:569222305:569231088:1 gene:SECCE2Rv1G0101750 transcript:SECCE2Rv1G0101750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTLLVRPPALANPSLPHRRCAPVSTASATLRTSPAASSVAQFSRLRTKCRFAATGVREDYSSTTIDVAADVKTEKIVVLGGSGFVGSAICRAAVAKGIEVVSLSRSGRPSYSDPWADEVTWLAGDVFYARWEDVLVGATAVVSTLGGFGNEEQMKRINGEANTIAVDAAKEFGIPKFILISVHDYNLPSFLLTSGYFTGKRKAESEVLSKYPSSGVVLRPGFIYGKRKVDGYEIPLDIVGQPLEKLLSSVENFTKPLSALPGSDLVLAPPVNVDDVAYAVINAVINDDFFGVFTIEQIKEAAANARV >SECCE4Rv1G0266530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:731978263:731980111:1 gene:SECCE4Rv1G0266530 transcript:SECCE4Rv1G0266530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G10500 protein [Source:Projected from Arabidopsis thaliana (AT1G10500) UniProtKB/TrEMBL;Acc:B9DF88] MALASGATARPLLGRLPGTARPHLAVSPSPSAPAPAPACSVRFAARTGGSRAVSLRASKPPAAATATPGSIAPAISLTEKALKHLNRMRSEKNEDLCLRIGVKQGGCSGMSYTMEFESRGSANPDDSVIEYEGFTIVCDPKSLLFMFGMELDYSDALIGGGFNFQNPNATKTCGCGKSFATSKEMQRTATACNN >SECCE7Rv1G0464750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:63859817:63861282:-1 gene:SECCE7Rv1G0464750 transcript:SECCE7Rv1G0464750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSSTMVKPVCSVPHPLVGEKAPLTVFDRAAVDAFIPTVLAVKEGLLGAIAAYPHLAGRLAIDDHGRRFLYVNNEGVLLIEATVPVDLVDVLVDGRMATDVEDLYPAIREESIGVALLQIQLNRYKCGGLVVGICSHHQAADGHSMSMFFTAWATAVREGKDFTTPTPFLDRARTSVPRRTPTPVFDHRSREFTCGDRDSYAVVPMARIKNLTVHFTAEFVADLKARVGARCSTFQCLLAHVWKKITVARELKPEEFTKVRVAVNCRSRADPPVPMDFFGNMVLWAFPRLQVRDVLNSSYGSVVSTIHDAVASIDDEYVQSFVDFGGVADANGEELVATAATAGTMFCPDAEVDSWLGFRFHQLDFGTGAPSAFIPPDLPIEGLMIFVPSRKANGGVDLFMAVAEDHVATFEEIIYSFD >SECCE1Rv1G0002800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:10679047:10679835:-1 gene:SECCE1Rv1G0002800 transcript:SECCE1Rv1G0002800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVKIGPWGGNGGSERDVQPKPTRMVSMTVSSGAIIDAIAFTYVGTDNAQHSSGIKWGGTGGTEDTINLDATNYVTEISGTVGKFGTDDVVTSLKIVTSKGVTKTYGSATGTPFRVSVLDGGKIVGFFGRAGAFLDAIGFYITA >SECCE6Rv1G0411140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:605683661:605685341:-1 gene:SECCE6Rv1G0411140 transcript:SECCE6Rv1G0411140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I chlorophyll a/b-binding protein 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G45474) UniProtKB/Swiss-Prot;Acc:Q9C639] MALAASAHGRVCTISSRPPTPFSRGTVAMPGLHVQSPRARFAVRAATERATWLPGLDPPAYLDGTLPGDYGFDPLGLGEQPEDLKWYVQAELVHCRFAMAGVAGILGTDLIRVSGIGNLPVWFEAGATKFDFANTTALFFVQLLLMGFVETKRYMDFVSPGSQAKEGTFLGIEASLEGLQPGYPGGPLFNPMGLAKDIENANEVKLKEIKNGRLAMVAMLGFFVQASVTHAGPIDNLLTHLSDPFNKNIIHAITSS >SECCE3Rv1G0180230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:568379595:568387704:-1 gene:SECCE3Rv1G0180230 transcript:SECCE3Rv1G0180230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit Vb family protein [Source: Projected from Oryza sativa (Os01g0612200)] MLRRLQTLAPALRRAAAAAGAAPSPASARAAPLSTAVAAFRRTGPLLSADKPAAAATSVEDVMPIATGLEREELEAELQGKKRFDMDPPVGPFGTKEAPAVIESYFDKRIVGCPGDEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGYGGNPDGHDDDDDGHHH >SECCE7Rv1G0524790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887091072:887093639:-1 gene:SECCE7Rv1G0524790 transcript:SECCE7Rv1G0524790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLSLFFIALCTLLVVGFLKLSGGKSKPAGKPQLPPGPWTLPVIGSLHHLVSTLPHRRMMDMSRRHGPLMHLMLGEVPTVIVSSAEAAALVMKTNGLTFAGRPRSATLDILGCGGKGIFFAPYSDHCRQMRKLCNVELLSTKQVKQTEGIRAEEVGNLLRSITASNGAVVNVSKMVAALSNNVVTRAVFGGRFTKQDEYLRELDQALVLVGGFCLVDLFPSSLLVRWLSNGERRMRRCQGRIQRLISSIIDERKAARTAGGGSCSTHDENLLDVLLRLQQEDTLEYPLTTESIGAVLFDLFAGGTETTGNVLAWAMSELMRSPNTMAKAQQEVREVLGEGRDLITTSDLSELHYMRKIIKEVLRLHPPGPLIPRMATEDCTVMGYDIPKGTVVHINVLAISQDPRYWNNPEEFMPERFDNNNVNYNGSYFEFIPFGAGRRQCPGIQFGSSVTETALTNLMYHFDWMLPDGVSPALLDMSEKFAIAVSRRHDLKLRATPHVWFKAMSPK >SECCE1Rv1G0033480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:468162668:468163030:1 gene:SECCE1Rv1G0033480 transcript:SECCE1Rv1G0033480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQEKGRSELDSLAREGQTVVPGGTGGKSYEAQEKLAEGRSRGGQTRKEQMGEEGYSEMGRKGGLSTNDESGGEHAAREGIDIDESKFKTKS >SECCE4Rv1G0270150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:753055167:753056306:-1 gene:SECCE4Rv1G0270150 transcript:SECCE4Rv1G0270150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPHSNGGAAAAAAGEENLSVPRCLAPPRKVALVTGITGQDGSYLTELLLSKGYEVHGLIRRSSNFNTQRLDHIYHDPHATPSAARPPMRLHYADLSDSSSLRRALDHVLPDEVYNLAAQSHVAVSFEVPDYTADVTATGALRLLEAVRLSAKAKPMRYYQAGSSEMFGSTPPPQSEATPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAIGRIKVGLQTEVFLGNLSAARDWGFAGDYVEAMWLMLQQDKPDDYVVATEECHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPSEVDCLQGDASKSRKLLGWKPKVGFQQLVEMMVDNDIELAKKEKVLVDAGYRDPKQQP >SECCE3Rv1G0171370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:269745613:269748252:-1 gene:SECCE3Rv1G0171370 transcript:SECCE3Rv1G0171370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRTPAIRSRPLAAYTVLRTRSGFSTNPPPPHTPATLSAELLRLLSAAPAWTHDLARAVSSTLSAAPPPSPDVVLSVLRSLHNTSLAGPFFLLASSASSPHPLPPEAYNTVLPVLSHDLAALDKVLEEMSLLGYGLPNPACATLVATLVRSRRLDEAFHAIGTMRRLKFRPAFSAYTVLIGALSEARQPERALELLRQMQEVGYEVGVPLFTTLVRTLAREGRVEGALMLVDEVKGRCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELRAQGLRPDDVSYTSMIWVLCKAGRLGEAEELFGQMEVERAVPCAYAYNTMIMGYGSADRFDDAYKLLERLRERGCIPSVVSFNSIITCLGKKRKVDEALRLLDVMKKDAKPNTSTYNIIIDMLCMAGRVNEAYKIRDEMELDGLYPNLMTVNIMVDRLCKAKLLDEAHTIFESASQRGCNPDSVTYCSLMDGLGKKGKIDEAYRLFEKMLDAGHNGNPVLYTSLIRNCFLHGRKEDGHKIFKEMIRRGCRPDLILLNTYMDCVFKAGEIEKGRAIFEDIKSYGFLPDVRSYSILIHGLTKAGQARETSNIFRAMSQQGFALDARAYNAVIDGLCKSGKVDRAYEVLEEMKLKHVSPTVATYGSIIDGLAKIDRLDEAYMLSEEAKTKGIELNIILYSSLIDGFGKAGRIDEAYLILEEMLKKGLTPNAYTWNSLMDALVKAEEINEALICFQSMKEMKCPPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLIPNIVTYTTMISGLAKGGNVTDAYNLFVGFKTNGGVPDSACFNALIEGMSNANRAMEAYQIFEETRLRACRVNVTTCVSLLDALNKSECLEQAAVVGAVLSEISKSQHASRSL >SECCE7Rv1G0501740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:687603651:687609446:1 gene:SECCE7Rv1G0501740 transcript:SECCE7Rv1G0501740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAHAVEPRGTAAPPPPVAAAATAAAAPAAAAGGPREPVRKAGPVTMEHVLLALHETEAEREARIRDMFGFFDTSGRGQLDYAQIEAGLAALQVPAECKYARELLRACDRDRDGRVGYDDFRRYMDEKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLMLYPNEATIENIYHHWERVCLVDIGEQAAIPEGLSKHVSASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVTHAVKDIFIRGGLLGFFRGNGLNVVKVAPESAIRFYAYETLKEYIMNSKGENKSAVGASERLVAGGLAGAIAQTAIYPIDLVKTRLQTFSCESGKVPSLGTLSRDILKHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDASRTYIIKDTEPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQQANSEAAYKGMSDVFWRTLRHEGVSGFYKGILPNLLKVVPAASITYLVYEAMKKNLSLD >SECCE3Rv1G0149620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:27085609:27096331:1 gene:SECCE3Rv1G0149620 transcript:SECCE3Rv1G0149620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPAATAPAGSLPDAPAPVPVPVAAGERGLSVSEAMSKVMEKGKTAFIPYITAGDPDLATTAAALRLLDALGADVVELGMPFSDASADGAVIKASAARALAAGATADAIMAMLKEVTPELSCPVVIFSYFSPIAQRGTASFAAAVKESGAKGLIVPDLPYAETSAFRDEAIKNELELVLLTTPSTPPERMKEITEASGGFVYLVSVDGVTGARATVNPSVESLLKEIKQVTDKAVAVGFGISTPDHVKQIAEWGADGVIIGSAMVKQLGEAASPEEGLKRLEVYARSLKDALP >SECCEUnv1G0540040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78217796:78218613:1 gene:SECCEUnv1G0540040 transcript:SECCEUnv1G0540040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFLLLAALLALVSWQGTASDPSPLQDFCVADMNSAVRVNGFVCKNPMEVNADDFFKAANLDKPRVTNKVGSNVTLINVMQIAGLNTLGISIARIDYSPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNQPAPNRNKFLSKVLNKGDVFVFPVGLIHFQFNPNPHKPAVAIAALSSQNPGAITIANAVFGSDPQISDDVLAKAFQVEKNTIDWLQAQFWENNHN >SECCE7Rv1G0455730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8174594:8176255:1 gene:SECCE7Rv1G0455730 transcript:SECCE7Rv1G0455730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMWSLAGATALVTGGSKGIGHAIVEELARFGARVHTCSRNAAELEECRRRWEEKNLQVTISVCDVSIRADREKLMEMVRQTFDSKLDILVNNAAQLFYKPTVGCTSEDYSNLMTTNLESTFHLSQLAHPLLLHASIPGGGSIINMSSIGGSIGFAGYTIYATTKDTEFMKQEHLKTPLGRSGKPVEIATAVSFLCMPAASFITGQVICIDGGRTISSYLSIPLKTAS >SECCE4Rv1G0220530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:40293794:40298162:-1 gene:SECCE4Rv1G0220530 transcript:SECCE4Rv1G0220530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclase associated protein 1 [Source:Projected from Arabidopsis thaliana (AT4G34490) TAIR;Acc:AT4G34490] MEKALVERLEAAVARLEAVAASGSSATRDFGGASAASDPAILAYDDFLAEGFGRLNAAAEKIGGKVLEATNALAEAFAVAKDLLIQAKQYPKPASMANAQDFLKPLNDAIAKANAMTEGRRPDYFNHMKSVADSLAALAWVAFLGKGCGMSFPTAHIEESWQMAEFYNNKVLVEYKNKDADHVEWAKALKELYIPGLRDYVKKYYPLGPVWGPVGCAPPKAAAPTPKAPAAKGPPPPAAPSAPLFSTDKSPKSSQPKQGMSAVFQEIGGGKDVTAGLRKVTADMKTKNRADRSGVVNSSAAAPAPEKTSRAGTFGSKIGTPKLELQMGRKWVVENQVGRKDLAIDECDSKQSIYVYGCKDSVLQVNGKVNNITVDKCTKFGIVFKDVVAAFEVVNCNGVEVQCQGTAPTISIDNTAGCQLYLNKDSLDASITSAKSSEMNVLVPSDETDGDWVEHPLPQQYIHFFKDGQFTTSPVSHSGA >SECCE6Rv1G0434310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764805727:764806206:-1 gene:SECCE6Rv1G0434310 transcript:SECCE6Rv1G0434310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYVTETRGGRTIALEVDSLDTIGNVKSKIQDMEGFPKGQQCLIFANKQLEDDNSTLADHNIWKESTLLLVLRPCRPAESRIMQVFVKNLEGKTLTLEVGQLDTINSVKVKIYEKDAIPPRHQRIISAGNQLEDNHTLADYKIGRNSTLHLMLRLCGC >SECCE5Rv1G0350390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:690290003:690293388:-1 gene:SECCE5Rv1G0350390 transcript:SECCE5Rv1G0350390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVFSLLLCILPSLATTGDELALLSFKSTLPGGSLASWSASPAGSYCRWPGVVCGGRRHPERVVALRLHAQNLTGRLSPSLGNLSFLRELDFSGNQLAGQIPPELGRLVRLRVLNLSDNSLQGSIPPALGRCTRLTSLDLHNNKLQGGIPPRLADLTSMEYMSLARNSLSGEIPPGLANLSNLWYLALSFNALSGVIPSSFGMLSSLSVITLGSNNLSGSIPATFWNISTLKLLVVRQNMLSGTILPNAFNNLPHLEMIRMDINQFHGPIPPSIANASRISEIQLNYNFFSGHVPPELGMLRDLYWLQLMNNLLQAKGPKDWEFITALTNCSQLEVLGLNYNNLEGALPLSISNLSTSLIHLELRANRIKGSIPEGIGNLVNLQRILLMQNSFTGTLPSSLGRLKNLGGLTVAENKISGPIPWTIGNLTELKYLDLNMNSFSGGVPITLGNLTQLLGLNLSSNNLTGPIPSGLFNIPTLSGYFYLSNNNLVGSIPQEIGNLKNLVEFRAESNKLSGEIPTTIIGCQLLRFLSLQNNILNGSIPLVLSDLKGLETLDLSSNKFSGQIPKSLGNLTMLHYLNLSFNNFVGEVPTTGVFSNVTMVAIQGNNKLCGGISDLHLPPCALQSPQRRRKLLVILVASSTCVVTLAILVLLYKLLNRHMKSKEAIPSTTPIQGHPMVTYSQLVKATDDFSTTNLLGSGAFGSVYKGELDGEAGERTNHVAVKVLKLQTRGALKSFTAECEALRNLRHRNLLKIVTACSSIDTKGDDFRAIVYDFMPHGSLEGWLHHATSSQEEHKHLNLHQRVTVLLDVAYALDYLHCHGPAPTVHCDVKSSNVLLDAEMVAHVGDFGLAKILVEGSSVPQQSTGSMGFRGTIGYAAPEYGAGNTVSTYGDIYSYGILVLETITGKRPTDSFGQGLTLREYVELGLHGRAMDVVDTQLCLDLESKLRIADASSYTRTEECLIQLLKLGVSCSQELPSSRMPTGVIIKELRAIEESLGGMQSGEN >SECCE1Rv1G0060740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712047230:712048418:-1 gene:SECCE1Rv1G0060740 transcript:SECCE1Rv1G0060740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRPVHHCLASLLVTLPLFCCHAVRGVEQRAHGAASRLQAAAVRLERARDMPQLDVTTPLATVPLVNPTPMPEATAAPTLAHPTAAAGAGSWCVASPSAGAAALQVALDYACGQGADCSPVQPGGSCADPDTVRDHASYAFNSYYQKNPVQTSCDFAGAAILTSTDPSTTSCKYPSTSTGASVLNTTNPVTPVSPTFGSPPGGSYNSPPGPGGYYNSPPLYGSMSPPDYGGSISAATAMMPGSKSTTAVTTSLTCLLVVATVSLNLCK >SECCE5Rv1G0299110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14790050:14792181:-1 gene:SECCE5Rv1G0299110 transcript:SECCE5Rv1G0299110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYTPKSILITGAAGFIASHVTNRIVRNYPDYKIVVLDKLDYCSNLKNLLPASSSPNFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKRERTVTDVAKDVCRLFNLEADKVIQLVDNRPFNDQRYFLDDEKLKSLGWSERTRWEEGLRKTMEWYVANSDYWGDVSGALLPHPRTLMMPGYEGSEEIKGMLNLFTNSHTKMVAPTSEGSSQTRSLKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERFSIVLDIQTVKPTHVFNAAGVTGRPNVDWCESHKPDTIRTNVVGTLTLADVCREHGLLVINYATGCIFEYDANHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPISSDLNNPRNFITKISRYDKVVNIPNSMTVLDELLPISVEMAKRNLRGIWNFTNPGVVSHNEILEMYKKYMDPSYKWTNFTLEEQAKVIVAPRSNNEMDAAKLKREFPELLSIKDSLIKYVFEPNRKVPAT >SECCE3Rv1G0209660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939862340:939869767:-1 gene:SECCE3Rv1G0209660 transcript:SECCE3Rv1G0209660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSSLSRPLHSFMPSPPPRWWPPALARRVFPPSEPPNPTVRRVSRFPLGFRNMASTTEVKGDDSGKPDMRLRINSSVTQSMNNMETRSTTGEPMACYAEVIDDKRDTWGKTVGYEEVTVDNEDEEYGNCDTLMARYPLDRVRPLAVLPKSRHRDGSIYKCTHSWTRECLIADRSETIFEPMMFTEPSNCFIFNGTCMRHGPTHMLQIVSIKLAKIHVDGGPIALYGYIAMRDNLDPLLNYVVKFCRDDPVTVEQGSLINLVGPKRGINYLGDIFIEYDMRIKTVGPEKHDLQLIDGVSILGNMGVRNRSVFTNRIHGNCGAVDITFSSLENAIEATVEVAISEVQRSFNLSLGSFTSGLNEEIRLFDGAISETRSLKRSVIAVVIDSWIHFKFKVGPEPSSFAEHDCVFNAGNHGSSAQKIKTDFALISVKVIWSPLPDGF >SECCE2Rv1G0085190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:186691582:186695773:-1 gene:SECCE2Rv1G0085190 transcript:SECCE2Rv1G0085190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAT32 [Source:Projected from Arabidopsis thaliana (AT1G27760) UniProtKB/TrEMBL;Acc:A0A178WAC4] MGKSKKSKVRGAGGDDLLDSSDTDSVGSSSTALSDLSISNTTENVNSHEFVLDKYIDALYEKRGSTREAALASLVDAFESFMLDGLVENKYATLLSLFNTSIKKGSTKEACLASRAIGLLSITLGAGSSSHETMVESHPQLSKVLQTWADASKMISALDCMAIITFVGATDLAETELSLKAMWDVIHPKSGSNVGTVRKPRPPVLAAALSAWTFLLTTIGSWRINTDSWKEPIAFLSTLLGAEDRAVRMAAGEALALCFELNLLDVSPSEDADDDTGVPGSSKGKLFLDMQALKAKIAGLASNLSAEAGGKGADKKNLSDQRDLFQRILDFVKYGECPEESLKIAGKRDVLRVASWSELIQLNFFKRFLGRGFLKHVQDNGLLQDIFNIKADKAETLSSNDKKIFRSGEEKGRALKLNKDRRLAQERKNAGLFDE >SECCEUnv1G0530090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:10796031:10800007:-1 gene:SECCEUnv1G0530090 transcript:SECCEUnv1G0530090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRESHGDEGSGKRNQISGDSINRLSDDILCTIISLLPTKDGGRTPAISPRWRNLWRSAPLNLEVRSPANSHSPSSVLPAAVSRIISNHDGPARRFSLRCFPDDLDADADSWFHSRALANIQELHITYNNYRRLSGYTQDRNLLPPSALRSPALLVVEISFCDFPPSLPSMDLPLLKQLSLHFVSISGDVFHGILSACRALESLYMSGIRATGSLRVSSPTLRSIGFRNSDGEKPDLVIEDAPRLVRVLLPYSQSYDDCATICVVRAPRLEVLGPFLPVVSKILVTQGISPLSSANPMRTVKILCLRSSGYELDGVLNILRWFPYLEKLYIIFGRHTEMNKKNEPQYDPQHQIECFQTHLKTVVFKTFIGFEKQVNFARFFILYAKVLKKIEFEVHGECNTVSVASYQSLLQVEKKASQDAQVEFRSKYHHTGYGKHVHDLSAVDPFGQP >SECCE7Rv1G0454950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5042228:5043425:1 gene:SECCE7Rv1G0454950 transcript:SECCE7Rv1G0454950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPVFDAAVLSGRADIPSQFIWPEGESPTPDAAEELHVPLIDIGGMLSGDAAAAAEVTRLVGEACERHGFFQVVNHGIDAQLLADAHRCVDNFFTMPLPEKQRALRRPGESCGYASSFTGRFASKLPWKETLSFRSCPSDPALVVDYIVATLGEDHRHLGEVYARYCSEMSRLSLEIMEVLGESLGVGRAHYRRFFEGNESIMRLNYYPPCQRPLETLGTGPHCDPTSLTILHQDNVGGLQVHTEGRWRSIRPRADAFVVNIGDTFMALSNGRYKSCLHRAVVNSRVPRKSLAFFLCPEMDKVVAPPGTLVDAANPRAYPDFTWRSLLDFTQKHYRADMKTLEVFSSWIVEQPART >SECCE7Rv1G0456340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:10514590:10518246:-1 gene:SECCE7Rv1G0456340 transcript:SECCE7Rv1G0456340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGMGKVVCVTGGSGYIASWLVKLLLHRGYTVRATVRDTADPKKTSHLQALDGAKDRLHLFKASLLEEGSFDAAIAGSDCVFHTASPFYHNVKDPKAELLDPAVDGTLNVLRSCKKASIKRVIITSSMAAVAYNGKPRTPDVLVDETWFSSADVCEKNQQWYVLSKTLAEEAAWKFANDNGFEIVTINPAMVIGPLLQPTLNTSAEAILKFINGSSSTYPNFSFGWINVKDVALAHILAYEVPSANGRYCMVERVAHHSEIVKIIHEMYPNFPVPDKCADDAPFVPIYQVSKDKIRSLGMELIPLETSLKETIESLREKGFVTSESSHL >SECCE5Rv1G0350760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:692026870:692032274:-1 gene:SECCE5Rv1G0350760 transcript:SECCE5Rv1G0350760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAADSKIDGLRDAVAKLGEISENEKAGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLAPPPEDLDAMKALLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCSVPLLLMNSFNTHDDTQKIVEKYSNSNIEIHTFNQSQYPRIVTEDFLPLPSKGQTGKDGWYPPGHGDVFPSLNNSGKLDTLLSQGKEYVFVANSDNLGAIVDIKILNHLITNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVDEFKSIEKFKIFNTNNLWVNLKAIKRLVDAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFEKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGYVIRNPARVKPSNPSIELGPEFKKVANFLARFKSIPSIVELDSLKVSGDVSFGSGVVLKGNVTIAAKAGVKLEIPDGAVLENKDINSPDDL >SECCE7Rv1G0524440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886061888:886063333:-1 gene:SECCE7Rv1G0524440 transcript:SECCE7Rv1G0524440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALGAAQWVVGKALAPVADGVLEAWAASTNFGPNIQELSKELLFVKAMLERAAGKELAGPATVELLHKLQDSAHNAEDLLDELDYFRIHDELHGTYEAADQHANGCVCDLVLNARHTAKAIGKQLASLTAHCFGAHPRQEDARQHVSCCTWKCGRQRSPGDSSSVPNANQPGQEVSGCMRKLGKLFPGSSSSHPHVPGDEDRGNSQGTPMPEFNKVDFSQRMKNAIEQLKLRSEDVNKILTHCGPRTVLDIAQHRPPTTPQNAEPKLYGRDHVINSIIHDITAGQYCDKGLTVLPVIGPGGMGKTTLIQHIYNNQQVQNHFPVRIWICVSFSFNLDKVLEQIKRYSPEVEGEKECSTTQELIEHRLKCKRFLLVLDDIWQFTDVDDWKKLLLILGKSQEKGSMVLVTTRQKEIADQVKKTVEPKELNGLEPGEFRKLFLVYVFDAEQYPRDKLHLLDTGDEIMRKLKCSPLAASKDCW >SECCE5Rv1G0366730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815612547:815613125:1 gene:SECCE5Rv1G0366730 transcript:SECCE5Rv1G0366730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEIKLRLPDAAAQRRLSSFLAPRLLRINATARPLAAATAAQRARLYGTDDRDPSRAVLTLKRRPRIDAGVSRVEEVVEPLDPALALTCVDNPARLGAVDSPIVRLVSDEYGVGGDKAPFVCLGGFRDTRGVYELDETHFDFGTNYELECETAEPNQAKEILERLLTVAGVPYEYSRSNKFACFMAGKLLP >SECCE5Rv1G0319630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:377379887:377382036:1 gene:SECCE5Rv1G0319630 transcript:SECCE5Rv1G0319630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT5G37055) UniProtKB/Swiss-Prot;Acc:Q9FHW2] MDGEEENPGPFRRTSSRTRRMASRMASALSSSDNRAQAALARLDALESDNAGAEVVDLNDDEYGSTDEEDHVLMQKKQSKNMKRKTRQGKALEKRAVRSFMDVLQEANLEALPPHVPTYLRAAVGPPSTSSRRHYCSVCGNSSNYTCPRCGTRFCSCRCQVIHNDTRCLKFVA >SECCE7Rv1G0520150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:867870184:867871719:1 gene:SECCE7Rv1G0520150 transcript:SECCE7Rv1G0520150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTTTTSWAWASPVVLGAVTLALFLLGRGKTTGKGDQLPPGPSTLEFLAKFLTLRRPVFHVGPILRELHARYGTVISVRLFKTLVFVQDRAIAHRMLVQGGATFADRPASLYDPWPMFFKGSIITTPYGPYWRRVRRNLVEALSPARVARFERARRRTRDALLARLTAGGDGDGSPVKVRMIFRRTMFDLLSYMSLGSGLSSQTLDDIFALQVKAFEAVTSFPVFSFFPEMTKRIFWKRWASYEALAKTRDDIFVPLLQARRASPNNTADDGDDPPCYADALLAVRLPDEGDRALTDAEITTLCAEVMVGGTDTTASLLEWIMAELVSRPDVQAKVYEEVRGDGELKEDDLRGMRYLKAVVREGLRLHPGAHLVFTHRAMEDTEVGGYTVPKDAEVHFLVADYGLDETAWAEPHQFRPERFLDGGEGCSVDVTGSREIKMMPFGAGRRMCPGYSLATLHLEYFVGSLVKAFHWLPAVQGQEMDMAEDFDSIIVLKNPLRARLIPRTPPL >SECCE5Rv1G0370550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:842656246:842657668:-1 gene:SECCE5Rv1G0370550 transcript:SECCE5Rv1G0370550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQADSFDERDVVVVDDAGCVRAVLGELVLTFLFVFTGVAAAMAAGVPELPGAAMPMATLAGVALAQALAAGVLVTAGFHVSGGHLNPAVTVALLARGHITAFRAVLYVAAQLLASSLACILLRYLSGGQATPVPVHTLGAGIGPMQGLVMEVILTFSLLFVVYATILDPRTTVPGYGPMLTGLIVGANTIAGGNFSGASMNPARSFGPALATGVWTNHWIYWVGPLVGGPLAGFVYETVFMVKKMHEPLLGWDF >SECCE2Rv1G0066640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14869057:14869765:-1 gene:SECCE2Rv1G0066640 transcript:SECCE2Rv1G0066640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRALVLGVLLVIAAANAEAASVVVVGMAKCADCTRKNMKAEEAFKGLQVAIKCKNNAGDYESKAMGALDGTGAFSVPLAADLHGADCVAQLHSTASNAPCPGQEPSKIVPVSEGTTFGVVAGANTATPSMASPECASMTLCGPIKKHIMEHFHHKKPVLPKPEPKPQPHPDYGPVPKPEPKPQPHPDYHPVPPTPTYGGGGYHGHH >SECCE3Rv1G0160850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:109483757:109487585:1 gene:SECCE3Rv1G0160850 transcript:SECCE3Rv1G0160850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory protein NPR1 [Source:Projected from Arabidopsis thaliana (AT1G64280) UniProtKB/Swiss-Prot;Acc:P93002] MEAPSSHVTTSFSDCDDSVSMEDAAPDADVEALRRLSDNLAAAFRSPDDFAFLADALVAVPGAPDLRVHRCVLSARSPFLRAVFKRRAAAAGSSGGGAEGNRLELRELLGDEVEVGYEALELVLDYLYSGRVRDLPKPACACVDEGGCAHVGCHPAVSFMAQVLFAASTFQVGELASLFQRHLLDFLDKVEVDNLPLVLSVANLCNKSCMKLFERCLEMVVRSDLDMITLEKALPPDVIKQIIDSRITLGLASPENNGFPNKHVRRILSALDSDDVELVRMLLTEGHTNLDDAFALHYAVEHCDSKITTELLDTALADVNLRNPRGYTVLHIAAKRRDPKIVVSLLTKGARPSDFTFDGRKAVQISKRLTKHGDYFGNTEEGKPSPNDKLCIEILEQAERRDPQLGEASVSLSLAGDCLRGKLLYLENRVALARIMFPIEARVAMDIAQVDGTSEFTLGSSTNPPLEITTVDLNDTPFKMKEEHLARMRALSKTVELGKRFFPRCSNVLDKIMDDEPELASLGRDASSERKRRFHDLQDMLLKAFSEDKEEFARTTLSSSSSSTSAVARNLTGRTRR >SECCE3Rv1G0149630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:27276504:27276986:1 gene:SECCE3Rv1G0149630 transcript:SECCE3Rv1G0149630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRAQNPKAQDPEPQDPAAAGSNPNPKPQRRAKQPRQPKATGKKTSVAREAAAAAATAAAAAVVSPAVETAPVVPDVCVGAAGGGGEVACGLPAEWDEMDGSPWWTFGVEEEKLLGWFPFVEEDFLSVVGPAAAEPAAFDDDIWRIHQIYEIPSYAAK >SECCE3Rv1G0159590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:97465789:97467870:1 gene:SECCE3Rv1G0159590 transcript:SECCE3Rv1G0159590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLERNPSRKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMVQLIEEDGDSFAKKAQMYYQRRPVLVTHVENFYRMYRALAERYDNVTGELRKNIPSRMQSQGSLSGSEFGSELQRSPTPSPEPQKSWTREQSPRAAGFDFFLSNKSNDSPSSRKEPGSASQSESDMKSEDGEDDGIAYTLHQRVLELEDDLNAANRKLLDANEKLEVFEEKSLRCHCDYTENGNGVDHATKITDIDGEFASTKQRLQSSEVEINSLQRRLEDAAILSEEHSRLLEQNKGLEAEIVSLKEEMASARRRFDNKISESDTEISKYRQELATASEKLLQEKSTNSTEVGKLQEMIRVTSLKLEKVSEEKSLVEDQVKELEEANAETERQRQELVHATEMLSEDKFRHEAEILTMQQSIEDLKPRFESIAREKSLLKLWFADLERVVERGRSVVDPPE >SECCE5Rv1G0334510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566087761:566090728:-1 gene:SECCE5Rv1G0334510 transcript:SECCE5Rv1G0334510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF296 domain containing protein [Source: Projected from Oryza sativa (Os09g0491708)] MMEVRASQEQQGGMAGREPFGLPSSPPTPQSSGPVPTMRMTYGEDGNAYFLKPGSAPPTAGTYQPGGGAGLDMPAGPDAAAAGGNGGPAFELNMEEEAAKKRGRAMKYGEDSSTSLALVPVPMPGEPTAVAPGDSGAFSAPAAKPAAGGALAVPPVGMKKRGRPKGSTNKVKKQDKVMSALAFIGSAGAGFTPHVIAVQAGEDVAAKILSFAQHGVRAVVVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFTVQETGGHRSRTGGLSVSLASPDGRVLGGGIAGLLIACTPIQVVVGTFNTVAEKKKAPKHQAAAAHEPASAPPRMTPSFVPAPIAVPLAAAPISVGMAQNSPPSRGTLSESSGSPMNQGVPAAATPSNSGLSSMPWK >SECCE6Rv1G0451150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871369563:871373411:1 gene:SECCE6Rv1G0451150 transcript:SECCE6Rv1G0451150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCTGKLQDLNITSDMTRKTDDGTTAGAEPAAAVLERDYLDDDDEELEPEVTLGFLRKPKEPRHFHSLLPQHFPSKAGGAPAWLDPVNLPSGKSSCCDFCGDPLRFVMQVYVPDGWKETTYHRAFFVFMCPSMSCLQLDQHEQGKDRAANPRRSVKVFRCQLPRINAFYTAEEPEGCMGSQCSGENRAQLCDWCGTWKGEIWCSHCSKASYCSRKHQEMHWQASHENDCSQIPGSPDASILPVAAKVFPGHAWPEYAVDHEHEPSSSTSSVKDNSELMMVEEEAEPDAMMQLFMDQFEDDEDNTCWASFTDRISRRTQVLRYCAEEDAEPLWAASTGSLTCDDIPLCIYCNGQLRYEFQLISQLLHYFRVENERDPVDWATIVVYTCRESCDESVSYKEEFVHVQFSPPTRRTYCSTP >SECCE6Rv1G0420880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680690235:680690969:-1 gene:SECCE6Rv1G0420880 transcript:SECCE6Rv1G0420880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSLEHSSSASSSSPTERGVTAWPWPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGERGTRLWLGTYFTAEAAARAHDAAMLMLRGRSAACLNFRDSAWLLAVPPAFSNLTDVRRAAVQAVADFLRSPEATGAAAAGQEVTSSVAVPSSAACSVPSSETAQTSGDATFEAPSALNMDMFDLDSLFGETDSDMNYYADLAHGLLMEPPPSMATGQYWDNGDCADAGAGADVALWSY >SECCE3Rv1G0156820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:76201768:76203593:-1 gene:SECCE3Rv1G0156820 transcript:SECCE3Rv1G0156820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPAYAVLAAAFRTKLPVSVPRWLGVFASRTPTVRQNASEVLPDAALPPRFYSGIVPRGFVLGSPRLCHTSASEEDSPDVRVGEVLRVLKSCAADADLGKDLRQFAHEMDEDVVLKALQKQRSNWQVALLFFNWAAGLPSYEHGPRTYTEMLDILGRMKKVRLMRQLFDEIPEARRGLVVTNRMFAVLLNRYAGAHKVQEAIEIFYKRKDYGFEVDLVGFQILLMSLCRYKHVEEAEALFLQKKDEFPPVIKSWNIILNGWCVKGSLADAKRVWNEIIASKLKPDLFTYGTFINALTKAGKLSTAVKLFTSMWEKGINPDVAICNCIIDQLCFKKKIPEALKIFGEMNDRGCQADVATYNTLIKHFCKIRRTEKVYELLDDMEKKGCSPNNMTYTYILKTTEKPRDVMNLIQRMEESGCKLDSDTYNLILNLYVSMKYEKGVQQVWEEMERNGSGPDQRSFTIMVHGLHSQGQLDQALQYYMTMKSRGMTPEPRTRILVKAIHMKKDGPDTRDRSPSMTGKNLKMDRLSGFFPCK >SECCE3Rv1G0158650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:89812390:89813742:-1 gene:SECCE3Rv1G0158650 transcript:SECCE3Rv1G0158650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGVVAVAVLGAVLMATVSRAMAALLRLLRIAVPGRKAPWSELPPEMLALVLSRLPSHTDRVRLRAVCRAWRSAARLQPLPPLLPWLFLCGDTFVTLPDGARHRIRKPLDCNGMLSSVIPTGSMLFLVHSNGSCYLMNPCSGETTPLHIESSMLPIDLGCRSHNWIRKVVVSDRIVAVPHSGMVTIFGRRAWHCADQQGLTLTNQESQSTIFRARPMPMPGARSCLLPPASTPVASDIALFQGELYSLAKKHSDSGSESELPELHRLEIGDEQNRIRSVRCISGTPRNRVPDPGLPAGQTWCFSSYLVGCGNRLLMVERQTVEQVPLPVGSSRPIQTQFEVWEAVNLIGGSHGRWSKVNTLMGHALFVSRGCSQSLPAAQCGAQEDCIYFVTGSGYAGFVPRRLRKPEDDVLDCVVYNLRDRTMEPLTLETRAGTAGPWDPTWLFPVHA >SECCE7Rv1G0468380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:97159920:97162311:-1 gene:SECCE7Rv1G0468380 transcript:SECCE7Rv1G0468380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMQAEQGNGGTSPRQSSCIVNGPIIVGAGPSGLAVAATLRRHSVPFTILERSDGIADLWTNRTYGRLRLHLPKVFCELPHVRFPPEFPTYPSKREFLRYLHSYTAHFSISPLFGRTVTRARFDEATSLWHVTAAADGGEVTEYVSKWLVVASGENAEVVVPKVKGRERFAGEVLHSSEYKSGERFKGKRVLVVGCGNSGMEMCLDLCEHGAIPFLSVRSGVHVLPREMLGSSTFGIAMKLLRWLPVKLVDMFLLLVAKVILGDTEKYGLKRPKLGPLEIKEVTGKSPVLDVGAWSLIKSGNIKVVAEVESLGCNGARFVDGNEMAFDAVIFATGYRSNVPSWLQDDGFFTEDGKPKARCPSNWRGPNGLYCVGFSGRGLLGAGADALRAAADIAGSWQEGTVDAGAGAGAATICPV >SECCE6Rv1G0452330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:876541434:876542223:-1 gene:SECCE6Rv1G0452330 transcript:SECCE6Rv1G0452330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSQDIVISHFSHPGHELVKRHHTGLFRCDMCWEDLSGTAYICRAGCDFCIHESCAGHSQTFSSPEHHEHPLVLVQTRRDATLSCDVCFGLCAPSSFLYRCPPCGFDMHPTCARLPQVVRSVRDPTHDLTLVVADGCCAACDTGAGRASYYRCTACNVDYHISCAASTGDNNSAHEAQDALDAQIVRSRIQEQTRNAILDLWSPAYTVRREYF >SECCE7Rv1G0500110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:661969734:661972183:-1 gene:SECCE7Rv1G0500110 transcript:SECCE7Rv1G0500110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRPRSRAVPLIPILLLVLLALSIYSVSRLRLSWAQERELGMPPPALLKRPGHLVLGPAAGQGRPDRLQCQGLRAVNKISLSSQPGEHVSFVTVFTTYSSDPAGAGKRSSDVVTVGKHCYSKEERSMAILNTFISFIQVSMPKSDVIILTDPKSKLSINQGRASILPIEGNYSRGNLMLQRIKSYIAFLELKLEEVDCVNCVRHFVFTDSDMAVVDDLGHIFTSYRHWHLALTFRNNKGQPLNSGFVAVRGSRDGISKAIEFFNEVLKAYNLKYMKASRMLGDQLALAWVVKSYLSSAFGKFSRHETFTGEVNGASVLFLPCEVYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLEAWDFYNSTSQLSDMLCLILKSGRTKYDF >SECCE4Rv1G0256820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:659652350:659661966:-1 gene:SECCE4Rv1G0256820 transcript:SECCE4Rv1G0256820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLSQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFEAEETILDIREAKLAYRAEVFELQRQLARQQAQFDMLAGQASSLIQGRRARVSAMSAVSVQLISLDEILSSRNLEMNAVLGRITATTQELAHYHSGDEDSIYLAYSDFHPYVIGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNGLTRGDSEKSHHHQRVAELQRLRSIFATSERQWIEAQVENAKQQAILQILKSQVSSDEAHIHRDIHSLRRKSSELAGELSTLTQKVQPFISETIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFINHLVNQLARHQFLKIACQLERKHIASAHALLRVIESELHSYLSAVNTRLGHCNSLIQAASEVREQGAIDDRDTFLHAVRDLLCIHSNSQAAVPTYMSAHALVQQISALQSDLLSLQSELENTLPADRKRCINELCTLIQTVEQLLFASSTTAEPVLTPWPLMRALDDMENANAQVEVAVEEVTKARTQKIKIFENRAHEVGRERQVFVDFFSNHERLKNQVRELTSRVKALQE >SECCE2Rv1G0093590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:381340151:381342834:-1 gene:SECCE2Rv1G0093590 transcript:SECCE2Rv1G0093590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAYYLLSNGWATRTNSPLYSFGSEPLEKATETTGMKEMVLPITAGILSALRRVLARRVSLKNQLKRRLHAITVASATCFLFPFAMWDTILGSTSDSIVKMQLPSWAYLSTVLFGMVLIFYVDIVAEESLHLVFSSPRHLVVSTGCIIILEILYKMDFSLSGLLLCSVILGFGILEATSLDRSKKRPLEAHEPLTGSLHNQLRISELPM >SECCE6Rv1G0402230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:481584257:481584814:-1 gene:SECCE6Rv1G0402230 transcript:SECCE6Rv1G0402230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLTYVFFLLLISSTCESSTLEDTCKSFAAGHPSIGYNYCVKTFHAEPASATADARGLAAIAAKIAGAAAKGTAGRIAALCASEEDARRRERLGVCAEVYSDAVDQLGEAAKDIARGEDRSTRDALTRLSAALDAPGTCEDAFGEADDASPLAAEDAEFRKLATIALGVTALLSPPPSAPGISD >SECCE5Rv1G0335560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:575738756:575740919:-1 gene:SECCE5Rv1G0335560 transcript:SECCE5Rv1G0335560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPAERPFDVIVVGAGIMGSCAAHAAASHGARVLLLEQFDLLHQRGSSHGESRTIRATYPQPHYPPMVRLSRRLWDDAQRDSGYAVLTPTPHVDLGPRDDPAFVASVANGGATVLAPAAADAPRPAWAEAFRVPDGWAAASSELGGVMKATKAVAMFQALAAKMGAVVRDRAEVVDVAARKGEGNTTTIVVRTATGEEYHGAKCIITVGAWTSKLVKSVTGADLPVQPLHTLICYWKVKPGHEHELTTEAGFPTFASYGLPYIYSTPSMEYPGLIKIAMHGGPPCDPDGRDWAIGPGEDGLVEPVARWIDEVMPGHVETAGGPVVRQACMYSMTPDEDFVIDFLGGEEFGRDVVVGAGFSGHGFKMGPAVGRILAEMALDGEARTAAEAGVELGHFSIRRFDGNPTGNARSF >SECCE1Rv1G0002490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9225558:9228826:1 gene:SECCE1Rv1G0002490 transcript:SECCE1Rv1G0002490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHALYFTKNHSASWSVSYANGYVQSETLKIERDRQKPCFLPATQGDSAAVISAYILNYLRFGKVNKNISNTNVFEHAGRVYAVAESHQPQEICIHNLETGNTWDIHEEWDRPFGSHPKVAPGSGELVIFGSDAKKPFLVVGVISDNGITLKHKVDLKLDRPTLCHDIGVTIRYNVIMDLPLTVDIGRLTTGGQLIEFEKEGYARIGVMPRYGNAESIVWFDVEPCCMFHLINCFEEGDEVVVQGLCSADSVIPGPRINKPVLLPRRSQLTGDDKIMKQGINEKLFSRLYEWRLNQETKTVSGQYLTGMECSLEFPVINNQYTGVRHSYAYAQIVDSITRLGEVCAKVLPKYGGFAKLCLDERETIIETSGKDLIKMEIHRLDEDQFCSGASFVPRVHGSHEDDGWIISFTHDEVTNTSHVHIIDTQRFEGAPVAKITLPHRVPYGFHGTFVHRNITEHENNKQVGNSKA >SECCE3Rv1G0193870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:775568693:775569685:1 gene:SECCE3Rv1G0193870 transcript:SECCE3Rv1G0193870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRATHWCYACRRPIRLRGQDIICPNCNDGFIQEISETGGALNNYGMFGPRFDDRLDGRFGMMDAMSALMRRRMAEMGSNPVFDPNAAWASTTQGRPSSIGPRLIFGSNIPAQGSDDSGVNVLVRGGRRIGADRPNFGGFLVGGPSLEALFEQLLLQTGNRQGPAPAPQSAIDSMPVVRITRRHLSDDPVCPVCTDRFEVGSEAREMPCKHLYHASCIIPWLVQHNSCPVCRHPLPPQRGSDNNAARPQPSAHASEAVGLGVTGAGADPGPVTRNDDGDGGSPFSFLWPFGSSSPGPSSYQYGGGGGGRPAVYDDDPGQITYSEWHYDP >SECCE3Rv1G0203360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883054575:883057294:-1 gene:SECCE3Rv1G0203360 transcript:SECCE3Rv1G0203360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MLALGNVAFPPTVSSSPVKMTRRFSWRCRAKRVSAGYSQLEVRKVSYRPPGTEQNLLNQTSLSLQEKSFGLIFGRSGSGKTTLLQLLAGLSEPTSGSICIQKYDDSGNPMGLSEVLAPQRVGIVFQFPERYFLSDTILEEVTFGWPRQKADIPLREQLTLKLQNAINSVGLSAISLDKDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLRDLKKDHTILVVSHDLRELYPLVDRSWRMEMGGVLKEEPLPV >SECCE2Rv1G0094920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:407859034:407867704:-1 gene:SECCE2Rv1G0094920 transcript:SECCE2Rv1G0094920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAGDASPPPGPAPPAATGAPSSRDMAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLQDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLRINCTVGVVVSTIDYSRPHSIQVPDSDIGYHFGSLLDSNEGVDVILNVSGERFHAHKLVLAARSTVFRSKLFDDESEGDKNEVNESEDLKEIVIDDLEPKVFKAILHFIYRGTLVDDYELDASSSMGSIFDTLAAKLLAAADKYDLGRLRLLCESYLCNGISVASVANTLALADSHHAMELKAVCLKFAAENLSAVIRTDGFDYLKDNCPSLQSEILRTVAGCEEPCSSGGKSQSVWGQLSDGGDTSGRRVRPRI >SECCE7Rv1G0511710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804830235:804830558:1 gene:SECCE7Rv1G0511710 transcript:SECCE7Rv1G0511710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIAVVLSLSVLAFFLCLGTTEAGPQCMYKLNPYYHGRCETNDDNDNCRSACRNFEAPKYVGGMCNDEQCICYTC >SECCE5Rv1G0338130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:596844453:596847905:-1 gene:SECCE5Rv1G0338130 transcript:SECCE5Rv1G0338130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEVHTAIGKNFRKEKANILWAAANFPRATIVLVHVHWPSKWMPFMGGKLLYKFADEKEKEMHRGRETEAMVKMLLRYKSLCDDTREVRAHYLTHDDILAGVVNLVKKLKIKRIVIGSRNMSKNAVLRKCSQVWVVLNGKYLSTSNDHLEHTGGTVYGGSSELLASIHELSEVSDGYATPPSDFYVSFQEDDVVDEDGVIPMDGDEQLEKEPEQGIEETKASEEVGKISGDEIRSSRNMREESEKLMEEMDKLQRKLKELQDERHNHEESILSPIRKNVLLKEKTLPKQRYPELQIPEHIAQYSMSHIGKATGNFYSRNLIGEGGYGLVYKGKLGGMPVAIKLLRPHGKPHGRQGFPEYQQEVVVLSRVKHPHIVKLIGVCPESCGLVYEYLPNGTLMDGLSKGLPWKDLIRILAEQRSALAHLHSSRPHAIIHADLKLTNILLDAGNMSRLGDFGTARVVQMKPLEEETICRRTNPMGTMGYMDPVFFTTGELTAESDVYAFGVVILQVLTGLLGLNIVEQVQEAMKMDAAHGLLDASAGSWPEVQAERLMRLALRCCNLERNRRPAATSDADWRSLDILRTMATASKSRKWSHAN >SECCEUnv1G0529510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8153633:8155989:-1 gene:SECCEUnv1G0529510 transcript:SECCEUnv1G0529510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Rf2 protein [Source: Projected from Oryza sativa (Os02g0274000)] MVAMSTLAAGSLLPGTAVPNGLLARRVQGSQFQRSQVSRISFEREVSTKATLRSVKCNAAQTQSVQRKSSTATVKRSDPKGKVQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSSYFGGFLLFTIVLLLDYLKEFEKYLVARKHRVGDDDDAGYDTSGGMLGQSS >SECCE4Rv1G0252220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:613058556:613060369:-1 gene:SECCE4Rv1G0252220 transcript:SECCE4Rv1G0252220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPLPLVPRVRLGTQGLEVSKLGFGCMGLTGSYSAPLGDDASAAVVAHAFRRGVTFFDTSDFYGPHTNETLLGKALKQLPREQVQVATKFGIQQYAYDEGRGAVCGRPEYVRACCEASLRRLGVDYIDLFYQHRIDTTTPIEDTIGELKKLVEEGKVRYIGLSEASPDTIRRAHAVHPISAVQMEWSLWARDIEPEIVPLCRELGIGIVPYSPIGRGFFGGRGVTEQVSDESNLKRHPRFSAENLEKNKHLYLKMEELARKHQCSPAQLALAWVLHQGGDVVPIPGTTKIKNLDSNIDSLKIKLTEDDLKEISSQIREEDVAGGRSYTSLAHTNWNHADTPKK >SECCE7Rv1G0483300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:320717702:320718184:1 gene:SECCE7Rv1G0483300 transcript:SECCE7Rv1G0483300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEYLQLQIVRQAQPACVTAPLPVAWLEGDVLAEYVQFLKEAEEAAAPPLPGVKWLEGDVLAEYVQFLKEAEEAAAPPLPGVKWLEGDVLANFLQFLAEDKQVVHHGSGNSSSGSNDGDFMCEKDEDDEEEEMEYLLGHIMSIPAVMARAAAAASAQD >SECCE7Rv1G0506600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:750712793:750716997:1 gene:SECCE7Rv1G0506600 transcript:SECCE7Rv1G0506600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVPFSPAKVQMLQATNCHGHAALGSCFAVPRTGSRPRSVAVRVSSEQEAATAVRAPSVRSIEECEADAVAGRFPAPAAFVRPKAPDGTPEIRPLDMPKRPRRNRRSPALRAAFQETSISPANLVLPLFIHEGEEDAPIGAMPGCFRLGWQHGLLDEVYKARDVGVNSFVLFPKVPDALKTPTGVEAYNDNGLVPRTIRLLKDKFPDIIVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGAIRSALDAEGFNDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALLETAADEAEGADLLLVKPGLPYLDIIRLLRDNSALPIAAYQVSGEYSMIKAGGALNMIDEEKVMMESLMCLRRAGADVILTYFARQAAAVLCGMGSAAK >SECCE3Rv1G0148430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19088348:19088803:1 gene:SECCE3Rv1G0148430 transcript:SECCE3Rv1G0148430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRSNVFDPFADLWADPFDTFRSIIPAISGGNSETAVFANARMDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKNDKWHRVERSSGKFVRRFRLPEDAKVEEVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >SECCE5Rv1G0335070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:570338974:570339912:-1 gene:SECCE5Rv1G0335070 transcript:SECCE5Rv1G0335070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEALSLAGRRVAFTTPQTTGGAGGEGYGGRLGALLRQRGAHPLSVPTIAIRAHEPDRLRPYLLPGALDPFAALAFTSRSGIAAFSRALPSSSSSAQRPLSDAASALPFTVAALGSDADLLDGAFLSRLCRDAGRVAVLVPDVPTPAGLVEALGRGSSRRVLCPVPDVDGLREPPVVPDFLARLDAAGWVAVRAPAYTTCWVGPGCAERLVTPDAAAPDAIVFTSSAEVEGLLKGLDAAGWSWPRLRARWPDMVVAAHGPVTAEGVRRLGIEVDVVSSRFSSFHGVLDALAATFCSQQLKISSRFSAGSS >SECCE2Rv1G0129390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:867988087:867991001:-1 gene:SECCE2Rv1G0129390 transcript:SECCE2Rv1G0129390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADQVRVLGVTRVHPNQTTNPLPLADEDDHTVKLSFLDSFHVATGPIQRLFFYEASDLPPFPSVVSSLHSSLAASLSAFPPLAGKLTFRPSSGDVVVDYSPSAVSSGVTFVEAEFLGGAKAMRRLADDDEHDTEAYARLLPAPVLAVQATRPAGHGRGVVAVGVSLHHAVADGKSFWQFMRWWSAASRGDSVAAPADVVPPSFDRKAIRHPRAEELMAWILRLRAPMLPTLRRSCGETAADTASRRTRTFLLNAAQIQSLKRRISKQLPKPASAYVAVSSLAWTCIVGAKMSSHMIQDDDDVYMMVNADCRGRLQGPPINGEFFGNCVKPCYARARAAELIPPPRRRLGEDDVKGSARDGLARAAAAIQEAIHGGLEVVAENPFSDVREVLEYHMALPPGSTSTVGSSHRFMAYETDFGWGPPARVELVSMFGNSEMVGLHGARDGSVQLSVTLHEACMDAFAANFPGDAGGVHQASLTG >SECCE1Rv1G0017560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:156957026:156962354:1 gene:SECCE1Rv1G0017560 transcript:SECCE1Rv1G0017560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHLFNNISLGGRVGNNPGQFRLYSGGLAWKKQGGGKTIEVDKADIISVTWMKIPRSYQLSVGTKEGIRYVFKGFREQDVSNLTNFIQKNTGTTPEEKQLSVSGHNWGAVDINGNMLSFNVGSKEAFEVSLSDVSQTQLQGKTDVVLEFHVDDTTGANEKDSLMDLSFHVPTSNTQFLGDEERPSAHIFWQKILAIADVGSSEEAVVSLEGIAILTPRGRYTVELHMSFLRLQGQANDFKIQYSSILRLFVLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFVTENVVEKELSLSEEVLAEKYKDRLQSSYNGLEHEVFSKILRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEYVEFERHGAGGASMSSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFVSGKNLKILNLGEDGQDRTGAVAAALQSTDDDPVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPSDDSEEGSDASISDGEKEKSSKKEASSSKPPVKRKPKNVDVEGSEKRKPKKKNKKDPNAPKRAIAPFMYFSKAERANLKNINPELSTTDIAKKLGEKWQKMSAEEKQPYVEQSQVDKKRYAEETAAYRGAGAAPVDVDSADGSSD >SECCE6Rv1G0408170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:569028717:569030636:-1 gene:SECCE6Rv1G0408170 transcript:SECCE6Rv1G0408170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRKHESGYQKCLKKQKADELTQSQAGNMDMFVIKIPRVSSDNQSLDQEHARDNNVENNPSPRDGQAQTENNVGGEENSDNIEASNIDGNLNTSPTSDIGDSFHLDIFDPRNWDSLDSKQIAILAEKGPQRDTLFKKGRKDKYKRRFSAVFHNRILSNGEHCDRDWLVYSEELDKVFCFGCKLFSKGHRKGNLANEEHETSADHVLNMTTWYELRSRLQTHQTIDKATQKVLFRIVCIVKFLSKHNLAFRGTNSKLYKDSNGNFLGLVEMLAEFDPVIREHVRRITNEETHAHYLDHKIQNELIHLVASAVKSEIVKKIKSAKYFSVILDCTPARSHQEQMSIIIRYVDSSSSHVCIEESFLGFLDLLDLDIDDVRGQGYDNRSNMKGTHHGVQRRLLNVNPRAFYSACGCHSLNLALCNMAKTCTKAKDFFGIIQRIYTIFANSTKKWHILKENITGLTPKSVSATRWESRVDSVKAIRFQCADIREALLQVAEIDNDIKTSSEAKGLANSELGEYEFIVAIVIWYEVLYAVNLVSKHLQAKDMLIDVAIEKVQGLITFFKGYRILVF >SECCEUnv1G0529410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7492660:7493163:-1 gene:SECCEUnv1G0529410 transcript:SECCEUnv1G0529410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVALALLLLFTLLITTHRFADGQAFCRSQISLANEACSLRNFPGSSPYVPRQLLNKTSASATPGSRDYELRYRDDDDDDDDDDEEGGEHEGRHRRRQRHRHSTEMDPLDTACCRRLMAIDNSCICQASARLPVFMTSVWHVIKLTPVEGCEVSFECPGALSPQG >SECCE7Rv1G0509500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:781266387:781266866:-1 gene:SECCE7Rv1G0509500 transcript:SECCE7Rv1G0509500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGTGAGAKAKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRIGRYLKNGRYAKRVGTGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIVPRHLLLAVRNDQELGRLLAGVTIAHGGVLPNINPVLLPKRTAEKEPKEGKSPKKTAKSPKKADKKA >SECCE7Rv1G0499000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:649639265:649642017:-1 gene:SECCE7Rv1G0499000 transcript:SECCE7Rv1G0499000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDQSAGCVSAGTKGSKLRYPLRSAGRGKLEPAAAGEAPPSGPASRRAKPSSDVTKSCVLDLSAVKDKSAKPPRRHSIPTKPGVSPRPTPTGIITPVSGVRSRRSDSLGRLGTPTSEASMSTARRKFSTLSSVSYWMTQIRLAEAASKHSISLGFFKLALESECEPLDRMREELKTYVARHGLATELEEPVKEILQVYDIVEDFEKLKISLDSSQEPKKSDKASLGAANVTPKGNLKPRSLNSVATQSKDGKKENIQKEKPDAKIRGSYNRNPAKNAPAKEGAKNTAKKTKKQAKEQQEDCNGGSEVSPVDGDQESVDVVKEITYEDKENMGDAEMAIDAGNAVAQEV >SECCE4Rv1G0224300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:78752970:78754280:-1 gene:SECCE4Rv1G0224300 transcript:SECCE4Rv1G0224300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVIAEAGWDSLGLTSQAEESEMMEQLLGTFPSNGEDEHQELPWSVQATHAYYAHCNGSSNAYSSTSSNSVGSLILDVPSDYGGFYLGDSNGNGPCTAALDLNMVQEQGAAQFMDAILNPSYGNGDSSCDDLGDSSMNLLDSIDTSNKRKHQDQGKIADQTSGRKCSRKADSKRAKKAMQYEDNDGTTADTNKQSLSCCTSEIDSHAFQEPPVASKPKGKAQAGRQTTDPQSLYARKRREKINERLKVLQNLVPNGTKVDISTMLEEAVEYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGIDLNLSQH >SECCE2Rv1G0066410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14291271:14292840:-1 gene:SECCE2Rv1G0066410 transcript:SECCE2Rv1G0066410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNDVKSDEILMPGFRFHPTDEELVSFYLKKKIQQKPISIELIRQLDIYKFDPWDLPKLASTGGETEWYFYCPRDRKYRNSARPNRVTAAGFWKATGTDRPIYSYEGTRCVGLKKSLVFYKGRAARGIKTDWMMHEFRLPSLADTSLPKSRRIDKNIPLNDSWTICRIFKKTGSMAAQRALSHTWGPPLPGATEQELFSALQPVQALHFPSESSSRSLQVAAAAPSNQFDGNYGFQGQHQQFQKPSNTQEDGSSCKVISFNCGPSLQVLKGPIVLPFQTQPPSQKPVHVVPPLFFDTQFGQPEQATGFATGSSADLNADMSCRDQESATTKHGNAFNMISEREDAGQGRLNFPFDLGADSSDDWECNIPWESFLSPTVPAEITQY >SECCE2Rv1G0100320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:539487458:539494434:1 gene:SECCE2Rv1G0100320 transcript:SECCE2Rv1G0100320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMAETLALAPVEDPEATLNAAAIRSRFEQLSTLWGWDQEEPVDAAAAEDELRALRSGCEADMQAEDAWDSSAAELESRGLDSYIEWLRKEVSLTEEENCKLSAEISVIGDTVFKDTILLDAEIESLESSLNTLDSEGLEHLEASPISTDSGFNQIDIEKDCKEWKLDHQIGKSEMDLKLLQIQSISMQRDEEMWQLQSLFSGPKVLECKDNCLRVFLKAPILTSECVILGQKLDCVVDSSVSDHELLIEVDEGSMELNKVQIFPADVCVDILIEKLKSSREVISAPSLGWLIRQCEQQIVINTLRRSLVNDANNSRHSFEYFDKDETIVAHLVGAIDAFFKISADWPLSSYGLKLISIRSSGTQPTNITLDLLCKTKELANGLELATRRHLVRFVDAVEEILVREMQAELHSSRVSA >SECCE7Rv1G0467910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:93091344:93093406:1 gene:SECCE7Rv1G0467910 transcript:SECCE7Rv1G0467910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASEEPFPAVRKCDATRRGDHTVVSDLDGTLLRSRSAFPYYALVAFETGGVPRLALLLLLAPLAGLLYHAVSEAAGVRVLVFAATAGARVADIESAARAVLPRFYADDVHPDAWRVFAACGRRWVVTATPRVMAEPFLRDHLGADAVAGTELATWRGRATGLVDSRRGVLVGERKAEALREMVGDGHAPEVGLGDRRSDYAFMSVCKEAYLVPREPVDAVGADKLRKPVIFHDGRLVQRPTPLAALIAVVWYPIGFLLACVRVAAGSLVPMPWQYHVYRALGVRVVVRGAPPPRPKRAEGRTGALFACSHRTLLDPVFISVALGRPVSVVTYSLSRLSEFLSPIRTVRLTRDRATDAAAIRRLLAEGDLAVCPEGTTCREPFLLRFSSLFAELSDDIVPVATECRMSMFHGTTARGWKGMDPFYLFMNPRPHYTVTFLDRLPAEHTCGGGGRSSHEVANHVQRLVASALSFECTGFTRKDKYLALAGNDGVVRPSKGTWA >SECCE7Rv1G0512460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:811562096:811563203:-1 gene:SECCE7Rv1G0512460 transcript:SECCE7Rv1G0512460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGHVIVFICKLLLVCSSFDELENK >SECCE5Rv1G0307650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:117125786:117128602:1 gene:SECCE5Rv1G0307650 transcript:SECCE5Rv1G0307650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSASCWLEAAKPVFVTKAERERRQAPAVSDRRHSAADLFHSLPQPPLRPPPTPPSSSNPSLCDSAYRDSSDRDRDRGRRHDLDRDRRRDRYDSSCRERDKERIRERGPGRDCHREREQDRDGERGDRNREKDRLEKMAEREREKEREAIKEQYLGSKKPKKQVIKPSEKFRSFDWESTEDTSRNMNTQEARLLFGRGFLAGMDRREQKMAAAQHQKKIRAELQHRTDAEDRMEDGDLVDRKNAAAADLYDTFDMRVDRHWSEKLLAEMTERDWRIFREDFSISYKGSRVARPMRNWSESKLGAKLLLAVEGAGYRKPSPIQMAAIPLGLSQRDVIGIAETGSGKTAAFVLPMMSYIARLPPISDENGPYALVLAPTRELAQQIEEETIKFANHLGIRVVSVVGGQQIEGQALKLKQGCEIVIATPGRLLDCLESRYAVLNQCNYVVLDEADRMIDMGFEPQVATVLDAMPSSNLKPENEDKELDERRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTPGKATELITQNVIMVKESEKKFLLHKILRELGDKTSIVFCNTRESVDMHTKYLEKKGFRVMGIHGGKSQAKRDISLDGFRNRHCNVLVATDILARGIDVPDVAHVINYEMHNSIEIYTHRIGRTGRAGKKGVATSFLTLENTDIFFDLKQMLIQSNSPVPPELARHEASKFRPGSTPGRPPRRNDNVFANH >SECCE4Rv1G0252570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:615260281:615261429:1 gene:SECCE4Rv1G0252570 transcript:SECCE4Rv1G0252570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQLRTRVLPLLLRYPPPHLPASHLVPLHCLLSTTASISPKAFSAKDFLVTDCGLTRAQALKASRRLSNLTSLSKPEATVAFLLGRGVPRSDIAAAVVADPSLLYASVGIVLAPRFAELSELGFSPSQIVAILSIRRTGALRGNLLFWVRIFDSYPKLLFLAKSNRELLSASLEKVIKPNLTTLQECGISARDIAGLSLYSCRLFTVKPKFLVDAVARVEELGVQRSSWMFRRALAALAFKRKDVLARKIQFLYTLGFSQDDLVKIANNAALVLALSDKKIQRSVEFLMKDVGLQASYIARRPALIMYSVERRLLPQYSLLKLLRQKGLLDVELDYYSMASLAEKKFVQNFVHPYKDSAPGLVDEYASACRGKAPKGSARL >SECCE6Rv1G0399700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:442961222:442964606:1 gene:SECCE6Rv1G0399700 transcript:SECCE6Rv1G0399700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSGSGTAPVIAEVEMNGGADQSATTVRATVVQASTVFYDTPATLDKAERLIAEAAGYGSQLVVFPEAFVGGYPRGSTFGFGISISITNPKDKGKGEFQKYHAAAIDVPGPEVTRLAAMAGKYKVFLVMGVIEREGYTLYCSVLFFDPLGRYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEIYCAPTADSRPLWQASMTHIAMEGGCFVLSASQFCRRKDYPPPSEYAFAGLGEEPSPDTVVCPGGSVIISPSGEVLAGPNYDGEALITADLDMGEIVRAKFDFDVVGHYARPEVLSLVVDDQPHRPVSFTSAAEKTPAAKSNGTAKP >SECCE5Rv1G0367760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:823699110:823700303:-1 gene:SECCE5Rv1G0367760 transcript:SECCE5Rv1G0367760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGSCLGAVAILLVLLCAAVSSVAAQPRRPLPPNSRVIHPGRFGKRTQTLTCDNTKDKRNPCVATCDKRCPNECLVLCPSCKTYCLCDFYPGMSCGDPRFTGADGNNFYFHGKKDQDFCVVSDADLHVNAHFIGKRNPSMSRDFTWIQALGIRFADHRLYLGAMKTSKWNNDIDRLELAFDGAPIDISTDIGAQWQSTTVPTLTVTRTSMTNGVRVELKGVFDIMTKVVPITEEDSRIHNYDVTEDDSLAHLDIGFKFYGLTDNVHGILGQTYRSDYVNKLNVSASMPLMGGVASYVSSDIFATDCKVTRFGHNGGISMVTARAN >SECCE7Rv1G0484680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344667599:344672978:1 gene:SECCE7Rv1G0484680 transcript:SECCE7Rv1G0484680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRRRAGHNHHPCPCPRRAVLPAAALLLLFLLAAVTLLYVSPPPMSDHPALAYSRRRSPHALLNSSGGGSLVEPGRREISRVPKGGSTATDGLWGSKLASKFYGCSNSSSKFLDSGVMTHPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASDFAEIFNADWFISFLSKDVRIVKELPKIGGKLWAPHRMRVPRKCTQRCYLNRVLPALIKKHVVRLTKFDYRLANRLDSDLQKLRCRVNYHALRFTDPIQEMGEKIIQRMRERSTHFIALHLRFEADMLAFSGCYYGGGEKEKRELGVIRKRWKTLHASNPEKERRHGRCPLTPEEVGLMLRALGYRNNVHIYVASGDIYGGAKTLAPLKALFPNLHTKETVTSKDELAPFSKYSSRMAALDFIVCDGSDAFVTNNNGNMAKILAGRRRYLGHKRTIRPNARRLYSLFLSRGNMSWDAFSSKVHMAQKGFMGEPKELRPGRGEFHENPSTCICENTDPKTTTKPNPRSEQGLSNGTEGRKAITKPTVANHTNKELGGSSAEEDDTSAEKEDDTSAEKEDDTSEEKEEVVDPEAEDDALVRPDDPELEEVLSD >SECCE2Rv1G0101830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:569688052:569688417:-1 gene:SECCE2Rv1G0101830 transcript:SECCE2Rv1G0101830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYVDTTGEEGRFHTHGHHSNSTTPTGEAASPKHLRRRWPGSASAPSGAGHGPASKCVCAPATHAGSFKCRFHRTNSQGHGQGQGQGSRPSSPPSPAAANAVPRHPASPSSSSGTVATQ >SECCE4Rv1G0252170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:612757060:612757547:-1 gene:SECCE4Rv1G0252170 transcript:SECCE4Rv1G0252170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSRGSVSEEEINELISRLQTLLPTTRRRGSSSSSQASTTKMLKETCSYIKSLHREVDDLSDRLSDLMATMDNNSPAAEIIRSLLR >SECCE3Rv1G0190130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:735520354:735522588:1 gene:SECCE3Rv1G0190130 transcript:SECCE3Rv1G0190130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADRVMAEAGKRIDLGAPLRSVRLPYHKADLDSGPVRHPGAVPFVWEHSPGQPKSVRTRRPPPPSPAPSLQPRPEENGATPYHDALGERDRALPNGAAAPASVPSTGAAEREAERAEVEPTRKEVATPDVLSVADVLRKEEEDVGDDEERFSDALDTLSRTESFTVNCSVSGLSGVPDRPAGAAAASAEPDARGFMMNRFLPAAQAVAVGSPQYTFRKASVAASTGNSAREHAHAAAANWRMGSDDDRVRRTPVQLPYQHLPPNYLSCNYPRRDEHGEEEEEDDDDDFDVHSTRGFASKGCGLLPGLCVKTSLLLLNPMPIMKGGKARQGGRGRGLVSKGRGQKAPNPLARSSHRKNLGCDSNGQYWEEVYKHKLEQKYINQGEDRRSKLTSESNHLTFWSDSQTGDGSSPFRHSIGGGMSPYRRDIALSPSHKANGSFEIRDKDEKMSRSNGSSSLGMDHDHGSLLGSDHSSLKGSSSMSSGVDRTLHEDSMDHRAGIDSETSQLTPPLDPEASLNSRCDVQHGEHQIVRSNSIVEVQDNDTSTKTIAKVPESISSIPSGKAGSVKLDDRKTHDSSQDVPLHLEDKIAVKKESMPLQFLMPLPVPKSPSDSWLSRNLPSVKNKPPAPSFLGIQVQSKKEAPWASAHPKENGLKPPRPRQIRFADVVERPHYLDTEI >SECCE3Rv1G0175070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:417494704:417515527:1 gene:SECCE3Rv1G0175070 transcript:SECCE3Rv1G0175070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEFVDNVIAVIKESVKTFTYETLNNIARLINGISALLLTLLPGKANILEGVSGWELRPAFRGPRLPRWMESGVSSFNEFIHELSADSESESVAGSVPGDDDNEEFVCPPSPLSQSSRLSHTSSFGRHDRRLRRPVKYAVSWIIWPVKIFLSLLLVLFNAVKYRISRASAKTPESPYLSRSISAKKPIHMKDQVLQRTTDRRRGVVEDVHLAVEIFIESVFDVVHKGAHYVLSPSEVWQKLFWWIHGNRVGNSNPVVDVPTANIGSDNPVPTERKTVYRHALNTDSRTCEDVITELGYPFEAIKVVTSDGYVLLLERIPRRDSRKVVLLQHGVLDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHMDKNLSSSKYWKYSVNEHGTKDMPAIIEEIHKIKTSELGSSQNLIREEMEDQNDDIKNLEIQTSEEDVTENQPYKLCAVCHSLGGAVMLMYVVTSRIAQKPHRLSRLVLLSPAGFHEDSNVVFSLVEKIILFVGPVLAPLVPGLYIPTRFFRMLLNKLARDFHNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVVLHLAQIKRAKRFQMYDYGSAAANMEAYGTPKPLDLGAHYSLIDTPMDLVAGQRDRVISPTMVKKHYKLMRKAGVEVSYNEFVYAHLDFTFSHREELLSYVMSRLALAADAGKGRIKQTTVRLRKPKSVQSEIEKDCAMEYRGTDEEAPGEPNGHRK >SECCE5Rv1G0375200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867199035:867201992:-1 gene:SECCE5Rv1G0375200 transcript:SECCE5Rv1G0375200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAAAAASVLQAPRRALGGASFVAARCASSLVAAATAYDHVPFIKEIAVTDPPEHLNSLLNVLHARGEKIVSPGAKRGLIPLVVPLSESPQGNLTSLLRWPTAPSGMEMPVVEVRNHGLWLLAKNVNQYIHRILVEADSRAESGDDLWSAVREELWSAVGEAGPNIYKRGDLKESQMADLDVYLLKKVGLFPDILERKASRHLEKGDHVSALITSEFYIRDQFPGFGRPFVFNSGVQKRVGRTSEAKESARVALKSPWWTLGCRYEEAAELAGWEDEQIEFIREKVSEEGKQDDLKKGKAPEQVVLDEAAFLMDLATVDGNWDEVVDRIAECYRAAGIHDIAKFIAYRE >SECCE3Rv1G0200300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852300594:852301132:1 gene:SECCE3Rv1G0200300 transcript:SECCE3Rv1G0200300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGSNGGRLNPWAEPFVPGSWCRPAPVQAAAAEVEDFSPEWWRLVASSPSFRDSWLRDYGDLGLLDADEGQDDGDAFFPPPPPHKDGEERKGQAAVKKSGGEVAPWGIEKWWRAHVASPPEVPKYADKAPAKVLGGGRFSPRTIQQPR >SECCE7Rv1G0518030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857070731:857073183:-1 gene:SECCE7Rv1G0518030 transcript:SECCE7Rv1G0518030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVNMKTTGTTGSILGHGAADGPSVLLLPFPGAQGHTNPMLQFGRRLAYHGLRPTLVVTRYVLSTTSPPGSPFRVAAISDGFDAGGMASCPDYAEYFPQLEAVGSETLRELLLSEARAGRPVRVLVYDPHLAWALRVARAAGVATAAFFSQPCAVDIVYGELWAGRLALPATDGRALFARGALGVELGPEDMPPFAAVPESQPVLTKTSIGQFDGLEDADDVLVNSFHDIEPKEAECMELTWGAKMIGPTLPSYYLDDNRLPSNKSYGFNLFSDSATCIDWLDKHSSSSVALVSYGTVSNYDATQLEELGSGLCNSGKPFLWVVRSNEAHKLSEELKEKCKNNGLIVAWCPQLEVLSHKAIGCFVTHCGWNSTLEAIVSGVPLVGIPHWADQPTISKYVETMWGMGVRARKDDKGWLKRMEVERCIREVMDGDRKDEYKRNAAKWMQKAKDAMQEGGSSDKHIVDFAAKYTST >SECCE5Rv1G0328360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:502181718:502183265:1 gene:SECCE5Rv1G0328360 transcript:SECCE5Rv1G0328360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYWTMLASLMGALAFLQGVLHAVFPAELRAALARLLGRLTRAFSPYIYFDVTETDGLSNNEIYDAVQLYLSSTAAPASGARLSLTRPHNATSFTFGLAASDRVVDAFRGAAVTWEHVVAPRQSPGFSWRPLPEEKRRFTLRIRRGDREKLLPAYLDHILATAQEIRRRSQDRLLYTNARGGAMDSRGLPWDPVPFKHPSTFDTLAMDPERKASIMADLRDFAAGSSFYERTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDVYDLELTEVSSNAELRKLLMKTTSKSIIVIEDIDCSVDLTNRAALPPAPKPRPTLDGAIDQDAGAASGRSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHVFMSYCTFPALKILLKNYLCLEDGADDGTEVMRGLEGWIEAAEITPADVSEVLIKNRRNGNERAMQELLEVLKARAEKRRLDGGKKAAAAAAKDSDEEEEEKRALESPKEGKEPAGKDSCGDGQDEETDAKKQL >SECCE1Rv1G0027740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:388076009:388077586:-1 gene:SECCE1Rv1G0027740 transcript:SECCE1Rv1G0027740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWLLLPVALLPIVIVLLAQRGAARAGKAKNRSRIPPGPLALPLLGSLLWLRHSSADVEPLLRRLMAQYGPVVSLRVGSRLSIFVADRRVAHAALVERGAALSDRPEVTRRLLGETGNTISRASYGPAWRVLRRNLVSETLHPARVRLFAPARAWVRRVLADKLLRESGSGVEAAPRGVMVIEAFRYAMFCLLVLMCFGERLDEAAVRAVGAAQRDWLLYVARKTSVFAFWPAVTKHLFRGRLKMGRALRRRQKELFMPLIDARRERKKQINRGAGVVLPMPETTFEHSYVDTLLDIKLPEEAGRALTDDEMVSLCSEFLNAGTDTTSTGLQWIMAELVKNPAIQEKLYNEIRATTGDDQEEVSEEDVHKMPYLKAVVLEGLRRHPPAHFVLPHKAAEDIEVGGYLIPRGATVNFMVAEMGRDEREWEKPMEFVPERFLPGGDGEGVDVAGSREIRMMPFGVGRRICAGLGVAMLHLEYFVANLVNEFEWREVPGDEVDFTEKPEFTVVMAKPLCARLVPRSRS >SECCE3Rv1G0213760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:962104570:962107433:-1 gene:SECCE3Rv1G0213760 transcript:SECCE3Rv1G0213760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g12100 [Source:Projected from Arabidopsis thaliana (AT3G12100) UniProtKB/TrEMBL;Acc:Q0WSX7] MAMADPRAWNPNYGVVGSGDRRLAYSRQPSFSTSSPRPPGLARSDSSIPMPVPQPPKAPDRWLATRPMRRLALLLALNAAYSAVELAVGLLTGRVGLVSDAFHLTFGCGLLSFSLFAMAASRTKPDSTYTYGYKRLEVLAAFTNALFLLFLSFSLAVEALHSFMQDESEHKHYLIVSAVTNLLVNLLGVWFFRSYARVNIVYRKAEDMNHHSICLHVLADSVRSAGLILASWFLSLGIENAEVLCLGIVSVAVFMLVMPLFKATGNVLLQIAPGNVPPSALIKCGRQITACEDVSEVSQARFWELVPGHAVGCLSIRVKNSNDDQSVLEYVHGLYEDLGIHDLTIQTEKS >SECCE7Rv1G0521700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874575313:874584707:-1 gene:SECCE7Rv1G0521700 transcript:SECCE7Rv1G0521700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNITGILEKMTGKDKDYRYMATSDLLSELNKESFKADLDLESKLTNIVLQQLEDASGDVSGLAVKCLAPLVKKVNEERVVEMTDKLCDKLLNGKDQHRDTASIALKAVIVEVTTASLSEKILVSLAPQLINGVTKGKSAEIKCECLDILSDVLHRFGNVITKDHAYMLTALVTQLSSTQASVRKKSVSCIASLAPCLSDDLLAKATLEVVKLLKIKRAKSDITRTNIQMIGALSRSVGYRFGPHLAEAVPLLISYCTSASENDEELREYSLQALESFMLRCPRDISPYCESILNLALEYVSYDPNFTDSMEEDTDDEVQDEEEDDESADEYTDDEDASWKVRRASAKCLSAIIASRPQMLSKMYQEACPKLVDRFREREENVKMDIFNTFIELLRQTGNVTKGQGDIDESSPRWLLKQEVPKVVKSINRQLREKSVKTKVGAFSVLKELVVVLPDCLADQFGSLVPGIEKALNDKSSTSNLKIEALAFTRIVMASHSPSVFHPYIQALSGPILSAIGDRYYKVTAEALRVCGELVRVLRPDFEARSIDFRPYIIPIYKAILARLANQDQDQEVKECAISCMSLVIATFGDGLQRELPSCLPILVDRMGNEITRLTAVKAFAVIANSPLRIDLSCVLDHVVSELTAFLRKANRALRQATLGTLNSLVVTYGSQIGSSSYETILAELSTLISDVDLHMAALALELCCTIMVDRRSVKNVGLAVKHKVLPEALTLIRSALLQGQALQALQKFFAALVQSANISFETLLNSLISTAKPSQSGSLSKQALFSIAQCVAVLCLAAGDQKCASTIEMLKGILNDDSSTNSAKQHMALLCLGEIGRRKDLSNHIQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIARQSVDHTGQSELQDSNIVKILALLFNHCESEEEGVRNVVAECLGKIALIEPNKLIPALKERTTSPAANTRATVAIAIKYSIVERTGKIDAILYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPSLIKGLLPELLPLLYDQTVVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDNCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPLEKTIGHKPKSDAVKQEIDRNEDLIRSALRAIAAVNRISGSDYSMKLKNLMSKITATPSLAEKYNSVRSE >SECCE1Rv1G0047330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:624260534:624260884:-1 gene:SECCE1Rv1G0047330 transcript:SECCE1Rv1G0047330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPRALLLLAVGLMVVASASAHGGYEGSCPKDGLKLKACVDVLGKLKLKVNVPRHEPCCSLLDGLVGLDAALCLCANIDANVLGLNLHLPVDLRLILNNCGKVCPTDFRCPPRH >SECCE1Rv1G0003180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:12598213:12599548:1 gene:SECCE1Rv1G0003180 transcript:SECCE1Rv1G0003180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLATVREIRRSQCAEGAAAVLAIGTANPANCVSQEEYPDYYFRVTKSQHLTDLKQKFKAMCQMTPTDKRYFHHTEELLDAHPDFLSRGKPSLDARLDIAAVAAPELAASAAAKSIAEWGRLATDITHLVVSTNLGAHSPGADLRLASLLGLRASVRRTMLYLNSCSAGAASLRLSKDLAENNRGARVLVVCVELTIISFRGPEEADAHPHTLISQAFFGDGAGAVIVGADVVHPERPIFEMVSASQTMIPGTDRVLTMQLTEAGLDGHIFTKELVPIAAQQIDQCLMDAFQPLGVLSDVTTLWNDLFFVVHPGIRGILDHIEGALHLESGKLAASRTVLREYGNMLGATVIFVLDEQRRRMEEDRGVRGEWGVMMGFGPGFTIETMVLHAVAGNPHNKN >SECCE2Rv1G0108150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:658743979:658745315:1 gene:SECCE2Rv1G0108150 transcript:SECCE2Rv1G0108150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRCPNPKRFFRRSSSKISRSSSSCSSSDNGSDAGGIRGGGGSGEIEWEVRPGGMLVQRRDGRGGVEIITVRVATGYSWHDVSIGATCTFGELKVVVSMVTGLEPREQRLLFRGKEREDSDHLHMVGVRDKDKVLLLEDPALKDMKLRAALAGQAVQSPYQTFIKV >SECCEUnv1G0531500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17511136:17511507:-1 gene:SECCEUnv1G0531500 transcript:SECCEUnv1G0531500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTLLNVADNSGARQLMCIRVIGAAGNQRYARIGDVIVAVIKDALPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRELNFTKIVSLAPEVL >SECCE6Rv1G0413000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:619620562:619623756:-1 gene:SECCE6Rv1G0413000 transcript:SECCE6Rv1G0413000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa, Meiotic and somatic DNA repai [Source: Projected from Oryza sativa (Os02g0776800)] MASARLTPNGVGAALAGDTNLRPIVQVVNLRCVSVDGKGTPRSDRWRGLVSDGAETCPAMFAGQLSDLARSGLIRRGSVVQLEEYVINMVGGRRVIVVLNLTVLLAECDIIGNPVITPESESSNQNNPRVEQFNGTRQYGLAVGNPSHTRPNGNVPVFQPSMAGSSLNTPTRLSDKSPVFQPTAQPSYRPAPSYKNQGAIAKNEAPARIIPISSLNPYQGRWAIKGRVTAKGDIRRYHNAKGEGKVFNFDLLDSDGGEIRVACFNTQLDRFYEVVEVGKVYVVSRGNLKPVQRNFNHLNSEWEITLERDSSVDLCPDENSSIPSQQFNFRPISEIEDTPTGTILDIIGVAISVSPSTTVQKKNGTETLKRIIGLKDMSGRSVDLTMWGDFCNREGSHLQEMVERGVFPVLGVKTGRVNDFNGKCVGTISSSQLLIDPDLSEAHTLRQWFDGGGRDASTQSISRDHTPAASRNEVRVTVAKIKDDGLGMGDKPDWVTVKASIIFFKSDNFCYTACPTKEGDRQCNKKVTKGTSGLWVCDKCDKEFPECDYRYLLQLQIQDHSGTTWVTAFQETAQELLGCSALELVTYKENGDPRFAQTMLSCLFQDYLLRLKVKEETYSDERRVKNTLVKVERFDPAAESRYLLDIVSRSVASY >SECCE6Rv1G0437410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:783560717:783562219:-1 gene:SECCE6Rv1G0437410 transcript:SECCE6Rv1G0437410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVDRSSTSRGRGKNKRNWTSDEDDELIKVLYELSLDPRWKADGAFKAGYLVLLEKHLAEKCPGRGITATPHIESRVRHFRKKFGALEVMLSKSGFTWDGNRKTIQCEKAQYEAHCKIHNEAKGLYGVSLPYFEQLAAIYGKDIATGESAEGFGEAVGNLEKEIAMDEEESEEDDMISIGTARRSTDTQSTETTSSKRQKKEPRPKRATGPSDPFAAMLQDVNNQLNNVTQHVGTMATSFTAALAREAAQEDPQQKSREKAISELSRLAFTGSEIVEAATIFAKAPEHMNLMLVLPAILRRDFVLKMLSDERKKG >SECCE5Rv1G0356290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:738450851:738451828:1 gene:SECCE5Rv1G0356290 transcript:SECCE5Rv1G0356290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCSFYASASLPVAKPHSLSSSAKLPSTAAAAITTVQPTKSPPAAAAAATVLSTTAENTASMTPAEALSLHLPELPSAMRDKILSLELMGVDYGRALSLNPALRDAAPESIHAVVTFLQSRGLHFKDLGRVFGMCPSVLTASVRADLRPVFAFLTDDLGVPETAYRRVVVKCPRVLACSVRDQLRPALIYLRRLGFRDNRALAFQDPILLVSSVERTMAPKLEYLAGLGMSRDDAVAMALRCPALFTFNVERNYKPKFDYLVEEMGGGVEDVKAFPQYFTFSLEKRIAPRHRAAADAGVDLPLPDMLKATDEEFSEMLERRRSR >SECCE3Rv1G0149980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30054903:30055454:1 gene:SECCE3Rv1G0149980 transcript:SECCE3Rv1G0149980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVSNMLTEMAIEEVAMLIGVSSGIDDLSVKLRDLKNVLADADKRNITDESVPEWVGELKRAMYHATDILDLCQLRVMEQGPSKDMGCLNPLLFCMRNPLHAHEIGSRIKVLNQKLDEISKRGGSFNLSSWKPTKTERQPTLLPSTARQIHCWSDRVWSGRGLKMIQGHLSICS >SECCE6Rv1G0436920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:780108693:780109877:-1 gene:SECCE6Rv1G0436920 transcript:SECCE6Rv1G0436920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAALGDGPAGLIAERLLAYDVADFLRFRAVCSPWRRCSVDPRAHDVLDRRFHPRRWTMLREELAVPERRCFLNTSTGECVQVDIPELHDHKMLAVTPEGLLLLVHQRNYVRLLNPLTRQLTELPPLTTLLPSKFLDMGILDESNELFDTEFIAWGSGVASDGSTFVLCFNMLDLLATAKPGDDHWTSVRDNGHGITVALAALMFEGRFYCVDDNGVMVLETGADRSPLLKVAAKMDSIYAKMENMDDSQFEDSFHLVDNCGELMLVHRWGGVTDEDKWCCLYDTYRVDLDTGTLFPVKSLGGSAGRAVFIGMHSSLSVSLDVFPSGSIIADTIYLSFDDDGEREWLEVGAYHLRDGSVQRPCTYSSGLVPRPHTLVDCLSLSITVRGLTPFP >SECCE1Rv1G0048130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:632261259:632261612:-1 gene:SECCE1Rv1G0048130 transcript:SECCE1Rv1G0048130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSEPSTPSQSSYFTGCMASPAWLPAVHRSPGRFHLLSRDGGRDGGRRAWRRLLRRLVSKSACCPSPRAPPMITFQYDAASYAKNFDEGRRPSSASAAADKPAAGQLIDPAERLR >SECCE7Rv1G0476280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:200358883:200361621:1 gene:SECCE7Rv1G0476280 transcript:SECCE7Rv1G0476280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSRYAAALHRRTHRVTSALAYAALEWVLIALLLLNGLLSHAVARFAAYFGLRPPCLLCSRADRLFGAEEEEDAADQAAGDARWLRGLLCGAHAAEISGMGYCLHHRRLVADAADMCEGCLSSWKKQMMRDAEEEGAVVCSCCKALVQITSSREMEDPAVHEKTAEEEEEEQDQGYVLLDQDDHEEEEEEEQPEQQNEEEVQKQRGEIKVAAVEDESLEFMAQGEEITPDDDDRLVPVVALDEMTIADDSGLHPDAPGSEGGGMNRTDDERDQDDLDAAVVLEEKRMLASSVATAPAMTENSICQDDELVLEDTAETGDFRTDEGDIVVPQATEVIPEDGSKSAEVETNCEVSIGSDICEQEQDGHAAPFQELVALEELFSPLDYADDRTSPREILHETAPAEQEASEAEHEVTASQRFEYQSNDGNEEDEDRAPETPTYSFAAQNSGKRFLLERKRSLSLSLDGSVSSEVECAEPSTVDQLRCALQAERKTLSAMYAELEEERNAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEAMQLLTELVTKREREKQELERELELCKQKLLQYEDRERRRMPAFKDNVRSPDGNGTSESSSGEDSDENSDDSCELGESPNGNGGGNLQSSPDAVINPRSDQENTGHLAALDDSLTYMEMERLSILEELKALEERLFTLEDDDMNDNNAAAGHSSDDYGLHSPENGLAGNKARFEGRASVSRGKSLLPLFDAVGDQNCDHPSSTEANDSTKPAVAVFAKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDKGMHLLQEILQHLRELRSVELHAKHAGDAIATNSA >SECCE7Rv1G0514520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:834398813:834400471:-1 gene:SECCE7Rv1G0514520 transcript:SECCE7Rv1G0514520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARGCRGIPAFGEWNYGDGDDWSVLAHRFESAMHVPLPVHKPCKRARLGRRRRVPPFGEWNNHGNGDAGGGTAAVVNQCFERVRAHKSLKQEFTGYDNGFVAMGKQQHNVARQTWVDDSGGHAAMGPFSFVAIKAVDDDLYEVPPDMLCAKPLRKGRTWLRSLLMRCCGLNCFT >SECCE7Rv1G0503240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:708613807:708614991:1 gene:SECCE7Rv1G0503240 transcript:SECCE7Rv1G0503240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATISSVGAQAALVSKPRNHGMSDLKGSSSISFELGSSFLGKTGSLQASVTTRIAPKAKSVAGILPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSELRLYDIANVKGVAADLSHCNTPSQVMDFTGPAELASCLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVKSLIEAVADNCPEAFIHIISNPVNSTVPIAAEILKQKGVYNPKKLFGVSTLDVVRANTFVSQKKGLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTDVETEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTYVQSELTELPFFASRVKLGKNGVESIISSDLEGITEYEASALESLKPELKASIEKGVEFAHKQQGASASV >SECCE4Rv1G0281070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825067345:825068541:1 gene:SECCE4Rv1G0281070 transcript:SECCE4Rv1G0281070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEGTFERNTKPRLDDHPEAMAASLLTDDLILEILSRLPARSLHKFKCVSVPWRHLITDPTNRRKLPQALAGFLYMAVSNNRRIHHHFASVYGAVALFDPALPYLHPNKDEGITQVDACNGLLLYRRSKKNRAIPWIEDTCHFVVCNPATGRWVELPHPPQPQEPANSRNHTTGLAFDPVVSSHFHVLCFEYNFPGAYITGVNIYSSRTGAWSRRDCGMVEKLILCSKCVYVGGMLYLIGNLKHINGEYVLVGVDMEGKVWKTIRLPYGRSFGTIGVSQGCLHYAIASVDDNNKILVSEIALWCLKDHDSKELVLKHTASLNKLMSMTGLVYQVAEIHPDCDIIFLVQFGGDTLVSYDMRHQKVGSILNLKKNSIHKFLPYVPLFSESLADADGH >SECCE3Rv1G0181770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:617976107:617976841:-1 gene:SECCE3Rv1G0181770 transcript:SECCE3Rv1G0181770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMMCGRAGEPAVRKGPWTLEEDLILVGYISQHGEGSWDNLARSAGLNRNGKSCRLRWLNYLRPGLRRGSISPEEDMVIRELHSRLGNKWAEIAKHLPGRTDNEVKNYWRTRVHKKAPHQNQLRAPSEATSSVSASTSHASSAVGDEYTQTSFPYPELSWVAADHHETVDVGASATRFFPSEFGGNFWNVQDNFWETLPLSDPVYEAL >SECCE7Rv1G0480710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:272762018:272764599:1 gene:SECCE7Rv1G0480710 transcript:SECCE7Rv1G0480710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWRSNRCSVGPSGAMLALSLLVLLLHAASSARGQASSSSDSDGVVIAQADLQGLQAIRQALVDPRGFLSGWNGTGLGACSGDWAGVKCARGKVVALQLPFKGLAGALSDKVGQLTALRKLSLHDNALGGQVPAAIGFLRDLRGLYLFNNRFAGAVPPALGGCTFLQTLDLSGNSLSGTIPSSLANATRLYRLDLAYNNLSGAVPASLTSLRFLESLSLNNNNLTGEMPSTIGNLGMLRDLSLSNNLISGSIPDGIGNLSRLQFLDLSDNLLGRTLPVSLFNVTSLVEIKLDGNGIGGHIPEAIDGLKNLTKLSMRRNVLDGEIPTTAGNLSRLSLLDVSENNLTGGIPESLSSLANLNSFNVSYNNLSGPVPVVLSNRFNSSSFVGNLQLCGFNGSDICTSASSPATMASPPLPLSQRPTRRLNRKELIIAVGGICLLFGLLFCCVLIFWRKDKKESASSQKGTKGAATKDAGKPGTVAGKGSDAGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMEDGSYVAVKRLREKIAKSSKEFEVEVNALGKLRHPNLLSLRAYYHGPKGEKLLVFDCMTKGNLASFLHARAPDSPPVSWPTRMNIAVGVARGLHHLHTDASMVHGNLTSSNILLDEDNNAKIADCGLPRLMSAAANNNVVAAAGALGYRAPELSKLKKANTKTDIYSLGMIMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAATGSETGEELVKTLKLALHCVDPSPVARPEAQQVLRQLEQIRPSMAVSATSSFTGEPSHTTATATTITDDTRSTTTE >SECCE1Rv1G0056770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689181407:689181914:-1 gene:SECCE1Rv1G0056770 transcript:SECCE1Rv1G0056770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPALHLHLAVVSLLGFFCLIHASRAAVSLPPAATRVLLQKIDAIAASSGADGQAVVVGEPDHGGFSRRMDMEMELEDYPGSGANDHHSPWWRQERGN >SECCE5Rv1G0355310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731316621:731317488:-1 gene:SECCE5Rv1G0355310 transcript:SECCE5Rv1G0355310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVKVFGHAMSTNVARVLLCLEEVGAEYELVPVDFLAGEHNTPEHVQRNPFGKIPAFQDGDLVLFESRAIARYILRKYRTPGSPDLLGEKGGAEEAAMVDVWTEVEAQQYHPAISPVVFECIIFPVMRGAKTDQKVVDESLERLRGVLGTYEERLSKSRYLAGDSFSFADLNHFPFTFYFMATPYAALFDEYPRVKAWWEDLMARPSVKRVCASMPTKF >SECCE4Rv1G0264270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:719653149:719654360:1 gene:SECCE4Rv1G0264270 transcript:SECCE4Rv1G0264270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLQFISLLGTSSAHPAPSCSSSNEKQHRSVHLPQHHQQRGRRSGRRLRAVRAVETGAEPATAESETTVEPPSVDFAFVSPRLLPDGTPDVHYRTACGGQKLRDIMLEGYIDLYGPYDKLLLNCSGGGECGTCIVEVVEGGEMLSPKNEVEKEKLKRKPKSWRLACQATVGNPDSTGQMVIQQLPEWKVHKWEK >SECCE3Rv1G0167790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:208305156:208307507:-1 gene:SECCE3Rv1G0167790 transcript:SECCE3Rv1G0167790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNGGAPARSLGLGISPPKPAALVFLLLLLIDGSLLAASAATDTILPGKGISGNETLVSKSGGFELGFFPPGPGIHYFLGVRFRNMAGNSPTFWLGDRVVITDLPGASLEVFGDSLYIKENGASLWLSPSPGGNVSSAAVAVLLDNGNLVVRDQGNSSLVLWQSFDYPGDAMLPGARLGLDRDTGKNVSLTFKSFSHNGSLSVDATRRNGFVLTTDGHANGGTFPDWMVSSQDNGSSLLLNHPETANGIEFLQFNLGQISLMRWSEPDPAPNGTGGWVARWSFPSDCKSGGFFCGDFGACTASGKCGCVDGFTPSYPIEWGLGYFVTGCSRSLPLSCESDGQTEHDDSFTPLDKLQGLPYNAQDEVAGTDEDCRAACWSKCYCVAYSYGHGCKLWYHNLYNLSLSARPPYTKIYLRIGSKLRNKKGLQTRGIVLLVTGFIGFASLVLISLLLWRFRRDSFGAGKFEVEGPLAVYSYAQIKKATMNFSDKIGEGGFGSVFRGTMPGSTAIAVKYLRILSQAEKQFRTEVQTLGIIQHSNLVRLLGFCVKGKRRLLVYEYMPNGSLDAHLFAEKSSPLSWNVRYQIALGIAKGLAYLHEECEDCIIHCDIKPENILLDAEFCPKLADFGMAKLLGREFNSALTTIRGTMGYLAPEWISGLPITKKADVYSFGIVLFEIIAGRRSTQVVKFGNHRYFPVYAAAQVSEGEVLCLLDARLEGDANVKELDVTCRVACWCIQDEENDRPSMGQVVRMLEGVIDTEMPPIPASFQNLMEGDNSVLYSDF >SECCEUnv1G0541070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93284745:93285407:-1 gene:SECCEUnv1G0541070 transcript:SECCEUnv1G0541070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLIPEDVRAKAEMYTGDAAGQEKTRLMLAETELPSGLLPLKDIIECGYVEETGFVWLKQKKRVDHYFAKAGRHVSYATEISAIAEKGRLKKITGVKAKEMLIWVNLHEICVDDPPTGKLHCKAIGGLSRSFPVEAFEATDALPVPVTGRLKKKTEKESTDQKDEKKEEAAEKPKEEAAEKPKGSAVAPAPAAVDEIGQKMKEMNTEAPVQAEAVAAKN >SECCE5Rv1G0363810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:796621592:796627580:-1 gene:SECCE5Rv1G0363810 transcript:SECCE5Rv1G0363810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAGAAPLVTAAFLLLPLRLLSLAFSLRLPTFPPVAPARRSAAALLTVAALLTVICATPDAGSSSAPDAEALRSEISELRLKLARLESILEENTKTLRSKAYTLEEENKLTEAMENDIQLLRNEESYSESNIYVMEDEAQILQQEVRKINNIAYTIESLAIDAEKRVEFLSSEVKKIESIITEQWIQIRQFEQAFVVTKMMTSKVHKRSLSESAYKWSGKDILLKYVRNVDLHGMFLAGVSHTRTCVSHTYKHCRSFIQVMKRCYHKASRFHKAIQYQCSSDFDGPNAFFLGGSISRSCISLPYKQFKISISSAQQIHYKVQVYLQDAMRSNSYSRGLASEPVTFCLAYLVVISPFWITWFILSTRFGSKM >SECCE4Rv1G0296090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:901490429:901490922:1 gene:SECCE4Rv1G0296090 transcript:SECCE4Rv1G0296090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRSSTSAAVESVDAEAACGLLALEQYGYVDVRMWEDFEKGHVAGARNVPYYLSVTPHGKERNPDFVEQVAALHSKEDRFLVGCRSGIRSRLATADLLAAGFANVKNLDGGYLSLLKSTSYPQPQAASHQ >SECCEUnv1G0533090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:26786507:26788267:-1 gene:SECCEUnv1G0533090 transcript:SECCEUnv1G0533090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVMGEKGCESCKRWQEHYYWEHMDVSKTKFFKLMTQDSQQRIRIPDKFASNFIRQMRSPQGFDLKAPSGETWHVGVSRAANDLFFSSGWGDFVKAHELQENDLLVFTFSGNSSFEVLIFDATGCEKLSSLFAGAGMHKHFHGMVGQQVEQYSPSDDSDSDDDDDDDDDTSVPSQLIESRQNISTLRKFSSRTKPRKELLPGSPNCSSSCDVKHEETEEEESDDGTYADFDYCYSRAAKQLPDDEKSEIIGLASIQPGNPAFMTVLLRAHLQHKNNFLVIPSEFVDKHLRIRSHEVVLLRPNREEMWHVRYYQGSSSRGFRGQPWAKFVRDNKLHKGDICVFELIKGARNEKKAGTTMTVHVVRRKKSDGRFALVG >SECCE3Rv1G0143450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:813025:814279:-1 gene:SECCE3Rv1G0143450 transcript:SECCE3Rv1G0143450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRSACTASVVLLLLSSLCSFATPSAGARAELVTWECNNGTYYSENSTYQSNVRTLLASLAANASRSLFAFATAVVGTGPDTVWGLGLCRGDATNGTACASSCLALAPEVAFGRCMGVMDVSIFYDRCTVRYSFRDFLTNPDNGQVQAKGASDDSVAPSDAGRFVAIVVSLVAALADWAAFNTTSRYATGVMVSDQGFPATTSKEVVHNINGMVQCTPDQAPGPCRACLQGLIDEMPALFFNGSVGGRILAIWCSLRFETHEFYDGSPAVKLAAPQPTPPPPSALPSSSSTRDGIRWRQHAATVSSVVLGVAVILMSLSMIFLWRNKATTQLSYQEDDEDPESLLFDLPTLRQATDNFAEENKLGHGGFGAVYKVCTIGTCRTDT >SECCE5Rv1G0341630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:626626761:626632509:1 gene:SECCE5Rv1G0341630 transcript:SECCE5Rv1G0341630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALRLGHGSLLPAPPLRRQRLVVSCSAVSDGGAAVVWFKHDLRLDDHPGLVAACAEPRRPVVPLYVFDSRILAGYSDTKLELLLFALKDLKLALKSQRSDLLIGLGNAEDAVVKFANEVQAGVIYTEEEVEQCVCSVLANVESSLSNGSFTWGNPPEIKFWSAPLYDYKSLRQVSTSRNQFLNEKFSTTTALPAPTLPTLNVELDTGSLPTLEELKVFLKESRMAQDNWVPIKSTSARSILKAALIQRKIKSNVSLSDGDVKDDDGGNIEDITTSAGASGRKIAGSMFASESSLEVRGGTDITLDALDAYLKYLEGTGKASWQELHDKVRFAETRDGASFRTLFGNAIQLGVISRRRAYQETIQYERDRNAGFLSPFGYSTPTVTSAVDAICSLEWYWLLALKSQVSIEGNYPLKFWRWKGYLIQYTSVGHEGPAVLLVHGFGASLQHFRDNISNIADEGHRVWAITLLGFGKSEKPNVDYSELMWSELLRDFIVDVVREPVHLVGNSIGGYICAIAAGLWPSLANSLVLLNSAGSVVPNYSFIPLSKEGRTSWLSRLQARLLLLFLRSRVGGILKGYYPTRTERVDKPLVDEIIRASYDPGATTVIESIFNFNLSIPLNFLFDSFGGNVLVIQGMKDPLIKSESFISMLREHCSKVQIRELNAGHAPHDEVPDEVNSLLSEWMKTNKTEVKAALEKSKAI >SECCE4Rv1G0271780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:764060588:764060830:1 gene:SECCE4Rv1G0271780 transcript:SECCE4Rv1G0271780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMLAFLILSSSPEDIAGAGYATRLSWRSGSGKQATEKKMQQGEKVEQSTRTKRKRETRPTRFAPEFDGLSCFESIISS >SECCE1Rv1G0029710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:418273205:418274593:-1 gene:SECCE1Rv1G0029710 transcript:SECCE1Rv1G0029710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHESPFKELRLKNRRIMGGGAPEPDEEEVEAQVAAAAAGEEEQWPQWLRPLLSARFFAQCKTHTESHRSGECNMFCLDCAAGAAAGAAALCSLCLAHAHRGHHTIQIRRSSYHDVIRVSDIQRFMDIAGVQTYVINSARVVFLNERPQQKQPGKGGGGAGSANLCEVCSRSLLDNFRFCSLGCKVAGCSSDGGGNDKARMMRAASRPGRAKGSSDSEAASSSSPLRNAAARQSFTPSTPPPPPPPAAKRRKGIPHRAPFGNLIIDY >SECCE4Rv1G0227410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:122442906:122445242:-1 gene:SECCE4Rv1G0227410 transcript:SECCE4Rv1G0227410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESIGEGVTELVPGDHVLPVFTGECKDCAHCKSEESNLCDLLRINVDRGVMIGDGQSRFTIGRKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGLGATLNVAKPKKGSTVAIFGLGAVGLAAMEGAKMAGASRIIGVDLNPAKYEQAKKFGCTDFVNPKDYTKPVQEVLVEMTNGGVDRAVECTGHVDAMISAFECVHDGWGVAVLVGVPHKEAVFKTHPMNLLNERTLKGTFFGNYKPRTDLPEVVEMYMRKELDVEKFITHSVPFSQINTAFDLMLKGEGLRCVMRMGE >SECCE1Rv1G0050110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644742564:644742980:1 gene:SECCE1Rv1G0050110 transcript:SECCE1Rv1G0050110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQELQEADVLWPQHSSDHRRDGDGGSNVDGDMAKVSSPELSAPVLVPHRKRRSRSWSTSDGSGSGSGNDDCSDGDVRCTNDAKRNVPPHVLAERRRRLAGRSTAAYSMCSGKGRTLKGRDLRNIRNLVLRMTGFIEK >SECCE4Rv1G0255880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:651915588:651916079:-1 gene:SECCE4Rv1G0255880 transcript:SECCE4Rv1G0255880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQAFLYLALLLLLCSVAQGDELGADAAGRTPCRAADLVVRQSATGRVVEGKPEYAVEVTNRCRCALSRVLLRCYGLSSVEAVDPRAIRPVDGERCLLRGGRRIPSGAPVRFKYAWMTPFDFPLVSSQVHC >SECCE1Rv1G0051740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:656667258:656668631:-1 gene:SECCE1Rv1G0051740 transcript:SECCE1Rv1G0051740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGHTASVAQLVGTVGRLISKIIDAARTARQNKRECEYLAVRLSIIGEVLPRLPQDAEVKRSLTELGKTLGEADKLVIACQNSSTARQLIGARHHADSLKEVNARIDSHIGLLNLVFTTSGRLDQTRPPNHTATAPSPGSSSAPAAPVRLTWAQIAAATDYFADELSRRSSEVLYKGRLRDGTEVAVKVLSKNGRQDVEGAVVAEVEILFPLRHQHIVRLVGWCSEEEDRIIVYHHEHMSNGTLRDHLLRLRVRVRVQARLRGGSSFSSSPVRATWKMRVEVLLGASRAIEHLHRCGVIHRNVTSFNILLDGSWAPRLSGFGQAILLAAAGDQVNTEVVGTPGYVDPEYRRTGRVSAASDAYSLGVVILETLTGEDPATMQMDSVLLAIRNRKLRDVLVCRPAATPRQLEALELVAHTAECCLFLHGNDRPAMSNVVTNLERALTIINTKQSAMR >SECCE2Rv1G0127630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:855254170:855257133:-1 gene:SECCE2Rv1G0127630 transcript:SECCE2Rv1G0127630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFLPSGLVAVVALACLADAATAQLRQNYYASSCPSAESTVRSVISQHVQQSFAVAPGTLRLFFHDCFVRGCDASVMLMAANGDDESHSGADATLSPDAVEAINKAKAAVEALPGCAGKVSCADILAMAARDVVSLTGGPSYGVELGRLDGRSFSKSIVKHVLPGPGFDLNQLNALFATNGLTQFDMIALSGAHTIGVTHCDKFVRRIYTFKQRLRYNPPMNLDFLRSMRKVCPMNYPPTAFAMLDVTTPKTFDNAYFDNLRYQKGLLASDQVLFTDRRSRPTVNLFAANSSAFFDAFVAAMAKLGRIGVKTGSAGEVRRVCTAVN >SECCEUnv1G0532010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:18830317:18832885:-1 gene:SECCEUnv1G0532010 transcript:SECCEUnv1G0532010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMAAFAKMAVECLEDPLIWLFLVSVSVVLAILWRRRGNAPFPPGPKPLPIIGNMALVNQLTHRGLAALAEKYGGLLHLRLGCLHVVAVSTPEHAREVLQARDGAFSNRPATTANVYLTYGRSDLAFADGGAHVRAMRKLCATNLFSRRRAETWLAVRDGYGAMARDVGGRGGQAVNLRELIFKHTVGVIFRAAFSTGDEGLDEFTVILRVFSKILGEFHVGDYFPWLRWTARLGFNRRLHAARSALDRFTDKIIDDHLRRGKNPADADADLVDGLLAFLAEANLSKGKDGEDALPFTRDNVKAMIMDMLFGGPETVSSTIEWAMAEMMHSPDTFARLQQELADVVGLDRMVDDSDLDKLPFLGCIVKETFRMHPPIPNLLHAAAKDCILGGYSVPRGTRIIINMWAINRYSKAWMDGDTFQPTRFMPGEGDAVGRDLKGGSFEFLPFGSGRRSCPAQGLGHHAVQLAVAYLAHGFNWELPDGMSPAELDMGDMPGITGPRATCLYVVPTSRLNCML >SECCE1Rv1G0061940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717952187:717953380:-1 gene:SECCE1Rv1G0061940 transcript:SECCE1Rv1G0061940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKKVEEDEEQNHPAAAAESPSVDLLPEILREILSRVPYRSLCRFRCVSTAWLALCSDPAVRRRSPQTLSGFFCYSQHDVGGGQRRDDLSFLNLSGWGRPLVDDPSLRFVRVRGYCDVTPLHCCGGILLCYCEKAVMSDDGNYVVCNPATKEIWAVLPVPPNKIMTRLNTARLCFDPAAPRRFVVFVFVQSFAGIQTVEVYSSDTGLWTSMRSEWTPKTLLLGEDSECVFFNNTLHLVDADHSDAEFDREGNLIRWMVTVDTEGKTWNKIQMPDSIYNAFIGQSQGRLYATHVETEGRCQLSVWVLEDYASGQWTLKCTASILEMLGRPHHEPGEHYKLVAIHPEYSLIFLIASRAKTVMSYDMDTSKLSIICTLGDRQPRRFQPYIPCFAEKPR >SECCE5Rv1G0360200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:768075803:768079862:-1 gene:SECCE5Rv1G0360200 transcript:SECCE5Rv1G0360200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPMVEALGRQPDVPGTAAAAVMAKEKKDGVVKEVIRLERESVIPILKPKLVMKLSYLIEQDKDRAEFMKLCRRVEYTVRAWYLLQFEDLMQLYALFDPVSGEKSLEQQSLTRDETETLELNFLTYLFQIMDKSNFKLLSDEENEVAHSGQYLLNLPIKVDESKVDKTLLGRYFKEHPHDNLPAFADKYIVFRRGIGIDQTTDYFFMEKVDVIISRAWRFLLRITMIEKLFSRKRQLKTKKDTKKTDEVNDEEPADLFVERIRLEKMELSIRNLLRKMTIQEPTFERIIVVYRRASKETKKDRGIFVKHFKNIPMADMELVLPEKKNPSLTPMDWVLFLISAVLGLVTLVGSLEMPKADIWVVTAIVSGLVGYCAKIYFTFQANMVTYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSYYILMEQGKATIQDLDSRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRIMCVPLKRANEIIGTTTEEMVMRAQQAPAGS >SECCEUnv1G0548560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:190485957:190486793:1 gene:SECCEUnv1G0548560 transcript:SECCEUnv1G0548560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMGMDSLWSHHQHPPPPPLPPSSEVPDAFSYYCYYPPFAAAAAAAAATTDNPTPPAASGSRASRSRAAARKDRHSKISTAGGMRDRRMRLSLDVARRFFALQDKLGFDKASKTVQWLLDRSTAGINHLAASMSASMSLSEEDGSVLLDQDNNDHAMAMAADAETTKQQEQEHQAKRRSAPAAPRKKSNNGGAPVLLPDKKASRARARLRARERTEERNRLRSEAPAPAPAPAPSPARQPVAPCPAAACSNSYYEEGEQEPWELGGVVFAKPRIQH >SECCE2Rv1G0142120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:938501838:938502533:-1 gene:SECCE2Rv1G0142120 transcript:SECCE2Rv1G0142120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETFVTDVAFEDDVITTTVTSSGDAVVAWLRRIRHAYRWVYHKLIVGLDVEWRPSFGPGYNRVALLQLCVGRRCLIFQLLHADYVPRSLESFLADPDFRFVGVGVQDDADRLRNDHGLVVNNTVDLRGLAADGMGRSWLRQAGLKDIVSAVMGANMEKPQRVRTGAWDAYRLSHEQIKYACIDAFVSFEVGRKLLTGDYSCSDSDSQEEEEEDGSYYSSDEYGSSEEDY >SECCE3Rv1G0146360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11184738:11187881:-1 gene:SECCE3Rv1G0146360 transcript:SECCE3Rv1G0146360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEWRQGKGLVKGWAQRYLNLGFVAGFLLVLLTYIVVTQQFSFTSPDAVPTVTPHRKQAISAPGAGETGTPVEEKTETKPVDASGDGTPKEPEQQQQDAEPERKKPEDTVATEEEEPPKRDDAAAKPLDNGKVVCTTEGPFSDTCDVFGDVRTNGTAHTVTLVPATQTESREWKIQPYARRGMSGISEVTVTQLDSTSTESPAPACTVTHRVPGIVFALGGLTGNYFHDFSDALVPLFVASRRYRGEVQLLASNIQPWWLGKYEAVVRQLSKYDVVDLDHDDQIRCFPSVTVGLRMHKEFDIMPELVPGGTPLSMVDFTAFLRETYSLPRAAPISLMKDISPPEDQEKRKPRLMLLHRGHYRKFVNVPEIVKAAEKVGFEVAIADPRFDVRVEELARLVNSFDVLLGVHGAGLTNAVFMPTGAVVIQVVPYGNLERMAKVDFGDPVADMGLRYLEYSITAEESTLLEMLGPDHPVIKDPESVHRSGWDKVAEYYLGKQDVRVDVERFAPTLALAIEHLRQK >SECCE5Rv1G0299750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19970652:19975136:1 gene:SECCE5Rv1G0299750 transcript:SECCE5Rv1G0299750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSKWIKSLVGIKKHGKAQNGESSRERSSAAQVLHKRKHSVDTEGALAVAEHTVQTEPLASDTNTQTISSHTELNAKEHQAATVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAETLQCMQSLVKAQARVRARQVRIGLEGQVTQKKAPEKNPHEDPAREIEERWCGGIGSAEDMQAKVLKKQEAAAKRERAMAYALTHQRQAGSRKLKAADVQGPEADENQWGRNWVERWVAVRPWENRLLEGNAKENVPIGDDKEAEENGDRDANKPKGKVAVSGIQSNGSSQTKDAKHEKSHSDASGSSSGQSAAVPPAASLGSSKLKPKPSDQTSEEVSPQPTDPAPRSTSNPKERPAAQVNAPTKKRLSLPNNATASGGARKGQASSSEKTRSVGSRNTAKGASKSEPKQRQSPGSATPKRVQSQA >SECCE7Rv1G0517000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:850726623:850727030:1 gene:SECCE7Rv1G0517000 transcript:SECCE7Rv1G0517000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSRLPSLNDGFMQQSQGRLHYAGFERDANDDHVVRLQVYVLEDYSSKEWILKHSIESSHLFGGRHDVDTEEDFSWIAIHPECNLIFFTLGWDRTFMCYDMDRRQLKVICNLENADPPYLPYVPLYEKLQWLHK >SECCE3Rv1G0194080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:776835328:776836869:1 gene:SECCE3Rv1G0194080 transcript:SECCE3Rv1G0194080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFTSAHPMLLFLLLPLLYVLRLRRNTRKQPHADGLKAYPIIGTLPHFVKNQDCLVEWSAGVVARCPTHTMVFDFKGLGLMAGAITANPANVEYIVKTNFQNYPKGEFVVSAMADFLGHGIFNSDGDQWLSQRKAASYEFSKRSLRNFVVSTVRFEVVERLLPLLSRAELQGLTLDMQDVLERFAFDNICCVAFDEDPACLTDDGLGVNGRAEFMHALNDAQIMIMARFMSPVKWAWRVKKLLNMGPERRMSEALATIHGYVDRIIRDRSERGAAGLARKDDFLSRFVSSGEHSNESLRDVVTSFIIAGRDTTSSALTWFFWLVSRRHEVEDKIVREIRAVRASSGSADAAFSLDELREMHYLHAAVTESMRLYPPVAMDSRCCKHDDVLPDGTFVGKGWQVSYSAYAMARLEEIWGEDCAEYRPERWLDEEGAFRPESSFKYPVFHAGPRMCLGKEMAYIQMKSIAACVLERFSFQFIGGESRPGVVFSVTLRMEGGLPMQVKKRGAPNS >SECCE6Rv1G0405030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:525940976:525941353:-1 gene:SECCE6Rv1G0405030 transcript:SECCE6Rv1G0405030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSTFAAPPPPWMPSPAMFFTPPALPGYYQGSAAGTVPGAPREGGGSIGTFFGVLAAVLLLTAVSCVFGRVCRAQAEGPDEVYDCARLSRRWRWWRPPPRIVRRGAKPPPVEEVPAALPLPEP >SECCE7Rv1G0475370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:187271216:187278717:1 gene:SECCE7Rv1G0475370 transcript:SECCE7Rv1G0475370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSGFDMRLGIESALRRALPYGGLPGNDSPQTAFFDAATGGDLRRLRELASGKDAEEKAWLADVSIQGVGPFQAAARLGKVDVCRCLVEELGFDINAGSKIGVTALAAAALDGKMDVVRYLLDNGADPNKKDDAGSVPLHCAAKFGHHEAARLLLSRGASVDIAYFHGTPLHIAAYYGKASVMRVLLEHHADPNKVSEVLGTPLIAALSATSEGLPESISLKCVKLLVEAGADVNSNNPDTALVVATTYGLTDCIKYLLKAGADPNIPNSHCGAMPIQLAASCGRRKHVELLFPLTSPIRTISNWTVDGILANAKSKHSKPRDDEDDDNTKVQLKLCGEEAVKRKDYRGASMFYTEAIELDPTDATLYSNRSLCHMQMTEVDMALLDANTCIELRPEWLKGYYRKGVALMFLKEYKEACDVFMAGLKLDPGNAEMEKALREAVEAMKKDHFARKSFKPSN >SECCE6Rv1G0416150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:647207504:647209221:-1 gene:SECCE6Rv1G0416150 transcript:SECCE6Rv1G0416150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRPRSRSWGGAPTTPPPSGSKATPRHQRSTSTTTFSMLSSERASSSGGDTTEQIVVAQLEKQDRARALQDLTMEPRSDGETAKDGDAPSSSASARVRLLEREVATAKQAETKMLESLISQTKELEQAKVALEEARLEVATLRQGPAQQGQWSVRDLMFGGVDEEINGLRGRLRTALAGEEKCRKAADDLAAALSAVTMEAKQVKTWLSDAQADIERANAEAGRLEGLLRATEADLWSATEQLDGIMSDWKEAAAAWRAREKALLGRARAAEEDAAGARRENAELAELHRAVDHDNDGLRRALERAAEEANAASESLEFASGENSKLRDAVAEKEGAMESLRLENESLKASEAAAQGRATDLHNQLAAATKTAAPAGGGEKAAGLLLEEWKTDAQGRLSAAAFLESGRVMAAASRKDRRMFASISNLAELRSAAAAAAAMDDYYEFDHLDDGRQFGGLENAMKQRKRRSVLRKFGDLFRRRSLHKSDDFGPVLAR >SECCE2Rv1G0130840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:879043140:879043793:-1 gene:SECCE2Rv1G0130840 transcript:SECCE2Rv1G0130840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVLHVDVAGRALAVVELDGAHDPATGRALTGSWLWDSAVVLATHLASARPGTLHGATVLELGAGTGLPGIAAVACLGAARCVLTDVGPLLPGLRANIEANGLTPAQADVRELRWGEDADLPDCELLSVDVVLMSDVFYDPEEMPAMAAALRRLWRDGTVGWAASEVRCGVQDCVDVLREHGFNVAEADRVTRPLLRDSTQVADFAVYRVELRRPH >SECCE6Rv1G0417120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:654504190:654507548:-1 gene:SECCE6Rv1G0417120 transcript:SECCE6Rv1G0417120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSAATGALKPVLEKLAALLGDKYRRFKEVRDEIKFLTDELAAMHSFLLKMSEVEDPLVQDKAWMNEVRELSYDIEDNLDDFVARVDDDRSAKPDGFMGKIKYLLDRTKARHGIAKAIQGLKKQVLVVGKRHARYATAEAISKPSNAMVDPRALAIFKDVSKLVGIDGPKDKIIQLLAKPTQKQPRVVSIVGFGGLGKTTLANQVYKELVGQFDCHAFLSVSRNPDMMKVLRTILSQVTRKDYVNTEAGDEQQLITEISCFLLDKRYFVVVDDIWNIDEWNVIKCAFPITTLGSRIIITTRNNDVAQSCSSSINENIHAIKPLNVMHSRQLFHIRLFNSEEDCPSHLKEVSDQILEKCRGLPLAIIAISGLLANTESTNDQWDRVKNSIGCALERNASIEGMIKILSLSYFDLPPHLKTCLLYLSIFPEDQVIKRKALIRRWISEGFIRKEDRYTLHELGERCFNELINRSLIQPAARNTYDKVVSCRVHDTILDFIISKSIEENFVTLLGVPNVTTGTQSKVRRLSLQAKDEGNCILPANLVLSHVRSLNVFGNTVKIPSMTEFRHLRVLDFGGCRQLENQHLVNIGRLFQLRYLNLRQLGVSELPEQIKHLQCLEMLDLRDTNVRELPTAIVNLGSLVHLLVDNCVTFPDGVSKMQALEMLKRVRAFKQSSNFLRELGQLKNLRKIYLDLFDAPVAGVTKECCKDSTAYQSLRNLGTQNLRSVTIWNGGSFLQQPWCPTPLGLKKLMTWRSAVPRVPGWVGSLVNLQKLRLEVESLGPEDLRTLGSLPALLTLDLEETTKSSKGKLSVSGGDGFRCLRNFRYNTMLVFTEGCMPRLERINIYLSIATKAAGVDAYDFGIENLPCLISVECRVSGSTSADGLEAAKAAMERAVMTNPNHPNLHLLNFHVGC >SECCE2Rv1G0101600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:567280088:567289875:-1 gene:SECCE2Rv1G0101600 transcript:SECCE2Rv1G0101600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRFAWPFGGQRASFCGSFTGWRECPMGLVGTEFQVVFDLPPGLYQYRFLVDGVWRCDDTKPIVRDEYGLISNEMLVTLVENNTHPAVQLEPSSIRRMNLDEGTILTTMPPEPPSRNSGMQIAVFRHRVSEILLNNTIYDVVPVSSKIAILDARLPVKQAFNIMHDEGLALVPLWDDGQGTITGMLTASDFVLILRKLQRNIRVLGHEELEMHSVSAWKEAKLQYYGGADVAGMQRRPLVHVKDSDNLRDVALTIIQNEISSVPIFKSSTDISGIPLLNLATLPGILKFLCSKLQEQPEGYPILRNQISSIPIGTWSQHTGRASTRQLRTSQLSAPLITCLDFLLEDRISSIPIVDDNGSLLDVYSLSDIMALAKNDVYACIELEQLTVENALELQYQVNGRRQCHTCLSTSTLLEVLDQLSVPGVRRLVVIEPMTKFVRGIISLRDAITFLLG >SECCE5Rv1G0348410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673631392:673634019:-1 gene:SECCE5Rv1G0348410 transcript:SECCE5Rv1G0348410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAMEEPPVGGNSNTEETGGPKESLVVIELKKQLCLAGPLIAGCLLQNAVQMISIMYVGHLGELALSSASIATSFACVTGFSLMTGMASSLDTLCGQAFGARQYHLLGIYKQRAILVLTVVSVVVAVIWAHTGQILLLFGQDPEIAMGAGSYIRWMIPSLFAYGPLQCHARFLQTQNIVLPVMASAGVTALSHVLVCWLLVYKLGLGNKGAALANAISYLANVSILAIYIRVSPSCRSTWTGLSKEAFRGILSFMKLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVLSICFNTVTLVFMIPLGLGAAISTRVSNELGAGRPEAARLATRVIMVLGLATGVSVGLLMLLVRNLWGYAYSNENAVVEYIARMMLLLSVSVIFDNLQCVLSGIVRGCGLQKIGACVNLSAYYLVGIPAAVCFAFVFHLGGMGLWFGIICGVVVQMLLLLAITMRTNWDKEALKAKDRVFSSSLPLDMTT >SECCE5Rv1G0343100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:635161687:635167712:1 gene:SECCE5Rv1G0343100 transcript:SECCE5Rv1G0343100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDTKFDASQYAFFGNNVLEEVELGGLDDDEAGDTGFVGPGDEEYTPIYGRDMLEDEGVGSFTGVDDLAGAFSKLTRTVNEPKQSGIVSRGGSLPGQTATADWAQEAESSYWPTQPALGAEQRLDNKSWWSQPPHPAQFADSRLQRTSSSPQQDAQYNPIEPILGPRPSPLQRMSSYPHQEPQYNNIELIRGNGSRFTPALMQHPNGFIPPQMQPPHQQNGMLPIQHSPPQFSQLHAQMLGAQHSPPQNLQMFGPRHPSPQMMGRFDPNFVMPDLSDPRARSMLHHGRHGPRYPPQGFEPGNMRMDNRWQRFRSKYMSTEEIENIARMQKAATQITDPYIDDYYHQACLARKSAGAQLKHYFCPTLIRDPSSRARSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEEASEPSDSTTEKSASKSLDQEPMLAARITIEDGLCLLLDVDDIDRLLHFSKQPDGGLQLRNRRQALLEQLAESLQLDDPLASNKDASLSQNDDLVFLRIVSLPKGRKLLSRYIDLVTSGSELARIVCMAVFRNLRFIFGNLPSDSSIAGTTTKLVSAVSTCVVRMDLSGLSACLAAVACSPQQPPLRPLGYAAGDGASVIIKSLLDRATELLTDQHVASAYSMQNRTLWQASFDAFFGLLMGYCMSKFDTVVHTVQMQPAAAAVISRETPVELLRASLPHTNEYQRKQLLSFAQRTVPVNSSSSHGSGNVPMASEYVQS >SECCE2Rv1G0119190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:789253227:789257848:1 gene:SECCE2Rv1G0119190 transcript:SECCE2Rv1G0119190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARHKVGELLRHARRRSTAALDKALSLLSSPSWSYVQHHVVKERVARWRRVLAGQFWRRLGSLLVHVAYFLAISWLGYLLLAQLRFRAGGDGTRRPRGIDLFFSAVSAATVSSMSTVEMEVFSNGQLLVLTVLMFVGGEVFLSLLGLASKWSKLRKQTVHKSSRRVEIHHVAEFEMPPLDAATEFENPTNDETSKPLDHSHDTRLRRDAVRSLFFIVLAILLAVHVLGAVSIAAYILHASPAARRTLRDKALNVWTFAVFTTVSTFSSCGYMPTNENMIVFKRDTGLQLLLVPQALVGNTLFPPLLAVCVRAAAAGTRRVELKEMAKKGRELTGYYHLLPARRCAMLAATIVVFLAAQVAMLCSMEWGGALRGMSAWEKVSNAAFLAVNSRHTGESTLDISTLAPAILVLFVLMMYLPPYTTWIPFEESSSMKDHPKESQGIRLLKSTVLSQLSYLTIFVIAICITEREKLKEDPLNFNLLSIVVEVVSAYGNVGFSMGYSCSRQISPDRLCTDKWTGFAGRWSDSGKLILILVMLFGRMKKFSMKAGKAWKLS >SECCE1Rv1G0034240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:478833177:478836926:1 gene:SECCE1Rv1G0034240 transcript:SECCE1Rv1G0034240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNGRTIYVGNLPEDIREREIEDIFYKYGPIVDIDLKIPPRPPVFAFVEFEDPRDADDAIYGRDGYDFDGYKLRVELAHGGRGPSFDRQSSYNSSGRRGAVRRSDYRVIVTGLPSSASWQDLKDHMRRAGDVCFSDVYPEAGAITGIVEYTNYEDMKHAIRKLDDSEFRNAFSRAYIRVREYNARRSRSYSRSRSRSCSYSRSRSHSYTRSRSPRSSSRSLSPAAPARDKSASRSPIRSKSLSRSQSPVKSE >SECCE5Rv1G0318660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:364864256:364866507:1 gene:SECCE5Rv1G0318660 transcript:SECCE5Rv1G0318660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRAPSAVEQDWPVAAEFLGFAAARRGVHRRSASDPAAFLEAVPMDHILGGGADDEFDRLDDEQLMSMFSNADGGGDRPGFMDTGEAEEGTASAGAMAAADGFGDPKRVKRILANRQSAQRSRVRKLQYISELERSVTGLQMEVSALSPRVAFLDHQRSLLTVGNSHLRQRIAALAQDKIFKDAHQEALKEEIERLRQLFHHQKIKATGGTDMATAASMQAKQELLMCEGAAMR >SECCE1Rv1G0032490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:455856094:455862033:-1 gene:SECCE1Rv1G0032490 transcript:SECCE1Rv1G0032490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAYRDRGFGGAAEMDRKRIKEALEKHTERPSPSTSRGASREKELLAAGKITTQIGKVPKVSDVEEFETDSEDSDVSGSEGEDTSWISWFCSLRGNEFFCEIDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKVFCPKCEDLHYPRSKYQGNIDGAYFGTTFPHLFLMTYPHLKPQKPSQQYVPRVFGFKLHKQS >SECCE5Rv1G0333590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:559366242:559368987:-1 gene:SECCE5Rv1G0333590 transcript:SECCE5Rv1G0333590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNase Z TRZ2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04530) UniProtKB/Swiss-Prot;Acc:Q8L633] MATTTSLFSLAPLRLTHRRLLSPTPGTASRFRTLASKKAAASTVSGPGGGAGSGLLSVLDRALTDEEEYRRARAQVQRKGVEAEGYAIEGISVGGHETCVTVPSLNVAFDIGRGPLFAVRQDHLFITHAHLDHIGGLPMYIATRGLYNLKPPTVFVPPCIRDDVEEMLQIHRRMSRIDLEVELVALDLGETYEIRNDLVARPFQTHHTVPSQGYVIYSVRRKLKKQYAHLKGSQIVKLKQSGSEITDTILYPEVAFTGDTTSDFILDPRNADALRAKVLITEATFLDDQVDVDHAREHGHMHLSEIMEHSQWFRNEAIILTHFSNRYSLEDIRKAVSRLQPKLISKVVALTEGFKSEYS >SECCE3Rv1G0156850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:76295497:76295895:1 gene:SECCE3Rv1G0156850 transcript:SECCE3Rv1G0156850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFPSLAGVLAHRPLLLYAVTWSAVAAMSVAVTALAPELAYVWGVAPGAPLTRACPDGNFAGGSIGLPLDGPPWDAVCVPAGLFGRTVPDVVVPLVFAVVVVAGATAFTAAVGVWEDDEDDTEITEVGLV >SECCE3Rv1G0162770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:138955364:138955831:-1 gene:SECCE3Rv1G0162770 transcript:SECCE3Rv1G0162770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVARVLGAALLCAIHGATVENTLFEDGDGANTFCAFNPTQAEETYSMVTANRFWSQIFGVVFSNKRWLHFFMLFVPVTGLWMSAIGVVGLALNLRAYDFVSREIRAAEDPKFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >SECCE3Rv1G0207960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:925381587:925382552:1 gene:SECCE3Rv1G0207960 transcript:SECCE3Rv1G0207960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQSESNHTSIVEEGGESLVAEVRGGWVLYQGCWLRPHNVQSIMLVQEGFKARPDDTFLVTFPKCGTTWLKALAFTVTNRFRHAATGNDHPLLTHHPQDLVPFLEMPYRQLHSLADLEKLTSPRLLATHMSSTLLPPCVSNLGCRVVYLCRNPKDVFVSLWHFTNKVGADYTTPMDQAFELFSDGVSPYGPIWEHNLGFWKKSMVESDNVLFLKYDEMMAEPVKHVKVLAKFLRAPFTEEEVSRGVVEDVVHLCSFDKLKSIPINSFGETDRIGGLPMENSSYFRIGKVGDWVNHLSEEMSKKLDDIVEEKLRGSGLTF >SECCE1Rv1G0015650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:127333946:127344534:-1 gene:SECCE1Rv1G0015650 transcript:SECCE1Rv1G0015650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase IV subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G63020) UniProtKB/Swiss-Prot;Acc:Q9LQ02] MEEPNAEMGMPTVELKGITFCLMTNTEMEKSSSASIVEPSDVTSAKLGLPNGAPQCETCGAQSVRECDGHSGVIKLPATVFNPHLFEEVVHLLNQICPGCHTPKQNRDSKRSDGATSQATCKYCSKDGTKQYPDVIFKTLTSPRITLSKTELLRDPNVMDKISITAEVADGSPEVLPLDYWDFVPHHHHPQSNMTKILLSPYQVSHILEQLDPQLFSRFASRPELIFLSCLPVTPNFHRVAELPYGFSDGPRLAYDDLTKAYKRTVDVGRKLDDLRQHPQFSVLASSLVTSRVLECLKLSKLHSKKTDKESSTDTHGMKWLKDAILSKRSDNAFRGTMVGDPKIRLHEIGIPVDLASNLVVSEHVNSYNFDSINSKCNLNLIANEKLVIKRSGKSIIVRKPNQLVIGDTVHRLLQDGDLILINRPPSIHQHSLIGLTAKLLPVQSVLSINPLCCAPLAGDFDGDCLHGYVPQSIQSRVELGELVSLSHQLLNAQDGRSLVSLTHDSLAAAYLLTSSEVLLKKTEVQQFQMLCHPLSPTPGPAIMKSIHSHGPLWTGKQLFSMLLPSDMSFSIEAKLHIIDGEVLACPSESFWLQNSISGLFSVMFKQYGDKALDLLSSAQDVLCEFLTMRGLSVSLSDIYLFPDHDSRRKLADGVNLALDDAEEAFRIKQILLSPDSIPILKCYDDCADLSQSYEQSNFIQSNLPIIKSSIMAFKSVFSDLQKMVQQHTAKNNSMMIMTNAGSKGSMLKFVQQTACVGLQLPASRFPFRIPSELSCASWNRHKSEGTGECLGGQNLYAVIRNSFAGGLNPLECLLHSISGRANFFSENADVPGTLTRKLMYHLRDVYVAYDGTVRSSYGQHIMQFTYDTAEDMCSDRNLKGELGSPVGSWAACSISEAAYGALDHPVNSLEESPLMNLQDVLKCQKGGTSMDHVGLLFLSKNLKKYRYGLEYASLEVKDHLEAVNFSDLVDTVMILYGGSGIQSTKGSPWITHFHLSQEMMTRKRLGLRLVVEELTEQYNAKRDKLNDVIPKVYISKGKCSADNECGNRQTCCITVVTQDESNSMSQLDTIKKRVIPNLLALLVKGFLEFKKVEIQCQEDSELVVKVVMSEEHCKSVKFWATLQKSCIAIMELIDWERSRPGSVYDIFCSYGIDSAWKYFVESLRSKTDDIGRNIRREHLLVVANCLSVSGQFHGLSSQGLKQQRTRLSIASPFSEACFSRPAHTFINAAKQSSVDNLCGTVDAMAWGKEPSTGTSGHFKIIYSGKAHAAIPNENIYDFLRSPEVRQAPGDAFLNGSTISVEQDFLVATVGIWDNIIDMRTCLQNMLREYQLNECVGELDKSRVIEALRFHPRGPEKIGAGIKDIKIGHHPSHPGTRCFIMVRDDGTTEDFSYKKCVQGAADSVSPELGRYVERILRNRAVPSQY >SECCE6Rv1G0447960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:853045846:853050546:1 gene:SECCE6Rv1G0447960 transcript:SECCE6Rv1G0447960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSSGSLSSQVSSATAPARKRKPGTWRRLPRTLPLAVELRMKLGFITYEELKRQHLARADELEKMDDSGCDSEEAKIAFQKFKEEHVRWYRKLAEGWPEHIVIDYNPKTSDTEEEYLTAEDMEARFAHRDPTLLHLQQLKHFANLALEHYNARKTEHKFDISQALTSNCFSEACGTTYAHVNFTAKPQKSDDPTKRLFFAELMLIPKLQLQEDAEPMRVLHVSIIDDAPCFGGCHEIYRNINHRMRGAMDYERCHACHDILKHPKGHMFIGGHDSTRMPYFSAT >SECCE6Rv1G0422730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:690991873:690997288:-1 gene:SECCE6Rv1G0422730 transcript:SECCE6Rv1G0422730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPKLEIFAEDVDEAREEIFRVLPRLDRSVRIIYFDGWGGFGVSAVLRSIAKVLPSMRTPPELCFDRTIYIDCSEWKSARAMQRLIAEELKLDNSVISILDKQDEDDNFSGVDESSRNIIESVGLMIHQALRGSKFMMIFLNGSDVEYDIGAFGPPFARFGDNMMIWAFKKGFLTMNHRRFEIADKLRYTHTLAYIHLAITKRPTSPGFQAILHDEAAAIVARSPCIVDIDPTVVADCCLYELFLHCNFHTFQWVAHASNCWMCDGIIQEDRARDISVALHGKLNWECDVPLVRKFMEHMKPPFLILQDGDVYEEGPYRWISVTSGNIKLHGLQTIPAAASSFFLAFERSDGPSPFPSGLFEHSNNLGVLILCFCVFSFAAPPFLKCSRLRFLGLDHCIDDQFGDGEDHTEWASLYSLWVLHLRYTDWNEILSEEKMDLMANIKELNIEGTRCWQYTADLQGRLPSLLRLRIIKPTYQWETSRDVGNSFMDKADIEILDLSGNREMKVLPTSLSKASRLRVLILDGCDGVENIAGPGGLPPSIESFSFDGYGPACKWTPSSELPLNQFRPPTILGDNSDIKISKISLAGCKQLENLFLRGLPNLVELDLSGTAIKVVDFKTMVMQVPRLKRLFLIGCEHLRAIIFPHKSDSEINLELELLCIDTRSGIESHGATIDEKKSSLLQVNAVSADARLVRSLHSLIYDGMYRPEHVCFNIQITSSTATVGPVESEAPCSDEIVHTEEESLHQLVPAHRYSDVSSMIGDASRPMQVFPPPPMKLDRHVEIADGSHCVESELHKYRGLACLMDLYAESLHVHDVSVGGILPPLFWGQELRHFSVERCSKVDTVFQPRSNGLEELETLWVSHLLIACWICSKGHHLTGGSFRSLQHLHVRSCPNLQFVLPVWFPSFPSLETLHIIHCSNLKHIFTLDDEYPEEIAVQGVLFPKLTTIHLHDLPKLQQICEFKMVAPAVETIVIRGCWNLRLPLPVVATGVMEIEKDVCVEAEAGHYPDQLDAPTQEETAQCLPPPQVNSAPMCFFHRDMGLGWMLVLLWLLLLLHALGFFVCSRG >SECCE7Rv1G0510700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:793006118:793008417:-1 gene:SECCE7Rv1G0510700 transcript:SECCE7Rv1G0510700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRSAAMRILRPSLLPGAGLRRLVHTETKHSGTPRALDEGCIDASQIQQKKEEVYNLIAKAVAEPTTTWLDKRLLKALSVQVKPRPKDPQWRKIILTKVATHCTYALGILAAYVSAPRTEDGAKIVRYDGHLVTAESLPMLQGYEPDATAEGVPKRMG >SECCE4Rv1G0286800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850764320:850770075:-1 gene:SECCE4Rv1G0286800 transcript:SECCE4Rv1G0286800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAEAAMAVVRAARPIFRGAHDGIAFAANAAFLADGYSLCAAGSAALMDRLPADEVEVGFDGWNSMYNRYAFLYSKEEEGKKKYILMECLVMEDFLAINALDREAQHKEPCNVQINVNDFISGEQHKNYKDMYKNFTGFINIISSSLLVELGRNDAASAHVPDVENSSSINSSGNVMWQYPNTRVTEPAGMIYPPIVLVGHDDTFPVPGVSFYPHSGGTGGSMHVGPNDPRFFPANPSTPLGDLGSVPPGGRYDPIGPPDVPGFEPSRFVRHSRYSSHSGGSTHPDLEFFQQGPDFF >SECCE1Rv1G0014610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:115555013:115556038:1 gene:SECCE1Rv1G0014610 transcript:SECCE1Rv1G0014610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEARITTMGSSAFRFRVDYEQSKKLPVGKAIHSDVVSAGGHHWRIEFFPRGQSEADKGEYVSIFFRHMSKTRRIRAVIEAFVIGSDGNPSAPDILQRTFQTFEINGDKGRHDSWGWNRFVKATILERIFLAERHVTFVCAIILTDDEPAILVPPSDIGTHLGRLLDHTDGTDVSFIVGDETFHAHRAVLAARSPVFRAQLFGSMSEATMSSIRLHEITPATFKCMLGFIYMDELPGEGEFNDYSTEMLQNLLAVADLYALDRLKVICAQKLWNAVSVDTVATMLACAETYNCQELKNSCIDFFAVEANFKEAMFTDGYALLVLKFPLITAELKKRVRA >SECCE4Rv1G0229750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:152908926:152910260:-1 gene:SECCE4Rv1G0229750 transcript:SECCE4Rv1G0229750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRGKILMERYELGRLLGKGTFGKVHYARSLESNQSVAIKMLDKEKVLKVGLSEQIRREVTTMRLVAHKNIVQLHEVMATRNKIYFVMEYVKGGELFDKVAKSGKLTEGVAHKYFQQLISAVDYCHSQGVYHRDLKLENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKTGYDGAKSDIWSCGVILFVLVAGYLPFQGSNLMDMYRKIEQGDFRCPSWFSHKLQKLLCKILDPNPSTRASIKKIKESTWFRKGPRGTLAVKERTPSENVSTNTPPTAGVRPRKNTHEDVKPLMVTNLNAFEIISFSTGFDLSGLFIQEECRKVTRFTSDKPASAIISKLEYVAKAMNLRVRKKDNGVVKMQARKEGRNGAVQLDIEIFEITPSHHLIEMKQTSGDPLEYRELLEDIRPRLKDIVWAWHGDDHQQQLE >SECCE5Rv1G0339890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611480693:611484480:1 gene:SECCE5Rv1G0339890 transcript:SECCE5Rv1G0339890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRRRRDDRRGALVASVCLFLLLLLPSAASSSSSSYSSSSLSSPQQQSKIITHLPGFDGPLPFQLQTGYYYTTLPLAFYTSLDLWISCVNDASHHACIPSHHRYVEVDESNGVRLFYYFIRSERNPAKDPVMIWLTGGPGCSAFSGLVYEIGPLSFDRHADVNGFPKLLYKPDSWTKVSNIIFIDSPVGTGFSYSKTEQGYKSSDTKVVTQIVIFIKKWFDEHPEFLSNPFYVAGDSYCGITVPGITLGIAKGIEDGSGSALSLKGYLVGNPVTDYWYYDNPAKIPFAHGMGLISDEMYQAYKESCGAGQFSVRCAKSHDAIEECVKDICPNHILEPLCAFASPRPFKLKLSSGPREVLQLQDEDYTAGARTRLQLSEISTECRTAEYAMSMIWANNDSVREALGIHKRTVPSWLRCNFDIRYTNDIFSSVEHHLDVTTRGYRSLIYSGDHDMIVPSIGTQAWIRSLNFSVVDEWRPWYVDAQVAGYTRSYSNNLTFATVKGGGHTAPEYMPKQCVAMFARWLFGEPL >SECCE5Rv1G0311160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:188076535:188077640:-1 gene:SECCE5Rv1G0311160 transcript:SECCE5Rv1G0311160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGGHGGGNHPLRWASPMSGFILRRFVELIAGGVKTDKGFKEVHLNQVAKNCSEHFGLSITGTQVYNHLRKWRARWVKISKLRDISGSLWDDTNYVISLEEEHYLGHIKDHPKDVEYLNVPLENYVQMLAIFRSGIATGRYAMTSHQALGVPSMVETSPSFVNLEGCGSEFVDGYEPGSSATAAAHGEDVAAAHGKEPCKDASSSTGKRKRASLMSEEEVLVKSNMSDAVREVVVAIKSTGEAHPELYNSVMELPGFTEDDLLIVLDYLSENANRARSHSFVQMSETRRTRWAIHHLSKFNGGVSVPKDGMPQDGVPKEGMPQDGAPNDGAAKDGV >SECCE7Rv1G0463600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:55006782:55007570:1 gene:SECCE7Rv1G0463600 transcript:SECCE7Rv1G0463600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPFPPPSPSSSSSASVPMVVITVVGILAAFALLASYYAFVTRCQPLRALLSRGGPASVSPGAPPPAAADEKRGLGLPLIRMLPVVRFTAAEDAAAPRISVSECAVCLSEFAERERVRLLPGCSHAFHIDCIDTWLQGSARCPFCRRDVTLPAPHPQHRRPPPAFRRRDERLASAAGGNDDDGGSIVIEVRGERETWSDGRRVRRKRTESVGDEAVDTRTKKGEFAAVQPMRRSLSMDSRDAKQRQLYLSVVREFLAQS >SECCE7Rv1G0479110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:248315524:248316186:1 gene:SECCE7Rv1G0479110 transcript:SECCE7Rv1G0479110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTQKVDPTEPAAKIAQQASQFKRWGRRHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDMEWEVVEKTKALSRTGSVEGAYKPKKLSLEDELKALQQKVDINSYDYKRIPKQNENK >SECCE3Rv1G0199100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:842783534:842783998:1 gene:SECCE3Rv1G0199100 transcript:SECCE3Rv1G0199100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLWREAMGTGAPSADADFMDCIEFWYQPECAGWLNMQGEHNKTWRRRWFVLKQGMLFWFKDSAVNPESVPCGAITVASCLAIKGTDDVLDRKFAFELSAPGETMYFVGDTREQKGKWINSILLPLVAPQGTTWDDIFQFVDMLRAGFEQEV >SECCE2Rv1G0139170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:925728849:925729475:-1 gene:SECCE2Rv1G0139170 transcript:SECCE2Rv1G0139170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEDSKSARVTDNLNSALTIHQLTEEKNKLDADYDKLVKDVHQLVDMQQDRVVDFSYLQSNLTYQHQCRVELVAGMKAEMAKKDADREKLNQKYELLCNLTSAQATVIQNLKLKNLKEKELLSEARQNLELKNAEFTNFEEKLTQQKLELKFQVADLLKGKEKLDEEKYMLHLKIGELMKAEEKLKEKIKGIQAILQN >SECCE3Rv1G0144760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5389283:5392422:1 gene:SECCE3Rv1G0144760 transcript:SECCE3Rv1G0144760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVITAGQFVMVLMVVYSAQTMMCTSLHGNETDRLSLLKFKKAVDLDPQQALMSWNDSTHFCHWEGVLCGTTTTPPRVIALNLTNRGLAGQISPSLANLTFLQSLLLPNNSFSGEIPPSLGHMHDLQTINLTSNRLQGKIPNLANCTSLMVLWLTRNNLAGQFPNLPSHLQELHLSHNDLIGTIPSSLANITTLRMLKFSFNNIEGILPNDLAKLHKLQFLHGGANKLTGAFPHAILNISALNSLSLGVNFLGGEVPSDLGNHLPNLQLLQLAINFFHGHIPYSLINASKLYEIDISSNNFTGVVHSAIGKLTKLSYLNLEFNQFHARNKQEWEFMSSLANCTELQIFSMKGNHLQGNVPNSLGNLSDQLQHLFLGTNKLSGGFPSGIANLRGLFKLGLEENQFTGVLPEWLGTLHNLQGIELANNSFTGVIPSSLANLSQLTELFLESNHLYGNIPPSLGNLKVLEILSISNNNLHGSIPKEIFSIPTLTRIGLSSNKLDGVLPIEIGHAKQLLYLLISSNNLSGVIPETLDGCESLEVIELDQNSLSGRIPTSLGIISSLEVLTVSHNHLSGSIPKSIAALKYLQQLDISFNRLDGEVPEEGVFKNTTAIRIGGNRGLCGGVLELHLPACLVTISSPSKHTWPLCFKVMIPLASMVLLAGFVSILLFWRKKQRRESMSLPSFGRKFPKVSYDDISKATEGFSLSNVIGSGRYSSVYKAKLFQDGNVAAVKVFALETRGAQKSFITECSALRNVRHRNLVHILTACSSIDSRGIDFKALVYEFMPQGDLHKFLHSARGDESSSDSNCISLARRLSIVADVSDAVAYLHQEGIVHCDLKPSNILLDDDMVAHVGDFGLARLPIHTTTSSSESTSTSSVAIKGTIGYVAPECAGGGQVSTAADVYSFGVVLLEVFIRRRPTDAMFKDGLSIAKFAEINFPHKVLQILDPELLQELNLCQDTPMDAVEKNGAQSLLSVISIGLCCTRSSRSERISMQEVAAKLHGIRDAYLFTQD >SECCE5Rv1G0353450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:717063348:717065461:1 gene:SECCE5Rv1G0353450 transcript:SECCE5Rv1G0353450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAKRVVVDARHHMLGRLASIVAKELLNGQRVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTARGEAALARLKAYEGVPPPYDRTKRMVIPDALKVLRLQPGHRYCLLGQLSKEVGWNYADTIRELEEKRKEKAKISYDRRKQLAKLRVKAEKAAEEKLGSQLEILAPIKY >SECCE2Rv1G0078560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:108812686:108813972:-1 gene:SECCE2Rv1G0078560 transcript:SECCE2Rv1G0078560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLPGQLMRYRSVLDHRNGLLLYENSRGMYVCNPATRRWATLSAPPRVQTSYSHLPFYGHRMYLMFDPTMSLHYDVLFFPDVPEKPKPPQLRPGESSQISRADYEHERDSLGSMEWPPCIYPLQVFSSKTGRWEEKQFIREGDAAVTVSDVWLDALAPTSSRNSLQRHAVHWRASFYVHCDSGFIMRLSLEKQNYLVIKTPKLDTTGFGVDATGRETHLTTYGYLGKSKHGVYYTALCGYTLQVWVLRKTLESCETPEWELKHQANLTNLNIHHDQHLRGERYEKCWILNGQSEESEHGWDSSDDSAIDLEGGSDMNYKDYHFAVDFLGYHPYKEIAFLGNRFQGFAYYLENSKLKYLGSPYPPYLGNRDYGLRPILESFIYTPCMDDMLPIQNDA >SECCE7Rv1G0486850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:420431820:420434595:1 gene:SECCE7Rv1G0486850 transcript:SECCE7Rv1G0486850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGVSFSFGDVLTICGLLAVQCIFGLYMMFLNRLLLAGAPSLFIIVVACAASSVVVLPFAIALERKKWTKRWSPVLIIQLVMISLGGVSIYQVLMMLGVERTSPAIASAMPNLGPGFIFVIAACLRFERFEWRCKYTRAKILGTLVCISGAMCVSFLKNPDTGTVPESVPRDREFPGMKIDRDWVLGCVYLLTGVTVFACNTVLQAATLKRFPAPLSICVITAMMGSIFSAIIQVIMDGKLGAGTAGNIPRIIGEIVLVGGVVIGLCTTFHVWSIGRKGPVLVSMFSPFQTVFSAFISFIFFGQWIGTGCLGGIALMFAGLYVVLWAKNREDKMFAELEVTLTQLNLISRGHSCSDIVVDQVLAE >SECCE3Rv1G0175830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:435334264:435344956:-1 gene:SECCE3Rv1G0175830 transcript:SECCE3Rv1G0175830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fimbrin-2 [Source:Projected from Arabidopsis thaliana (AT5G48460) UniProtKB/Swiss-Prot;Acc:O50064] MGFDGLVVVSDPYLQRRFTQADLRALQAQYAGLRDTAPTGRLRLRDLPAALTGLGSPTATAAGKAGALRGDAGKENSSPPEPALTDEEWSSVLTAVSRADEKPQLDVNFELFLRVYAEMQLRLKGGKKARERDGGQGIKRSSSSSAAFLTASTTTLLHTISESEKASYVGHINAYLAEDPFLKNALPVDPATDQLFHLTKDGVLLCKLINLAVPGTIDERAINTKRLLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLILGLISQIIKIQLLSDVNLKSTPQLVELVQDSQEMEELMSLSPEKILLRWMNFQLKKGGFQRTVTNFSSDIKDSEAYACLLNVLAPECSAKPSPMSVKDLLHRARLVLEHADRMGCKRYLSPKDIVDGLQNLNLAFVAHIFQKRNGLSKQMKQVSFVDGVSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEVMDKVAPGSVNWKFANRPPIKLPFRKVENCNQVLKIGKEIKFSLVNIAGHDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDNDILLWANKKVKNSGRQSRMESFKDRSLSSGIFFVNLLSAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCSIFLLPEDIMEVNQKMVLTLTASIMYWHLKRPTSYSLDPDNSSSCETSSISTSDDSESESSIDDSGTR >SECCE6Rv1G0441690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811705074:811705313:-1 gene:SECCE6Rv1G0441690 transcript:SECCE6Rv1G0441690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRKEGETPPAAVRGAASFGVSVQEWVQHMRASVVGVWRKATARSEQEAAEADLRAAKAQVEATDQAEAKKKRLAG >SECCE4Rv1G0260980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:699908914:699911001:-1 gene:SECCE4Rv1G0260980 transcript:SECCE4Rv1G0260980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLLPLVRGVAGKAIGTLVQTVTRMCGLDDDRETLERHLLAIECKLVNAEARSHTNGYIKSWMEKLKAVAYEADDVLDDFEYEGLRRKAQIRDSTSRKVLGYVTRHSPLLFRFDMSRKLKGVLDKIKELVEEMNTFGLENSVSWEGPQLSWRQTHSKLDESTAIFGRGDDKEEAVKLLLDQRDQRKVQVLPIFGMGGLGKTTLAKMVYNDQEVKQHFELKMWHCVSENFDVIAIVKSIIELATNGRYDLPDSIELLQKKLDEVIGRKRFLPVLDDVWNEEKRLWDDELKPLLCSVGLPGSVIVVTCRSKQVASIMATIKPHELAFLSEEDSWELFSNKAISNGVEEQPELNTIGRRIVNKCGGLPLALKTMGGLLSSKQQVHEWKAIEESNIGANVGGKYEVMPILKLSYKHMPSEMKQCFAFCALFPKDYEMQKDILMQLWMANGFIQEDETMDLTQKGEFIFHELVWRSFLQDIKAKVVDPVPHGDKTTYVKIVCKMHDLMHDLARDVSNECATIEELIEQKALVKNVCHLQMSKVELEKIHGLFGGKISFRTLLAPSYMQQNFKKLPHVSLRALHWHSWFSSFKAVNAKHLRYLDLSGCSVDARLLHSICLLYNLQTLRLNGCRGLLQLPEDMATSLRKLIHIYLFECPKLERMPPNIGQLNNLRTLTTFVVNARDGCGIEELKDLQEP >SECCE4Rv1G0227620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:125102069:125102677:-1 gene:SECCE4Rv1G0227620 transcript:SECCE4Rv1G0227620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFQMRPSAAVVVVVTMLLLQACCEGSQASASSSLQLPRHQMRKMLSVNASSSSSGDGGAHSAEPLELEECSMDVLEVSQTNAPSMAGGIPAYSVEFTNTCIDCLVCDVHVACGDFASNDVIDPAKFRRLGFNDCLVNGGLSIDPSFPVSFHYGNSFPYPMTVSSASCQCN >SECCE6Rv1G0441010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:808588582:808589616:-1 gene:SECCE6Rv1G0441010 transcript:SECCE6Rv1G0441010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAALRGPAVEERLTQPRRLVQQLSDLDTDRLRRLIRSGDLAPCFDAADEDGRAVECPICFHFYPSLNRSKCCGKGICTECFLQLMPSKASRAVHCPFCKTAAYAVEYRGARTLSEKNLQREEEQNVHEGAARIRSKNAGGHILLP >SECCEUnv1G0564610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:385384901:385385698:1 gene:SECCEUnv1G0564610 transcript:SECCEUnv1G0564610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTMSLSSAFAGKSVTNVASSALFGEARVTMRKTAAKAKQVSSGSPWYGADRVLYLGPFSGVPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEVKNGRLAMFSMFGFFVQAIVTGKGPIENLADHLADPVNNNAWAFATNFAPGK >SECCE2Rv1G0106840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:639301696:639304524:-1 gene:SECCE2Rv1G0106840 transcript:SECCE2Rv1G0106840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g63370, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63370) UniProtKB/Swiss-Prot;Acc:Q9M1V3] MATTVLSLPPNQIPYKKFSPTLPAISSPDHTSLKQLCKEGNLRQALRLLTAGAPGRPPSQDHYGLVLDLVAAKKAAAQGAQVHAHAVATGSLDGDDGFLATKLLFMYGKCGRVEDARVLFDGMSARTVFSWNALIGAYLSSGSAGEALGVYRAMRWSAATGMAPDGCTLASVLKACGMEGHGCCGREVHGLAVKHRLDSSTLVANALIAMYAKCGILDSALQVFERLQGGRDVASWNSVISGCMQNGMFLKALDLFRGMQRAGLSMNSYTTVGVLQICTELAQLNLGRELHAAILKCGSQVNIQRNALLVMYTKCGNVYSALRVFREINEKDYISWNSMLSCYVQNGLYAEAIKFIGEMLQGGFQPDHACIVSLCSAVGQLGWLLNGREVHAYAIKQRLDTDTQVGNTLMDMYMKCQYTEYSAHVFERMRIKDHISWTTIITCYARSSRHFEALEKFREARKEGMKVDPMMIGSILEACSGLKTSLLAKQLHSFAIRNDLLDLVLKNRILDIYGQCGEVNHSLRMFETVEEKDIVTWTSMINCYTNSGLLNEAVALFAEMQNGDVQPDSVALVSILGAIADLSSLAKGKEVHGFLIRRNFLMEGAMVSSLVDMYSGCGSMSNALKVFNGAKCKDVVLWTTMINAAGMHGHGKQAIDLFMRMVETGVAPDHVSFLALLYACSHSKLVDEGKCYLDMMMTIYRLEPWQEHYASVVDLLGRSGQTEDAYEFIKSMPLEPKSVVWCALLGACRIHKNHELAMVAANKLLELEPDNPGNYVLVSNVFAEMGKWNNAKEVRARISERGLRKDPACSWIEIGNNVHTFTARDQTHREAERIHLKLVEITEKLRKEGGYIEDTRFVLHDVSEEEKVDVLRRHSERLAIAFGLISTRPGTPLRIAKNLRVCGDCHEFTKLVSKLFEREIVVRDANRFHHFRGGSCSCGDFW >SECCE5Rv1G0308380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:129137317:129138408:-1 gene:SECCE5Rv1G0308380 transcript:SECCE5Rv1G0308380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKERQRWRPEEDAILRSYVRQYGPREWNLVAQRMNVPLDRDAKSCLERWKNYLRPGIKKGSLTDDEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREIRDSRRPPPEPSPDERGRYEWLLENFAEKLVKERQQVGVGATPLHHHLMAAPMLPPWMSSTAANGAAVSPAPPSPSVTLSLASAVVPPPTAAPWMQQQQQMAEDGAAFGFARPPPAPGMVADAPQAALAELAECCRELDEGHRAWAAHRKEASWRLKRVELQLESERACRRREAAEEFEAKMRALWEEQAAAVERLEAEYREKVAGLRRDAELKEQKMAEQWAAKHARLTKFLEQVGSSCRRWPPGEMNGR >SECCE5Rv1G0358510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755938700:755941866:1 gene:SECCE5Rv1G0358510 transcript:SECCE5Rv1G0358510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEEAAPGADAIRDGFAELERQQELLASCTRLYQQLTDHFGSLERGIKSRSDKLRARRRVVELRASRRLDALRRRELSIDASVKLALSRLDALAKSPAPKRDREDGDGPPSPPPPPPSDDIAEGLAALCAAMDPAGFLAFVVERRKEVDALRAELPAALQRCVDPARFAMDAVSEVFPVDRRAVRSPTDLAWACVLILEAVVPALADPDPEIGAARPMVPQAARDRARAMATEWKEAAERKGGVEGAKPPDAHAFLQHVATFAVAEKEDKELYKRIVLSFSWRRQMPRLALTLGLEDDMEEIIEELITKGQQLDAVNFAYEAGLLEKFSPVPLLKSYLEDSKKIYCTSDNVSTSSGQSGVNANKKEQSALRSVIKCVEDHKLEAEFPLEDLRKRLEELEMAKTEKKKAASSCSSGGPASKRIKGSTGGAMSPSKSGRLSDNTGAPSSPATATSGSTTTAFIGSPASHVSYGTGSPYSYDRSAGHALYCGQSSLPMREPYAYPPAVPVPNVGLGMPYQAPPMTYPIYAGYNSGLGAYGNAMAPAFHQAYYR >SECCE2Rv1G0140870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:933222473:933224784:1 gene:SECCE2Rv1G0140870 transcript:SECCE2Rv1G0140870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGGDHDAAAVSTLREAAVMVWEESRRLWGIGTPIVVATVSMYVLGSVTTIFVGHLGNLPLAAASIGLSVFFTCSFGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWIVLIAAAFLMVPFYVLAEPLLLAIGQDPEVAREAARFALYMLPGAFSLAVNFPTAKFLQAQSKVLVLAWIGVAGLCFHVALTYLLVTVLGWGSPGAAAAYDLSLWAIALGQAAYIIGWCKDGWRGWSMAAFSDMWAFVKLSLESAVMLCLEVWYLGMITVLTGHLQDAQIAVDSLGICMNINGWEFMTFIGLNAAISVRVSNELGSNRPRAAMYAVIVVVVESLLIGLLCMALVLKLRNTFSIIFTSDLELQHAVSKIAGLLGLTMVINSVQPVLSGVAIGGGWQGLVAYINLGCFYIFGLPLGYLLGYKLNYGVGGIWAGMLCGIALQTMILLFIVWRTDWNAEAALASSRVQKWAGTDGTKPLLEDN >SECCE5Rv1G0324210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:444186708:444191470:1 gene:SECCE5Rv1G0324210 transcript:SECCE5Rv1G0324210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMRQAIAALGVACAAAFAVAAADRGLSLPSDRAVAAAPEEISLLNKIANFMWQTDRNSYQHVWPPMEFGWKMVLGSLIGFFGASFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMITGAAISTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVIFPDWLVTVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQTIEEPEYAPLPTGPGAVADVKPHSDEAPSLMKNIYWKEFGLLTFVWLAFLAIQITKNYAPTCSTWYWVLNFLQIPVSVGVTMYEALGLMNGKRVLSSKGNEQTTLKFHQVCIYCFFGVTAGLVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSASMSVVEYYLLNRFPVPYAAYFVAVAFVAAIIGQHVVRRLISWLGRASLIIFILAFMIFISAISLGGVGISNMIHKIERHEYMGFENLCKYDA >SECCE3Rv1G0209260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:937412654:937413653:-1 gene:SECCE3Rv1G0209260 transcript:SECCE3Rv1G0209260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEMGPPGSASGLAQDAIIRIPFRAILDFVLAELGIPEAEYRREICGGNKICVTVLFDASTIKGAPARMSISGTYSTDDEVAEDTAALKAIEYLETAANVVIRDYSYGKLKRLEEESERLMEQLEEANYCISKLVRGWLLAVRCVCSFSHSLRPRLAALQLRKIRERTERRYDDSRKIRCGPLRSGRSRSRAAERRAAEHGLKLLLGKVSWMRL >SECCE1Rv1G0062670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:720616001:720616450:-1 gene:SECCE1Rv1G0062670 transcript:SECCE1Rv1G0062670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTKNRVVAVCLGALMVMATLDLSAGSVYVGCFEPHQNCFPDECQKTCETNVPGNNLKGSCEPDHGPLKPYPALQCCCYRDPPVMSNMGA >SECCE2Rv1G0103440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:589933550:589935115:1 gene:SECCE2Rv1G0103440 transcript:SECCE2Rv1G0103440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDLLGVRTKPAAGKRRRKVAAGPGLAKAIAEYLASDSYMYAPLVSEPQEPAPPPPAAAPATSTPASAEKGAALVQKYRGSWRGTFAAC >SECCE1Rv1G0050730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:649109374:649110327:-1 gene:SECCE1Rv1G0050730 transcript:SECCE1Rv1G0050730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage-repair/toleration protein DRT102 [Source:Projected from Arabidopsis thaliana (AT3G04880) UniProtKB/Swiss-Prot;Acc:Q05212] MAASAGSRRFKIYAAADGFGEPLKDAVVAHLRAHSSVADVVDLGVDKYYSAAAAVARSVSSSSAPDPALEARGVVVCGTGAGVTIFANKYPGVYATHCSSAADAVNTRSINACNVLALSGMATPPEAAAAIADTWLATPFRAPCPASGDAPWPENIQKFFDVAPGEMASIPEAEGSAAPPAPDSACAICCLRKGMEFEPVDIMPGGEMRIVRESPTSAYVRFKAGSVEPAHHHTFGHDLVVIKGKKKVWNLTKKESYGLVDGDFLFTPAGDVHRVKYLEDTEFFIRWDGHWDIFLDEDLETARNAIDAELGVVDSDK >SECCE1Rv1G0017460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:155841616:155843175:1 gene:SECCE1Rv1G0017460 transcript:SECCE1Rv1G0017460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFRTLLLSYPEILLAALCFLSLAALRLALRCRGSSVPVRWPVVGMLPFVLSNLGHLLDAATAALRDSGCMFVFRGPWLVGGDFLVTCDPAAVHHCLAANFDCYDKGRDFAEMFDVAGNGLLNADATSWARQRQVVATVFAAPVFRSFVISTVARQTARLLVPFLDHAAAAGRVVELEDVFMRFSLDVSYAVVFAADLDSLSVSAADAPYPPFGQATRIAGEAVMFRHIVPARWWKLLRWLNVGIERRFAEAKAVLDEFVYLEIANRKAKPLPQGEGQGGDLLSMFMAWPRDPAMTDRQRDEFLRDAAVGYMFAAKDLVASALTWLFYMLCTHPHVEDKVLAELESLRANAASCGGKPVVFDCGTLRSATYLHAAVLEALRLHPPAPFEEKEARADDVLPDGTRVTKGTRILFCIYAMGRVEGIWGDDCREYRPERWLSGSGRVRHEPSYKFAAFNAGPRSCLGKDLGLTNLKIAAAAVIYNFRVELVDGHVVEPSDSVVLHTKNGLMVKVERREVAS >SECCE6Rv1G0423620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:696559915:696560802:1 gene:SECCE6Rv1G0423620 transcript:SECCE6Rv1G0423620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWDGHLGLPGVAPEQPSGSSGPKVESLALVVKDPETSPTSGGGEHGDENKETVGGSEPRDLGAVVPAPNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVCDAIAQFSRRRQRGVCVLSGAGTVANVALRQPSAPGGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLVAAGPVMVIASTFANATYERLPLEDEDEGSGPPMAHDPLMAGGVHGHGHGHGHGHGMPDPSAAMPMFNMPPNNGHLGGGGGDGFPWAPHSRAPY >SECCE6Rv1G0439600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:797504037:797504988:-1 gene:SECCE6Rv1G0439600 transcript:SECCE6Rv1G0439600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKQTKKTTSPGKKEEEGGFRKGPWTEQEDVKLAWFVRLFGERRWDFLAKVSGLNRTGKSCRLRWVNYLHPDLMRGRMSPEEERLVVDLHARWGNRWSRIAKAMPGRTDNEIKNYWRTHTRKLHKDTRAAAADGAGSGGSASASTTTSTSMSAASPTTTSSSSSSTTDNDNNSQHQGHGDQETAASLGQADHQLLYTAGIGMDSHLLWNDALMDTCAWGAAAGTSMIVPPPSSPVWDYCCSDSLWGIGDDEVEYKKMLAVAGAS >SECCE7Rv1G0514810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:836782918:836788338:1 gene:SECCE7Rv1G0514810 transcript:SECCE7Rv1G0514810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTGTTPQDSIGSVLIDLSIAISTSPGTEVHNASLKTPSSNFVFALSTLGISVCNFYTYLIGNSNISPEIPFCTSCDADKLDPDMVYGKKCNHRPPFDAHMVANPVQLKFVPLEESRQVQRQTADVIAWMYWNINDKRTCAAATEDGTNFACVSDNSSCVDTTYMPGYSCRCDAGYTGNPYVVGGCSRDHGYNPSARRNLTCIRSCGKIKVPFPFGLEDGCSGKTEFILSCNALNSTLHLQLETEERITNINITEGFLDTEGISGDQLKPYFYNQFYIADRDIMRLRWVIANLTCQEAHQNISTYACVSHNSRCLHINSTVMGYRCKCNDGYAGNPYVTGPNGCEDFDECKVPGLCKGVCHNTIGGYFCTSCSGVYDHTKMKCTSSRKQIIILGITIGLGIGSGLLLLGLSAMFIFRRWRRDIEKKLRRKCYTENHGLLLEKLISSSEDASERTKIFSLEELEQATNNFDHTRILGRGGHGMVYKGILSDQRVVAIKKSKVIEQGEIDQFINEVAILSQINHRNIVKLYGCCLETEVPLLVYDFVANGSLFEIIHSDPTNNFSLSWDGCLRIGAQAAGALYYLHSAASISVFHRDVKSSNILLDANYTAKVSDFGASRLVHIDQTHVTTHVQGTFGYLDPEYFHTGLLNEKSDVYSFGVVLLELLLRRKPVFTGESGSAQSLSSYFLGEIQQRPVKEIVAAQVREEATEEEIMSVASLAEMCLRIQGEERPTMKEVEMSLQLLHTKRSISTRVTPESGRGEAGVSSHSANGADPITANNQRCYSLEQEFLSSASLPR >SECCE3Rv1G0183620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:652359312:652362696:-1 gene:SECCE3Rv1G0183620 transcript:SECCE3Rv1G0183620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEMPGTDGKGHSAPAPASASGFPPSAPPYYQQQQYGTFGAPSASGEFPQPAVGFPQPAPPPGFRNYPPPPPPSYAVYPPLQAYSAAAPYYAQGYQAVQGYIPVVEGRPVRMRRLPCCGLGMGWFLFIIGFFFAAIPWYVGAFVLICVRVHDYREKPGYVACTIAASLAAIAMLLGATKGAEVW >SECCE2Rv1G0123680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:826248060:826249777:-1 gene:SECCE2Rv1G0123680 transcript:SECCE2Rv1G0123680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLARSPHPAAAAPSPPRIQGRISQYAPLLHSPRRPYPGLRLRLPAATVAASSPPEALAAEPAAAAEGDEELGETRRKLFVGNMPFTFSAAETEKLFGECGVVKDVEVIKMKDGRKRGFAFVTMATAEEAAAAVEKFDGHDVMGRIIKVEFSKSFRKPAPPSSPDTILAKYKLYVSNLAWKARSADMKEFFSQFNPVSANIVFDDRKSAGYGFVSFGTKEEAEAALTELNGKELMERPVILRWREDKETVKADGEVEGVKVNDQAEGVTVDDSGEVEGEDKQE >SECCE2Rv1G0142970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943477958:943480978:1 gene:SECCE2Rv1G0142970 transcript:SECCE2Rv1G0142970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTAIGFISGINECVTLWQWAKSSISSLHSRWSGSQQQHLQGRVSQLESGLQALRDTLPVMHDLINKAEWRSHDDIVASLLPNLKDAVCDAEDLLDEFAWYEKKVQVEGNASQSPFIEFFDTVIQGNFNKLNDVQLRLNRLSSQLKNMGLHGVTQHFDKLVRPETTSLPSETKIFGRDKELEQILRFLNVPATSKRKRATGSINISASNHLGNQSRIFSIPVLPIAGIGGVGKTTLAQLICSHQRVRSHFDLIIWICVSDDFDVKRLTKEAIQSCTRKEGTTDNLDSLQHALLNQVNNKRLLIVLDDMWDDALKENGQCWKRFCAPFRTVQEGSMMLVTTRCPKVTEVVRTMEPVILQGLKDEVFWEFFKLCVFGSEGSDNDPELELIGKKILPKLKGSPLAAKTLGRMLSMDLQASHWNFILESELWELRQEETDILPALRLSYMYLPFCLKQCFAFCAVYPKDYKFQKACLTEIWVAEGFVEPQGGFPIQDIGRRYFQDLVARSFFQKVSGGYVIHDLLHDMAQKVSEHDCFILRNKSDFDKVPQNVRHLYILPSSDFDDSNLLTLCKYTKLRTLVCKKSLGKKTGFAMEHWCSKLPRMRVISCAFTNELPDTIGNWKHLRYLEISKACPLKRIPSTFRWLYNLQILYAKKCKLVSLSIDFGKLISLQKIELHGLTLDSKSININEMYTIGNSLSLSVTDLTIEGNENISSLKHVLHPDCVPVIKKIRIENCKMLAEIPTEKFEDLHFLEELDIGRCPNIRSQRLVSSSLKKLSLRGSGLFFNIDCCSLTHFSLACGFVKSIQLEMWSLPSLRELTISCKSLTSIGGNNTTFSSLNVLTVKYCYKLTTLDDLLTQQYLPAIEEIHVACCLELLSLPFERFGSFPNLKHLAVDNCPSLKWQRGLVLPSSLQRLSLEWCGDISPYLPNSLQNLTSLVSLELGGCDGITSIPGDIWRSYLASLDNLVIRDCPNLVSIGGANAVAQMKKVLILRCPNLEAAEQINR >SECCE4Rv1G0231970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193067900:193069837:-1 gene:SECCE4Rv1G0231970 transcript:SECCE4Rv1G0231970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTMAAAQEELLGRVGPAPPPPSIFLDHPSMTSGDSQSQQPQDDLSLAYISRMLMEEDIIDKFFYQYPEHPTLLQAEQPFAEILSASGITTAGAHGTSALLPSQGNNTGIMVSEFLSSEVHSPPLFLNGRVTVEEPSSGVTMGDLSSMAFFKGMEEANSFLPTVNMMVDARGRKKRSGMDSETEACMGRSSKQIAVRVLAHNDSAEEDTALELDLLILNGYDMHSNETMKEMGDQAAHQSICRKAPCVRHSVRQMVVADLETLLIRCAEAVATNDRSNAGNLLKKIKQHSLSTGDARQRLAHYFAEGLEARLAGTGRQMYHSITMANHTSTVELFKSYHLCIAACCFLKASLNFSNKNIYNAVVGRKKLHIVHYGVNDGFQWPDLLRWLAHREGGPPEVRITGIISPQPGPCPAKQAKETERRLSYCARQFGVPFRFRAIIAKLEAVHAEDLDIDPDEVLVVNNMFHFRTLMDESLTFDMENPRDLVLNTIRKMKPSMLIHAAVNGAYSSAFFMTRFRQALNNFTAQFDMMETTMMQNKDKRLLVERHIFARSVMNIIACEGADRVERPQNYKEWHARNQRAGLRQLSLDPDIAKMLKDQVKKQYHKHFMIDEDQRWLLLGWKGRVLYALSTWVADDASGSQLE >SECCE4Rv1G0278670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:810009343:810011725:-1 gene:SECCE4Rv1G0278670 transcript:SECCE4Rv1G0278670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVNIVEVGHVVVPPPEDLVVRLSVLDSPWVANPLIQRVLLFVDHAGGQQHPPFESLVGSLRASLAATLARLPPLAGRVVFLPSTGDAAIDCSGGPEGGRVRFLVAESNDADARRLAEDADHDVDAFEALVPKLKVDVLPAEVLAVQVTRLKGGVALGVALHHAVVDGRSVWMFLQAWAAACRGDDAAAVANVTFDRAVVAIPGGEELARSTLRKYAPNLPLVANLFPSAPIKLPRRTFTITAKHIHHLKQCMSGETTSGKPATAPMSSSFVAIAALSWSSFVRSKHPVPISSGHDVYLFFFIDCRGRPGIDPPVSENYFGTCITGCLVKAMARDLLAADGVAAAAAAIQREVRRAAEDPLALWDWMDIVSWVPLHRLVGINGSTRFKAYEVADFGWGVPSRTELVTMTDGRVVLVATESGGVQVSVCMHPDHSTAFNSHFIDYLC >SECCE6Rv1G0402990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:494427300:494430751:1 gene:SECCE6Rv1G0402990 transcript:SECCE6Rv1G0402990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDSLSRFKQQQERCQSSLASIAASQASTTKPKHRAQPVNAPSAPARPAQPIKFSNDTERLQHINSIRKSPVGAQIKLVIELLYKTRQAFTAEQINDATYVDINGNKAVFDSLRNNLKVHYDGRRFSYKSKHDLEGKDQLLDLIRCHQEGLAVVEVKDAYPSVLEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKVKIKVDDDLKELFRGIELPRDMVDIEKELQKNGMKPMTDTTKRRAAAQIHGMKPKAKPKKKQREITKRTKLTNAHLPELFQHLKS >SECCE6Rv1G0378740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:10123919:10124470:-1 gene:SECCE6Rv1G0378740 transcript:SECCE6Rv1G0378740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNGGGGSCSQGVVVSLVSALVFCVLVATVSAWKAFAFTGLALAALVGLECLAPESWRDGRRGGAGAAAEGVRWAASRLGGLGKAGIDSLPTFAYASRCPVAGGDLECGGAQAQACSVCLEDIGDGEMVRQLPTCKHLFHVGCIDMWLHSHTTCPLCRCDLSPQRTCNGKATAVGIDPPVV >SECCE4Rv1G0244940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:522877286:522878974:-1 gene:SECCE4Rv1G0244940 transcript:SECCE4Rv1G0244940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKVASGAPIAKSGYSRENEDLTQEERLLLESFPIRESADDCEHAEVDCELAMSGGQLCNVPYGLYDLPELNDILSLETWNSCLTEDDRFRLAAYLPDMDEHDLFTTMTELLSGSAMFFGSPLRGFFDRLNGGFYSPEVSRARELLMNFQRRRYYHFLKLYHDGIVWKFACMDKLWRRVVDTSLEEKIHIWHNWIQEKLLTFADPNSSPLNARLSNIGEVESASFAPLKRAKLIEGTSSTDWSAKYKEIVHGAKSVEISSSNSHIFHLRDVPGEKCSKPSKVVLKANANSDSLADGNAGIHHTPGLIPLAQLGVQVSTFSPYAFSQHLHNFPVNPSYPLYINTRRSSLGSSSSKAWQSEGALETYPILVKSPFGVQHAVLEDLKTGNHSAALSGYQSAAKPITLYSDEGNDTRESLHEKNLLKNFGRPGAMVPESSPGLYMRTAIGHETNGLMKMSNPGNADIISEMLTLGASTSPPYNFPMLSETVLKQHHDGLKTKAPPFMNSATRVEGHRFPYTYARRKPHRVVDLVDPVETPTMVGSESASGLASIANVKAKGIKL >SECCEUnv1G0556230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310666242:310667261:1 gene:SECCEUnv1G0556230 transcript:SECCEUnv1G0556230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEVAGSTQTLQWKCVESRVDSKRLYYGRFILSPLRKGQADTVGIALRRALLGEIEGTCITRAKFGSVPHEYSTIAGIEESVQEILLNLKEIVLRSNLYGVRDASICVKGPRYITAQDIILPPSVETVDTAQPIANLTEPIDFCIDLQIKRDRGYQTELRKNYQDGSYPIDAVSMPVRNVNYSIFSCGNGNEKHEILFLEIWTNGSLTPKEALYEASRNLIDLFLPFLHAEEEGTSFEENKNRFTPPLFTFQKRLTNLKKNKKGIPLNCIFIDQLELTSRTYNCLKRANIHTLLDLLSKTEEDLMRIDSFRMEDRKHIWDTLEKHLPIDLLKNKLSF >SECCE6Rv1G0453720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:884447063:884448862:-1 gene:SECCE6Rv1G0453720 transcript:SECCE6Rv1G0453720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGDEDSRTLLLRRIFGSEEACPDTPRKEEISVDILKKCGGMPLAINSIASLLAGEPASTWDYVRKTMGAMTEGDNVENMKKILDLSYIHLPDHLKTCLLYVCMYPEDREIVKKDLLRKWVAEGFVHVSRNGGLDAEDVAEKYFKELMSMCMIQPGKIDDYNNEVLSCRVHDIILDLMRSKSSEENFIHVIDGSKDGTGEIRRVSVQDNDEEDTRILETINKGSLSHVRSVLLCRSSLVHYFLEFKYVRVLHIEDEFKYDLDLTCISRLFLLRYLKIARIPYGTFGLKLPNQIGELQQLETIDVAGAMLENPPSDIVSLPWLSHLSLYRLVLPDGIDRLKSLRTLEGVCLHRSSVENIKGLSKLTNLRKLQFSPSYESCESVHETTMDALYSSICKLSANLRAFTVEGGLVYIPDVPGWITRTSFPLGSHTRELNLWTCRFPRCPEWIGQLHSLYKFSIEVREVADGVSIVARLPSLAYFRLNTFRMGEEEKEESVVIPGTGSGAFRALRHLDFHCPKASLTFEAGAMPKLEKLEIRFRHKMGPQFLPVGIQHLPAGTLKQINLQVGCARRESMRQLLEGAFKPHHPAAHIFIIFFT >SECCE4Rv1G0230420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:163686676:163701972:-1 gene:SECCE4Rv1G0230420 transcript:SECCE4Rv1G0230420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKADAKAETSGGGGSFSEKGLAEKLNKLNSSAASIQTLSHWCVFHRKRARRIVDTWEKQFNNATKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGSLKDVHDKGGEHGKKVVARLIGIWDERKVFGTRIESLKVDILGENPPTLDNNDNGSNPSPNPSADPKAARKDSSTYIKELTVSGMPEKIATAYQSVVDQYFDEDAALNKCKTTVNVLEKMDKDVDNACTHGIPQASSLVSDLQEQEAVLKKCIEQLESVDTARINLINQLKVALSEQEGKSELLRTQLQVARAEAEHATQLRHRLGGAPVINGPGSSSSPPMITFPSEQTTAAMQNSEASLISPQFQPVHPATSPPTMTSVMGDEPKITAAAMADKLASLSSGQQVLSSIFSSLAAEQAASINVGSPSGELSAGPPGFDRPKRPRLEQPAGDTGAPPFFAQVPQVQQQIGAAPTSHGSTQSPAQVNQVPGSFAPPPLQPMVPQLMQQFSQNTGGPGGMFGMIPFGMMTGSLPPPLPLLPAGFAMPNGPPPPPPLPPIQNQQQQQSPQAPQQSPTSTGFFPTSSTGFFPPVQVQQSPSVQRQ >SECCE3Rv1G0179830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:552149105:552152078:-1 gene:SECCE3Rv1G0179830 transcript:SECCE3Rv1G0179830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATGYGCSAALSFPRRGSPSAGRSPLSGAYLTSSNPKLRTPAPSLRVSYRRRRLYVCAYSGDVDPYASAASPAEASFDIKLPRRNLLVQFTCNKCDARTKRLINRVAYERGTVFLQCAGCQVYHKFVDNLGLIVEFDLREENGVNTCTED >SECCE7Rv1G0460870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:33939202:33941558:1 gene:SECCE7Rv1G0460870 transcript:SECCE7Rv1G0460870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAFFSAASLFHAADDSDEDGGTRDDAQVGAEGENQQPALEYEERIHKFPGVDLSIREFSSHQLNANLLWPGTFFFADWLVKNPSILDGQRILELGSGTGALAIFLRKTFGVDITTSDYDDKDIEENIAHNCRVNNLDLLPHIRHTWGDPFPVLQPDWNIVIASDILLYVKQYPNLITTLSFLLEESDLNSQKGICTNITTKAGTQVAARCPMFLMSWRRRIGKDQSIFFDGCEKAGLEVQHLGDLVYLINKKR >SECCE2Rv1G0139790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929080699:929082774:1 gene:SECCE2Rv1G0139790 transcript:SECCE2Rv1G0139790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWLIALSTLLALLFFKLSGGKKQPLPPGPWRLPIIGSLHHVVRVLPHRTMTELCRRHGPMMYLQLGEIPTVVVSSKEAVGQMMKGSDLQFANRRTTGMQDIVGCGGKGVTFAPYGDHWRQMRKVCATELLSSKQVRRMERVRAEEMGSLLRSMTASAGATLNVSQKVAALSNDVVARAVFGGKFGQQEDFIHASNRIMDLLGGFFLIDLFPSSRLLRWLSSEERRVKSSRDVMQRIITDVLDERKAVRAASNGDTCDEGLLDVLLTRQEEDSLESPLTTEMITTVLFDMFGAATDSTSTTLEWAMSELVNHREAMAKAQLEVREVLGPDRAIIASSDLAELHYMRMVIKETFRLHPPAPLLNRTNEEDCKIMGYDMPKGTNIYINVFAISQHPQYWNNPEEFNPERFENSNMDYNGTCFEFTPFGFGRRLCPGITFASSIFEMALANFLYHFDWMLPDGAISETVDMSEKFGLIVRRSSDLHLKAIPHLCSKAMEI >SECCE5Rv1G0339490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607759689:607768595:-1 gene:SECCE5Rv1G0339490 transcript:SECCE5Rv1G0339490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEAALLLGVRREVDFIRSELQMMQSFLRAQSSATRWGAAGGSRDTVRTCVKQDCLLDFRLHASRSRWLRWGPGLAAHHRVADRIRGLKASVVDLNQRNQRYNVFAATGDSHHGADLIRELKFIVVELNQRNQQHNVSADSHHADVADEGRHGHADDECACAQLSPGDEGQDIERVGETKYLAGLAEKLEGRPHSPQISTVSVWGMGGMGKSSLVRMLYNDRDFIDGFDGRAWFTVTHPLENTDELERQLRKQLVVEPRRADGTVQDQEWLEAKRCLVVVDDVSSPEEWDLISRCLAANGAAGMGSRVIVTTRRNDVALRWAGDVKGVYELKPLDDKEARKLLYQKVYKKAECMMTKDMVEQASRILERCRGLPLAIATIGGLLANRPKTSREWMDLRKHLGSELESDRDIKRVITSSYDGLPYHLKCCFLYLSIFHENHEIRLTRLLRRWIAEGYITKPRDMSVEELGRRYYNELISRSMIQPSEKARASMAVERCRVHGVVLQIILSQSIEENQLFIMDKHCNEAPQSKIRHLVVTRWKKNEKMASINLSQVRSLTIFGMCPVSLISSKLRLLRVLDLEDTLELENDDLKYIGELHHLRYLGLRKTNISRLPSSLENLRFPETLDVQDTKVTQLPAGITKLEKLCHLVGGVNFAKDLAGKTKKNKRASKCNGDPFETLADLVTGCYGYKPVEPSCSCCACEFSVTAPERIEKLRNLQVLGVVHIARGSRVARNLGELTSLRRLGVDVDTTEEVGEDLCNSISRLVRLERLEVRSRSLEFLKKAEKAEEMPPKHLLSLRLCGRLGNLPGWMDRLNDLAKVKLIQTQLKQVDIEVMGKLCNLTLLALWEESFAEKTLCFGEGTFPKLKVLYIEGMENIESIQIKDGALAVLEKLEVTTVRRACPLCWSSKT >SECCE4Rv1G0217050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14248083:14248882:1 gene:SECCE4Rv1G0217050 transcript:SECCE4Rv1G0217050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQQCTVALLVAVALVAGPAVSYAAEAGYAPAGPQPKATTEEEKLIEKANNAFKAGMAAAAAAPPVDKGKIFVNTFMQTFGSWSLEGVTDTSSTKAIFASRVGFALAGAVQQAQGATPEAKYESFVHAGEEVKGPIPAGELKAIDQIDAAFSTAATAADAAPIKDRSTVFNAAFSKAIKETTGDAYEAYKFVPALESAVKKTYAGFIPGTPQDKRTLFESTLSDTILSMAASAAPATPTAAAAAGGYKV >SECCE3Rv1G0187920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:706555676:706558140:1 gene:SECCE3Rv1G0187920 transcript:SECCE3Rv1G0187920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDGALASLAARRLLFNTRLIALPPPPPPSETSFAGPGPWDRATVEIQVDGTSIQQWLAANGEVSSQEDDEVNRKLILFSGNDYMCLSSHPAVREAAVKAAQEYGMGPRGSSLICGYTTYHKLVEESLAELQKKEDCLLCPTGFSANTALMTALGSISSLLAAGSKPAQGERIAIFSDALNHASTIDGIRLVERQHQAVAFVYKHCDMSHLDFLLLFSMDGDFAPLPQLVKLRNKYGFLLVVDDAHGTLLCGENGGGIPELFGCEDDIDICVGSLSKGVGCQGGFIACSTRWKRLILSRGRSFIFSTALPVPVVASVHAAIHVSRKERWRRSVIWEHVQYFASLTKLKVTSPIIVILVGSEEAAVKAHRHLLRSGFLVQPIRPPVVPPNSSRLRITLSSAHTSDDIRRLVDALAPWLPAQHAEQGCGSVSRL >SECCE7Rv1G0459820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:27188703:27191748:1 gene:SECCE7Rv1G0459820 transcript:SECCE7Rv1G0459820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVPRMKLGSQGMEVSAQGLGCMGMSVAYGPPKPDADMVALIHHAVAAGVTFLDTSDVYGPHTNEILLGKALNGAGVREKVDLATKFGGFLGEDGWSIRGDPAYVREACEGSLKRLGVDCIDLYCQHRVDTRVPIEATIGELKKLVEEGKIKYIGLSEASAATIRRAHAVHPITSVQMEWSLWSRDVEQDIIPTCRELGIGIVCYSPLGRGFFSVGSKLADSLSDDDFRKILPRFQPENLEKNALIFEKVNAMATRKGCTPSQLALAWVHHQGSDVCPIPGTTKMENFNQNVGALSVKLTPKEMAELESYAATGDVHGDRYPEMMNTWKDSETPPLSSWKVSAE >SECCE7Rv1G0505920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:742553679:742554769:-1 gene:SECCE7Rv1G0505920 transcript:SECCE7Rv1G0505920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGHGGGNHPLRWTSPMSGFMLRRFVELIASGVKTEKGFKEVHLNQVAKNCSEHFGLSITGTQVYNHLRKWRARWVKISKLRDISGSLWDDNSYVIMLEEEHYMGHIKDHPKDVEYLNVPLENYVQMLAIFGSGIATGRFAMTSNEALGVPSMVGTSSSFVNLEACGSEFVVDGNEPGLGATAAAHGETAAAPHGKEPCKDASSFTGKRKRASLMSEEEVLVMSNMSEAVREVAIAIKSTGEVHPELYDAVMELPGFTEDDLLIVLDYLNENANRARSHSFVQMSETRRTRWVMHHLSKVNGGVPMPKEGLPKDGMPLTSDEVSKGGV >SECCE2Rv1G0127000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:850225573:850228432:1 gene:SECCE2Rv1G0127000 transcript:SECCE2Rv1G0127000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSAAVLLAAIVALLLVSAVRGGDDDCGSPESAAQDRARANPLKITAFFSILVCGALGCSLPVLGRRVPALRPEGDVFFLVKAFAAGVILATGFIHILPDAFENLKSPCLLLSGGPWQDFPFAGLGAMVGAIGTLVVDTVATGYFTRAHLNKDEANGAISSNAAVVDEEKRAAAEEARHHDGEEHEVQVHTHATHGHAHGSAALVAAVGGAEDEKDTIRHRVISQVLELGIVVHSVIIGISLGASQNPEAIKPLVVALSFHQMFEGMGLGGCIVQAKFKARSIVIMILFFCLTTPVGILIGFGISRVYNKNSPTALVVEGSLNSVAAGILIYMALVDLLAEDFMNPKVQSRGKLQLGINISMLVGAGLMSMLAKWA >SECCE6Rv1G0420560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:677797313:677799296:-1 gene:SECCE6Rv1G0420560 transcript:SECCE6Rv1G0420560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVLATRPAIILWTLLGLALLWQLKRLVDYTWWRPRRLQRALRAQGLRGTPYRFPVGDLGDYGRQGKEASSRALPLRCHDIRAHVAPYLCNTVREHGKTCVSWFGPVPKVTIADPGITREVMSNKFGHFEKLQFPTLTRLLAGGVAVYEGEKWVKHRRILNPAFHIEKLKLMMPAFSACCEELVSRWTQSLGSDGWCEVDVCPAFQTLTGDVISRTAFGSSYLEGRRIFELQSEQADRIVAEVKKIFIPGYMSLPTKKNKLMHQTNNEIESILRGLIEKRMQAMQQGESTKDDLLGLMLESNVRETDDKGQPILGMTIEEVIEECKLFYFAGSETTSVLLTWTMIILAMHPEWQDRAREEVLGLFAKNKPEYDGFSKLKTVTMILYEVLRLYPPAVAFMRKTYKEIEIGSITYPAGVIIELPVLLIHHDPDIWGSDVHEFKPERFADGIAKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCMILQHLEFDLGPTYSHAPHNQKMLRPMHGAQIKLKAI >SECCE4Rv1G0290810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874182262:874184265:-1 gene:SECCE4Rv1G0290810 transcript:SECCE4Rv1G0290810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALTLLEAMRAPRAEGPATVLAIGTATPTNCVYQADYPEYYFRVTKSDHLTDIKQKFKKICDKSMIRKRHMLLNEEMIAKNPTLCEFNMPSLDPRQDILHSEIPKLGMAAAQKAVKEWGQPFSRITHLVFCTRQAVDMPGADYQLAMMLGLHLSVRRVMLYQQGCFAGGTVLRVAKDLAENNHGARVLVVCSEITAATFRGPSATGSHLDNLVAQALFGDGAAAVVIGADPDEPAERPLFKLVSASQTILPDSEGLIGARLREVGLMVHIHKDVPKIISKNIKSALVDAFSPLGIKDWNSIFWVAHPGGPAILDMVEAEVHLQNNKMRASRHILSEYGNISSATVLFILDEMRKRSAEDGHATTGEGMEWGVLFGFGPGLTLETVVLHSAPITSPHEPY >SECCE6Rv1G0438290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:788916539:788918400:-1 gene:SECCE6Rv1G0438290 transcript:SECCE6Rv1G0438290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGWSDLPPDLAREICRRLHHDAVDLARFHAVCKPWRDSRTATATGQFLPWLVAAVKEDEMRLEMRCVLSGSNYRSQPLLPEPARWNWVASSGATALRCLTIQRLRPSLYDPLTGAAEHLPLLPQSLGLWGEEIDPQGVINGNGATLLYSISTTGDMVGHRPTARFMAALLRPGDAEWTILERILEHKYEHRFGSWSLRQPVLTSVTYHDGMILAVMEDDQSWRLVTPNCNIPRDELVQSQGPPAVQVWFGESTCHHSYVLESRGEILRLSINTWEYNRYLKGTNPNLLKVKVSLQALEGPLLSESSPLEKMRWVTRDGRSLADRVLFLGMRHSFVVDAGRVPNVHGGCAYFVYHNDNAFTYGKRAVFRCNLINGRTELVQRLPRCWDYKMCMWFNSESVNTPPQEISEGPPNQQQQIAPTISSPPRHTIHVERHRVPRFRVLVRNLPLTVNSTQLRLFFGEHSKVSSAEVIFYKKTRASQGIGHVTIETTHSHQEDALAALNELVLDGCCLKVTLIKEDQPPQRQHKRR >SECCE4Rv1G0296650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904014608:904015599:-1 gene:SECCE4Rv1G0296650 transcript:SECCE4Rv1G0296650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSTMTVREVLYMYCLARQAYDRFVSVCGNPVQAQNAVALLVWLDQGTISAIHHVPGIDAGAVGIVAEEANAILECLRYQRPVLPPIPLISALCMQGGVSIEPRFFAFHQDLVVRGVSHFLEGAGKFIFDDRLQVLLRKSETGLVGNPPELMAPYSPLPVDVPEDCRSMMFITFSKGMPLLHEEIFDYFTQKWGDCVVRVLMEKTTGGTMPMYGRIIFKTEAVVQMVLNGERLVKISIDQRQIWLRKYVPKPTNTADGSIRP >SECCE2Rv1G0066540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14580206:14580944:-1 gene:SECCE2Rv1G0066540 transcript:SECCE2Rv1G0066540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRALVLGVLLVIAVSNAEAGSVVAGLAKCADCTRKNLKAEEAFKGLQVAIKCKNVHGDYESKAVGGLDGTGAFSLHSATSNAPCPGQEPSKIVPVSEGTTYRIVAGDNTATPSAASPECTSMTLCGPIKKHIMEHFHHKKPVPPKPEPKPQPHPDYGPVPKPEPKPQPHPEYHPVPPTPTYGGGGGGGGGYHGHH >SECCE6Rv1G0447310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850093405:850095459:-1 gene:SECCE6Rv1G0447310 transcript:SECCE6Rv1G0447310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEHGAGANGLQDHAGALEEGRGGVNQNQNQARCENSGEQALSSTSNQPMLSLHFVQKILAEIFGTYFLIFAGCAAVAVNKRTAGTVTFPGICITWGLAVMVMVYSVGHISGAHFNPAVTLAFATCGRFPWRQVPAYAAAQVIGSTAASLTLRLLFGGEPEHFFGTVPSGSDAQSLVLEFIITFYLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPISGASMNPARTIGPAMVLGRYTGIWVYIIGPISGAVSGAWAYNLIRFTNQPLREITRTGSFLRSTRMS >SECCE4Rv1G0281220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825483692:825484183:-1 gene:SECCE4Rv1G0281220 transcript:SECCE4Rv1G0281220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPAPVFSESEVPRLLLNLVFLLARLRRLSSWLLRLVGAGVDDDLSFDHPTASGITDHHRHRQEQCDDYEDRCLEELEKHSPAMRFDALCIAGDEALLLPEGCAVCLGDFHGAACVRRPRGCTHVFHRACLDRWAAHGHSTCPLCRAPILPPFLLPLPLPAS >SECCE2Rv1G0089560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:258253027:258253661:1 gene:SECCE2Rv1G0089560 transcript:SECCE2Rv1G0089560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQIPTAFDPFAEANAGDAGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDTELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >SECCE6Rv1G0377680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:2957311:2959469:1 gene:SECCE6Rv1G0377680 transcript:SECCE6Rv1G0377680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERRASSSRRAPKVVAVLAGLLERAAKRGDGSGSGSGSGSAAFRGATERKPEMGVRRYAERIYRYAGCSPACFVVAYAYLDRLAAPEDAGEEAVGVDSYSVHRLLITSVMVAAKFMDDKHYNNAYFARVGGVELREMNGLELEFLFALRFRLNVTPDDFASYCATLEGEMMTTTTPPPLSLPAAMASVSVSPEEEEEEDVVGGGIVTAFAAATRVSVAEITQ >SECCE5Rv1G0362840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:789323506:789324333:-1 gene:SECCE5Rv1G0362840 transcript:SECCE5Rv1G0362840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGADPTLPHGATALEIPAPSDRPAFVTRPSYGNIPPAATAVYLPPEMLTEPRARRIKAYLNFTADPEAEHHARRLAYAYITPPEAPCRADPGPFIRRAFRTLALDLPQNFELLPPARRADATVRFRTPDFREAALGRQPFVLDGVTVKLLREEETPGVQRASNDYIVHAALRDYPIEQRTEQGIEWNCCRFGYVREVDPACFAAPDLATVRVVLELEHPREIPHELRIDYYDRYGSANVVPVEIVRVWHRSHSYDANGQYLPLFQAPVEAAS >SECCE2Rv1G0131560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:885246729:885249802:-1 gene:SECCE2Rv1G0131560 transcript:SECCE2Rv1G0131560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAASFGLMDKAYFVGRGEILSWVNATLQLSLNKVEEAASGAVQCQLLDMVHPGLVPMHKVNFDAKTEYDMIQNYKIIQDVFNKLRIGKNVEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRSKGCRERSHKVSNKPSKSLQANRLSSANSADGGALNSNIDLAAPIGKVCNAVNEEHYMEQIQQLSEKIADLKVSVDNTEKERDFYFSKLRDIEILCQRPELEHLPMTKGIRKILYAADAKDSPLPEANEIITRSPGMFSDEAE >SECCE7Rv1G0476180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:199222252:199223973:1 gene:SECCE7Rv1G0476180 transcript:SECCE7Rv1G0476180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPALLIRGLVLVLVVACAGIAPATAASGGGGRWDVLQRSIGVSAMHMQLLHNDRVIIFDRTDYGQSNLSLPDGRCRHNPRERVLPVDCTAHSAEYDVASNTFRPLYVFTDTWCSSGTVAPDGTLVQTGGWNDGYRNVRAMRACDGGDDDGSSCDWNETQDALAANRWYATNQILPDGRAFIVGGRRQFNYEFFPKADASDASAIALPFLVQTRDPEENNLYPFVHLNIDGNLFIFAKNRAILFDYKKNKIVRTYPELAGGDPRNYPSSGSSVLLPLKPSPTEAEVLVCGGAPAGSYNSTKEKTFFPALVTCGRIKITDASPAWVIERMPSPRVMGDMILLPNGAEVAIINGAMDGSAGWEAANTPAYAPVMYRPDHAPGDRFEEQSATDIPRLYHSSAILLRDGRLLVGGSNPHIYYNFSNVRYPTELSLEAFSPEYLDSSNDALRPKITDPSPTGPPVSVKYGDSMTLQFEVPAVAPIRRPRGGGDGGLGALGLVSVTMVAPSFTTHSFGMNQRLLFLDVAETTALHGAAGAYEASVVMPATAVLAPPGYYMVFVVNGHIPSEGMWVHIE >SECCE5Rv1G0323950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:441068596:441070664:-1 gene:SECCE5Rv1G0323950 transcript:SECCE5Rv1G0323950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNQEPGASLRTDGWPQKPMDSLMQIPDKIQNSLKLHFGRFFKTDGVSGGGIKAQMPSEKVRVACNSAAASEVSLDRQLQAWRDNPSWTDQPPEIKVTVPQGSLCNLNLRFQAGLPPDAVYNIIIDPENKRVFKNIKEVVSRNVLLDEGSRQIVEVEQAAIWKFLWWSGILSVHVFVDQDRRNHTVKFRQGRSGFMKKFEGCWKIEPLFVDKEACLPLDPHTLEEYESCTAGRGRVGSAITLDQLIEPALLPPQPIAWYVRGITTRTTEMLVNDLIAETARLRGLASNGDASQHTEENCDVNGDVLTEDCNDVKERWRQRRKAGRHGSSLRLTRQ >SECCE2Rv1G0091950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:336658350:336661955:-1 gene:SECCE2Rv1G0091950 transcript:SECCE2Rv1G0091950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTHPPASLSGDYSPTTSGSSSPSSSAAVAGSDEPARLGQATSTSAPSAAGDDADVPSSPQMGMYFETEDDAYEFYKAYAARLGFVVRKSNKSKNSRHTVTRRLFVCSKQGFRQEPKKPQDETNATDVTVAAPPPPPRFPDSRTGCLASLTIKLLPSANAFRVTEFVAEHNHPLASAVSAVSLAMIPSSSSHHTIAAAASLPDPRDGPRPEMHFETEDDAYAFYNRYAEHVGFSVRRSYKKRKRGVIVSRIFVCSREGVSDRAKHDGLASISTNAGGGAPGTPRPGPPPTRTGCQARMVIKITPCRTYRVAKFFAEHNHPLANSETVHKLRSHKMRARGHELGAGELHRRKQGKGVQLGDAGAALEYLEGLQVGNPSLYYAVGLAPDGNSAVNFFWADAKSIIDFRSFGDVVCFDTTYGLNVYGRPFALFVGVDNHKQLLVFGAALLYDDGIQSLKWVFQAFADAMRERQPKTILIDERFECAIAAAEVWPGSNHCTSVWHIYHSSKRHLKQVFESSKSFGNALSQCFFDCEDEMEFLSAWEKLIEKHDIVESEWLSRLFLEKEKWALPYRRTVFSADILSTLRKDNMINELKRELSDQEDILLFFKRYETMLEEHRSKKLQADVDGNQVTLPIPSLRMLKQSSNAYTPEAFKMFQGEFEAYMNCMSFPCSAVGTVSEYKITLDEKPSEGIVKFNALDGLATCSCRKFESVGIQCCHVLKVLDLKNIKVLPEQYILKRWRKDARSVRMGEEPNCGSSSIMRSSLDVRFSNMCRMVSLIASRAAKSEEAMSYIESQSSVLLKHLDEILQTGYPENGNHAVASSSQAISFVGNHPDHTTQARAVAHTANGLTSLLGISAYPESSGQLNGSVGSL >SECCE4Rv1G0284930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841359299:841359781:1 gene:SECCE4Rv1G0284930 transcript:SECCE4Rv1G0284930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGEMVCSVELLDLRSTMQETFAEMVVIIQELQGALRKGDDVADQAKIQSFTRLAKKARKHFKKTAKKAASDKIVMLLTNAREICISLLESTLHLLSKQIEMPKQSLVCKAFHKKKAVVCEEGQLQELECSIGDLQNGAGNLFRKLVQNRVSLLNILSS >SECCE5Rv1G0356660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741781800:741782195:-1 gene:SECCE5Rv1G0356660 transcript:SECCE5Rv1G0356660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSLFAVIMACTLLSGSHGARHLADTNPTAAAPAADTAAVPGLPAVLPTDTVTLLPPMPAVTLPTAPQVTLPPMPAVVVPKAVLPPMPKVTMAPMPATVIVPKVTLPPMPFVPNMNVPMPFLAPPPSV >SECCE4Rv1G0296640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903970764:903971691:-1 gene:SECCE4Rv1G0296640 transcript:SECCE4Rv1G0296640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSATMTVRDVLYMYNVARQAYERFMSVCGNPEQARNTVALLVWLDQGTISAIHHVPWIDDGAVAIIAEEANTVLECLRYPMPVLPPIPLISTLCMQGGVYIEPGFFAFHQDLVVRGVAHFLDGAGKLVFDDRLNVLLRRSETGLVGNPPELMAPYSPLPVAVPEDCRSMFVTFSKTMPLHREEVFDYFREKWGDCVVRVLMEKTTGGNMPTYGRIIFKTEAVVNLVLNGERLVKISIDHREIWLRKYIPRVTNVDA >SECCE4Rv1G0235650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:338375681:338380822:1 gene:SECCE4Rv1G0235650 transcript:SECCE4Rv1G0235650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit theta [Source:Projected from Arabidopsis thaliana (AT3G03960) UniProtKB/Swiss-Prot;Acc:Q94K05] MVGYGIQSMLKDGHKHLSGLDEAVLKNIGAGRELSAITRTSLGPNGMNKMVINHLDKLFITNDAATIVNELEVQHPAAKLLVLAARAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYTKAINKTLEILEDLVEKGSENMDVRNKEDVVLRMKSAVASKQFGQEDVLCPLVADACIQVCPKNPANFNVDNVRVAKLVGGGLHNSSVVRGMVLKNDAAGSIKKVEKVKVAVFAGGVDTSATETKGTVLIHSAEQLENYAKSEETKVEELIKSVADSGAKVIVSGAAVGDMALHFCERYKLMVLKVSSKFELRRFCRTTGAIALLKLGQPNADELGYADSVSVEEIGGARVTVVKNEGGGNSVATVVLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELAKRLKEFSLKETGLDQYAIAKFGESFEMVPRTLSENAGLGAMEIISSLYAEHAAGNVKVGIDLEKGACKDASIMKIWDLYVTKSFALKYSADAVCTVLRVDQIIMAKAAGGPRPPQQGGMDED >SECCE7Rv1G0516970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:850208094:850209354:1 gene:SECCE7Rv1G0516970 transcript:SECCE7Rv1G0516970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAARSRPSEDGRISTGIRSSSSPPSGSETAAERLTDDLLVEILSRVPARSLCRFKCVSNHWLGLTNDRNYRRKLPRTLTGFYQGNQLVDSGVPFTNVSGSRHLTYPAFLPNRWQVKVIDCCNGLLLCTSYVAGDHGEEFRYIVCNPATEEWAEFPYCGYSGLFVPARLCFDPAVSPHFHVFLFPVADMEDQHGFFITGVHVYSSETRSWVHKEKRWRGTIGVANDRSTVYLNGYLHFCAIVNGSDGRLAAVDKEGKARTNFRVPDGLDVGFIQLSQGCLHYAGFDRDDDNVVRLLVYVLKDYERKEWILKHSVKTSYLLGGRQIEYLHEEFDWIAIHPECDLIFFAVAQEDITFMCYDMDSGQVKVFCNLEDSQQAYFPYVPLYEELQSLHK >SECCE2Rv1G0128580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:862907885:862908271:-1 gene:SECCE2Rv1G0128580 transcript:SECCE2Rv1G0128580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLITIPSVAWLRCAVRRWRARRSTSAPVPAGHVAVCAEGARFMVRLAHLSHPAFLELLRQAEEEYGFPSGASGPVALPCDEDRLRDVLRRVSSSSHSEEPRRSSFRRRRGDSRPLLQGVAVEKLFL >SECCE4Rv1G0261500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:702022667:702024855:1 gene:SECCE4Rv1G0261500 transcript:SECCE4Rv1G0261500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFHDRHHVRLRSRVLGTYLHADHDGEHVSLSRRRDSLNTAWVVHIHQRADGPYLLLHSAAYGRYLGTTFKPAPLGHHGCRTEQRDYDDEPDLMAVMWKAAGAGFDDVVLIRNVAGRYLRANGRYLPWNTGVTVDNIGNVSAMMYWTVEHIPAREGPPGIPGPIHTPSPGYHSLMLWRNPVVSRLIRFMPSDPNGPIYTQHCWNTLRFRGRSVFHLRDELARRIAFVLEGRQSSDLVICVRAGRRGRLTPLVVDLPSDGYGETLWIVVFMSGTPAYNALRHPDVDAE >SECCE6Rv1G0437220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782842642:782844002:1 gene:SECCE6Rv1G0437220 transcript:SECCE6Rv1G0437220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDYYEILNVDRSATDDDLRRAYRRLAMRWHPDKNPTGDKDSEAKFKDITQAYNVLSDASKRAVYDQYGEEGLKGPPQQPVDDIFAEFFGSTPFSYCNNARGRQRTAWDGGGLGRPYGGGDQGVGTPRPPPVETKLACTLEELYTGVTKNMKISRNVVDSSGRMKTESEVLSIEVKPGWKKGTKITFPGKGNQQWNQLPADLVFAIDERPHHMYRRDGNDLVTDVRLTLAEALGTVIMLPTLDGRELAVDVGGGQEEEAPMVRPGYELVVSMEGMPIAREPSRRGSLRIRFDVMFPDRLKRDARLQIKRILEADAA >SECCE5Rv1G0339220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:606026332:606026565:-1 gene:SECCE5Rv1G0339220 transcript:SECCE5Rv1G0339220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHKMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRIGP >SECCE6Rv1G0445710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:839980615:839984133:1 gene:SECCE6Rv1G0445710 transcript:SECCE6Rv1G0445710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MAPPPLPLFSPSPKQPPPPPWLHGPKAPATVTPPHPAEAPSSSKPQRQRETSSSTNPLSAGVPGGRTRRAVLGIIRRVRSLELSDPPSPKPRPSTLGTVPLFDLPIEEDRGEEARGDGNGRPVPWSAARDEGLKAALRRQKKVREPTPAERLLDPAELERLRQAARGTADGWVRAKKAGVTDEVVEDVRRVWSGGQELAAVRVVEPLRRCMDRARDILEIKSGGLVVWTKRDVHFVYRGNNYLENTKRRLKSIVDIQRVPPEKCTVPEPQWKHGSNTKPSTNYNGDVHGVFREIDPSPGVHAYEEPVKGTLYEREVNRLLDSLGPRFVDWWWNTPLPVDADLLPEVVPGFKTPFRQCPPGVRPTLADDELTYLRNLARPLPTHFALGRNTRLHGLAAAVLKLWEKSLIAKIAVKVGIQNTNNEQMAWNLKHLTGGTIILRNKDFIILYRGKDFLPGGVKQSVIEQEARVDAQQVKEEEARLTVMDSLQMFAGLPSEESSVGSFREYQDFQLNHMQETTENNMALIELEAEKHRLEKELKDQQRRLFILTKKIERSNQALAKLHGSWNPSEQSADKELLTEEERMIFQKIGLKMDEHVLLGRRGIFDGVIEEIHQHWKHKEIVKVITKQNQAYQITYTSMLLEIETGGMLIGTQKLTNSHAIILYRGKNYRRPTKSSPSNLLTKREALRRSIEVQRRGSMKYYVWERQKSIEDLQWKLANVTTKIRKSNCMIHGVVYK >SECCE5Rv1G0297950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:7001088:7005334:-1 gene:SECCE5Rv1G0297950 transcript:SECCE5Rv1G0297950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSPPLLLVLLVVAAAVAGARLPVDAAGNTTLGELRVKNGLGRTPQMGWNSWNHFYCGISEGVIRETADALINTGLAKLGYKYVNIDDCWAELKRDYKGNMVPNKRTFPSGIKALADYVHAKGLKLGIYSDAGTQTCSNKMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRNVKERYTRMCNAMKKYGKNIFFSLCEWGVENPATWARGMGGNSWRTTGDIADNWDSMTSRADQNDRWASYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLLGCDVRSMSPQTKNIISNTEVIAVNQDRLGVQGKKVQSDGGLEVWAGPLSGNRKAVVLWNRQGHQATITAHWLKVGLPVSAAVTARDLWAHSSFSAHGQLSASVAPHDCKMYILTPK >SECCEUnv1G0568930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:433474206:433475994:-1 gene:SECCEUnv1G0568930 transcript:SECCEUnv1G0568930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHGSSRHMSSMSMSHKELGDDDARVVHVGDADRTNERLQFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLAVTAVKDAYEDWRRHRSDRAENNRLAAVLSPGAGAQFVPTEWKHVRVGDVVRVGANESPPADMVLLATSDTTGVAYVQTLNLDGESNLKTRYAKQETLTTPLEHLAGAVVRCERPNRNIYGFHANLELPGESRRIPLGPSNIVLRGCDLKNTSWAVGVVVYAGRETKAMLNNAGTPTKRSRLETHMNRETLFLSGILIVLCSVVATLSGVWLRTHQTDLELAQFFHKKDYLKVGKEGNENYNYYGIAAQIVFNFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDAKLYDASSDSRFQCRALNINEDLGQVKCVFSDKTGTLTQNKMEFRCASIDGVDYSDVARQRPVEGEPAWVPKVPVKVDRQVLELVRNGGATEQAMNAGEFFLALATCNTIVPLILDDGPDLKKKVIDYQGESPDEQALVSAAAAYGFVLVERSSGHIVIDVLGQKQRYTSLMTDLH >SECCE3Rv1G0201220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:861510019:861510546:1 gene:SECCE3Rv1G0201220 transcript:SECCE3Rv1G0201220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPATIGEAVAGMSRATLVLKAAVVQIVLVGYYVVIGRAFQDLDPLFYSSLPWRVPLAVSWFACISMFFLVRCCIEIFLPRTPMAVHEALMWPGAVVGLIVIVVGGSVLGFSSRECVVVGCTCVMALLIAGLLVVWTWLVREYGGEASDMCTEKHSEVEVTTVRHSYHPPV >SECCE6Rv1G0387650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:118970646:118971221:1 gene:SECCE6Rv1G0387650 transcript:SECCE6Rv1G0387650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTIARRLCSRQLSTSRLSVVSARLYSTEPTKDTGAKRYKYPEVYDPYGPMPPASEKVVGLADRIASLPPEEIKQIAPALLLRLNQPAPQAISGQGFSMGSQGGAGAGAAKSEEKKAEKLVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKDAKELVEKAPVILKQSLTKEEAEAIIAKIKAAGGVAVME >SECCE3Rv1G0213940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963283719:963284211:1 gene:SECCE3Rv1G0213940 transcript:SECCE3Rv1G0213940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os02g0830200)] MSSTTVQEPPHVLAVDDSLVDRVVISRLLRSSKYRVTTVDSGKKALEVLSLGQQSVQLIITDYCMPEMTGYDLLKRVKESAELRAIPVVIMSSENSPARIRRCLDEGAEEFLIKPVRPSDVSRLCTRVAAAMPMR >SECCE3Rv1G0152760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:50116905:50120795:1 gene:SECCE3Rv1G0152760 transcript:SECCE3Rv1G0152760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRRRELAAVTRPARAQADTPARRLIAWLQLLFKAFLQRHSWLARWQVAGRPALLLLLAFLVQKNLRRSCLSWHKSRLQRRAAAAVTVQAAFRAMAARRDLLLRRQTRAAVYIQAQWRAHRALLSYLAMKRASVICQCAWRQSIARRQLGKLKLANVERERLDELCRLHEMVDVLQQAVEDAEVRVIAEREAAIKAIAEAPPVIKETVVWVEDAEKVNSWNAEVDRLKGLLGAEMQATIDAKKALAKAELRNEKLARLLGVQEIKNKTLQDSVKRVEEKASDLEAENRMLRQAVASIPSVKSPSSENHRAHDLQATPLNEKTTNGAVKPMIVDRNGDIHDDDNAELPGSNDAEAEKQQQELLIKCISEDLGFSTGRPIAAYLIYRCLVHWRSFEEDRTTVFDRIIQKISAAIEARESNEMLAYWLSNSCTLLLLLQRTLKTTGSAALARQRRRPSSLNSPKENQAPGHPERSVSDGRLVGALTDISQVEAKYPALAFKQQLTALLEKVYGVIRHDLKKELASLLGLCIQAPRTFVVSPRGTGPQGTDMAQQASMAHWQSIIKILTNSLNVLKSNYVPPFLICKLFTQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCHWLTEEYAGSSWDELKHIRQAVTLLILEEKHNKSLKEITDDFCPALSMQQLYRISTMYCDDKFGTLGIPSDVVASMRAKMIGGSSSPSVQDDINSFLLDDDFSIPFSVDDIARLMVHVDIADMDLPPLIQEKNGSPFEA >SECCE1Rv1G0043370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:591940245:591944507:-1 gene:SECCE1Rv1G0043370 transcript:SECCE1Rv1G0043370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGTKSATRTDMLVDVGMEAGCDNLEVPRHVGNGSPSPAKGTKRKFVVDATKGASPGVNASNTGQHLHSRRKGKGPGKHAKLEDNKGATRLGRDQTPIGVFEDECIFCHSFRTSEPCHGPMVLYHNRRIVPSAEGKPTNAIYVHEKCMVWAPRVESNGDTFKNVESEINRAKRLRCRRCKLRGAALGCYDNSCRKSYHVPCAMMISECRWDVENRRVWCPKHAPRDEMSSPTIESDTLSPVLQNHSSQCPAKEISVDCQMEDEDINPLPCDEMSSPIIESDITCAVLQNLSSQCPTKEISVDCQMEDEHINPLPGDEMSSPIIETDITSAVLQNHCSQCPAKEISVDYQMEDEHINPLPGDEMSSPIIETDITSAVLQNHSSECPPKEISGDCQMENEHINPVAKSNSSLPGQVPGQYLVKGGTSVLHRREDLQVDQLNTSSSSLPQGQCSDKERISANYRREEKLVNQSSTPVDQWVLLGISLSASEKDSLKEFASLTSSTLAEEWDKNVTHVIVGRNTGDACGKSYEVLMAILSGKWVVTAGWIVDCLVEPIPDLKTCLEKPIPGPEISYEVNFCDGSCTSGYGPTKGRARAAERAPKLFSGLHFCLSAYIDPEDRETIRRLVAAGEGQVLEGISPDWLHENLNRNPAEVYFIYDSGPPRKITADFDLILGKEIQESVDYAKSGAQVISYTRLLDAILCYDARILDRRLHQDK >SECCE5Rv1G0360040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:767209947:767213463:-1 gene:SECCE5Rv1G0360040 transcript:SECCE5Rv1G0360040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILPMMFAVLVLGSLGKLTALASGVVTSQQSPEGNWAQPSLAGGTLDGCPTSCGSVSFGYPFGIGSRCSLGPDFNLICNSTTQPPKLFLRDGITEVIDFSDPYWNSNRISASFSQTIPMKSGVSVYNLSLKPLGRSFHIESIALNITGCNLVVYFVQEHAIQPICATVCPDPDITEMVAMHNCNGFGCCRVEMESYTDTYQFKLVYDQSPKNTRVRSNQSSQLWDKISITADHFGLSWDMGNRSSCVACVSEHAGCGYSYNAPFFMPSGNGYLCFCNEGYTGNPYISHGCSNGDKGYNPTPSRTDCTRQCGSINVQFPFGFEEGCFAREEFHFNCTNTTSSAILLLRDLEVIGLEVIGVNVDEGTINATIPDQQLANPTSDSGQSSPFSAYGLFLSIKWVAANLSCAAAQHNRSGYACVSINSKCVEVSAERVYAGYRCKCSDGFQGNPYIQSGCRDINECLQPNKCKEICHNTEGSFNCIECPRKTEYDPLKMQCTRIKQQTLLVGIIIGLSCGFGILLLSFSVVLLTRKWKRNVQRQLRKYYFQKNQGRLLETLISSDGSANEKTKIFLLEELEKATNNFDPARIIGRGGHGMVYKGILSDQRVVAIKKSKVIEECEISQFINEVAVLSQIRHRNIVKLFGCCLETEVPLLVYDYVPSGSLSQVLHAHPSDGFSLSWSDYLRISLETAGALSYLHSSASISIFHRDVKSSNILLDVNYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYFHTRQLNEKSDVYSFGVVLVELLLRLKPVFTSESGTVNSLSSYFLEEFKEGRIADIVNPQVLEEATEEEINGVASLAELCLRLHGAERPTMKQVETELRTLRAKRMNSSQADPGSEEKMPPRPLARGTRSSRQSSATESGKRVVRSGRSDRSYRLEGDWESSCHLLADHHA >SECCE4Rv1G0259710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:689607843:689609398:1 gene:SECCE4Rv1G0259710 transcript:SECCE4Rv1G0259710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVASPLPFRRDLRGPPGRRSPRSGLPGALAGLGWSDGSRRLVAPAWPARARGRNNRSGGRGATKEEDERAEETEEAEAVLIIDGEEEDEEFGDDDLSGFRGLVLDLSYRPVNVVCWKRAICLEFMEKADVLEYYDQTVSSPRGSFYIPAVLRVPQLLQVVKRRRVKHCLSRKNILFRDGFSCQYCSSEDDLTIDHVIPASRGGKWEWENLVTACSRCNSRKGNKTLLQANMKLRKIPKGPKEFDIIAVPLTKSAFRTIRRRHGLPEEWLQYLTGPSP >SECCE5Rv1G0337250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:590091911:590095285:1 gene:SECCE5Rv1G0337250 transcript:SECCE5Rv1G0337250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MPPKPHAAAGAAGSFEYCELCRRNHDQGRRHRYFPAHRAALAAALSGFRAKLADLRRALLRPSTPAPRSRLWCPFCSADLVDLDSRFACSSAIYHLASEEHLKGVKDFLRRHGGGMDQVDSFRISDDELAKWEKGCESSGTEARALANGMIGPSLGPLKDIQNESTSKFSDSFAETDIPSFRNTASCVVMPLQSPTNGAYYPTSTESYGSSTSGSVAYSAPFGTSGLPVKRCVTTHEHQGMPSTNMFHRADAQMKGAQSTSLGNGPNPSASSFVYVQQGHSGGKFNQGLKANVHTGAPPPWLEASEHDPKNVALASYALPSSLKGKSKKLNPKRVGAAWAERRRAEMEMEKRGEVVPEAPDASWLPNFGGVWQSGSRKESRKEFEKNHKLKEENNPELLPEIKPYISKRMRVGSTKDGQPDSTVE >SECCE2Rv1G0116960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:769024115:769025904:1 gene:SECCE2Rv1G0116960 transcript:SECCE2Rv1G0116960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 68 [Source:Projected from Arabidopsis thaliana (AT5G47500) UniProtKB/Swiss-Prot;Acc:Q8LPF3] MARPLLAALVLAATLASLVPPLASQATTCESARRGHHQYRQPVGVRRMVVDASGAGDFLSIQEAVDSVPVNNSVRVIMQINAGTYIEKVVVPASKPYITLEGAGRDVTVVEWHDKASDRGPDGQQLHTYNTASVTVLSNYFTAKNISFKNTAPAPMPGMQGGQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFIFGNGRSLYKDCELHSTARRFGSVAAHGRQGPCERTGFAFVNCRVTGTGMLYAGRAMGQYSRIVYAYTYFDNVIAPGGWDDWDHNSNKSMTAFFGMYRNWGPGADAVHGVPWARELDYFTARPFLGKSFVNGFHWLTPDV >SECCE3Rv1G0168410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:220154066:220157102:-1 gene:SECCE3Rv1G0168410 transcript:SECCE3Rv1G0168410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSFRSVVCRSLSKSHPSSSRSKDGGYPERTQCDAPYVVTLQPTVCRSCQSQDWRSTQSNREERSILSQRDYVMGSTLSRHFAEDLLRGAMDLQDSLVMLEKFQTVSQSMRQSTKQRRPGNGEESLDVTGIRDALFEASITKKLVPGSVSNRFDGQLRNSTDELKRVIKDSLYRAKLLSVSSNGEQLASLSQSSRSTPNSTAVSKSTKQKKVVPRSLSCPPVRPDKSKTPSLVPTNKTPSLVARLMGLEGLPPHKGNAAKKDETLKTVSSPRAQFDIDMPRSKPPPAEKLTRQSLGKDSQCKGKAGQEMMKTIQVKRLLNTMNSDEHKVQQRNVQMNFPYFHEDTLSSQDTSAATEVGSVKSIQREQRMGQAQTKSPKDVKVASHITRKQRIEQKTEISCRSSNKQKYHLPDRKGERRKDVKAATAAASQSNAKLVRKTDKKSVASSSNPSTCRTMKPILRRTPGSSREKIVSSRNVNNSTIEDIVAYEVHSEFIRTDCPSTEHSATPSDESCQSADWDTEPSNDDSPEDFSGCSEASLISSQSCPPINRTPKKEVEIKDEMSLLPLSSKSFVNQAAQLIGIDAYDYDHLIEQYKDTGKAEMGDRELYTDIAIEQLERKHRQQNSLYYTGFRTQKCGATPYFSLEALLGDISGGTQKLKSYTDDKDDHGSGTKDSMSMKLERDLGCADPSINSVWDMGWQDWNCMEETECWVRDAGEGILSLLIEEVALEMLVN >SECCE5Rv1G0339550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:608185008:608186621:-1 gene:SECCE5Rv1G0339550 transcript:SECCE5Rv1G0339550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGEDTQEVVAGADGRGGGHVLLLPYPSQGHVHPMLQFAKRLAHHGLRPTLAVSRYILATCTPDAAAVGAVRLAAVSDGCDAGGFGECNDVTAYLALLEAAGSETLGELLQAEAAEGRPVRAVVYDAFMPWARGVAQRHGAAAVAFFTQPCAVNVVYGHVWCERVGVPVEAGTTLAGLPGLPALECEGLPWFLKVGPGPYPGYFEMVMSQFKGLKLADDVLVNSFYELEPEEAAYMASAWGAKTIGPTVPASYVHDDRLPSDTKYGFHLFELTAAPFVSWLSAHPARSVVFASFGSLSNLDTAEMHEVAHGLLDAGHPFLWVVRESEGHKLPAGYGDATAARGGMVVSWCPQLEVLAHPAVGCFLTHCGWNSTSEALVAGMPMVALPQWTDQPMNAKYVEAVWRAGVRVRPAAQDGLARRAEVAGGIEAVMAGERSGEYRRNAAAWAEKARAASREGGSSDRNIAEFVAKYGSSSK >SECCE5Rv1G0334740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:567841369:567842642:-1 gene:SECCE5Rv1G0334740 transcript:SECCE5Rv1G0334740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVWFFSLASLGALHAFAVGFRLIAYFSVFLRRPIDLRRRYGAWAIVTGPTSGIGRSMALELAGRGLNLVLVGRDPAKLRDVSETISRAHAAVQTKTVLFDFSLVSTAQGDEAMGRLREAVAGLEVGVLVNNAGVAKPGAVYLHEVDVEAWVRMIRVNALALTEVTAAVLPGMLRRGRGAVVNIGSGSSFAIPSYPLYSVYVATKRYVLEFSRSLSVEYKSRGIDVQCQIPFLVDTNMVSSAARFIRQLVLTPDAYARAAVSWIGHGTLCVPNVVHRLQGWCLCYFSPDFISDRSVLRRHLKQRVIFRRLRTQRKSQGNCVATGNNNASD >SECCE3Rv1G0203680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:884568311:884570362:-1 gene:SECCE3Rv1G0203680 transcript:SECCE3Rv1G0203680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGMSREERWSLAGATALVTGGSKGIGHAIVEELAGHGARVHTCARSATELEECRRRWEARGLPVTVSVCDVSLRAHREQLVETVKQVFDGKLDILVNNAAQILAKVAVEWTLEEYSHLMATNLESCFHLSQLAHPLLLRASIAGGGSIVNISSLGGTLGFPGLAIYSMTKGGINQLTRSLATEWAQNKIRVNCVAPGATKSDMLNSLPLEIREKESARTPMRRAGEPEEVAAVVSFLCMPAASFVTGQVIAVDGGRTISA >SECCE2Rv1G0122630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:817558490:817561862:1 gene:SECCE2Rv1G0122630 transcript:SECCE2Rv1G0122630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGQHADNMPVNNGSGKQAVWTTAMSSFVLKFMANLVTSGTRASNSFRQVHHKSCAEALNECFKLQVTAAQISNHLRKWKRIWGRVNKLKNLSGALWDEHTCTIVLDQEHYASHVKDHHSDADLLNTPIEHYHEMATICGNGMVSGVYASRSSNELLSTDVVDDEFENEDTNGESDLLATNVTENEMENRKTHVASDPLAIVVIENGKTCEEATQSFTNTDEGNFGDPSGSLPPPKKAKMSHLDLSQQCDAPVMQLDVGISHGMNLEQHEDNIRGTNGGGGQLVWTNAMSSFVLQFLTNLVVGGTRPSHVFKQVHLKSCAQALSEHFRVQVNAAQISNHLRKWKKMWKKVNILKSLSGALWDANTCTIVLNHEHYTAHVKDHHHDADFLNTPIKNYREMATIFVKGMASSRPARSSNESLARGLPENGIENENVNGVNALIPKDVEEAAMSFTSIDEGNSGDSSDSLPPPPPPKKAKVKNDDSLLSTMTRVLDRLAEAIEKCSRRDTDVPDDLWANMKGLPGFEQEHLAHYYAYLCENAHVARAFDKLSVPHKTIWVNRYIKNHLSA >SECCE3Rv1G0181840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:619289736:619292541:1 gene:SECCE3Rv1G0181840 transcript:SECCE3Rv1G0181840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCFPSKPPRENPMHPPAEPLIQRKPNDTPPYHQSPPVITHTHKGMNAVVRLKTGFERFRTNVYNKNPKLFESLKKDQAPKYMVFACADSRVSPTITLGLNPGEAFTVRNIAGMVPAYQKTRHCSVGSAIEFAVVILKVECIVVIGHSRCGGIRELLSLKDEGPNAYHFIEDWVKIGMEAKKKVQRENRLLPFDDQCTVLEKEAVNVSLRNLKSYPFVKDRLSKGTLHLIGARYDFVRGSFETWNA >SECCE1Rv1G0001130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4048416:4049493:1 gene:SECCE1Rv1G0001130 transcript:SECCE1Rv1G0001130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRSGRGEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEEKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVTKPTTFENISRWLKELRDHADANIRIMLVGNKTDLKHLRAVTTDDAGGYAEAEGLSYIETSALEAMNVEEAFQLILGDIYRAVSKKAVASEEDRAGAAGVKEGKTINVAAAAAAADNGGEKKQCCSA >SECCE6Rv1G0416380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:648410026:648414677:-1 gene:SECCE6Rv1G0416380 transcript:SECCE6Rv1G0416380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPIVSAAAGVMNPLIGKLTKLMGDEYKKLKGVRKEVAFLKDELSAMNASLEKLEFMEKLEPNTKNWRDQVREMAYDMENCIDDFMHDIGGADANATAGFMKRMSRRLKTLRVRHRIAGQIEELKARAVEANERRKRYKIDDCNTSCGSVDIDPRIAVIYRDADGLVGTDGPKKEVVGLLTLTEKKQKVVSIMGFGGLGKTTLANQVYDDLEGQFDCKAFIPVSQKPDMPRLLNTLRLKLGINDSSGICEVQDIIGQLREHLANKRYFIVVDDLWNEEAWDIIRCAFPENGNGSRVIVTTRVEDVAISACSYHYEHIYKMKPLSSEDSRRLFTSRVFGSENKCPSNFEEVSNEILKKCGGLPLAIITIASLLASRRERSRSDWENIRNSLGAQFAINPTLKGMRSILNLSYMNLPLHLRTCFLYLGMYPEDYEIMRDDLVRKWVAEGFVSNSHGTNLEDVGISYFNELVHRSLIQPVMTRYKGVFCRVHDMMLDLILSKCAEDNFNSLAYTSEDMARLRDCRYKIRRLSLISSIGGITRETIPWTVSDSTSQLRSLVWFGDCKCIPRPSQLKYIRVLSFEYPGSSYLDLTAISKLFQLRYLKVQNNCYAELPTEIRGLVHLDTLDVPFGSIPSDIEHLPRLSNLTMGLYAIGLPERIGIMESLRTLDGFQLKRSSLEALEGLAKLTNLRSLTLYNNPYDDECNLLEKARFDALASSICKLRNLKCIQMLCHNDDKDDILGSVSDPPTLIEEMYLSSWKMLGVPKWIGDLNCLHSLALYVRETKNDAITILGGLPSLVYLHLRVETCPKEEAVIVSKGLFSVLERFTLSSYEDVTAYLGFEAGAMPKLRELHLELDARSWGGAAPVGMEHLLALQQISLFVFRNEKESLEQVKLKVLSAFRNAVELHPRRPSLDIICRCYWVKQRTTQ >SECCE4Rv1G0277880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806110206:806110817:1 gene:SECCE4Rv1G0277880 transcript:SECCE4Rv1G0277880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIHEEDLSMLAADVTIYVLLAAMLLAFAADQACAGGWGCAVAHVVLEIRSQAILVLLLLPLALVLFFLRAAGSSSKAPDAESLSVKDVDERPSAFSLALPLLLLVAMFGAISFALYMYGYPDLADLYGHAALLVIFMCEAFVYVRAALSLWRMNPTPKPSNMVAAE >SECCE5Rv1G0349790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:686624265:686625215:-1 gene:SECCE5Rv1G0349790 transcript:SECCE5Rv1G0349790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALSFLLPALLLAALPTIPIAGQTLKPSCQASCRGVDIPYPFGIGPGCFRQGFEITCNDNGGGGPVLPLLANTTIQVVHLSIDTAEVQVMLPVGFQCYSSRQDGPHVSSYGGLWEMHGEGVYRISSTRNMFVVLGCMTMGMASSRRIAEPGSGGPWTSLYGFHTGCMSFCNGPASMRDGVCAGVGCCRVDIPPGLTDGMFRFDDLNHSKVLDYSPCDFAFLVDKTKYTFRRSDLLKPIRDVVDWTVPMWLEWAIRDNGSVPAASCADAAKSTAAEYACKSSLGVCVMSSTNGTGYTCKCGNGYEGNAYLPRMYW >SECCE2Rv1G0129020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:866241858:866243180:1 gene:SECCE2Rv1G0129020 transcript:SECCE2Rv1G0129020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKAVKPEYGACGVAPGCTADVVPLTVLDKANFDTYISVIYAFHAPAPPNAVLEAGLAKALVDYREWAGRLGVDANGDRAIMLNDAGARFVEATADVALDSVMPLKPTPEVLSLHPSGDDGPEELMLIQVTRFPCGSLVVGFTTQHIVSDGRSTGNFFVAWSQATRGAAIDPVPVHDRASFFHPREPLHVEYEHRGVEFKPCEKVHDVVCGADGDDDDEVVVNKVHFSREFISRLKAQASAGAPRPCSTLQCVVAHLWRSMTTARGLDAGESTSVAIAVDGRARMSPQVPDGYTGNVILWARPTTTAGELVARPLKHAVELISREVARINDGYFKSFIDFANSGAVEKERLLATADAAEMVLSPNIEVDSWLRIPFYDMDFGGGRPFFFMPSYLPVEGLLILLPSFLGDGSVDAYVPLFSRDMNTFKNCCYTLN >SECCE6Rv1G0406010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:539207192:539208824:1 gene:SECCE6Rv1G0406010 transcript:SECCE6Rv1G0406010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSSGGGHRRAKSAVEVVETLAPNMETGESDPAADPGDSPHGIEVGIEFKPVEHPVEPVNLDQPVKCPLPEPSILHDGRIWQERMSTAGGRPRTDLPVVKEGSQLEPDSSATRSRSAVRRRAILPSASAPEHNILALLDECDVAESQRPAE >SECCE6Rv1G0389760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:160286104:160288286:-1 gene:SECCE6Rv1G0389760 transcript:SECCE6Rv1G0389760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQESGYQKRKRKEKKQKLVKSQKGAMDRFIRKETPHVSSGNQSGDPSPLELAIVPFNNIDGQTETDNIEVEEDHIDAEFDDSFRSDIFDPRNWDSLDSKQVDILALNGPKRYSSVKKGPKDIYHKRFIAKFYTRSLSNGEHCDRDWLVYSKELDKVFCFGCKLFTKGHRKGHLASEGSHDWSDMKEKLQYHETSADHVSCMAHWYELRSRLEKHQTIDKVAQQQLEKEKDHWRKVLFRIVCILTFLAKHNLAFRGSNGKLYEDSNGNFLGLVEMLAEFDPVIQEHVRRITNDETHAHYLDHKIQNELLHLLASAIRSEILKKIKSSKYFSIILDCTPDASHQEQMSLIIRYVDSSGSDVCIEESFMGFIHVNDMTGKGLCDVLQNELKLLSLDIHDVRGQGYDNGSNMKGKHQGVQKLVLDVNPRAFYSACGCHSLNLTLCDMAKTDGRAKDFFGIIQRIYTTFANSTKKWQILLDNIGSGWNHKSVSATRWESRVERVKSIRFQCSDIREALLQVSESDTDPKTSSEALGLAKNELGEYEFIVAIVIWFEVLFAVNLVSKNLQTKDMLIDVAIEKVEGLISFFKGYRENGFLEALEIAKGIAVELDIATTFRARRVIKRKRQFDENQDETNIATQSAEESFRISYFIPLVDQAIASLTRKFDQYQGYQKFFGFLFTSETLRSLDYDTLKSSL >SECCE2Rv1G0138890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:924091990:924093097:1 gene:SECCE2Rv1G0138890 transcript:SECCE2Rv1G0138890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRRGHAGDGGGKWSRRIKHLYLVLDDWTNGYNIHKIQADSFDSDSDSDSDDQHSGTARYLPEPPALRLESPVGLVPHSGMSFSALGANIFTFMNQRCSLIYNTKTAAMTIGAHAPADMLCGFGITVVVGEMLYALSYYFRAKQHSFGVMSWGAGPGRLCRHHRRVNSYALHPDGCTIFMSTANFKTTPSKGNMCTYSFNTNDSVWRWHGEWALPFTGQAHFDSELNAWVGLRRDGYISACQVASPSCHNTTPTLQLDYQTTKEKLVCKDGQIHMKASLTYMGTSKFCLVECVKWEGVRKGQALGDHDGCVLLITIFGLKYNHKGELQITDRRSTRSFIVSRQKGHFRPVAFWM >SECCE4Rv1G0274680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:786245139:786248940:1 gene:SECCE4Rv1G0274680 transcript:SECCE4Rv1G0274680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPLPQPRSSMREALQKEDKEKASAAAPPAKDKAAVAPPPVAAPPMAKNGGKGGNGGQPPAAEETTREIQVVREAYRQPAAPAYVMPEEPPAMVELVGWYLYGFCSFFITHLLLPVLFPAIVTQVAFPSSDFTPEAKYTVKGASCSVHEMSMYQRLTKYSIDISGSHMSPLGWSALSWAIGILLVAPLLTQVAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIAASIIIAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLSLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPTTAFEPNFFSKLKYSMTIGRYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLALWILYFLFPLISLPLLHPIQIIIRADAVRMQLLGFIIALFVSGAGFYFKSHRWRAAHIIIIALVQSTANGILYSFGRILLLDASPPGKEGAFAIWYAYVRCTGAMIGFAVASAGPGRAGGSFAAAFLGSFLGIIVLIFGNVSNIGALKAAGHLKGMEDEKRIGEKGEGGMSAVADSGESRGRV >SECCE7Rv1G0477020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:213559750:213562128:1 gene:SECCE7Rv1G0477020 transcript:SECCE7Rv1G0477020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDYSVDDYICAICDEGGDLICCDGYCGRSFHPTKPTGDASDCKTLGLSEEQLKLPEFLCENCESKKHQCFVCGELGSSDQGGDKQEIIQCNKKGCKRFYHPHCLPLDVNKIPEESCPMHECYSCKKKHATLEGNKRTGKTKGKEICRKKHATSEVNKTRRESKGRGMWGMDLVLCRRCPKAFHRKCLPKKIFGGPGGEGNIQRRWETAGGTVFYCRDHEMVEGLRSAKRNHIKFREEKPKGAAENQSVEDGNKGSVPVAKNMS >SECCE1Rv1G0034620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:486204155:486205227:1 gene:SECCE1Rv1G0034620 transcript:SECCE1Rv1G0034620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLMVLQLPRLGRVAGVTAAPASKAGPRNLNSHGCRSSWRSSSFSSRSSRWFSSNAENPGPDTRDDNRTSKRRWWSDNQFDVEEEEEEFGSEGSFGSAREMFDEPWFTKVFRVYRYVLPVLLASMLVTTGPQAFLMAMAIPLAQSVLSFAISKIGSFGRRRRDEEEYDDDDYYSDYGSGGWEAEEQYSSNSSSTYRGGDSSTSSSRYQQQQQEESTDSEPTAESGDINGTTGTATRSESGSIGFGGWDELEEGDHRRSGRSRARASPAGTATAGGAARTSRPPAIRRGRSRGAAAARYRQTPLPMRLLVALFPFLGSWFRIML >SECCE5Rv1G0298500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11327344:11329193:1 gene:SECCE5Rv1G0298500 transcript:SECCE5Rv1G0298500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAAYHYLQRAVGHGVSTEALLLTVLLLLIIRLAWVRAFATTAASTKGKQQLPPTPPGKLPIIGHLHLIGSHPHVSFRDLAAKHGRDGLMLVHVGAVPTVVVSTPQAAEAVLRTHDHVFASRPRNPVADIIRYNSTDIAFAPYGDYWRRARKVVNTHLLSVKMVYSKRHDREEEVRLVVGKIRELAAAAPGKALDMTELLGGYASDFVCRAVLGESHRKHGRNELFRELTEISASLLGGFNLEDYFPRLANLDVFLRVVCSKAMGVSKRWDNLFNELIAEYEGGKEDNAEDFVHLLLSLKKEYGLSTDNVKAILVNMFEAAIETSFLVLEYSMAELINNRHVMAKVQKEVRESTPNGEKLDLIMEEDLSRMPYLKATIKEAMRIHPPAPFLLPHFSTNDCEINGYTIPAGTRVIVNAWALARDPLHWERAEEFYPERFLQEGRDAEVDMYGKDIRFVPFGAGRRICAGATFAIATVEVMLANLIYHFDWALPSEMEAIGAKVDMSDQFGMTLRRTERLHLVPKIYK >SECCE5Rv1G0322370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:419949846:419950508:-1 gene:SECCE5Rv1G0322370 transcript:SECCE5Rv1G0322370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQKIVLKLALDDERKRRKAFKAAVGKSGVTSATMEGDKIIIVGDGVDPITLTTMLRRSLGYAELLSVSSGDDKKKDGYGAAYGYGGGEKKDGYGAAYGYGGGEKKKDGYGYGGTDGGGSGMSFGGKEGGGSKGGGGGGGGGGYSQSAVAPITYPAYQQYNAMPSYPVYSYPAPAYQHQEQDPGCSIM >SECCE4Rv1G0241080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448287988:448288203:-1 gene:SECCE4Rv1G0241080 transcript:SECCE4Rv1G0241080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPTMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYENARKDTKSRR >SECCE4Rv1G0289990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:869906859:869910130:1 gene:SECCE4Rv1G0289990 transcript:SECCE4Rv1G0289990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAWPALGPAAGDAAFPRPPPGAAPAPVAWGAGATARREAVAKESAAQAVSRIVSSCANSSGLAVAVVDANAVISGGAALASAAGRLVTVPEVLDEVRDAAARRRLALLPTPVETVEPAPEFVKRVVKFARETGDLQTLSDVDMKIIALAYMLEAEVHGTSHLREHPPPLHVVSVRTLQEAPLPGWGSNVPNLAEWEALDKMSEAGGNLNSRILPLKDLEHQDIPTSETNSISETQGGEEFQPSKKDACIPWEDDENNEGWLPAVGRTTHRKYLRRKARRDALKASEQSFDTSSVAPSVDDDNDLSENGLDSVDGPSAVPEKTMSNTESLESLEEHEPKIAGDQPSNGENGVVGNADTVEGRNDTDACTEQLDNLDIKSEAEEGISASFVDDESSEQSWALRSLSESTVACVTSDYAMQNVILQIGLRLLAPGGMQIRQLHRWVLRCHACYKVTQEIGKIFCPKCGNGGTLRKVSVTVGENGITMASRRPRVTLRGTKFSLPMPQGGRDAVIKNPILREDQLPQKVLHPKSKKSNKLGDDFLGAEDIFTHSGEKKVELKPPVRKALAMFSGKRNPNDNHFSRKKN >SECCE7Rv1G0518420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859086934:859088042:-1 gene:SECCE7Rv1G0518420 transcript:SECCE7Rv1G0518420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKASLLAILGCICLLCGTVIAARELNNDMSMVAKHENWMAQYGRVYKDATEKARRFEVFKANVQFIEMFNAQNHKFWLGVNQFADITNDEFKTTNTNKGFKANSIRVLSSGFRYENLSLDALPATMDWRAKGAVTPVKDQGQCGCCWAFSAVAATEGIVKLKTRKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTMESSYPYTAADGKCKAGSNSAATITGFEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGKTSDGTSYWLMKNSWGTTWGEDGYLRMEKDIADKKGMCGLAMEPSYPTK >SECCE6Rv1G0420910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680829779:680841886:1 gene:SECCE6Rv1G0420910 transcript:SECCE6Rv1G0420910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKSRGGAAAGDDPDELSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIDYTLSWLETSGVEEVFVFCSAHAQQVKEHLKEAGWTGKPAAREMAVTAVESHDAISAGDALRVMYGRGVINGDFVLISGDTISNMSLKDALQEHKDRRKKDPLAVMTMIIKHSKPSIITHQTRLGNDEIVMAIAPETKELLYYEDKADNSHLCVTIDKDILANNPTLQLHNNMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIRSSYAARIDNFRSYDAVSKDIIQRWTYPMVPDVLSSGNCHEMKLHRQGIYKASDVTLSHSAQIGANSVIGNATSIGEQCMISNSVIGEGCSIGKNVLIHGSYVWDNVIIEDGCKVSNSLVCDDVHLKAGAIVKPGCILSFKIKVGKNIVVPAYSKVSLLNKPSNEDSDEELEYADTNSGITDSPSFSISKSNADHPTIISEDDDLGASETGTSGVLGYIWASGDTGIQDEWRQSIAPIPKEKLQELQHAVSFDDDEGSEEDLNNRPSEADRDNDSEISAVEDDDYTKFEKEVEETFQRAVDGVHQDNLILEINALRLSYSLQHADCAGAVFYSIMRGALVAAQSTNDNLLKTTADALAKWKDLLRNYTKTVDEEMEILLKFEEMCQDITKEFSPLFSKILPYLYDKDVVSEDAILRWAEEKENADECDKVFVKQSDAFIQWLKEAEEEEDEDDEEEE >SECCE7Rv1G0506670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:751639168:751641927:-1 gene:SECCE7Rv1G0506670 transcript:SECCE7Rv1G0506670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKTKRVKHEHDEVPHTSPALPSSQVQQPQLQPTVSNNNRCFLHYPRDLTQTEITFSSCQLKPKVEKSDYFDSRSAKSIGKFFSEAGPEPGVLHSSALKEMVVFPHGPGVVMPTYEAVLQEQLRETENRAKELKQEWQTSGCTVIVDSWNSKSGKSFVSVLVHCSKGTQFLRSIDVSEITEDLDELESMLSRVVDDVGAHNIVQIVMNDVSPHMQMARQYVLNKYDSFFFALCADHCINLLLKKIAALEHVSEVLVKAREITRFLYGHALPMKLKGSYVQEEILSSSYLRFVAVFITLERLVSARVGLVQMLSSPEWVSSGWACLDLFERIQSIVKTDDEFWHAAAEVVKVTKPLVSVLYKLESDICPMGILYEAMDRAKEEISLNIGDESDSYWCMIDRIWDGYLHSPLHAAGQMLNPRIFYTAGFQPDAEISSGIAACTIQLGKSHYNARKASAQLEVYEKKLGYFDTDPAMEQIMELPQVEWWSTHGARVPDLQTLAKRILSQTCFGATRYNIDWSLSEKLHAEWDEMTPPEQERFRQKEYVHYNRVLAGAAPLLHGSSVRQHDRVTMVLHDWIRPQKQALTE >SECCE6Rv1G0399720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:443035432:443038264:-1 gene:SECCE6Rv1G0399720 transcript:SECCE6Rv1G0399720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTKQLCIAVLITFFSPLIVRHLIVNSPISGTSRYQILHANSLAWFSNPDDAYAPAATPASPANVQVVSSPADDPRNSSTERLHWLDTWNHMKQLTNVSTGLPHAIEAIKDARTAWESLTAMVQNATSPGTEKERLCPYSVRRMNASKSAGGHFTMDIPCGLVAGSSITVIGTPGSLTGNFWIDLVGTALPGEPEEPIVLRYGVRLTGDKLAEGPVIVQNAFTASNGWGSEDRCPCSNSSNATQVDDLERCNSMVGREEKSIKNSTHHAGPKQGGKPSTYFPFKQGYLAITTLRVALEGIHMTVDGKHITSFAYRAGSEPWFGTQVRISGDFKLASAIASGLPTSEDMENSLDLEMLKSSPIPDGKDLDLLIGIFSTANNFKRRMVIRRTWMQYDAVRNGTVAVRFFVGLHTNLMVNKELRNEARTYGDIQVLPFVDYYSLITWKTLAICIYGTSAVSAKYLMKTDDDAFVRVHEIYSSVKQLNISNSLLYGRINSDSGPHRNSGSKWYISPEEWPEEKYPPWAHGPGYVVSEDIAKTINIWYKTSRLKMFKLEDVAMGIWVDEMKKGGLNVRYETDERIYTDGCKEGYIVAHYQQPRNMLCMWETFLRTNQAMCCN >SECCE2Rv1G0072590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:58972605:58975300:1 gene:SECCE2Rv1G0072590 transcript:SECCE2Rv1G0072590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLTAALKSKLIAPFFMAGSKQFSSGPVSALPPTEIIRPGYYFGREPFGPAHGVQVPNGPDGLPKFVNWLKVPGAVSQCKNQLNCDASYIIATLGSISSLNYLTNKSSILLSAQHILDGNTVTNHGCMHGWPENVFDFIIRNGGVVSEIDYPYCGTRGTCKAVEAEGVSIAKWAAVEATEEAVKYAVAYQPVVSSIYTNSKFRRYSKGLLKVPRIHGPVNHCVLLVGYDESPREGGCWIGMSSYRRRWGMKLDNYRNMFLIPRNTTHVGGAVGVLTNVVLPLGASMVPKVKTRSESCPACFK >SECCE5Rv1G0353930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720717004:720717324:-1 gene:SECCE5Rv1G0353930 transcript:SECCE5Rv1G0353930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKIAKESDDRMQFKRIADLWEKRETSRNSATSEMKEDPVRDEIKEMKDMVVNDGGKPGSEVYFHALELFTKKEHRDVFSALKEEDSTVRLEWINRAWETFMKKN >SECCE3Rv1G0183320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:648674393:648677017:1 gene:SECCE3Rv1G0183320 transcript:SECCE3Rv1G0183320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pterin-4-alpha-carbinolamine dehydratase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G29810) UniProtKB/Swiss-Prot;Acc:Q6QJ72] MAGLLLRRFIRIQPRAPMTAAGGAALFFASWPSSPLTVAHLEVRGEQAAAGVELSKKSCIPCNSKDLHAMSEDSAKKSLEQVTGWELKNEGDILKLHRAWKVKNFVKGLEFFQLVAAVAEEEGHHPDLHLVSWNNVKIDVWTHSVRGLTDNDFILAAKINELKLEGLLSKKKATSQE >SECCE4Rv1G0279840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817174392:817177217:-1 gene:SECCE4Rv1G0279840 transcript:SECCE4Rv1G0279840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLAVLLLLLMPLSATATAQLCGSGSNYTTNSTYQSNLAALAATLPTNASSSHQQFATATAGQAPDAVHALALCRGDFANDTACADCVAASFQHAQQTCPNDEAATVYYDYNDVNDRRPGCVLGFSGDGDFLRPAVGLTENGTLFEAWNPGNISADATITAEDVHNLLTVTAQDAAADAARRYSTAVMDALPTLYSLAQCTPDLSTGDCLTCLQRIIGMVNATTSMRQGGRIFVLRCNIRFETFMFFDQPMRRISPSIIPTPPARKRIKPWIIAICVAFAVALGAFCFMVYCRCLRGRIRKRGLMSFRNGSMRLRENRTNKLHRGDHDLVWDMETGILSGFWFFEFDQIQEATGNFSEENKLGEGGFGPVYKGHFLQGTEIAVKRLASHSGQGLVEFKNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYMPNKSLDFFIFDEHRKALLDWNRRLAIIEGIAEGLLYLHKHSRLRVIHRDLKPSNILLDNEMNPKISDFGLAKIFSSNKNEENSTRRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLVLEILRGKRNSGSHQCGDFINLLGYAWQLWEDRRWIDIVDASFDSFIPKMDPTEMMRCINIALLCVQENAVDRPNMLDVTAMLSSKTMILREPKNPPYFNLRVGNEEDSSATKSCSINGVTLSIATAR >SECCE7Rv1G0466060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:76207214:76214638:-1 gene:SECCE7Rv1G0466060 transcript:SECCE7Rv1G0466060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLRQAGRRAAPLVPPPAAPPVSRSQNQQCLPLLDEPFFAPSRYRRLPLLWTGVRARMISTSLEHHDFTWKTSMASRFMQTDIVNALRKGDRQRASILLSNLQQTKEALTSEDFSYILEYCAEAPDPLFVMETLELMNVKAIDITKSHYRSVIRALSQGGYSKEALKLLTLLGEKECSYAAVPIFNIFLSACSTNLNDAESCLEIMENHLLGKSEITFCELLKVAVLQRNLSAVHDLWKDCTRYYSPSIMTQRKFVKAFSTLGDLQSAYHILQRMVVLAGEHTDHLRVSSKRRCQSTRLDIPVPALREVEDLKLVSDYDLTSSSQGKMGTGKCLIDAQPELLRVETQSSKHEQLKGYVSFISDGDNLGDNNEPDNGRITKTLSSAPTAVKNVLRWAFNDIIHACVYLDNCQLSEQLFLEMHKIGLRPSRFTYDGFIKCVIAGKGVAHAIKVIEVMDRRGIRPYDSTLVALSVDHSKSLQLDLAEHFLGRISDIQPEYIHAFNALLSGCDIMNEPKRALRILAKMRRLDLKPHIWTYEIMFSLFGNVNVPYEEGNMLSHADVSKRISIIEMDMLNHEIRHSFVSMKNLIRAFGAEGMIDEMLRYLNVAENVLWNMDPYQKSDLYGIVLHALVKAKETPKAIRTFKIMRSWGLPTNTAIYNIMIECCKLLPCLKSAGALLSLMFRDGCCPTVLTFTSLLKVVLAKEDFEGALDLLDLCITEGIQPDIEIFNTILSEANAKGNIHVVEYIVECIHRAKIRPDESTLWYTFCAYVDQELYNTALEALQVLSMRMISLDASILKEKGAALEDLILSEDPDAELKIIRTFETTEECSSAALLNLRWCVMMGSTISWSPEDNLWARRLASSYDAYKRPVS >SECCE4Rv1G0273550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:778113924:778115321:1 gene:SECCE4Rv1G0273550 transcript:SECCE4Rv1G0273550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISDVSDDELSDSEQVLINNISAVSVFRDVLLTRLFKTPRNTSILTGAQKTKELLEGHPVRFYEQIRLEKHTFYLLRDALCEKSLLKDTKRMTVDEQLLMFLHTIGHNVRNRVIQDRYQHSGEPISRHFNMVLNAINGLRDVCITDPRNEIPAKILGDARFYPYFKNCLGAIDGTHIEAKIRLDKQTPYRNRHGYPSQNVMAAVSFDMTFSYVAAGWEGSASDQAVLRWANTSGGFVVPEGKFYLVDSGYANTPKFIAPYRGDCYHIASFRGSNRRYTSEKDMFNHLHAQLRNVVERTFGVLKARFPILSRKGGIPYPYKTQVKIVMACCIIHNFIRKVNHHDELFELYEHGEAQQHVDHGDQQVRGQAREDERVAGERVRAGIARQLWTNHQQRSAQLPEDD >SECCE1Rv1G0033710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:470571767:470575475:1 gene:SECCE1Rv1G0033710 transcript:SECCE1Rv1G0033710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLAAALRRHLLLLLPHRFPLLPSRHVSLSTRHSSSDQSDIEYGHPIPRAPDDDGELTSFVRRISHASSVASSPNDALSLLLSSSSGPTPASPSLVRALWELRRDPEAAALALRWGDEWSATSGAEGAGSLPAEAWHLAIWSAGRAGRFDLAWAAVRRMQRRGVLTRRAMVILMERYAAANEVKKAVKTFDVMERFKVEVDQTVFYSLLRALCRSKNIEDAEELLLARKKFFPLTAEGFNIILDGWCNIFTDVAEVKRVWREMSNCCITPDGTSYALMVCCFSKVGNLFDTLRVYDEMKKRGWTPGIGVYNQLIYVLTRENCMKDARKVLSKIVDEGLQPDAETYNSIIVPLCESCKLEEAREVMEDMTMKGIVPTISTYHAFLKQEGIDETLQLLKKMKEDGCGPSSDTFLMLIDKFIQLNESGNALRVWTEMRRYDIRPGYAHYMAVVQGLIKHGCIPRALEYYDEMKANGFASDPILDKEFKTFLLANRDHWRGAGKYNLIPQRIKHSTRRTRIP >SECCE4Rv1G0243500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:503340732:503344830:1 gene:SECCE4Rv1G0243500 transcript:SECCE4Rv1G0243500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEERRRRFSSLRSVRWRVDLGILPASPDASVEELRRAAADSRRRYVSLRRRVLVDPHLPKEEARSSNLIVDNPLSQNPESSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPTCQAMLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDIDKLTQIRKLHEDCFNDDFVGVPFPDTDMVFSYKPRKDPKWNSVTGNENDSESASRANSLDELDSDTKEIILLSDAYGAEGELGIVLSERFMEHDAYAIFDGLMDGGGGVVRMAEFYSPSSVGSSSSLPPAIEASSALYHLLSIVEPSLHNHFIELKVEPQWFALRWLRVLFGREFGLSDLLVVWDKVFACSNNMLLNSDEEYSFRILCSARGAFIAAMAVSMLLHVRSSLLATETDVSCLQRLLNYPTNVDVQKLIEKAQSLQSTAIDANTSSPSVLLNRDVGEYDRVNNILAISTPPRTPLHPLSESYWEKQWRNLHTDGTSPKETEKGHSFSREIKKSLRQKLGLSRTESDPSPVKAISVKSDARNSTRRCLLNTLSDSVGRSHEIAGKIQEDEFPIVSTQKEPPVSSAEPSQPRAAADNITVSPPCLPKLSPLENPLVVPADESTTQRTQCATEACSSGENSPVFYAAIAGNEHENIRDNDSERSSVISISYGGDNDRDEILQDESSSCNHDGSSVQDSEAETSDKTADPDVSSEKTVVSNERKPFISKFQWLLKLGRPSGEGNIEKGSGEKSDGKDAVDASCSEGNSNNPRGNTKLAAGDKKVIGTFKNLGQSMLENIQVIESAFQQDRSQPGPMENFSNNILGGKGQVTATAALTELRKISNLLSEM >SECCE5Rv1G0375600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868904359:868905573:1 gene:SECCE5Rv1G0375600 transcript:SECCE5Rv1G0375600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELDEEIYMEQPDGFVVPGQEGKVCKLLKSLYGLKQAPKQWHEKFERTLTSEGFVVNKADKCVYYRHGGGEGVILCLYVDDILIFGTNLDLIKEVKDILSRCFEMKDLGVADVILNIKLLRDDNGGITLLQSHYVEKIKATSGYLFTLGGGAVSWKSCKQTILTRSTMEAELAALDTATVEAEWLHELLMDLPVVEKPIPVILMNCDNQTVIIKVNSSKDNMKSSRHVKRRLKSVRKRKNSGVITVDYIQTTMNLADPFTKGLSRNVIDNASREMGLRPMV >SECCE3Rv1G0149480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:25435499:25438402:-1 gene:SECCE3Rv1G0149480 transcript:SECCE3Rv1G0149480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWGTRLLAAATTAALLLIATCAAASXXXXFLTAVGTNCERSPESVTKINRFHKQINGNDKVTLTFILSANLESLFTWNTKQVFAFVTAEYETAKNSLNQVSLWDKIIPDKDQANVQVEVKSKYPLTDQGTSLRGKKVQFVLHWHIMPNAGGMIRGKMPLSEFTLPDTYTS >SECCE4Rv1G0248310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:570331491:570337488:-1 gene:SECCE4Rv1G0248310 transcript:SECCE4Rv1G0248310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRGQTRGEPKPPPSSSPASSGRGGVEDVGPGGANNRRRASPAAKGKSPATKARAQMPVAGQVELESALGIKRKKGKVNTERNDDTGKKRCSVGSSEKKKLEEKEPEATGDNDAAGMEWEDGHVSPVECKEGYSHDLGEMVTVEFTDVPSSTEKKSVRRHTAEEKELAELMHKVHLLCLLARGRVIDKACNDPLIQASVLSVLPQHLLWNGVDTLKLDANKLRSLVSWFHRTFCIIARSADKGSFESNMAFTLQSHEGTAEEVCALSVALFRALNLTARFVTNMDVVGLKPDAKAMGTPNQDGTRLSTRALPSSSVDVGHNEFNTLSPARLEVKAEHSFSRTKQRGDLGNLKRTSACKSLSKNLSDCKADQYGSTSKDESSSSPNPFTSSNAEIPKRKGDVEFELQLQMALSATGAEIQEKLAATSSQSIGTLLDSTPLKKLRKNAEVASNSSAVWSRSGPPLYWAEVYCAGQTLTGRWVHVDVVNDIIDGERKVEAASAVCRKPLRYVVAFAGGGAKDVTRRYCLQWHRIVQGRVNQEWWDKVLAPLEQLELAATNDSEDMELQTRALTEPLPTNQQAYRDHHLYALEKWLHKNQVLHPKGPVLGFCKGHPVYPRSCVQTLQSRHGWLTEGLQVRENESPAKIVTRPKRVFNSQSRESNSNEDELQATTELYGKWQLEPLQLPGAVNGIVPKNERGQVDVWSEKCLPPGTVHLSKPRIFQVAKRLGIDYAPAMIGFDYRSGRCAPVFDGIVVCAEFKNAILEAYKEEEERRQAADRKQEEAQALSRWYQLLCSIVTRQRLKESYNARSAALAPERPAKVGNPQKSTSDSRCSGATTTNHRPREERLPDPRFSAHDHEHEFPEEDQTFDEETFVRTKRCPCGFTLQVEEL >SECCE2Rv1G0119330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:790379506:790381409:1 gene:SECCE2Rv1G0119330 transcript:SECCE2Rv1G0119330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLPWSCRCGLNPTPSKNRGAPARPPTTPAARGRRSKYTGQSVRVMPMRVLTVGKKRSQGTQLIVEEYKEKLGHYCDVEDTLIKSNPKLTSDVKVQIEAEDASMMQQLKAEDFVVVLDENGKDLISEQIADLIGDAGNTGSSRLTFCIGGPYGLGEQVRERADATIRLSSLVLNHQVALIVLMEQLYRAWTIIKGQKYHH >SECCE5Rv1G0339530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:608067078:608068689:-1 gene:SECCE5Rv1G0339530 transcript:SECCE5Rv1G0339530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHADETTHILLVPYPAQGHLNPILQFGKRLAGHGGVRCTVAATRFVVGSTKPSPGSVHVAVFSDGCDAGGPAELGGHKGPYFEQLEAAGSEALDELLRSEAACGRPVRVVVYDTFMPWVAPLARRHGTACAAVLTQTCAVDIVYTHAWAGRVPVPVREGDAPLELPGLAARLGAADVPTFLTDSDAHHPSLRDMLMNQFAGLDAVDHVFVNSFFDLEPQEAEYLASTLGARTIGPTVPSAYLDNRLPDDASYGFHLHTPLTAVTKAWLDARPPRSVVYASFGSIVAPGPDQMAEVADGLRNAGSPFLWVVRATETAKLPEGFAARAAARGDLIVPWCPQLEVLAHGAVGCFVTHCGWNSTVEALSAGVPMVGVPQWSDQPTNAKYIEDVWRVGVRARPDAGGVVRKREVERCVRDVMGGKEYRRRASEWREKAKAAVSEGGSSDRNIADFLSKYRVAK >SECCE2Rv1G0085140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:186202019:186202666:1 gene:SECCE2Rv1G0085140 transcript:SECCE2Rv1G0085140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDAVSRKSPMPPPSPPPMDSWARGGRRSRRRGGSRIVRSVGSAESEEEYLALSLLMLARGEVEDGRAGGVKGVGAAQIQAQGYECSVCGKVYTSYQALGGHKKSHRKLPTPSAASTGGDEASDGAPVEPKVHQCSLCHRTFTSGPALGGHKRLHYEGGAAANGIGKDKDAGKAKATSLLRDFDENLPASAVAGYEDKSPPPDAKRARLMLLVI >SECCE2Rv1G0105680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:624130648:624132350:1 gene:SECCE2Rv1G0105680 transcript:SECCE2Rv1G0105680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPVFPALMCLAVLALAGGADARRKMVGVYELKRGDFSIKMTNWGATIMSIHVPDSKGNLADVVLGKDTLAEYVNDTSAFGPLNGRVAQRMARGRFVLDGKVYHTYINDGRNSIHGGHRGFSKVIWTVKEYVAGGESPYITLYYRSFDGEQGLPGDLDVYATYQVSSPYVLSIRTNATALNKATPVNLLQHVYLNLGGQGSGDILGHTLQLSASRYTPMDEELLPSSGRVDPVAGTNYDFRTPTPIGARIRKVKGGNVYGYDINYVIDGEGMRKVAAARDGKSGRALELWANQPAMQLYTGNLLNHTKGKGGKLYEQYDGFCLETQAYPDAVNHPEFPSVTVRPGQVYKHDMLYKFSF >SECCE2Rv1G0134370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901163234:901163749:-1 gene:SECCE2Rv1G0134370 transcript:SECCE2Rv1G0134370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRTILLAVAAMTILSTASAAIYNVGEPGGAWDLSTNYGTWASSRNFHPSDQIVFKYSPQAHDVLEVGKADYGSCSTASPIATLKSGNDIVSLTATGTRYFICGFPGHCAAGMKVKIDVVPSSSSSSPAPASGPSATNAPPPAPVSAATSMEATGFGLTVLLAVAGLMA >SECCE4Rv1G0293970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887869053:887870582:1 gene:SECCE4Rv1G0293970 transcript:SECCE4Rv1G0293970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRAAELTTLLESRMTNFYMNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLQNENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGKGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRGTNKSETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSSTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSSKLELAQYREVAAFTQFGSNLDAATQALLNRGARLTEVPKQPQYEPLPIEKQIVVIYAAVNGFCDRMPLDRIFQYEKAILSTINPELQKSFLEKGGLTNERKMEPDASLKESTLPYL >SECCE3Rv1G0188760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:718299265:718310835:-1 gene:SECCE3Rv1G0188760 transcript:SECCE3Rv1G0188760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMISRSLQSVSPDVSVYFSGGSSRRRSGAGESDDEEALRWAALERLPSFERLRTGILRSEAQAGRRRFAHEEVDVRMLEGPQRQAFVESVFGVAEEDNERFLKKLRARIDRAGIVIPTAEVRFRNLNVEAECHVGSRALPTLMNATLDTVDTMLGLAGVSLAKTKTLHILKDVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPSLKVRGEVTYNGYGLDEFVPQKTAAYISQNDVHAGEMTVKETLHFSARCQGVGHRYELLQELTKKERQLGIYPDPEVDLFMKATSVEGSTLQTDYILRILGLDMCADVMVGDDMRTGISGGQKKRLTTGEMLVGPTKVLFMDEISTGLDSSTTFQVVRCIQQIVHLGEATVLVSLLQPAPEIFDLFDDVMLLSEGQIVYQGPREYVLEFFERCGFRCPERKGAADFLQEVTSKKDQAQYWIQNEKPYHYVSVPEFVLKFRKFHMGKSLKKQLSVPFNKRKIHKSALVFSDQSVSTSELLKTSFSKEWLLMQRNSFIYVFKIVQGIIVALVTSTVFLRTRLHEDNEEDGQVYLGALIFVMIANMFNGFAEASLTLARLPVFYKHRDFLFYRPWHFTLPNVLLKVPMALFESIIWVVITYYLIGFSPEASRFFKHLLIVFLIQQAAGGLFRLVAGLCRTVVITNTAGSLALLIMFVLGGFILPREAIPKWLVWGYWCSPLTYAYIALAVNEMDSPRWLDQSIADGRPLGVAVLENAGLFTGKEWYWIAAGALLGFTVLFNVLFTLSLMYLNAVGKPQAILPEETDEILENDCEQKKEPHIAQRTTVRTTEPTSPNSIITLDKVLEQLRGRSPNTSDRSARYAPGRGMVLPFEPLSMSFNEINYYVDMPAEMKTQGVTADKLQLLSGISGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGYIEGEVYISGYPKNQATFARMSGYCEQNDIHSPQITVRESLLFSAFLRLPKEVTDQEKKVFVDEVMELIELNGLKDAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGRNSHKVVEYFQEIPGVPKIKEKCNPATWMLDVSSAAAEVRLKIDFAESYKSSAMYQRNKALVKELSKPPPGTSDLYFPTQYSQSNFGQFKFCLWKQWWTYWRSPDYNLVRMFFAFVTALVLGVIFWRVGLKMRSSGDLLVIVGSMYAAVMFVGCENCICVQPVVAVERTVFYREQAAGMYSAIPYALAQVVVEIPYVFVETLVYTLIVYPMMSFEWTLVKFFWFFYVSFFTFLYFTYYGMMTVSISPNGQVASIFAAAFYSFFNLFSGFFVARSKIPKWWIWYYWLCPVAWTVYGLVVSQYGDVEDLIKVPGQPDQQVSTFIKNFFGYDNDFMGVVAVVLAGFTVFFAMIYAYCIKTFNFQQR >SECCEUnv1G0562790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:368889307:368889663:1 gene:SECCEUnv1G0562790 transcript:SECCEUnv1G0562790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQDPSSAAAAPLDLSLRLAPMSPWAAHPFVGGGRRRGGGGTRSRLFSCLFCERKFFKSQALGGHQNAHRKERVVGSWNAHLYLPERDRDAAPTTATARLDDDDGHKWQELDLNLKL >SECCE1Rv1G0045980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614059956:614061170:-1 gene:SECCE1Rv1G0045980 transcript:SECCE1Rv1G0045980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELDEEIYMEQPDGFVVPGQEGKVCKLLKSLYGLNQAPKQWHEKFERTLTSEGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLDLIKEVKDFLSRCFEMKDLGVADVILNIKLLRDDNGGITLLQSHYVEKVLSRFGYSDCKPSPTPYDPSVLLRKNRRIARDQLRYSQIIGSLMYLASATRPDISFAVSKLSRFVSNPGDDHWRALERVMRYLKGTASYGIHYTGNPRVLEGYSDSNWISDADEIKATSGYLFTLGGGAVSWKHVKRRLKSVRKLKNSGVITVDYIQTTMNLADPFTKGLSRNVIDNASREMGLRPTV >SECCE3Rv1G0211050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946904403:946910572:1 gene:SECCE3Rv1G0211050 transcript:SECCE3Rv1G0211050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAAGEPSQTRRALVDTLAGAISGGISRTVTSPLDVIKIRFQVQLEPTATWGVLRRDVYGPSKYTGLMQATKDILREEGLPGFWRGNVPALFMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGAIAGSAATVGSYPFDLLRTILASQGEPKVYPNMRSALVDIVQTRGVRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMSWNRYRYGSEEDDSASSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALTEIVVKEGFGGLYKGLFPSVVKSAPAGAVTFVAYEYISDWLESLLM >SECCE5Rv1G0314890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:279621989:279623221:-1 gene:SECCE5Rv1G0314890 transcript:SECCE5Rv1G0314890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGSGGGGSGGGGGAPSSSNSGGGSAGGTSGSRGGGDHHPHHPFYYAGAGQAEPNNVQQQPQPQQFIGSLAITPVVTDQAQASSAEKKAVAPVTPSAGALAKRPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAILAATGTGTIPANFSSLNISRAASGTSRPAPFPALALHPHHHHHQSQHDMSTMLGYHHLLPPPQEPPQDANSPGSFMRKRYREDLFKEDDERQDPNAPKAREQQAAPPAAMWAVAPNSAAPGGAFWMLPVSASQAAAARPTEQPMWSFGGGGSSTVQAPLQFMSTRVNYPSSAGAMGGMPDTNIGMLAGLNAYDRGGGGGGGTEEEQQHQEQDQQQEMEQQRDGSGGGNEGEEDGDDDSGGEEEGHGNNSSQ >SECCE4Rv1G0232670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:208666653:208670963:-1 gene:SECCE4Rv1G0232670 transcript:SECCE4Rv1G0232670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Na+/H+ antiporter [Source: Projected from Oryza sativa (Os11g0648000)] MMGLGLGDPPADYGSIMAVGLFVALMCICIIVGHLLEENRWMNESTTALLLGLGAGTVILFASSGKNSRLMVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFAVVGTLISFSIISLGAMGLISRLNIGSLELGDYLALGAIFSATDSVCTLQVLSQDETPFLYSLVFGEGVVNDATSVVLFNAIQNFDLGNFSSLKLFQFIGNFLYLFGASTFLGVASGLLSAYVIKKLYFGRHSTDREVAIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFISETFLFLYVGMDALDIEKWKIVSETYSPMKSIALSSIILALVLIARAAFVFPLSYLSNLTKKTPGEKISIRRQVIIWWAGLMRGAVSIALAYNKFAKSGHTQLPSNAIMITSTIIVVLFSTIVFGLLTKPLIRLLIPARHLTREVSALCEPSSPKSFLEQLIVNGPETDLENGVSIRRPTSLRMLLASPTRSVHHYWRKFDNAFMRPVFGGRGFVPFVPGSPTESSVPLLAHGSEN >SECCE2Rv1G0107120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:645864220:645865413:-1 gene:SECCE2Rv1G0107120 transcript:SECCE2Rv1G0107120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGPWADLHSELLVSIADGLSLKHYAAIRSACPAWRSALPPPLPSILVFADGQRAYALSFLMRRSIHCSTLRTRSCFVGSSNGCFAVASECGLGIFIVNPLTGEEIKLLPMNCGKKVRKVVFAPNPKPDNYTAVAVCHGNKLAYTKTRDMKWFISDVAMDRGDQLIDLVYDTDGGKVYCLTECGDVHVLHIPRGRRRKPIVEPLLPERPFDPAAVFAPPYQTASKLTRFKQIFICNGSLYQVWRNATGNIAWRLPEGGRFSMSDNDIFVLRYDPGRSPCWDTVNDLGGYSVFIGKNNPAVVRAEDVPGVRANCVYWIDERWRDVPMVFDMVTRTSAPFVLPSADSIQSPLCGTGCWYFFSDNITSIDNNGRKQHMSGDADRSQEQQEAKRSKVIS >SECCE2Rv1G0131220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:883816758:883818077:-1 gene:SECCE2Rv1G0131220 transcript:SECCE2Rv1G0131220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVRRRRLLAARFSTVPEAGPPAPLDAAAVQETLTLYTNDWRRALDFFHWSASPGGGNLRPTPSTLSRAVDILGKHFEFPQATALLLAHHDPADPAFLRPALRALLNRLAAANLVDDAVRAFESTAASVGLRDEASFHLLVDALCDHRRVDEAHHLCLGGRAAAPPPFPPGTKTHNLLLRGWAKARAWARLRQHWLDMDARGVAKDLHSYSIYMDALAKSGKPWKAVKLFKEMKQKRLPVDIVAYNTAIHAVGLAEGVDFAVRMYRQMVEAGCRPNTATFNTIVKLLCKEGRFKEGYAFVQQMHKAGCEPNEITYHCFFQYLSRPQEVLALFEKMLQRGCRPRMDTYVMLIKRFGRWGFLRPVFFVWKTMEEQGLTPDAFAYNTLIDALLEKGMVDLARKYDEEMLAKGLSPKPRKELGTKMPGSESDSDNALSGVI >SECCE5Rv1G0362850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:789420104:789420616:-1 gene:SECCE5Rv1G0362850 transcript:SECCE5Rv1G0362850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRGTTSTGRHRIEMSLRPDKNSRQVTFSKRRAGLFKKCSELAVLCGARVAVVVFSEAGNAFALGSPSMESVLHRFGDSGALVPAAEEDDREALEEMCRAREATAEQLASETKRMNAIGDTVVKAQMGRRYWWEADVEALGEAELPEFARALDRLRANVRRHADKLRY >SECCE4Rv1G0226300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:108836829:108846743:1 gene:SECCE4Rv1G0226300 transcript:SECCE4Rv1G0226300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTFSLSCRRTYKTIKIVNVGNCSVMPISSSSEPRDSRDPTSPAPSTSSSRSREACNLAEVDDPESAMSTVARLLEQLHGSVTSLPEKEVAIKRLLELAKAKKDARILIGSHSQAMPLFISILRSGASSAKVNAAALLSALCKEEDLRVKVLLGGCIPPLLSLLKSDSAEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVVPTLWDLLNPKSRQDRIVEGFVTGALRNLCGDKDGYWKATLEAGGVEIITGLLSSKNTASQSNAASLLARLISAFSDSIPKIIAAGAVKALLQLLNRDDDIAVRESAADALEALSSKSTIAKKAVVDAGGLPVLIGAVVAPSKECMRGVTCHSLQSHAVCALSNICGGTTSLLLYLGELCQSPRSAVPLADILGALAYTLMVYDGTDGKFFDPVEIESILVVLLKSHDSKLLLDRILEALASLYANACFFGRLDHSNAKKVLVGLVTMASDDVQDHLVHALTSLCCDGFGLWDALGKREGVQLLISLLGLSSEQHQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQRAKEDAAHIICNLCCHSDDIRACVESAGAVLALLWLLKSDSPRGQEASVKALKVLIRSADSATINQLLALLLSDSVSSKAHAITVLGHVLVLAPQRDLIQNGAPANKGLRSLVLVLDSSNEESQECAATVLADIFSIRQDICDTLATDEIVQPCMKLLTSGNQVIATQSARALGALSRSANAMSKNKISCIAEGDVQPLIEMAKTSSIDAAEAAIAALANLLSDPQIAKEALDDNIVQALTRVLKEGSLDGKISASRSLYHLLNQFPLCEVFPDYSLCCFIIHALLVCLSGTSLENVTSLDPLDVLALMVLTKEGAHFSPPLRTAFLEAPESLEPLVRCISVGLPPIQDKSIQILARLCQDQSSLLSEHINRSQCCIDSLASRVMESTNMEIRISSAITLISALKDNTEDSVEVLEASGHLKGLISALIDMLKQHSTSTSLDIEVWKPYTEKSLFNCEQDVLDVPESGKVLEETVARLLSLICSSHPRSKVTVMDLGGVDIVSDKLVSHSASRQERDEDSGSVWSCALLLATLFQDSVVVQSSAIMRIIPSLASLLRSDEIIDKYFAAQSLASLVCTGSRSIQLAIANSGAVVGAIAMIGLVESDMPNLVTMAEEFKLAENPSQIILRSLFDLEDVRTGANARRSIPLLVDILKPMADKPGAPLVALHLLTQLAEGSEANKVAMAEAGALDALTMYLSLSPQDSTETAIINLLGILYKNPDLLYYESSLSTLNQLVAVLRLGSRNSRLSAARTLHNLFDSESIRDTEVARQAIQPLLDMLESGTEIEQQATLGALIKLSAGNISKASAMFDVEGNTLESLYKILSFSSSSELKRDAAQLCYVLFENSDIRASPIATECLRPLISLMSSGSSLVVEPAVCALSRLLDEEHNAEIAATNEVVDLLVSFVPGTNYQLSEASIAALIKLGKDRPNCKLDMVKAGIIEHALDMILEVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKSTPSQIIEPLISFLESPSQAIQQLGTEVLSHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALENISQSWPKAVADAGGIFELSKVIVQDDPQPSQALWESAALVLCNVLRYSSDNYVKVSLAVLVRLLNSTMESTVTIALGALLVQEKSSSRCAVAMAEAGAVRALLELLKSHRCEESAARLLEALINNSRVRETKVAKHSIAPLSQYLLDPQSKNQAAKFLVTLALGDIFQHEALARASDSVSACRALVSLLEDQPTDDMTMVAICALQSLVMHSRTNRRAVAEAGGILVVQELLLSPNVDIAGQAALLIKHLFSNHTLQEYVSNELIRSLTAALERELLSTSSINEVILRTIYVIFSNFRKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPILQMLMKTCPPSFHDRADSLLHCLPGCLTVTILRGNNLKQTMGSTNAFCCLQIGNGPPRQTKLVNQSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDKVVTEGVYSGFFSLSHDGGKDGSRTLEIEIVWSNRPANDNM >SECCE5Rv1G0308980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:143335231:143337270:1 gene:SECCE5Rv1G0308980 transcript:SECCE5Rv1G0308980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVCVNGLRFRHLRPAFLAVFTVFLLWKWEKGSMYSPGILQPEPLVLNRNISMEEDLPIIDPLVQSVTEVGKEATSAPPPLTIVHNSEDGTYNAGTAPPQKKECDYRNGKWVPDNHRPLYSGPRCKRWLSESWNCRLTQRTDFAYEQFRWQPEGCDMPAFQAAQFLRRMQDKTIAYVGDSLGRQMFQSMMCIIAASGKHHSDVEDVGSKYGMTQTHRAKRPTRPVGWAYRFRSTNTTVLYYWSSTLCDLEPLRRSNPSAGYAMHLDRPPSFLKKNLHRLHVLVLNTGHHWNRGKLKANKWEMYASGAPNNNREIASMWKAKNFTIHGVMKWLDAQLPSYPHLKVFYRSLSPRHFFNGEWNTGGTCDNKDPLAKGNRVFQNHSEDADGEGAVKGTRIKLLDVTALSRLRDEGHISKYSIKATPGVQDCLHWCLPGVPDTWNEILAAQL >SECCE2Rv1G0103030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:586350500:586351022:-1 gene:SECCE2Rv1G0103030 transcript:SECCE2Rv1G0103030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASGMKLAGHGLLLVFLILLQGGVAQSKEWYVGDQKGWTFGVEGWPNWPTFKPFREGDVLVFKYDRAAQNVIQVDDFGLGTCSRHPANATVYDSGNDRIRLTRGLLNFISGVSDNCYKGGVKISITVRP >SECCE1Rv1G0015560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:126235453:126235980:1 gene:SECCE1Rv1G0015560 transcript:SECCE1Rv1G0015560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQAEMCWNVLISAGQLSPKGLLLSKSILVRLLEDVTNRKASKEHGYYIAVNGLKEISEGIIRELTGDVLFPVTFTCITQRPMKGEILVGSVEKILKHGAFLKSGPIENIFLPAKSMSDYKYMGGENPMFMKDYSKLDKYTIVHFKVMGFCWMEADRQFRLLATMDGDFLGPL >SECCE5Rv1G0362240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:784459308:784459765:-1 gene:SECCE5Rv1G0362240 transcript:SECCE5Rv1G0362240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSMMLRKFQCEITLAMNGKEAVDLFVEGKKFDIILCDRDMPIMIGPEAIVKIRAMGETDVKIVGMSADDDAMDVFINAGADVFVPKPIKVEDLGSIIKEVINKKKNTMV >SECCE3Rv1G0187710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:704093536:704095699:-1 gene:SECCE3Rv1G0187710 transcript:SECCE3Rv1G0187710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein, Drought tolerance, Control of tiller outgrowt [Source: Projected from Oryza sativa (Os01g0741900)] MEESSMKREAMPRLLDLIPGEKEWSLRGGAPGQGRSKNTGFGSDEDEKLELKLGLPGLVQEEPAASSREKKVHQESPALSLGYPPKHSTATTTTTGAKRGFLDTVEAKAQGYDKEQKQQARAAACGKEPAVEENTAAVGERKKGCCPPPPPAHAPPATPARNIGNRPQARGRGAAAPVVGWPPIRSFRRNLASTSASKQPPEPQIGEADAKAALDCKKSPLVKINMDGIPIGRKVDLAACDSYERLSLAVKDLFHGFLQVQRDPSKVELTQQGTDEKIFSQLLDGSGEYTLIYEDSEGDRMLVGDVPWNVFVSTAKRLRVLRSSELSHGLIGATPEGAANG >SECCE1Rv1G0043460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:593096707:593099107:1 gene:SECCE1Rv1G0043460 transcript:SECCE1Rv1G0043460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQGQSTETTVAVAVAVVAVAAGAGFLLLRSKKPKGCLDAEKFKEFKLVQKRQISHNVAKFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDIGYFELVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFKYQPGQVRAFGMIAGGSGITPMFQVTRAILENPNDNTKVHLIYANVTYDDILLLEELDNMAKNYPDRFKIYYVLNQVCILSRKFNTFVLWPVINCMADPFMCF >SECCE4Rv1G0287630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:856121434:856124510:-1 gene:SECCE4Rv1G0287630 transcript:SECCE4Rv1G0287630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRAIHRRSGALLLLFAAVFAAATGASASAIGDKCAACKAVAAELEIGISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRIVELLDDLCDKMQDYTLQKLESGEKEWVKVKSWNSFQTDKKAAARAHSKNLSSYCGRLLEETEDELAEWIKTSSAESGNVSQALCQDISKHCQSTSSSAPIDDEL >SECCE4Rv1G0230220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:160552604:160555650:-1 gene:SECCE4Rv1G0230220 transcript:SECCE4Rv1G0230220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLRRSTSVLRRLRVASFAASDPASAYSGWSSHAESLSFVSCWCDKHVTRNFSTDDKVTNGRRGYRQKELKKPSTAVKDNDAIIDRIQKSTRGLTKGPVGHTISSAEKRKFLINTLLDLEDSKEAVYSTLDAWIAFEQDFPLASLKQAIVALEKEEQWHRIVQVIKWMLSKGQGNTIRTYEQLVRALEKDNRAEEAHKIWEKKIAHDLHSVPWRFCGLMLAIYYRNNMLDRLVNLFGALEVCGRKCPSKEYIQKVEVAYEMLGLLEEKKDLLEKYKELYNKPSNSDRKKDRRFKKAEKKAAGDGSKQCEMETSENLPASSCPSDK >SECCEUnv1G0530860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:14294851:14296320:1 gene:SECCEUnv1G0530860 transcript:SECCEUnv1G0530860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEKSGAFSENESDLLDESRRLIEKIRDDILCKGRILQDQSAECDMDIQRILKEGHMTAKVLSDKYKNGFPKMEVATSSCSEDGGRLKNMTLRAILEFRKYEELDEICRDCRWIFPRYTILPTITDGMYVASVRLTCPDVKMTINGCPRETPLEARYSAAANMMLELSKVQGTGEQDT >SECCE5Rv1G0320710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:391379386:391379812:1 gene:SECCE5Rv1G0320710 transcript:SECCE5Rv1G0320710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTWLLVAMVLVAATVTTANVETGDLGEYYMKRSQETRFRRGGPLQDVLTAANRYHQDLFSSRYGSGRRYLLEEGEAAAAAEAPAASTGDATPIHNTLKDHEMIGA >SECCE3Rv1G0154160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59155224:59155580:-1 gene:SECCE3Rv1G0154160 transcript:SECCE3Rv1G0154160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFAPICIYLVISPLVSLIPLDVPFPFASNSSTYPEKLSAYECGSNPSGDARSRFDIRFYPVPILFIIPDPEVTFSFPWAVPRNKIDLFGSWSMMAFLLILTIGSLYEWKRGASDRE >SECCE2Rv1G0108840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:669073488:669075016:1 gene:SECCE2Rv1G0108840 transcript:SECCE2Rv1G0108840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWESVKSLFADGGNLGCLSCVGRKAPDDLYSYPMKPAKWKGSRGAAPAAEEEPGAIEVPAVALREVNELTGSFDREKLIGEGSYAKVYKVTLRSTRLAVVKKLEKTSKHASNDVFRRQLSVASRLRHESFVRLLGYTISGDLRVLVYEFATMGTLHDALHGPREGVGAREDVEERPVLSWEHRVQIALDAARGLEYLHEKASPPVTHKDVRSTNVLLFDGMRAKIADYNMFSQAADMARLNRSTHTLGSFGYQAPEYAMSGQMTDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWASPLLTEDRAQECIDPRLGDKYPATGALKLGRIAVQCLQYDPTYRPSMGTIARVINYAVVRDQQGVV >SECCEUnv1G0536650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:65187217:65189941:-1 gene:SECCEUnv1G0536650 transcript:SECCEUnv1G0536650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVQLPVLLLLMILSAPAANLQATASPPPDPVQCSSGDGKADCTVSSAYGVFPDRSTCRASAVVYPSSEEELVRAVANATATKTKMKVTTRFSHSIPQLACPGAGDGRGLAISTRWLNRVMGVDAARMEMTVESGVTLRELIEAASAAGLALPYSPYWWGLTVGGMLGTGAHGSSLWGKGSAVHEYVVGMRIVTPAPAADGYATVRELTSADPEMDAAKVSLGVLGVISQVTLALQPLFKRSTTFIQRDDDDLAERVHEFGREHEFADIAWYPGLGRAVYRVDDRLPMNASGEGVFDFIGFRPTSTLVIREARLAEELSEQAGDDISKCLMSRVIRTSLSTAGYGLAQRSGWPFIGYPVVGRQDQMQASGGCLMASEDALRTACPWDPRVRGTSFFHQTAFSMPVSRARAFIKEVRQLRDLNPKSLCGIDIYNGILMRYVKSSTAHLGKAAVHGESDDMVEFDMTYYRSQDPRRARLHEDVLEEIEQIGLFKYGGLPHWGKNRNLAFVGAARKYPGMREFLRVKDAYDPDGLFSSDWSDLMLGIGSGALTDNTPGCALEGMCVCSQDVHCAPEQGYVCMPGKVYKNARVCTKV >SECCE3Rv1G0145700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8072898:8073781:-1 gene:SECCE3Rv1G0145700 transcript:SECCE3Rv1G0145700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDILKQNGVNAEGCLFDQHAHTALAFVTLKSSGEREFMFYRNPSADMLLTEAELNLNLIRRARIFHYGSISLITEPCRSAHVAAMRAAKSAGILCSYDPNVRLPLWPSAQAARDGIMSIWKEADFIKVSDEEVAFLTQGDAHDEKNVLSLWFEGLKLLVVTDGEKGCRYFTKDFKGSLPGYSVNTVDTTGAGDAFVGSLLLSVAKDDSIFYNEAKLREVLQFSNACGAICTTKKGAIPALPTIATALDLISKGTS >SECCE6Rv1G0409250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:584990894:584993137:1 gene:SECCE6Rv1G0409250 transcript:SECCE6Rv1G0409250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIRSDDMEKQDEVMLPGFRFHPTDEELVRFYLKRKIQQKSLPIELIRQLDIYKFDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPQKKPLEKTIPPNDSWAICRIFKKTNATAQRALSHSWVSPPLPSTNGTYIPSHLQTTHRSRHSSENTSSAMTNIISSNIQFTGSGYFPSIVSSCQSTLNIIDSISRPATSIVIPPSDAEHQAMSILSAIPLDLPAGMDIASMVLNASPITFSNMDRSAPMNIEFAQPQQCNNNNNNMTNRCMMDLPDIGNNINGTQRSINFPFNNLQGPLSDDWRATAPWDSLPCTTEVSTNYQPTKCYT >SECCE3Rv1G0153800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:57255226:57256017:1 gene:SECCE3Rv1G0153800 transcript:SECCE3Rv1G0153800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHVRTELVVCTPITRQQRRLAVPPEFKTVLRRINGAVLCAANDQGHVHGGCHSNPFKVVLVIMHRYDDQPLACVYSSETDAWGNLISTAAPYRLFNAHRPGSLIGNAFYWLTMRNDMLEFDLVEQSLVVVSGPPVKNCFLHCQIIQVEDGVVGFAVLSYPHFLIWRRNVNGHGVATWMQWKTIEMHSFLALPPQIVRGVETILGYSEDADAFFIYVDVNFYMVQFRSMQSKILHETCYITGYHPFTGFYSPGNSSYSVFLL >SECCE5Rv1G0310480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:169846193:169846504:-1 gene:SECCE5Rv1G0310480 transcript:SECCE5Rv1G0310480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKMASERGVVIFTSNSCCMCHTVTRLFTDLGVNALVHELDHDPKGKEMERALLKLLGKGPPVPAVFIGGKLVGGTNKVMSLHLGGELVPMIRNAGALWL >SECCE5Rv1G0318490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:361918298:361921680:1 gene:SECCE5Rv1G0318490 transcript:SECCE5Rv1G0318490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGEESKAPLLEPRLPAAAENGGSDMVGDGGAEEEEVGLGRQLLEENRKLWAVAGPSICTRFSTFGVTVISQAFIGHIGPTELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMMGIYLQRSWIILSGCAVLMLPIFIFTEPLLVFIGQDPTISAVAGTISIWYIPVMFASVFNFTLQMYLQAQSKNMIITYLAFVNLGLHLFLSWLLAVKLHLGLAGVMTSMVIAMWIPAFGQLIFVLFGGCPLTWTGFSFTALTDLIPIFKLSLSSGVMLCLELWYSTILVLLTGYMKNAETALDALSICLNINGWEMMISIGFLAATGVRVANELGAGSAIRAKFAILNVVATSFSIGLVLFVFFLFFRGKLSYIFTTSEEVAALVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNITTYYLIGIPLGAILGYVLGYHVKGIWVGMLLGTLVQTIVLLFITIRTDWDKQVEVTQERLKRWYMDGNNGKSDSRSSP >SECCE7Rv1G0494310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:581140458:581142211:-1 gene:SECCE7Rv1G0494310 transcript:SECCE7Rv1G0494310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 714 [Source:Projected from Arabidopsis thaliana (AT5G22360) UniProtKB/Swiss-Prot;Acc:Q9FMR5] MAIVYALVARGTVVLAEFAAVSGNAGAVARRILEKLPSEAEARLCFAQDRYIFHVLRSPADGLTFLCMASDTFGRRVPFIYLEDIQMRFMKNYGKVAHSALAYAMNDEFARVLHQQMEFFSSNPSADTLNRLRGEVSEIHTVMVDNIEKILDRGDRISLLVDKTSTMQDSGFHFRKQSKRLRRALWMKNVKLLAVLTVVIVLLLYLIIAAFCGGLSLPSCRS >SECCE6Rv1G0402900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:491157439:491166251:-1 gene:SECCE6Rv1G0402900 transcript:SECCE6Rv1G0402900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPIEGQFISVLNLKEMIFESKHLGRGTDFDLMISNAQTDEEYADESIMIPKNTSVLIRRIPGRPRMPIVTEQQEAIASENRVEEIMPSGSAFLGDSSMKYPEESEWDDEFGNSLYVSDSVPSQPASQAIDASSENQIDEDSKIKALIDTAAVDYSQIPDGYGSGRGYGRGMGGRMMAGRGFGRGMGRLDNRSPPPGYICHRCKVPGHFIQHCPTNGDTRYDVRRMKPPTGIPKSMLMATPDGSYALPSGAGAVLKPNEAAFEREIEGLPTTRSLSDLPPELRCPLCKEVMKDAVLTSKCCFKSFCDKCIRDYIINKSMCVCGATSILADDLLPNKTLRETISRILEAPPTSSTENAGSMVQIQDMESALPVPPKVRSPAVSAASKEEPKAPMPIEESPDAESQSGLKANIDVSSSDNKVTAIPDITEGTMDSRNSRKEKTPEMIHVAKESQEKLPAGEQAVKKKKKKKVRAPGNAEEQWNNNYQDFGPENFAGMPLGPQGGFNPYWGGGMPLPIDYMGAPFPGPMPYMGYPPPGPFDPFGGGVIPQDPFMPPAYMMPTVPRDLSELAVNSMGMNMGPPVVRRDEFEPRKPDGRRREMDRFNGRERDRDREREHSRERERERQRERDRDGDRDRDRDRDRGREYRREARESSGAVNDSTSMRPKDRSRPQADRSERALPPPPHSPDRHSRRSPHRSSSSGKKRSSSDRYDDLPLPPPPPPPSRHEAEHAKAAAAAADQRSKAKASVFSRISFPGEANTSDPKRSRRSSSDKRPASSSSSSKRSVAAAAAAEESDSRHHHQETVLTAEEERRRPAPTDYDDEEQSSEEEKHFKRRPSSSRREGERERQQHEEPRHSRRSRERGDGHHHNHSHGGGGHKRR >SECCE3Rv1G0188580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:715799675:715804002:1 gene:SECCE3Rv1G0188580 transcript:SECCE3Rv1G0188580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTGGAPMSGLLFFLFLCLFSFFIAAASTKQDQVYIVYLGEDAGAKSKGAILDDHHALLLSVKGSEEEARASLLYSYKHSLNGFAALLSEEEATKLSERAEVVSTFRSDGRWSPHTTRSWEFVGLEEGLGKGWLPSGAHAGENVIVGMLDSGIWPESRSFSDEGLGPVPARWKGVCQGGDSFNSSSCNRKVIGARYYLKAYEAYHGRLNTTYAYRSPRDHDGHGTHTASTVAGRTVPGVAALGGFAAGTASGGAPLARLAIYKVCWPIPGPNPNIENTCFDADMLAAMDDAVGDGVDVLSVSIGTSGKPPRLPDDGIAVGALHAARRGVVVVCSGGNSGPAPATVSNLAPWILTVGASSIDRSFNSPIRLGNGMVIMGQTVTPYQLPANRTYPMVYAAHAVVPGTPANVTNQCLPNSLSRKKVRGKIVVCLRGSGLRVGKGLEVKRAGGAAIVLGNPPLYSSEVPVDAHVLPGTAVSMADLNTILKYINSSANPTAYLERSRTVVDVKPSPVMAQFSSRGPNALEPSILKPDVTAPGLNILAAWSEASSPTKLDGDNRVVKYNIMSGTSMSCPHVSATAVLLKSAHPDWSAAAIQSAIMTTATANNAEGGPIMNADGTVAGPMDYGSGHIRPRHAMDPGLVYDASFQDYLLYACASGGGAQLDRSFPCPASPPRPHELNYPSVAIHGLNGSTTVHRTVTNVGEHGAHYSVAVVEPVGFSVKVSPTSLAFARTGQKKTFTIKIAATGKRGRRPKRKYLAGSYTWSDGVHAVRSPVVVLVA >SECCE7Rv1G0456620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11141806:11145000:1 gene:SECCE7Rv1G0456620 transcript:SECCE7Rv1G0456620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGTDEHQHGHGDGSDAVEWKKVAELRAVTEAQDPAAKEEDDFVLCRFLRARDYNIGKASTMLLQYHAWKHVAKPHGSISDEEVRDEIAKKRVDMQGFDRLGRPMAYTYGARHFPARRDLDGFKRYVAHVLDKICTRLPVGQEKFAALIDLRGWGYANCDIRGYVAALDIMQSYYPERLGRVFLIHVPYMFMAAWKMVYPFIDEKTKKKFMFIADRDLDATLRDAIDESQLPEEYGGKLKL >SECCE4Rv1G0221580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:50217107:50218436:1 gene:SECCE4Rv1G0221580 transcript:SECCE4Rv1G0221580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGPKKARSWTQLPCPHRLLCVPEHCASVRFVAVAAVCLLVVVGTYYVLWSPADADGQTEQRFFDMRRRRSAVRAYGGDDLEAALRGAADANRTLILSVLNKAYAEEDGLLDLFVRSLREGEGTAQLLSHVLLVAMDRPAYRRCMSLGGVRCYRLPASTNGTEDLSSEQPYMSDGFIRMMWRRIRLLGHVLKHGYSFIFTDLDVMWLRNPFPGLNRTGDEDLLISSDKFNGRPQDYLSNELNTGFFFVAANDRTAALFDEWHAAREASEGMKEQDVLNQMKQQGAFRRLGVTARVLDTARFSGFCQDSRDARQVATVHANCCRTMRAKIADLRAVLRAARRLNGTAELRWPPHSECAKSWR >SECCE5Rv1G0341410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:623841823:623842224:1 gene:SECCE5Rv1G0341410 transcript:SECCE5Rv1G0341410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPGSLVWELVKKNYFLIKQFGNNNAKVHFSKEPNNLYNVHCYKFLGLVNSKTMAVQPSAGEDKVVVLSTTKTKQQNTPAKLQHKTLVRKEFRKMAKSVKN >SECCE6Rv1G0443110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:820606518:820609373:-1 gene:SECCE6Rv1G0443110 transcript:SECCE6Rv1G0443110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPHPLPLLCLFLLLLLLSSCSLASGRAAVSSPAPAAVGVAANGTASSSSSSPVVLAPPPFVITVERHHHYHRELVIATVLASVATVMIFLTTFYAWTMWRRARRIPHGKAARRPDTTTTRGITLVPILSKFNTVKMSKKGLVAMIEYPSLEAATGKFSESNVLGVGGFGCVYKAAFDGGAAAAVKRLEGGGPDCEKEFENELDLLGRIRHPNIVSLLGFCVHGGNHYIVYELMEKGSLETQLHGPSHGSAMSWHVRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKIADFGLAVTSGNLDKGNLKISGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLMGRKPVEKMSPSQCQSIVSWAMPQLTDRSKLPSIIDPVIKDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPADLGGTLRVTEPHSPHQMHYHPS >SECCE4Rv1G0246180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:541849383:541853015:1 gene:SECCE4Rv1G0246180 transcript:SECCE4Rv1G0246180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVSVLVVVIFCTLLYCVYCWRWRKRNAVRRTQNENMRPLSRSISELPLMDLASIDAATGNFARANKLGEGGFGPVYRGVMACGAEIAVKRLSVRSLQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEYLPNGSLDAFLFDSSKSAELNWISRHNIIIGIARGLLYLHEDSLLKVIHRDLKPSNVLLDNKMNPKISDFGLARIFKDECNGVNTGHVVGTYGYMAPEFVMDSIFSAKSDVFGFGVLLLEILSGQRNGISYLEEHQQSLIQDAWKFWIEDRANEFIDCALGQSYSRDEAWRCFLVGLLCVQDDPDIRPTMSNVLLMLVSEQMSLPAPSRPVRNAPLLASSAMLRSEPLVSQKSINYASITAVQPR >SECCE7Rv1G0463180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:51453296:51455361:-1 gene:SECCE7Rv1G0463180 transcript:SECCE7Rv1G0463180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g48350 [Source:Projected from Arabidopsis thaliana (AT1G48350) UniProtKB/TrEMBL;Acc:Q0WWC5] MLASPALAGATHSFPAFVSGSRGVHLPSASAPSHSPARRAALVVLAKAKVSTPNADRIARHDRLRKKVSGTTERPRLSVFRSNKHLYAQVIDDTKSCTLASASTMHKALSKELEYSAGPTTEVAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAARENGLEF >SECCE5Rv1G0314990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:282840111:282844318:-1 gene:SECCE5Rv1G0314990 transcript:SECCE5Rv1G0314990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRSYTNLLDLANGNLSALDYGGSSGGGGGRPPRPRRMQRTLTTPGTLAELDEERAGSVASDVQSSLANDRIIVVANTLPVRCERRPDGRGWSFCWDEDSLLLHLRDGLPEDMEVLYVGSLRADVPAAEQEDVAQALLDRFRCVPAFLPKDLSDRFYHGFCKQTLWPLFHYMLPFTSDHGGRFDRSNWEAYVLANKLFSQRVIEVLNPEDDYIWIHDYHLLALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGINMVQLKSQLQLPDLECRVAELRKQFNGKTVLLGVDDLDVFKGINLKILAFEHMLKTHTKWQGRAVLVQIANPRGGSGKDVQGLKAEIEESCTRINEQFGRSGFSPVELVNRTLSSVERMAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGIPGLDGGDAPKRKSMLVVSEFIGCSPSLSGAIRVNPWNIDTTAEAMNESIALSENEKQLRHEKHYRYVSTHDVAYWSKSYIHDLERSCRDHFRRRCWGIGLGFGFRVVALDRNFKKLTVDSIVADYKKSNSRVILLDYDGTLVPQTTINRTPNETVVNIMNALCADKKNVVFIVSGRGRSSLEKWFNSCPELGIAAEHGYFMRRTRDEQWQINNQCSEFGWMQMAEPVMNLYTEATDGSYIETKESALVWHHQDADPGFGSAQAKEMLDHLESVLANEPVSVKSGQHIVEVKPQSVSKGFVAEKILSMLTENKRQADFVLCIGDDRSDEDMFEGIADIMRRSIVDPQTSLYACTVGQKPSKAKYYLDDTNDVLNMLEALADVSEEVGSPEESEILSPSEEA >SECCE6Rv1G0393690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:284156753:284158693:1 gene:SECCE6Rv1G0393690 transcript:SECCE6Rv1G0393690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLRRHSLDKSSSHNHLRKEEQQSNSCTESNTEMHEPGGNGGGGAPPLPNGRTPPRSRLARDGPPSDLDVMKERFAKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEHRKLEPMAPDTKERWKKEVGWLLSVTDHIVEFVPTRQTAENGTTMEIMSTAQRRDLQMNIPALRKLDAMLIGYMDNFVDQTEFWYEKGGDNKRDDDKWWMPTVKVPAEGLSDVTRKWLQYQKECVNQVLKAAMAINAQVLVEMEIPEIYIESLPKKGKTSLGDAIYRSITDEEFDPIEFLEGVDLSTEHKVLDLKNRIEASTIIWKRKMQTKDTKSSWGSIISFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYALLESYSRVLESLAYSVMSRIEDVLSADAAATNLTASEAARRQLENAPRKLDAREELEKLNEAPASMTLYDFMGWHFDQDELMRKKEEGTLDEAGEAMLLKKAPSLAPKKFSYVDSLAGGVRSPSARH >SECCE6Rv1G0432030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749395428:749396725:1 gene:SECCE6Rv1G0432030 transcript:SECCE6Rv1G0432030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYEGGIFRILEWADIVNAHVIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAQGDYTAAAVKIAEQHSDFVIGFISVNPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYTTPFSVINDRGSDIIIVGRGIIKASDPEQTAREYRVQGWQAYLSSL >SECCE5Rv1G0335740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:579132287:579133255:-1 gene:SECCE5Rv1G0335740 transcript:SECCE5Rv1G0335740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAFQPYGFPGSGRAKGDDLLGKKMSDGFFIEEEEEEEAEEVLTDSSSIGAPSPSSSSIGEDSSSEVGGEGEDEEVESKLKEEPGLGCLDALEDSLPIKNGLSSFYAGKSKSFTSLAEAAARDAVKELAKPENPFNKRRRILATWSRRASCSSLATATYLPPLLAPDHALPEGDEGEEDDDSDSGSDEQHRGKNGWEAPALPPPRLSVNTQMGAAARRGGSFRSPRSYSLSDLRNGGDASYNQ >SECCE4Rv1G0240660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448121472:448121906:-1 gene:SECCE4Rv1G0240660 transcript:SECCE4Rv1G0240660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGGPPRLLSSRESIHPLSVYGELSLEHRLRFVLNGKMEHLTTHLHRPRTTRSPLSFWGDGGIVPFEPFFHVFPGGLEKAAINRTFLILPSFQEEREILFP >SECCE6Rv1G0405290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:529254836:529258921:-1 gene:SECCE6Rv1G0405290 transcript:SECCE6Rv1G0405290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLRPAKAAASVAAGAAVLASLGEVAYADSVFSFRRQSAAPPPPPPDAPAPAPAAASSGSSGFDPEELERGARALRKINGSRYAKLLFGLMQSQEETRLAEMAAEKVRHDIYWKVKEIEAKRKMGEEYRENLKQQSQVEAQRLRYEDELAKKRKQEEREAERRRDAELVRMQEIGALKREQARRATEQKIVEQELQTLKEKAKNDRETNKENAISNAKAKAHEAKLTEGYQRRMIVELMNGEKEKWLAAINTTFSHIEGGLRTLLTDRSKLVMGIGGVTALAAGVYTTREGARVTWGYVNRILGQPSLIRESSMRKFPLPGLKALKPSSASLSGGGGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGHPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHEIFDWAKKSRKGMLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDAAITDRIDEVIEFPLPGEEERFQLLKLYLNNYMLKEDDKSSPWSTLLKKQPKKIHVRDITDDLLRDAARKIDGFSGREIAKLMASVQAAVYGSPDCVLKPQLFSEVVEYKIAEHQQRMKLASEAAA >SECCE3Rv1G0177150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:457943535:457970801:-1 gene:SECCE3Rv1G0177150 transcript:SECCE3Rv1G0177150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQREADASVSASAVAHSSNMQRVKVYRLRDGGKWDDQGTGHVAVDYIEGSKEPGLTVLDEEDNETLLVHNITSEDIYRKQEETIISWRDPEAATELALSFQEAAGCSYIWDNICDIQRNLQFSNLGGLEVGPRPASEHLEASRVLHSHDESFRSVNGELRELPPVDLSNLPLILKTVLEGGITDQMRVAELITQDRDFFPKLLDIFRMCEDLENLDDLHMIFKLVRGIILLNSPSIFDKIFSDEFILDIIGALEYDPEVAKVQKHRIFLKDHVVFKEAIPIKNISVVSRIHQTYRIGYLKDVILPRILDDASLASLNTMIHTNNAAVISLLKDDACFIQDLFSRMRSPNTSMESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFEIVSDVLQSPDRKIVSAGTDIIILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDFGEQMHCQFLEILRILMDSFTMSGAHRDVIIEIFYERHLDYLVDVIASSCPSGSASRTSPNSAVVGGYTEVHRIKPEILLNVCELLCFCVVHHPCRIKCNFLMNNAIEKILTMTRRSEKFLVVAAVRFMRTIISRNDEHLIRHVVKFNLLKPIIDAFVENGDRYNMLQSGVLELLEHIRKENLKPLVIYVTESFSDQLMKFEHFGSIQAFKLKYQQYLESADMKLSASVPDMRKKAEGRGLEKEEEDYFNESDEEDSVRRTEHAHSEHNEENKDDVANGSEADDISSRPKSGGLVDYADDDDEDFNPPPKEPDRPVEDDELLTISTVKRKLVNTGDGKHSDGEVRKRQKIETRITCAKISALTNLASKHKDSLASSSPSCEVNGVLGEHATYSDEHQHSTDTAETSRQVGGDCIKAMGSLSSEKAVNTTKTNDSEPYSVR >SECCE2Rv1G0078180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:106177455:106184166:-1 gene:SECCE2Rv1G0078180 transcript:SECCE2Rv1G0078180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLARLGQSLVRRLHRPLHLPPPPPPDHHATVSRSFPPIHANTCVRGFASLTYNGGGVISGKSGGPSHVHTAQVLELVVHLNHARPMSSGAGAAQTPPSLSGGVSFGGYKVALKVDMPSPGFVFEPYRVPEPIPFWKRLFTPSGWSRTKEDAIMQFKNAFTVSRLRKRIGYNKKQFQEQAFNIYKEVNKLIARGDIPSLQKALTDDMHSTVKNEIRKRQSKWKSVHWELVEPAVSIRTLKARMIALGNNDLNKAYVQLTLEFITKQKFEAYNSKREVVSGDKSKEVLVKDIWVFERSLFHPGSYWRVCGRIML >SECCE4Rv1G0290680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873663945:873664478:1 gene:SECCE4Rv1G0290680 transcript:SECCE4Rv1G0290680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSPAAAEKEAAKSPKKKTTAKSPKKKVAAKE >SECCE5Rv1G0351220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:697291106:697291357:-1 gene:SECCE5Rv1G0351220 transcript:SECCE5Rv1G0351220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >SECCEUnv1G0530610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13703507:13706126:-1 gene:SECCEUnv1G0530610 transcript:SECCEUnv1G0530610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRSRRVVGAGGGGGGGLMPQPEPLAAAAGQSSSATAPALPSPRPYMALPQAGFLDGGEQGPSSSMSPTSILETKQFCCSALPPFLSERSLRKAQMETAALGPEPAGAGGLADVLREHSDAKPGGRKVVFGSQLRIQVPSGRAAELISSPIEFGVKNRDAVLSPARRFLPEVVSSPTARVFAAGVAPGQVAMSEDYTCVISRGPNPRTRHIFDDCIVESCGDVLLDKPDSGPGGDPAPASGFLGSCHACRRPLAGHASDISIHRGGKAFCSKECRHREMLFDEAVDNLR >SECCE7Rv1G0502050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:692383953:692386684:1 gene:SECCE7Rv1G0502050 transcript:SECCE7Rv1G0502050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGTKLPSTARLACPPVAAAHSAARLLPGPCSGSTDCGGPAMTAVMGRRRCAQWMLLLFCCLLLTSPSHGHRHNRPADAFVGAYGINYGRIANNLPSPDKVVELLRRSKIRNVKIYNEDHTVLDAFKGTGLNLVIAVHNGLLNSFAANESVAIEWLNENVQPYISQTRIVGITVGNEVLGGDPSLAAPLVEAVKNMYNGLKKLHLDDKIELFTPHSEAVFATSYPPSACVFKEEVMVYMKQLLDLFSRIGSPFYVNAYPFLAYLSDPGQIDINYALFQPNPGIVDPNTSLHYDNMFDAQIDAAYAALHAAGYNDMEVRVAETGWASSGDQSEAGASVENARTYNYNLRKRLFLKKGTPLKPKIPVKAYIFALFNENLKNGDPTEKHYGLFNPDGRISYDIGYSGLLPSSAPASLLSIKEMRAWGWIAHYSAAVILAIFLF >SECCE4Rv1G0281380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825811680:825815669:-1 gene:SECCE4Rv1G0281380 transcript:SECCE4Rv1G0281380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVATQVWVMILAVAASVQAAAIGEQAAPPITVDPRCLAECGNVSIPYPFGMGPGCFLPGFEVTCNQTFSPPRLFLGTSLDRTDAFQIFTGGNYSAFDDGNTSNHDERFDKTQMEVMDISLFRAEVRLYAAVSSDCGCGTRQPYHCYIRGTTYVYPDGPFVISGSRNVLIGVGLNVHAVLSTSTGNTYGALINECISQPNGVAAKDGPCSGQGCCEATFAEGPCQLAVIADNKRSEWLTHKAGNATCSFAMLVEKGWYNYSENDVYGKETYFSKKFPRGVPQVLDFAIIENRRCPAHGQRPPQAYACLSGNSSCVNVPIRTGYDGSNRTGYVCKCLKHYDGNPYISGAGGCQDIDECQLRKQYPCSSGGICKNRIGGYDCPCKPGMKGDGKTGTCTEKFPVPAKVAVGVVGGISIIVVLVLFVLFLSERKRMREFFIRNGGPMLEKINNIKIFKEEELKRITKNYSHILGSGAFGVVYMGFLDDKQRIAVKKSKNTNKAQIDQFTNEVIIQSRVIHKNIVRLLGCCLEVEVPILVYEFVPNGSLEDILHDKNRVPLRTDQRLAIAAESAEGLSYMHSKTSTNIQHGDVKPANILLDDDFLPKISDFGISRLIARGNDQHGEEVIGDNNYMDPVYRETGLLTNKSDVYSFGLVLYELITGKKAIGGDNCGYTIYHLGTYTKENRANVTSRIQLGEDENFELLQSQLREGKDFEVLQSLAEIARECLHRNVDQRPEMTDIAEHLQNIRKRYACKS >SECCEUnv1G0528050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3137597:3138145:-1 gene:SECCEUnv1G0528050 transcript:SECCEUnv1G0528050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPFLLLTILLISLRCNASPVSGSKKVTNIRFYLHNTHSVNDPSSVLVAENKNATAHARGLVPFSSVYVFDDVLTEGPASTSKVVGNAQGMYVETGKDGYTILETIDCEMTDGPFKGSSFVMFSRNHFSNPIRELPVIGGRGAFRMAQGYGLLRTVCINCINSVNPSKGDIIEYNVTLYLH >SECCE4Rv1G0290720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873874004:873885265:1 gene:SECCE4Rv1G0290720 transcript:SECCE4Rv1G0290720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase BRM [Source:Projected from Arabidopsis thaliana (AT2G46020) UniProtKB/Swiss-Prot;Acc:Q6EVK6] MQPGGAPSGSSPASSPRPEQTPTPSQQQQQQAQHLGFARNQAMMQQQQQQSYQPGMPHGMMGGGGGFPQSSGPMGPFQGQRGMPQPGGPQYNQSTIQQQQAYMQFLMQQQKSHGMQLQQQQQQQAKMNMAGPSTRDQDAAVNPAKMQELMSLQAQAQAQAQAQAQAQAQAQMLKRQSDHLQQAEKQPEQGQRAGSEQRSADMRPPMQPQGIPGQQMSSAGMVRPMQPMQGQVGMPSMGGIPLQAIHAWAKEQKIDLSDPANASLISQIVPIWQSRMAAMQKQNATNMAAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQNQQMLPRQANSDAPVNGNNPGQAPLKPRQPPPPSSSVSAGAETKMMNPSNLQMQQQFAAQSSNERAVRPPMTMGNAGQMMHMTQSSGHGNKISEQPNPKNTIASSEAMQLQYARQLQQANRATAPTATPGETGGSQAPTQGGRPNSNFTKHQLHVLKAQILAFRRLKRGDRTLPPEVLELIMSPPPLPDPQTQLVSGPPAMLNRERAASVNADEQGRPMESGDKAPEKPLLLKGPSLPKAEVSASEEKTGSASGPMQVREAPPKEPLRILPVSAPEQSNTAPIKSEQEPERGTQRTPGRSDYSGERGKSVPTDSGSADAEQAKRAASTSSAPSPKDVPRKYHGPLFDFPSFTRKHDSLPPANYNGSLALGYDIKDLLAQEGMIVLGKKREDNLKKISGLLSINLERKRIRPDLVLRLQIEEKKLKLLERQARMRDEVEEVQQEIMAMPDRIYRKFVKQCERQRVELIRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRSKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLSQTEEYLYKLGGKITATKNQQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPREENASVNKYYMLAHAVSEKVTKQPSLLRLGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELLNWLPSASCIFYVGAKDQRQKLFSQEVLAVKFNVLVTTYEFVMFDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDAPTPSEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKDSIVLRCKMSAIQGAIYDWIKSTGTIRVDPEDEKIRIQRNPMYQAKTYKNLQNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEDYLQWRQLAYRRIDGTTSLEDRESAIVDFNRPGSECFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTREVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQESLHDVPSLQEVNRMIARTEAEVELFDQMDEEFDWTGDMMKHNQVPKWLRVGSTDVDCVVASLTKKPARNASGSSAPDNGEKLEKRRGRPTGSGKYSIYREYEDEDDEESEEDDEERNTPSHPEEEAGESEDEEENDDSVPDDDNKDQSEEEEPNNDDGYDLQHGTGSGKAHKSEEAGSTGSSSGSRRLPPPAPSSSLKKLRSLSALDSRPGTFSKRTPDDLEDGEIALSGDSHMDLQQSGSWNHERDDGEDEQVLQPKIKRKRSLRTRPRPTNTDKQEDRSGADGTFPQRGARLLFPGDGDYDSQQDAHALADPTTRQQDTVHPIVKQKRNMPSGKASPASRAGKSTHLSGSAEGSAEHSKQNWSNKVISSAGTKMSDSMQRKCKNVISKLWRRIGKEGHQKIPNIASWWRRNENSSSKGVAGSTLDLQKIELRVDGLEYSGVAEFIADMQQMLKSVVQHFGYRHEVRVEAEILHNLFFNIMKIAFPDSDFQEVKDSLSFSNPGGGAGSAAVPSAKHLASGLKRRSATSEAEQHGPGSGKHSHHASASEVPSRAKPERDSRHSGPGSRDPSLDSPGLPLHPGDLFIAKKKRQERARSSIGSPSSSGPRGPLSPTNTGRLGPVPSPRGARTPFQRDSHPSQQAMPGWGAHSDRGGSSPPGIGDIHWAKPAKRQRTDTGKRRPSHL >SECCE7Rv1G0500150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:662539448:662540056:-1 gene:SECCE7Rv1G0500150 transcript:SECCE7Rv1G0500150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFCGTSTGRRGQFVKLVFPGGHVELLDRPVLAAEVMSQHPRFCVARPDVFREPVGAVAGPNTMLHLGHKYYVVPKSTVRRLLKYSSSHSAGGGRGSVSLRRHLVRPDGHDRGHKDGGKKWFGWAVGGEARAPQRPPQEVGVSHGSEEEAGRVVRVKDTKEKGRSAKGESPGRRRRRPIASPADSASYSWQPSLHSISEE >SECCE2Rv1G0136170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910463651:910470751:-1 gene:SECCE2Rv1G0136170 transcript:SECCE2Rv1G0136170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 41 homolog [Source:Projected from Arabidopsis thaliana (AT1G08190) UniProtKB/Swiss-Prot;Acc:P93043] MSSAARRANHPALVNGDGEYGRGEDEEDEEEEEGEEGEGDEPEEEPRLKYQRLGGSVPAILSTDAAAAIAVTDRAVLLGTHDGTLHILDFQGNQSKEIKAHTATINDISFEDREYIGSCSDDGTVVISNLFTDDKLKFEYHRPMKAIALDPQYSRSNNRFATGGLAGQVLVLTKKSWVSGYNKKVLREGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDRGIAFIEKPKGIPRPEVLLPHLVWQDDTVLVIGWGTSVKIAAIRTDSSQGLNGLQRSISAASSEKYVDIVGSFQTGYHISGIAPFGDLLVMLAYIPEEDDGDKKISTSISSRQGTAQRPEIHLVSWKNDVLTTDALPIHGYEHYKAKDYTLAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDTEDHIAWLLQHGWHEKALAAVEAGQGRTELLDEVGTRYLDHLIIERKYAEAAQLCPKLLRGSPSAWERWVFHFAHLRQLPVLIPYIPIENPQLSDTAYEVALVALTTNASSHELLLTTIKSWPHTLYSASPVISAIEPQLNSSSMTDSLKEALAELYVINSQYEKALSLFAELLKPEVFEFIEKHNLHDAIHDKVVNLMLLDCKRAVHLLIQHRDIIPPYEVVEQLLHASKSCDKKYLLHQYLHALFEVDIHAGKDYHDMQLELYADYEPRMLLPFLRTSQHYRLDKAYELFAQKEFVKEQVFVLGRMGNTKEALSTIINKLEDIQEAVEFVTEQHDDELWDELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPKLRDRLVKIVTDYRTETSLRHGCNDILKADCVNLLVKYYHEARRGVCMASMDEEAQGARVNDGSSRTGDRSSSTLRNLEMKSRTRCGARCCLCFDPLSIQDMSFIVFYCCHAYHQSCLEGGLDSMKSNSNARDSDDGSEEDDDGSPLGESRMRCVLCTTAAA >SECCE5Rv1G0317780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:352450618:352451712:1 gene:SECCE5Rv1G0317780 transcript:SECCE5Rv1G0317780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGSNKPPAAAMDVESSTAAQPTSVLRSVLLSYAYVAVWISLSFTVIIYNKYILDPKMYDWPFPISLTMIHMAFCASLAAALVRVFRFVDLPADPPMTPSLYVATVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAIAFRTETFRRASMLNMLGISAGVAVAAFGEARFDVFGVTLQLAAVAAEATRLVLIQILLTSRGIKLNPITSLYYIAPCCLLFLTVPWTFVELPRLQGAAAAGIVRPDVVVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPVNLAGYAIAFLGVAYYNHAKLQALKAKEAERKEDAEAGSRLLTPEKDANAHKN >SECCE4Rv1G0234470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:282967828:282971384:-1 gene:SECCE4Rv1G0234470 transcript:SECCE4Rv1G0234470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPVLKNRPIDQWKVTELKDELRKRRLPVKGLKEELVRRLFESIQSEEASDESAEDVGANEGAPIGKDLKVVGANEPTDQPPEEHTVSQKTTVSATEVSQETMVHATQETAVPLPEVSPEIMTSSVEQSSGDNVVAIHDESMSTEVPTEKGDQPESVAGENSTAQAGHQHAESNKMHIEETPEVITNGTTVVADATSADLKSDLISSEAKSDTAEASKTDRQDTLPKPVDVQIPDADPMGTDVAAASINNDGERFVPKNDLGDNTLIDNEACSSDLMNEDQKPIVSKSNNQVPEVSPDLGSPIKCESISSDDISNNKKNIKDNLNANNFDLELEVKPEMVEPSSGITSLGGELQPLDGDKELVKNQFSLEDMDSTANVDEVGSPEKLNLDRSSGDESMEDDVMEIKQLDFSVKSDDLRGKTEPNSSELVKEVSLTDSVVKSTSANTKEFIAEKPSASTEKRKFQAQETVANTEPIKRHRRWAADSGNVPERQPLSQSGSDAPKDILQHALKRSLGRSDSTASGDSPKERIVPPSQKPATTSLRIDRFVRPFTLRAVQELLGKTGSVCSFWMDHIKTHCYVTFSSVEEAMATRDAVYNLQWPQNNGNYLLAEFVDPQEVKLKLENPPPAATVAVVPISSATTPREAPFQQGHANQSVPCQAAATPREQLPPPPPLVKPPTYDPREKLPPTPKKAEPPVVTLDDLFRKTQSSPRIYYLPLSDEEVSAKLAAQGKAN >SECCE1Rv1G0016730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:141373535:141386172:-1 gene:SECCE1Rv1G0016730 transcript:SECCE1Rv1G0016730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGASFGVIRAAAVLALAVAVLGLGGLLASASSTAELISSRAEELEALMTIKAALHDPDGVLGDWVVTAGRHRCRWTGVTCSVGRIDSLQLQDMHLAGTLSPAIGKLRRLRNLLLHHNSISGPIPDAIGGLPLLRHLSLSNNQFNGKIPDSLINSRTLFIMDLSFNNLSGTVQAFNIKNVLLSGNPLLSYPGCGGSCASTVWQEEITVPALQPPTYSQRLPTIIKMVVICVAIGFMITVILALVFTATQQWRRRRLRIFAEIDGNHVLSNAVYECKCFPDKKNSEVCHGHLKMYTLKDIKQATNSFHPNNILGHGGFGIVYKGILYDGTIAAVKRLKEFASSGEVQFDTEVEVMSLVVHRNLINLVGFCSEDNERILVYPYMLNGTVASKLQAYVSGRPALDWAGRKKIALGTARGLAYLHDECDPRIIHRDIKASNILLDEHFQAIVSDFGLAKLLGQGQSHVFTAIRGTFGRIAPEYLMTGESSDKTDVFAYGLLLMELITGRKRLDVNPDEFDKGGVTDWARELLKDGQLSSFVDERLKYNYNYAEAEEMVQIALLCTMYKAAHRPKMSEVVRMLEGDGSVAGRWESLKDVKEPKHGIGTETPDIVLSPAHYSEGECNSVELEAVELSGPR >SECCEUnv1G0554600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:287073300:287074325:1 gene:SECCEUnv1G0554600 transcript:SECCEUnv1G0554600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMHSRALLILFLCSLLAGAANAEPSPEPTYKDCHPGDKAALLAVKAAFGEAYDLASWTPNNPCCDWYDVTCDRFTGRVVGLAVFQDANLTGTIPTALAGLTHLEDLTLRHLPGLSGPIPPAIGKLSNLSSLSISWTAVSGPVPSFLGALKKLTFLELSFNSLTGAIPASLGTIPNLSGINLSRNRLTGAIPPMFLSKSPHQDVYLWLSHNNLTGPIPADFPAVNFTHLDLSRNALTGDASGLFGSGKELQYIDLSRNAFDFDLSGVVLPERLNFADVSHNAIHGSIPAQVATAANLNFFNVSYNRLCGPVPAGGNMARFDLYNFEHNKCLCGAPLPSCNK >SECCE2Rv1G0083320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:164983289:164996710:-1 gene:SECCE2Rv1G0083320 transcript:SECCE2Rv1G0083320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APETALA1 (AP1)/ FRUITFULL (FUL)-like MADS box transcription factor, Specification of inflorescence meristem identit [Source: Projected from Oryza sativa (Os07g0605200)] MGRGPVQLRRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSQDSMDVILERYQRYSFEERAVLDPSIGNQANWGDEYGSLKIKLDALQKSQRQLLGEQLDPLTTKELQQLEQQLDSSLKHIRSRKNQLLFESISELQKKEKSLKDQNGVLQKHLVETEKEKNNVLSNIHHQEQLNGATNIHHQEQLNGATTSSPSPTPATAQDSTVTPNIGPYQSRESGGGNPEPQPPPAQANNSNLPPWMLRTVSNR >SECCE3Rv1G0195700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:801768934:801769488:1 gene:SECCE3Rv1G0195700 transcript:SECCE3Rv1G0195700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQQHSSSLATERGPCGAALSLDRRSPAMPLEPAQQHRRSVSSSLATELGVCAALGRLYPSDGVAVLPSTLLDEYERLAIEAQLSRAVLRRSYSEPSPSRVVALVREKTAARGAESVEEGTGRAPCEPRARRPWLLEALKRVLCWLGGAWGGHGRSEEQQATPKPPAPPAPEPPRMRLLDYLR >SECCE7Rv1G0503790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:715874356:715874838:-1 gene:SECCE7Rv1G0503790 transcript:SECCE7Rv1G0503790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALAALAYQSAGFVGLPTSYLPPHPHNVDLDLVDGWLVGDNGHGPPSCGSGLSHVAGAAAVSETRKARRLASNRESARRSRMRRRRQLDELSACAAELRAANQRLVVELNRAEARHAQVARENARLREEVRGLRERLAAEEEATDRDAGDEAAAARTP >SECCE6Rv1G0413950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:627425770:627428358:1 gene:SECCE6Rv1G0413950 transcript:SECCE6Rv1G0413950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDDLFELDNVLFEVDTEDATNDDKPLEDEAQNECDDNSKQLSQNVKDRVPDFEELDEDDLMGLLFAENPQELARYAENHVPMYDNHDEDILMGQLFAENPQELARYTENHVPMYDSHDYGPDRVHKIQCTLAHSQRYAAHGLDMLTHAELLLTQLRSDIRWDRVSEALLEGIIQWAEQMAAYADVLDDYSDEVHEFALYLIHFELEGAMFARHEQLQTVADQLLNEHRNMRARVTWAREYLEQRQAWYQESLKFLHLDFYNGEAQQQANSAQDVEAQSAEDQVTVQGNAVEKQNLQD >SECCE3Rv1G0206780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:911243641:911246825:1 gene:SECCE3Rv1G0206780 transcript:SECCE3Rv1G0206780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPRLRGGSAVALLLLLACLAPGAAGFNTEYEEDKSPKLPRCDNPFQKVKVMYWVDGEQMSALTGMTARFGGMVPDTAAAAPRLPAVIPSSKTGCQKSPQLAGNIAVTERGECTYLEKANAAASSGAKTLIMANDIDDVGKMVCSKNDTALDFKIPVVIVSRSSGLKIFEAMDGAKKVEMQLFSPNKAAFDGAIPFLWLMAVSTTACAAVWTAVVVGEEEKKAPSGDGEGDQEAAKTEEPEIVELQAETAFVFVIVSSCVLLFLFFFNSIWSAWLMVGLFCLGGLQGLHFLASTLIVRACKKCGDTKIKLPAVGNVTAVTLVVLPIALFIVVMWATHQTSPFAWVGQNLMGIGMMILVLQIVQMPNIKVASALLISAFLYDIFWVFISPFIFKKSVMITVAKGTDDGPSLPMVLKMPKEFDVWNGYDMIGFGDILFPGLLVAFSFRYDRAHGKGVANGYFPYVMIGYAFGLSFTYVGLYLMKSGQPALLYLVPCTLGTIAALGAQRGELSQLWNAKA >SECCE6Rv1G0388640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:141233328:141237955:1 gene:SECCE6Rv1G0388640 transcript:SECCE6Rv1G0388640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGHMPPPYHHRPLPGPGSGPPHGMRHRDPYGPGMHQLPGPGPYPYDMLPPPEILEQKLAAQCGEIQKLAVENERLAASHASLRKELAAAQQELQRIQAQGEAAKVAEEQEMRGLLDKAAKMEADLKSYESVKADLQQAHVEAQNLATARQHLLADAQKLNKDLQRNFGEAQQLPALMADLDAARQEYQHVRAAYEYEKKLKMDHSESLQGMKKNYDSMVTELEKLRAELTNTTNIDRSGTLYNPNFTQKDGGTSSHHSAYDGGYGGAQARTPPGMPDPLSGSPAGTAPRSGYDPSRGNSYETSRLARVHDASRGATGYDSLKIAGYDTSRMPALGAQAAAPTAHGSNAGYYGSSQVTPPSHARAPGAPTYGSAQVPPSYASGPVPASSYGATTVRPHGSAQGLPSYGQTQAPSSYAHTQMPPSYGVAQASSHFAPTQGGSPYGLSAQPQGYGNAQAAPNTGGSYQASHGRR >SECCE3Rv1G0206610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:909915935:909922194:1 gene:SECCE3Rv1G0206610 transcript:SECCE3Rv1G0206610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKASKKDAEPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLSIPAENFPFCTIEPNEARVHVPDERFDYLCQLFKPKSEVAAYLEINDIAGLVRGASAGEGLGNAFLSHIRAVDGIFHVLRAFEDTEITHIDDTVDPVRDLETITQELRLKDIEFVQAKIDDLEKAMKRSNDKQLKIDHELCQRIMAHLQDGKDLRLGEWKAAEIEILNTFQLLTAKPVVYLVNMSEKDYLRKKNKFLPKIHAWVKEHGGETIIPFSCAFEQKLVDMPEDEAAKYCTENQTTSLIPKIIKTGFAAIHLIYFFTAGQGEVKCWQIRRQSKAPQAAGAIHTDFEKGFICAEVMKFEDLKELGSESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >SECCEUnv1G0548680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:190678125:190678397:1 gene:SECCEUnv1G0548680 transcript:SECCEUnv1G0548680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGRKIFGFMVKEEKEENRGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRRRLLAYLAKKNRVRYKKLIGQLNIREQ >SECCE2Rv1G0131880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887429254:887430402:1 gene:SECCE2Rv1G0131880 transcript:SECCE2Rv1G0131880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGRSELPTDAMVEILLRLPPSSRRRVRLVCRLWRDIIDKHTTEMQSRATALLWDTREAVAYVVGDLSKSSTGRYTELWPRDSTAGPYFVDPSSLQLIGTCNGILCLCDNEAPGGAVTLVNPATDEELHVPPLPCAHPFVPFVDRYARWENWHKAYSFAYHPTSGKYKVVHIPCSISEAYKFDALQVLTLGEASWREVPAGPAEGARCNLGAGIVSVDGATYWVIESAGASWVVSFDLDNEAVARVKGLPGHPAGPEHYHLTEVHGRLGIVWVLDKGQRKWSCRYSLTRHEVTRPYFVYGECVLTSEGRLIRGHYRQKGTWASNKAVPVRVSHRAHGTRLAEIDDAWCQTLPYVKTMEPLSIYKLPNDNA >SECCE2Rv1G0084170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:173101816:173104226:1 gene:SECCE2Rv1G0084170 transcript:SECCE2Rv1G0084170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDKKRNQRRVLSRRSAGPRTGEGKDFLPLEGKEQRIREKQPEEPENTATVLYIGHIPHGFYEDQMQGFFQQFGAVKRVRIARNRKTGKSKHYGFIEFENPEVAKIVADEMNNYLLFEHTLQIAPVPLEKVHAKLWKGVRKGFVPVDRVAIERKKLSKDKTVEEHKRMLEGIVKRDENRRKRIKAAGIDYECPALIGSVQPSAKKIKFDED >SECCE5Rv1G0357160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:744220394:744222931:-1 gene:SECCE5Rv1G0357160 transcript:SECCE5Rv1G0357160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDGTGRYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQMYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASACFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDMPPRGLKMAGTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEYDEEEEEERDAE >SECCE2Rv1G0136830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:914399915:914401728:-1 gene:SECCE2Rv1G0136830 transcript:SECCE2Rv1G0136830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAAMEKLGANPANSCPLTPLGFMERAATVYGDCPSVVYGSTVFTWSQTYRRCLCLSSALAALGVSRRDVVSVLLPNVPAMYEAHFGVPMSGAVLNTINTRLDARTVAVLLRHSGSRLVFVDPALLPLLDDALRLLPPEHPAPRIVLVDDPHEKDQFPPGMATDLTYERLLEMGDPEFKWVRPTSEWDPMVLNYTSGTTAAPKGVVHCHRGIFLVTMVSLVDWAVQPRPTFLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVDAKNVYAAITDHGVTHLCGAPVVLSMLANAPEGVRNSLQRKIRIMTAGSPPPAAVLHRVEALGFEVSHGYGLTETGGHAVSCAWKGEWNKLPASERARLKARQGVRTPGMAEVDIVDGETGRSVPRDGATMGEIVLRGGCVMLGYLNDSEATKAAIRDDGWFYTGDVGVMHPDGYLEIRDRSKDVIISGGENISSVEVESMLYGHPAVSEAAVVARPDEFWGETPCAFVSLKEGAAGAVTAAEVIAWSRKRMAGYMVPKTVVFSTELPKTSTGKIQKYVLRKLAREMGPTRRGSSSSSKM >SECCE1Rv1G0062410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719488708:719489277:-1 gene:SECCE1Rv1G0062410 transcript:SECCE1Rv1G0062410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLKPGVPVTLQELAPSSEMFKQGASLRVTGILQSYDVDSAVAVIRDGSATLKIDTQHLRDISFRSGSTFQFIGELLIRPNVDAILQARVGRNVDGLDLNLYQQSLIVRRQHEARLLSSRSA >SECCE1Rv1G0008080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:44330310:44333211:-1 gene:SECCE1Rv1G0008080 transcript:SECCE1Rv1G0008080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPSTKWAQRLDKVYLTIELPDAKDVKLNLRPDGHFNFSAKAPADDMQYELDLELFDAVSVEESQAAVAPRTICYLVKKAEGKWWPRLLKKEGRPPVFLKVDWDKWQDEDDEDAGFGDFGDMDFSKLDMGGGDDDDDDEIEEEDEENVVDSANKADVDAETEPGSKGGVALL >SECCE5Rv1G0353480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:717425208:717426639:-1 gene:SECCE5Rv1G0353480 transcript:SECCE5Rv1G0353480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAARLVLLLALAALAGRSDGAWCVCRTDLPDATLQRTLDYACGSAADCKPIQPNATCFAPDTVKAHCSYAVNSFYQHSGQNPVACIFSGTATISSVDPSTNGCKYPASATPSPPDSPPPPMAQGPNGPLKDTSDGANVLPVAGTATRAVILACCSLLALYFMA >SECCE5Rv1G0302890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:42638282:42639400:-1 gene:SECCE5Rv1G0302890 transcript:SECCE5Rv1G0302890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDSRMAGNSVNLPHVTRRRSTLGFKFLIPFVLVLSVSVIAVTQYFQSISYFLRPLWDTPPKPFSRIPHYYAPNMSMHQLCQLHGWGILSSPRRVFDAVLFSNELDILEIRYHELFPYVDRFVILEANATFTGIPKSLTFFENLNRFAFASSKIVYDMLPIGDLDPDSRRMPFLVEAGHRRALNNLLKRSGIAVGDVLIMADADEIPSPETVQLLKWCDGIPPIMHLELKNYMYSFEFHVDQNSWRTTAHVFTERTKYQHSRQTDLMLADAGWHCSFCFREIKEFAFKMKAYSHADRVKHDIFLNPDRIQRVICNGDNIFDMLPEEYTFSDLFKKMGPIPRSASAIHLPSYLIRNADSYRFLLPGGCLRPG >SECCE2Rv1G0109100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:672982625:672986292:1 gene:SECCE2Rv1G0109100 transcript:SECCE2Rv1G0109100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G43330) UniProtKB/Swiss-Prot;Acc:Q8VZR6] MTIDLSTMPGSSARLLDAAAGRKDMNFFKNRYVLGLTGVAGIGGFLFGYDTGVISGALLYIRDEFPAVKDNLFLQETIVSMALLGAMLGAAGGGWINDAYGRKKSTLLADLMFALGSLVMSAAGGPYILILGRLFVGLGVGIASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLVNLGFTGVPGTWRWMLGVAAVPAIIQFVLMLFLPESPRWLYRKDEKAKAIAVLEQIYDSGRLEEEVDLLASASMHEFQSNCTGSYLDIFRLKELRLAFYAGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNRLALLLSLVVAAMNASGTIVGIYLIDRCGRRRLALTSLAGVVLSLVILATAFILQSSSSLCGSLSCQGALGWFAVGGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWISNLIVAQTFLSLVGWVGTGPTFLIIAGIAVMAFIFVALYVPETKGLSFEEVDLLWKERAWGSQGSHESLLGAAP >SECCE3Rv1G0210380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943568310:943569576:-1 gene:SECCE3Rv1G0210380 transcript:SECCE3Rv1G0210380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALDNTLPAAVADQRPKKAAKLLPTTAVARSSTGSAGNGKKGNDENSAPTPKPASPVAVAAEPAVEYVRSEDLLPVPGPKARAAGLVAGLDSKDWVKVCEALNDARRLAIHHPALLAPILEKVVLGMVRTMKNPRSAVLKTSVMACTDVFAALGNLISADAFDKLLLQLLLKASQDKRFVCEEAEKAMRAMATSMPPLPLLRKLRAYVHHANLRVRAKAAVAMAHCAARMDVETIKDFGLPALLQVAAELLNDRLPEAREAARRIVGSTHAAFFKDGAEKEQEKKENDLKEENGQKEQEEVKESEEEEEKKEEKEVKAAAWESLCALSLSPISAQAVAKIVSQLQLSQPQAQ >SECCE1Rv1G0046410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:617224618:617225916:-1 gene:SECCE1Rv1G0046410 transcript:SECCE1Rv1G0046410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFKDARSVRLRSHLGTYLCALDGGAVSHGYRRNSRGTVWAVELAGDDYVRLQGQQGLYLGATELPADILGRGRGTRACCGVVLGSPSCPNDNAFLWSPLREGELLALSGPYGRLLRARFGHTAQDNAVTVDLDADPEESSWVVEVVPAAEAALLLPPPPRPCRAQSCDARLEAATSESDTASSVLARVRSAKDMTSSLVTVEEEPLSMPAPRLIFYNTARDDGGVDEFDEGTWKYFSFKEQSLAALRRRLEEETRRQDFAVCRRSGPGLFPVVLDLPPGNCQMEFVLVPAPSPAVADGIA >SECCE7Rv1G0494150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:579102942:579104122:1 gene:SECCE7Rv1G0494150 transcript:SECCE7Rv1G0494150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSCNTVPPGFRFHPTEEELVGYYLARKVSSHKIDLDIIQEVDLYRIEPWDLQERCGKYGGGGGGQEDPTTEYYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSSSSSPASVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQSNEHAPTQEEGWVVCRAFVKPVPNQQHRLSYGGGGYPTMNGSYSSASNYYYYDNPNARLMVAGGGPPHDQHVLAAESKQQVQLFPSDMPPPLQSPTFDGEGEGDISQISGGCSSADQQLAAAAGTIDWNLWSSLLPSTAPQLFHGQTMTPPPAANSSSSKNT >SECCE2Rv1G0070960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:39354824:39355952:-1 gene:SECCE2Rv1G0070960 transcript:SECCE2Rv1G0070960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLKRMVEDAPKRAAYVTFLAGSGDYWKGVVGLAKGLRAVNSAYPLVVAVLPDVPEDHRRKLVKQGCLVREIEPVYPPESQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDHLFDLDKGSFYAVMDCFCEKTWSHTLQYKIGYCQQCPDRVAWPERELGVPPPPLYFNAGMFVHEPSLATAKALLDKLVVTDPTPFAEQDFLNMFFRDVYKPIPPVYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRYTGEEANMDREDIKMLVKKWWDIYNDEGLDYKAGDETTNLLRGALVEARAVKCFPAPSAA >SECCE4Rv1G0218740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:24438538:24443420:1 gene:SECCE4Rv1G0218740 transcript:SECCE4Rv1G0218740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMISRQLLLTYLYLLIYICLSSGVILFNKWVLSPKYFKFPFPITLTMIHMSFSGVVAFFLVRVFKVVAPVKMTFQIYATSVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRRDLFLNMVLVSVGVVVSSYGEIHFNVIGTLYQLTGIVAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFGPWYLLEKPEMDISPIQFNYWIFFSNALSAFALNVSIFLVIGRTGAVTVRVAGVLKDWILIALSTIIFPESTITSLNIIGYAVALSGVVMYNYLKMKDVTAIQLPIDNTADRATKDKKVVNIYKPDSSIDSIDETVVGGVAVGGLATEAAAVDEEAPLIPSSRLSHVTRTQTGGLSSR >SECCE2Rv1G0112280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:707689640:707690251:-1 gene:SECCE2Rv1G0112280 transcript:SECCE2Rv1G0112280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDAATFQLYRPMAMPTATPMSQTMAMPGFSSAEAADDVVAAVPAPRKAGAAKGAKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTVEWLLRQAEPSILAATGSGTTPAVFSCSSAPSTAAVSLLGKRPREEHEHEAAPSFWEALQARPVPWGLSPSLEAQAYASSVAQGHHHHLNLLSALSGASRRPEEESR >SECCE1Rv1G0060440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710173065:710176360:-1 gene:SECCE1Rv1G0060440 transcript:SECCE1Rv1G0060440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSTCISMVVLLLLSAISCFSLGPTAGNNVDHRALLSFKAQFSDPIGILARNWTTGTSFCHWVGVTCSPRRQRVIGLSLPYTPLHGPITPLLGNLSFLSSLDITSTNLTGSIPTNLGNLHRLRYLHLGENSLSGAIPPSLGNLTRLEVFDLWHNQLSGQIPPKLLLHLNNIKEIYLQGNYLSGKIPPSLFNNTPSLRYLKFGNNSLSGPIPDGVGSLPILEILDMQYNQLSSLVPQAVYNMSRLQVMALAGNRNLTGPIPSNQTFSLPMLQFISLAQNRFTGRFPIGIASCQYLREIYFHTNSFMDVVPTWLAKLPHLKIVSLGGNDLTGSIPHVLSNLSSLIVLELSFGNLKGNIPPEIGKLQELSYLFLMDNQLSGSVPPTLGNIVALTKLVLSHNNLEGGMGFLSALSGCRQLEHLVLNNNSFIGALPDHVGNLSTQLISFIANQNKLIGRIPSTISNLSSLELLDLGYNQLTDSIPESITMMETLVLLDISNNDILGPMPTKMGMLLGLERLFLERNKIYGSIPDSIGNLSRLEFVDLSNNRLSQTLPASLFQLHKLIQVNISYNSIIGALPDDVAGPRQIDQIDISFNSLNGSIPDSFGQLNMLTYLILSHNSFEGSIPSTLVKLTSLTSLDLSSNNLSGAIPMFLENLTDLSMLNLSYNRLEGQIPERGIFANLTTKSFIGNTRLCGSQRLGFSPCHKKPHPCSSHLLKFLLPAIVVTCSALAICLCLMIGKKHKKFKAHGDVADATRHQLVSYHELVRATRNFSDDNMLGSGGFGKVFKGQLSNGLVVAIKVLDMKLDHAIRVFEAECHVLRMVRHRNLIKILNTCSNMDFRALVLQFMPNGNLETMLHNYDGAMYLGFMQRIDVMLDVSMAINYLHHEHYEVVLHCDLKPSNVLFDMDLTAHVADFGIAKMLLGDDNSMIVASMSGTVGYMAPEYGSMGKASRKSDVFSYGIMLLEVFTGRRPMDPMFLGELTLREWVRQAFPAELVHVADSHLLQGSSPSSYKLDQDFLVPIFELGLLCSSHSPSERLTMSDVVVRMKKIKVAYTKWMLETLSVDQ >SECCE4Rv1G0267170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734981646:734982944:-1 gene:SECCE4Rv1G0267170 transcript:SECCE4Rv1G0267170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTANAREIRRLQRADGPAAVLAIGTANPQHRLSQDEYPEYYFRVTNSEHLAEQQKPILKMICTLTGNERRFVHNTDKMMSAHPEFIDRATPSLEQRLAIVAVVAPELLAAAATKAIAGWGRPATDITHLVVVTNSGAGSPSADVPLATLLGLRHNVCRTVLQLNGCFAGCAALRLAKDLAENNRGARVLVACVELMSVAGFRGPTQGEDCVNNLIRNTVFGDGAGAVIVGADAVEPVERPLFEMVSASQTVVPDTLQVVTAELKTCGLDGNVSTKLPNLVADNIKQCLLDAFGPLGIEVKWNDLFWAVHPGAMAILDKIERVLQLEPGKLAASRTVAREYGNMLSATIIFVLDEQRRRMQEEGEWAEWGAMVGFGPGFTMETMVLQATANLKKN >SECCE1Rv1G0007370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:40217853:40221490:-1 gene:SECCE1Rv1G0007370 transcript:SECCE1Rv1G0007370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQAEIDSYIELYWADRMEAFLEEEEAEEEADRRKSGSVGEMKKRKTAAGVGLKKAAAAAEEDPAEESDNEEGQVESISQAKSNLSRTKKIINARIAKLVGVKSGEGPHYNTKTMTEEDVAAAAVYRAKGEEAHRIQDRKGELDAVIKWIATGDPEAIKVSDQWMAENVEAMKLEPKDPTDWPAEEAKEYREFWDFLWAGSFGKWEDITLIQPMRYTDEKPPEDVYPIRTLQVFSVKVAAITEELGWPLDVFGIVAARDSLDHNRNIIFHRQRDNCQTIDSENRYLTLTGPTRAVVVVDPVYFEVDLQVKGRTESEDRALSYLVVNYRESGCESYMFKSVETSKLSTVELTLGDMAKSVEATIRVKVVDGEWPEGFGGLISARTASISDMEIKLLAFDKLPVAADGTIQLSRCVLSVEADGMLRVSVMAMANCLEDQTVEEDSKAFKAREASRSTRMLEVCSCKLEVTIAWSLVPALV >SECCE6Rv1G0446850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846357040:846359469:1 gene:SECCE6Rv1G0446850 transcript:SECCE6Rv1G0446850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEDAAARRERLKALRAAKELLSTPDGASAPAPAPAAAADAGQQNGEHGRTEEQADQPELPDHVDAPEDASKENVSPTKESDEVEDNGEVPLKFRNYLPHDERLRGGKVAPLSLPKFEDPIAADAAEPKQLENPFGNIAPKNPNWDLKRDVQKRIDKLEKRTQKALAEIALEQQKEKEALEEGGDAAQD >SECCE4Rv1G0294210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:889507659:889509173:-1 gene:SECCE4Rv1G0294210 transcript:SECCE4Rv1G0294210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRVVPIGRRILERNIKARYHAGDIGTEDALHLFDELLQVAQPSSIHAINCLLTVVGRDCPALGVSLFNHIARAKVPLHNITYGILVDCCCRAGRLDLGHAAMGHVIKLGFTAEAIVNFSHLLKAICTEKKTSYAMDIVLRIMPMFNCVPNIFSYNILFKGLCNEKRSHEALELIQIMVEDGGCCRPDAVTYSTVIHGLCKEGEVDQAYSLLCEMLQRGILPDVVTCSSIISGMCKAHAMDKAEEVLQQMFDIGILPDVVTYNSLIHGYYSLGRCKEVDRILKEMSRNGVQPNTVTSNIQMDYLCKSGRWSEARKVFDSMISSGQKPTITTYNILIDAYSKNGRIDDALVLFRKTLDSVNPSIITFNIMIGALLKGGRKEEAKDLFDSIWAQGLVPTVVTYGLMIQKLIEEGSVQESDDVFLSMEKNGCAANSRMLNAIVRRLLKKGEMLGAGTYLSKIDERRFTIEASTASLLAALASGGKGQEYKDLLPEKYHYFLEQGTD >SECCEUnv1G0532870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:24670332:24671613:1 gene:SECCEUnv1G0532870 transcript:SECCEUnv1G0532870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDRANTADCIATGRGRTVCVTGAGGFIASWLVKLLLEKGYAVHGTVRNPDDMARNAHLRALEGAAERLTLFRVDLLHKESLVAAFRGCEGVFHTACPVTDDPEKMIEPAVNGTRNVINAAAEVGGIRRVVMTSSIGTVYMDPRRSLDGEADETCWSDLEFCKNTKNWYCYAKTVAEQAAWELAKERNLDLVVINPSLVLGPLLQTAVNASTWHIAKYLDGSVQTYTNAAQAYVHVCDVADAHARAYETPDAHGRYLCAGRTLHRAEVCRILAKFFPEYPVPIRCKEGAGEMKKGCRFSSRRITELGVGITPASECLYDTVTSLQDKGILPRRHADMS >SECCE2Rv1G0107630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:650932401:650934903:-1 gene:SECCE2Rv1G0107630 transcript:SECCE2Rv1G0107630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKLSVSSQAFAALLECCGAAAGDCDGLLFGRAARPPAPPPSFSDDDDDSAATSSAPTLSISITGHASLSRPSSLSDALGRFHPYSPACPATVGFFSSRRSATRRPSMREVAVARSLSKSLALTHPLVFLLVAPSASSNLSVHSFDYRAFLLVDSRLFPTSLQVVNVGPGFRGQYHTFAAESPMPWLPRPPAKGFKIGDQKAMDGMMDAFGLGRVEALVASATGHATEVEEMYSGMLRRLERLAREAEGSKKLVRRQENKNLLSKIKMAGLK >SECCE4Rv1G0275600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:794593533:794597211:-1 gene:SECCE4Rv1G0275600 transcript:SECCE4Rv1G0275600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPSSSRLPTIIVILLLALSSITASSSSPANGSDRDLAALLAFKARLADPHGVLASNWTGTSFCHWIGVSCRRQRVTALTLLYTPLSGPMAPHLGNLSFLSVLNLTGTNLTGSIPPELGRLRRLRYLRLEENTLSDAIPNAIGNLTRLEFLSLSHNQLMGQIPPEVFVRMQKLETISLDANDLSGQIPPYLFNNTSSLRIIYFDDNRLSGQIPPEMLPRLHNLEEIWLSTNALSGQIPPYLFNNTPSLRSIYLYDNNLSGKIPATMLWHVHNLEDLGLSFNSLSSHIPPYMFNTTPSVIFIDFGNNILSGHISSHITSLCKLTYLELQHNQFSGLVPQAIYNMSALQIMLLSDNNLTGTIPNNESFNLPMLQILDLSENKFNGQISLGIASCQYLEGLYLSDNSFVDVVPLWLAELKHLKNVSLSANNLVGSIPAAISNLTSLAALELVSCNLKGRIPPELGLMQKLSYLNLGYNQLTSEVPASLGNLSQLSQLYLHVNNLSGSVPPTLGNIVALEELTLQNNNLEGNMEFLSSLSSCKNLQLINIGVNSFIGALPDHIGNLSSQLTTFTAGYNKLTGHIPTSISNITSLEWMDISNNLLVGPIPESIAMLNDLVWLDLSSNDMLAAIPTEMGMLWSLQRLFLQRNKFSGPIPISLGNLSRLEKMDLSNNQFSSTIPSRLFRLDKLIELNLSYNFLVGALPGDVSGLIQTYQMDLSSNFLVGSIPESMGQLKMLMYLNLSHNLFGGPMPDSLQKLTSLASLDLSFNNLSGSIPMYLASLTDLTTMNLSFNRLAGQIPEGGVFSNITLKSLIGNAGLCGAPRLGFLPCLDKPRSNSIHWLKFLLPASMVASGGIAISLWLWIRKKHKHEGDVKTDGDPSDGIGHQIVSYHELIRGTANFSEDNLLGSGSFGKVFKGQVSGLAVAIKVLDMQLEQAKRSFDAECRVLRMARHRNLIRIINTCSNLDFRALVLQYMPNGSLDMLLHQSQSTMPLGFLERIDIMLDVSMAMEHLHFEHYEVILHCDLKPSNVLFDEDMIAHVADFGIARLLLGDDNSMICASMAGTVGYMAPEYGSFGKASRKSDVFSYGIMLLEVFTRKRPTDAMFGHELTLRQWVHCAFPAELVSVVDNQLLQGSSSSCNLVEKFLMPILELGLLCSSDLPDRRITMRDVVVRLKKIKVEYTKQAAATTPRSSAV >SECCE6Rv1G0440440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:804453241:804454317:-1 gene:SECCE6Rv1G0440440 transcript:SECCE6Rv1G0440440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGSSDCHIVPTRDIHRFLFRARLGPPEDDLLASTVVAGCKCQVLYAAVGKAPTSSSLLALTLCPGHLVVQGAAKMSAHMVLLDKAGSPAPSVGAVGFECAFSPKLTEIAGYILTVERDDLRANCVVDDYFEVLCSVDISWGKNWTPPAPPPGLGHDLALMFGKQDLTDVSFDVSGESFSAHRLVLAARSPVFRAELYGPMVESKMTSIAIQDMEASTFGSLLHYMYHGSLPDSGEKDVASTMAEYQHILVAADRYGVEELKKICEDKLCDNGVTVDSVVSMLELSEGHICPKLKAQCLDFLADGDNFKMVATSGEYLHLMQSFPTLMLEARERFKIAHEKPTILKPPPQKKTRVN >SECCE5Rv1G0357000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:743154680:743155615:-1 gene:SECCE5Rv1G0357000 transcript:SECCE5Rv1G0357000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDLPSLAFAIARFLQKENRRFPRTHRHRPASCRRRRGLGARSGPRRRFFARNHRQNRRRGYARQGNRPLHGQAPTVHQAGPSAPATAANHAGPSAPAPAVADDNTVPATPPPSVFTIPPMDWLLAGPSAPFLGEEEFFPCELAPPPLPPYCVKHGFGSCPARTGAPPRKPSPTPSDELPEHFIPPGYGPVPDLPSPTLAAAGTGGYSSIPDLNIKIKVEEEEIEDQGSSSTPPPTSPATPPPPPAPPLPPTPPPEARRILRQFAAAMAKNHAAPRGAWSPDALGLTGAPGASSSGAGRAAKRGPPRFH >SECCE5Rv1G0342300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631466767:631470300:-1 gene:SECCE5Rv1G0342300 transcript:SECCE5Rv1G0342300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASKRPLGVVMAWVRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAVHALGIAVLIYKLTKERTCAGLSLKTQDLTALFLAVRLYCSFVMEYDIHTVLDTATLVATLFVIYMIRFKLRSTYMVDKDNFALYYVVIPCAVLALLVHPSTSHNIVNRFGWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >SECCE5Rv1G0335800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:579756137:579756454:-1 gene:SECCE5Rv1G0335800 transcript:SECCE5Rv1G0335800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINIPVGKFYLGDAGYACWPGILPPFRKTRYHLNEFSGRNYPMTANELFNLRHSSLRVTFERAFGAMKNRFKILDQKPFHPYSTQVKLVLACCILHNWIL >SECCE7Rv1G0497280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:622500989:622502392:-1 gene:SECCE7Rv1G0497280 transcript:SECCE7Rv1G0497280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARRLVLIPGQSISHLTPMMEFAAVCLRRGLAVTVAVPDPTLTSPVFRSTIGRYASRLPSLSVHSLPPPPAHHHSVDAAHPFIRIQAAFRSQAPGLRDFLRSLPAVHALVADMFAASLLDVAAEVGVPGHLFYCTGAANLTVFLELPSFCSGSSADLKDLGDAPVSFPGVPTMPASHLVDGVLDSGTDLYAAVLDVFGRMAAARGILVNTFEALEGSAVAAIRDGHCLRGRADPRVYCVGPLIAEGGAEEERHPCLPWLDTQPEGSVVYICFGSRCTVSLEQIREMAKGLEMSGHRFLWVLRAPPAFAAAAGEPDATLSLLPEGFLARTAGRGLVVTASWVPQMDVLRHASTGTFITHCGWNSTLEAAATGVPMVCWPLEAEQWMNKVYIVEEMKVGVAVRGYSRPGVLVSADNVDATVREIMDTESEGRRAVVERAMAVKESAAAAWKEGGSSCAAFAEFVKDL >SECCE5Rv1G0337940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:595023328:595025638:1 gene:SECCE5Rv1G0337940 transcript:SECCE5Rv1G0337940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGTKPLQIWDKEVVDGHIKRPQDEDIKSNVLEIVGTNVQSTYITCPADPAATLGIKLPFLALVVKNLKKYFTFEVQVLDDKNVRRRFRASNFQSVTRVKPYICTMPLKLDDGWNNIQLNLTDLTKRAYGTNFVETLRVQVHANCRLRRVYFSDRLYSEEELPAEFKLYLPIQKS >SECCE1Rv1G0028800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:407707889:407711149:1 gene:SECCE1Rv1G0028800 transcript:SECCE1Rv1G0028800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGVEGRPLRLKDLLELDCDSCSAAGFRCYPRHLCVPAAAPPLRARHNALHEAAEAPRGIGRSPSLRHPLLSIRTLSRRLRDGLSWRRREEEEDAVAAPAPAVSSSSSDSESSESASSTERKSESDFSASSTESQHAGVTTGTASTREEDKEAMHRGSKEADDKEQLSPVAVMDFPFDDDEDDPLEEEEGRGGAAAACSTSFSDSLAQLHQRRNIQMHYKIRRRFGSIGEVGAVDLDESFAATDSDGFGSGPVQQPENFCPDTGAATAPSCPEGHRSVDACQDHDEHNQLGTVSVVCASERLLLDFFAETRKNGTSKNFEAAARLAEGWIQGTGSRWGLKEVLCGREHLVAEMDRSQRWSARVGEVEEEREIGVVVAGLLIDELVADLVTDLLL >SECCE6Rv1G0386270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:93941023:93942945:1 gene:SECCE6Rv1G0386270 transcript:SECCE6Rv1G0386270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP8 [Source:Projected from Arabidopsis thaliana (AT1G16070) UniProtKB/TrEMBL;Acc:A0A178WHW0] MAATPPKREPLGPLSCNAAADTPAAAAARPRAPAVSAEKENLGAANLGPGKEEKRATPAAPKAPPLKPSSLQARMEGEEAPLATATAAGLPVFVGPRGRELLPPPPPPAASSSYEAWDLSDNEAAPAASWATLPNRALLCHPLPLDVGRCTCVVVREKATGARGVALYSLYTNEGQGRQDRKLAVARHRRRRGRSEFIVAQNQDGVFCSSDKNFLGTMGANLVGSKYQIWGQGNQVDELKSQSKRLLGVIAFAPTITTLTGSFRSMRAWIPKNQSMQLRTNSSAQIQHVSGLPKDWQEKRSRAEQLCSRSPFYNNMTKRYELDFRERVGRMGYKVQTSVKNFQMTLEDNGRQTILQFGRVGKSKYIMDFRYPLTGYQAFCICLASMDSKLCCTL >SECCE2Rv1G0090440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:276476578:276477701:-1 gene:SECCE2Rv1G0090440 transcript:SECCE2Rv1G0090440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66360) UniProtKB/Swiss-Prot;Acc:Q9FK02] MNRAVWNSRARLASSFSSSLASETWDARFRLHKPRGQHLLTNPRVLDAIARHAALRPGDAVLEVGPGTGNLTARLLASPVDRVTAVEIDPRMVDAVTARFGALDLSRKLTVIQGDAMETEFPEFDVCVANIPYGISSPLIAKLLFGTYHFRTATLLLQKEFARRLVAMPGDSEYNRLAANVGMVADVKLLMDVSKRDFVPMPRVDSSLVQIRPRAAPPEVDLAEWLGFTRECFGQKNKTLGAIFKQKRKILDLLKRSQRSERCTGIILPALDDDGNDDACSDQDDDSSSDRAAGFSAEEVGAFKERIAGALASTELAGKRPSKMSNDQLLYLLRLFNERGIWFQ >SECCE6Rv1G0448390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:855837624:855840589:1 gene:SECCE6Rv1G0448390 transcript:SECCE6Rv1G0448390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEFADKLFLVLRSYSLPVWATIISGLFVAVSLSLSLYLLLNHLSAYKNPEEQKFLVGVVLMVPIYAIESYISLVNPSIGVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKKEGSSGSDAPLLGNASEERHVNHPFPMNYMLNPWPIGEWFYLVVKFGLVQYMIIKTICALLAVILESFGVYCEGEFKWNCGYSYTAMALNFSQSWALYCLVQFYAVIKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLSSWGLLRGPIAQELQFKSSIQDFIICIEMGFAAVIHLYVFPAKPYELMGDRYIGDVSVLGDYASVDCPLDPDEVKDSERPTKIRLPQPDDRVRCSTAIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKIHQISQNMKKHDKDKRTNDDSCIDSPRSLHRVISGIDDPLLNGSLSDNSGPKRARRQQRRRSGAGTSGESSDHGLGGYEIRGHRWITKE >SECCE2Rv1G0078980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:113488001:113489050:1 gene:SECCE2Rv1G0078980 transcript:SECCE2Rv1G0078980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGQGERPTPPPLPLGVRLQLAGLTAAIDAVERSDGTVNRCLYSVIDRLLSARANSRPDGSGVRSYDFTVDAPRGIWARVFAPVSSEVPLPVVVYYHGGGFALFSPAIGPFNGVCRRLCSDVGAVVVSVNYRLAPEHRYPAAYDDGVDALRFIDDAGGVPGLGDGVPVDLASCFLTGESAGANIVHHVASRWAAEHQPSAKSLRLAGIIPVQPYFGGEERTESELRLEGVAPVVNLERSDFSWKAFLPVGATRDHPAAHVTDENAELAEDFPPTLLVVGGFDPLQDWQRRYADVLRRKGVKIQVAEYPDGFHGFYGFPVADAGKVFLEMKAFVESNRAAPAKSTAR >SECCE1Rv1G0013660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:107322286:107323813:1 gene:SECCE1Rv1G0013660 transcript:SECCE1Rv1G0013660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGGLRQLLTAAVTVGAAEARAAVFGHAVNPLGKRAATKLLRKKLIGEHVAQWYPDDIKRDDPVVMAREEKERLAKLEMLKRRGKGPPKKGQGRRAIKRNK >SECCE5Rv1G0323270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:432663786:432667875:-1 gene:SECCE5Rv1G0323270 transcript:SECCE5Rv1G0323270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPLLPTTAPQPLYPLPEHAPVSNFDAAPPSSPCPSPASSYKDRLIFGPHHPPPPPPPPPPPXXXXXXXXXXXXXXXXXXXXXPPPPLPHRHYRRISVAHADPFRDYDHPSCSSSPPSDEEGQPQPQPTTPSLFNLISGRTNLHRSRTAPAMAPLSAAVLAASSEADHQNPPPPPRRPAVVLHAFLFLLAYLALGVSFYAAFPANFTSSAGPTHPVVDALYFCIVTLCTIGYGDITPASPAAKLFAISFVLIGFGFVDILLSGMVSYVLDLQEHLLITAIKNPRSARKHRHNYIFDIKKGRMRVRMKVALALGVVAICVGIGATVLRKVENMGWLDAVYLAVMSVTTVGYGDHAFRTLQGRLFASGWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVTKSEFVVYKLKEMGKISDKDIRMIVDQFQRLDSGNCGKITLSDLLQSHHLGPEPRDMKRGKKS >SECCE5Rv1G0318950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:369275941:369279984:1 gene:SECCE5Rv1G0318950 transcript:SECCE5Rv1G0318950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVNRLLPRLTTLAVFSSPTPSPFGPNKRVARPRLRLPPISISMDPALVDPAHLQALMLACAHSCAIRLSPQEAAARPEPVDIRKLRTAVAHSFLVVSVFCGARFVEDDDGWDDRRFMGLELDLGLGRRGEQRLVGFGRAVSDVGLTASVHDVVVHPSMQRRGIGRKILEKITRVLHSRGIYDISALCTGKERPFFEACGFGDDAMGATTMMYTRNLYK >SECCE2Rv1G0129910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:871580340:871583274:1 gene:SECCE2Rv1G0129910 transcript:SECCE2Rv1G0129910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERLAGAEKEVLVEIVRFTQKNGLKGAEGGWKDFLARNDKKFGASVSDPKKRTKDVLLAFLVTFSKDFQKYFRKLVRRHAERRAIEQYMNEFSGKISPEQKLVQLTTEHLDYRKNYYFPSYQEGWKVMRIGKVSSSMNSGAMLAIDCEMVLCHDGTEAVVRVCVVDNKLEVKLDTLVNPLKAVADYRTHITGVSKKDLEGVTCSLVDIQKSLKKILAKGKILVGHSLYRDLYALKFDYSRVIDTAYIFKYANLPTTSSASLNSLCKSVCGYSVREDGEPHNCLKDAEAAMNLVTAKLKHGFNDPIEIAENSVPEPDQMKLLAHRIPVYLPCQELLKIFSGNPSIDEKIDSRIRGEFYSTCISFNDIDEADQAFDELDGQETKDSSGRLQKSVLLKRDNGDVAGFFVRKMVYGSRISNSEVLKKRPQPTENTEQKKEDANGDKRKRQRTSKKHAKKAKAPVVE >SECCE3Rv1G0210570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944078874:944079452:1 gene:SECCE3Rv1G0210570 transcript:SECCE3Rv1G0210570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLSSSPCSTSFSGDQEGMQAYTVWMKSLVFNGNGCAVYGPDGAVAFRVDNYGCRGGREVLLMDRAGNALIRIRRKGFGMFRRWQVCRCEDEEATPWFTVRRDDKGGAAVAMHGGAGTCYRMDRCSARKTEYKVRGVDGAAVAEVARKQTAAGVVLGEDVLTLTVEPEVDHLLVLGLVVVRGLMNRSL >SECCE3Rv1G0191540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:749117905:749118986:-1 gene:SECCE3Rv1G0191540 transcript:SECCE3Rv1G0191540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRPASSCPSFRLPSSTAPAPPPSLLSRRHKAPPAPPAPHAPPSRPRVAAAAAYGGAQLLGPVDTQTFIIAASVVAAVSLSLVLGLKGDPVPCDRCAGNGGTKCVFCNDGKMKADNGVVECRVCRGAGLILCKKCSGSGYSKRL >SECCE1Rv1G0037370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:522126413:522130778:-1 gene:SECCE1Rv1G0037370 transcript:SECCE1Rv1G0037370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGRERAEDAPEATKKTKQGGFRTMPFILANDFCDRLATVGFGSNLISYLTLQLHLPLVEASNVLTNYHGTSNLTPLVGGLIADSFAGRFWTITVGSIIYQLGMVCLTLSAALPSLRPPPCAKHAVECQRASTYQIAILYLSLLCTSIGSGGTRPCNMAFGADQLELGARRRRSANGGKAPMWSFFNLYFFGIELAKLTAVTVVVYIQENVGWGWGLGVPTIAMFVAVIGFVSGYSLYVKMPPGGSPLVRLAQVVAAAFKKRKVVLPDPDLLYEDKKLDAGISTTGRLLHTNQLKFFDKAAIVTEGDVLPSGEPKLWRLSTVHRVEEIKSIVRMLPIWAAGILMVTASSHNSSFAIQQARTMDRDITRNFKIPPASMLIFTNLAMLITLAFYDRVLVRVLRRFTGRPNGITHLQRAGVGMTIAMLGNVAAAAVETRRKSVAAASGMLDAPKGATLPISVFWLVPQYAIHGMADAFMDVGRMEFLYDQAPESMRSTAAALYWLTISAGSYLGTLLVTIVHEKTQASGQWLQDNLNRGKLDNYYWLVVGLQGLNLVYYFVCVKYYTFKPLETEVEHQPGHGNGTKKGVSHK >SECCE2Rv1G0097700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:466960803:466968142:-1 gene:SECCE2Rv1G0097700 transcript:SECCE2Rv1G0097700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LEO1 homolog [Source:Projected from Arabidopsis thaliana (AT5G61150) UniProtKB/Swiss-Prot;Acc:Q9FNQ0] MAGGDRERDVEEETRNQMMQNLFGDQSEDEEEDDPIEVADEDDQGPPQQMQRHRQVLDQEEDDDEEDEGRSHGPAHDAYHSEEGEGEAENGGEGEAEGEGESEGQLGMEEESETEAHPADLDQGESDPEKVQSSPERELSDGVMQTDARGMDSEEDGYEQQAVPSRRREVVASESEGSEDNYYAGQAPEDEEAPQRKPSSPMEEERDHEVVRDVFGDSDEDEPAPYHAPDEIDEDSNPMEDELQYEKDLQPDDVMADDDIRYESDDNREPKTKEKPVGPPLNLVVPRQQPPARPDRMNVIKVSNIMGIDPRPFDPKTYVEEDVYVTDESGTKKKIRLEDNIVRWRTVKNADGTTSVESNARFVKWKDGTMQLLIGNEVLDISVHEANHDQSHLFLRSGKGVLQSQGRLLQKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWYDAKDPERVKQEKERAEGQSIRAHSILQRKREKVNRKYTQPARQRRQLSPGFLEDALDEDEETDNHYSSRRMASRGRFEDDLEAEALGERRIINAKKSNMSRGVPRKPSFPPSRPARRQEYSESEREESEYETEGEDIEHSPTGGREELDEEDEYEEDPEPMSDEGIQEPKRKRESAVGGSQRRREVDSDEDSPPRKQPATVHRRKGVVFESDDEDE >SECCE2Rv1G0067760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19936574:19938058:-1 gene:SECCE2Rv1G0067760 transcript:SECCE2Rv1G0067760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQTQDYGGDRLSALPDTALVRVLSHLSSIEVARASVLSRRWRRIFAAVPVVDLVDPRTETGRRGGDIAVCFDMLVTSVILSKGLATPIRVLRLIALDAPVGLLDQWIGIATSSGAEEVDVDLRYPYLSGRELCPFGSKKASADFDTVEHGQYTKTTQQLFRCGTLRRLRLTNWTLDLPSSFGIAASLDTLCLKRIMATNGVIQELLSGCPCLADLTLEECPGARKITVPSDRLRTFAMVCCHKAKRVVLQTPRLRSLRYKGGLPRDTWLIYADDYKDVAAVTIDICEDLTSKSPKEVAHLMKLISRCKNLTYLHLALRPSMAYYCSEFMAVLGGLPKLSQLVLKGFMAADHAILSVAILLVNAQNLEVLSLFPVAPTEKKTYSSRHYPDTDDEEEPENCSVIEEAVDYEWTDKNLRRMNIPCLGHSLRRINIDMYSGNALDRILARFLLSKAGALEKFSVTLSALVSAQKEEIAMELRSWLYNPSAIVTCE >SECCE5Rv1G0320030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:380942250:380943639:1 gene:SECCE5Rv1G0320030 transcript:SECCE5Rv1G0320030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional monothiol glutaredoxin-S16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38270) UniProtKB/Swiss-Prot;Acc:Q8H7F6] MTFPMAASTASTAAAASLRLLPVPATPTSRTLRFPPILRRAPRALLSVSALSKLSEASPVPIPQEPTQTLPDEDALPARPGVYGVFDPAGDLQFLGISRNVRASVEGHRRKVPADLCASVKVAIPDEETPDKSVLTNAWKSWMEEYIAATGKAPPGNVAGNHTWIGPPQRPADLRLTPGRHVQLTVPLEQLIDRLVKENKVVAFIKGSRSAPQCGFSQRVVGILEAHGVDFASVDILDEEHNHGLRETLKTYSNWPTFPQVFVGGELVGGCDIISSMAEKGELAALFQK >SECCE5Rv1G0362470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:786916306:786917810:-1 gene:SECCE5Rv1G0362470 transcript:SECCE5Rv1G0362470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGSSSSPLHVVIVPWLAFGHMLPYLELAERLASRGHCVSYVSTPRNLARLPPLRPAAAPRVDLVALPLPRVDGLPDGAESTNDVPDHQRELHFKAFDGLAAPFAEFMAAACADEGTRPHWIIADSFHHWAAAAAVQHKVPCAMLLPTAAVVAVAQPPPTDDGGARARTRPRYEQEESAPMYDDQGASGMSVVQRWRLTKERCVLGVIRSCMEWEPESFPLVQPLLGMPVVPLGLLPPSPDGGRRAGGASESSEHATVRWLDAQSPGSVVYVALGSEVPLPLEQVRELALGLELAGTRFLWALRKPSGAAVLDDGADMLPPDFQERTRGQGLVTTGWVPQMSILAHAAVGGFLTHCGRNSLIEGLLFGHPLVMLPIFGDQGPNARQMEAKNAGLQVARDEINGSFDRRGIASAVRAVMVDGEARRRFVAGAAKMQEVVANSERQEMYIDEFVQHLRNHGAAIATAAIS >SECCE4Rv1G0292310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:881976912:881978371:-1 gene:SECCE4Rv1G0292310 transcript:SECCE4Rv1G0292310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRGHRVSPAAVAGPLEDDNVLGEVLVRLSPEPSSLPRALVSKQWGRVAASADFRRRWRDRHGRPPVLGVFEKRMTTLLFTPGLQAPDRIPMERFSLQVCTEAAWNTWCVLGCRQGRVLIMNWTLREFLIYNPFSHDRRRVSFPPDLSLPPDVFDDGHTANGALLSDEQSPLKLVLVTCSGRAGAQARVYSSETGTWGDSVSIPDPCRLTSVPATVVGNRLYCWLKRPGDRILELNLDSQTLALITRPPRANIKSRNCRIIPGEDGAVGLALLSYPAIELWNRNVNSHGVATWVLRKTVVLDSIFDYAPSSTGAWRSLVIGYTEDANAILISVYKEMCIRVFTVQLESMQCKRLRGHFLNDLYHPFASFNEAGPSTLQILAGANNDVGAGGAQA >SECCE6Rv1G0449030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:859527517:859546137:-1 gene:SECCE6Rv1G0449030 transcript:SECCE6Rv1G0449030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRLHGTINATIVGAENIHDRSRHTGIVPGFLGNIVQGVQETTGLGKGLPRVYAAIYLGSACVARTRTIAVPSTGIAPWNEPLRAYCAHHAADVVISVMIEQLGLAKDTVLGSAYLPALELLNSDDTIDRWFDVLGANRKKLWDGPKIHVQINFRDVADQGLAWGGGVGVGGAKVPHTFFSQRTGCKVTLYQDAHASEEFDPKIQLDGGGLYKPGHCWEDLYDAISNARHLVYITGWSVFPHITLVRDGEQQETLGELLKRKANEGVHVLLLVWNDVSSIDGLLEAGLLDTRDEATAKYFRGSRVQCVLCPRNMYVRGYIFDAKRPTDSIFYSHHQKAIVVDQELPSSPDGRRQIVSFVGGLDVSYGRYDTQSHSLFRTLGTGQAHSQDFSQVNFRDEAATLDKGGPREPWHDIHAKVEGPVAWDVLHNFEQRWRMQGGDKEHLVDLVAIEGKVAPSSLPVTLPGDQEAWSVQLFRSIDNMATVGFPDSMEAAYEAGLVQDKHHVFERSIQDAYIHAIRAAKSFIYIENQYFIGSSFQWKSGVGIDPAAVQANQTIPRELSLKIVRKIEAGDCFAVYIMVPMWSEGYPTHMYRQAMLDNQRRTMSMMYNDIAAALQAKNIDADPRDYLTFFCLGNREARNPEGGEYQPLKSPEDGTDYAKAQNARRFMIYVHSKMMIVDDEYIIVGSANLNERSMAGNRDTEIAIGAYQPHRINTGTELAKGHVHGFRMSLWYEHLGKTHDDFLRPGNLECVRRVNKMADEYWNLYVSDQLTGDLPGHLLTYPIAVSKAGTTWTIPGFEVFPDTQARVLGKPTGIDYYFMST >SECCE6Rv1G0398800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:426481224:426481517:1 gene:SECCE6Rv1G0398800 transcript:SECCE6Rv1G0398800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGCAPFLVGNDGEARQRFAVPVTLLGHPAIVELLAEAWEKYGYAHEGSIVVPCGVERFQRAVDAARAQERHHHHHHFRLLQLVGCFKPPHVVAYD >SECCE7Rv1G0475780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:192768063:192772562:-1 gene:SECCE7Rv1G0475780 transcript:SECCE7Rv1G0475780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQLAAVLASLALGGALVVLFFGKWWQPLADGDKRVKELDGAVEALLQLRADVLKQLDGAPEPEQPRAWLRRVQDAQDEVASVKARHDAGQLYVVRLLQYFLTTGPVAGLAEKQLKIVRAIQEQGAALLEAALATPQAPPPLLLQPEELELPPETGSARAYLNEALRFLGDCDAALGVWGAGGVGKTTVLKRVRDVCGRVAPFFDHVLLLAASRDCTVAKLQKEVVAVLGLRDAPTEQAQSAGILSFLRDKSFLLLLDGVWERLDLERVGIPQPLGVVAGRVRKVVVASRSEAVCADMGCRKKIKMECMNEDDAWSLFEANAGEEAIGGNPQISTLARQVAAECKGLPLFLTTVGRAMSNKRTAEEWGDALDKLKKHALVKFCFDSLESDTVRECLLTCALWPEDHSISKDELVQCWIGLGLLPKYDDVDESLRFGHKVVSILESARLLEQGDNHRHNMCPSDTHVRLHDVVRDAALQLAPGKWLVRAGVGLREPPRDEALWRDAERVSLMHNAIEEAPAKAGGALSDAQPASLMLQCNRALPRRMLQAIQHFTRLTYLDLEDTGILDAFPMEICCLVSLEFLNLSRNRILSLPMELGSLSQLKYLHLRDNYYIQITVPPGLISRLAKLQVLDLFTASIVSVADDYVAPVIDDLESSGARMPSLGIWMDNTGDARRLARLAPGVCARSIHLRKLDGARTLELLSAQHAAELGGVQEGLRELAVYSSDIEEIVADAHAPRLEVVKFGFLARLRVMEWSHGAASNLREVAIGACHALTHMTWVQHLPCLETLNLSGCNGMTRLVGGAAEGGSEAEEVVTFPRLRLLALLGLPRLEDIRVGGGECAFPELRRLQTRGCSRLRRIPMRPVAGGQGRVRVEGDKHWWNGLQWASDDVKACFVPVLL >SECCE4Rv1G0249600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:584730920:584732156:-1 gene:SECCE4Rv1G0249600 transcript:SECCE4Rv1G0249600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTVSDLLSDLAVKGGDDHLDGGGDASVPSSPLAAHQVEEADPSELQRLFAEDYDNLMKSLQENDPSWPSLMLKLCRALKTSDKLLSCANVKAEQLLEKVDKLEHVLERGDRAVGSIIEVLQSMQLTEDHQTSKSNPPSK >SECCE4Rv1G0284200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838549295:838549495:-1 gene:SECCE4Rv1G0284200 transcript:SECCE4Rv1G0284200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGYNLGLKVVQLSLANLLHGFTWRLLEGMTKEELSMEEVFGLTTNRKYPLEVIADPKLPAHLYV >SECCE4Rv1G0290780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874064441:874067856:1 gene:SECCE4Rv1G0290780 transcript:SECCE4Rv1G0290780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGGASPSHHGGGGGGGSIRLQCECGFKDDYSLDDTEDGRFYCGRCYGDLDTQATAVDFQTPGNFSFHRVSQPTKTPTLRPTQPLTTPAAPPFQEEIDEPRDFVPGADPEELGAQVRRRYVEGLQVILHQQLQVLVERYRVGALVCGVAGTVWLRWVAASKVFDGMWARKVLAEAEAAQRLMKRSASGGEQKPQGMKCEWADEASPRKDKRRVEFIFLRSLRTMLPLHSTLSVCFLSCHIAREAILPTDIYRWAMEGKLPYIAAFTEVDKLLGSPLKHCPLNARQLFRPVRVIGAWQLEAAAGFIAQRIGLQLPSVNFYAIAQRYLSELSLPVERILPHACRIYEWAMPAELWLSSNPARVPTRVCVMAILIVALRVQFNINGQGVWEEICEAARNPGGCDRDTNLSPSMKPDGGTSEEFGTRELLWTLVDAYDKIDVAHDYSKDLHSYLRYCKDVVFPGIACSVEEEHLTEIFQDLYKGREDENSKAHTINGVNKRGRDGTSVGARCFSESSSSGIQSIKSEMEDHGFCYMPPRKWPRSDGYLHYRRKTMTDRLVCAGHADYYLLLRSFAKLAEVDIRVMHASVLKLERRLGWIEERIGRSLDALQNLPS >SECCE7Rv1G0504720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:726350226:726350924:-1 gene:SECCE7Rv1G0504720 transcript:SECCE7Rv1G0504720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMGGTTALPPSLLPRRSAAAADLHPCSSSVRVNPALMANPAAAAKKPKRPMSVRDGQPPAKRARGVCSGGELHHAAVAAKIDSSSLPCPSTSSGGEDPRSPTRRHPSAPKNPVAGSPEAATQPKPPVSMRELIQNARLAMARAHAQEEEAGRRRDIERSRAEARREVEQMVDTVQFNDPWIDPSDVTKSPEELLRARQGAWRYQAQLMAMARQRDMHGGVAQAMQIHRK >SECCE2Rv1G0113060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:718547751:718548281:-1 gene:SECCE2Rv1G0113060 transcript:SECCE2Rv1G0113060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTCSFLAVAMALALLSGNMAHAGRLLADTTEAASPTATPAAVPGIPAPKPPVPTMPTMPPVPTVPAVTTPQVTVPPMPAVPAATVPQFTVPTMPAVPAITVPQVTLPPMPAVPAVTVPKVMLPPMPAVVVPKVTMPPMPAIPSISIPKVALPPMPSIPTVNVPMPFLAPPPSA >SECCE1Rv1G0027920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:391036950:391038077:1 gene:SECCE1Rv1G0027920 transcript:SECCE1Rv1G0027920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPARLLHARGKTTAAQHVAARHLDHTFEKLVASSLPLVAASPLLDALRASPEPLALPDLARRLPLRLHRRGPLHFLRLFPRVFDLRPPLPLSLSLTAPAASLLAVASSPDAAARTLHRLLAMSASRSVPLRAVFRVWRELALPDDFEDSVVAQHPHLFRLSPNPAEPRTHVLHLVEDPAKEDFTPAVDKNRPEKYAFQLQFPPGFKLTKEYRKKVKEWQLLPYVGPYEAVDHKVGGSKRVSKMARRKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKWFGIDVNVRDVFLDHPGIFYLSAKGKRHTVFLREAYDRGKLVEPNDVSEARRKLVELMLLRRHGLGNANSNANMSSSANADDIESDDDLQELEF >SECCE1Rv1G0044020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:598669929:598672256:1 gene:SECCE1Rv1G0044020 transcript:SECCE1Rv1G0044020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHNQQNAAAAPQPAANRGAAGKQKGPAAGRPAAGGNRRVLGDIGNVVHAHVLDGKIQLPAGINRPITRSFGAQLLKKAQAEPSKNAVAVPPARAGLKPAAKKVPVKPAAVPRPEPAAAKIVAGSDENRKASEVSAPKTLRKKVVHTLTTVLNHRSKEASIDDIDKLDGDNELAVVDYIDDIYKYYKEAQHEWRPVDYMGSQAEVNPKMRAILIDWLVEVTHKFELMPESMYLTMYVIDRFLSLQAVPRRELQLVGMAAMLIACKYEEIWAPEVNDFISIADNSYSRQQILSMEKNILNSMAWNLTVPTPYVFLVRFAKAAGSDKELENMIFFFAEMALMEYGLVTVRPSLVAASAVYAARCTLKRSPVWTETLKHHTGFAEPQLLEPAKLLVMAHAAAPESKLKAIYKKYSCEQYGRVSLRAPAVAAPQRLA >SECCE2Rv1G0076380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:90734882:90749987:1 gene:SECCE2Rv1G0076380 transcript:SECCE2Rv1G0076380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 5 [Source:Projected from Arabidopsis thaliana (AT2G13370) UniProtKB/Swiss-Prot;Acc:F4IV99] MAFFSNSGSRADSGGYNLNEKADDEGAYESVRDRDADLNSVQLNLNEKADDAYHSEDEQYEAGQSGLNPSEIKSGQNAQRVGGPSGPWGTNFLKDCRSTEGEEQSNSDRGMDDGSAASSHDDMDGSAEDDELNRGHGDVPAEEMLSDDYYEQDREEQSDSLNRRGMSHPSCSTSGVASKSVPSRQKKKSTKGIAYDDDDDAYNDENDNDDDDDDDADEDDPDDVDFEPDSETDKAAVKEKLVDSENSGEEEEDDDELELSDDDDFIDSRRQSKRLKVGGTKTSQRKKLPMQAPRKRGVSFTDEEYSSGKDSDAPNSPDFSHRSKKPVRLHLKTVGHNDVFSNVNSHNESRTSGRRRTQRNISYAESDSDDSEEKSTKQQKLLKEDQDEEDGETIERVIWYQPKGVAEDALRNGQSTQPTVMSMPSDFDQQWDDVEFYIKWKGQSYLHCQWKTLSELRSVSGFKKVLNYMKRVSEEQRYKRSLSREEVEVHDVGKEMELDLIKQYSQVERVFADRATKVDGDVVVPEYLVKWQGLPYAESTWEKDTDIEFAQEAIDEYRAREVATAILGKTVDFQRKKSKASLRRLDNQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPDMNVVIYVGNRASREMCQQHEFFTNKKGGRHVKFHTLITTYEVILKDKAVLSKIKWNYLMVDEAHRLKNSEASLYIALLEFSTKNKLLITGTPLQNSVEELWALLHFLDPVKFNSKDTFVERYKNLSSFNETELANLHMELRPHILRRVIKDVEKSLPPKIERILRIEMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNVVVELKKCCNHPFLFESADHGYGGDSVGDRNKVERIVMSSGKLVLLDKLLLRLRETNHRVLIFSQMVRMLDILSEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQDTVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKETKKGGSMFDKNELSAILRFGAEELFKEEKTDEETKRKLESMDIDEILERAEKVETKAAEGEEGNELLSAFKVANFSSGEDDASFWSRLIQPDPADMGQETLAPRAARNKKSYVEDHQLDKNSSRKRRAVDAPEKPRRRSSRTVDTIVSLPFIDGAVAQVRNWSFGNMPKKDASRFVRAVKKFGNPSQIGLIVDDVGGAIAKAPCEAQIELYESLIDGCQEAVKENTDIKGTVLDFFGVPVKAYELLARVEELQCLAKRIARYKDPVRQYRIQSPYKKPQWSASCGWIETDDARLLLGIHWYGYGNWEKIRLDPKLGLTTKIAPATLGERETFLPRAPNLDNRASALLQKEYANLSGKSSKAKGGASQKVNNENGGARSVKGRQKDAKPQEDIKSNKDDSKKRKMVEEAEAREEGEISESEEQIKYRLEKEEKWLEWCSEALDDEQDILKRLDRLQNTSLILPKEKVLSRIRRYLQIIGEKIGKVAAQHVESYKQSRMTTRLWNYVATFSNMSGERLCDLYSKICQDQMEAGVGPSHGGNFAPAPPNRGSSNQPHPSRNQRSTRSFQHTPEPLNNGENTGNSEAWKRRRRADQDNQYDTQPMYQPPPFMANGNRSQESSSSAGILGWGPVEMRRYGNERSKRGVHPSRFPAGHGPLL >SECCE6Rv1G0386760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:101107379:101108032:1 gene:SECCE6Rv1G0386760 transcript:SECCE6Rv1G0386760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKCAGAIVGCELCGAVAAVHCAADSAFLCVPCDAKVHGANFLASRHLRRRLVHAAAGEDAGSAGEDSESSSSSSCLSTADSCAAASASTRAAGRRRSGCKHRRARAEAVLEGWAKRMGLAPGTARRRAARAAGALRALGRGVSASRVPLRVAMAAALWSVIAGSGCAEAALLRRLEASSHVPARLVVTVASWMARTAVRAAAPAPAEEGWAECS >SECCE6Rv1G0431110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745647618:745648526:-1 gene:SECCE6Rv1G0431110 transcript:SECCE6Rv1G0431110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVREVLYMYSVVRQAYERLVSVCGSPEQAHNVAALLVWLDQGTISAIHHVPAMAPDAVAVVAEEANAVLKCLRHQLPVLPPIPLISALCMQGGVLIEPGFFAIHQDLVVHGVAHFLDSAAKFVFDDRLHILLRKSETGLVGNPSELMAPYTPQLVAVPEDCRSMFITFSKGMPPHRKEIFEYFREKWGDCVVRVLMEKTKGGHMPMYGRIIFKTEAIVKLVLNGERLVKIFIGHRQIWLRKYVPRPTKATA >SECCE2Rv1G0079300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:117585234:117586523:1 gene:SECCE2Rv1G0079300 transcript:SECCE2Rv1G0079300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVATTLPLRLVVAAVLAFLAMTASASVPAPAKSNGSSSSSCRRLFSFGDSLIDTGNFIHYSKAPGSVSRSPYGETFFGRPTGRWSDGRLIVDFIVERLGYPYWPAYLQAKSPATKGDFRYGANFAVASGTALNQLLFRKKRLNVDQITPYSLGIQIGWFKKVLAAIASTDEQRREIMASSLFLVGEIGANDYNHPFFQNRTLGFVRPLVPRVIRSIALSVEALIKLGAKNIYVPGIFPLGCVPRYLYFYRGGEPGDYDSAGCLRWLNGLTADHNRMLKGKLGELGRAHPGVSITYVDYYNEVLSLITRPAVNGFAAGTVLHACCGGGGPYNANLTLHCSDPGVVPCPDPSKYVSWDGLHMTEAVYKIMARGMLDGPFANPSIMSKCSHS >SECCE5Rv1G0328670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509385913:509386517:1 gene:SECCE5Rv1G0328670 transcript:SECCE5Rv1G0328670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMMAMSSFAGAAVLPRSSAGRFGARSLPALGQRALVVRAQTEGPSAPPPNKPKASTSIWDALAFSGPAPERINGRLAMVGFVTALAVEAGHGDGLLSQLGSGTGQAWFAYTVAVLSMASLVPLLQGESAEGRAGTIMNANAELWNGRFAMLGLVALAATEIITGAPFINV >SECCE5Rv1G0311100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:186937934:186939536:1 gene:SECCE5Rv1G0311100 transcript:SECCE5Rv1G0311100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHRRDSEAPLLAASKPDGAASSSPPRNRFPFFCAVLASMTSVLMGYNVAVMSGAQIFMAEDLGVSDTQIELLSGAINIYSLVGALLAGWTSDRLGRRLTIVLTNGFFLVGPLIMTLAGGYTALMVGRFISGIGVGYALVIAPVYAAEIAPASSRGLLSSLPEIFINTGVMLSYVSNFAFSALPAHLSWRLMFAAGVVPTVFLAAGVLTMPESPRWLAMKGRLDEAKAVLDRTSDTLAEAEQRLLEIEEVVDAGGSNGDGGTWNEVATKAGVRRVLATVLALQFFQQASGIDSVVLYGPRVLAMAGVTSNTLLLGLNVLFGVAKTGSILVAMALADRVGRRPLLLVSTGGMTASLLVLGSVFAAFAGAKDDAAVAAVAVAAVVAFVCTFSVGFGPMAWVYSSEILPLRLRGQGAGLGTAMNRVMSGIVTMTFISLYGAITMAGAFYLYAAVAAASFVFIYTCLPETRGRNLEDMEQLFRTK >SECCE2Rv1G0069440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:29122783:29124029:-1 gene:SECCE2Rv1G0069440 transcript:SECCE2Rv1G0069440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFQITPRAAIVESNELNFRGLYLFHTPLGSNQNQSAIIDSNATTGLGATVVNNWPICDGPSPGATVVARAQGLHIYAGNWQNTFSITFEAEKFKGSTLQVMGISVEEGEWAIVGGTGQFAMANGVIYKKFHEQRSDGNIIELTVHGFCPVLKSQSLPTKVGPWGGNGGSDKDIVETPRRLESITVSSGTIIDSIKFSFVDQTGQKRTAGPWGGSGGNQNTFVLGTSEFVKEVSGTFGLYGRDNHNIITSLKFITNVKTYGPFGEAKGTPFTIPVQKNSIIVGFFGRSGIYLDALGVYVHPL >SECCE7Rv1G0473130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:154063745:154070744:1 gene:SECCE7Rv1G0473130 transcript:SECCE7Rv1G0473130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALYLPVNPNPAPNPTSSLRQPRPSTSGELHFRPRPVPRRARARTHLPSAFGRRPPAAAAAERGGKDYYATLNVRRDATLKEVKSAYRTLARKYHPDMNKSPGAEEKFKEISAAYEVLSDKEKRSLYDRFGETGLRADYGGGDFSAHGVDPYELFNAFFGSSNKFFGDSMGPGRFHYRSNVNDNRGLDIRYDLLVPFEESILGGKREISISRYETCGACHGSGAKSSNSITECTQCRGQGRSMKSQRTPFGIVSQISSCLNCGGSGKIITEHCTSCYGSGQVQVERSIKVDIPGGIEDGSAIRLTGGGSVDKQRGVSGDLYIFVRVEEKQGIHREGLNLCSDVTIDYTDAILGTTVKVETIEGLKDLYIPPGTQPGEKLKFAQLGAPDIKRPNHRGDHNFVIKVKIPRNISDQARSLVEDLAALKGTQGISVPSDETIDRGNLRDGSHHSSSRKKTSFWGSVKNLFRGNEGDQRFASISAQPVIPRWTYQHGVHRAAPLLGGCFMIAALIFVMSRRGKFRFCLRLCSVEGDLKEVWQGLRWI >SECCE3Rv1G0186520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:686211369:686214968:1 gene:SECCE3Rv1G0186520 transcript:SECCE3Rv1G0186520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSRYQILVRLLDGRTHCLRFSTPTVSGAALLDAVSALSRVPAASLRLVTGRLDISPSSVLASFPDGQFPSASALLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWRAEAADRQLEKLAEDFLKKKAKESGRGGARAADVDKYLEKYRKDAESCVNAVEESVRASLGKRKAVPKPRDAKKLKIWMGKKKVADDESDSDSDSDVDDSEGADAKPIALDHENCSNESNKSGEEKVDMASVSGSHSEGESSGDKSQSSDSEKNGNALQESMEVKIRSGCDFESGGSLECEGGMAVQLDPENTSENGTSENGKSALSEQVLKSDAPENTSENGASENGKSALSEEVLKSDAPENTSENGTSENGKSALSEEVLKSDDKTDVDNTGSATSSLLNDPVVPPVEESADVNNKSLLSEEPVDLATFSSAAELEALGMEKLKLELQTHGLKCGGTLKERAARLFLLKTTPLDKLPKKLLAKPNSGGK >SECCE5Rv1G0320640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:390667913:390668872:-1 gene:SECCE5Rv1G0320640 transcript:SECCE5Rv1G0320640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLFYCSETYDDSIENEKSLQNARIIKEFWNDYYAKLLDIDKTKKTSNVDMYQDDVRKLLINEKKNQNGVFSDTELIDLQNQIANCTMKFDEDNLFKVTPNIVKFLINKDQPNAKQYLKGCLPSSLPMLKMFGIYTLEAIMIHALGLVFNTLQESSAVKAARFIDQLNSTVREQARFLQYKAPGSGKVEAVLTSKVESVKDVVQPKGASKKEKKKKIQCHYDIGKYLLQFMIERNVIHISTDRGVTKEDPVLVLKKGQGYIENSCYVMCNLNINLLPIKLNLPMLCKPLDWQPAERGSDPDTLSDLIGGYLCKPTGDI >SECCE4Rv1G0218170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:20153164:20158842:-1 gene:SECCE4Rv1G0218170 transcript:SECCE4Rv1G0218170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMASRQEGNLNAVLKEKEAVDLEHIPVDQVFENLRCSHVGLTSKQAQQRLQIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEEAAIMTIALANGGGKPPDWQDFIGIIMLLLINSAISFIEENNAGNAAAALMTRLAQKAKVLRDGRWTEEEAAALVPGDIISIKLGDIIPADARLLHGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGAHTFFGKAAYLVDSTNQVGHFQQVLADIENFCICSIFVGVIIELIVMYLIQQRAYRPGINNLLVLLIGGIPIAMPTVLSVTMANGSHRLSQQGAITKRMTAIVDMAGMDVLCSAKTGTLTLNKLSVDKNLIEVFEKGVTKDQVILMAARASRIGNQDAIDTAIVGMLGDPKEARAGIQEAHFLPFNPTDKRTALTYIDGDGKMYRVSKGAPEQILNLTHNKSELAQKVHAVIDKFAECGFQSLGVAYQDVPDGRKESPGSPWHFVALLPLFDPPRHDSADTIQRALNLGVNVKMITGDQVAIGKETGRRLGMGTNMYPSSSLLGQNKDESIADLIEKADGFAGVFPEHKYEIVKRLQARKHICGMIGDGVNDAPALKKADISIAVADATDAARDASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNEGTIMTISKDRVKPSPLPDGWNLAEIFTTGMVLGGYLAMTTVIFFWVAYKTNFFPRIFHVKSLEKTAQDDFQMLASAVFLQVSTISQALIFVTRSRRWSFVERPGFLLLFAFLVTQLMATLIAVYTDWAFTSIRGIGWGWAGIVWLYNIVFYFPLDIIKFLIRYALSGKLPDAKFFPEKAGYNELNEMTGEAEG >SECCE4Rv1G0230970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:174540189:174546467:1 gene:SECCE4Rv1G0230970 transcript:SECCE4Rv1G0230970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHATMSGAKMNQLPPPASRLWEAGIRKLNTTMAIRRGSSVFPATSPALDGDPAVALSVASSNTIYGYDVVENDDDTGGGAIEDNEEDTDEEEEDDEAAGPESHSEQLLPSGDFYQGSVRGDLPHGSGKFLWTDGSMYEGSWRQGRASGRGKFSWPSGATYEGDLAGGYMHGQGTYIGEFGDTFAGHWANNFRHGRGTQAYANGDVYDGHWRDGRQDGHGRYIWRYGHEYIGTWRAGEMHGCGTVIWADGDRYDGAWEDAKPKGQGTFRWADGGMYIGVWCQQESGETNAMGGVFYPPSGGPAVPMPPREPREAITKLLEELAVTEGKAASLLPSEKIVTWPGVEAVLKKPVWRPPEPEQIQGRRSSAHRRSSVSSIDMDLAAEGEEAQAQAQAQAQAQAQSSLSSEEAQARAASVERAWLRATSCMRAPPKPAKKQGETISKGHKNYELMLNLQLGIRHAVGRHSAPNSLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDDALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRNFEHTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSHYGIHRRFDLKGSSQGRMTDKPLDQIDEHTTLKDLDLNFIFRLGGSWFQEFCRQVDKDCELLEQERIMDYSLLVGIHFKDRFNGNADNGGAEDSEQNKKAKLGIAMQSRVENVVRNLESESPLIGDPTGEFREVVLFFGIIDILQDYDISKKLEHAYKSQLYDPNSISAVDPKQYCKRFRDFIYRAFTEDVQ >SECCE7Rv1G0483210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:318564949:318566694:-1 gene:SECCE7Rv1G0483210 transcript:SECCE7Rv1G0483210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSSRMLDGKTRSFSITRKAFPESKYALPVPISRLTHRHLPLLLSYATVSGLLLKVPLLHSVLRSLSSGPVPSLSLSFLSLLRRPGYMPIDNYSLSAALSTAARMPSVVVGAQLHALSVKLGLSSDTFVLNTLISMYSSCSYPSTARSVLDSAPKGAVDTVSWNTIIAGYIHAGLPNKALQAFSQMAKTQVMLDDVTLLNALVACARTCMMKVGKLCHALLVINGFEINCYMGSSLISMYAKCGLVEDARRVFDGLPERNAVCWTSMISGYTQLGQSKEAVKLFRDMQIAGVKVDDATIATVVSSCGQMGALDLGRYVHAYCDIHGLGKDISVKNSLIDMYSKCGDIKKAYDIFCGMVKRDDFSWTVMIMGFAANGLSGEALDLFAQMEEEGEVMPNEITFLGVLTSCSHGGLVEQGYHHFHRMSSIYGIAPRIEHYGCMVDLLGRAKLLAEAEQFIKEMPIAPDVVMWRSLLFACRACGEVGLAEYVAERIQVLEPNRCGGDVLLSTVYATTSRWVDANKLRTGIYSSRKTKQPGCSFVEVDGCVHEFFAGDESHFETEAIYNTLLGINELLVAESFLM >SECCEUnv1G0529130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6512604:6515619:-1 gene:SECCEUnv1G0529130 transcript:SECCEUnv1G0529130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLLYIVVVDESGSSSSFRYTRSLLHSTLQLMGCKPRHAFEISRRVFDVVRGDPAEMEMLVAMSGSGGQGKGGKGGVRRYELPDAATSPRQFQFELYKRRTTVLIPRDLFLHLVCQALALYKYLAPNQRNHLTLACRIRERKESVTVLLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVDDKENPLLWASTYHAGECLDPVAVSEAKARRKAKKRSGVSSNSGVDYEGGAGDKVEGKPIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYISNEGKHTERFAVRAKYMTLDPTKNKYVKYINNIRTIQEYLCSRADKYLVPKVNNTNVDRSVASIHAAVFSCLRRRATGEQLYNPDTNTASAVNEEYKNQCVANSMSSKGMFKLIQRLGSSRKLMAIVDVDGSVSKAWPVESGDGDGKSGSQGGGDQKSLGNPIYGPLNIGRAESVNLQFGSFGISAWPTDTGCTSQAGSVDGSWINGNEGSSSSHVASSSGGSPKKADGHHKEIKESAAASGSDDDDEEEEADVPPNSGSDEDLSEEDHDENHDEMEGSVDEDCNRSDEEYDDLAMRDSMENGYLSDDGIMVHTGLSKCLSNRFPDGNRSTPRKHLESMRSLSKVDTTHVPDTARSSAAATPGGKRSTARKWRRSLSDKIHSRPRSCPSLAEAAAKPKGLAVPMVPES >SECCE2Rv1G0125940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844245204:844247028:1 gene:SECCE2Rv1G0125940 transcript:SECCE2Rv1G0125940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEGRKTACVTGGSGYIASALVKTLLEKGYAVKTTVRDPYDMEKNSHLKDLQALGPLEIIRARLEEEGSFDEAVSGCDYAFLVAAPMDFGSADPERDVIDAAVQGTLNVMRSCVRAGTVKRVILTSSYASVCMRPLQGDGHVLDESSWSDVEYLRADKPITWAYSVSKVLLEKAASEFAEENCISLVTVLPVFVVGTSPVSKPASSVPITLSLLTGDEALLDILIGLQSTTDGMPISHIDDLCHAELFVAENESSSGRYICCSHNTTVLQLARLMSEKYPQYNMKLERFVGSPEKPRVCVSSEKLTGEGFVFKYDDLGEIVDDLVEYGRITRILPY >SECCE7Rv1G0499540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:654642580:654646188:-1 gene:SECCE7Rv1G0499540 transcript:SECCE7Rv1G0499540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQALGTLSSSLPLALVLCSLVYASSLDAIAPQNDSNTDLHALRCLKLHLTTSAGLLASWKNESLQFCSWSGVTCSKRHASRVTALDLESLGLDGQIPPCIGNLTFLTRIHIPNNQLTGQIPPELGQLNRLRYLNLSTNNITDTIPSTLSSCVHLQTIDLGSNSLDGVIPPSLSQCSDMQQLSLGHNKLGGGIPEGLGMLRNLAVLRLATNSLTGSIPHSLGSSSSLHSVVLTNNSLTGPIPPLLANSSSLQLLALSNNHLSGEIPPALFNSTSLQKLLLGTNSFAGSIPALLNIDSPLQYLILQSNNLSGTIPSFIGNFSSLRWLLLGDNNFQGSIPMSIDEIRNLEILDITYNFLTGSVPASLYNMSALTYLGMATNSLVGELPHNIGYTLPSIQTLIMQGNQFQGQIPTSLANTTNLQVINLRNNAFCGSIPSFGTLPSLVDLNLGKNQLEAGDWSFLSSLTNCTQLVNLRLDANILQGVLPSAVAGLSKSIEVLLLRSNKISGTIPHEIEHLTGLKLLYMERNLLTGNLPESLGNLPNLFVLSLSQNNFSGQIPLSVGNLSQLSELYLQENYLSGPIPGALGDCEKLHVLNLSCNSFDGSIPKELFTLSTLSEGLDLSHNQLSGEIPMEIGSLINLGPLNISNNQLSGQIPSTLGQCVQLESLQMEGNLLHGRIPQTFVNLRGITVMDLSQNNLTGEIPEFLKLFKSMKLLNLSFNNLEGSVPADGIFQNGSNVFIQGNKKLCVSTPLLQLPLCNAETSKQRHTSNILKIVGFTALFLVLVSCFGVIIWKKRKKVTQAAHPSFEELQKFTYADLLKATNGFSLANFVGSGKYGSVYKGRIESDVHEVAIKVFKLDQLGATKSFLDECEALRNTRHRNLVRVITVCSTSDPTGNEFKALVLEYKVNGDLESWLYPTCHEHHLRRPLSLYSRIAIAVDIAAALDYLHNNCIPPMVHCDLKPSNVLLNDVLGACVGDFGLSKFLRNYSYSSIGGSTSLVGPRGSVGYIAPEYGLGSKISTEGDVYSFGVIVLEMLTGKRPTDEMFKDGLSLYKFVEKSFPEKIGEILDPRIAPYYGHQDEEAGGTLDQENHHQMAGIMSCIIELVKIGLLCAAEIPKDRPAMQDVYIEVTTIKEAFSALQISHVAGS >SECCE1Rv1G0051710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:656530119:656530322:-1 gene:SECCE1Rv1G0051710 transcript:SECCE1Rv1G0051710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKMLRSAAIAAAVAVSTLAGVAYAVDPPAAAPGPATSGAAALSSSSMVAAVLCPAVALLFANLRH >SECCE3Rv1G0209270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:937414633:937416946:-1 gene:SECCE3Rv1G0209270 transcript:SECCE3Rv1G0209270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVLVAIAVLAAAAALLVAAEAQQAAAQQGHKTERISGSAGDVLDDDPVGRLKVFIYDLPGKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTTNPEEADWFYTPVYPTCDLTPSGLPLPFKSPRMMRSAIELIATKWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKEGSITIPPFAPPQKMQNHLIPGETPRSIFVYFRGLFYDTGNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVPEEDVPRLDSILTSIPTEDILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGESVFLKPGQARLNWTAGPVGDLKPW >SECCE4Rv1G0255220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:644466474:644468114:-1 gene:SECCE4Rv1G0255220 transcript:SECCE4Rv1G0255220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLSGAGVAAVAFSNNGLRLSQTSALRVCSSRRSSRSLVVKAATVVTPKYTSLKPLGDRVLVKLSAAEEKTIGGILLPSSAQSKPQGGEIVAVGGGRTIGDKKVEVSIPTGSQVVYSKYAGTEVEYNNSKHLIMKEDDIIGILESDDVKDMKPLNDRILIKVAEASDKTEAGLILTETTKEKPSIGTVVAVGPGSLDEEGNRQPLSVTPGSTVLYSKYAGGEFKGADGTNYIVLRVSDVMAELS >SECCE2Rv1G0102210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:574826519:574828174:-1 gene:SECCE2Rv1G0102210 transcript:SECCE2Rv1G0102210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALARPRNASDDRINELPEVLLSDILSRLGTAEAARTVVLSTRLRDAWLATPLRLDDLELPVPARGKVPSIEPWTARADVVTRALASHPGPVALFRLSRTSFRGRVSAAEAWFRQLAAKRAREVSLCFSPEWCHDALADPLLGCPTLQVLALGKCHLSDAGASAAAASALTELTLSETCISEAALQSVLSGCPALRSLVLKHVHGLQRIRVRSCRRLVLLGVWHYKQLDEITVEDAPCLERLLGNMRLNAAITITGAPKLTALGYAVASIPYLFHGERAPQGVSKGLRAPIHSVKILAINVKFSSKKDMEKVMNLLECFPCLETLHFQSSDSDYRSGADEDYTTVSDYNEKRYPIRCVARYLKSVRLECKHNNPGMLEFACFLLARAHVLQFMRIQSKMCDVPKWVTDQQNLLSQSNMASLEAEVLFEGMKRREGFTIEGVNALSDPFDGDISILGH >SECCE4Rv1G0263780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718120797:718124062:1 gene:SECCE4Rv1G0263780 transcript:SECCE4Rv1G0263780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLCSPRHHHRSYLCRHRLRPAPPSHARPRSGAGCPPGLNTTKYLCSHSMSTGRGSPVSCSSMEASKSKQGPEHLIVLVHGIMASPNDWTYGEAVLKKRLGDDFFIYASSSNIYAKSFDGINVAGRRLAKEVLDVVQKMAGLKKISFIAHSLGGLFARYAISILYSHQAKETGSGASVMLTSGGSEISHTSGLGAIAGLEPTNFITLATPHLGVRGKNQLPFLQGLSILEKLAPPLAPFIVGRTGAQLFLTDGEPSKPPLLLLMTSDHEDKKFISALAAFKNRILYANVSYDHMVGWKTSSIRRELDLRKPLRRSLDGYKYIVNVEYCSPVSSDGPHFPSRAARAKEAAQSRPNMENTNEYHQMMEEEMIRGLQRVGWKKVDVDFHASMWPYSAHNNMHVKNEWLHNAGAGVIAHVADSMRQTCLPSSL >SECCE4Rv1G0296110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:901535856:901545272:1 gene:SECCE4Rv1G0296110 transcript:SECCE4Rv1G0296110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRELLDENGWKEVQFINSYAVCMGYLSMAIKGLGYLVLTWTTVVLLGGFVSVLHKKDFWSLAFITLVQTAGIFDVALNEKLGYLWNSVVGLFMGVLWGVVGDSNSTTFTYLALIARYILGWILAVFQVVLWAAILCTLAIIYVFGIFTSGVVSLWRLIEHDYSDSDVGSPNLLLAMKTLYYLAVIQGALFCYKFAIGQCGENLVKAVATHISHDSKLQVIFQYLTKTRRRCEKDPSFIQGMNLITHAIEMISSSSPLDCIFGVKILHTAICIVEKDLKEVNEKKRWSQNRDIAIGQRMLMKHLVVGASSSTHILNKLLETLNSRGVHDRETRNQAAMIVEHLAFNIKLVDFPQGIQHISSMIETFQQYSIAEPYQQDYLYDEYEHHWDLRARCLPSSTAGNGNEARSDLGHAYKELLLQGLCILRKLATDENNCRVMDDTRDLVIKIMAPVTSDLLDHSTDDHGPWSDIVEASMKVMLQLISARGEVGAKVRRQISGYKGAVKTLWAILKCHKCNDRLRLSAIWILTRLYIDNENLELRDYNSKKEINRYDRKHFVRMLLDFFITKKYYIHIREGAGETLSVLCLHGGTADLTIVIQTNGDVVDSLIEVLVDDRNRACKQRAAEILELVCIYTKDGEYLRNLKKAIMEVMPKVLPEILCCGDEAHSRKEDYQGGSSKKNIDIENQCGNTQGNDEQDKEEIKFQVVMESVRSATLLSSLLSLYRIVFQTVISDFPHAFDAFSFLNKLNEMVVKEGNHPTAENLLVVKIIAKIVISMMKKSDDMVVKRDELKSLIQVLSNAREKMLDLDNSMVFKYANRFETTSSKLNRCTLTSLVKKAKKLHAELPSTSVTESG >SECCE3Rv1G0168540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:223033515:223034630:1 gene:SECCE3Rv1G0168540 transcript:SECCE3Rv1G0168540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKRSEERGCRTVRRLRPAKEPKQHLYLLLDDWERGYSIRRLDVEAFDSDTNTDLPPKSWNFISHASKIFAMKAKEASPAIPAFDTHTMSLTICPWPSCRADYLFLFLEDRTEYLASPPPYDSNAPWSWSTINSPLPFYNVQIACYALHPDGRTLFVSAGSRRKNLPSTFSFDAERLEWTHHGDWLLPFAGQAYFDAELEAWVGLARDKESSGYLCSCDVPPVAAKLTSPPSWKLGQNKMFSKESELYRGAKLVYMGDSKFCLVESLFHKDDQYLRRDPTEMDLCDGCPPRRRRVLHMITFGLKYNKAGNLQIMLRQAHACMMFKRPHDFTEPSLEPLAFWI >SECCE4Rv1G0241430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:451472043:451472276:1 gene:SECCE4Rv1G0241430 transcript:SECCE4Rv1G0241430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLQAHREIEDATTHGRLRDDLVEHHLQLDGRRIGP >SECCE4Rv1G0259010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:681863027:681864676:1 gene:SECCE4Rv1G0259010 transcript:SECCE4Rv1G0259010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTPSPVVVLSDTESGGDAVCDAAPSRPAAELIASTLSTQAAVDALCKKHGVPGEFARRPAGDLRACSAPPPGAVCVYAHALEAGARFPLHEFFGKALTHFRLAPGQLTPNGWLVLVGFVVLCHEAGVRPSTALFRHFFSLSTWKNGDGWYFFRCRGRGAGTTLFTGLSCSKYESDWKARFFFLTSPGPWPCPVNWGDSPPKSSVADLVLTSQQKESAGKLLEAHGVPLDLRIYLRDANLAAVFSPNPAGTSPLPPQPSPRSTVAKVENKAAPAAGTEQVKSEALGDTLPLSGKKRKREEATAKDGHGCAAPVFDPRSPHSPVPDTHDGDTADWKGARKVLECIVTPSREDKLAASKPSDIVASSYVAMLQAVNYVSFSSGYALELEEKLVARERDNMALWEQLDKEKAARQAAEAELKKAKAELASAKRATEAELERARTTAVQQFMASEEHTRRLAEHALAGYERGAEEMKGVVLRHYPLLDAAKLVLPLD >SECCE2Rv1G0142880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943090839:943092637:1 gene:SECCE2Rv1G0142880 transcript:SECCE2Rv1G0142880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSWVVDVDYPEPQASVETWVVDMEKKLEDAEPPAKVLRWAKHCIFRVPMRFKMKTVDGIASSVFKPQTVSLGPFHHDDKELKPMEEHKLRAVRHLLRRDHAGRASKLTLGGLVAAVEKVADELEDAYMDLGDEWRGEKRGKFLEMMITDGCFLLEVMRTAAGKESIPKDYAHGDPVFSWYGIQHIKPFIQRDMLMVENQLPLRLLETIVAVEEGTSPSAASINSMVLKFLEREDAPEGTDLGLHPLDIYRTSRLKGKSQIKSNKKVLHRGTPTTPTAKELSVVRPERVVPRSAWKLSEAGIRFLPSKTSCLDDIELNNGRLYMPKVEMDDSTAYRIHNMMAFEAMHVGTGNDVTAYVLFVKDLINSADDVRLLERKGILEHDLADDDDAVVRLFNSLTRDVSKNWKSQLCQVRQDVDHHYRNNHLRVFLYEAWSNLRSKYFRSPWTLLALATAILLVVGDIVQAVYAVISYDPNEKGKPKMN >SECCE3Rv1G0160130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:102826247:102828705:1 gene:SECCE3Rv1G0160130 transcript:SECCE3Rv1G0160130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTASRLSPPRLHAAPHHPLRRSRFSPLRAAKLEAVLSIGTHVIPHPRKVETGGEDAFFVGGDGGGVFAIADGVSGWAEKNVNPALFSRELMANSSTFIKDEEVSQDPQILLMKAHAATSSIGSATVIVAMLEKTGTLKIASVGDCGLKVIRKGQVMFSTCPQEHYFDCPYQLSSEAIGQTSQDALVCTVNLIEGDMIVSGSDGFFDNIFDQEILAVINESPGTDEAAKALAELARKHSVDVTFDSPYSMEARSRGFDVPWWKKLLGAKLVGGKMDDITVIVAQVKTVVIPDDEGSGVEPEKVGGEQLAAAGAASTEQNE >SECCE5Rv1G0317910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:355073022:355078049:1 gene:SECCE5Rv1G0317910 transcript:SECCE5Rv1G0317910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PP2A regulatory subunit TAP46 [Source:Projected from Arabidopsis thaliana (AT5G53000) UniProtKB/Swiss-Prot;Acc:Q8LDQ4] MAEVEDVSNRMEAQLRVHAAEDDADLPLPALFDSASHLHALASSSSLDQEGIRKGVDLLRRCDEMVSKLALFSSNETKEDVSTANLKYLLVPYYLGEMTEQVEQEDRIPVLKASQDHLKEFISVCEALELIPEDELQLYRQGQSETATNRRAQKVARFKRQKAAETKLQEIKERKERRGRSLRATALSAPIEAGEEDDLEGDGEEEREAWLATISLALCKAFDLVDMLKKEEEMLLAVKERKEKDGNAFAREMLDERRQKAEAWHQNAASRAPYSKPAAPITCATFAQDVIEGRASVSQAHDHKHQPLIFGPASLVGGGLTSERERMAAQVFQPSFRMPTMSIEQAGLAEMKMMEQWQERTAKMIQEASSSWHKDGASLAEDDEDAEEEKARAWDDWKDENPRGAGNKKLTPCG >SECCE4Rv1G0268060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:739149952:739154530:1 gene:SECCE4Rv1G0268060 transcript:SECCE4Rv1G0268060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFPVQGLGCEAAVGSLMPNGSREFKLCSKHTEGKRPLYAIGFNFVDARYYDVFATAGGNRVTTYRGLPDGNLAVLQAYVDAEDSQSFYTLSWASDLDGTPLLVAAGSNAVIRVINCATGKLFKSIVGHGGSINEIRAQPLKPSLLISASKDESVRLWNVHTGVCILIFAGGGGHRHDVMSVDFHPSDVHRIASCGMDNTVKIWSMKEFGPHVQKSFTWTDLPSKFPTKVIQFPLMTCVVHSNYVDCTRWLGDFILSKSIDNEIVMWEPKTKEQGHSEDSIDVLQKYPVPDCDIWFMKFACDFHFNELAIGNREGKIYVWDVQTCPPELITMLSSPQCKMPIRQTAVSFDGSTILACGEDGSIYRWDEVEHQAAKN >SECCE4Rv1G0268170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:740329915:740330334:-1 gene:SECCE4Rv1G0268170 transcript:SECCE4Rv1G0268170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSQHLLSAVAIFSVLAAAATATSIYTCYEGMGLPVDLLQGCRHYVGSQTCGSVRLPIEVMKDRCCRELAAISWNCRCEGLRIFIDRAFPPSQSQGGGSPLAPRCTTEVQRDFARTLALPGQCNLPTIHGGSYCVFP >SECCE2Rv1G0138340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921196599:921197476:1 gene:SECCE2Rv1G0138340 transcript:SECCE2Rv1G0138340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPQELNSPRGSTAKPRNPDEILRDFHAAHPSDAFSTSFGGGAALACVAAQPRSLAAGGYQRMFCGLDDIYCVFMGTLDNLSALMRQYGLTGRSTNEAMLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDNRGRSGSGAAVFAAQSTDGGVPLHWGVAADGSVVICDDRGVAKAGCGRSYAPFPPGCMFHSEGGLKSFEHPMNRLKALPRVDSEGVMCGANFKVDAFTKINSMPRVGSATNWAATWDEAAI >SECCE4Rv1G0279800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:816999050:817001864:-1 gene:SECCE4Rv1G0279800 transcript:SECCE4Rv1G0279800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLAVLLLLLMPLSATATAQLFQPRHPCRHPPTNASSSHQQFAAATAGQAPDAVHALALCRGDFANNTACADCVAASFEHAQQTCPNNKAATVYYDYDDVNNQRPGCVLGFSGEGDFLRPAVGLTENGTIFEAWNPGNISADATITAEDVHNLLTVTAQDAAADAARRYSTAVMDALPTLYSLAQCTPDLSNGDCLTCLQQIVGMVNATTYVCQGGRIFVLRCNIRFETFMFFDQPMRRISPSSITPAPPAGKRSKPWIIAICVAFAVALGGFCFMVYCRCLIGRIRKRSLRLRENRTNKLHGGDHDLVWDMETGILSGFSFFQFDQIQEATGNFSEENKLGEGGFGPVYKGHFLQGMEIAVKRLASHSGQGLVEFKNEVQLIAKIQHRNLVRLLGCCSQGEEKILVYEYMPNKNEHRKALIDWNIRLAIIEGIAEGLLYLHKHSRLRVIHRDLKPSNILLDNEMNPKISDFGLEKIFSSNNNEENSTRRVVGTYGYMAPEYASKGLFSIKSDVFSFGVLVLEIHSGKRNSGSNQYGDFINLLGYAWQLWEDRRWIDIVDASFDSFIPKTNPTEMMRCINIALLCVHENAVDRPNMLDVTAMLSSKTMVLHEPKHPPYFNLRVGNEEDSSATKSCSINGVTLSIATAR >SECCE3Rv1G0176820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:450417757:450437754:1 gene:SECCE3Rv1G0176820 transcript:SECCE3Rv1G0176820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGATEVIDLSSDDDEESLPFPSTSAVTITPSSPRDVKPYELTDVKPLLYPIQPPGCYALVPVKDEDPMPLPLAAEAPRVLPPPRLCRQFWKSGEYVVARRNPDADAPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEVNNGATFVRVNKFTNPRDGDSPSLLVQDDGGGMDPDALRCCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQKNWTPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDLTTASYTQLLRHNQKLFSSNLAILSKWSPFASEAELLKQFDDIGEHGTKIIVFNLWFNDDGDMELDFNSDKKDILITGAQKKVKTNKHEKLVTQDYIANRLRYSLRAYASILYLRVPDSFRIILRGQDVEPHNVVNDLMYRECVLYKPQIAGLPELSIVTTIGFVKGAPDTDVQGFNVYHKNRLITPFWKVASNSYGKGRGVVGILDASFIKPTHDKQDFEKSVLYQRLENRLKEMTYEYWGLHCHRLGYDNKSLPKASRALYRAKQTGAGSSSASVLHQLLTADVPTSSCAQSSMGQKRNFDALGVISDINNQTKHRDVIQRKRFHEYKTLTLENDKLRDECLQYEESEKQLVEKEQRLRYQIAKETKNYEELLEELKLLDVKLET >SECCE1Rv1G0022940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:290787542:290788489:1 gene:SECCE1Rv1G0022940 transcript:SECCE1Rv1G0022940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III chitinase RCB4 (EC 3.2.1.14) [Source: Projected from Oryza sativa (Os10g0416100)] MGSTKLIAMAATLLPALLALHLHAPAMATAAGNSNSNLFRDYIGALYNGVRFADVPVDPRARFDFILAFVIDYTTETEPPSPTNGRFNVFWQDTVLTPDAVAEVKRRNPNVRVAVSLGGATVNGRPVYFNVTSGVDSWVRNAVDSLTGIIRRYGLDGIDIDYEQFQVDPATFAECAGRLVAALKSSGVIRFASIAPYGNADVQRYYRTLWAAHGTAIDYVNFQFYAYGASTTTAQYVSLFDEQIANYPGANILASFTTAATNTSVPVDTALSACRTLQGQSKLYGIFVWAADHSRNQGFKYETESQALLTDATTY >SECCE7Rv1G0519900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866223173:866224746:-1 gene:SECCE7Rv1G0519900 transcript:SECCE7Rv1G0519900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMTVEEVRKAQRAEGPATVLAIGTATPANCVYQADYPDYYFKITKSEHMADLKEKFKRMCDKSQIRKRYMHLTEEILQDNPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPHESHLDSLVGQALFGDGAAAVIIGADPDESIERPLFQLVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEDAFKPLGIDDWNSVFWIAHPGGPAILDMVEAKVNLNKERMRATRHVLSEYGNMSSACVLFIMDEMRKRSAEDGHTTTGEGMDWGVLFGFGPGLTVETVVLHSVPVTDGFGR >SECCE1Rv1G0032190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:452132009:452132687:1 gene:SECCE1Rv1G0032190 transcript:SECCE1Rv1G0032190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGSTESSPAARQRSPRHAAASRGHGTRYAEAYGFVGSIAASATALAYIAWAYAPEPWLRHIGATYYPNKRWAVAVPAFVAVALAQGVVLYVASNFLLAPPPACFNTISDEHAREPASSSLRTGEDQAIEPITDIGIDRMNHLMFGEHSSFTSKRLNPS >SECCE5Rv1G0358610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756324219:756325277:1 gene:SECCE5Rv1G0358610 transcript:SECCE5Rv1G0358610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERCNISAVIVTEETSCVLKVDGYSRAKALLNNGECATSVPFSVGGHNWALRYYPSGGDEDCADSISLYLLLQSADAKDVKAKYTLSLLDENGEPVPSYSRTSSAIRTFSREVTNWGYREFIKKADLEASEHLRDDCLTIRCDVTVIHDEEIRVPPSDLQQHLGDLLKNKDAADLTFQIGAQSFSAHRCVLAARSSVLKAELLGAMKESSAASPIEICDMEADVFKALLHFIYTDSVHPVLDVVMAGHLLVAADRYNIVRLKQICEEKLCSHIDSNIVATNLALAEQHGFCRLKEVCLQFLASPSNLEAMIASDGYEHLKSSCPSVLKELIARILPAELKAAKDIIMAMWK >SECCE4Rv1G0261590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:702933535:702937004:-1 gene:SECCE4Rv1G0261590 transcript:SECCE4Rv1G0261590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDERAAEAQREKDAGNDAYRKQYLETAVDHYTRGAALDPRDISFLTNRAAAFLLMSKYRECVRDCEEAVERGRELRADNKLLARALSRKGSALLKLAACAADYAPAVRALQQSLAEHYSEDTRDKLDQAETARKEIEERERLDQEAADHHRQKGNELFQRKNYQEATAHYSEAIEKNPNDPRVFSNRAQCHIYLGNLPKGLEDAEKCIELDPTFLKGYVRKANVQFLMEYYESALATYIEGLKCDPNNLVVIDGLRRCAAYINRSNGGDVGPDDLENILGNVSSDNDLRNKLQKLMEEAATLKKEASDERLRRIESERMARTSEELYLNQVQQRREAEECLSKIEQELQQLKVRQDEVSEEFQKATEHNENLQHQLTETIRALESMRGNATSASPSSSGSVLDETRIPSYFICPISQDVMNDPHIAADGFTYERDFIRSWFSTGSDTSPMTNLALEHDELIPNIALRSAIQEWLQQQNVAL >SECCE4Rv1G0269500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749199315:749201456:1 gene:SECCE4Rv1G0269500 transcript:SECCE4Rv1G0269500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIPHLVHPTILRKPKMSVMANSHLVHSTILHKLSLVLLICLLHGYNCGAMSRKAEKAALLSLERYWGLQTTINWSSIIDRGHCKWDGVTCTQEGFVRGISLRFYNLAKPIPSEICSFKNITNIDLSSNNIPGSFPVVLYSCLSLEHLDLSYNSFVGGLPLNIHLLSKTITHLNLESNSLSGSIPSSICQLSFLEVLKLSSNPFALHRIDPQFGNLINLKVLSMSNMSIVGEIPDTISKLTRLRILDLSSNTLYGTIPGGIWRMQNMKTLDLHSNSLSGTIPENVTRLQLTSIFLDFSSNQLSGKIPSPFEMRKFEESFLSNPGLCSSNHFGNFPPCTRLPLKMFVIILLVFGSTILICTGLIGITKIKAFFSKEKDDAPSPQWKLTAFQAIDYDIQDIVSNLIDANLVGSGGSGKVYKICLDNTNREVIAVKQIWCSNGVQEHDVLEKQFQAEIEILGSIRHANIVKLLGYVSSSESKLLIYEYMENGSLYEWLHQKDEPTTSTSRQLLNWPTRMSIAIDAARGLCYMHHGCSPAIAHRDVKSSNILLDPEFKAKIADFGLARPLLKAGEPESVSAVVGSFGYIAPEFGSSRKMNEKVDVYSFGVVLLELVTGRRANGGGGYENLAQWAWRKFHQDEDIHLTNVIDADIRDPAYSREVQLVFKLGLICTGANPSSRPSMKQVLQVLQR >SECCE2Rv1G0109370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:674937118:674938956:1 gene:SECCE2Rv1G0109370 transcript:SECCE2Rv1G0109370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSRSSARLLLPLLCLLGFCFAASHQKSDSCDGELQVARLVPFDTDAFRCITLWKDEDFILRYKNTGTSQWSFVLSAPEKRSYVAVGFSGKGGMVGSSAMVGWSSGGKGAAKQYYLQGRSPEAVTPDDGRLTLVRNRTVAVSKSGRLYLAFELSTDRPQPYLIYSVGYEGSLPSSDDYTIQMHRDMGSRSFKFASASPSSAGGESGEEGFPAKRWHGLLSMMGWGVLLPMGMMVARYFRRQDPYWFYGHIAVQGLGFLIGIAAVVLGFRLNGDGLKNIVVHKVIGISILSMACLQVTAVLARPDKTSKVRRFWNWYHHNIGRVAILLAMANVFLGLTIAKEVSAYIVSYGVFVAVWIMAVAAFEFKRYYEDDD >SECCE2Rv1G0133360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:896136735:896138261:-1 gene:SECCE2Rv1G0133360 transcript:SECCE2Rv1G0133360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTALPIQSVETCYRQEKRARIAGSAVDPNWRDWADLIAGPAGLIAERVLADDVADYLRFRVVCRAWRRCTASPHTYDSLDRRFHPRRWIMLAQTVGAVRKRRDFLNVSTGERIRVDLPELRYRCVFGATSDGGLIVLCDKRTYVVRLLNPLTRQMTTLPAAITQQYNGSSQRRTSKYTLCGNRALVAGLADDSTVFLHMDPCCLFTAKPGDKQWMRLHTGHIITTLPFAGRFYCLTDTALMVADTTASADPQLALAAELGAMGTVRFDRTVKLVDNDGELVLVRRIPRDNYNTFRKCYEAYRVDLDAGIITTRPMQQGIGGRALFACEGPWGLGHALLLPTRLSPHVRADIIYSCNRDVDGPSRVEAYRLLYGDARLCSAIKAYHLPSGYGIGGENGDGSAIETCRLLNGCIRVEVGDALSCNIIESVSHYVCARKIIAHELRRSERVKLRLQLRHMRALDQSDMGGQSQ >SECCE5Rv1G0307810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:121792056:121795144:-1 gene:SECCE5Rv1G0307810 transcript:SECCE5Rv1G0307810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAACSLPHRCLLPLHLVLLAFMLAAAPAAEAWTGEIRGRVVCDVCADSAIGPEDHALEGAEVAVLCITKSGEVINYQAFTNSEGIYSVAETMPESDRWDSCLARPISSFHHHCTRRGDAHSGVKFTYNKQSGNSHNVKAFLYKPANAPLYCS >SECCE4Rv1G0222490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:59001841:59006221:-1 gene:SECCE4Rv1G0222490 transcript:SECCE4Rv1G0222490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSHSRTRSSVLREPLRQGGLRALLAPWDPPPVHPHDRAAEHARTTPSTEFSSPGRHRPPPLDWTRLGSVTISSGVGMPLTQYGSTNHTLTSHRRSYWTLCPQHPSLGPPARIATKEAQHSVNDPFEVQRRTFGSAAEPIQRNPDFSVLNSDDVSYFKSILGDNGVVQDKDRVAVANVDWIGKYKGASQLLLLPKSTNEVSKILAYCDLRRLAVVPQGGNTGLIGGSVPVHDEVIVNLGGMDKITAFDKVNGILTCEAGCVLENLSTFVENEGFIMPLDLGAKGSCQIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLANGTVLDMLTTLRKDNTGYDLKHLFVGSEGSLGIVTKVSILTPAKLPSTNVAFLSCNDYMSCQKLLLSARRSLGEIISAFEFMDRQCIDLAMTHLEGVHNPLCSN >SECCE1Rv1G0034610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:485627740:485628640:1 gene:SECCE1Rv1G0034610 transcript:SECCE1Rv1G0034610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRLIGRATDIHIRPLNGEKVVQAATDLLGELFIFSVACGAIIFEVHRSGKSEARKEEAHNKALEEIKEKIEELEREKQMMKLRVAEVERVTGVGGGWPWVLPRALISGAAQAEPEPEPAAQQPMAA >SECCE5Rv1G0327350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:491575680:491577885:1 gene:SECCE5Rv1G0327350 transcript:SECCE5Rv1G0327350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSWNPFSCCVRGAAVDDDDHCESRRGNKASPRSPLKNLGSSGTLSPEELSLTLSGSNLHAFTYAELRAATASFSRANYLGCGGFGPVYKGAVDDKLRPGLAAQAVAVKYLDLECGTQGHQEWLAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEFMSAGSLEKHLFKSSSGSLPWMTRMKIAVGAAKGLAFLHGADPPVIYRDFKASNILLDSDYNTKLSDFGLAKDGPQGDATHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGRQSVDRARRPREQNLVDWARPYLKRSDKLYQVMDPTLECQYSCKGAEEAALVAYKCLSQNPKSRPSMREVVKALEPILGMNDFFPAGPFVFTIVVEEDKVMDMKVEVEEKHQHHHQNHQDRHRRKYPESAIHGGIMLHGDNRHVAGFTGTLRRQQRTLSYHRERGA >SECCE1Rv1G0038200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:536400856:536402432:1 gene:SECCE1Rv1G0038200 transcript:SECCE1Rv1G0038200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSSNRQVTFAKRRAGLVKKAREIGVLCDAEVGVVIFSSAGKLYDFWTPKTTLPRILEKYQTNSGKILWDEKHKSISAEIDRVKKENDNMQIELRHMKGEDVNSLQPKELIAIEEALTNGQTNLRDKMMEHWKMHRRTEKMLEEEHKLLALRMHQQDDLSSGMREMELGYHQGRDFTSQMPFTFRLQSSHPNLQEDK >SECCE3Rv1G0183290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:648189835:648190338:-1 gene:SECCE3Rv1G0183290 transcript:SECCE3Rv1G0183290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAGPAAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE2Rv1G0119460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:791274415:791280264:1 gene:SECCE2Rv1G0119460 transcript:SECCE2Rv1G0119460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVSEHESEISRLQNEINQLQGQLRKAGVQLEENPTIEIPRKDLVEIDPINNERREKVKEAMLHAWNSYVKYAWGMDELQPQSKNGVNSFGGLGATLVDSLDTLYIMGLRDEFQKARDWVAESLSFDKDYDASVFETTIRVVGGLLSAYDMSGDEVFLEKAKDIADRLLPAWDTTSGIPYNSINLAHGRAHNFGWTNGDSILADSGTEQLEFIALSQRTGDPKYQLKAENVIRQLQKIYPSDGLLPIYINPQSGQASYSTITFGAMGDSFYEYLLKVWIQGNKTESVKHYRQMWETSMEGLISLTRQTTPSNYTYICEKSGGSLSHKMDELACFAPGMLALGASGYGPEKAKQIMNLAEELARTCYNFYQTTPTKLAGENYYFHAGQDMNVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIASGYVGLRDVNSGEKDDKMQTFFLAETLKYLYLLFSPPSVVSFDEWVFNTEAHPLRIVPTHGSNGQSIETATPVVRPFGRKQGKQG >SECCEUnv1G0564950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:388564304:388565427:-1 gene:SECCEUnv1G0564950 transcript:SECCEUnv1G0564950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASLSMGVVAAAAVLVVFCATLPATAQGKKGVPPPKLPSNFKTIHPGRFGKRYRTVTCDDERYKNTCIPICDKIRCPNECIVVCPSCKTYCMCDFYPGVSCGDPRFTGADGNNFYFHGKKDQSFCVVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFAGHRLYMGAQKTVEWDSDVDHLELAFDGMPVDIPTEMDAEWQSAIVPTLTVTRTSATNGVRVQLQGVFDILANVVPITEKDSRIHNYGATEEDSLAHFDIGFKFHALTDDVHGVLGQTYRTDYVNKLSVSANMPIMGGAASYVSSDIFSTDCKVARFGRGHNRISMVTTKAN >SECCE3Rv1G0152060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:43958469:43958816:-1 gene:SECCE3Rv1G0152060 transcript:SECCE3Rv1G0152060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAPTKLVLVALLATLLLVASDAAISCGQVNSALGPCISYARGSGASPSAACCSGVKRLAGSVRTSDDKKAACLCIKRAAGGLNPGKAADIPTKCRVSVPYKISSNVNCNNLH >SECCE7Rv1G0458900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23471442:23474141:-1 gene:SECCE7Rv1G0458900 transcript:SECCE7Rv1G0458900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVAKKATQPEEEDRLSVLMDDVLLSILRKVDISTAVRTSSLSARWRPLPWLLPELSIDVRHFLPVPRPDSIAANDCQRAMVALTKAAKCLLAKPQRKSTITTLQLQLYLMSTFLSDIGPLLGDAIDSGLLKDLDLCLLDDLKPGDSSELHMLQLAKDMHGFFNAYPSVFRCLTRLALHGVCFIELDMHHLLFDCCTQLKHLSLHYCDAGRRSVWKIDAPNSKLSVLEINTCCFERIELVCLPELEKLHWDNWVSEYIPLSFGDVPCLGELKLSSALTFYNTVFKLSELLHGTTSIHTLTLDFQGENLWMQPEMKQLCTAFNKLRKLTLRGIFVEFDILWITAFLEAAPSMEMLLTEVWDHACSAFVNDEERMSVFAERKNPQWEMDFRCSKSWLLKEVQFVGFRSLEQQFAFIRALLDRAPNLQTILLKGAEQCKYCDALETKSCCSTESSFPKGEDEQELVARRITDGKSSPRVIFHE >SECCEUnv1G0529800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9013416:9013850:1 gene:SECCEUnv1G0529800 transcript:SECCEUnv1G0529800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKAMAPVALGLIAAVLLVGTAGTSLLECCAGPQRMELQEHEVWVRYLLVRVAPVAAGIFFWAGMADDDLRATAWELRVLCVVGLNLSFASSLLALAALTTELSCDCVGHWLATIVALAAAHLVAVWAARGRLRVLKLRRAI >SECCE5Rv1G0369930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:838524377:838525792:-1 gene:SECCE5Rv1G0369930 transcript:SECCE5Rv1G0369930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPGPARLAALLLLALFAGPAASRFAPADNHLIACGATAPAVLPDGRRFVPDSGCALMSLRSAAPALPSAAPDAPAQPSPLHAAARVFSCHASYDLAVRRRGHHVLRLHFYPFSPALASARFHVGAGGFLLLHNFTASSPVVKEFLLPVDADVLVLTFVPEAGSAAFVNAIELFSAPEELVGDIGTVVAAGGVNRTDGLSSQVFETLYRINVAGRKVTPFNDTLWRTWVNDEGFLVNKDSSSSKAWSFGGRIAYPKDSRLMTREVAPDNVYNSARSVRSDGNLTWDFPVPARNRYLVRMHFCDIVSKALYQLYFSIYVNGHLAVKDFDISSTTGYLAYPYYIDYVVDVEDEGMLKLAIGGSKMSRPGEASGFLNGLEIMRMNKTGGGMDGDFPGALDMDYLFSKGIGEFARSLLCGLVFAGLFLALVMLVLRLRTELKNNGTLWFGQSMDSGEGKLAKAYQLVPTKTDY >SECCE4Rv1G0280550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:822384535:822385884:1 gene:SECCE4Rv1G0280550 transcript:SECCE4Rv1G0280550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYPFHLLLFLGVFLLAVGDKSSSQPTSGDQATLLAIKKEWGNPRQLVSWDPVANADHCNWTGVVCSGGRSGVVTGISLPKLNLTGKVPESLCDLPSLSRLDLSYNNLTGGFPGASLYECSQLRFLDLSNNAFHGVLPGDISRLSPAMEHLNLSTNYFGGTVPAAVAGLPALRSLLLDTNQFTGAYPAAEISKLARLEQLTLALNPFVPAPAPPEFAKLTNLSYLWMSEMNMTGQIPKAYSSLANLELIAVNNNHLTGEIPAWVWQHPKLEYVYLYNNGLTGELPRKIKSANLIELDVSSNKLMGELPEDFSSLKNLSLLFLYNNQLTGTIPATTAMLPNLRGIRLYGNQLSGELPAKLWLLPKLNNLNVENNSFTGALPTKIPNNIQWLDVGNNKFSGSLPTSATGLLVFRAANNLLSGELPADVSKFAKLTRLVLSGNQLTGAIP >SECCE6Rv1G0391980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:242678865:242684183:-1 gene:SECCE6Rv1G0391980 transcript:SECCE6Rv1G0391980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQVEGLQKAYAAIMLNMAKESAARVLAAERSVAVLTGGIAAVKEDGVAALVRLQNIMKDRMKQVELQSLAHVRKISELQEQLHDARTTVASLQVELQRANTELEETRKTLAEERMNILPTCDKIGSNKSKISHSKVHLQNRSLSSKNENTIRRVSCDAKENGAVENMENLYHRGSDMPSFIIARNKKRELYRNGCTQRIRALKQRGPAADTSKQNSKEASAPNNRSKTGKSDSARSTRHARSTIEQILQTKFLVNCKRKRGQRSRPGYKHGSSGVHVETEDKSSGTSDENGCLLLLQALEQDLSPPNLFSGHSDEGLTDLKDDLLMGGKVADFNSCMATPGPTDAHAMSNMRATRRKRSKMVRVFEAGCSESKSVPGNNLLRSNNEDTIFESGQSSERIDDHSDTPTINNASVLSDATKNLIYFSGANNDQFQSGDSSPLVFQLTKSQVDGEGELQAKHPDCRTPETNSAKKKEVKVDESCNLTSDRADHLIISSLEKEQNAKASSGVSMQPEGVRCIKYTFNRRKRKNVSLNSSSLVSLADKQESQAKPETQNHLVESPKGDNQLAHVAQQLILLSELKW >SECCE1Rv1G0001600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:5321141:5324709:-1 gene:SECCE1Rv1G0001600 transcript:SECCE1Rv1G0001600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPDGREKEADGPVSSLAEEGLGMKKIKEVLERTEPVVSKISKLLQQHRSRRVSSRDSFSLFFLGFLELELSDISELLKQLLLSDLAPDYIDYSMSRWLDMLSEQIDYIEHKLDDHNEERAIMYCFRSRLPLIPGEFKNYTFWQKVSYEMESMCRYKHLLLGSDGDRTSGTTSVMQPHPYMEMDTSSIPLVGIERHLENKMLRRFMDDQEECRTLKIISMVGPVGVGKTAIAMEVYRRLQCRGGEEGKLLCCAAAKVSRRPDMKQLLLHIFSQIDKPAALALASTDELELLAQKISEFLQDRRYLIVIDDIWEISHWEIMKNAFPQNNRGGRIVVTTRITSIAHKCCSYSIGLVHEVKSLSELDSEMLLLRKAFGSEADYPSENLRQVCGEILRRCEGIPLFINGMADWLREQQQGVQQISNYSMEEVPQLLNVFERALSPTYDDLSYEMKVLVLHMSMFPEGYIFEPALSPTYDDLRCRWGLDFSYWDANVFVELFPDLFDRNVITRVANWKRTSELTEEWHYKLNYFMLQFLASKSSDKGIVCVSGSLAGCKHNEKLRRISLHRPDPDLATFLKTRDFPRTRSLAISSAVDGVPFEKFIHLIVLDLEGWQCFKDEDLLLICNSGMFFLKYFSMRNTSVSRLPPEIKKLIMLHALVASHTQITELPSEVCELDYLQSLDLRSTQIRQLPEHIWKVPTLKNLLVGGDGVNCGPTITKVSKGSWYRAGIRRLETVDLSECSVSIIQSLCCLEHLYMLCIRWSFHQCSDARLQKALCSCIEGWHYLLYLTIHCEMGCPMDFLACLPGPKDYIVEFKVSGGRFLTIPRWFPGKTNLASIEITVCTLEQEDLGILASLPSLKCLVLGLHFVPEKNIMIDGEVFEKLERLSVCCRVPWLSFREGAMPILENLELKIGGGPGIKERIPSGLGNLASLQEVVLHYNAWYINDSNVKVIVDAVREGVAQHHNHIDLIINGIEEEYVQEAGDGVAVISRIQEHNENHQETKEVAASATGGSKSKIEIADTPKLYDQSTASQGSRWYPEDGH >SECCE7Rv1G0461310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:37241842:37243053:1 gene:SECCE7Rv1G0461310 transcript:SECCE7Rv1G0461310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELNEEIYMQQPDGFVIDGQEGKVCRLIKSLYGLKQAPKQWHDKFNTTLTSVGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLKVIEEVKSFLSQNFEMKDLGVADVILNIKLLRDNEGGITLLQSHYVEKMKATTGYMFTLGGGAVSWKSCKQTILTRSTMEAELTALDTSGVEAGWLRDLLMDLPLVDKPVPAILMNCDNQTVITKVKSSKDNMKSNKHIKMRLKAVRKLRNSGVIALEYVHTAKNLADPFTKGLSRVVIDSASREMGMRPT >SECCE7Rv1G0492930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:559908453:559936921:1 gene:SECCE7Rv1G0492930 transcript:SECCE7Rv1G0492930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGVVSQVLAGLLGRYVKNIQKEQLKIGIWNEEINLENVELILEAFDYLQLPFALKTGRIGKLSIKIPWKKLGWDPIIIVIEDVFVCAYPREDSEWGSESLDKRELAGKLAKLNAIELAKFSRRVTDNQTGQSLLSYMSAKILDNIQVSIRNVHIIYVESHNDQGSFIFGLEFNSLSIQTDTQKQSFAMSLMARSRQDEVNKKINISNVGIYCQQLEEQQNLYDVGALTDAQSNFSLGLAHPRHDYLINPFCVTVSVLANNPGKRDGVPQYDMTAELTALVLSIDEIQLQQILNLCDHFTICALRTKYGRYRPPESFLSKRYKGWQIMWWQYAKDSIMADVKRRLKKTSWRFLGQRLEQRRKYVNLYRTKLELLQKGQLVSKDILQRLETLDKECDIDDIVSYRTIAEQQLQDLSVKSTKDNFSSPGSPRTDEQSAGAGRGWLNWLSLGMLGAGGTADTSSFAGVVSEDIIKDIYEGTEFHPVSSAENHLTKENYYSLRLSIPQILTTVTSRRFGMKLIDGMFTGLGTEYKIWDDSATILAWLDSLEITNPLNKNKVLLAEKCSTGDGLGAPVISIQVDFPKSNENSEASTRVVVQEFNAIYEPELFFNLLHIYDLFSSFQFQHDRVLSSLNRFDNFGTRLLSKQEYMSLNQKKLLWDLRIHHFVIKLPSYDCGRELTMVFEAEDVSMRSKDTVDDDSQTQDSNYFLDYMSKKTSTCFSDSLLPGVQLDDLYNHFEISLTGFEVKVLMASRHGITSTLVKLDASIVLGLCIFLDEPMLKQLEVASIVRSANIYFSRTMYSAVVNLCTYLKESNLVGSNTSVDTKTSGPNKPALNMSASLKLDKLSLRVDLEDNGKECSLITVGVGAIDIRYAVWELSELWVITKMVEITSTDLKNKSNLHVLCSAGNYKTSTCFPESSAAEACLKLHYRTHKYNDQIHHVYQLNLNDVDLHVHPSVFGQIKKFLSNLDAVSPGGSAAVSSTMDQSSMKPKAANAKFPKLSLSDICGAESTSFGGVSVDHFPFLHADIISNFGCLETRDVQALDITSSKSKQCHETSGLNGYSARELASNVQCKTEHSSCSSNSPNNTNNVSTTVLDLSLVSVRTHFHESCGILATLTVPESTATFSLADATSWDLLLSAKDIMLASPWTSPSVSEVLWGTYSHGNSNVLNVRVKRDLSALLTEVCIATQNVCCVLPTKLLAMFIGYFLLDDWDPMVEEDHSIASDNLECSGELHDSITYKIEICDCVILFPVENQELFCIKLGVPYFFCEFIPTGISAEFVKRIPKEFFSLECTLSSRADVISLCSRNASISLVFLNEQTKFIPKLDENMPARIHPLVEKLDAGIWIQVPCKELSCSEQPLLATFIMSKISQCNLIAEDLYFMDGMETVFRITDELTSIVKESKMYEGNACQFLEHRRSNEESVESNEPINITISIKDLVVLFGHSKDKDLPLEKVATANLEFDVSAVMVGEKPEHVDMDIVSLALHSSGGHTLVSIISDGPSSPVLIKFTKHHAGRDEILITVPFFETWLYLVDWDIIINHFRSYIRKEDNSLHVGHPAASPHFSGSAMSSFLESESQDNSYLVVTCENIAVVVHVPIWEKEQNENSNYPRVDGNPGSYFIQDADDTQSIEPRGCKFITLTFESKHFVVMLGESWVKFKCDLDRVKVILEMIQVSKGTSVPFMHISKIKAGGYILQSETDMLHLSVDLQAEYMDVSFSHQIFSFWRSIELRFPKSSSSASSFCSVTFKAGLRKGSLLLNDGRWSSHGPVIEMLVKNLSLQFSQMGVETEVSAFVDFLINYNNIDKVMWEPFIEPSSFQLNILRKCADHALDVSPSTEVSLNSSKQLNVNISEPLIEAIFRLSEMITDSLNPSSGGDLREDRGIVRLSRDDVHTRRYAPYILSNDTSLPFSFKVYRGAVNSDDIDSFSVVDENSVPAGYSVPIYVEETLDEFFFQHREARSSEHLIEKWMSAVSHYMISIEFDGTSGSSKPMSIDLVGIYFFEVNFSSSKKPILGEESSEAFGSGRKGGHHDGLIVPVVLDVSLQNYSKLVRVYSTVILFNATSMPLELRFDIPFGVSSKVIGPILPNKEIPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSRESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYTVPSSSSAQKGQYCTDHLNAQPILGNTAPRASKQVLTRTHFIRQVRLSTPLLIKNYLPVCVSLTIDNGGVAHQVSLKEVGTASIYFVDPSNDLGITFHIQDYRPVAIKFPRVESFSNGATSNGFKFSLTETIAFYSNVSKCPFNVMLEKATDAHSGARELCLSVPFLLYNCTDLLLTVTEISSERSGSTLLIPCSFELDGHTRHVLGKNGLSLVSEDPSIQRFASKMPQLDFIDGHSSHSNRRVANNSEHVQKECNKEAKAYMFAPAGHTPATELSVKLNASVPNSGTETTRRDWSSPFLLVPASGSTSLTIPQSSTSSAFLIAVTSVPVSTELFGRTKAIAFQPRYVICNACSNDMFYKQKGTRFSKHLSSGQHSFLHWADTARELLVSIRFDGPGWQWSGSFFPDRLGDAQVKMRNSASGASNMVRVEVQNADIDIHSKKIAGRNNSTTGTILILLSDDKTGFVPYRIDNFSLEKLRIYQQRCESIETIVYPYTSCQYAWDEPCYPHRLIVEVPGERSLGTYSLDVLSDDVHVALPSTPEKAERKFGISVHAEGAIKVLSIIDSNCHNMDTKGAGVLGSREPKDADHKQEIELNFTEVIKIHLPFMGISLISSSPQELLFASAKDMTFVAMQSVDQQRITVEIPSMQIDNQFSDSPYPVMLSFEGSHKGKSINFLKSKDTKLKSLNESKSSNTPEPVLRFTAVKWRTRDASFLSYQRINISVAPFCLELEERLVLSMIDFFRSVSSRVHFGLLEKSVDSSILCGATDILGEYAKISKKLSDKPQSSYTVDTDQDNGLLPSVIPIGAPWQQIHLLARKQKKVYIELFELTPVKLTFSFTSTPWLNRNEGVSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIMVENLMASWQSIQDILVRHYSRQLLHELYKVFGSAGVIGNPMGFARNVGFGLKDFMSASRKGKLQSPVELLNGIAQGSRNLIGSTVYAVSSATSHFSKTAYKGLVAFTYDEQAASKLDERDRQLGLHGEGVLNGFLEGLTGLLQSPIRGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFSRPVARDRPLFPYSWEEAVGVSSLVQADGGRLKDETFVVCKTLREPGKFLVLTEKLLLLVSSRYLVDLGSPQFAGVPPDPQWAIETEMNLKSIVHLDRAREVVNIVGSNGETSPRDKRGRSRDIALSSAFIPLFHFSVELPNIEDAEGTLQFLIALIEKGKARRWDKNILHRSNIN >SECCE6Rv1G0436830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:779392044:779393483:1 gene:SECCE6Rv1G0436830 transcript:SECCE6Rv1G0436830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFKKAMVGSTSVVSSDRLSSLPPEIKGDILSRLNVEEAVRTSTLSSTWRDAWTNMPKIFLREGSLARSKFVTLVDMVLSLHKGTVEKFDISGSKTYHEEFGRWMLLLSRRSPRSVRIELNSGPGYRIPSCLFSICDLKLLHLQNCVISLPRVFEGFKRLTYLCLGNFSSTDMDIQNLVSFCPVLSHLRLAYFEGINHLNIQAPKLKHLYVVGDFEDINLDAPILELAFLFPDHKAEAYHSDPIALNKESYVKQLLGSLSDIESLAICGFLLRYLSNGRILMNLPAVFTRLENIYLPICFGSQRQIMAACSLFRDAPNLKNLVIWSVSWSTWDLDEDQASIPELTVQMQLDHLVTASVKGFRGLDSELGFVAKLLSWAPALEEVKIKWKGKTECSMVLAKLLALPRVSPRAKVIVTFG >SECCE4Rv1G0255120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:643848878:643851376:1 gene:SECCE4Rv1G0255120 transcript:SECCE4Rv1G0255120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRIAGVSMSSSSFKSFTTVLPQAAEPASASPSYLAHHLLDEFSRPRASRDGDRLRRLAAHLTAPAVESVIARLPSWRHALDFFRWADEQPGFRHSCYSFNAMASLLRRRQPAHLDRLAADALAAGCPMTPGALGFLLRCLGDAGLPDTAALVFDSARTRLSCTPNSYTFNCLLEALAKAGRADDAEARLQEMLATCGDGSVDKYTLTSLLQCYCNAGRPDDANDVFQRMSQHGWVDEHVLTMLAVAFSKWGKVDGAVELVGRMEVLGMRPSEKTLSVLVHGFAKQRRIDKAIEMFDKMSAYGYVANLPMYGVLIEGLCRQKQIGKAVKIFEVMKSSEVVPDVRLLKNMIEAFIHEGDFAIAGPFINENAGKLKPSDVTALYNVVLQGLVNHGQVEAASHLLTSRVRGVQGISDGLTVGAHAFDTVQDVKPNSDSFNIVVCGLCKVKKLDDALALVKDMVGFGSKGKLLMFNDLIYELCNVDRWDEGYKLFNQMKDLGVIPSEFTYNSLFYGICRRKDPNGALDLLREMCTNGHKPWIKNCTEMVQQLCFSGRITEALGFLEEMLKMGFLPDIVTYSAAMNGLCKTGEVDNALGLFRDISAKFYLPDVVAHNILINGFRVSGKLNEAQEIMEEMLEKGLFPSVVTYNLMIDVWCKSGNIEKAVDCLNKMVDEEKSPTVVTYTSLIDGLCSAGRPDEAIVLWHKMSDKSCAPNKIAYTAFVNGLCKCGRVETALTYYEEMMTKGFVLDTFSCLYFINALVSNGNTTKGCELLKEVLQRDMTQGDDLKMVGLINKAVEELSKDGRTSPEIGILVEKGLISRAQTMGKKDGNN >SECCE7Rv1G0465750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:72963754:72965259:1 gene:SECCE7Rv1G0465750 transcript:SECCE7Rv1G0465750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLIRSAHKGGGGRPRRPDGLMKAPDKRTSTQASAAFQSLRLVFVLATVMWASFLYYHFTVLSAGAMRATVMDGASADPCRGRYVYVHDLPPRFNADILRECQNTSDHYRWPDMCGFVSNAGLGRALADPLDGDFTGENGWYDTHQFALDSIFHNRMRQYECLTTHSALANAVFVPFYAGFDFVRYHWGYDNATRDAASVALTEWLMRRPEWARLGGRDHFLVAGRTGFDFRRSNNMNPSWGTDLLNMPGGREMSVLVLEVSRVPHSREYAVPYPTYFHPRSDAQVRRWQERVRGLERRSLIAFVGAPRPDNPYNIRQQIIAQCEASDVCQQLGCALGTSQCHSPGNIMRLFQRATFCLQPSGDSYTRRSAFDSMVAGCIPVFFHPVSAYLQYWWHLPEHHEKYSVFIPEDDVRSRNVSIEAVLRAIPPETVERMRDEVIKMIPRLVYADPRSKLETVKDAFDVAVEGIIARVDWARVEAAAADSSFSHAMEMFGFRRK >SECCE5Rv1G0316080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:305088646:305091351:-1 gene:SECCE5Rv1G0316080 transcript:SECCE5Rv1G0316080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRGFELRFLGHRRGKSHSAVHELHDPAPVIQSSCWANLPPELLHDVIERLEASEATWPSRKHVVACAAVCRTWREMCQEIVKNPEFCGKITFPVSLKQPGPRDGTIQCFIKRDKSTQTYYLYLCLTSAVVAENGKFLLLAKRHSRPTCTEYTIFMNTDNTSRSSNMYIGKLRSNLLGTKFVIYDTQPPCNIPNVSQSGRTSRRFYSRKVSPKASSSTYSIAQVSYELNVLGTRGPRRMNCVMNSIPASSLESGGTVLCQPDSAVAHSLDESFGSISFSKSSIRDRSIRFSSTRFSGISIGGSRNGGQALGDNDECKEWPLILRNKAPRWHDQLQCWCLNFKGRVTVASVKNFQLVAATESTSEAPTPSQPAPPPPSEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >SECCE6Rv1G0383400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:52543338:52543625:-1 gene:SECCE6Rv1G0383400 transcript:SECCE6Rv1G0383400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVAVPTEFKLRNNTGCSWKVTVKLMNGRVTLDQGWATYAIVHQIKIGYMITFKLLIPDTLKVIIFDDDGIEVINKCGKHNEVFAAKD >SECCE5Rv1G0373360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:857555976:857556347:-1 gene:SECCE5Rv1G0373360 transcript:SECCE5Rv1G0373360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLTGRQEELCLELSLRPMAPEPHVGFFLCVYCDRKFVTSQALGGHQNAHKYERSIAKRRRQAAAAQEGAPAAALDERLAWYGGGFVSPAGTAAPAVKAHKHGRNWSEHGGAVVDVDLSLRL >SECCE2Rv1G0105620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:623203477:623205369:1 gene:SECCE2Rv1G0105620 transcript:SECCE2Rv1G0105620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSFVDTPNQPLMNDPFVLMAQSAPSYALNNLTKSTVRMDCLGSAVANCGHDESTQSMNNITARDDGCKLVLGLGPTPDFYSTHYQPTGVYKSKESQTLSGQSFSFTDPGVLRLGLQTDGTETIQPLQTPNETVNSFAVVDEASTSAAVRSMGGYMPSLLFAPRSSSSAANETQLQSRDSLNSTHYNSNNTQHIRHLQLSPEPSATTETSFGVSSDVVTGATTSEHRSHPRHPKKCRFKGCSKGGRGSSGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEELGCTKSAEGKTDYCIAHGGGRRCEYPDCPKAARGKSGRCIKHGGGKRCAMEGCIRSAEGKAGLCISHGGGRRCQYPDCAKGAQGSTLYCKAHGGGKRCVFDGCSRGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTEFCVAHGGGKRCAAPGCTKSARGRTDCCVKHGGGKRCRIDNCGKSAQGSTDFCKAHGGGKRCTWGSGCEKFARGKSGLCAAHGTLVARQQEHGMVKSGGSMIGPGLFSGIVASSTTAASSMTNEHSSSGISTASDSDGTVRSQAMIPPQLLVPRSMMPSSSSEPTVRGGREAVCAVPEGRVHGGGLLSLLGGSFRNANIDKL >SECCE5Rv1G0355520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731992583:731996701:-1 gene:SECCE5Rv1G0355520 transcript:SECCE5Rv1G0355520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETALGAAQWVVRKALAPVADGVLEAWAASRTFGLNIQALRTELEKVQATLEIAATKELPGLATEKMLQKLWDSAHNAEDLLDELDYFRIHDELHGTYDAADQHGDDLLHDLACDARHTIKALGKLINCFPWQRAELQQRSRGHSFSASDANQEVSGCMPSLGKLLLSSCSPHPHVRGDEDRGNAQEAPMPEFNRADFSQRMKDTIEQLKLMRNDVKDILQTCGPRTVLDIAQRRATTTPQSAELKLYGRDHVMNNMIHDITEGQYCDKGLTVLPVIGAGGMGKTTMIQHIYNNQQVQNHFPVRIWICVSFNFNLGKVLEQIKEDTLTVEGENECSTTQELIEHRLKHKRFLLVLDDIWQFNDVDDWKKLLLILGKSQEKGSIILVTTRQKEIADQVKKSAEPKELNGLEPGEFKKLFLVYAFDVEQCPGDKRFLLETGDKIMGKLQGSPLAAKTVGRLLRTDPSLAHWRRVLHSKQWAKQTNGIMLALELSYGFLPFHLQRCFSYSALFPEDYRFRSRELISLWIGLGILTPSDQNPTFEGIGLSILNDLVIHGFFKEYKTDGGLRYVMHDLLHELALKVASHDCLRFRLPDVGSVEIKPSTRHLSISIENLGEYNGQKLKRELEKLKTRLKVEHLQTLMLFGAMDEGFAKIFGDFLGEANALRVLYLPLLKYPVESMVHNFSGLVHLRFLCLGCLPINISKFYHLRVLDLKSWSGSGDFPEDTSNLAKLCHYYTPRDDKLHYDICNVGKLQLLEKLKVFRVNKNNKGFEPKQLEPLTKLCELGIYNLEKLHTKKEAAQAKLIEKKYLRRLTLDWDSKRSSDEPGVETMVLECLRPHENLEVLCIRGHRGPTCPTWLGDELAVEALQSLCLDGVSWKVFPSLRNMGDLCELEIQDCPEFSSVIPTSWIESLRRVTIERVKLLKRFAYSKSSNGAQLEIIGWGDLQSLDQVLVFDKETGLEKLTLERCPPLELKHLLMLTSLKTLIVRHSDGLAGLAGPLGGLGDVEWQLPVEHIEICHLNGNSWNELTELFPHLPKLSKLEIRDCKNIKQLVVGVNPRQTASEMGGGEITAATEEADDGVLLFPAHLCDSLQKLKFLFCPELVLVDSPTLVPGGGWLQALRSLQRLSIKYCPKFLSAFSFSSHIFPSSLQFLELTKLEGMATLEPLSNLSSLTRLVLEFCGKDLKCLGLQSLLTTGGKLNELEVRGSHIFFANWDPNPRWALEDVEGGEEQPTQLVSSTLRKLCTDDATGLLAAPICSFLSSSLTKLKLHGYGHEGMERFSKEQEDALQLLSSLQKLEFRHFRHLQQIPAGLCNLTSLKILSINHCPAVSSLPSDGLPKSLEKLDVYDCSEVLKRQCRWMLGTIPKIIRG >SECCE5Rv1G0323310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:433046727:433047544:-1 gene:SECCE5Rv1G0323310 transcript:SECCE5Rv1G0323310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLVAAAACVPRLAVVASSASGDPVRRIRRRAPGSRPQKPPTAAPPQPSVAEVRRAIGAADDPSASGRDKQSGFMELLASTPIGQPESDAERRIREAAEWVVDNTESRAQEGQKSILVLCMKIFPLWLFLMLTALGVIKLPFDIPGLDMDNLLM >SECCE3Rv1G0185820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:678339673:678343188:-1 gene:SECCE3Rv1G0185820 transcript:SECCE3Rv1G0185820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRNSCTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYDFDGYRLRVELAHGGRAQSYSYDRPSSFSNGRRGGVSRRSEYRVMVDGLPSSASWQDLKDHMRRAGDVCFSDVYREGGATVGVVDYTNYDDMKYAIRKLDATEFRNAFSRAYIRVREYDARPRSRSRSLSYSRSRSYSRSVSRSPLPVDERSPSRSRSPVSSVSGKSVSRSPRSRSRSLSRSASPVRSD >SECCE5Rv1G0311000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:182837180:182838643:-1 gene:SECCE5Rv1G0311000 transcript:SECCE5Rv1G0311000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPEPLLAEIVKKIAKRSDLNSFSLVSKQLYSIEAYQKGAIRVGSGLRPARKALASLCSRFPNLWKVEIDYTAWTSGHGNQLGNKGLLVISSCCPSLTDLTLSFCSRINDSGLGYLINCKKLMSLRLNSMQQITSGGILSVVVGCKTLSALHLIDCEKIGSEGWLEHLACEGSLEELVVEKCKGISQYDLLKFGPGWMKLLRFKFDPDPMSCSWNMYGSDDLSHVAHYPYKYDFCCESLTDLSLAHIATKPGIGLCFLLRKCKALEKLRLEYINGLNDSDMITLSKCCKNLRSISLWLRPQFYGGNFRTAFTDDSLNSLAVNCPMLESIELTFDGCEPLYPSEIGFTQDGLIMLIQSCPIRVLVLRGANFFADKGMKALSSAPLLETLELVCCRAITDAGMRFIARAPCLISLTLRICAQVTDAGVAVLVHAQKLESLIIEGCDRVSEKAVKRVGGSAQCHTSKKRSVQYSAESASPAELKRIRY >SECCE1Rv1G0046530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:618130000:618130533:1 gene:SECCE1Rv1G0046530 transcript:SECCE1Rv1G0046530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSAALALKVAAVVAVLAMLVVPSLGRCGSPTPLPPTPTPTPPQLPPALTPPPLALLLPPTPVPAPAPAPGPTISCPECSYQCCYAFVTLKCSGACDAVEPSCNSCRTQVSALCRADRNCTGSCDECNDTINNSCISACTTRYCDACRSGRGGECRDNCSEQCSPPNCIPWSSRN >SECCE1Rv1G0029980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:422545410:422545895:1 gene:SECCE1Rv1G0029980 transcript:SECCE1Rv1G0029980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPPGPAWSDSPVSAYMRILENSLFSATPPGAAAAAAAAAHAHAAAAAAAAGRGPPQHPYHPPPPPVPSPGILPSPTGFLNLLSPTPRSPYPLLSPGFQQPPPLTPNFPMLSPLPGTGILGPGPMPPPPSPGLWFPQSPSGLLSPSGFFPLLSPRWRDM >SECCE4Rv1G0219860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33832013:33833032:-1 gene:SECCE4Rv1G0219860 transcript:SECCE4Rv1G0219860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLPQLHLTLDAPTWAFRCPAPAPVTAATPSTSAARPDGEFRLSDFDFDRLSVLGRGNGGTVHKVSHRRTSALYALKIIHRGHPGADEEVAVVRRVDSPHIVRCHSVLPTASGDSALLLELMDGGSLESLVRAGQGGFPEAALAEVAAQALSGLAYLRARRVVHRDIKPANLLVNSDGQVKIADFGIAEVVSRAGKYRAAYEGTAAYMSPERFDTERTLQGDGEEELGPVDPYAADVWGLGVTVLELLMGRYPLLPAGQEPSWAALMCAVCFGELPALPDGAASPELRGFVAACLQKDHRKRASVGELLMHPFVAGRDAAAARRALRGVIEQRCR >SECCE3Rv1G0153920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:57750202:57751605:-1 gene:SECCE3Rv1G0153920 transcript:SECCE3Rv1G0153920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGEDGTSRRRRSQTNASDEADGVTRRRSPRLHPQVHRRRSPRLHPQVHSEEGDGAARRRSTRRPSQIRATGEGAGVIRRSGLRRGSFPAALASPLEDENLLWEILLRLPRQPSSLVRASAVCKQWRCAATDPKFLRRFRLHHRKPPLLGLFHRRKDNIVFTPTMDGPDRIPPKRFDLQLDGHNMVAVQLLDCRHGRVLLTDKRRDELILCDPIVGEQRIMAIPPEFVRKYFSGTVLCAAIDHDHLHESCHSSPFKVVLVSYLRGDNQLIVCVYSSETGVWGHIISTTPSYRLFDYSIPGLLVGNALYWLLHIIGAGIFKFDLDEHSLAVIKGPLVTNDFSHGSCIIQAEDGALDFAILSYPHLQIWQRNIICHGVATWVLWKTIDMRTILGLPKQIRGNRTLIRNILGCHEDCDEILVSVDRGAYMVQLKLMKSRKLCENSYFTCYHSFKSFYPPGDFSSLVLIL >SECCE4Rv1G0294800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:892616614:892618773:-1 gene:SECCE4Rv1G0294800 transcript:SECCE4Rv1G0294800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLPLLLSTFAISVTIYYVIFFFFRADKGRAPLPPGPRGWPVLGNLPQLGGKTHQTLHEMTRLYGPMLRLRFGSSLVVVAGSADVAKQFLRTHDAKFSSRPPNSGGEHMAYNYQDVVFAPYGPRWRAMRKVCAVNLFSARALDDLRGFREWEAALMVRSLADAATAGVAVPLAKAANVCTTNALSRATVGLRVFATAGSELGAKEFNEIVLKLIEVGGILNVGDFVPALRWLDPQGVVTKMKKLHRRFDDMMNRIIAERRADAIATTAGEEGGKDLLGLLLAMVQEDRPLTGAGEDRITDTDVKALILNLFVAGMDTTSITVEWAMAELIRHPDMLKQAQEELDAIVGRDRLVSESDLPHLTFLSAIINETFRLHPSTPLSLPRMATEECEVAGYRIPKGTELLVNVWGIARDPALWPDPLEFRPARFLPGGSHAGVDVKGGDFGLIPFGAGRRICAGLSWGIRMVTVTMATLVHSFDWELPAGQTPDMEETFSLLLQLAVPLMVHPVPRLLPSAHQIA >SECCE4Rv1G0227560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:124082208:124086245:1 gene:SECCE4Rv1G0227560 transcript:SECCE4Rv1G0227560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLLLNGAGAPDYGGALTVPVVVTCLMAASGGLIFGYDIGISGGVSEMESFLNKFFPGLLKTTRHASKDVYCMYNDQALTAFTSSLYAFGMVGTLVASRVTRRVGRKAIMVIGGSMFLLGSLVNAAAANLAMLIVGRMLLGLGLGFSGQATPVYLAEMSPPRWRGGFISAFPLFISVGYLVANLINYGTSRIPEWGWRLSLGLAAVPAAVMVLGAVLITDTPSSLVLRGKHDHARAALQRVRGKGVDVDAEFGDILAAVEHDQRNQEGAFRRILRREHRPYLVMAVAFPVFLNLTGVTVSAFFSPILFRTIGFGSDAALMGAIILGLMNIGGIIASGVAMDRYGRKLLFVIGGALMFTCQVAMASIAGTHLGHGSKMPKGYAVAVLVLTCVFSASFSWSWGALYWTIPGEIYPVEVRSAGQGTAVALNLGLNFVQAQCFLAMLCSLKYGVFLFYASWLVVMTAFAMAFVPETKGVPLDSMGHVFARHWYWGRFVKDHKFGNEST >SECCE1Rv1G0031390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:441592411:441593642:1 gene:SECCE1Rv1G0031390 transcript:SECCE1Rv1G0031390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Rhodanese-like protein [Source: Projected from Oryza sativa (Os10g0545700)] MARSVSYVSAAKLVSMARGNRVAVIDVRDEERSYQAHIAGSHHFASGSFAARLPELVQATSGKDTLVFHCALSQVRGPSCAWMFSDYLSESKEDSGIKNIMVLERGFNGWEISGQPVCRCKDAPCKGTCS >SECCE2Rv1G0131780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887183882:887184988:-1 gene:SECCE2Rv1G0131780 transcript:SECCE2Rv1G0131780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVRWDLPTDVLVEILLRLPPSSRRRARLVCRLWRDVIGERTAEMRSRATALIWHPSRAVACVVDDLSSSSTGSCRELWRSVKGVQLVGACNGLLYLCNNEEKPGGVITLVNPATGDRLLLPSLPCADNFIGSFHWINWHEAYSFAYQPTSGRYKMVHVPFSFGHVCEFNDVRVLTLGETSWREVATLPAEGRARCSLGACIVSIDGATHWITRGATTRVVSFDLEEERITSITELPTRAAGPCRYHLTEVHGRLGIVIHDLSVTTEVWIMEEGRRWSRRYSFRRQHLPRPQFVYGKYVLTREESSLYGHYKTKGPSLSDEVVQVGHRDQGTLVAEIKGNYLHNQYRTFAYVETAEPLSVYEAKKY >SECCE6Rv1G0383710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:56438968:56444530:1 gene:SECCE6Rv1G0383710 transcript:SECCE6Rv1G0383710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMMQPQIILLKEGTDTSQGRAQVVSNISACTAVADTVRTTLGPRGMDKLIHDDKGTTISNDGATIMRLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFMKEAKPYVEDGVHPHSLIRSYRSAGNMAIARVKELAVSIEGKSLEEKKSLLAKCAATTLSSKLISGEKEFFASMVVDAVLAIGHDDRLNLIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPMQYQSIVDAEWNIIYDKLDKCVQSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRLSSATGGTVQTSVNNVIDEVLGTCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRAVRNSTVVPGGGAIDMEISKYLRLHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHAADGGANYGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAAMGGRGGGAMRGRGGRGMRRR >SECCE2Rv1G0088630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:246876202:246879437:-1 gene:SECCE2Rv1G0088630 transcript:SECCE2Rv1G0088630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGAGGANAGLADPLLASREGGAKKPVGAKGKHWEAADKDQRRAAKDSGGEDGRPLLFRTYKVKGTLLHPYRALIFIRLIAVLLFFVWRVKHNKSDIMWFWTMSVVGDVWFGFSWLLNQLPKFNPVKTIPDMVALRRQYDLLDGTSSLPGIDVFVTTADPIDEPILYTMNCVLSILASDYPVDRCACYLSDDSGALMQYEALVETAKFATLWVPFCRKHCIEPRAPESYFELEAPLYTGSAPEEFKNDHTRVHIEYDEFKERLDSLSSVISKRSDAYNSMKTEEGDAKATWMANGTQWPGSWIDTTEIHRKGHHAGIVKVVLDHSIRGHNLGSQASTHNLNFANTDVRLPMLVYISRGKNPSYDHNKKAGALNAQLRASALLSNAQFIINFDCDHYINNSQALRAAMCFMLDQRQGDNTAFVQFPQRFDNVDPSDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRIALYGIDPPEWRHDNIVIDDKRFGNSIPFLDSVSKAINQERSTIPPPISETLVAEMERVVSASHDKATGWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMERDAFCGIAPINLTERLHQIVRWSGGSLEMFFSLNNPLIGGRRIQPLQRVSYLNMTVYPVTSLFILLYAVSPVMWLIPDEVYIQRPFTEYVVFLLVIILMIHVIGWLEIKWAGVTWLDYWRNEQFFMIGSTSAYPAAVLHMVVNLLTKKGIHFRVTSKQTAADTNDKFADLYDMRWVPMLIPTTVVLIANVGAIGVAMGKTIVYMGAWTIAQKTHAALGLLFNVWIMVLLYPFALAIMGRWAKRPVILLVLLPVAFTIVCLVYVAVHILLLGFITF >SECCE6Rv1G0451700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873283712:873284119:-1 gene:SECCE6Rv1G0451700 transcript:SECCE6Rv1G0451700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFMSSPAVMGSACLGRVAGPRRCVVARAASTMAVVAAGRTHYEVLGLGAGASRSEIKAAYRRLAREVHPDAAGGGGDQGFIRLHAAYATLADPDERARYDRDVACRAAGMMMRRAAAAGPSFRRRTWETDQCW >SECCE1Rv1G0038190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:536397145:536399241:1 gene:SECCE1Rv1G0038190 transcript:SECCE1Rv1G0038190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQKMANGSSRNNNNNHKVFATLTRKDDSDIDPSYKIFLENLIEDGSAYVFHMPNGDHGLPASVRYEEDDMQYGGANVPKIFPHTSRGSPNVERLDQTSGRAVNVNAGHSFLPRTLSVKKNTSEVDESYAEFLSLMKIKDGFMVLELEPGVTVVYEQEEETPPGYDELRTLVMSERGIDRPAPDNLHSQDLICTDEHGVAPCTESSDVEAYEDCEEEPLALSCGAPSTFDEKLDSVLSQPYDQNEYEELMKKATDQKPVSRQRQLRSGAKRYATGFVGLSFLDHYPDLAKQIDTADTNERRLNLLRKFFFWLENLCHDGAHMPWIPKALACNPIATDD >SECCE2Rv1G0098560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:485897629:485898201:1 gene:SECCE2Rv1G0098560 transcript:SECCE2Rv1G0098560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIYILPGWEGSAHDGRVLRDAISRPNGLRVPEGQYYLVDAGYTNAKGFLAPYRGQRYHLGGWTPQNPPRSAEEYLNMRHARARNIVERCFGRLKGRWGILRSPSFFPMKTQCRIIMACALLHNLILQKMPLDPLDNDDPIMEETLEAMEEELGQPEFITSISTSNEWTNFRNVLAQDMYNRHRAARGH >SECCE2Rv1G0075470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:84318564:84320721:-1 gene:SECCE2Rv1G0075470 transcript:SECCE2Rv1G0075470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALVAISLGVGLARFSTAPSSQRLAGKVAVITGAASGMGKATAAEFVRNGAKVILTDIQDDLGPDASYARCECDVTDEAQIAAAVDLAVARHGRLDVLHNHAGVAGRMTMDSVASLDLADFDRTMAANARSAVAGIKHAARVMVPRRSGCIICTASTAGVLGGVNPAYCISKAALIGAVRALAGELGRHGVRVNAISLHGIATPFMLRGLAELLPEASEEKLMRMVESGMNEMGGMVKTSRGRPCTWRPTRQSMSALYLASDEAKYVNGHNLVVDGGFTVWKPIQTPDPVRSE >SECCE5Rv1G0317130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:337256122:337256355:-1 gene:SECCE5Rv1G0317130 transcript:SECCE5Rv1G0317130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE3Rv1G0212320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954914216:954916899:-1 gene:SECCE3Rv1G0212320 transcript:SECCE3Rv1G0212320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRSRSSSTSSCPPPAAKRARSSTRSSNPAVKWRRGRSPPSRFPACPAAIRGDSGLMLILFETPSGFAIFTFCGLLISRPNAVKEAWLNFTDGRKAKRAVSLEEFRTFKDKSKAINISTGVSKRLTDMIMNCRYPEQKIAVGKLEYKRIIEERLNIDCLYSTAVMEVMWGIQHCMRSLLPQEKSQLAAADRLPMSLGLHYVLDHYGCDVKTDRVSEQIVATASALFQCDAVEKKYSGALRNSGDLIKDVSGINCKGWTLLKIATAVKMIWWPEFGDSCEVSEDEVSRLVADANIYRVLKNKDACLTIYKDMVEAHEIKTSKKELLKSLVEQANETYEAEGPEKNI >SECCE3Rv1G0199110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:842932371:842932829:1 gene:SECCE3Rv1G0199110 transcript:SECCE3Rv1G0199110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWRAVMGTGAPPADATDGIEFWREPERGGWLDKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRASVPRGVITVASCLTVKGAEDVLNRKFAFELSTPGDTMYFVADSDKEKEDWINSIGRSIVQHSRSMTDDEIVDYDSGRPATGGKS >SECCE6Rv1G0439140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:794950225:794954560:1 gene:SECCE6Rv1G0439140 transcript:SECCE6Rv1G0439140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSSCAAGPSSSSSPPRRRPRIGLGGCFGGGSSTRDGGGLAAAAAAAASSSRALQAATRQAERAAAGLDFQPSLSAKDLRHTSEQDPRVHPSSSTISHRLRFNHLDCHENKEHALRIKDAETSGLGSSSGKDAMIRGNLSNEAGNDERTSGGGVSPMAQELVEPAPDNVHIDAVFITEVSGSMSQSDFHSSLMTSERIMPGLEDDEAALRRTTSRDVLSSERSDVSQSSLTSVLPATSSASSIIGESIPDATASREDVPTIFSVSHGPIDGSTVHEDMVSIFSNDGPEHTRDSSNGETRRNHRRVLWDSFSRRGSRGYLDSDTDDLGFYSRWLDLGDDLFGDEVEEARYFHRRRHGSIRVNQYSRSRIREHRRAIFDSGNGQSTAACPLGIHQIGRCTCDAFLVAEESSARASISRIVMLTEALFEVLDEIHRQPSSLSLSMASAQAPEAVVNSLPCKSYKKLETAQCSADMEQCHICLTEYEDGDQIRSLPCKHEFHLQCVDKWLKEIHRVCPLCRGDVCEGVAS >SECCE6Rv1G0408430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:572333798:572338117:-1 gene:SECCE6Rv1G0408430 transcript:SECCE6Rv1G0408430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGEGAAAPAAPAAAAEVTNPRCYFDVSIGGEMEGRIVMDLYASVVPRTAENFRALCTGEKGVSAATGARLHYKGSSFHRIIKGFMVQGGDITAGDGTGGESIYGLNFEDENFILKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGRVVKGMGVVRAMEHVCAGEADLPTDDIVIVDCGELPEGSTEGVANFFKDGDMYPDWPIDLDEKPADVLWWINAVDSAKSFGNENFKKHDYKAALKKYRKAMRYLDICWEKEEIDQENSSALRKTKSIILTNSSACKLKLGDVEGALLDADFALRETEGNAKAFFRQGQARMVLKNIDAAVESFQRALELEPNDGGIKRELAAAKKKVTDRRNLERKAFSKMFETSGSSEKSDEVFSANSEKNDEDKN >SECCE2Rv1G0111160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:698144472:698145464:1 gene:SECCE2Rv1G0111160 transcript:SECCE2Rv1G0111160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLCSQIKLNKAYVRRQVHENRLTSLPSSLHWSPLQSGHFKNIALRCTKDLPWEASLPYASAEDDASIVMGTSVVEAIDTEEAPEIPILQSDQDVVDVKNEPSRQLATFKLPMWLLGPSVLLVTGIVPTLWLPLPSVFLGPNIAGLLSLVGLDCIFNMGAMLFFLMADACGRPENNSFDLTRQIPTSYRMWNLIASVLGFIAPLALLLASHRGALQPHLPFIPFLVLLGPYLLLLSVQMLTETLTWHWRSPVWLVAPVVYEGYRVLQLMRGLQLAGEIAAPGWMVQSLRCLVTWWVLVLGIQLMRVAWFAGVSFASDPSYGSSDDVNG >SECCE7Rv1G0506150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:746545621:746548675:1 gene:SECCE7Rv1G0506150 transcript:SECCE7Rv1G0506150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAPDQRPTLFSPYQMRRFSLAHRVVLAPMTRCRAIGGLPGPALAEYYSQRATQGGLLISEGTVVSPAGPGFPHVPGIYNQEQIDGWKKVVDAVHAKGGIFFCQLWHVGRASHQVYQPDGAAPISSTDKPISARWKILLPDGSYGTYPTPRRLATSEVPGIVEQYRQAAINAIQAGFDGIEIHGAHGYIIDQFLKDGINDRTDEYGGSLPNRCRFLLEVTRAVVSAIGAERTAVRVSPAIDHLDAYDSNPMQLGMAVVERLNALQQEAGQLAYLHVTQPRYAAYGQTESGPHGSAEEESRLMRTLRGAYRGTFMCSGGYTRDLGLEAVESGDADLVSFGRLFISNPDLVERLRLNAGLNKYVRKTFYTPDPVVGYTDYPFLDKPKSRM >SECCE1Rv1G0063860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:727196721:727197181:1 gene:SECCE1Rv1G0063860 transcript:SECCE1Rv1G0063860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKALLVDDMAVERMVASAMLRKFHCEITMAKNGKEAVDMFLEGNEFDIVVCDKDMPIMTGPEAVVKIRAMGATDVKIVGVSADDNAMEAFMTAGADDFVPKPVRPEILEPMIQEVINKKNN >SECCE6Rv1G0384340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:62670545:62670963:-1 gene:SECCE6Rv1G0384340 transcript:SECCE6Rv1G0384340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLRAYREVLRLVRRLPADARPYYAKYARENFVNYRHLSADDDLAGLLRRAHAHSAWVLSKYSIDAAEAAGRLKEICGERGAE >SECCE5Rv1G0343450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638212544:638213381:-1 gene:SECCE5Rv1G0343450 transcript:SECCE5Rv1G0343450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKKLVKEGKIKYIGLSEASTSTIRRAHTVHPITAIQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGTKLVDTLSEQDFRKDLPRFQAENLEKNTMVFDRVSAMAARKGCTALQLALAWVHHQGNDVCPIPGTTKVENFNQNVAALSVKLASEEMAELESYASADVAGDLYHAFLNTWKDSETPPMSSWKAE >SECCE7Rv1G0520630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:870483000:870483341:-1 gene:SECCE7Rv1G0520630 transcript:SECCE7Rv1G0520630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEDLRACHDIASLAKKMVELERHVMFPAVYRLIELALLLPVATATVERAFSSMKIIKTELRSKMCDGWLNDLMVCYIEREIFKSIDLNKIKEDFQKEGRALPLPGSSTRH >SECCE4Rv1G0250450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:593294678:593295691:1 gene:SECCE4Rv1G0250450 transcript:SECCE4Rv1G0250450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPSAHAFLVLLLLVLAGAVIASAENNKDCHPSDKAALLAIKSAFGNQSHFASWTPSTPCCDWHDATCNDAGRVISLLFFEDVNLTGTIPDAISGLTELLVLNLYYLPAISGPIPKGIAKLSKLTSLSISLTSVSGPIPSFLGALTTLNELTLSSNSLSGTIPASLAGLPYLDSIDLRNNRLTGAIPPLFSNSKSPYIAYLGLSNNHLSGSIPAEFAAVGFLQIDLSHNALTGDASVFFGRTKKLGSIDLSHNALSFDLSSVEFPEEMQAMDASHNAIRGGIPEQVANVTNLRQFNVSYNELCGQVPAALARLDVYSFRHNKCLCGAPLPDPCKK >SECCE2Rv1G0119340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:790382720:790384015:-1 gene:SECCE2Rv1G0119340 transcript:SECCE2Rv1G0119340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPCWADLPTDLLTSIVQLLELPGALAFATVCASWRSAAAAAGVPHPGTPWLMSWEPQPHPAMGRSRSAVTCKFRHLLDADKAYSVTFPEGTFVTCCGASDGWLVVVDELCNLSLHNLFTSRTIPLPPVTDFPRVEAEHDDQGEIQRYRLKSRYRHSPDVTYGAHHLATFFYRKAVLSGSPSRGGGGDCIVTVVHYGGEWISYARAGDARWRVLVSTDYCNWGQDRHNGRMYAECAYHNGSIYAVTYKGGVHRWVLDDAGTPSKYAATALYCPRDAVLTRHLVAAPGGGLWQVSAVWQPARAAIPDGIRFTFRVVDDDDGADAGGGDEGEGSMQEHALFLGLNKSAFLPARSFPGVRPGVLYFSAPWMRQYAPEMLERVGDWGGARAYDLKTRTRTFERVFPGLLPESARLMDCPTEVWITPNLY >SECCE5Rv1G0348250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673119501:673120469:1 gene:SECCE5Rv1G0348250 transcript:SECCE5Rv1G0348250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNGLVHASAHKPLFTFGVIADVQYADIPDGRSFTGIPRYYRHSIDVLQRAVSAWNKQDAVKFSINFGDIVDGKCPKDKSLWAVQKVLGEFEKFDGPTYHMFGNHCLYNLPRSELVALMKMPTGSDRAYYDFSPCPEYRFVVLDAYDFSTLGWPRDHPVTAAAMRLLEEKNPNTNKNSPEGLVGVDQRYVMFNGAVGKEQLSWLSDVLRDASERRQNVVLCSHLPLDPAAASPVCLVWNYDEVMAVVRQYNCVKACFAGHDHKGGYSLDSHGVHHRALEAALECPPGTSAFGHIEAYPDKLLLVGSDGMADTEMCFEPSL >SECCE2Rv1G0114180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:732022923:732026211:-1 gene:SECCE2Rv1G0114180 transcript:SECCE2Rv1G0114180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLTAPGRRRKEPRPGLAMGLHQRDDAGESSGGRGKAALCDDGAEPGAPAHATGRVPPWTEQLTLRGLVVSVAVGTMYSVIVMKLNLTTGLNPTLNVSAALISFVMLRGWTQALARLGLVVRPLTRQENTVVQTCAVACYSIGSAGGFGSYLLGLNKKTYEMAGVDMEGNVGTKEPAVGWMVGFLFAVAFVGILALVPLRKILVIDYKLTYPSGTATAVLINGFHAPKGDDVAKMQVNGFTKYFAISFFWSFFQWFYSGGDHCGFSQFPTLGLRAWKQTFFFDFNLTYVGAGMICPHLVNISLLLGSILSWGVMWPLIADMKGNWYSADLPEGSMKSLQGYKAFICIALILGDGIYNFTKVMVKTTISMLDKSKQKNGKNGEDRLSLADQHRNEVFTRDSLPNWIAILGYFALSVGAVIAIPRMFPELKWYYAVVAYLLAPALGFSNAYGSGLTDINMAFNYGKVALLILAAAVGKEHGVVAGMVGCGMVKCMTSISADLMQDFKTGHLTLTSPRSMLIAQIIGTAMGCVISPLTFYVFYSAFDIGNQDSPWKAPYALIYRNIAILGVQGFSALPMHCLQLCCGFFAFALVANLMRDFLPHKYGKWVPLPMAMGFPFLVGASFAIDMCAGSLIVYIWHKIDRIKAAHMVPAVASGFICGDGLWIFPASLLALAKISPPMCMAFASTH >SECCE6Rv1G0380490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:23217505:23221240:1 gene:SECCE6Rv1G0380490 transcript:SECCE6Rv1G0380490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLANKSLPSPVSASTSTIQFSSSRQLPPTAHPGMASTVGFTPAVAVGANVQHSRRTSSLRPVPRARPVSPRASVERDGAAAAVQERSARTIEECEADAVAGKFPAAPPPTRPQAPSGTPEIRPLDMAKRPRRNRRSPALRAAFQETSITPANLVLPLFIHEGEEDTLIGAMPGCFRLGWRHGLLEEVYKSRDVGVNSFVLFPKVPDGLKTPTGDEAYNDNGLVPRTIRLLKDKYPDIIIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIETAADEAEGADILLVKPGLPYLDIIRLLRDNSALPIAAYQVSGEYSMIKAGGVLKMVDEEKVMMESLLCLRRAGADIILTYFARQAANVLCGTKRP >SECCE3Rv1G0147910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:16500168:16501286:1 gene:SECCE3Rv1G0147910 transcript:SECCE3Rv1G0147910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGAAPAEGRSGASPDKPDESAKKAPLDLPDGHVKQEAAAAAYSPRVEIAVRIDKQVLHCPVCTLPFKPPVFQCTAGHLACGGCVAQLPFMQCTTCVDGGGFFNPCPAVDAVVSSTRIECPNVGCQWTVTYHEVAEHQKACSHAPCQCMVPGCGYLGAPQALAGHLNTVHSVPICIVQYGKVSQLRLSVSTQRVVLLGDDNCVFLLTMGALVAGVTIVSVVCARARAATRPRFTCKMWVNLEPPTAAANCGKEDMLLVAMHMRSSSSPGAVVTAGEPTFLTVPPMYLVPAAGDGMSMEVPLHIRIDKLSPCSDASV >SECCE1Rv1G0005320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:25005712:25006500:-1 gene:SECCE1Rv1G0005320 transcript:SECCE1Rv1G0005320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGASAWRHLTPFPLYLMAIFFLLAANEAEAATSFSFTNACAHPVWVGALSGATSPQLPRTGFYLAPGATSALAAPSSGAWSGNFWARTGCAVDEGTGRLACATADCGSGDVACDGRGPAPPVTLAEVTLAAPGSGGLDFYDVSLVDGFNLPVSIAPSHEGCQAAACAGDVNAVCPSDLRVLSRSSGEVVACKSACNAYGSARYCCSGDYGTPAACGPTSYSQVFKAACPAAYSYAYDDASSTFTCSGAATYHITFCPAT >SECCE6Rv1G0434710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:767156908:767159844:-1 gene:SECCE6Rv1G0434710 transcript:SECCE6Rv1G0434710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGLGYALIALGPAFSLFAGVIARKPFLVLTLLSSTLFWLISLIVLSGVWRGFLPLKSGVWWPYVILILTSVAFQEGIRLVFWRLYKKMEEMLDAFADRISKPRLCMTDKMLISLAGGLGHGLAHAVFFCLSLLTPAFGQATFYVERCSRMPFFLVSAIIALGFLIIHTSSMIIAFNGYGERKKRDQIFVPVVHLVAAVMTLINLVPGGCLVGTPLLCVTAAMTLYYSWQVVRQRITEHQHRQS >SECCE7Rv1G0507840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:766090660:766093910:1 gene:SECCE7Rv1G0507840 transcript:SECCE7Rv1G0507840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKASRLRRRRQPPAGISPTTSPSPPPPPAPASSSREFPIMHCPSKLSDPSVSAPPGVLGHQGWANLPDKLLHSIVPLLGSILDLIAFASTCHSWHAAFSSHPSKSTICTKLPPLLVRPNVCVQAPHLPSSNGRHKLRTCKVIDLANQNRALHCQIPQETFQRMRFAGSSYGHLICCGRGNCLIVDVFTGAEVSPPCLPFNGDCEEEFYFGGTLTAPLASPNCHLLVSTQSSLFDWPVGSDSWSELKLPDARIDQIVEFNGQFIAMDYNQRIYILKLAPQPGLQEITTKWWDGMTECPYLRPWLVVCGDMLLMVDHYVSLSFGAPVLYKPYRLDMSTRPAKWVEVKKLDNWALFVGGDVRSPPFSCLSPEEWGGRSNCLYYAHYSQPWSVHGFGDTADAVWDPSTDPDLVYKRNWYGQLQAFWVYPSMFYSDAPVVPGLHDWADLPDNLLHSIVPLLGSFLDLVAFSSTCRSWRAAFSSYPSKSTFCTKLPPLLVRPNVRVQASHLPSSNGRHKLRTCKVIDLANQNIALRCQIPQETFQRMRFAGSSYGQLICCRRGNCLIVDVFTGAEVSPPCLPFNGDCEEEFYFGGTLTAPLSSPNCHLLVSTQSSLFDWLVGSDSWSELKLSDARIDQIVEFNGQFVAMDYNQRIYILKLAPQLGLQEITTKWWDGMTECPYLRPWLVVCGDMLLIVDHYVSLSFGAPVLYKPYRLDMSTRPAKWVEVKKLDNWALFVGGDVRSPPFSCLSPEEWGGRSNCLYYAHYSQPWSVHGFGDAADAVWDPSTDPDLVYKRNWYGQLQAFWVYPSMFYSDGQ >SECCE7Rv1G0491710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:534455508:534457937:-1 gene:SECCE7Rv1G0491710 transcript:SECCE7Rv1G0491710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGVPPAAPAGGGAGDGPRRCSQCGHHGHNSRTCTARPVKLFGVRIGDKPIRKSVSMGNLAQLAEGSGGARAEGYGSEGDDDKPHRKRGESWSEEEHKNFLLGLNKLGKGDWRGISRNYVVSRTPTQVASHAQKYFIRQTNVNRRKRRSSLFDMVIEDPGDQPLSRSSSQEMPLSRSSSQDVEEFIDDLRPVTAPVTPPAPMPVITSVSVPSPVPVMAPPAPVPMLTYASAPSPVLAMAHQPQGNESAGSSSIAGEAGMVMPQVMPPYGYPPMMIPAPHYVQAVFPFPYYGYAPMFYGPPVSMQASSPGTVQASHEPVRPVAVHSAPPVNVEDLYSMSKLNLKGDSSTNGLAPNLVLPPNPNGRPERQSAFHGKGPENGSSNGLIPTK >SECCE5Rv1G0338940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604276836:604277078:-1 gene:SECCE5Rv1G0338940 transcript:SECCE5Rv1G0338940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGRRAVALAALVVVLLVAGVGRVGAARSAPAERSGDGAMYSAVYPAAVVVADRARETVEMLMARLPAGPSPKGPGH >SECCE5Rv1G0297540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:3443375:3446988:-1 gene:SECCE5Rv1G0297540 transcript:SECCE5Rv1G0297540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEDTTSHYYFVWALALSTLVLAITRSKKANNSLPLHLPPGPWQLPVVGSLHHLVGKLPHQAMRDLARRHGPVMLLRLGSVPTLVLSSPDAAREVMKTHDVAFATRPLTATMSVLTCGGRDIIFSPYGEYWRQLRKIAVTELLTAVRVRSFRAIREEEVATMLRGIESAGPMVEMRARLSMLVADGTFGAVMGDRCDSKQRNLFLQELNRIIGLATGFNTADLWPSWWLAERLSNALRCAKESHATVFGIIKGIIHEHMERRTEGQRGEEAKDDLLDVLLKIHKDGGVDMVAVEAVIFDIFAAGSETSATALEWAMAELVKNPRAMMKATSEVRRAFEAGGTVDEGRLGELPYMRLVIRETLRLHPPLPLLLPRECREPCKVLGFDVPKGTHVIINAWALGRDEGFWGADAAEEFQPERFEAGAGMEVDFTGTDFDLLPFGAGRRMCPGMAFGLANVELSLASMLLHFDWEAPDISDPSEFDMTEAFGVTVKRKAKLLLRPSPRATATLYTWWSLKFGEIRPYVRCWCQ >SECCEUnv1G0530550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13691722:13692392:1 gene:SECCEUnv1G0530550 transcript:SECCEUnv1G0530550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSGGLSISSALGLGLAVLAVTWAASTAQQPPAVPGVGVPSCPPVQASLSPCVSYFIGNSSSPSDACCSQMRALFQSQAPCLCAAVSAVPAQLGSVVGGLLPTACNLPPNACSAVTGTSSSAPAPSSTGTPTDAAAAAPVTGPADADPAGTPSGGGVKSVPGTVDSAAFECKGTSAAVVVLIMAASFMAAYVF >SECCE2Rv1G0074750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:79462102:79463331:1 gene:SECCE2Rv1G0074750 transcript:SECCE2Rv1G0074750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRNKTCLRVDVYLDEGLVADVLARLPTKSVLRFRVVSKEWLRIIDSPAFHAAHARRRPLEVLLYTRTTVASVEQQRLDAVDVCAHRPATRRALAHFPARNDTWKPYCSILASCDGLFLLRDDGAVVDGAEQYLICNPATRQWSHLPRLSRGAGGQEQGRTHRESGFYFHEPSGEFRLLCHITFLDQVPYYCVFSAGAKEPRRLSGQATAIKDSIIAANQAIMAGNQCLKTLMTPAVLHGHLHWLRHMEAGRTNQMVAFNMVSETFRRMAPPPVTRKEHSHLLVADGSLMAAELGDLFMDLWFLEGYGGGMAEARTWERRHHVDMQWLTRWPSLVAGGDGGDVIVGDNQGVVAYNLRSGTVRQVVEADASGDDPLLPSRYVFQESLVRHGFFEARPHPGLLSFRFCQ >SECCE3Rv1G0196160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:806165754:806167899:1 gene:SECCE3Rv1G0196160 transcript:SECCE3Rv1G0196160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGSVETSANSRPGSFSFGNASFTEMLGGSAAAGGASGYKSLTPPSLPLSPSLMSPSSFFNMPAGMNLADFLDSPVLLTSSIFPSPTTGAFGSQFNWRPEAPTPSAAEQGGKEEQRQPYSDFSFQTAPANSEEAARATTTTTSLQPPVAVASQGEEAYTGQQPQQQAWGYGQGMEAGANPASFSAPAVQATSSETAPAGAYRQTHSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVETSLEGQITEIVYKGTHNHAKPLNTRRGSGGGGAVAAQVLQSGGDASENSFGAIVTTPENSSASFGDDDNGVSSPRSGNVGRNDNDDDEPDSKRRKDGGDGEGINMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAALYRPAPRAADSTASTGHYLNPQPSAMAYQTSTGGPNVAGAGTQQYAPRPDGFGGQNQGSFGFNGNFGFSGPGFDNPTASYMSQHQQQQRQNDAMHASSAKEEPREEDMFFQNSQY >SECCE2Rv1G0143010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943639462:943642451:1 gene:SECCE2Rv1G0143010 transcript:SECCE2Rv1G0143010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKEGPCGHCGVTSTPLWRNGPPDKPVLCNACGSRWRIRGTLLNYTPAHRREDTGASEARPDKLKLKGQKQPKKRPNRSTVKDEPWSDQNFWKMGNADTSNRSGSGSAVSYSESCAPYGSVDASEIAGSAQSHAWESLVPSRKRSCVSRPKPSALEALVDELNSIMHEEQLYCLSAGSTEEDLLYHSETTAGSFEIGYGSMLLRHPNTKSEEEESEANSVPADTKSYITSESYSGCASFIAHSEIMGAGNSNAASEKLKWSPMQTHDSARRDELHCSNQHILESADSALESVALEDNYSKEVGGLTKSSMRSLKRPYESQPQSFADAEVRGGTMEKASSRSGAMASSCQLRSAFLPKSGNATGASLNLFMLEPDKLSSMLHPSDKDSDQDSLLLEVPRNGRHPEAELLLCCPPPSQLSSVTVTAASRPGSASDQLRNRHQR >SECCE5Rv1G0323030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:429463571:429475904:-1 gene:SECCE5Rv1G0323030 transcript:SECCE5Rv1G0323030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAVASPVPPAAAHRRRLRPSASGREVVSQCLKCEINQDRPTGALRIGHSQGNLPRHGSKNLLTPAAAAISVEQVEVSTYLPKGDMWSVHKFGGTCMGTPQRIQNVADVVLGDSSERKLIIVSAMSKVTDMMYSLVHKAQSRDDSYIEELDKVFKKHMAAAKDLLDGENLARFLSQLHSDISNLRAMLRAIYIAGHATESFSEFVVGHGELWSSQMLSYAVQKSGASCSWMDTREVLVVKPSGPDMVDPDYVESEKRLQKWFSRQPAEIIVATGFIASTAENIPTTLKRDGSDFSAAIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDDIPIVIRNMFNLSAPGTVICKQPANEDADLDACVKSFATIDKLALVNVEGTGMAGVPGTSSAIFSAVKEVGANVIMISQASSEHSICFAVPEKEVAAVSAALHVRFREALAAGRLSKVEVIHGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAIGVIGPGLIGATLLNQLRDQVAVLKENMNIDVRVIGITGASTMLLSDTGVDLTRWKEEMQKEAKPADLANFVRHLSEDHVFPNKVLVDCTADTNVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRSFSDVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVESLVPDALKSCSSPNEFMQKLPSFDQDWARQRHEAEAAGEVLRYVGVVDVLNGKGRVELQRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >SECCE2Rv1G0074330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75470943:75472576:-1 gene:SECCE2Rv1G0074330 transcript:SECCE2Rv1G0074330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate-inducible and autorepressible transcriptional repressor, Nitrogen respons [Source: Projected from Oryza sativa (Os02g0325600)] MEMEVPPAQAARRRLRDYLLALEEERRKIHVFQRELPLCLDLVTQTIEGMKSQMGGAASEETVSDHGGPVLEEFIPLKPSLSLSSSDDETSNQAAVAPVSNAEADTPETKKTMPDWLRSAQLSSTWSEPQQSSSLQKVLPCKPVALNATRTGGAFHPFEKEKEKEKQPEAELPASSTTAPASSALVGDSGDTEVHDKDSKDATEKDTDKDKDKEGQSQPNRKPRRCWAPELHRRFLQALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTSRRPSSTAPGAAAAATQPAPQFFVVGSCIWVPQQEYAAAAAAAGAAVDATNVASGSANQVYAPTATLPSGLKPHLEKQSSRQSEGPRSGVNSDSDNPAMSSSSQTTSSGHP >SECCE1Rv1G0059650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707338860:707339333:1 gene:SECCE1Rv1G0059650 transcript:SECCE1Rv1G0059650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVSRPRRSVQMYWARRNYQRLGSGGSPSRRSLTVARLGGAAGSRTRATPAGAVQRRLRWLAGVARLRAAALLSPVRLLARLRDAYVDAMLALAGGAGRPCAALATKPREQGELWAKRVPRARSTAGGDFERRMMAHIYSALVTPELPGAAHGAA >SECCE2Rv1G0102760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:581732112:581735726:-1 gene:SECCE2Rv1G0102760 transcript:SECCE2Rv1G0102760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDHRIRVSDGDGNGETTAGQGGVVGVFFAGCWLRLRSVLAGLWCWVDGFARKVGRIAREDPRRVAHSFKVGLALTLVSVLYYVTPLFKGFGVSTLWAVLTVVVVMEYTVGGTLSKGLNRAFATLVAGFIAVGAHQVANRCGAQGEPILLAIFVFLLASAATFSRFIPEIKARYDYGVTIFILTFSLVAVSSYRVEELIQLAHQRFSTIVIGVLTCLCTTIFVFPVWAGEDLHKLTAANLDKLAQFLQGLESECFGEKAATENLEGKAFLQVYKSVLNSKASEDSLCNFAKWEPGHGKFGFRHPWSQYQKLGALCRQCASSMEALASYVITLQKSQYPEANPELTLKVRMACGEMSSHSAKALKELSTAFRTMVVPSPASITMSAAIKAAKDLRNELSEDAALLQVMHVAVTATLISDLVTTIVKIAETADNLARLGHFKNLEKTQKDVAINIPS >SECCE6Rv1G0385030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:71411307:71414080:-1 gene:SECCE6Rv1G0385030 transcript:SECCE6Rv1G0385030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKVRVATSSNGVLPEDMLCHILVRLPAKTLCLFRAVSRSWRSLLSDPLFVAAHKSHHPGPLIVTCSGESFERGTIEILDLSGHVVKRIATSMKNARLECTRHLDLICVTRNEHHATRHVINPATENTFALPSRCAKEHAHVKHFFPQSFDFGQVASTGEYKALRCVSIDDSYSECSPMLCEVITLDDGRHARWRGKQGPPAPVASNHNKSVVVNGVVYFLVDFRCPTFSGDHVEQGSMALFDFETEKWMGIVQGPMPVCNGGYISLCMELSLVCLDGFLVMAHSPEYCSLDLWFLMDAEEPLWTKRYSIDGQHEILFAQPLEILSDGLIVLSAPGRLRLYNPITKIFTDFEMRNSTFVGTYTGSLLSSESTFTSEAERCTICGCYGTLEAIEPYALCQDCMLHQALLLLRRLEGCAELGTLSGITNCQ >SECCE6Rv1G0423420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:696033878:696035254:-1 gene:SECCE6Rv1G0423420 transcript:SECCE6Rv1G0423420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLDTLAVAAEGGGALPLTFFDVPWIFTGPVERVFFYPYPHPPDQFRAALLPRLVSSLSAALGRFYPLLGRVRPCPDGGGYEFFCPAGGGGGDSVQLTVAESEDDFEELSGDGPRDVARLYSLVPRLPVVEGSGDGGFALAAVQVTVFPGRGVAVGVSIHHVACDDSSYMHFVKTWAGHCRLSAGGENAGGALPPPPFLDRAVIADPRGLAAKTLDEMRQLAGNGPPPPPPAPAVPPPKLVIRSFTLARGCIDNLKQRVAADAKDATPHCSAFTVACAFAWACLARSASADAPSPASDERAHLLFSVECRRRLSPPVPQEYLGNCLRPCFVEVSTRDLLGADGVTTAAAAIGAAIRALDGDGVLAGAEGWFQKILSLVPRRPMSVGGSPRYGVYDTDFGLGRPSKVELVSIDKTPGTVSLAEGTDGQRGIEVGVALPEADMARFASCFSDGLHQL >SECCE6Rv1G0397170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:386407297:386418295:-1 gene:SECCE6Rv1G0397170 transcript:SECCE6Rv1G0397170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVMECTACRSRLVAPSPRSVSRAYDKHHNKITSKFRALKFLLVVGDCMLVGLQPILVFMSKVDGKFQFSPISVNFLTEVAKVIFAIVMLIIQSRKQKVGEKPLLARSTLIQAARNNVLLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMRRRFSVIQWEALALLLIGISINQLRTAPAGDTAFGLPITAIAYIYTLIFVTVPSLASVYNEYAMKSQDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPENFNILQGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPIAKVKDDKPSELLELQDTQNHRSSDSSFVNMTAGAAEDASHQLGTDERQPLLPI >SECCE1Rv1G0032440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:455537655:455539672:-1 gene:SECCE1Rv1G0032440 transcript:SECCE1Rv1G0032440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRSSTSFLTPLDPSSKLLHRPEDAPPSCVAVPQSPTRLRALRAASRAPPSPMEAPAPVQSEQLHGQVQQANAGGGRPSRGGVPVFVMLPLDTVGPGGQVSRARALAVSLMAVRSAGVEGVMVDVWWGVVERDGPGRYDWEGYAELVRMVERAGLRLQMVMSFHQCGGNVGDSCNIPLPPWVLEEVSADPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPVQVYSDYMRSFRDRFSGYLGTVIAEVQVGLGPCGELRYPSYPEANGTWSFPGIGEFQCYDKYMRASLQAAAVAAGHENWGTSGPHDAGEYKQFPEETGFFRRDGTWSTEYGHFFLKWYSGMLLEHGDRVLAAAEAIFGGTGVTLSAKVAGIHWHYRTRSHAAELTAGYYNTRHHDGYEPIAQMLARHGTVLNFTCMEMKDEQQPGHAGCSPELLVQQVRAAARAARVELAGENALERYDEQAFAQVAATAEAAGLSAFTYLRMNRNLFDGDNWRRFVAFVNTMADGGARTALPRCDTGHSDLYVGFLDAAKEQRAPESEAAAAL >SECCE3Rv1G0165970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:181183360:181183671:-1 gene:SECCE3Rv1G0165970 transcript:SECCE3Rv1G0165970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE3Rv1G0213980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963417028:963418075:1 gene:SECCE3Rv1G0213980 transcript:SECCE3Rv1G0213980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADAPAAKRLARAGSFSGVWWKLGDPAGDPAAVERRLRAVADEEAAVRARISRRHAGARGVRRGIAVASLAIEVVALVHAYWTARRRRVAGWSRKLLLLLAPPLLAVPASAAVVLAAFARLQKMFDARDEQRLRALVAERKAKIGQFRGSHHNMQKLLEVTTKYDPDAAASAATAASSDHQPVAPAAAASGRIKRSHSRLSFHIGDD >SECCE4Rv1G0226200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:106209225:106213712:1 gene:SECCE4Rv1G0226200 transcript:SECCE4Rv1G0226200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G11000) UniProtKB/Swiss-Prot;Acc:O23693] MAEEGRSLAETPTWSVATVTTLMVAACFLIERSLSRLAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTARWISEICVPSTLFTSKFYMCTEKDFADLDQHEDGTANDTHIARILVGGQSMHVCDEGHEPFVSYEGLEQLHRFLFILGFTHVLYSFVTVVLSMIKIYSWRKWETQACTLSREQLQPRRKIMRRQSTFVFHHASHPWSKSKILLWMLCFLRQFKGSIKKSDYMALRLGFITYHKLPHSYDFHKYMVRSMEDDYNGSVGISWPLWAYAIICIFVNVHGLNIYFWLSFAPVILVLLVGTELQHVVAQLALEVVEATATNVGTQLKLRDDLFWFGKPRVLWWLIQFISFQNAFEMATFLWSLWELSANSCFMKNQYMVVIRLASGLLVQVWCSYSTLPLNVIISQMGSKFKKSLVSESVRDSLHSWCKRIKERRHNPLFMRNGTLTSRSVCSLDTTIYETDHETNTVCTLSRTVSASSLDEALTLVTVDDDDEISHIQQDIRRP >SECCE2Rv1G0126900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:849362896:849366058:1 gene:SECCE2Rv1G0126900 transcript:SECCE2Rv1G0126900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENHQIEMGALKANGLDVPNGVLRSSMIPSWSLHTTVKRVWQSIRIVIFTSKLNLLMPFGPATIVLYYTTNWHGVIFLFSMLGITPLAERLGYATEQLAVYTGPTLGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVVGCAFFAGGIIHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTKSEIQQGASELALSRFSSCIMLVAYASYLYFQLSARNSSYSRIGSEEVPNEDTTEEDEEVEISMWEAIGWLAVLTIWISVLSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITIGVAIGSSTQISMFVIPFCVVVGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGIANYLKGLMLVLCYLIVAASFFVHVDPQASDDD >SECCE2Rv1G0103690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:594153056:594153949:-1 gene:SECCE2Rv1G0103690 transcript:SECCE2Rv1G0103690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHLSMVPYEGGSGAGGDAGAKYKECMRNHAAAMGGQAFDGCGEYMPASPDSLKCAACGCHRSFHRRAGSLTGGACPAPFFFSPPPPPPPHHHPPPHHPVLQGFLPSAPPRPPQLALPYHAVPAAWHHALLDPARAGSETPPRADDCSPGCGSGSFSRKRHRTKFTPEQKERMRAFAEKQGWRINRDDGGALERFCLEIGVKRNVLKVWMHNHKHQLASPTSAAAGIGMSMGMGMGMGIGINSGTLGTGTGAGASVGVGVGVGAGTGDGDGDDDDTDDDSPPRAAVSSPSPSPISV >SECCE2Rv1G0085050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:184764567:184765379:1 gene:SECCE2Rv1G0085050 transcript:SECCE2Rv1G0085050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRHEPRGATDSPAPEAADDFEFRVLSTGGLVSAGAAAADDMCVADELFSHGKLLPLRPSSTDAPGAALLPRSESVASTAGFGSRSDCRSASSSGSSSGCVSRSHSSKSASSDHAAALPRRSLSSSLFYAHPSPSPQLRSRPRRSTGSAPPPAGWGIIRLGVVGAPDVYPPRCADGAKITAGSRGGSGRSARFEQAASAIERSFRKHGAGLFGDGFGCKCSPDVVESVKLPPASRKSGADGKVKRGHGGRRIRILDWLEELSITKAKK >SECCE4Rv1G0236060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:343911042:343933798:-1 gene:SECCE4Rv1G0236060 transcript:SECCE4Rv1G0236060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLVADYRVVLFDTMGAGPTNPDYFDFSRYATLEGYALDLLAILEELGIASCIYVGHSVSAVIGVLASISRPDLFSKLVLLSASPRYLNDVDYYGGFEQEELDELFEAMRSNYKAWCSGFAPLCVGGDLESVSVQEFSRTLFNIRPDIALSVAQTIFQSDVRTLLPLVSVPCHIVQSTKDLAVPVVVSEYLHKHLGGDSIVEVMPSEGHLPQLSSPDIVTPVLLRHIQHDIAF >SECCE6Rv1G0430810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:744766759:744770965:1 gene:SECCE6Rv1G0430810 transcript:SECCE6Rv1G0430810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVKLIGAFGSPFVHRAEVALRIKGVPFDLILEDLNNKSELLLKHNPIHKTVPVLLHGDRPAVLESLLIVEYVDEAFDGPPLLPTDPYERAMARFWAQFIEQKCSRPLWMAMWLDDGEAREGFVRDTKENLALLEARLQGKRFFAGDVVGYLDLAACGLAHLLGVIEEVAGAILVGAGEFPALRRWAEDYTSDATVAACLPPREQLAAHFAGKKHRIKLAVNAMVGLG >SECCEUnv1G0563990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:379484834:379485674:-1 gene:SECCEUnv1G0563990 transcript:SECCEUnv1G0563990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPAPINLAPSTPTLKLLIDKKAIRVLYAEAGKDAVDFLFSLLAMPIGTVAKKLHTGSVGVGVANIYASVEKMDTKYMHDKIVQEALLSSCRPMFLERPTTTLPAAPSMRASIHRTTSYPRQYASLTAPALAPMSMAADVARDSSLYGSIAAGGGHVQGLVTYTIMDDLTITPMLITKLNREEKDLVLDEKSVKIGEKEAFDILKASVNSNTVLTDVFLSDNNTNVSLSKNKRSHTSSGEKKKDKIPDFYV >SECCE1Rv1G0042430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:580279619:580284609:1 gene:SECCE1Rv1G0042430 transcript:SECCE1Rv1G0042430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHDFVSQRCTALQRYLRRLAAHPVVGRSPDLRTFLTEPGAIAAFQGEAPRHWTTTVNAAIPTVPAKAGRDLFGMFKDLKQTVVNGLVATKPPPVEEETDTEFLSHKSRLEDLQQQLTTTSQQAESLVKAQDDLRTTTAHLGMTLIKLAKFEREQATCNSERRRAGVIQHFANSVVKFSRSQAKLNSEVVQQLDTIHEYLEMMISVNHAFTDRSNALQHVQSLSADLFFLHTRAGRLESVSSRGIGQEWTRYQKIEGLKETISTREGVKNQALREYESIKENNMTEIKRFDKDRRRDLIEMLKGFVVNQVSYSEHFANMWGKVAEETKVYANRSN >SECCE2Rv1G0140430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931405494:931407347:-1 gene:SECCE2Rv1G0140430 transcript:SECCE2Rv1G0140430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSTEAAPTVVVGGYELRERLGGRPPATSVWRAVRLSTGAPAAVKQVRLAGLPGRLRDSLDCELRFLAAVSHPNIIRLLDVIQTPGCIYLVMELCEGGDLAVYIQRSGGRVEESVARNFMRQIGAGLQVLRRHHVVHRDLKPENILLSCPSSDAILKISDFGLSRVLHPGEYAETACGTRLYMAPEVMLFQKYDDKVDLWSIGAILFELLNGYPPFRGRSNVQMLQCINRTSSSLPFSQLVVPSLHPDSIDICNRLLCTNPVKRLSLQEFINHGFLRP >SECCE3Rv1G0158320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:87080047:87085250:1 gene:SECCE3Rv1G0158320 transcript:SECCE3Rv1G0158320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGVCTRSQTKRQKAWTAWRGDSLLDNPFEEEFGSLSDSGQGVWTELSKEVASNLVDSVVSLASFADGNTVFFACTGIIIVNNSTFTSCLTSLSLVRSIDDDTKILHDMMIEVRLPDNKLELGWLEFYDLKYNVAVINIAHDHSLQVTCLDHQRQFESHSKVVAVGRCFNSGKLMATAGMLTDNPQGAYREELAISTCEITMTGVGGPLVDFNGDFIGMNFYAEKETPFLPRIKILELLSQFRETIQWMATNKKEPGSKIERFPRPCKPDSEVSSRKGEKLKDQKPSICTLCDPECQPGLMDRQLLERKYLCSGRPSYPFFGVATKEELRSNGYPLPVWENVGMRLLNSFEEKFSEDIWSKLERNVASNMSRSVVALASFNGKTRCFACTGVFIDCNGSTTRVLTSASLVRTSDNENKVADNLKIVVCLPDNRHTRGTLQHYSLHYNIAVVHIKNFCCTQTAQIDNQMLIKPQKEVVAIGRVYQSGKLMATSGIVIDKPSKLDCKELKISTCKITKAGIGGPLIDFHGNFIGMNFYGLEEAPYIPVNIILKVLKNFDAQGTVARDDDDSPNRWPVPKSFWCYPRWHELEEEIDLEEEIIYHWQRQQF >SECCE5Rv1G0314660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:273663694:273664017:1 gene:SECCE5Rv1G0314660 transcript:SECCE5Rv1G0314660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRPAAWRTCAAAAVAACAVPVALSLVLLWLPLLCCAVAVVRFRRARRRIMQMCGSSTRGGGRFGAADAGDRLGLLQKYLEDQLELVGAEAGGLLRDLRQDNCSG >SECCE6Rv1G0381240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:30749868:30751299:1 gene:SECCE6Rv1G0381240 transcript:SECCE6Rv1G0381240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPAPAAAAAHSVFVYGTLMAEEVVRVLLGRVPPSSPALLPNHQRFSIRGRVYPAILPVDGSKVPGKVWKGITDRELDVLDIFEDEEYARETVGISLTDSADTMIAYAYIWGNVDDPDLYGEWDFDEWKKVHLKDYLTMTQDFKEELEQLESETHD >SECCE6Rv1G0453250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:881571576:881576846:-1 gene:SECCE6Rv1G0453250 transcript:SECCE6Rv1G0453250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAPARALLPTLLLLLLVFLAVAEAQQDITNKGAITGALNAKLKKMTDAFAPQVKRELGYCIQDTDAEWDATFNFSSDPKFLVDCMKKGDLPQRVCTAAELKFYFESILDRRGKKNYVRPNKNCNLSSWIDGCEPGWGCTADAGKEVDLQDANNFPSRTLDCQGCCAGFFCPHGLTCMIPCPLGAYCPQSTLNKTTGVCDPYNYQPPPGQPNHTCGGADRWSDVMSTDDVFCPAGYYCPSPILKLDCSSGFYCRKGSTTQTKCLSKASCKPNSTTQDITIFGAMLVGALSLVLLIIYNFSDQLLTNREKRQAKSREAAVRHAKETVQARERWRSAKDVAKKHAAGLQTSLTRTFSRKKSLRTHESSKGGGVPHPPEQHGAEESEGKKNNPTDAVRSLEENTGSSNVEVGGDKKNKGKHAHTQSQIFQYAYGQIEKEKALEQELEQNSNNLSLSGVIAMATDEDLRQRPRIEIAFKDLTLTLKGSKKKLLRSVSGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCATSGMILINGKQEPIRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLPVEMSQAEKVLVVERVIESLGLQPVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREAMEGVNISMVVHQPSYTLYNMFDDLILLAKGGMPVYHGPVKKVEEYFKGLGIVVPDRVNPPDYYIDILEGIVKPDTNAVNVKDLPLRWMLHNGYEVPRDMLQSSSGSESTFSGEAAPQAEAKKSLLGELWGNLRDILGQKKDEYDYNKSSQDLSNRRTPGKLRQYKYYLGRCGKQRLRESSIQGVDFLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCMIGALRSFSLEKIHYWRERAAGMSSLAYFMSKDTIDHFNTIVKPIVYLSMFYFFNNPRSSIWENYVVLVAVVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLFSNEQKDSMFANLCYTKWALEAFVIANAQRYSGVWLITRCGSLVKTGYDIDHKIMCILVLAANGIVFRCIAFFCMVIFQKH >SECCE4Rv1G0267160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734856315:734856659:-1 gene:SECCE4Rv1G0267160 transcript:SECCE4Rv1G0267160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMKDAPVPEGVEPKCAAEIVDEVLKTEVRQSTFLRNVGLQSSRNNSGKATAAMAPRVHDIEQKLERSELQAEVMQEELAAIKMKAEEAEAAREKAFELLRKKSQKQDEKLAH >SECCE1Rv1G0016810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:143311603:143314515:1 gene:SECCE1Rv1G0016810 transcript:SECCE1Rv1G0016810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTAAMVSGGKGGVLPLASLNHISIVCRSVEESLDFYMNVLGFTPIRRPGSFDFDGAWLFNYGIGIHLLQSEHPESLPAKKEINPKDNHISFQCESMVAVERRLKELGIPYIQRCVEEGGIYVDQIFFHDPDGFMIEICNCDNLPVVPLADKTFAMAACKRVASVKQQQLPMPVAQVAQATPAPTAAAASQCIPAPNPALQRVGGEEAAHISCA >SECCE6Rv1G0400340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:450262303:450264168:1 gene:SECCE6Rv1G0400340 transcript:SECCE6Rv1G0400340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALMANYASGSDDDDEPATVAGGAPGPQEASALLPPPPLDLLQPPNFVDYSTIAQGSRIRSFPHVEGNYALHVYIPVAIPFNARKQLTLVMRRAASLVPDLYAVDADYALSELCKDEQKLEKVLLGREFHVSLGRTVGIQVHQIDSLVAMLRQKFQSQQRYWMDFNKWEHFVNDDSTRSFLSLEVTRTGLAEISKQIHMVDEVYRLHGLPDFYKNPRPHISLAWALGDVSSKLKQATKEIEKFENSINLSKNCNLRCNFSRIVCKVGKKVYDICKIGD >SECCE1Rv1G0013100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:100730694:100732892:1 gene:SECCE1Rv1G0013100 transcript:SECCE1Rv1G0013100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLDSSARLMIVSDLDQTMVDHYDPENSALLRFEALWESEYSQDSLLVFSTGRTPVSYKGLRERKPLLTPDITIMSVGTVIAYGQEMTPDVGWEEFLNNKWDRDIVVQETATFPQLKPQPERNQGPHKVSFSVDKQGAQEVINSLPQKLEQLGLDVKIIYSSGEALDVLPRGAGKGQALIYLLEKFSSCGKSPNNVLVCGDSGNDADLFTVPSAYGVMVSNAQEELLQWYEENGKDNPNLLHATERCASGIMQAIGHFKLGPSFSARDLEFPYPKVDTIKPADVVVKFYVLYEKWRRGEVQKSPSVIQYLKSITHQNGTLIHPCGMEKSLHASVDALSSCYGDKQGKKFRAWVDRLVASPIGTSNWLVRFDNWEMEGDVQYCCRTTLLLNAKPETPEGLELTHIHKTWLEGYSAGNDHTFIL >SECCE4Rv1G0285480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:844861076:844863376:-1 gene:SECCE4Rv1G0285480 transcript:SECCE4Rv1G0285480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRSLGIYDDAKDPCGLMYYKGIYHNFYQYNPHCALWCWGDIAWGHSVSTDLVNWIQLEPVIEPDNPSDIAGCWTGSATILSGGQPAILYTGVSLDYCQVQNLLLPKNPSDPYLREWTKAGNNPVIQPVVPGLNRSCFRDPTTGWIGPDGLWRIAVGAQLYSYTAALLYKSEDFLSWTRVDHPLYSHNLSNMWECPDFFAVLPGNNSGLDMSVAVPRGAKHALKMSVDYFDKYLIGVYDLKRDAFVPDTIVDDCRLWLRIDYGNFYASKSFFDSKKGRRIIWGWSQEADCRSDDIAKGWSGVHTIPRTIWLDSNGKQLLQWPVDEIESLRTNEINHQGLELNKGDLFEINGVDTFQADVEIYFELTSINSAEPFNPSWLLEPEKHCCEAGASVHGGIGPFGLVILASNNMDEHTVVHFRVYKSQRKYMILMCSDLRRSSIRPSPYTPTYGGFFELDLAKERQISLRTLIDRSAVESFGGGGRVCITSRVYPAVLADVGKAHMYAFNNGSATVRVPQLSAWTMRKAQVNVEKGWSAI >SECCE7Rv1G0466720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:80834134:80834727:-1 gene:SECCE7Rv1G0466720 transcript:SECCE7Rv1G0466720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTALILLISSVLLAAAAYIRREPGHADGSFADAHLHFYMHDAYSGPRPTAALIVTGREPLPLPSDDGATDGEATSSFPRRFGDIAVMNNALTEGPERGSARVGTAQGFTVRVAEHGAVNDLSLHLVLEAGEYGGSSLAVKGRVDTGAAVRESIIVGGTGRFRFATGYALSRSYDYDIANGGVVEIDVYVQLRRV >SECCE6Rv1G0428160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:724666091:724666532:-1 gene:SECCE6Rv1G0428160 transcript:SECCE6Rv1G0428160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFSRDQLMGSAFVAFGIILFVDFFYAAVVSKMLPPCENWLLSVLQNDRYYCLLVPLTLPVIIVAVYLHWLSMKMFNHA >SECCE5Rv1G0338340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597666941:597670423:-1 gene:SECCE5Rv1G0338340 transcript:SECCE5Rv1G0338340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTSMIAVALFATALMPGRASALTRHDFPEGFVFGAGTSAYQVEGAAEEDGRKPSIWDTFTHQGYSYNKSTADVSADQYHHYKDDVKLMHEMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELIRHGIQPHVTIYHLDLPQSLQDEYNGLLSPRFIDDYTAYAEACFKSFGDRVKHWVTVNEPNIETIGGFDNGQLPPQRCSHPFGENCTRGDSTTEPYVAAHHLLLAHASAVRLYRDKYQATQRGQIGITLLGWWHEPATNSSQDAAAATRMNDFHIGWFMHPLVYGDYPSVMRSRAGARLPDLTAEQSKKLRGAFDFIGLNHYLVVRARADDSAFSLEQRDYYADAAAIANPIEDIQEGHLEYAPWALGKLLDHLKLKYGNPPVMIHENGHADPPKISGKIVYDDDDRSEFLQDYLEVLYLSIRNGSDARGYFVWSFLDVFEWLFGYRSRFGLCGVDMNIDGRPRYVRNSARWYSGFLNGGELRPVSPSGKAYRAA >SECCE5Rv1G0315940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:300602587:300610139:1 gene:SECCE5Rv1G0315940 transcript:SECCE5Rv1G0315940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g05090/T12H1_5 [Source:Projected from Arabidopsis thaliana (AT3G05090) UniProtKB/TrEMBL;Acc:Q93ZS6] MHRVGSAGNTAGSSRPRKEKRFTYVLNDADNKKHCAGINCLSYLNASASGTSDYLFTGSRDGTLKRWEYQNGDANFSATFESHVDWVNDAIIVGQNLVSCSSDTTLKVWNCLEDGACTKTLRQHSDYVICLAAAEKNSNIVASGGLGGEVFIWDLDAAIAPITKSVDAKEDEVPNGNSGPALSTLCNVNSSGNIVSTNGKSHGYSPIAAKGHKDSVYALDMNDTGTLLVSGGTEKVVRVWDPRTGSKNMKLRGHTDNIRALLIDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPSFGHVYSGGRDQSVYLTDLSTRESVLLCTNEHPILQLSLQDDTIWVATTDSSVYGWPAEGQTPQKVFQKGGSFLAGNLSFSRARASLEGSAPVPVYKEPSFTIPGVPAIVQHEIMNNRRHVLTKDTVGSVKLWEITRGAVIEDFGKVSFDDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVTGAQEDLKINLAHETLRGLLVHWSKRRPKPGPHSLSNGDSSIGKDVSLKNLPHPRSDVDDGSENHANNVLPSFEFSTVSPPSVITESSSGGPWRKRITDLDGTDDDLPWWCVDCAENGRFPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRVLKVANYVVEKLVLEKPLDGSPDSTFAMGLTSGTSALDSSSRLGLKPWQKLKPSVEILCNNQVLSPEMSLATVRTYIWKKPEDLILNYRVVQSR >SECCE1Rv1G0029510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:416200591:416203251:1 gene:SECCE1Rv1G0029510 transcript:SECCE1Rv1G0029510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTTASRLISRRLAAPLPPPPSSSCSAPRAGARPGPRRFAVRWDGRPRALLGGFSDADEDASDDEDEEDGRGALSGGPSRQQQEFEDVIELAAAAFSGPERWDVLGLGQAMVDFSGMVDDEFLERLGIEKGTRKVVNHEERGRVLRAMDGCTYKAAAGGSLSNSLVALARLGSSPASSYPELRIAMAGSVGSDPLGSFYRQKLHRANVQFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTLAYDSDLAEIVSKSNLLIVEGYLFEFSHTIEAIKQACEDAKKNGALIAVSASDVSCIKRCHSDFWDIVGNYADILFANANEARAFCELTSEESTVSAARYLSHSIPLVSVTDGMHGSYIGVKGEAIYIPPPACIPVDTCGAGDAYASGILYGILRGASDLKGIGLLAAQVAAVVVGQQGTRLRVKDADRLAESFEFHLDNLEFCSDAETDQVPNL >SECCE7Rv1G0522320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:877050021:877052730:1 gene:SECCE7Rv1G0522320 transcript:SECCE7Rv1G0522320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTADAARNVVGIMGNCISFCLFLSPAQTFDRICKNKDVEQFTPDPYLATLMNCLLWLFYGLPIVHPNSFLVITINGIGIVIETIYLTIFFLYSPPKKRLKILLVIGFEVAFVASVVVGVLLSAHTYEDRSRIVGIICIVFGTIMYAAPLTVMGKVIKTKSVEYMPFTVSLVNTINGCCWLSYGLIGNDPYVTIPNAIGTLLGIFQLILYLCYYKSTPTKEQNVELPTAVTDN >SECCE6Rv1G0429840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:738406918:738408183:-1 gene:SECCE6Rv1G0429840 transcript:SECCE6Rv1G0429840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVPPVLTSPRHDAIALHRAFKGFGCDSTTVINILAHRDAVQRALIMQEYRAMYRQELYHRLSTELSGNHKKAMLLWVLDPVGRDAAILNQSLNGDITDLRAATEVICSRTPSQLQIMKQAYRARFGCYLEQDVSERTYGDHQKLLLAYLGVPRYEGPEVDPAAAARDARELYRAGEKRLGTDERAFIRVFTERSWAHLAAVADAYHHLYARSLDKAVKSETSGTFELGLLTILRCAECPARYFAKALHKAMKGLGTSDTTLIRVVVTRAEVDMQYIKAEYHKKYKRSLADAIHSETSGNYRTFLLSLVGRDR >SECCE3Rv1G0193420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:769601354:769601695:-1 gene:SECCE3Rv1G0193420 transcript:SECCE3Rv1G0193420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAITHQAVSWADDGEWELPSPGDDDEGRAERRKEHASEVTIRISKRQLQELVDKRATDDGHGHHIWKSGRSASELLVDIMNAGEVHHHVDHCRAAHWKPALQSIPEAVES >SECCE2Rv1G0091110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:308251251:308256889:1 gene:SECCE2Rv1G0091110 transcript:SECCE2Rv1G0091110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYHKMKDKVKDAFSSSGPETGKGKTKLSGRRVKHGYHLVKGKSNHPMEDYLVAEYRQVAEHDLGLFAIFDGHLGHTVPDFLRAHLFDNILSEPEFLSDTKNAIRKAYLLTDEKILEKAAELGRGGSTAVTAILISSNDSVKLVVANIGDSRAVICKNGKAEQLSVDHEPSMEREIIEEKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPHVAEEVIDESSDFLILASDGLWKVMTNQEAVDEIKDIRDAQAAAKHLTEQAVNRKSKDDISCVVVSFHC >SECCE4Rv1G0241470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:453420601:453438864:1 gene:SECCE4Rv1G0241470 transcript:SECCE4Rv1G0241470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRPSDDDDKGSPPPPDQGPGAEAQSSGARRPGKRAKATVPEEDTTKTTAAPATDAPEAAIATGPPVAPPDTTGLQALTGAMDRLEAFLRSGEAASNSDGHKRAATEKDLSAMLKRAKDLSAKATSNKRQGALGTRRQEPWCRLISQYATDPSLPIHSSYFTVGYGAQYDLRLGESSTSSLVCKLKLATKRGALLEIHEPKVVRVNGKALDKNAKVTLNGGDEIVFSSPLRRAYIFQQHQQDKSSTSAFSSTCSSIHQGQHSLIKDIQDHLSSKGPKLPSFYFGKSRPPSTPLMPIGSSADPDIFGSFCKTREDQSNSDESIQSARSQLSKEDLKNATHDASDISESFDNFPYYLSEDTKCALLSSAFVHLQCKDYIEFTKHISSLGQRALLSGPAGTEIYQQYLVKALAKHFGARLLTVDSSMLFGGQTSKELESYKKGDRVRYIGSLLPTNVILDGHSPPEFGSLGQICLPFEENRSSKVGVRFDEQIQGGIDLGGSCEVDHGLFCSVDSLCLDSPGWENRSKHPFDVIIQFISEEIQHGPMVLFLKDTEKICGNNDSYYGLKSKLEHFPAGVFIVGSHIQPDSRKEKANAGSLFLSKFPYSQAILDLALQDLDRVHDKSKEMSKGARHLTKIFPNKVMIQPPQDEVELSRWNQMLDQDIEILKANDNTSKIRSFLTRIGLECSHLETICVKDRTLTNECIDTIVGFALSHQLKHLTATNHDPSIDLQFSLSSESLKHGVDMLESIQSGPKSSNRRKSLKDIATENEFEKKLLADVIPPDEIGVTFEDIGALESVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDASNRRKIISVILAKEDLADDLDLEAIANLTEGYSGSDLKNLCVTAAHLPIRELLEKEKKERALAEAENRPLPQSCSSIDVRALRISDFKHAHEQVCASVSSDSTNMNELVQWNDLYGEGGSRKTTTLSYFM >SECCE4Rv1G0221150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:46155812:46163772:1 gene:SECCE4Rv1G0221150 transcript:SECCE4Rv1G0221150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 16 [Source:Projected from Arabidopsis thaliana (AT4G34910) UniProtKB/Swiss-Prot;Acc:Q9SW44] MAKKQKQRGSLRGEEHVEAAAAAAAAHEDAPAAIVEEEAAQEAGGEVAEGRTADAASGGVEEGKEEAAAAAEEEEEREVTFDELGLDEQLKRALRKKGLTKTTPIQREAIPLILEGKDVVAKAKTGSGKTFAYLLPLLQELLKLCKEGRIRKSAPNALILVPTRELCQQVYSEASSLLELCTSKLKIVQVTASMSDKDIKLALSGPPNILVTTPACVAACISKGIVQGSSIKESLSMMILDEADLLLSYRCEDDLKALVPHIPRSCQSILMSATSSPDIDKLTKLLLHNPFVLTLTEVGNAKDDVVPKNVQQFWISCSAKDKMLYILSLLKLELIQKKVLIFVNSIDNAFRLRLFLEKFAIRSAVLNAELPQNSRLHIIEAFNARLFDYLIATDDNKTKEAKQTNKESKKDSKGSRKHLQQSLDAEFGVVRGIDFKNVFTVVNFDMPPNPAGYIHRIGRTGRANKTGASISLVSPEEDNVFEEIEHMLQDVEKKDTNCILPFPLLTKNAVESLRYRAQDVARSVTSRDIQEARRQDVKNEILNSEKLKAHFEENPTDLDLLKHDKLLTNKSIPAHLRDVPEYLIDPTTKEASNAVKLSRAAMGLDNPRRKKRTGFRSGSGKSSDPLRTFSAEGKSRRRGGKERDGDDRRKRRKKAEI >SECCE5Rv1G0349910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:687270235:687271029:-1 gene:SECCE5Rv1G0349910 transcript:SECCE5Rv1G0349910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAEVTTQVNSWVEKVTSGRIKDILPPGSIKNTTKLVLANALYFKGAWAEQFSSYGTKNDYFYLLDGSSVQTPFMSSMDDQYLSSSDGLKVLKLLYKQSGDNRQFSMYILLPEAPGGLSSLAQKLSAEPDFLERHIPRQRVALRQFKLPKFKISFEIEASDLLKPLGLQLPFSDEADFSEMVDSPTPQGLRVSSVFHQAFVEVNEQGTEAAASTAIKMSQQSARPPSDMDFIADHPFLFLIREDISGVVLFIGHVVNPLLSS >SECCE3Rv1G0182350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:626808282:626815227:-1 gene:SECCE3Rv1G0182350 transcript:SECCE3Rv1G0182350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSGAGRMRACGPWGEGGSGIAGDSNALEREMSRDGSHYSLSSGILPSLGARSNRRVKLRPFIISPYDRRYRLWETFLIVLVVYSAWVSPFEFGFIRIPTGGLAATDNAVNAIFAIDIILTFFVAYLDRLTYLLEDDPKRIAWRYTTSWLVLDVASTIPSEIARRMLPSKLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLLADRYPDPKETWIGNTMSDFHSKGLWIRYVTSVYWSITTLTTVGYGDYHAENIREMIFNIFYMFFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATSFALRNQLPPRLQDQMISHLSLKFRTDSEGLQQQETLDALPKAIRSSISQYLFLNLVQNIYLFQGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVELVEVPNGAEHGAEQVVGVAKSGEVIGEIGVLCYRPQLFTVRTRSLCQLLRMNRTAFLSIVQSNVGDGTIIMNNLIQLLKEQKDGVMVGVLKEIESMLARGRLDLPITLCFAVTRGDDHLLHQLLKRNLDPNESDQDGRTALHIAASNGNEQCVKLLLDYGADPNARDSEGKVPLWEAVYAKHDTVVQLLINGGAELSSGDTSLYACTAIEQNNIELLKQILKHVIDVNRPSKDGNIPLHRAVCDGNVEMVELLLRHGADIDKQDSNGWTPRALAEQQGHEEIQNLFRSVIAPRKYTSNGRVPPMLLGRFSSDPSMQKVIREDAEQQPSKVLPQRRKVSFHNSLFGVISSSHPRRETDHLLSRGLAATGGPSYPRAHHKPLIRVTISCPEMGNTSGKLVILPGSMKELLQLGAKKFEMMPTKVLTIEGAEVDEVELIRDGDHLVLASDDWVPDDTQIRGKN >SECCE1Rv1G0048980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:638316640:638321990:1 gene:SECCE1Rv1G0048980 transcript:SECCE1Rv1G0048980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAGSHLRSLKNHGASRFASTSVVKQSSGGLFSWLLGGGSSQLPPLDVPLPGITIPPPLPDFVEQSKTKITTLPNGIKIASETSPGAAASVGLYIDCGSIYETPASSGASHLLERMAFRSTANRSHLRLVREVEAIGGNVSASASREQMSYTYDALKSYAPEMVEVLVDSVRNPAFLEWEVKEQLQKIKSEISEVSSNPQGLLLEALHAAGYSGALAKPLMATESAMNRLDISTLEEFIHENYTAPRMVLAASGLEHDELVSIAEPLLSDLPGVKRHEEPKSVYVGGDYRCQADSPNTHIALAFEVPGGWRQEKTAMIVTVLQMLMGGGGSFSVGGPGKGMHSRLYLRVLNNYEQIESFSAFNSIYNDSGLFGIHAATSPEFASTAVDLAAGELLEVATPGKVTQEQLDRAKEATKAAVLMNLESRIVASEDIGRQVLTYGQRKPVEHFVKAVEATTLNDISMVAQKIISSPLTLASWGDVIHVPSYESVSRKFLSK >SECCE3Rv1G0157570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:82376994:82379693:-1 gene:SECCE3Rv1G0157570 transcript:SECCE3Rv1G0157570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVRMNPATAVLDSFKHIKRTAGGRPDQFDLAAVLSACGRLGILDCGTQVHCDAVKSGFFSGAFCATALVNMYARCGCVGDARRVFGGIACPDTVCWTSMISGYHRSGSYCEALSLFSRMLKMGSSPDQVTCVTVISILASLGRLDDARALLKRMPAPSTVAWNAVISSYAQQSGIEHEVFGLYKDMKRQGLWPSRSTFASMLSAAANIKAFDEGQQFHASSVRHGLDANVFVGSSLINLYAKCGCISEARYVFDFSRERNIVMWNAMLNGLVRNELQEEAIQMFCYMTRLGLEADEFTFVSALGACAYLDSHCLGRQVQCVTIKNCMAASLLVANATLDMHSKFGAIDDAKTLFNLIPYKDTVSWNALIVGLAHNGEEEEAIGMLGLMNVDGITPDVVSFATVVNACSNIRATETGKQIHCLAMKYSICSNHAVGSSLIDLYSKHGDVESCRKVLAQVDASSIVPINALIAGLMQNNRDAEAIQLFQQVLRDGLKPSSFTFSSILSGCTGLLSSTVGKQAHCYTMKSGLLNDDSSLGVLLIRIYLKFKMPEDADKLLTEMPDHKNLLQWTAIISGYAQNGYSSQSLLSFWRMRSYDVHSDEATFASILKACSEMTALNDGKEIHGLIIKSGFNSYETSTSALIDMYSKCGDITSSFEAFKQFENKQGITLWNSMIVGFAKNGYADEALLLFQKMQESQLKPDEVTFLGVLIACAHAGLISVGRHYFDSMNKVYGLKPRVDHYACFIDLLGRGGHLEEAEEVINQLPFRYDGVIWATYIAACRMHNDEERGKVAAKKLAELEPENSSTYVLVSGLHAAAGNWGEAKIAREAMRENGVTKFPGCSWVTVGNKTSLFLVQDKKHPDSLSIYEKLDDLTGMMKKDDDIEEYDMLISAEMFT >SECCE1Rv1G0011040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:70497536:70497832:-1 gene:SECCE1Rv1G0011040 transcript:SECCE1Rv1G0011040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTDRESPLRGLSPSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE5Rv1G0357670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:748681780:748683056:-1 gene:SECCE5Rv1G0357670 transcript:SECCE5Rv1G0357670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKATMSAMELEMEKVMSDGEVVLGGGGDEEEDDDVVLPGYRFHPTDEELVTFYLRRKVARKSLRVEVIREMDVYKHDPWDLPKASTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAGGSGSGSGVSVGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPTAAAATNASPSMQEAEVWTICRIFRRTITYRKQQQPWRPAPAPSAADSNSNAGSFESAEAGDEYMNCLQAPAPAAPCIPQHQHQQQQQYVSQTGTVESGNFFYRDAMHNQQFQGQWNAPPAAPVAEQKPQNPLSTADSFHPNDHSLAAAANDFYKVEALEGYLEEIARMLEVTDPAGFYDYRSYGSSAGNS >SECCEUnv1G0567740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:417401540:417402441:-1 gene:SECCEUnv1G0567740 transcript:SECCEUnv1G0567740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLNALASYVTKMLADMARDEVAMLIGVSGQIDDLSLKLRDLSNFLEDADRRNITDKSVCAWVEDLKRAMYLATDILDLCRLKAMEQGPSMDMGCLNPLLFCMRNPLHAHDIGTRIKVLNQQLDDICKRGRSFNFIRLEGYQERKTNHPPPVDRKTHPVLDLSGVVGEKIEDDTRALVQLLTKEASDNSDNIMVFAIVGVGGIGKTTLSKKVFNDEAMQAKFSKRIWLSITQEFSEVELLRTAISAADGNLPGPGGGSQDKALLVPALVVDDTL >SECCE2Rv1G0100950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:550480724:550486405:1 gene:SECCE2Rv1G0100950 transcript:SECCE2Rv1G0100950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRAASGLARVALRRSLSRAPASPFAGPAPRYFHSSLPRRYAAPEPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVSGHMKAKLDPLGLEQRPVPDVLDPAFYGFSESDLDREFFLGVWRMAGFLSENRPVQTLRSVLGRLEQAYCGTIGYEYMHIPDREKCNWLRERIETVNPREYTYDRRQVMLDRLIWSTQFENFLAQKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVNEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDLDRTKNLGVLLHGDGSFSGQGVVYETLHLSALPNYTTGGTIHLVVNNQVAFTTDPMSGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHTCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSALEIYQNQLLESGKISKEDIDKIHKKVSTILNEEFKKSKDDIPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPETFKPHRAVKKIFDLRRQMIETGEGIDWAVGEALAFATLIIEGNHVRLSGQDVERGTFSHRHSVIHDQETGEQYCPLDNLVMNQNEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFISSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARMERFLQMSDDNPYVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSSLSEFDDLAGHPGFDKQGTRFKRLIKDRNDHKDLEEGIRRLVLCSGKVYYELDEERKKSDSNDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLLTAMRALGRGSIDDIKYAGRAPSAATATGFYTVHVQEQTELVKKALQRDPIKSPF >SECCE1Rv1G0037200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:520157693:520168407:1 gene:SECCE1Rv1G0037200 transcript:SECCE1Rv1G0037200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFAAARTVPLLLLVARLAAAGMPHRILVDTDMDTDDLFAILYLLKHDRAEFDVKAITISTNSWTDAGHAVNQLYDLLYMMGRDDIAVGVGGEGGISNDGRIYPQVGGYFPIIDQGMSTVGECRYRQSIPQGAGGRLDINANYGVRREILPQGNRSYSPLQQPTTQQVMIDTISAGPTNVFLLGTHTNFALFLMSNPHLKKNVKHIYIMGGGVRSQNPTGCCPKNDTSCVPRQCGDHGNMFTTYTKNPYAEFNIYGDPFGAYQVFHSGIPITLVPLDATNTIPITESFFKAFEEQSTYEAQYSFQSLKIARDTWFDDQFYTSYFMWDSFMSGVALSIMRNGQKPNGDNDFAEMEVINITVVTSNEPYGVHDGSNPFFDGHASPKFDLLKGGVHSGHVQTGFNDSFCVLKGSTKGKCQDGYTKEVQGPDSVAVLVAVKAKPNRNVKSPLDREFFDHFLEVLNRPEHTGHFNFTDQFRHYKEIMYKPDLKHQIRGKPVIFDMDMSPGDFLALLCLLKAPMEAIDLRGILVSGNGWANPATEQREYDVLHMMGRDDIPVGLGKITALGAPDLGCEYVKAIPHGSGGFLDTDTLFGLARVLPRSPRRYTAENSVKYGAPRDTARPELRQPLAFEVWQHIREELKPTDKITILTNGPLTNIANIIQSDTKAESVIERIFIVGGHLAGGNGDGGNVFTVPSNKFSEFNFFLDPQAAKAVVESGLDITLIPLRAQHQVDSFKEVTRSLCTAEKTPESSFAYQLLLSMQELQKNNQAYRHIDMFLGELLGAVFLVQQSHLNHSITQRAITVRSGHVNIDGQTILRGTNGKAVKVLDHLDASAYYTEFAKLLNAKEQSAVVGSFDEQKRMWNK >SECCE2Rv1G0107810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:655151971:655152872:1 gene:SECCE2Rv1G0107810 transcript:SECCE2Rv1G0107810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAPPQTLRPSRPLLPPPRCSGSTQSSPSSAGNIRRQVLQPEGRAKLDPRSDRDFYAFPRLVKHVDDGFLATLTDLYRERLSPESDVLDLMSSWVSHLPPEMRFRRVVGHGLNAQELAKNPRLDYFFVSDLNREQRLELESASLDAVLCTVSVQYLQSPEKVFAEIYRVLKPGGVCIVSFSNRMFYEKAIGAWREGSAYSRVQLVTQYFQCVEGFTQPEVVRRLPPSDAGGKSGSSPLEALMRLFGQANSDPFYALISYRNFKPM >SECCE2Rv1G0142390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:939967906:939977285:1 gene:SECCE2Rv1G0142390 transcript:SECCE2Rv1G0142390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICSTYFFDLTRYARTDILSTPLTQHPKSLDLVTGALSSLLPRLLALLKDDQLEEKIKYSVKSLHKVLEGMQAPLQELASLEPAQLNDATRMWAKHIGDLSYDAENVIDSLVMHIEGSRGGTDKHIMKIAELLARQELVDAINGIRKQARDVADQRKMYNVGISIAASKNAAANVDPPLSALYKNPKAKVGIKAPRDMLIRKLTDGTADVFKQTRIVSMVGMRGLGKTTLAKAVYDKIQVQYDCTAFVSVHGNPEIHDIRKIFMDILFQIGKQEYTNRILANLNERQLIYEIRRQLANKRYFIVIDDIWDILSWEKIQMALIDSGRGSGVVITTRIEYVGRKAGEVFMLDALTSQQSEALFNARLFYGEKKIPNELPKVPEKILSKCNGVPLVIITMASLLVGKPMNDWPKVYKNIGFGSDGYNEVRDDIMKIVLYTYYDMPYFLKTCALHLHIFPEDYIIEKETLIWKWAAEGLLAEEPGTGLFELGERYFKELISRNVLMPVEEDSNYSGKVIGCRVHKLAFDIIRSLSSQENFVTVLEGSWKYSSLESRVRRLSVQDRAGEQGDRLAHSSLGFMKSFNATGCHFNAELSLSRFTFLRVIAIEECTLFEGSLSHLGELHLLKYLGLYYTPVKKLPEEVGDLMNLQTMDLRGTGVRELPQRVALLRKLKCLRADEGTVVPDWMGNLTSLEELRLGDVGMSVNFMNELGKMTELRELEIWIEGSDKNQKKALVQSLRQLEKIQALRLMGHWSFGGELNWGDFDPPPKLHELHLSCLSSRLPTWINVSLIPMLSHLHVNVKAVEDQDLKNLGRLSKLISLELLLPSDVFPSIKDNGAFPELRCFYTSTTFSFSEGTMPSLELFHFKVDVPAMKDANISFDDESVASNLKNLPLLQRVEVETSSTVGAEVIHEALKRAVDEHWNSPSLYIVKADQEDTAHQRPQ >SECCE2Rv1G0139420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:927010625:927010870:-1 gene:SECCE2Rv1G0139420 transcript:SECCE2Rv1G0139420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAQSPASSCVSSDEEEAAAAKAMVVAGCPRCLMYVMLSSEPPRCPRCNSPVLLQFLHGAGADANANTNTNTNRQDSKS >SECCE3Rv1G0166130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:183009509:183013330:1 gene:SECCE3Rv1G0166130 transcript:SECCE3Rv1G0166130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARWGLMLLFVLVLLLQAQAWAGAAPLNGEGLALLELRARVEGDPHGVFHDWDPMDNNPCRWSGIQCSDGNVEILNLTGHELAGTLAPEIGSLQCLRSLLLPKNNFHGQIPREFGGLSALEVLDLSANNLDGTIPKELGTMPLLKQLSLNDNQFQEGVSSFNIQDGAAEQTRCLSRKLGCWLGSKNWISFNILHGKYCNNLPSFTESHVMQNLQSIASAMRRRLLGEAGNLPALSGNNDLENSTGIQRPADVLSLGTGSFPAFPKSDGQILMPSVPESVENVDAATPKPVPAAATQPADKESSGVKYGIWTYVLIFLAAILLISLIIAPILVCRKRGDGSIAPWKTGLSGQLRKAFVTGVPKLNRQELEAACEDFSNILNAQPSCTVFKGTLSSGVEICVVSTSISSINEWSKSSETFFRKKIDTLSRVNHKNFVNLLGFCIENKPFMRMMVYEYAPNGTLSEHLHLKVFEDLDWAARMRIIMGLAYCLQYMHHELDPPVAINDIRSDAIFMTDDYAAKIADVGMWKEVADRAKAAKQDGSSRSEPPPDLAGSVFCFGTLVLEIISGKLPEPNEHEPTCIWAAEHLKAKNYAELVDTVLEEHRANELEAVCEVIEECTDPDPTRRPAMRDVTGKLRDVLGISPEAAAPRLSPLWWAELELLSIKSN >SECCE3Rv1G0166160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:183236758:183238320:-1 gene:SECCE3Rv1G0166160 transcript:SECCE3Rv1G0166160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMASKGSSGRALAGVSGREREREGKKALLLGRFEVGKMLGQGNFAKVYQARNVATGQEVAIKVIEKEKVFKSGLTSHIKREIAALRRVRHPHIVQLYEVMATKLRIYFVMEYVRGGELFARVAKGPLPESEARRYFQQLVSAVAFCHARGVYHRDIKPENLLVDDAGDLKVSDFGLSAVAEQMRHDGLFHTFCGTPAYVAPEVLSRRGYDAAKADLWSCGVVLFVLGAGYLPFQDRNLVGMYRKIHRGDFRCPKWFSPELLRLMHRVLDTKPVRRASVEEIMENEWFKVGFRRFSFRIEDDRSFTCFDLDDGDAYAPTSPPDTPRTADGSDYGDATDQQQKISGGMTSCGSAPSLLEGRFGQLGGSSRRRSSLNAFDLISFSPGFDLSGLFEDGGGEGSSGEGEQKQNAARFVSAAPVEQILAALERTAAAAGMAVRAREDGSVIMEGTREGANGALAVAAEIYELTPELVVVEVRRKSGGAAEYEAFYRARLQPSLRELMTEEPAPRVGSKDLPRSV >SECCE6Rv1G0426470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712806501:712812210:-1 gene:SECCE6Rv1G0426470 transcript:SECCE6Rv1G0426470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRRRSAPMFPAGGTTRSMLLQAAYDGDLRSFKSEARALDKGRGRLRETVEAVTVEGGDDVLKGVGALHLAAARGKMEMCAYLVEELRVDVNAVDNGGRTPLVHAMYGEKVATFKYLLDHGANPDRLDPNGFAPLHSAAGLGYCEMVKLLLARGACTDPVTCCGTPLHIAATEGQDRAMKILLGHNADYNKMINGMTPLYFAINVASVKCAKLLIQAGGVANGDFILTALADAPNNGSAECLNCLLGFGAEWHARNDEEPVDRKKVAELKSQGSKAVARKDFLSAAEAYSMALELDPDDATLFSNRSLCWLHIGKGGKPLLSLLDAYECKKRRPDWSKAFYRESKALALLKDYKGACDALLNVLKMDPGNTEIEDGLRKAMESLKVSQSTKAK >SECCE5Rv1G0301130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29159780:29160430:-1 gene:SECCE5Rv1G0301130 transcript:SECCE5Rv1G0301130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANKFRDPLLATVANKTIPAAVRRASGWALLTACATLASFAVGHVLAYALVHYKACCTESSVFLHCVQWTDVEAAGESALWFWMLFCAVLQVAAAELARHLPCRHRWVRRALAYLALVVTLFGHCIYAVHVRLILAADPGHVFVWILGTADIAIFAGGDLLCFMGLLLGGDN >SECCE6Rv1G0422540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:690054278:690054586:1 gene:SECCE6Rv1G0422540 transcript:SECCE6Rv1G0422540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWSLWKDGNTKDLVDSSIVGSCSPSETIRCIHIGLLCVQDSPNARPLVSSIVSFLENGDISLPPPKESVYFAENNNGNDGAAENTVNSANNMSITVVEGR >SECCE4Rv1G0269060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:747155898:747156819:1 gene:SECCE4Rv1G0269060 transcript:SECCE4Rv1G0269060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQCLDLGLSLGLGLVSQSSQSQSSFWYSGGNVAADQEVGPTPTAVAVEERRCSPGSPASSGSGSGLKRGAERSAGSGDEDQDDDGGNARKKLRLSKDQAAVLEECFKTHHTLTPKQKLALANSLGLRPRQVEVWFQNRRARTKLKQTEVDCEYMKRWCEQLAEQNRRLEKEVAELRALKATPPAHNGASTGPLTTLTMCLSCKRVASTSSASACPVPSFSTNAGIGMPMPSPVALPEQRQFFCGFRDTGAAAYGGSAGLAKVVKAAR >SECCE5Rv1G0332860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:552819491:552822674:1 gene:SECCE5Rv1G0332860 transcript:SECCE5Rv1G0332860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEEPEAKKQINEECIINRLPGDLIERIFFRLPVSTLLTCGGVCKQWQKFIRDPQFAASHLQLAPSYALLFFPQGLVSGEPYPSDAILIDEAWSPSTYAVPVIGPDDFLFGSCNGLLASYTKTSTIKIANLATGEYLHLEKPSKNVKGDHFCFYSFGFHPVTKEYKITHFLGDCVNGRPHNKGRFNIIQVYTLGDEKWKDIRTPEAFSLISVRNSGVVNVDGKMYWLTEDMLVSWQHAIISFDLREESFATIQLPAEREDQGSYGPRKFWIREMHGKICIVTAQPSRYDPRALVGELQIWTLENTVEQQRWSKKYNIEKPPNYIPGPHSVHRDRIITQLYNSVCSYDLFSENFEIDLSKKVKLFDSKPRWMYNMQSYIFVKSLVSLDVYKKAGIVRRPKQQVGWELKKWKAWEDKRREVEDIRCRVHKYEHALFGNAEKMGKMYQSLQDKPHDVAERLRAELNQVLQDKPDNPSQPKSPRRLNWVEQKQDYEKLMARSVKLKERAQVMKQAHDNILSIIASFKADKGKSAAGASSSSIARLDEKKEEGNI >SECCE2Rv1G0092070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:341388777:341404713:-1 gene:SECCE2Rv1G0092070 transcript:SECCE2Rv1G0092070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVESPQWRRKATDFFSSSSFKLKQAGQSAGDNIADVAGKVGTVVKSRWAIFQDARQRPPLSGDTVQERFISAAANTGVILRKGISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASNDVFGVPVEATVHREQSGKAVPLILVRCADHLVISGLSNEYLFKSEGDRKVLQLLVSLYNEDSGASLPDGVSPIDVAALIKCYLASIPEPLTTFALYDELKDARVSIDDLKNILKKLPNVNYMTLEFVTALLLRVSRKSALNKMDSRTLAVEFAPLIIWQQGDSGTDLRNHLRFTLKPPPKIMDTTTSTTTWDLLDEDDVDVSSQIPLDDGSPPDYGAIEVIQCLIEHHNPIFTDANETLWR >SECCE4Rv1G0248920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:577307593:577311219:1 gene:SECCE4Rv1G0248920 transcript:SECCE4Rv1G0248920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAASAAGARGVAALLVAALLLGATGPASAASSYPAKVLGGLLTSTATAVAKKLWSLKSTARTATAAAAASGRSMVKYEGGYAVETVFDGSNLGIEPHSVELTPAGDLLLLDSMNSNLYRVQLPLSRYSRPKLVAGSLEGLSGHVDGRLREAKMNHPKGFAVDDRGNIYVADAMNMAIRKISDAGVTTIAGGKSMRGGHMDGPSDDAKFSTDFEIRYIGSSCSLLVIDRGNQAIREIPLQPDDCEYQDEAGFPLGVALLFAAGFFGYMLALLQRRVLGMVSATEEPQTPPRPNNASIPPYQPYQPYQQPFKPSLRPPLIPNEDEAGKHEAEEGFFTSVGKLMGGAKSSVADMFSRKKRPARQHHQQRRASPWPVQESYAIPHEETPPPLDSRGPTPQKNYGFMTTEPEKAHHLRHGQPYLGGWDARGPQQQQQVYHQQQLQQQQHRQQLEQQVYRQQQHRQPPEQQAYHLQQHQQRRQPEQQMYQLQQHRQYSSGPQTFYEQSCETTNEVVFGAVQEVDSKRRMVEIKAVNYGDTFYEQYGMRYRNNYIGYNSNNY >SECCE7Rv1G0470490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:120989655:120990963:1 gene:SECCE7Rv1G0470490 transcript:SECCE7Rv1G0470490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAADAAAVVIQHPGSGRTELAYWSLPAGEVMAANPGHYVAAVITAPHASSEGAGAAPVKHLKLLRPDDTLLLGRVYRLVSFEEVLKEFATKRHVKLSRVMVKAKDEVAAATTKPAKPRRRRGSSGGADAGVVREESDRSLAKIMRQSVEEEEAAAAAAAPTSGPVPKPGTNDNNGVAASEPSLDDDDDCDLESLLPPGVALGRRLGRQWRPALQSIAEGRD >SECCE1Rv1G0052360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:661000456:661001793:-1 gene:SECCE1Rv1G0052360 transcript:SECCE1Rv1G0052360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVSKQWTRVRTLGRGASGAEVFLAADDTSGELFAVKSASTACAAALRREQCVMAGLRSPRVVSCIGGRPARDGSYQLFLEFAPGGSLADQLAIDGGLHELAVRGYGADVAAGLAYLHGAGMVHGDVKARNVVIGADGRAKLADFGCSRKAGADVPIIGGTPAFMAPEVARGEEQGPAADVWALGCMVVEMATGRAPWSGMDGDALAALHRIGYTEAVPEVPEWMSADAKDFLARSFVRRASDRCTAAQMLEHPFLAAAVVEEKSQAVKSKWVSPKSTLDAAFWESESDTDETEDEMSHGTAEGRMRALACPASALPDWGSDEGWIDVLSAQTEAADAVPVSTTDLDDDAMTSEDEPSVPALVTVDVEYSSDSVLVSADDSVFGHHQCLGGLAFHRLSCSELLFCNRISNTIDFVLAQTLCFTLLLCLPSRASPPPPHCDTFD >SECCE4Rv1G0244970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:523545024:523547966:-1 gene:SECCE4Rv1G0244970 transcript:SECCE4Rv1G0244970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVADDAEEVSTVGEASRMVWEESKRLWGIGTPIAIATLSMYAVSSVTTIFVGHLGNLPLAAASIGLSVFATFALGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWIVLIAAAILMVPFYVFAEPLLLALGQDPEVAREAARFALYILPGAFSFAVNFPTAKFLQAQSKVLVLAWIGIGGLCFHVAATYLLVTVLGWGAAGAAIAYDLSLWAIALGQAAYIIGWCKDGWRGWSMAAFNDMWAFVRLSLESAVMLCLEIWYLGMITVLTGDLQDAQIAVDSLGVCMNINGWEGMIFIGLNAAISVRVSNELGSGRPRAAMHAVIVVIGESLLIGLLCMALVLIFRDNFAMIYTSDVELRQAVAKIAGLLGLTMVLNSVQPVLSGVAIGGGWQGLVAYINLGCYYIFGLPLGYLLGYKFNYGVGGIWAGMLCGVGLQTLILLVIVWRTDWSAEAALASSRVQKWGGTEATKPLLEEN >SECCE6Rv1G0384750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:67303390:67315305:-1 gene:SECCE6Rv1G0384750 transcript:SECCE6Rv1G0384750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRARCTLSAAQAARAFGFPTTTSAAAGGGGGGDGGGDAPAVRTLQMDRFRPSYNVTPGAYLPVGTLRARAASDEGGAEEQGPVIQCMKWGLVPSFSSKTDKPDHFRMFNARSESVKEKASFRRLIPKNRCLVAVEGFYEWKKDGSKKQPYYIHFRDERPLVFAALFDTWTNSEGETLHTFTILTTHVSTSLKWLHDRMPVILGDEDSVNAWLNNSSVKLEEITVPYEGTDLVWYPVTTAMGKTSFQGPDCIKEVKIGPSEKPISNFFTKKAAASVKPEKAQGGFAETQAFKNAKEECDDDSGETPLNKREQPHEASSQKQSASSAVVKNEPVALDPQDFYKADEGIKKEDGMLPDDPFGIKRKIEDAGVEAEMEMEKSGRSPVTPARKKEKGPKDGQASLFSYFAKK >SECCE2Rv1G0135080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905338979:905341384:-1 gene:SECCE2Rv1G0135080 transcript:SECCE2Rv1G0135080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVFCFGLLLLITLDPRTLPQCHAATDTLSPGQELAGRDKLVSSNGRFALGFFQTGSNFSDGTPKWYLGIWFHTVPKFTAVWVANAENPIANLTACKLVLSRDGNLAVHHQATTVWSTNANTTSNTTVAVLLDNGNLVLFRSSSGGASNSSSFFWQSYDHPTDTVLQGGKIGWNNSTSLIRRLVSRKNAVDQTSGMYSYELSSHNGDTSIVSMFNSSKQYWSSGDWGGQYFSNIPESVGQKWLSLQFTSNKEEQYVQYAIEDPTVLSRGIMDVSGQMKVLLWFEGSSQDWQAVYTAPKSQCDVHATCGPFTVCSDVPSPSCSCMKGYSIRSPQDWELGDRSAGCARNTPLYCNSNSNSSAADGETDKFYPMASVQLPADARSVATATTAGECSLACLGSCSCTAYSYDQGACSVWHDKLLNVRQQGNSVLHLRLAAKEVPSSKTNMRGLIIGVAVGASTVALVFIFLVMIWMRKKKQCGDDVQSGMGIIAFRYTDLQSATKKFSDKLGAGSFGSVFKGSLSDSTAIAVKRLDGFRQGEKQFRAEVSSTGVVQHVNLVKLIGFCCQGDKRLLVYEYMPNGSLDRHLFQSNGMVLDWTTRYKIALGVARELAYLHSSCRDCIIHCDIKPENILLDGSFTPKVADFGMAKLLGRDFSQVVTTMRGTIGYLAPEWISGTAITSKVDVYSYGMVLLEIVSGSRKSSKQSSSQDGVHEGYFPVRVAHSLVDGDIASLVDVKLVGEADLEEVERVCKVACWCIQDDEFDRPTMSEVVQFLECLSEVEAPPVPRLLQAIAGQPNQKIM >SECCE5Rv1G0331020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:535592894:535595523:-1 gene:SECCE5Rv1G0331020 transcript:SECCE5Rv1G0331020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP707A4 [Source:Projected from Arabidopsis thaliana (AT3G19270) UniProtKB/TrEMBL;Acc:A0A178VA28] MAFFLPLLCILISVAVVSYAHHVIRRKRRGQQGCAHGHGRHEKAALKLPPGSMGLPYIGETLQLYSQDPSVFLSSKQKRYGEIFKTHLLGCPCVMLASPEAARFVLVSRAHLFKPTYPRSKERLIGPSALFFHQGDYHLRLRRLVQGPLGPEALRKLVPDIDTTVRSTLAAWADGDVASTFHAMKRLSFDVGIVTIFGGQLDERRKEELRRNYAIVEKGYNSFPNSFPGTLYYKAIQARRRLNGVLSDILHERRERGEPGDDLLGCLMRSRVGGDDGDDEGALLTDEQVADNVIGVLFAAQDTTASVLTWIVKYLHDRPKLLEAVRAEHAAIHEANDGGRRPLTWAQTRSMTLTHRVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHSPDYFQDPQKFDPSRFEVAPRPSTFTPFGSGVHACPGNELAKLEMLVLIHHLVTGYRWEVVGSSDDVEYSPFPVPRHGLLARLRRDDSVCVGRKGCPTDDNDEDEGEVIV >SECCE3Rv1G0143440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:654073:663253:1 gene:SECCE3Rv1G0143440 transcript:SECCE3Rv1G0143440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRPASAASFVLLLSSLSSILKLSAGVRLFTWKCDNGTSYAENSTSESNVRSLLASLATNAYRSQSLFATAVVGASPDNTVWGLGLCRGDVTNATECKSCLDLAPKVAFDKCMGVEDVSVFYDRCIIRYSFRDFLASPDFRQVQSPPGFSTGAVSPRDAGWYDALVVKLVDALANLTAFNTTSRSRYAAGVMDSDHVFLTNSSNDVVHTIYGLVQCTPNQAPGQCRECLKGLIDDMPALFNGTVGGYIFATWCNLRFEKFQFYDGGPMLRLVAQPTPPPSPLPSTTTDGTRWRQRAATVSTIVLGVAVIFLSITVVFLWRNKATTQLSYQEDGDDPESLLFDLTTLRRATDNFAQENKLGHGGFGAVYKGVLPHGREIAVKRLDKASGQGLKELRNELLLVAKLKHNNLTKLLGVCLKGEEKLLVYEYLPNRSLDTFLFAPEIEKLLLLSWETRFRIIYGTARGLLYLHEDSQIKIIHRDLKASNILLDTDMNPKISDFGLARLFNGDKPSTTTGQVVGTLGYMAPEYAVLGHLSVKIDVYSFGVLVLEIVTGRKNTDAFEESSAGESGTLLNYVWDHWSKGTALATMDPTLECMVAEEGEVLKCFHLGLLCVQENPADRPTMLEVLVMLHGHTSSFVAPSKPAFTFTSASSTDDIVLSASDPGVQSASAMPSVNEMSVSEFEPR >SECCE1Rv1G0000530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2011584:2012870:1 gene:SECCE1Rv1G0000530 transcript:SECCE1Rv1G0000530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADADSSSSPPMHIVLFPWLAFGHMIPFLELAERLAARGHRVSFISTPRNISRLPPAANVRFVALQLPRVDGLPEGAEATTDLPPGKATELLMKASDGLVGQFSAFLDDTGDGKRPDWLVVDTFHYLAAAAAAERGVPSAMFLTFASASTALWGVPRVSTDVDPELGATVPQRFLLTYQTCKMVAQRCCMELDPEGVRLLPGVFGKTFVPVGLLPPPQRANVGGDELVSWLDGQPAKSVVYVALGSEAPLTAELVHELAIGLELAGTPFLLALRKLDGVPDQDILPPGFEERTKSRGLVAMGLVPQSRVLAHGSVGAFLTHSGPSSVIEGLQFGHPLVMLPFFGDQRTVAQFMEGKKVGMPVPRSGEDGSCFDRQGIASTIRAVVVEEPGRSLFAANAKKLQEIVADTACHERCIDDFLHQLRFVEE >SECCEUnv1G0561270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:353164375:353165688:-1 gene:SECCEUnv1G0561270 transcript:SECCEUnv1G0561270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSSQTMTIPTDAELIQAQADLWRHSLSYLTPMVLRCAIQLRIPTAIYRLGGAASLPDLVTALSLQPSKAPFLNRLLRLLSTTGVLASNEAGIYSLVPLSYLLVDGILVDGDASQAALVLCLTSRYHMEAAMGLADWFKKDIAQPVPSPFEDVHGATLFEESMAVLDPESDKLFNEALAAHDHMGIGTILRECHGLFNGLESLTDCCGGDGTTARAIVKAFPHIKCNVLDLPRVINKAPSDGLVNYIAGDMFHSIPPAQAVMFKLVLHFWSDDDSVNILAKCKKAIPPREEGGKVIIIDILVDSSSGQMFKTQLLMDVAMMVCTRGRQRDENDWRAIFEKAGLSDYKIVKKLGARGVIEVYP >SECCE7Rv1G0511350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803066547:803066780:1 gene:SECCE7Rv1G0511350 transcript:SECCE7Rv1G0511350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPYFYDNVGTRVQPERNPSRIQAFLEAHREIEDASTHGRLRDDLVEHHWQLDGQRTGP >SECCE1Rv1G0027110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:378171503:378174362:1 gene:SECCE1Rv1G0027110 transcript:SECCE1Rv1G0027110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRRAVAQRFAAPQQAYGMARRFMQERPAFRPAVPPDVGFMPLADRIRDHLGVSFPRINLDGLVPPAPARPQPQPPREREAAAVVASLTVEEARKVLRATQMEAARARVRASREGTVPYAEFLRLCCDAAGADDGPSVARALDESGSVIVLGRTVFLRPEMVVKAIEKAIPIPRGLSVAENHPAREELKAMEAQKVDIDRMATLQVRRELWGGLAALALQTAGFMRLTFWELSWDVMEPICFFVTSTYFMAGYAFFLRTKKEPSFEGFFQSRFAVKQKRLMQAREFDVRRYSELRRACGLPALHPQSACASSQESHHCHCH >SECCE2Rv1G0086360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:210505432:210507926:-1 gene:SECCE2Rv1G0086360 transcript:SECCE2Rv1G0086360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MSSSSSFHGSRFPFAAAGAAAGASGGSGGGGGGSGSSVRPWGSSGGTSVSSSGKRIQKELLDLNASDCSAGPKGDNLYHWLSTIIGPQGSPYEGGIFFLDVVFPLDYPFKPPMVTFKTRIYHCNVDSTGAVSLEILKDGWSPALTISKVLLAIKAIITNPDPYNPLVENIARLYLTDRAKHDEIAAEWTMRFAR >SECCE2Rv1G0126190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844950940:844951551:-1 gene:SECCE2Rv1G0126190 transcript:SECCE2Rv1G0126190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEIAAKEAVAAGEERPLKRCQHAATEKRPLKPCTAKRKRKAEADDDDDDDDDDELRAAKLKAFYEKSGPSKKMTRLPRAEVASILSSRTHPDRAPSCYKALKLQNPDLIPSPEEEMDEVRVAEYAEARDFFEVAEEFSVFQAWVRSEYAKYGYVEVDDDYLAHREQIRACSDRAREAAFEAIDFSDGDEDLKIFYRKRRH >SECCE5Rv1G0328770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509735807:509736424:1 gene:SECCE5Rv1G0328770 transcript:SECCE5Rv1G0328770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMTMSSFAGAAVLPRGSAGHFGAQPLPALGRRALVVRAQTEGPSAPPPNKPKASTSIWDALAFAGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYSVAVLSVASLVPLLQGESVEGRSGAIMNANAELWNGRFAMLGLVALAATEIITGAPFINV >SECCE6Rv1G0419100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:666568878:666570903:1 gene:SECCE6Rv1G0419100 transcript:SECCE6Rv1G0419100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHAGVDLPRAICAAVIKCSFKPHAHLLVADPSLLAAVLGRLSPLPSAALSFFRALPPPHPLDASLALVRLLAAHPRHHPVARSLLRDLSLRHPLSSPLILPSLLAEPHVPSWLLLALAQGGRAGDAVRVFDHMRAAGLAPDAHACTALLTSLARARMTATARRVFDEMGLAGVAMNTHVYNAMLHVCLKAGDAARAEALMTKMDAAGVPLDLFSFNTAIALYVRKGMQYEAMCVRDRMENDGVEADIVTWNTVIHGMCKEGRMKDAAQLHRDMMAAGVEPDTVTYTTLVDGYCRAGDVEEAMKLRGAMEARGMLPGVAMYNAIIRKLCEDGKMKEVNGLLSEMDERKVQADHVTCNTLINSYSKKGDMPSACKVKTRMMESGLQLDQFTYKALIHGFSKAKQLDEAKEALFEMMGAGFSPNYSVFSWLVDGFYKKNNADAVLLIPDELMKRGLPPDKSVYRSLIRRLCKKGLVDLAQKALHQMQDKGLEADCLVYATLAYAQLSTGKPAAASDTLDEMAKRQLSVTPQIYNCLCTSYGDEKETLNMFWVHAIERALIGKSVYKLMHQARVKLLNPAVENEEHAPVSRPSLPASAK >SECCE5Rv1G0325590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:466839715:466847995:-1 gene:SECCE5Rv1G0325590 transcript:SECCE5Rv1G0325590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved telomere maintenance component 1 [Source:Projected from Arabidopsis thaliana (AT4G09680) UniProtKB/TrEMBL;Acc:F4JKR3] MEPPPEASTPRRLAVADLLRLRRPTTGASSLLCPSPSHSPAASTSQPRKKPKLSATNPAPTTAPFAPITHPVLLAGTLSLPSASACRNHCLSLSDPSSAASVCCYLLDFDPAAIGREILILAWNYLPSVRQQEAGVLEAVRWRLAEAPGPVPGPGFLTAIPLNSVDAEPALATRGRVFGVVRSVSVVFSVPHATQKSDLVGFIAEVMCCGCRQCKASQPESDRGHKFEMEKFVYFVDLASRWRPVLALLIGRPVSVTGLKKKMVSVGKKGSYTMLVSSAETMVSWCPSYVGVPPLDEMPGKCSGVYTGVVSGIYMQGMLVELDQTAWLLIDDKRLVPSHSLRLGAVISVKKFRVVRLNFAWTTVVLLGTCSKTSITINAFSVVDSKCHTRAENNGLLGKSVNFLELPGKFWMLLLTSCFKQKFKLDSKKEIWGSKNKQGLVHTYAAKVPSSKGFEPQHDFLMKFCNHDCGGSSTGSKLEACKLAIPFANFICKVESLWISMMLRFWNDTEELGKNLGSSQFLCGGVSHPRTSKRIISTGDLGLVLVGCMKMSSLPLRLQLVDDTGCIDVIIPDLPPNVCMNGIYEINDCKLVLEGPVAYLDHYGVADPLSCKAVFQQLSYRKRVHHLKIYLIVHWSELNHIGPPSRIPLRSNNSARLFHLLKVSHIFPSVNNHKNMPGSGLYAEGVIVPYNLKFADQDAESFKMASTRSRSDSKVSTEKPCHIPCSLSFGTTSLSGNLVSSYPCGSDGTVLIDTVGEERGCLSRILLEFKEGCSFKYQFLRIGAYYLLECPTDSLRFAMKGCGCFQGGKISLDSQDKIWSLAITFNGNINVKQGIVDQSIGVSSLGVNEPLSKNTFHNEIKLLQSWKGFCQYSDFHLNFYCEAVSEKMKVYDIMCYVLNGLGSYSIEVIGVSSCIEIMMHKKSFGSSNLQSQKLVQGDLISLHGKVENIHSHDCKERRLVPGNDKQSICIHVADDNQTVRLCGYLSKHCYPIGIGPGAAVIFHRVLLTHREVLLTPVTYIEVTSIGHIEVNRERATSPFMPGGLKDASLSTASLCLLSHQKHFKDRTPMRFHCRVVTVHFLVLDSCLNDSQILESVNQGEIPKVKVPLAGFIVDNGTSLCCCWADDARAELLLRLQEIAILDASVNLKCSKDRNNAKIQRTLGSCLEKMLKKHKKVIVKNYGIPPDISCRDLELLSDVGNVLSRLEEELLKFIVLNACRNGTLNVIASPLDASAINGSNVELPDVYPAHNMQNFWVNEIVQVDPLEEARRLYASLENR >SECCE5Rv1G0372110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851273829:851274685:-1 gene:SECCE5Rv1G0372110 transcript:SECCE5Rv1G0372110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGLFLSLSRPTPEQQKSFLAAAGGFNYDTDLQGATHPKSPAALTTSEDSERALADRGFSVNRSRVLVGSGADAFGHAKSALLSWKHLALGWAEAEPGTPVKAGARFCICYKEVVPWVMFPLQIAYVTDEGSVGKRGGKGGGGGGVFAFGSGTLQGHLLAGEERFSVEVDAEERVWYEVVSFSKAAHPLSALCYPYVRLRQRHFARESGKAVLRHVAAAACSPTATPPRQ >SECCE7Rv1G0476980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:213143171:213143644:1 gene:SECCE7Rv1G0476980 transcript:SECCE7Rv1G0476980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDFFDPFEGFPFGSGNSGSGSLVPRTSSDTAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNILQISGERNKEQEEKTDTWHRVERSSGKFLRRFRLPENAKAEQVKASMENGVLTVTVPKEEAKKPEVKSIQISG >SECCE4Rv1G0259930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:691062536:691064751:-1 gene:SECCE4Rv1G0259930 transcript:SECCE4Rv1G0259930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAAAVSTVREAARMTWEESKRVWGIGMPIAIAGLSIYAVGSVTTIFVGHLGNLPLAAASIGLSVFATFSLGFFLGMGSALETLCGQAFGAGQVSMLGVYLQRSWIILAVAALLMVPFYVFAEPILLAIGQDPAVAREAARFALYILPGALSFAVNFPTAKFLQSQSKVIVPVWICVGSLCFHVALSYLLVTVLGWGSPGAAVAYNLSLWAIALGQSAYIIGWCKDGWRGWSMAAFNDMWAFVRLSLESAVMICLEIWYLSTITVLTGNLQDAQIAVDSLGICMNINGWENMIFIGINAAISVRVSNELGAGRPRAAMHAVIVIIAESLLIGLLCMALVLIFRDKFAIIYTSDMELQHAVSKIAGLLGLTMVLNSVQPVVSGVAVGGGWQGLVAYINLGCYYVLGLPLGYLLGYKFNYGVGGIWAGMLCGIALQTLILLFIVWRTDWNAEAALASSRVQKWGGTDGTKPLLEDN >SECCE7Rv1G0509750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:783238452:783240316:1 gene:SECCE7Rv1G0509750 transcript:SECCE7Rv1G0509750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSRPSSSRLIEDVLDAVYHQEDNSDSHPGGIAPTSRTSVTKVVSLVSSFDDYKKFLVNEIGWGGILKLPPLARLNLRFSKWCMSRLDGPSMSVVLNEHKRLRFWPGDVHKVFGVPCGPKNILGPDGQCSETTVQFLRSVLGMPEKGNQVLKAAESNLTRPLSEHASSNLEKDCFKMAFVIFVIGHLLAPSNKHDTSIIDYWGAIANTDRIADFDWCNYVLSDLISASQQVQADIRANKDKTHLQGCHVFLQIFVLDNLNLGMNNIPHDTYPRVASFDDSKLRRMILATTSTDKLSWDYSCAPIRSPHDNCYTRSTFDAATENKSWTVPQRNLNSGLRPIIPPSPYVTHKTPKSPSTPTIGPSNVHEFANYMKSNYPHLVS >SECCE5Rv1G0301260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29733648:29734754:1 gene:SECCE5Rv1G0301260 transcript:SECCE5Rv1G0301260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRMVTSAIVAEEETMTHVIKIDGYSRTKELLKAGKCTTSIPFIAGDNTWVVKYFPNGSSKADIYIPGYVSVYLALQSADAKNVQANFTFSLLDKGGEPVCIKCLEENHIFPRKGSAWGFPMFIEQEELEESVHLTGDSFRLRCDITVLKKIRSEETSPNQFVVIPPSNLHRQLGDLLKNKDGADVAFRVGGEIFSAHTSVLAARSPVFKAELFGAMRERASDPIEILDIEAGVFKSLLHFIYTDSLPESTNEGTTQDDVVTASHLLVAADRYDIERLKLICEDKLCNHIGTKMVATSLALAEQHNCRGLKEACFEFLASPSNLEAMIASDGYQHLKSSCPSALKELIARFLPVELIPAMDIIRLI >SECCE4Rv1G0293670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:886390976:886391482:-1 gene:SECCE4Rv1G0293670 transcript:SECCE4Rv1G0293670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACAEGIGVPRGSGMFRHALHAVSCFSHDKLTAKVDYLKKTFRWSDTEVAIAVSKAPALLTRSHDVLQRMSEFLISEVGLEPAYIAHRPAMLNYSLEGRLRPRYYVMKFLKENGLLKRDPSYYTIAKLTEKAFVDKCISPHKEAAPQLAEDYAAACRGEVPNNFRFT >SECCE2Rv1G0065340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:8224183:8225151:-1 gene:SECCE2Rv1G0065340 transcript:SECCE2Rv1G0065340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNTKQKRPAVVDPKFEWADKAGTYVLRLSLEGFKKGDFRVQVDGAGRLTVSGARPGATPGSLHKVFQLPSTASLDDITGRFEAGVLTLTVPKRAHSGVPAPTSIEEIKREKPGVVKEDGAATTTVRPPPTKDVDGSEKKAASGDDSTKPKEEIAKEEEASNKAMDEATKKAQQQRQQEEASKHKEEQPKLAPEPEANKEQEVKPEAPQKPAPEPEVAVGEKDKAVIDQESLAAAVRRRSEEEKAKAAAAAMEAKAVLEKKVTACSGWKERVAGGLEQLTDMKWADGMVEKARNNKEVVAIAIAAFSLGFLVSHKLFRK >SECCE3Rv1G0158010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85065999:85069358:1 gene:SECCE3Rv1G0158010 transcript:SECCE3Rv1G0158010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACAAARMFAYNATLCACDPGYYLSANATGAACVSLPASGDGNTFADWQVGAVGAGSRNQTLYFLAPVLSLDAVRRLTQSQAVLLFTALVTLLSWLAFCAAARLAGRDPAGNKRLFRARFWISRLDCIFDTQHWAADQQVLKKRKTELGGMCSVAALILFTGLVTVLLYQAIKRRNIEVHRVKPANAPDLLAFVNDIEFHITTISSMSCSHVTPPSTIAMGTPGFMDFRVLPLSTLFTYRCQNTSQGPSITLKCSGCRMPPRDHYVSWQFVDLPRRPAIAVGFQFNLTTKQTGDDEHVSFVSGTINSDNYGDEKLKTFRGTDSNVLKIQLFPQIYNKLNNLKLLQPLLQDFTPGSTFSDVSSLNASLQNPTDGVLNTTLYISYLSDYIVEISNESVLGPVSIIASIGGLYAFSIAIFLCLMAQCEARIKKLRNEDTRMLKILSKRRAQQNWDKVRKFVMYTWGPSNLDPTDRSGKWPEASMMDSLHESFHKKRKPTRRATSIATRTMRDHPDVGSIDIERAG >SECCE3Rv1G0174610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:397593708:397603833:1 gene:SECCE3Rv1G0174610 transcript:SECCE3Rv1G0174610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVCIKWQKQVFPGIEIDTSQPPIVFKTQLYTLTGVPPERQKIMVKGGILKDDTDWSTLGLKDGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQAAALGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDNVRGNGVDQASHSLTVAIRNTFGELDQSVRPVAPLHFLQMLRKKYPQFAQQQNNVYMQQDAEECWTQLVYTLSQTLTSEASEPTAAQMKELFGIDLVSRVHCAESGEESSEAESVYSLKCHISHDVNHLHEGLKHGLKTELEKVSPSLGRTAIYTRESRINELPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDFCSDELKLKLQIPRQVLRDAESANFGLKVEVKTSSSQNIEGSSTSAGESSSMDIDKADSSVPKKQLTGIYDLIAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPSIRKEEEILKLSGGGDWHMAYICLYKARVI >SECCE3Rv1G0161270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:114626171:114629893:1 gene:SECCE3Rv1G0161270 transcript:SECCE3Rv1G0161270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGVAPAPAAVSVAEQKMKLLEPRKEPLASAAAAAAAKAPCKWAMKKKLVGGDAGYVLEDVPHLTDYMPELPTYPNPLQDNPAYSVVKQYFVNTDDTVTQKIVVRKTSARGTHFRRAGPRQRVYFQPDEVNAAIVTCGGLCPGLNTVIRELVCGLYDMYGVSSVVGIEGGYKGFYSRNTVTLTPKSVNDIHKRGGTILGTSRGGHDTAKIVDSLQDRGINQVYIIGGDGTQQGASVIYEEVRRRGLKCAVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIEKRLKDSGHMVIVVAEGAGQDLIAQSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKTNFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYSGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVENMKHDDDERHHLHNTQLLDGSPAKCSPNCNGSTGAE >SECCEUnv1G0552660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:255584403:255588653:1 gene:SECCEUnv1G0552660 transcript:SECCEUnv1G0552660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAIVERACAKLRSALGDEAVARLSFTGDLRDILEALEAFQPMQDKAEMQLVRTRSVEVWLQYALTAAYKTIDIVDELQDARPQAATTMTRMLPRLAILKNAMAVQVQQTKELVMQAKSWSREVSKDLAEHTIMIQKITEQRKTGPVFEEAVVLGRGSDKERIIATLLCTEPNIMQEHITILPIFGLAGSGKTTLAQMVFNDTHSLQGYDFRVWVHVSPQFDFHTIGNSIICQVSGRGPEEINHASSDVEGMEGIMKHLHKLLDGKKVLLVLDDLWEEDPIQLQLLKSTLTFLGDKMDVIVTTCNQTIARKICTVEPYRLNRLTDDTCWEIIKKSIRFEGGEEELEKIGRKIASKGWGLPSAAREYAAKIGSSRDAMRWKGILETKAWDFFFSTFDLSYMSMPPDLWTCFVYYCQVFPIGHSIVKVDLVHHWIALHLNEPSEILSATQIAEAHITRLLDMSFLQTTDTDHASGKEDKGAILFTIHNLVHDLTRTCIRSLITYVDTNCHGEPGVHDMYLRALHCVGCKKVEFKGNLFSLNKWIRVLELTESSVHKKLPDSICQLRHLGYLKISDFTGLVSLPESFGHLKNLFHLNLSDCSSLAALPESFGDLINLTHVNLSRCHGLAELPEQLQKLGKLVHLDLSFWSCFEGIGERLGSLTNLEHLNLSHPCCHLAQHRSRLQELKDGLCKLTNLRYLNLSACMNPIFYYLGESQEDSLKYLGECVSGLSSLEHLDLSHHTFLFGLPASLGDLNQLHTLDLSGCIRLKKVGEMKSLKFIDLRKCRALESCQFVVRIVDDDDVYSSSNIVQLEVVNCQELQISCLEKVKSKEEAKGIKLVEKQKLEKLKHSWTLDSVGRVEDSALLRELVPPPNLQCLEINGYAGTCLPVYLGNLTSLQELKIVRCKHLNSLPDTMQKLTSLKDLCIFDCPELEKWCQVEENKKLLAHIPNKNYEEPASASRQEIEEDDRSGDEVEAA >SECCE7Rv1G0476310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:201217656:201217889:1 gene:SECCE7Rv1G0476310 transcript:SECCE7Rv1G0476310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLSLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE3Rv1G0200130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:851292636:851293079:1 gene:SECCE3Rv1G0200130 transcript:SECCE3Rv1G0200130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGLVLAAALTGGTFVVLLVLLVVVLVRRRWLDREGVASGRGFVLFGVCCQDGTHGRFVRTASLARSRQRAARGGEEADDEPDEGELERWKRMFGGPTRCLSTIEEATEKGTSTVATPAFCSPAASPDRRDARAVQTASAGVLKS >SECCE2Rv1G0126320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:845733740:845739410:1 gene:SECCE2Rv1G0126320 transcript:SECCE2Rv1G0126320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEALLAASGSGGGNRVSIDDALTLHAGEFGRWQLRHFVLVTAAWMLEAMHTMVMIFADREPAMWCPAGDGRCGDRCAGAAAGWEWEQGSGSSTVAEWGLVCGERYKVGLVQAIYFAGCMIGSGVFGHLSDSFLGRKGSLQLVCFLSGGFGLLTSLSPNYWVYAAFRLLTGFSAGSICFCSFVLATEPIGPSYRGVVGTSTCYFFSGGIAALAGIASLFHSSWRILYIVTSLPSLAFILVVMPFVSESPRWYLVRCRTDDAMCVLRDIASTNGKSIPDCVGLMLDDEDDFAKKVVETSSVLDVFWSQTMRARLVLLVIITFLCSVVYFGLTLNVVNLNINLYISVVVNSLAEMPAYLVTAMLLQHFGRKPLTIGSMLLSGVFCTTASLIPDVGAMRVARMACGVVGIFGMAGTYNLLLVYAAELFPTVVRTVALGCKAQGSQMGAILAPIVVLLGERVPFVVFGVLAIIGGLLVLCLPETMNKPLYDTMAGMEKGDRSLKREDEVATSNSLI >SECCE3Rv1G0150330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31540084:31540691:-1 gene:SECCE3Rv1G0150330 transcript:SECCE3Rv1G0150330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRHGRPKLVTERRLTATSSDAPSLQQAMATCGLLAGTTASFSEDEEDLIIRLHALLGNRWSIIAGRLPGRTGPEVEAHWGSPAMRRRSGGADPGSHRVLLQALLASSHDQHGEHLPRVIPNEVRREHRGLPSSSNDGDWALSDADSRWCLAQVNLELTLSTPCSTPT >SECCE3Rv1G0144100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3153779:3157750:-1 gene:SECCE3Rv1G0144100 transcript:SECCE3Rv1G0144100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISEMDVDRVVGYFKGKSILITGSTGFLAKVLVEKILRVQLDVKKLFLLIRASDVESAKFRIQNEIMGKEIFQVLKEIHGLEFHNFVKEKICPLAGDVMHENFGLDTVKLREVSKDIDIIINGAATTNFSERYDVAFDTNVLGVKHICEFAKKCTKLEMLLHVSTAYVGGEKEGLITEKTFLMGETLRVGTHLDIEIELNLIKDTKRELRASSSTEKAERKTMKELGLKRARNFGWPNTYVFTKAMGEMMMGHLRGDVPVVIICPSIITSTIKEPLPGWIEGIWSVNTLILGHAKQTLPFFVINPDLTLDMIPGDMVVNAMIAAMAAHSEDQHAQIIYHVTSSVRNPTPSALVINSMHRYFLENPSCKKRNGECVRLKKMRIFSTLAQLRLYTTVKYELPLEMLRLVSIALCGVFSRRYNELNRKYRSIMHMIELYAPFVLFKGCFDDTNLERLRMAMNKDDQINNGAYSLDFDPKSIHWVDYFYGVHIPGVLKYCV >SECCE3Rv1G0211480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:950459442:950461496:1 gene:SECCE3Rv1G0211480 transcript:SECCE3Rv1G0211480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPLLVPKPDPDAAPPLAPPPAVPLTPELCAALRREAAGHGPDGAAATTRVTPELCAAPSPDDRSNFANRLRLDAISARLPSSTPPPRAQSPLPPPPPRAQSPLPPPLPREPYPLPPPPPPPSAHAKPARVSPPAAGASGRKRPRGVPGAEMVRARVAATQADMLQVRAVARRARLIFEALRGRCHRNAGRHHAGHNRADMRALSAMIDGELCLYRDVRIVGPVPGVFVGDAFNYRAELLVVGLHCHTQAGIGYVPASQVSEGHPVATSIVSSGGYLDDHDNGDVLMYTGSGGRPRNGGDHHSDQEFQRGNLALAYSCKYDVEVRVIRCHDCDTSPSGKLYVYDGLYKVQSSGYGPGKSGREVCKFKLVRLPGQDALGSNTWRAARDLTDALVAKIRPPGYITMDMSKGKEALPVPVRNTVDQDVSPLEFEYLARPEFPAPPKPARRVHKCCIYSKTACSETSSKRAASGCACVKRNGGGGPAYSADGTLVRGRPVVYECGARCGCPPGNCPNRVTQHGMKHRLEVFRSKETEWGVRTLDLIQPGAFLCEFTGDVLPADHPRIANANANASTGASKEEWGGIVDPRKFPPRWREWGDAPAAALPDDGEEPPRFAQCPAPGYVIDVSTRRNFAAYISHSGAPNSFVQLVVRGDEDESCPHLMVFAMETIPPMRELSIDYGVDQ >SECCE3Rv1G0198300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:830653991:830654224:1 gene:SECCE3Rv1G0198300 transcript:SECCE3Rv1G0198300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHHEIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCEUnv1G0527350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1117233:1121084:1 gene:SECCEUnv1G0527350 transcript:SECCEUnv1G0527350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLLGKVFTMLSAAPVAAYVDSLQLGHNSEQIKAKLAHTRGLLHNAQAQVSDVGHNPGLQELLPALSRNADQAEDLLDELHYFQIHDRLHGTNYATTQANFLRHGRNALRHTATSWASCFSSSSAQDDSDSTSGDDADNGDKLRFHPVIFSRKIKSVLQDMQTHCDSVSDLLGSIPSHSMAVALHRPQIGSTIIQDTLYGRAGIFEETINRITSCKQPLSVLPIVGPGGIGKTTFVQHLYNDARLYSDTRGAENHFQVRVWVCVSTEFNVLKLTREILACIPATEQEGSNGVAHGATNLDQVQKSIAKRLKSKRFLIVLDDIWKCDSEGQWTTLLAPFTKGGTKGSMLLVTTRFPKLAQMMETVGSLQLAGLEPNDFFTFFEACIFGEDDKPEHYKDELAGIARKIANKLKGSPLAAKTVGRLLQRDPSQKHWNGVLEKHQWLKQQDDDDIMQSLKISYDCLPFDLKKCFSYCGLFPEDHEFTASEINHFWVAIVIIDSNHQAERNYMEELVNNGFLMKEFKYGKYYYVMHDLMHELSKILSAQECLHISGLDFRVDAIPQSVRHLSINIQDRYDANFEEEIANLRSLMIFRGYQEIIDKILKDSFKEINSLRVLFIAVSTPESFPYRFSKLIHLQYLKISSSYYSDSEMSLPSPLSRFYHLKFLDLDRWCGCSDLPEDFSHLENLHDFHAKSELHSNIRNVGKIKHLQRLKEFHVRKGSMGFELTQLGALRELEGELIICGLEHVATKEEATAAKLMLKRNLKELELLWGRDEPTNLKDADILDALRPHSNLRVLRIANHGGTVGPSWLCLDIWFTSLETLILEGVSWSTLPPFGKLPNFKGLYLANISGMHQFGLGCGGKCFMRLQTVEFYEMPELAEWVVEPNCHSFTCLEYIKCIDCPNLRVMPFSVVSCINLRRLEVSGCLKMSLPSMPHTSTLTDFAVKTSGRGYSEPLLSYDGKKLVVKGYGGALASHNLDKVRDVTVGRCDGLFPEVLDSSFVFLSVKSLRLDVSHLTRKSSSEVLNCFPALSVLRIVGDEECAMQFPSSSSLQELSFSCCDGLVLVPVDKENGRGIQEDNSLLKSLTIHGCGKFFCRWPIGESESGTSCPFPASLRELVVLQEPSMKSMGLLSNLMSLTSLRLEDCSNLTVDRFNPLIAVNLIELLVYNCNTLAADMLSEAASQRAKLLHAGYISRLEKLRVDDISGLLVAPICNLLAPTLHTLDFRYDLRMESFTEEQENALQLLTSLQKLRFYSCIRLQSLTQGLHRLSSLKELQMGSR >SECCE1Rv1G0029840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:420970364:420972472:1 gene:SECCE1Rv1G0029840 transcript:SECCE1Rv1G0029840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSMSPAMACSPSSRRGEHRNGGGGFRGEIEMDTGVALPRSPELGFAAAVREPLVRLQRPKFDFERWDWGYFAWPHDRLDANLEMRDSDPRATFEADSKVTESFLSRSTLQLEAGEGFPSQSSPQLEASEGGFTIQSTLQLEAGRCCLCQSTLQLEASQCFLCQSTLQLEAGQCFPYQSQSSPQLEASHGFPSHSTLQLVAGEGSPSGRSMLPVEFDDDTPLGRYAPWRRGCAESSSFLLDHCMAPQGRWAY >SECCE5Rv1G0324720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:455356171:455356794:-1 gene:SECCE5Rv1G0324720 transcript:SECCE5Rv1G0324720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISAITKPVLDAIDLLLKNAFEALDAPTLTDSQRHEIFQAVRSMLPVGDIVPQIAPVRAAWENFVSISDAVQEARRTIEDQSEQKSEFVTVAERRVESIEASLKTSAEEMSSMLEKQAEKKERVEALSAQLQEATAELCTSEERVKQLESDRSAKQAEAKKLHEDLLEANVKASEELEALKGKTSMLEDEAKSIIRGLKDWRSMSN >SECCE6Rv1G0380640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:24417169:24419233:-1 gene:SECCE6Rv1G0380640 transcript:SECCE6Rv1G0380640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Regulation of Pi signaling and homeostasis, Tolerance to low-Pi stres [Source: Projected from Oryza sativa (Os02g0139000)] MSTHSVIPVKHIIAPDIRAHTCNAPQPSVHQMSDAKSDIYSSADDTSRVSYADLSDPNSSSSSTFCTSMYSSSSINPSGFSFLPHPSKCEQQQVSAAKSSSSSLLFAADPSTGVHGDLEHSLDLKDFLNLSGNASDSSFRGGGNAMDFSEQLEFQFLSEQLGIAITDNEASPRLDDIYGIPPQCSPSLVSRSSDHEDLRSGGSPDKVQLSSSPSSSGATTCSKTRMRWTLELHERFVEALKKLGGPEKATPKGVLKLMKVEGLTIYHVKSHLQKYRHVKYIPEEKEVKRPCSEDNKAKTTSGIDSGKKKSFQMAETLRMQMEVQKQLHEQLEVQRKLQLRIEEHARYLQQMLEQQKARKSPVPKPKEKTEVNTTSAPTLKRKISDTEIKHNSQMDSRRPELQLDLESEP >SECCE2Rv1G0098850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:498760548:498767463:1 gene:SECCE2Rv1G0098850 transcript:SECCE2Rv1G0098850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl 4-hydroxylase 1 [Source:Projected from Arabidopsis thaliana (AT2G43080) UniProtKB/Swiss-Prot;Acc:Q9ZW86] MAARSRARRLLPLLTFVALGMVLGSLLQLAFFRRLDDHSHMGHLDNDQEAADLRLGYVKPEVISWKPRIIVFHNFLSSEECDYLREIARPRLEISTVVDVATGKGVKSDVRTSSGMFVNSEERKFPVIKAIEKRISVFSQIPVENGELIQVLRYEPSQYYRPHHDYFSDTFNLKRGGQRVATMLMYLTDGVEGGETHFPQAGDGGECSCGGSMVRGLCVKPNKGDAVLFWSMGFDGNTDSNSLHSGCPVVKGEKWSATKWMRQKMTF >SECCE6Rv1G0405580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:533290108:533290611:-1 gene:SECCE6Rv1G0405580 transcript:SECCE6Rv1G0405580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFIKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAALAAATRLEYTTMVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE4Rv1G0296060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:901384621:901384994:1 gene:SECCE4Rv1G0296060 transcript:SECCE4Rv1G0296060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAKNNNLSSWAPIFVVALLVMATFSFYKGVSDEVTSHTTGETCVADYECDQASCEARCQIMKMDPSVARCEIKDGSIPYCCCRQQLHAAHHI >SECCE7Rv1G0522470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:877655577:877657310:-1 gene:SECCE7Rv1G0522470 transcript:SECCE7Rv1G0522470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavone synthase II (FNSII), Biosynthesis of tricin O-linked conjugate [Source: Projected from Oryza sativa (Os04g0101400)] MSMASSMQQLTQDPVIASLLALALATVIMLIAGVSRGGGRRKPRLPPSPKGFPVIGHLHLVRPPVHRTFHDLAARLGPLMHIRLGSTHCVVASSAGVAAELIRSHEGKISERPLTAVARQFAYGNDGFAFAPYGPHWRSMKRLCMSELLGPRTVEQLRPVRRSGLVSLLQSVLHQASGAEAVDLTAALIQLSNTSIIRMMASTVPGSVTEEAQALVKAVAELVGAFNVEDYVAVCRGWDLQGLGRRAADVHRRFDALLEEMIRHKEEAREARRMRGGGDGETPEKKTTTGTTTESSKDLLDILLDKLEDDAAAEVKLTRKKIKAFVIDVVTAGSDTSAAMVEWMLAELMNHPECLRKVRSEIDAVVGRDRIAGEGDVASLPYLQAAYKETLRLRPAAPIAHRQSTEEMVITAAGGVGGFRVPAGTAVFINLWSIARDPANWDAPLEFRPERFMAGGRNEALDPRGQHFQYLPFGSGRRGCPGMGLALQSVPAVVAALVQCFDWAVPVAADGDAKKIDMEEADGLVCARKHPLLLRPSPRLSPFPAVV >SECCE2Rv1G0074390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:76191148:76196702:1 gene:SECCE2Rv1G0074390 transcript:SECCE2Rv1G0074390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEIGAAAAAPQGQWKYHKALSLLAFQSFGVVYGDLSTSPLYVFKSALSGLDKYSDEETVFGLLSLIFWTLTLIPLLKYVIIVLAADDNGEGGTFALYSLLCRHAKMSLLPNQQAADEELSTYYQPGVDRTAMSSPFKRFLEKHKKLRTCLLLFVLFGACMVIGDGVLTPTISVLAALSGLQDRGTGGLRNGWVVLIACVVLVGLFALQHRGTHRVAFMFAPIVVLWLLSIGIIGLYNIIHWNPRVCLALSPHYIVKFFKITGRDGWISLGGVLLAVTGTEAMFADLGHFTAASIRLAFVGVIYPCLVLQYMGQAAFLSKNMSDVHDSFYLSIPRTVFWPMFVLASLAAIVGSQSIISATFSIVKQCLSLGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCLAVTIGFRDINIIGNAYGLACITVMFVTTWLMALVIIFVWKKNILLALSFLIFFGSIEGAYLSASFIKIPQGGWTPIALAFVFMFIMYVWHYGTRRKYLFDLQNKVSMKWILTLGPSLGIVRVPGIGLIYTELVTGVPAIFSHFVTNLPAFHQILVFVCVKSVPVPYVPADERYLIGRIGPRQYRMYRCIVRYGYKDVQKDDENFENHLVMSIAKFIQMEAEEAASSGSYESSNEGRMAVIHTTDATGTGLVMRDSNEGTSLTRSSKSETLQSLQSIYEQESGGLSRRRVRFQIAEEEQINAQVRDELSDLLEAKEAGVAYIIGHSYVKARKNSNFLKSFAIDYAYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >SECCE2Rv1G0132020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888043549:888043894:-1 gene:SECCE2Rv1G0132020 transcript:SECCE2Rv1G0132020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASQLLDVFYDLVERVTGYSARAEDDKDLQKHSKLATTTAFRTEEVVDIRSRNHPDVSGGSGAQVNMDGM >SECCE3Rv1G0160770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:108162410:108165073:1 gene:SECCE3Rv1G0160770 transcript:SECCE3Rv1G0160770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g16860 [Source:Projected from Arabidopsis thaliana (AT5G16860) UniProtKB/Swiss-Prot;Acc:Q9LFL5] MLFNLPRVTTPIAVRWFISVANAAGFGRDVSPVHFAALLKECRSVNAVHQVHQQLISSGLLSYPASLLEVSFPPLPSQPFLSPRSLGTGVVAAYLACGSTDEALSALEHVVPSPAVWWNLLIREHIKEGHLDHAIAISCRMLRAGTRPDHFTLPHVLKACGELPSYRCGITFHGLICCNGFESNVFVCNALVAMYARCGSLEEASQVFEEIAQRGIDDVISWNSIVAAHVKHNSPWTALDMFSKMAMIVHEKATNDRSDIISIVNILPACASLKALPRTREIHGNAIRHGTFPDVFVGNALVDTYAKCGSIKDAVKVFNMMEIKDVISWNAIVTGYSQSGNFEAAFEIFKNMRKENISADVVTWTAVIAGYAQRGCGQEALNVFQQMLFSGSEPNSITIISVLSACASLGAYYQGMETHAYSLKNCLLSLDNHFGGTGDEEDRMVHNALIDMYSKCRIFKAARSIFDSIPRKERNVVTWTVMIGGYAQYGDSNDALELFSQMLSKPHAVAPNAFTVSCILMACAHLSALRVGKQIHAYVVRQHQYEASTYFVANCLIDMYSKCGDVDTARYVFDGMSQRNDISWTSMMAGYGMHGRGNEALEIFDKMQMAGFAPDDISFLVVLYACSHSRMIDRGLDYFDSMSRDYGVAASAEHYACVIDLLARSGQIDRAWNMVKDMPMEPTAVVWVALLSACRVHSNVELAEYALNKLVEMNAENDGSYTLISNIYANARRWKDVARIRNLMKNSGIKKRPGCSWVQGKKGTASFFVGDRSHSLSPQIYALLGRLIDRIKSMGYVPETNFALHDVDDEEKNNLLAEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIIVRDSSRFHHFKNGVCSCGDYW >SECCE6Rv1G0434260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764573224:764575746:-1 gene:SECCE6Rv1G0434260 transcript:SECCE6Rv1G0434260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPVQLFVHICLALIALLLFPVVSSDWKGSDQLRLRCGTTSSAYDSDGRIWEGDANFRRLPLPGFSANASYLDPSLPSPVPYMTAHILTSNFTYCFEVGPGRMFLRLYFYPTSYGEHTAADALFSVTAGIHSLLNDFSPSQTAQAMGRTYLIREYSLNVTSRGLNVTFSPSPNHAGSYAFVNGIEIVPTPDIFTTPVPRFANGGNPDLIPISSSIGLETMYRLNVGGETTSGQNDSGFYRSWEDDSQYIYGSSGGVTFFKDSSVTISYPLTMPDYIAPKLIYWTARSMGTDPYSNMRYNLTWILPVDAGFYYLLRFHFCEIGYPITKVNQRTFFIYINNQTAVEQMDVIEWSGGVGIPVYTNYVIVTVGHGQTDLWVALHPDLSSHPEYFDAILNGLEVFKLQDNSNNNLAGVNPTLKQHRDGTAPHGQDKTYARIPAIIGGGAASGVLALFISSFCIYVMYRRKKTNYTHDKTNGNPPNGDRDLLLATSHSKDLKLCHNFSFKQIQEATNNFDETFLLGKGGFGNVYHGQIAGTQKVAIKRGNPLSQQGIQEFRNEIEMLTNLRHRHLVSLIGYCDEESEMILLYDYMANGTLQEHLYRSNKPPLTWEQRLVICIGAALGLHYLHTGAKRAIIHRDVKSTNILLDDKWVAKVSDFGLSKASADVDNTHVSTVVKGTFGYLDPEYFRRQRLTNKSDVFSFGVVLFEVLCARPVIDPELPEEQVGLREWALSCQRKGVLSEIIDPYLMNKITPQCFRKFAETAEQCVAERGMDRPSMGDVLWSLQVALQLQEDDYNLSEETPLLNPSSLMAPGMRPSSGSTMSISGQKEVFSEILHPDGR >SECCE5Rv1G0316020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:303660664:303663880:1 gene:SECCE5Rv1G0316020 transcript:SECCE5Rv1G0316020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLYDTWLMLFQISHAMDGQSRKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGKRSGVRYVTGGLKVYYVPWRPFLMQNTLPTLFMTFPIIRTILIRERISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADIDQAICVSHTSKENTVLRSGISPDKVFMVPNAVDTAMFTPSSNRLSCDEIIIVVISRLVYRKGADLLVEIIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPEDMVRAVRQAIDILSGIDPQIMHRRMKKLYSWDDVAKRTEIVYDRAMQSLNTNLLDRLPRYLTCGAWAGKLFCLVMIINYLVWCLLEFLQPAEGIEEVPDIGPLHIPLDSVDDQCEAQRN >SECCE6Rv1G0400480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:452728066:452734384:-1 gene:SECCE6Rv1G0400480 transcript:SECCE6Rv1G0400480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLHEEPGHGFGGLLSGLQEAYESGRTKDLAWRRAQLEGLLRLLTEKEEEIFDALHDDLGKHRAESYRDELGALVKSVNHTLRNLKRWAAPEKAQAPLVSFPATALVVPEPLGLVLVFSCWNLPLGLALEPLSGALAAGNVVVVKPSELAPSTAAFLAANIPRYLDPKAVKVILGGPEVGEQLMEHRWDKVLFTGSANVGRIILTKAAKHLTPVALELGSKCPCIVDQLDSKRDRQIEVNRIAGAKWSVCAGQACVAIDYILVEEKFAPILIELLKSTVKRFTATPECMARILNAKHFHRLSKFLDDDRVARSVVHGGSINQKTLTIEPAILLNPPVDSDIMTEEIFGPLLPIITVKKIEDSIKFVTSMQKPLAIYAFTKNEKLKNRIINETSSGGITFNDAIVQYVVEGLPFGGVGQSGFGQYHGKYSFDLFSNKKAVFKRSFLIEFMFRYPPWDESKIRMLRHVFNTNYILLLLGLLGLRR >SECCEUnv1G0566190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:401257366:401258085:1 gene:SECCEUnv1G0566190 transcript:SECCEUnv1G0566190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIENLDIATDRQDIVSNEWTAMLTEFKLSINEYLADLSYSPVHSLADIIAFNKAHPIEERLKDFGQQILILAQNTNGIGHIERARIRLLKKLSANGLEKLIKEHQLDAIVAPEHYASNHLAIGGHPGIVVPAGYNEKGVPFGICFGGLQGYEPRLIEMAYAFEQATKVRRPPMFKP >SECCE2Rv1G0123650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:826058619:826061788:-1 gene:SECCE2Rv1G0123650 transcript:SECCE2Rv1G0123650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREFKTVNRRMGNQARLWYRRLVVVGQLPDLLAVTLLPVLSWLAVMAMMSPLSVDTVYALLHGTRGVMAMSVAGAAAAAYVYALSSRPVYLVDFAGYKPAPAHGLTRARSMHHYRLTGAFNAESMAFQKRVMERSGLGEATHLPASLIRVPLDICLRTANEESHTVVFGVIDDLLAKTRVRPDDVGVVIVNSSLYSPAPSFASLVVNRYCLRHDVVTHNLSGMGCSAGVIAIDLARHLLQVYHDTYALVVSTENITLNGYLGNNRPMLVTNMLFRMGGAAVLLSNRRDERRRAKYQLIHTVRTHHGPSDKSYACVMQEEDEVGNLGVSLSKDLMSVAGNALRANITTLGPIVLPLREQLRFLGVVMLKRVFHSTRVRPCIPDFTLALEHFCIHAGGRGVLDELQKSLKLSEWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIKQGDRVWLIAFGSGFKCNSAVCKALRTTEGAVAVEEGGPWAQDIDVLPVHVPKVMPIEDDAPYVPAT >SECCE4Rv1G0226900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:115033977:115035011:-1 gene:SECCE4Rv1G0226900 transcript:SECCE4Rv1G0226900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATMRCLRPGGARRRQCPLLAAFCSSLVDGLAHLEATLSAEDEDGGGGSAVSMRWCADAMRLVRRMQRDLLAVFRSADAPADPCGGEDWLEQYMQETAALLDFCNAFKSAVSRMHRYCMVVDFAAQVGCAGAGAGGVAASLVAESAGPLMKETDAPSSSPAAAVRAKLSDVKAVVSEAERLGGKIISSSSGGGSMVLVTLVAKITMAVVATSVLHALTHASPPSLLDEDAGVGGAHQRSCTLARAAVPEQLRPWRESLSAINDRVAALPASIAEHESVVTAVRDMMGGKTEGSEDHVELLRTRSGELREGVEMFDCVLDQVFDEVIRGRNEMLGIFRDKVLA >SECCEUnv1G0527210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:684660:685112:-1 gene:SECCEUnv1G0527210 transcript:SECCEUnv1G0527210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVLSRPTPGNVQCFGRKKTAVAVAHCKPGRGLIKVSGAPIDLIRPEMLRLKALEPILLAGKSRFKGIDIRISVRGGGKVSQVYSIRQAIAKALVAYNQKYVDEAAKKEVKEILGRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >SECCE1Rv1G0032020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:449688385:449691231:1 gene:SECCE1Rv1G0032020 transcript:SECCE1Rv1G0032020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit delta [Source:Projected from Arabidopsis thaliana (AT1G48760) UniProtKB/Swiss-Prot;Acc:Q9C744] MASAPSAPASAPSLVDSLFQRSLDDLVKSLRADPSAAGESLAVGRALSEIHREIRAPDAATKAVALQKFTYLSSLHFVPVASHPLAFPAIELLASPHLPHKRLAYLAASLSLHPASLSLLPLATHQVHKDLSPSTSAAASHHVCALALQLLASPAAAAAPDLAVHLAHDLVPHLSRGSPRAIAAAARIIAASPSTGVPVLFKPLAACLASSDPRASTAAASAFCELSAPPADVAPFLPLAPDLYNLLTTSRSNWALIKVLKIFARLAPLESRLAARIVDPVCQLLTRSSAMSLTFECVRTVLTALPAHGAAVSLAIGKVKEFLAASDDPNLRYLGLLALGMLGPAYASTVNENRDVIALSLGDADSNIRREALHLMMGMVDENNVMDIAGMLVSHAARSDPEFANDILGAVLAACGRNVYELVSDFDWYVSLLADMARSLHCAQGDEIGRQLVDVGLRVHDARPELVRSARSLLIDPALLGDNLLCSVLSAAAWVSGEYIDCSKDPVELVEALSQPRTSLLPMAVRAVYIQAVFKAVTFCCNLYVERLNDSNKELDLVCDELAVDQTVSRGSNSEIQPAEEQIVMPGTSKNDPFSNKSIVYMINLIETTAGPLVESKEVEVLERAHNLMGFVHLLREIWELKERKVSDHNKHNRVMELIKSMQTVFSQELSPVSVNAQNKISLPEDLVLHENLSELADILSEDDAILSTSISFFSRSCHSVETRDEPAVVSVDSSSLFEHRKRHGIYYLPTGKAEDDANNYPRANDPLLSAENASAMEDKSETVQPVSAGKKLKAMRSRPKVVKLDGEDFLSSMMTSANVLKENPLSGAVRSVVMGRDAKPLSSSKAANKSSEDMGNKPDTGESSSQWIQNIYADTGSLSTSSSRTSKQHDLTKEKSTIPPDSDRKEARKHRTSSRSGHRQGKHTHRERPSTQPDVAPQAPVVQDFLL >SECCE2Rv1G0077700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:101109324:101121805:-1 gene:SECCE2Rv1G0077700 transcript:SECCE2Rv1G0077700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRKKRASSAAAAAAAAAQWKVGDLVLAKLKGYPAWPAMISEPQKWGLPSTKKKLLVYFYGTKEIAFCNYADLEAFTEEKKKSLLVKRHGKGADFVKAVKEIVEIFDSMKNEDNNKSGLAPANNSSSLDTGGPEEGSDLANDNKLEGNPASSMDHSMASTPGSNIAALESGHCVVNSAPDEPATSFSKKRRSSALQLGSCTHRDLTSPRRPRSSLGADHRTRDSCGPNGLNLPPVDMTTDDRQEGSSRRKCIGDDKPKSDLLSATKDVMLFNCGRGTSSQSGASLNGNYENNLSSAANIESNLNVEVCQTVIGKEDNLDGTQDLSTSSTVTFKRKRSPDTNDVNNSISSVVPNMDEELQPNSSGNLPDSPNSGNEVNKSDGDDHLPLVKRARVRMGRPQLEDSMVDELDISDNKIELAIPVDECYKHDLSSTAGKDHPADDVPYRIDPSPTVDPSLASGDDHSAEEVLPGIDPSPKVDPLLASVNDHLAEEVLPGIDPSPKVDPLLASGNDHLAEEVLPGIDPSPKVDPSASGEVQTACKDKEYQSKVLTLDGEAALPPSKRLHRALEAMSANAAETTGNLPEVNKPNECILKPCTTSIGSSPFNNSSDALVRSPRSATTKGPEICSTVLSLDTPTGLKCTSQPFILNKDSPSSVSLELTNGVSHDLPKDKVNTRDDDDICGNSPACSLESKEPVFVSKFDQLPMGKVSTDELPDPIGNSSRDFSKSIDGSAYPLSHAKTVVSGANHDSNSEPQNKTVLAEPTVSVGDKTSASSLVTKVTCSQNVAGARAFEAHGSSATALREPDHKINMRDIGLSPDPMPMKGLIAAAHAQKFSQPTSFIDSFLDSNVISEPSVNIPSVKEGSGGRCSPSNTTIRSASDRIHTQQTSGKILSDNIQQKSLNKPAGHDEARSARRAFENFLGTLTRTKECIARATRLALDCAKHGIAGEVMDVIIERLEKESNLYKRVDLFFLVDSIIQCCRNQKGGVGDAYPSLIQAVLPRILYASAPPGNSAWENRRQCLKVLKLWLERKTLSEYIIRHHIKELEALNEASFGTSRRHSGTERALNDPLRDNEGMLVDEYGSNTGFHLPNLICVKLLDEEGSSSEDRSFEAVTPEHESTGAEQGEGSQLDGAKHRLVFEEVNGDLEMEDVAPSSEAEASSACQPDLTVVRCTPTSQNVNSVPPLPEDKPPTPPPLPSSPPPLPRPQCPVIQGSQVQGALHVAPDRVEPDTLRNVQDQHPHSVANNRGNMDPCAVPFQPPPPYTSGCAGHPNQMHPPPPLPPPPPPPPVAPFHPPGPHGNFSGPPVPPHGNNYHRPPAPPPPNNAYHLQPPPPPHPPPPHPPGPHPPGPHPPGPNQFPYMPPEHQQRAQPWNCNPPYPEGYQYNGHDRGHPPYDRRHHFDDRWHHFDDRGRRFDDGGHHFNAGGHHFDDGAYHYDDRGHHFNDRGQMHHESMDRGRFPPHFGPDPPYPDHFEASSSHHGRPSDGPPGPCTDWSMPPRRSKYPPGSRHSLEPPMSHEGGWRRHGRHNNDRFHR >SECCE5Rv1G0299150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14983632:14984243:-1 gene:SECCE5Rv1G0299150 transcript:SECCE5Rv1G0299150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVEDLFEGLAVSGTMPVRRGRKNKKGSSSSAGSKEVEVAEVPMPSRGGGEKGFPKAAPPRRGGEKGSSRRGKEKRFPKGGSQEDAAIKTGRLDGKKGSRKARSEEEEKKKRMPDEMVNDILSWQKPPRFTMFKSAGFFNKLRDELFEYQQEVKEEVEEKGYAELPADFREYTKEADIKAYRKAYIKVYGTEPPPELCSL >SECCE6Rv1G0403390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:502069949:502073614:-1 gene:SECCE6Rv1G0403390 transcript:SECCE6Rv1G0403390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVQRKSSLRSYGSAKSLSRPEEPPAAPGLGERREVVLKIDGNGSGPAPFSVAGGAAGNAGSNAKPAAGRTLLTASSSPSKGWDDGSYDFWKNEGGGKGGGPAPRVEDFSFKNRPAQPPTSPQASSPSFSPKQAVEVAEDPPTRLIGNFLRKQKAAGAELSLDLDMEMDDIGRSSHPSLSNSRERETPRVSFKDRQPSSSSSSDSDDGIRNTSTSTPAGGLMRTKTRSRLMDPPPQSPVTPPAVDEERKSSALRPPKSGQFPSGRMTAKSGQSPSGRKSGAIGKSGPMEEEEDDPFIDDDIPDDFKRGKLDALTILQWVSLVLIIGALVCSLTIKPLSRKKVWELHLWKWELLVFVLICGRLVSGWVIRIVVFFVERNFVLRKRVLYFVYGVRGAVQNALWLGLVLASWHFLFDENVQTNTAVLPYVTKVLFCFLVATLIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVDEDYVLAEVRELQRAGATIPKELRAALPAKNLSGQRSIRISGLISKGDQSSRQLSKEKKQREIDEGITIDKLHRLNQRNVSAWNMKRLMKIVRFGTLTTMDEQIQQATGEGDESATQIRSEYEAQLAAKKIFHNVAKPGSKYIYLADLMRFMRQEEAIKAMHLFEGAKEHCRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLNQMCNVVVGLIVSALWLLILGIATTHFFVFISSQLLVAVFVFGNTMKTIFEAIIFLFVMHPFDVGDRCEIEEVQVVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIFNFYRSPDMGEGIDFSIHVATPVEKLALMKERILRYIDGKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNFQDMGMRFVRRELVLQEMIRVLKDLEIEYRMLPLDVNVRNVPPLQSTRMPTTWSYA >SECCE7Rv1G0518400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859066433:859067700:-1 gene:SECCE7Rv1G0518400 transcript:SECCE7Rv1G0518400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTEVDYMELTWRAKTIGPTLPSFYLDDDRLPSNKSYGFNLFVDDVTCMDWLEKQSISSVVLVSYGSYSNYDVSQLEELGNALCNSGNPFLWVVRFDEAHKLSEQLKVKCEKNGLIVSWCPQLEVLAHKAIGCFVTHCGWNSTLEAVVCGVPLVGIPHWADQPTIAKYVESMWGMGVRVRKSESGTVWSAEVEKCIREVMDGEKKEEYKRNATKWMQKAKKAMREGGTSDKHIAEFAAKYSSI >SECCE2Rv1G0122320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:815159756:815160936:1 gene:SECCE2Rv1G0122320 transcript:SECCE2Rv1G0122320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGETTALEVESSAAVDSVKAKIHDKEGIQPDRQRLIFAGKQLEDGRTLADYSIHKESTLHLVLRLAGGDRGSCYPYWLEPNLLALALKYRQHKLVCRKCYARLPLRSTNCRKKKCGHSNDIRPKEKLRFH >SECCE7Rv1G0457220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14790467:14790976:1 gene:SECCE7Rv1G0457220 transcript:SECCE7Rv1G0457220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLTVLFFLALAMLPGLIASTSSVINTTCSKIPEMSYDYCVGVLSAEPTGASAIDTRGLAVAAANLTVHNVTSTLHMLSHLALELNTCIGYYKHMVDLIDAAVDDLHKGRDAELIYDKLYRASDTPLDCDIALFEGAQKNPVQDENLEIQALARAASDIVFSMWHGRS >SECCE4Rv1G0283020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:832713412:832716869:-1 gene:SECCE4Rv1G0283020 transcript:SECCE4Rv1G0283020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMESSAAGGVGGEEGAEAGRVRKPYTITKSRESWTDPEHDKFIEALLLFDRDWRKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPHNKASKKALEVDLPQQPPHVVEQGCVIPMDAPTVATNSSANEDTFPSWDNVLTHPYSPRHTQDLGAANNSSSSIECQSGTWATSDAIEQETWPTSDAIEQEIILPALHAMPDFAQVYNFLGGVFDPDTTGHLQKLREMDPIDAETVLQLMKNLSVNLSGPDFETHLSVQHP >SECCE4Rv1G0225020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:87080622:87086153:1 gene:SECCE4Rv1G0225020 transcript:SECCE4Rv1G0225020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFALLAGGAAAAFLLFKFATADGDFTLLSCGRPRRDKVDGKVVWITGASRGIGEVLSMQFASLGAKLILSARNKEELERVKRNIISKHPDSKVEVLPMDLSSDEESLKEVVHSAESLFSSAGIDYMMHNAAFERPKRGALEETEEGLKATFHVNVFGTITLTRLLAPFMLDRGMGHFVVMSSAAGKVPTPGQALYSASKHALNGYFASLRSELCTKGIKVTVVCPGPIETPVSSGATSSSQRHSSEKRVSVERCAELIIVAATHGLKEAWISYQPVLAVMYVVQYMPTIGYWLMDKVGAKRVDAAAKKGNAYSWNLLFGGKKSA >SECCE4Rv1G0224370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:79258843:79260001:-1 gene:SECCE4Rv1G0224370 transcript:SECCE4Rv1G0224370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSMDQAFVQAPEHRTKATLADADGIPVIDLSPLAAGDKAGVDALAAEVGRASRDWGFFVVVRHGVPAETVARALEAQKAFFALPADRKAAVRRDEAAPLGYYESEHTKNVRDWKEVFDLVPREPPPPAAVADGELVFENKWPEDLPRFREALEEYAEAMEELAFKLLELIARSLGLRPDRLRGFFKDQTTFMRLNHYPPCPSPDLALGVGRHKDAGALTILYQDDVGGLDVRRRSDGEWVRVRPVPDSYVINVGDVIQVWSNDRYESAEHRVSVNSEKERFSMPYFFNPGSDAMIEPLEEMVSDERPARYDAYNWGDFFSTRKNSNFKKLDVENVQIAHFRKDRP >SECCE3Rv1G0171060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:263142142:263143053:1 gene:SECCE3Rv1G0171060 transcript:SECCE3Rv1G0171060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLFLACHLLLSLALIVSSLSHLLFSSVSHLSPAHTNNHRFRILHHPLFRILPVLGALPFPFLPITPTSRLLPFTLLPPLLLLLPLPFLPANHLQLLRPLFLSLPLFLQARAVGLLADAFPASDLQVHTLSIAALLLVTAAITSLVSTLSQSRTTHHLLAETLFTFVGTVGGLWALQSGLYLYVDSCVPAGCHQLMDTTLAPATRCDVDEARLQAIAVMDVMLSVHCLIAATFVSGLHLGVAKRYAVDCGAVNVGMGTGRRHNGIGGAYDALPMAPLSSGAVEETEHLPVKGVVGKAMAQE >SECCE1Rv1G0056820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689307627:689309220:-1 gene:SECCE1Rv1G0056820 transcript:SECCE1Rv1G0056820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKDHYEILGVPKAATAGDINEAYHKQSALKWKEAERGFDRLTTAHKVLSDPLRRPLYDTHLRAEEKLMGKAPPPAASSGVALGRVVRSTGRKGAALGREVAVAGTGGRENTDRTGGGAKRACLRSSTGKAGGNGKRSRCLTDGETYAGPGGATGAQASTTFFSTGRSTFEMAVKYTNEYYNEENGEPFCSTDHSTFKMAVKYTNEYYNEENCLSFDGEPFCPTDHSTFKTAVKYTNEYYNEKNCSSFDGEPFCPTDHSTFKTAVKTMIEYNDEDANLGLCMYKEEGVQDDYGDHAEAGNDDTRVCDDLFNGDDKEGGGQYAKYDDCGGGDHNYDDHGGAGNDGDTGVCDDYYDASAGGFDNGYYGGDAGDGADDWW >SECCE4Rv1G0261970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:705280789:705288199:1 gene:SECCE4Rv1G0261970 transcript:SECCE4Rv1G0261970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTGPSWVTSLCGSPICSGQDVASFAFKQIFDASTCLNHLVATGVVALLVFVLALQLLVKIPKSRASARQLVTLSPPLHLSAVVFSGTLGFVYLGLGLWMLGTNFNQDPSVYLPHWWIVTLSQGLNLVLTSFAFSIRPRFLGAAFVQFWPILLTVYAAFVCSSSVIDIVAEKVLTVKACLDMLSLPGAVLMLIYGIRHSHDEEGHGGSGNGLYKPLNTETDSEVADSDSQVTPFAKAGFFSRMSFWWLNPLMKMGYEKPLEDKDMPLLGATDRAQNQYLMFMEKLNSNKQSPAHATTSFFWTIVSCHKRAILVSGFCALLKVLTLSTGPLLLKAFINVSLGKGSFKYEGFVLAAVMFVCKLCESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNAAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYSAVGTAMLSSLVVIIITVLCNAPLAKLQHKYQSKLMEAQDVRLKAMTESLVHMKVLKLYAWEAHFKKVIEGLREVEYKWLTAFQLRRAYNSFLFWSSPVLVSAATFLTCYLLKIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRISKFLDAPELNGQVRKKYYAGIDYPVAMNSCSFSWDENPSKPTLKNINLAVKGGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVSGKIAYISQNAWIQTGTVQDNILFGSSMDGERYYNTLARCSLVKDLEMLPYGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMSALSDKTVLLVTHQVDFLPVFDSILLMSDGEIIRSAPYQDLLADCEEFKDLVNAHKDTIGVSDVNNNIAPQRSKEVSIKETDGIHTESVKPSPADQLIKKEERETGDSGVKPYMLYLCQNKGLLYFSFCIISHIIFIAGQISQNSWMAANVQNPHVSTLKLISVYITIGVCTMFFLLSRSLLVVVLGIQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFAFIFSLGASLNAYSNLGVLAVVTWQVLFVSVPMIVLAIRLQRYYLASAKELMRINGTTKSALANYLGESIAGAITIRAFEEEDRFFAKNLDLVDKNASPYFYNFASTEWLIQRLEIMSAAVLSFSAFVMAILPQGTFSPGFVGMALSYGLSLNMSFVFSIQNQCNLANQIISVERVNQYMDIQSEAAEVVEENRPSPDWPQDGNVELRDLKIRYRKDAPLVLHGITCRFEAGNKIGIVGRTGSGKTTLIGALFRLVEPAEGKIIIDSVDISTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLEAVQEKEQGLDSHVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAVLQKTIRTEFKYCTVITVAHRIPTVMDCDMVLAMSDGKVVEYDKPTKLMETEGSLFRKLVNEYWSYTSNGNI >SECCE3Rv1G0155840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69446177:69448002:1 gene:SECCE3Rv1G0155840 transcript:SECCE3Rv1G0155840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSAVRRLYLSVYNWAVFVGWAQVLYYAVTALLGGGHEGVYAAVERPLQLAQTAAVLEILHGLVGLVRSPVTATLPQIGSRLFLTWGILWSFPETQSHILVTSLVISWSITEIIRYSFFGLKETLGFAPSWLLWLRYSTFLILYPTGILSEVGLIYIALPYIKVSEKYFVKMPNKWNFSFDYFYTCAIAIGVYVPGGPHMFTYMLAQRKKALSKAKTA >SECCE7Rv1G0521470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874135168:874136564:-1 gene:SECCE7Rv1G0521470 transcript:SECCE7Rv1G0521470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGVQIVSRRMVKPEYQKSSRPPEPETDHLTPWDLQQLPVDYAQKGVLLPKPPAGAHAVEHLASSFARALALFYPLAGRFAVAVAKDGDGTLPGLTISLRCSDEGAQFVHAVAPGVTVADVTGTVCIPRLVWSFFPLNGTPAGEPVLAVQVTELVDGLFVAMSLNHGVADGSTFWDLFNAWSEISRNGGAGNGDISTVPPPLPPKRWFVEGCAVPIPLPFAKVEDIACRRLESPPVHECSIQFTPESVKKLKAKANAETAAGTATISSLQAVLAHLWRATCRARGLAPDQETTCLLAVGCRTRLKGMPPVYVGNAVSSAAGKAAAGEILGEDRLGWAASLLNRAVAAVDEARLREKLAAWHANPSFKGLAGRFPPEAVVVTGSPRFDVYGNDFGWGRPVAVRSGAGNKLDGYVTVYEGRDDGGGRGGMELQVCLAPDVLARLVADEELMNPPV >SECCE2Rv1G0121910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:812121769:812122014:-1 gene:SECCE2Rv1G0121910 transcript:SECCE2Rv1G0121910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLAVALLLLVALAYCDGRALNQKDQALATARGAGAGAGGAVGESKVLGLPDLPPLGTITGTSTTNGPLVALPGIPAHP >SECCE2Rv1G0078130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:105598786:105601469:1 gene:SECCE2Rv1G0078130 transcript:SECCE2Rv1G0078130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQMETYADLGPDGGNPAPAKPAMEKPRAATDVSADPYLADTDAPPAPHPGTAAGARKRKAPAMAMGKAARKPRKPQVEEPIQMPVYYGLTKEFLSVEGSDLVEDCSDLFAENKCAHVTGELPVQPQSMPLVELQLWVFKLFRLHPETQDLDIKGFFKQHKKDLTDDESSEPDCSLEYYPWDIHYFRTDKCWSSFANKLKRKRNVTQKFMLYVQSSEIKHYHILLKAVNDDYSQLAKVVLPGTKSLTSGFGFGALVEDPTMTAEEIADYLTRHYGERISPGEAWRAKQFALERKFGTFYDSHNFAPRLLKEMARKNPGTFVDIKDAEVAGCKDFRVLQRMFWAFGPCLQAFCTCRPVLCIKGTPLCGKYQGMLLTAVALDANDFSIPVACAVVEDETKESWLWFLRNLERAVVHQSDVCIIHDYKKELIDAVEDLLNSRERQWRKAESRWCMEDLAENFFAYFGDKKLVMMFKKLCQQKRRHKFGKIWKELDELTSTYMAAKKHDGSGKMQQESVDHDVAELEAQSCNQHDSVEDVKEGYHADGSNRKITKFSDWIGLKPKEKWSLAYDSDGARYGIMGSDTADVYKNDSILKGITCLPLSAIVEVTFLRLVECFKNTSSAANKAIGNPIINFPERVQVDMNSKMQKSETHRLMYTYTDEKNYLGKVVDQKFTVKGRKREVTVHLKTDYIGTSKSKGCTIRKTATCSCGKPQVLHKPCSHVIAVCCEIGVSTATYMSPYYSLPYLGRTWRQKFNKFSHDYRDTIPHCFRGIIPFEGETPTWIPDKRLECGLPVCLSPDCVQTAVVEEEQQRRTENGSVAGNDET >SECCE4Rv1G0271270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:759988879:759989232:-1 gene:SECCE4Rv1G0271270 transcript:SECCE4Rv1G0271270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKNSVQPLCLVALVVMSTALLPSHVEGRIMDTKETILTSCLLWKHCTIDLCRQNCSARGYAKSESTCYSYKNNNYCCCATK >SECCE5Rv1G0339230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:606144107:606146209:1 gene:SECCE5Rv1G0339230 transcript:SECCE5Rv1G0339230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTEPAAPPRRLLGPPVIRAARPSPDSAAADPASHPFLDLLDAAFNAPSAAEAKTALRPRRALTENCSATYANSGNPCLDLFFQVVPDTPPERVRELLAAAWAHDALTALKLACNLRGVRGTGKSDKEGFYAAALWLHDHHPRTLACNVAALAEFGYLKDFPELLFRLIHGPDVRKVAREGAAAEKVRRKEKEFGKQREALRASLASRKRAREMAPVPPKATFGDFLSAALSKSGRTKPMEVETVPVSVAVQEPAEQKPEAMEVDQKKKKPRRMTKKAGKVAKLAVQSLETYYGDSAYRFLFEAVADFFAALLASDLEQLATVGKKRKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSDPEYAQLTDEHYTYRTLHRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKFLFKKHDEERFDKYIEDVEAGKAKISAGALLPHEIAASAYRGEEDDVSELQWRRMVDDLRSKGALSNCISVCDVSGSMHGTPMEVCIALGVLTSELSEEPWAGKVITFSQRPEIHLIKGKTLQEKMRFVEDMQWDTNTNFQAVFDQILRTAVEARLAPEKMIRTIFVYSDMEFDEASATGGYYASSRSWDTDYEVICKKFRAAGYGDAVPQIIFWNLRDSRSTPVTSTQPGVAMVSGFSKNLLKIFLKNDGVVNPEAVMMEAIAGEEYQKLEVFD >SECCE1Rv1G0038680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:542144019:542145805:1 gene:SECCE1Rv1G0038680 transcript:SECCE1Rv1G0038680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVPPVPIDPAAAAKSRRGRHDPDEMEGLFSRMLSRTRNVLPDPPVSVDGRLFALLPHDSVDSISRLPDVLLGNIVSRLPVKEAARTAALSRRWRGVWRSTPLVLVDSHILPEDAGTAVARADARRITSAVSRILAAHPGPFRCVHLTSCYMEEFDGLLRRWLQILADKGIQELILVNRPMPLGRLLRSTFFLPSTFLGMTTLTCLYLGLWKFPDTAGVKRDTCFPNLRELGLCTVLMESKDLDFILDRSPVLDTLCIESNVFKLGLRLVSQSIRCVKIILSSFEEIAVVDAPCLERLILSGGWAEDGVCTKVKIGHAPKLHSLGYLDSESHVLEFGNTVIKAGTKASPSTMVPSVRILALDVRCGVRSYAKMIPTVLRCFPNVETLHIMSGETGQPSGKHNLEFWNESGTIKCIRSCIKLLVFHDFRGDRSELAFLKFFFKSASVLKEVLIVMANGSFTSMEDMLSKVKPLGSMKRASSDSTITIDPQGGSIWNFKNASDFSLCDPFASN >SECCE3Rv1G0175730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:432538918:432541338:1 gene:SECCE3Rv1G0175730 transcript:SECCE3Rv1G0175730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component SEC15B [Source:Projected from Arabidopsis thaliana (AT4G02350) UniProtKB/Swiss-Prot;Acc:F4JHH5] MRRKLAGDAPASASAGGGSVPSEADLAQLSTAISAGEDLGPFVRRAFACGRPEPLLSSLRAVARDRESEIEELCRAHFHDFIRAVDDLRSLLADADVLKGSLSASHSALLSSAAPLLASLESFLAARALAGNLSSALASSHRCVRLLALAARANDHLQAGNHSLYLALRAVDAIDLDLASGPEPLPLPALRRMLLSLVPAVRVHAEREISREFADWMVSIRAASRHLGQVAIGRSAAARQRQEELRSKHRPLEESITLDDDGAGDLDDFAAATATSDGSDGAAAASFDLTQLYRAMHIHQTLALGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFAQIAGFFIVEDRVFRTGGGLTSRVDVDALWEAAVGKMISVLEDNFSRMQTANHLLLITDYAALLAATMRRYSYPVGMLLDVLAKHRDKYHDLLLADCQRQVAEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVNASIQKLVDSGSGLSVSQAMQVAANMSIMERACEFFTRHAAQLCGVPLRAVERGRRDFPLRKSRDAAEALLLRLLCSKVDEFMRQSDGVSWIADDPPAGGNEYANEVTIYLETLTSTAQQILPLPVLRRVLVAVLAHISERVIGLFLNDSVKRFSASAVIGIDTDLKMFESFAENMSSLFLDSDQDSAASEMKSALVEPRQLVNLLMSNSPENFLNPVIREKSYNKLDYKKVAIISEKFRDTSESYFSTFGTRGARQNPKKKSLDTLIKRLREAS >SECCE1Rv1G0059780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707799012:707801275:1 gene:SECCE1Rv1G0059780 transcript:SECCE1Rv1G0059780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLYIICIFCSMKRSPPSLGNSHVPHLEWKIHDLSALLETGATSAKSAHFHYSGYMWFLKLIPMHKKPGDETPYVSLCLKLAGWKTLEPCHTVNAEFELSVYNHAKRMYCGCRGSHNFDLNNTLSKKECLIPLQELLKSSSFLVDDSCVFGVKILKIKTSSPEKKAVVVPKKVTTVQNLFIQKKGLIKGTYTWTMDNYHELDSKRFVCSPTFEVCGHTWHLGMYPHGRRHITNHVSLFLYLEEESSDKLCDESGKVVELTLSILDQKNGKHYTITSGLLVFGAEKSWGWPKFLTVKQFKNPSRGYVVGSRCVVKTDFVIVGSSNDG >SECCE1Rv1G0057670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694585686:694586237:1 gene:SECCE1Rv1G0057670 transcript:SECCE1Rv1G0057670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFFCPRCRAGVDRRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEHEEWLRMLPRTAVAATRAPEEEMEGKEHTDKELVVELRMVKKKVRKLEDQAQIAIPICNYFWAVVGMVIALVVMLKMYGKA >SECCE6Rv1G0423250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695053158:695056824:-1 gene:SECCE6Rv1G0423250 transcript:SECCE6Rv1G0423250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGMDPALLDNIIARLLEVKSLKPGKNAQLSESEIKQLCAASKEIFLAQPNLLELEAPIKICGDVHGQYSDLLRLFEYGGYPPQSNYLFLGDYVDRGKQSLETICLLLAYKVKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVSALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNEAQGWAMNDRGVSYTFGPDKVAEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPARKMLPGSTNNKSGFKSMRGW >SECCE4Rv1G0226980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:116709156:116711575:-1 gene:SECCE4Rv1G0226980 transcript:SECCE4Rv1G0226980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSVHRRQEFSFTGDSPTPWQGAEAGERRALPPRPPVVATANPMAPRAGEEDFRWLQASRQGSPESGSGSGTPSPQLWAHREQHHDRLYPASAGSSPSRAQAIAGYRREMLDLVRGLPEAAYELSLRDIVESRPSPLPPPPPPPPPTQPYAVAMQEQGGGEPKKDVTAAAMDGDEAKKQSDGGGKKQGKARKQRTMGRTRSRSMERSVSLDTGLLIKLFLPLSVGRKKKVSPKPAAVAPAKDGRKKTKTKKKKKQGKKEGEEEWWKKSELSEAGSSSRTSSSGSGSSYSSASRNNGNGGSDPKAPARSRSRKKIGCYGFFGANKSKNGVIQE >SECCEUnv1G0557390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:323401490:323406151:-1 gene:SECCEUnv1G0557390 transcript:SECCEUnv1G0557390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAIVERACAKLRSALGDEAVARLSFTGDLRDILEALEAFQPMQDKAEMQLVRTRSVEVWLQYALTAAYKTIDIVDELQDARPQAATTMTRMLPRLAILKNAMAVQVQQTKELVMQAKSWSREVSKDLAEHTIMIQKITEQRKTGPVFEEAVVLGRGSDKERIIATLLCTEPNIMQEHITILPIFGLAGSGKTTLAQMVFNDTHSLQGYDFRVWVHVSPQFDFHTIGNSIICQVSGRGPEEINHASSDVEGMEGIMKHLHKLLDGKKVLLVLDDLWEEDPIQLQLLKSTLTFLGDKMDVIVTTCNQTIARKICTVEPYRLNRLTDDTCWEIIKKSIRFEGGEEELEKIGRKIASKGWGLPSAAREYAAKIGSSRDAMRWKGILETKAWDFFFSTFDLSYMSMPPDLWTCFVYYCQVFPIGHSIVKVDLVHHWIALHLNEPSEILSATQIAEAHITRLLDMSFLQTTDTDHASGKEDKGAILFTIHNLVHDLTRTCIRSLITYVDTNCHGEPGVHDMYLRALHCVGCKKVEFKGNLFSLNKWIRVLELTESSVHKKLPDSICQLRHLGYLKISDFTGLVSLPESFGHLKNLFHLNLSDCSSLAALPESFGDLINLTHVNLSRCHGLAELPEQLQKLGKLVHLDLSFWSCFEGIGERLGSLTNLEHLNLSHPCCHLAQHRSRLQELKDGLCKLTNLRYLNLSACMNPIFYYLGESQEDNIKYLGECVSGLSSLEHLDLSHHTFLFSLPASLGDLGQLHTLDLSGCIRLKKVGEMESLKFIDLRKCRALESCQFVVRIVDDDDVYSSSNIVQLEVVNCQELQISCLERVKSKEEAQRIKLAEKQKLEKLKLSWTLESPGRVEDSALLGELVPPPNLQCLEVNGYAGTCLPEYFGELTSLQELKIVRCKQLKSLPDTMQKLTSLKDLSIFDSPELEKWCQVEENKKVLAHIPNKNYEEPASTSRQEIEEDDKSGDEAEIEENKKMRAHIPNKNYEEPASTSSQGIEEDDKSGDEVEAA >SECCE4Rv1G0281680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826716523:826717707:-1 gene:SECCE4Rv1G0281680 transcript:SECCE4Rv1G0281680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEEMFERNTKSRLESHPGLTAEAAGLLTDDLILEILSRLPARSLHRFKCVSVSWRDLITDPANRNKLPQTLAGFLYTSPGDHFASVSGDGAAPFDLSLPYLRNNKDDKGITLVDACNGLLLCRRRKKNKATPWKEDDFGFVVCNPVTGRWVELPPQPQAPPRRYIHTAGLAFDPAVSSHFHVLHFEETYTGSYITGVNIYSSRKGVWSHRDSGMVEKVTLFSRSKCVFAGGMMYLMGNLKEMNGEYVLVGVDMEGKVWKTIRTPYGRRFGTIGLSQGCLHYVVAFVGDDNAIQITEIALWCLKDRDSTELVLKHTANINKLMSMTGKMYMVVEIHPDCDTIFLVSFRGDTLAAYDMRHQKVGCILNLEKNTRRFLPYVPLFSESLADEDGR >SECCE2Rv1G0068960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25905900:25906680:-1 gene:SECCE2Rv1G0068960 transcript:SECCE2Rv1G0068960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPD1 [Source:Projected from Arabidopsis thaliana (AT4G24972) UniProtKB/TrEMBL;Acc:A0A178V0R1] MMASSPPAARVLVVLLAVLGSAVSIASASVDDAGFSPEQAPPLAAAAPSASATATVIPRKVFRPAAATGVDGARPHRVSTGCAGAGDISISQGRGTTLPSGIPSYTVEVMNRCVDDGGGCAIGGIHARCGWFSSVTLVDPRKFLRLAYDDCLVNDGRPLLAGDTISFEYANSFPYQLRVAFASCVHPPPPTSH >SECCE6Rv1G0432190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:750164872:750166973:-1 gene:SECCE6Rv1G0432190 transcript:SECCE6Rv1G0432190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQHQMFNDPFASSMSSLEADVFSGAGGYHHIAPSLQWPGLDQDIPAAPAANNATSSGGSGSHRKMSHNAYERDRRKQLNEQYSALRSLLPDDDHTKKMSIPTTVSRVIKYIPELQKEVDGLERKKKELTRASCGQGVLTMRENTAPIVSATCLDDREIMVQVSVLSTMAGALPMSKCIKVLENQGLRLINSSTSAFQNRTFYSLHLQRTQRTMSKEGQAFCNELENAMKQKAGLHLHH >SECCEUnv1G0566220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:402187792:402190574:1 gene:SECCEUnv1G0566220 transcript:SECCEUnv1G0566220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAVKGTLNILEVCTSLKVQKVVVVSSTAAVHYDPNWPQGKPKDESCWSDRKVCKDNEIWYCLAKTVAEETVWEYAEKNRLNAVTVCPCIVLGPQLQSVVNTTSELLLYVITGGPNALNDVLWHIVDVRDVADALLLVYEKPESSGRYICAPNYISTKALLELLKKTYPNYNYVKCKADAHQNSRITPISSGKLSNLGWKPRALEETLMDSIEYHRKTGNLQDVEGQTYHLPDIFRHFQAADE >SECCE3Rv1G0170020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:245895193:245896083:1 gene:SECCE3Rv1G0170020 transcript:SECCE3Rv1G0170020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIMAARGQGLEEDFDFFVVIDFEATCVKDARIFPQEFIEFPAVLVDGATGRIESAFRRYVRPKHHPVLTQFCRELTGIRQEDVDGGVDLGEALWLHDAWLKAATAGAGSKRSVRLAIVTWGDWDCRTMLEFECRFKGIEKPSYFDQWINLRVPFQAALGGGGRVNLQEAVRAVGLDWEGRLHCGLDDARNTARLLVEIMRRGVKITITGSLAPPPPLTIQKQPPCTCPLALVPAPIQQKQQPPQPHIISPCGGSSAPCFWYCGEASRGGMEPGLMQSGCSNWTPAIGPYFLWSN >SECCE2Rv1G0120300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:798113941:798114600:1 gene:SECCE2Rv1G0120300 transcript:SECCE2Rv1G0120300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIAQLPASFAPSFAPLCSRIPFAPPLSSTSLSASSPPFHPSRVLVRWEPPPRGWLKLNFDGSVYHDGSGRASIGGAIRDCNGHVLVAFAEPTEHSTVGIVEARALIRGLRLAMSFFRGGLVVEGDDQVLVELLSGKEMQTRIPLAMQEEIMALLDHFSMYQLQHIYREGNQVAHVLCKEAYQRPGVWSSGIVPHTVWEKALEDMHGVAHERIWKKKV >SECCE2Rv1G0116310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:763066124:763068744:-1 gene:SECCE2Rv1G0116310 transcript:SECCE2Rv1G0116310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPGRAASAVRLFDAHCHLQDPRIAAAAPSLIRAATASGVARFAVNGTSEKDWHLVKQMAQEHPAVVPCFGLHPWWVPERSPDWMDSLRRFFSETPEAAVGEIGLDKGSHGKTIDFEQQVEVFQRQLELAKELDKPVSVHCVRAFGDLLEILKRTGPFPAGVLLHSYLGSAEMVLGLANLGCYFSLSGFLTGMKSTKAKKMLKAIPLDRILLETDAPDAVPKLDNVSLVTIPVGTSDADTEKFDSDSTSQAAIPSTEPLNHPANIHIVLKYASSLLEMPEAELAELSYKNATKLFSYPGSKVHPEGETA >SECCE5Rv1G0324090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:442524888:442526487:-1 gene:SECCE5Rv1G0324090 transcript:SECCE5Rv1G0324090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B5-like protein [Source:Projected from Arabidopsis thaliana (AT1G60660) UniProtKB/Swiss-Prot;Acc:O22704] MEIIILVSLVVLLVLGALFVIPRSKNKGEGKDARSAGNGARSTSYSKKEVSTHNTRKDCWIIIKDKVYDVTPYVEEHPGGDAILNNAGGDSTEGFFGPQHGTRVFDIIEDFCIGKLED >SECCE4Rv1G0294090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:888219722:888221713:-1 gene:SECCE4Rv1G0294090 transcript:SECCE4Rv1G0294090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLVPVGRRISLLEKNIKDRYRTGRIGTEDALHLFDELLPDARPSSIAAINCLLTVVGRDCPALVVSLFNRVARAKVPLHNITYGILVDCCCRAGRLDLGHTAMGHVIKLGFTADAIVTFSHLLKAICTEKKTSYAMDILLRIMPMFNCTPDIFSYSILFKGLCNEKRSQEALELIHIMAEDGGSCQPNVVTYNTVIDGLLKEGEVNKAYTLFSEMSQREISPDVVTCSSIIAGMCKLHAMDKAEEVLQQMFERHILPNTTTYNSLIHGYYSLGQCEEVDRIFEEMSRHGVQPNIVTYNTQMDYLCKSGRSAEARKIFDSMISLGQKPTVTTYNILLHGYALEKSFHDMYCLIDLMVGNGISPDHYVYNILISAYAKEETDVVTCSSIISGMCKAHAMDKAQEVLQQMFDRGILPDVVTYNSLIHGYYSLGRCKEVDRILKEMSRNGVQPNTVTSNIQMDYLCKSGRWSEARKVFDSMISLGQKPTITTYNILIDAYSKNGRIDDALVLFRETLDSVNPSIITFNIMIGALLKGGRKEEAKDLFDGIWANGLVPHVITYSLMIQNLIEEGSLQESDDLFLSMEKNGCAADSRMLNAIVRSLLRKGEVPRAGTYLSKIDERRFTLEASTTSLLTALASGGKGQEYKALLPEKYHSFLEQGTD >SECCE7Rv1G0497900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:632760551:632763440:1 gene:SECCE7Rv1G0497900 transcript:SECCE7Rv1G0497900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRSPSPPAGSPASCSSETETGVPEHVEEVSSRELGDTSKELSRFETLTLNDTPHTLESADGQEIFGGFYPLDHEGDILFEIRQAEERAQFFQAQEYWPLDFETNREYANMKVGKQTESVQARGQHTYASKKEEIAANAKRWMSEEAMVAFTRYIESKDDLKGLDYEFDELVHQCFNMEDYLKIFHHFNFTVKIKKPGSADWMSAMYFAEVKSICMIKLYACYPLEEDQYGECYACEKQGMGELRHPLKGIYDMGREDIEPPFYYEDSDSDEFNPATGRSESSDDDMS >SECCE6Rv1G0403930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510936503:510937072:-1 gene:SECCE6Rv1G0403930 transcript:SECCE6Rv1G0403930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEFNRSSLAERRIFLAHYQTITYSQTSCGTNRFHLPSHGKPFSFRLALSGILVIGSVGTGRSYLVKYLTKNYDFPFIKVRGLLIPQERKHLFILSYTRGFYLEKTMFHTKGFGSITTSSSALDLIALSNEALSISIPHKKSILEKNTIRLALHRQTWGLRAKVRSARDHGTLFYQIGGALVQNRLTK >SECCE2Rv1G0064790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5033878:5040132:-1 gene:SECCE2Rv1G0064790 transcript:SECCE2Rv1G0064790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGWKSFVTPFITTSSGLQAASPAPQIDRDSPPLSPRRRPIPAPPDDPRPPPAMAHGDRMTTFEESERESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDSLIGEIIRLEGDSATIQVYEETAGLTVNDPVLRTKKPLSCELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQQWEFQPNKLGVGDNITNGDLYATVFENTLMKHHIALPPGAMGKISYIAPAGQYSLQDTVLELEFQGIKKEFTMLHTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLASRLASFYERAGKVQCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALEGYYEKFDPGFIDMRTKAREVLQREDDLNEIVQLVGKDALGESDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNQLANQAVERAANADGHKITYAVVKSRMGDLFYRLVSQKFEDPAEGEDVLIAKFQKLYDDLTAGFRNLEDEAR >SECCE4Rv1G0257400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:666873840:666890728:1 gene:SECCE4Rv1G0257400 transcript:SECCE4Rv1G0257400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSDKRPRYILDESDDDFPPRGGNGKGKDRDGDPPVKQIEREDAKEDACRKCGLGDNLVSCTTCTYAFHRKCLVPCLNITSDKWSCPECVSPLTEMEKILDCETKVASEETSSSESGSNKKPVKQYLIKWKGLSHIHCTWISEDEYFDAAKIHTRLKTRLNNFNKQFESTDKSDDDYVPIRPEWTTVDRVLSSRKNSSGEREYYVKWKELPYDDCTWESESDISVFQPQIERYNEILSRRKKSAEKSKNANRAMRHAEGTPEFLTGGTLHPYQLEGLNFLRYSWSINKRVILGDEMGLGKTIQSIAFLASVSEDKFGPHLVVAPLSTLRNWEREFATWAPQMNVIMYFGSGSSRDIIKKYEFYYSKDNPKKLKKNKSSSSNDEDKQSRIKFDVLLTSYEMINMDSAVLKTIEWECMIVDEGHRLKNKDSKLFGLLKDYHTQHRVLLTGTPVQNNLDELFMLMHFLEGETFGSISDLQEEFKDINQDKQVEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTSKQKEYYKAILTKNYAVLSRRGGGHVSLINVVMELRKLCCHGFMTDEPDTEPASPEEGLRRLLDSSGKMQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKIGGAERQIRIDRFNAKTSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKMILEHLVVGRLTKANNVNQEELDDIIRYGSKELFDDDEDEAGKSRQIHYDDAAIDRLLDRDQVDEEEYLEDEEDDEFLKGFKVANFEYIDEAKALAAKEEARKKAEAEAASSKANYWEELLKDRCVEQEVEEIAMGKGKRSRKQMAAADEDDITGLHELSSEDEDYSYDDDVSDNDTSLPANVSGRKAQYSKKNSRNVDSLPLMEGEGRALKVYGFNHVQRTQFLQTLMRYGFQNYDWKEYLPRLKGKSADELQRYAELVMAHLVEDTNDSPTYADGVPKEMRADETLFRLAKISLVEEKVAAMEQGKITKLFPNYLLHEFAGLSGGRIWKGEHDLLLLKALIKHGYARWQYISDDRDNGLFETARRELNLPSANELISSQSNNEANGNLESTQEVQVNPTSLSQYRDIQRKIVEFIRKRYHILEKCLELEYAVIKTNTPVPDDLTEQNVPMRHSPAVPDISEVLRELPPLVPISAKEVASDGSTDQAHLSHLYNKMCGVLEDSGPRALNSFCGDKAASASLANSLHQFEKVCEDVERVLRVQENGAATPKEQVVDASVEEAKPPQDAGVVAANGVGPSTVKPEDKMEIDG >SECCE7Rv1G0524040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884430888:884433396:-1 gene:SECCE7Rv1G0524040 transcript:SECCE7Rv1G0524040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAISAYAAAAAPVVKDPYVTKEYLKGRDYNVYAHSYLHYGAMAARGEIFKAKNGPFSYCMLRGFIGKYTYNGDKYDAIASPTGAAYYKCREDVTKALKLSAPCEAKNCTFNGAWNGGGGAGQADLYAASSFYYMASRVGLIDSQPTSGKTTPAAYGAAAEKICPLSLEEAQASYPRARATDVPYLCMDLVYQYSLLVDGFGLEPTKDITVVEKVKHGEYFIEAAWPLGEAIEAVSPTKRLQDA >SECCE1Rv1G0062450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719587530:719598421:1 gene:SECCE1Rv1G0062450 transcript:SECCE1Rv1G0062450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPMPMPAVTADSPDQFRGKLRLPHFAMPTHYKLHFHPDLVSSTFSGVVSINVFVLAPTRFLVLNVVELTIDHASIHFKRLEPTDVVFFKDDQIMVLGFRKELPLGEGVLSMHFNGTLSDEMRGFHRGKYQYKGEMAYMAFTVFEPVNARRCFPCWDEPDFKAKFMLTLEVKSDLVALSNMPVLGEMVDGSIKVVHFEESPLMSTYLVAMVVGNFEFIEGVTSQGTKVRVYTEVGKSKQGQFALDVGVKSLDLYDDYFETPYALPKLDLIGIPGFPVGLENFGLVTIGEGGLLLDETSTTSTKQQEIAVNVAHELAHQWCGNLVTMEWWNDIWLSEGFATWMSHEAVDSFFPQWNIWLKFLGGTLETLRLDSMTGSHPIEVEIHHTNEIDGIFNEIIYVKGASILRMLQSFLGAKRFQKALASYVKKYAYSNAKTEDLWTVFEDETGEPFKDLMTPWTKEAGYPVINVKHKGEHIQLEQAQFDLDGSSRSSLWDVPITLRCSSSTEKFILKHKHDKLDLCCKRQKDGNIWIKLNINETGFYRVKYDKEITATLPYALEASEFSSMEKIGILDNALVISISYERTLASLLHIVYACREEADYNVLPHICDITTSVSQITFDATPNLAGDIKQLLIKILLSPTLKLGWDPKDGEGDLVVRLRETLLVALVKLGHDKTINEGVKRFHILKHDHNSSILSPHSRKAAYLSMMKKASSLDRSSYDDLRRFYKDLGDGEEKRRILGVLSSCSDMDIFLESLNLIFTNEVPNQHALDVLNGITIEAREIAWSWLKENWDRILKFVPKKDLWLSIVDIIVPLFNSNDKVEEIIKFFTKYPEPTLQGVLQNKLRMVHINMMWIEGIQSEPMLEQTVHELLHKL >SECCE2Rv1G0086400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:210917502:210926766:-1 gene:SECCE2Rv1G0086400 transcript:SECCE2Rv1G0086400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTAPPSPLPVVSLSARRRSAAAFYHAPSARRLSRRSWLSSENSPRSRLRTKASKTEPVEESLPAAPGPSEPLPQARTSTWNWKGYNIRYQSAGTSGPALVLIHGFGANSDHWRKNIPVLAMANRVFAIDLIGYGYSDKPNPREFEESFYTFETWGEQLNTFCAEVVKSDAFFICNSIGGLVGLQAAVMEPQTCKGIVLLDISLRMLHINKQPWFGRPFIRSFQNLLRNTVIGKLFFNAVATPESVKNILCQCYHDTSAVTDELVQMILQPGLDPGAVDVFLEFICYSGGPLPEDLLPLVKCPVLVAWGEKDPWEPVELGRAYGSFDAVEDFVVLPNVGHCPQDEAPELVNPLVESFVKLHS >SECCE2Rv1G0082570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:153925451:153929694:-1 gene:SECCE2Rv1G0082570 transcript:SECCE2Rv1G0082570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQSQVAAMMQQAAAMQSMYAMPPPPHHHHLLGAAPPQQIEPILTGNLPPGFDTSTCRSVYVGNIHVQVTEALLREVFQSAGSVDGCKLIRKEKSSYGFVDYYERGSAALAILTLNGKQIFGQPIRVNWAYASGQREDTTDHFHIFVGDLSPEVTDSALFAFFSAYSPNCSDARVMWDQKTGRSRGYGFVSFRNQQDAQSAINDLNGQWLGNRQIRCNWATKGANSGEDQLTSDSKSIADVNNNFTENAKQKSNEDAPENNPLYRTVYVGNLAHEVTQDVLHRFFHALGAGAIEEVRVQHGKGFGFVKYSNHAETAVAIQTGNGRILGGKPVKCSWGNKPTPPGTTSAPLPPPAAPSHPAAADLVAYQRAIAMSKMASTQALMQAQHLRQAAMGMGVGASQAMYDGTFQNVGTSQQQQQQQQQLMYY >SECCE2Rv1G0080860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:133334936:133336886:-1 gene:SECCE2Rv1G0080860 transcript:SECCE2Rv1G0080860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAAQAACVSHAFLRFWRSYARLDFNMYTLGLLDPGRHLPSSGPIDKELPVIEDQLRRGVIATFDEYFEKNSPIIKEFSAIVDHIMHNHVGTGVQTFRLVPPYGFYINPAVLDRWFQTVVAQGISEFGLNLDMGDEGLGYNFPCSLLSSSNRTITSFSIAGCGLHSLDRELQLFYCNDMVCLKIPHSLSRLKLLQVRNCNSLQMIGCDAPRLKSFGYAGLPTTQICLGDSSPLVREMKMSGMDDEPTGMLCYATTKLPSVAPNISSLVLSSCFEIATPMKKLNKFRRLKYLEIQLHTPRRCPDFDFYSLVSILNACPVLATFILRLEKRDADDAIPGDPHGDSSQQKMHIRGQGHHKLKNVLIWGFRSAKGLVELTSHILETAASLKRLVLDIAYGCHTRDCIGKCSPLTRKALLEARKAVDAIKTYIEGKVPSSVKFKLIEPCSKCHADDA >SECCE4Rv1G0263400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:716513873:716515681:-1 gene:SECCE4Rv1G0263400 transcript:SECCE4Rv1G0263400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGAMGPVIRKLGEMLVREYNLEKRVKKGIQSLLNELEMMHAVLRKVGKVPSEQLEEPVRIWAGKVRDLSCDMEDAVDDFMVRVDEGSSSNPTNMRNRVKKFLKKTTKLFGKGKALHQISDAIKEAQDLAKELADLRRRYELDTRSTSNGATIDPRVLALHKDAGELIGVDRTRDELIKTLICEDGSSKEQLKTISIVGVGGLGKTTLNKAVYEKIKAQFDCVAFVPVGQNPDIKKVFKDLLYELNKAKFTDIHNTSKDKNLLIKEIREFLMDKRYLIVIDDIWEEEIWRYINCALYKNKLHSRVITTTRNVSVSEACLSSSDDMIHKMKPLSDEDSKILFYRRIFQSEEKCPEDLQIVSRDILKKCGGVPLAIITIASLLVSNQEVKANHEWMDVYNSMGHGVTQSGIAKDMKRILSLSYYDLPPHLKSCLLYLSIFPEDFEIEKDWLIWRWLAEGFIRCKKKRAAYWRSGRATSTNS >SECCE1Rv1G0033610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:469560206:469560517:1 gene:SECCE1Rv1G0033610 transcript:SECCE1Rv1G0033610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCGCGSNCNCGKMYPDLEEKSGATVQATAVILGVGPTKVQFEEAAESGEAAHGCSCGASCKCDPCNC >SECCE4Rv1G0244750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:520269024:520270140:1 gene:SECCE4Rv1G0244750 transcript:SECCE4Rv1G0244750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLSCLLPPPLAASPTRLRRNGARITRPGRSCRAWSNGAGTRRRRSGGRLRVEALFGDGGGEGEDAFRAVMRIVKLNSAIQNRSVRELLELIADECRYFFRNIPPVNVSEMSKNVFMFLHEMMLQHQVSFVLKPAENGGFDLGVKWCLEWKGRKLPWDVDCTVSTTHVYTGLLLVSQVNKACGPLLQRILQMIYQNLDAVVLIVANKFLPEGTLDEERSNMIVCAIIGLVVMVLFYAMFKNL >SECCE1Rv1G0033680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:469808077:469809377:1 gene:SECCE1Rv1G0033680 transcript:SECCE1Rv1G0033680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKLANLCFTAVLLSVAFSCCRGQGGGGGGGGAGAGAGAGGGGGSGIGAVVPGTQDSVQIVAQAALCFDNRPVLTGCLQAMGINASGTGASMPPPAPGGAATAIMCSPPCFGHVTMMMSCVNAIFGNFVTYNPGLMQGVQAVFQMSCGNVNGPGGAGGAAGGMGGGPAGNAGGGAGAGGASGGAGGGAPGGIPNGIGGPGGIPNSMGGAAGGGGGAAGASGGAASGTTNSIGGAMGGGAGAGAGGASGGAGGGNGTTNGGAAIGAIGSGNITSVSPNAGSHVAVSNQSQPTSSAGGPTLSLKGACSSVLVISAGAWLVLF >SECCE1Rv1G0059250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704602969:704603841:-1 gene:SECCE1Rv1G0059250 transcript:SECCE1Rv1G0059250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF061 [Source:Projected from Arabidopsis thaliana (AT1G64380) UniProtKB/Swiss-Prot;Acc:Q9C7W2] MDASLRTLSPSSFTGEVRSAVSSLLLSPGGASALDTVFSHLPPPVTIPPLGSSVYYRQSELLRSFAATQPHHHLAAAASSSSAYALPFPGAASYDAAAAAAAGRKMYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAYRLRGEYARLNFPGVMDGADDARFPDALRQLRDAVDAKIQAIRVRMARKRARARRLREEAKQQQQQQQQQQQSNNTQRAEAAPAPPRPVVSESATTSETTTTTTSSYGSPEGALSAADFECSLQRMPSFDPELIWEMLSF >SECCE3Rv1G0196750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:811750668:811751632:-1 gene:SECCE3Rv1G0196750 transcript:SECCE3Rv1G0196750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSRAAACAALAFPGTAAAAAPPSSVSVNRRAQPRKATAAVARATTAGGAVLDPPSFDQSQLDTLPPAQEGGDTGRLKDRKGTGSGDSYKVLLLDDPRHTEKHVETALPQVVPSVTAEAARQLFHESRQKGAALVIVAVKEHAEFYAQMMVRHGLRSAIEPESDMAT >SECCE6Rv1G0402470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:484298708:484299019:1 gene:SECCE6Rv1G0402470 transcript:SECCE6Rv1G0402470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE2Rv1G0109610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:678933518:678935075:-1 gene:SECCE2Rv1G0109610 transcript:SECCE2Rv1G0109610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSVCDGTLSLDEFNASAKALVTKWRGIDVDDYLPDWEWRPCRKMGVPSETEGFIALEGVYRIGAGGQVEESSDDPVPDDVVEHDTSVQSSRDNVHLYDFHIVYSFSYKVPVLYFQGLQAGGQLLTLDEIKKDLPPHSLQLLNESKWTFITREEHPHLSRPWFTLHPCGTSDWMKLLLHKLGDKDRSLQYLPAWLSVAGQAVGLKIPLKLYCSS >SECCE4Rv1G0226930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:115810784:115812115:1 gene:SECCE4Rv1G0226930 transcript:SECCE4Rv1G0226930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGSLAVAMTALVASVLILQLLHAPTPVSSSPAVLVPLLRTAVIRASPLLRNLVKAFLKEQAKQGVMQLIWKVAGHLKNQLLGGMGADAAGQVVFDISVGTSPAQTISGVMDITSQLVWAQCAPCDACRTPAFRPAESASFSKIPCGSSTCPRVLGDRTACVGDGDCAYNATYYGAGNQSNAYTDGSFATETFTFGATSVPGIVFGCVASTTVQLSGGASALFGFGRGNISLVSQLGLSRFSYTLASDDTDGSESVIRLGDAAVPQTQRSRSTPLLRSVMQPDLYYVGLRSIQVDGHDLDGIPAGTFDLQADGSGGVFLSSTWPATYLEETAYSALRRALVSSIESQGVTPVDADDLHRLCYRRWSFSDVTVPALTLVFDGVNATMEVKPGNYFFKGGLNVCLTVLPSKGGSVLGSLLQTGTNMIFDIGGGLLTFETPTP >SECCE7Rv1G0486160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:399405304:399407487:1 gene:SECCE7Rv1G0486160 transcript:SECCE7Rv1G0486160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGEGDARWIVQERADGANVHNWHWAERDCLDWSRALLSKLLAGLPVLSGEGGLTLRTTTLDKLDGEAYVNIRKGKVIPGYELSLTLAWEAGATTESGVVKVTGTAEVPYLADENADEDPELRITVRGDDGPLARRAKDAFIAHGKPLVIAKIRDYVAAMANGGPAKDEIDSKKISTKAAPAAGGATVAPAPSVKVTAAPVQAPAAKEKKVNGNDKEGCKTIEMTEKFNCRSKDIYEILMDENRWKGFTQSNARISKDVGGQFSLFDGSITGVNEELQEGKLIVQKWRFGSWADGVHSTVRLVFDEPESGVTIIMLKQTDVPEEDRYGNSTVVENTERGWKELIFQRIRAVFGFGV >SECCE2Rv1G0104210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:602691042:602696579:-1 gene:SECCE2Rv1G0104210 transcript:SECCE2Rv1G0104210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDHAPYSNSNQHPPPPPSPSPLPEREGSFNYDIESMDGGGWRGAGRYASSDALLRYDDDGPREPLLRKRTMNTTSQIAIVGANVFAIESLDYEIVENDLFKQDWRSRKKNQIFQYVVLKWALVLLIGLLTGLVGFFNNLAVENIAGFKLVLTGDLMLQKRYFTAFLAYGGCNLVLGATAAALCAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSGGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWHWLKYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRALIEFCRKGKCGLFGQGGLIMFDLSSDVPSYGTQDLIAIIILGVIGGVFGGLFNFLLDRILRVYSIINERGAPSKILLTITISIITSACSYGLPWLAACSPCPVGSMEECPTIGRSGNFKSFQCPPGHYNGLASLFFNTNDDAIRNLFSRGTENEFHMSSLFVFFIAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPMSDIDPGLFALLGAASFLGGTMRMTVSVCVILLELTNELHMLPLVMLVLLISKTIADCFNKGVYDQIVVMKGLPFMEAHAEPYMRHLVASDVVSGPLISFSGVEKVGNIVHALRITGHNGFPVVDEPPVSEVPELVGLVLRSHVLVLLSGKNFMKEKVKTSGSFVLRRFGAFDFAKPGSGKGMKIEDLDFTEEEMEMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRFPIVGILTRHDLMPEHIHGLFPNLRKSH >SECCE2Rv1G0080200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:128145504:128148481:1 gene:SECCE2Rv1G0080200 transcript:SECCE2Rv1G0080200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANSYEEQRRRQVEENKRKLEELRLHHLSAAVREAAVKPRLKRKAPKPRDVADDAPPRRSGRIATLPEQPDYRDNLGTGKPRQQKELKPDHAYAIAKAEELQDELGSDYPTFVKPMPQSLTSLHIPAQFSMEHLPDNGMRMVLVDEEEEEFKVRYRPDSSSLVAGWSEFAMDNELVEGDCLVFQLIKKALFKVYIFRASSYYEK >SECCE5Rv1G0368050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826406490:826411513:1 gene:SECCE5Rv1G0368050 transcript:SECCE5Rv1G0368050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMMGARLDLAPFLLSPSPSPPSASIRLRTSPPSPAAAAARARLLAPRAAAAATAVSAKPAAAAPLSADRSVVRLGLPSKGRMAELTLSLLKSCQLSVRQLNPRQYTADIPQVPNLEVWFQRPTDIVRKLCSGDLDLGIVGYDIISEYAQGNDDLVIIHDALDFGHCRLSLAVPKEGIFDSVNTLEDLLNMPQWTEERPMRVVTGFGYVGAKFLKEKGFKHVSFLAGDGALESYPAMGMADAIVDLVSSGTTLRENNLKEIEGGIILESQATLVASKKSLNRREGVLEISHEMLERLEAHLTASGKIMVTANMRGNSAEEVAERVLSQTSLCGLQGPTISPVYCTLDGKVAVDYYAINVVVPQKSLYKSIQQLRSIGGSGVLVSKLTYIFDEETPRWRKLLSELGM >SECCE4Rv1G0223210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:67227644:67231927:1 gene:SECCE4Rv1G0223210 transcript:SECCE4Rv1G0223210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSHHDAGRAVAQTLLLLLTASSSSLLGVVVPALAADPPFSCGPSSTAATQGYAFCDATLPVAQRAADLVARLTPAEKVAQLGDEAAGVPRLGVPAYKWWNEALHGLATSGKGLHFNGAVRSATSFPQVSLTAAAFDDDLWFRIGQAIGREARALYNLGQAEGLTMWSPNVNIYRDPRWGRGQETPGEDPTTASRYGVAFVRGLQGNSSATLLQTSACCKHATAYDLEDWGGVARYNFDARVTAQDLEDTYNPPFRSCVVDGKASCVMCAYTAINGVPACANSDLLTNTVRGDWGLDGYVASDCDAVAIMRDAQRYAPTPEDAVALALKAGLDIDCGTYMQQHAAAAVEQGKITEDDIDKALRNLFAVRMRLGHFDGDPRANIYGGLNAAHICTPEHRGLALDAAQDGIVLLKNDAGILPLDRAAVASAAVIGPNANNPGLLIGNYFGPPCESITPLKGVQGYVRDVRFLAGCGMAVCDVADTDQAAALAGSSDYVLLFMGLSQQQESEGRDRTSLLLPGQQQSLITAVADAAKRPVILVLLTGGPVDVTFAKTNPKIGAILWAGYPGQAGGLAIARVLFGDHNPGGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGETVYKFGYGLSYSTYSRRLVSSGTPNTDLLAGLSTMPLPAAEEGVASYHVDHIGAQGCEQLKFPAVVEVENHGPMDGRHSVLVYLRWANATAGRPAKQLIGFRRQHLRAGDKASLTFDISPCEHFSRVREDGNKVVDTGSHFLMVDKHEMEIRFEV >SECCE5Rv1G0360570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:772351497:772357798:-1 gene:SECCE5Rv1G0360570 transcript:SECCE5Rv1G0360570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYETELSTSNPAKLHKLVNSKAKDFSFQKRKIESVKNLYYAMRKRVCNEPCNTNDLGFLIAPCSCMAIGGECVCGGVPKPSHDQHVVQNIEPGMNTVSCYGQAGGSYSGAQQTHLEINGHSFHAQHPGSMVKDEDATNNAPYVYSDVQMYDAYTHKVPEPSEVNNVSLRGITDFQDSMQFQQLASSNQCGNEVAESKEMLITDQVGVEHVHFPANNSGQAIWNGVDETGTLTLADGKKIKTANRDPLALQADGGICMPGLDDAAMPEGDYMDFPFFSNSDEFDLLNGENFLNSPHDTNQEDLDDPDPKGVLGADSVMQNMLHPDEANICYDQVDSGHVHHNVEGVPEMILVPTSPEVCYPGPYVECMLNTEDPEIPCNDDASTHGEFSPLRPTASFAQNSESPFPPATSSPLKAEHSNANDLVQINRGDMANAQSPSQPVKISPSTSEQKEGSVALNKGCILGAMPSDGPSTSSALVHGNIDTNDESTCMLALPAIHPSGFGEGPSCSLGQHDLFDNSQSLMLYNPVQVPDHMNYNSHDNQSELQDASALQNCMPSHALSDLGLQDPIAAAPASAPPEECLDIENDIPNYYDLEALILDQDLIPWDQADSSHPAVSRFDHPENRKSLIRLEQGARSYLNRGIMSRGAFAVIYGLHLKYYIKDPEVILGRETEDVKVDIDLAKEGRANKISRRQAVIKMDKSGSFHIKNIGKCSIFVNSKEVPSCKGINLSSDSLIEIKEMRLIFHLNQDAVRQYIARTPKLQYSSYQPGF >SECCE7Rv1G0522840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879459175:879459414:1 gene:SECCE7Rv1G0522840 transcript:SECCE7Rv1G0522840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPLFVLAMVMVNSCAVSSRMAPRDHVLHGVVKMAATGEGTAIDNHHAIPRTEYSSWSSPGNMPGSVHDIASEEAKP >SECCE3Rv1G0202910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:879093652:879094282:-1 gene:SECCE3Rv1G0202910 transcript:SECCE3Rv1G0202910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSTSYFSSQPHLPASSATATTSISKGRQPRRHRTGSCVMLEAASGGGAVGRRTRSLTEEDLEELKGCLDLGFGFSYHQIPDLCGTLPGLELCYSMTRRFLDEQRTLVGQLEPAAAAAAPIPDWKISGPGDDPDQVKARLKYWAQTVACTFKLCS >SECCE2Rv1G0109500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:677911648:677912522:1 gene:SECCE2Rv1G0109500 transcript:SECCE2Rv1G0109500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHHLLVQNMAKNTQQPRSKDGGGAARSRGLSKKSPWYQRAVELLLLIWKQPAGAPTTTKAAAAAGVSATGKAAAPPAGPGRLRKSSSLNVAASFTRVCLCAPISSYNEPSLYFQPGDVAPRRSYSYPRASSASASGCGANVNVNPLVAPPPGAEPHRGASGGGEGARRPVFRGKSLTDDHLMRRFVVDEGATRRRNQMEVIRRRHATAAKRRRLGPSPLRRMVLAESESEGEEEAAAPETQQRERKPRSSVA >SECCE6Rv1G0401690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:473047938:473052796:1 gene:SECCE6Rv1G0401690 transcript:SECCE6Rv1G0401690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g24315 [Source:Projected from Arabidopsis thaliana (AT3G24315) UniProtKB/TrEMBL;Acc:Q1LYX4] MDEVTQAVENLKKEWGQAVAQLEVCIAAIESCGKMGKGTEEAMSLPRLNGSAQDALQLLNALQCRLDLLAEQLPTFEEVQSGQATLGSWKEQYQRLRVNLRSANLQAKANIGKAAQEERELLLGGGEESTVRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERSANTLSTFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILAIGFLVFSLAVMYVVSRRIGLLTLQRKLADAIRSGSVSAGDILAKVQEGPAPTDAPPIYDEL >SECCE5Rv1G0329960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:523242784:523244303:-1 gene:SECCE5Rv1G0329960 transcript:SECCE5Rv1G0329960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAPSFAPAGRKRKGPSFPLLPPCKRRLFPALAQVTGWASLPDDIVHQVAALVLKFDVVDYIAFRAVCSGWRTCAPNPRDPTLRIQSLRPVDWVALCDGDAVRPDDACQITFFQNRTARCLRVRLPELQRHRIIGFTDGLIILLHKRNTTIRVLHPFTRVAVDFPSLVPVYQKLIRNRNCVLRMNAAVCSSVSSTTSIAVVAWFPWSSVVLSADAGHSSWEVIHKDMYLANTLPFQGQLYGFLQTSRQIVQVYPPKPLDPGPVVAHVPIKFGNPFFCSFYLVDSDGHMLLVVKSMNLVGRDVEEWRRYVIVIFKVDVSLGHWELIPVSSLGDRALFVSMDRCLSVKAKNLPSISSNSIYLTVPLPDPVVVHSLSSQSFERPTTLCQVHDVKEKIRPSVRPFTIADHLLTYCNHREWAIGLMFHEYYTIPKSYEELWKKIRAQNSEVRISRVQDSIRKGKRRAELKKE >SECCE7Rv1G0471000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:125873346:125874263:1 gene:SECCE7Rv1G0471000 transcript:SECCE7Rv1G0471000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEGSRTPPQGRRRVSASPMVRRGRSPTRRGCREVVVQQEVVHQASSTVVYPPLTATNYFEWSLIMKVNMEAQRVWDAIEGGGSFSQDRAALAAILRAVPEEMHSTLAMKATTKEAWDAIKIMRVGDARVREAKARTLLKEFDDVRMRSGETLNELAMRMNGIANKLRTLGENLDEVKVVKKLLRIVPSKYTQIAIAIEQLLDLKTMSMEELVGRLKTAEDRSDLDEGSSNYDQGGGSRLLLTEEEWLARHRSGAGKKKGNFDIRKVRCYNCQEYGHYSKDCTEPRKQRALLAAAMVDDEPGLL >SECCE1Rv1G0009550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:55382984:55383631:-1 gene:SECCE1Rv1G0009550 transcript:SECCE1Rv1G0009550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGDTAPLLTSPTPTSPPAMATGTAVVMSPCAACKILRRRCVDRCVLAPYFPPTDPHKFATAHRVFGASNIIKLLQDLPEEQRADAVSSMVYEAAARARDPVYGSAGAICQLQRQVDGLKAQLARAQAELAAARAHHAHLVALLCVEVATAAAAPPQDVYCSAGGGSQSQLAAPPGGGAAPVDALYVVDSGAAGSGGIMQAGHVGWADEPLWT >SECCE3Rv1G0201790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:867456926:867459569:-1 gene:SECCE3Rv1G0201790 transcript:SECCE3Rv1G0201790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSHSQGNKPKPDRHATPQPRGDDHHHPNQPEATGRRPPAMDTFFLSHGSPTLSIDEAIPARSFFQSWLPAAVAGPERPRSILIVSAHWETATPAVNVIRGANDTIHDFYGFPKSMYQLKYPAPGAPDLAKRTKELLEQGGLGPVKEDRSRGLDHGAWVPLMLMYPDADIPVCQLSVQPDRDATYHFNLGKALAPLRGEGVLVLGSGSATHNLRKMGPSSSPPPQWASDFDAWLKDSLLGGRYDDVNRFEEKAPNAKTAHPRPEHLYPLHVALGAAGDESKAELIHRSWTNASLSYASYRFTAKN >SECCE4Rv1G0289820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:868622308:868622826:-1 gene:SECCE4Rv1G0289820 transcript:SECCE4Rv1G0289820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMITLKSSDGEEFQVEEAVAMESQTIRHMIEDDCADNGIPLPNVDSKILSKVIEYCKKHVQVSPKLADSTDASSSTSTADAAPAEDLKSFDAEFVKVDHATLFDLILAANFLNIKGLLDLTCQTVADMIKGKTPEEIRKAFNIKNDFTPEEEEEIRRENQWAFE >SECCE7Rv1G0497300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:622575775:622576936:-1 gene:SECCE7Rv1G0497300 transcript:SECCE7Rv1G0497300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIMLVDILRRANINVVLASVDESTNIVGSQRMKIVADKCILGASESKYDLIIIPGGPAGAERLHRSTTLKKLLKEQKQASRMYGGICYSPLILQKQGLLQDKTVTAHPSIVNQLTCQVIDRSKVVIDGNLITGKGLGTVMDFSLVIVRKFFGHGRAKGVANGMVFDYPKS >SECCE3Rv1G0208580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:933561755:933565245:-1 gene:SECCE3Rv1G0208580 transcript:SECCE3Rv1G0208580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSMSWVPRGAARSAPIQAEPPTQEEIDEAMRSIALATENDGSDAADDNDGEMEVDGADEEDNEDVAQAKAAAEALGITGPGGGACDGLEELNMEDYDDEDDEPELLSAGGRGDLYYANNEEDPHLIRNNDDDGDEDGEEIEDMTIKPTDMLIVCAHNEDEFNSLQVSIVEELEDGDLNMYVHHEVPLSDFPLCTAWMDFNFKDAKKEGNFIAVGTMDPAIEIWNLDIVDEVKPHIVLGGLSKNKEKVKGEKGKIYKEGSHRSSVLGLAWNAVVRNALASASADKTVKVWDLYTGKCDSTLQHHDGKVQSVAWRSPEVLLSGSFDRSIAMTDMRGDGQSCHKWSVEADVESLACDPHNEHTFVVSLDNGMVQAFDTRTASAYSNCGQPKFTLHAHDKAASSVSFATSTRNLLATGSTDMTVKLWDLSNNQPSCVASLNPNLGAIFSVSFSNDSPFLLACGGSKGKLKVWNTLLEPAVANRFGK >SECCEUnv1G0531040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:15718002:15720276:-1 gene:SECCEUnv1G0531040 transcript:SECCEUnv1G0531040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25410) UniProtKB/Swiss-Prot;Acc:Q8RXE8] MSVAVAAASSSLPSRYALGHANSHRPLRPLRFISSPPQPCASPASLLRRRRAAAPTTFCSAPSLGRVGWPRREGSAWLLSFSAETDASPSDAEGAGDPSEAVSALLPLVVVATAVAALGNPATFSWVSKELYAPALGGIMLSIGIKLSFDDFALAFKRPVPLSIGYMAQYMLKPLLGVLIARLFRMPSAFFAGFMLTCCVSGAQLSSYASFLGKGDVALSILLTTYSTISSVIVTPILTGLLIGSVVPVNGIAMAKSILQVVLLPVTLGLLLNTYAKPVVKVIQPVMPFVAMVCTSLCIGSPLAINRSMLLSSQGFMLLLPIVTFHIAAFVVGYWVSKLPQLRQEEPVCRTISVCTGMQSSTLAGLLATQFLGISQAVPAACSVVVMAIFGLTLASYWGSGMRIRDIPLRFFPQASVDARS >SECCE5Rv1G0356920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742700836:742702807:-1 gene:SECCE5Rv1G0356920 transcript:SECCE5Rv1G0356920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELTRPRLPSSRAPAPAPAPPAEDDDLLSEILLRLPPLPSSLPRASLVCNRWRGLVSAPAFLRRFRAHHRRRPPPPLLGFFEDALHGVSFTATLDAPDRVPDGRFSLRFHDGGGARIVLGCRDGLVLILDPAYPLGQLGVLVWDPVTGDRRRLAVPPALDDGYTEIYNGAVLRAAGDHRFQFQLVMVGVDRKHGRAFACVYSSEAGVWGDLISAPLVSMSYHTVVSMSISSTLVGNCLYWSVFGNPAYGILEFDLDTQRLAVIPVPVSSSSSANWSFWAMPMEDGGLGFLYLLDFSTQLWKRKADRSGGVSWVLAKTIELDMLLSLDKENSCPMMLGFSDGNNVVFLWTVAGIVMVQFESMQFKKLAHTRVDFSLVRPHVVHPFATVYTADMSTGIRGDRAELLDST >SECCE5Rv1G0351310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:698000486:698001643:1 gene:SECCE5Rv1G0351310 transcript:SECCE5Rv1G0351310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSKPRAATRALQQIILLSLLLPCLPQPLPSPSPSPTPAQPSLPLSPFNERLDAAYIAFQAWKHVITEDPKNLTADWCGPFVCNYTGVFCAAAPDDPCILTVAGVDLNHGRIAGVLTDHLGLLADLAVLHLNSNRFHGTLPASMQHMRLLFELDVSNNLLSGAFPSFLTSLPSLKYLDLRFNDFDGELPDAVFGRQLSLDALFANDNRFNVSLASGSLTNSTASVIVLANTKLAGCLPPSIGDMADTLVELILLNTSISSCIPPEIGKLKKLKVLDLSHNEFAGELPESIGDMESLEVINVGYNMLSGAVPEAICLLPNLKNLTVVGNYFCEEPVSCLHVPRRDDRMNCIPDWPHQRSHEQCIAFEHRPPVHCGADGCILPPP >SECCE2Rv1G0087460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:226459871:226460947:1 gene:SECCE2Rv1G0087460 transcript:SECCE2Rv1G0087460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSDHEHLRALKAFDDTKAGVKGLIDSGITSIPTIFHHPPDAFAPLAPLSTDISIPVIDLLGQRSEVVNAVKEAMEKLGFFLVVNHGVPEASMLDTLAAVKGFHEGSVNAKEPYYTRSEGRRVRYSGNADLFRSPAAKWRDTLYIDNADQLAEEVLPPICRGAMPEYTRLMRKLGHVLFGLMSEALGLQHDYMEEKTGCLDALLLGCHYYPACPEPHLTLGAVRHSDASFLTVVLQDGTIDGLQLLVNDNKQQQVWVKVPAMVGALGVNVGDFLQLASNDRFKSVVHRVVSNGAGPRVSVVCFFRANMTTMCGPVLVDGSGPPRYRTVKAEELFGSSRTTLKSALSPQTALDYLRL >SECCE3Rv1G0196450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:808699696:808703076:1 gene:SECCE3Rv1G0196450 transcript:SECCE3Rv1G0196450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLLRSLSRVSRRGCAHASPAAFYDRGPFSPLSTAAAAAAADAGDAAALGRGLAGLGPTAKGEKARVVVLGTGWAGSRLMKDLDTGGYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSSSPGSYFLLARCTAVDTDAHTIDCETVTEGEKDTLKPWKFKVSYDKLVFGCGAEASTFGIRGVTEHATFLREVHHAQEIRRKLLLNLMLSDVPGISEDEKRRLLHCVVVGGGPTGVEFSGELSDFITRDVKERYSHVKDYVHVTLIEANEILSSFDVRLRQYAINQLVKSGVKLVRGIVKDVQPDKLILDNGEEVPYGLLVWSTGVGASSFVKSLPFPKSPGGRIGVDEWLRVPSVPDVFAVGDCCGFLESTGKEVLPALAQVAERQGLYLARLLNNVMKAGGGHANSQVEVDLGPKFVYKHLGSMATVGRYKALVDLRQSKDSKGISIAGFASWFIWRSAYLTRVVSWRNRLYVAINWLTTMIFGRDISRI >SECCE5Rv1G0362120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782784388:782786896:-1 gene:SECCE5Rv1G0362120 transcript:SECCE5Rv1G0362120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVASVALSLGSTTAIGVEDDANSFSVGVVELVRNHGENYHTPKRTTSLPFCGSTYEPECDDNLQPMIGMQFDTWEDGMAFYKSYAHEVGFSVRTSTSHKDDHGVVLWKRFVCAREGWRKASQGAQDGERKKPKRNFKLSRCGCEAMIGFKRQDDGKYEVARFIQSHTHQLMSPSKRHLIKSNREVSSELRGKLLTCHKALIGTSAAYRLLSVEKGGPENVGCTKRDLQNSHRDFKRAIKGADGQILVDIMKSKQAANPAFYFDYQHDENNKLTNIFWADSICRKNYSLFGEVVSFDSTYRFNRYNLVFAPFTGVNNHKSCVTFGAAFLCNEKKESYQWLFRTFLKAMSGVAPKLIITDEDQSMRIGIEAVFPNTEHRLCMWHILMKLTEKVGATMKNNPDFHERFMSCVWGSETPDEFESQWGSIISDFGLQDNTWLQEKYESRKSWIPAYFMELPLGGILHTTSRSESENAFFRHFTNRSLALIEFWVRFETALEEQRQKELQEDNASLHTLPTLETCWGIEAHGRDVYTHEIFAEFQRQVVAARDFCHVKTIVQIGEVRTIAISSKSGNVRVVSFNTTTKATQCSCRMFESMGIICRHVIVVLKNEGYDEIPSQYVLHRWTKMAARQLAYDANGHELEGSSTCLSPTIKKLYSETCSNFSSALHAAKHCEEKMRYLHKAIADAFAHLGQKGAVSDQTKVQEFESFVGTTFPSVINIHPPDVANTKGSGKRLKRGSEQTVNQKKKRQKSVQHAPDE >SECCE5Rv1G0364130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:798644961:798645715:-1 gene:SECCE5Rv1G0364130 transcript:SECCE5Rv1G0364130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPASSEPHDAPAAGSSVPASRSIAERWKMEAAPVRARLLLRAFAWLFSLLALVVMATDVHGRGGAQDFSTYPEYNYCLGMSIIALLYATAQLLRDAHRLSSGRDLVAGRKAAAVLDFAGDQVVAYSLISGLSAAAPVTDYMRQAADNLFNDSAAAAISLAFFAFLAIGLSALISGYNLSLEALV >SECCE4Rv1G0232580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:204435768:204440150:-1 gene:SECCE4Rv1G0232580 transcript:SECCE4Rv1G0232580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPAFLAATAKLIVLAAAAATVANAASYARFRRRHLRRIRSPIDESADPVVDFRSLPSSATAAEDDDFFFGLATAPAHVEDRLDDAWLQFATEQSVDDKESMRNQKQVDGVMASAGGDGGSQPSSRLRGDEKGTDGEKRKPLRVAMEAMLRGFEKFSEGEESSGGDNCSHNVAAWHNVPCPQERLKFWSDPDTELKLAKETGISVFRMGVDWTRIMPKEPTEDFKSSVNFAALERYRWIIQRVHEHGMKVMLTLFHHSLPPWAGEYGGWKMEKTANYFMDFVRLVVDSVSDLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEIATSALPTGVYNQALHCMAVAHAEAYDYIHSESKNAMMPIVGVSHHVSFTRPYGLFDVAAVTIANSMTLFPFIDSICDKLDFIGINYYGQEVISGPGLKHVENDEYSESGRGVYPDGLFRILLKFNERYKNLNIPFIITENGVSDETDLIRKPYILEHLLAIYAAILMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYYLFSKVVTTGKITRQDRTSAWRELQEAVIQKKTRPFYREVDKHGRMYAGGLDRPIERTFVLRDWRFGHYEMEGLQDPLSRFVRCVMRPFPRRKINYIEDDAISYSISS >SECCE3Rv1G0150740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:33996115:33996522:-1 gene:SECCE3Rv1G0150740 transcript:SECCE3Rv1G0150740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPVEKTPAGKKPKAEKKVPASKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >SECCE7Rv1G0459080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:24199952:24200818:-1 gene:SECCE7Rv1G0459080 transcript:SECCE7Rv1G0459080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCWLMLLFLAFLLSATSAASCHTDDLRALREFARNLTGGGVILRAAWSGTSCCRWEGVSCDGSSGRVTVLQLPGRGLGGPIAGASLAGLAMLEELNLANNRLIGTIPSWIGELDHLRYLDLSDNSIIAEVPKSLIWFKDITIAGRSMGKAFTNMPLYVKRNRRTLQQQPQPNTISGTNNSVRSGRTNVVSGNDNTVISGNNNNVSGSNNTIVTGSDNTVVGSNHVVSGNKHIVTDNNNAVSGNDNNVSGSFHTVSGSRNTVSGSNNTVSGSNHVVSGSNKVVTGDE >SECCE7Rv1G0510980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:799530087:799534112:1 gene:SECCE7Rv1G0510980 transcript:SECCE7Rv1G0510980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAAELRETHRLVGHADRAWALAWNPNPGAGAGPVLASCGADKTVRIWRRAPDGAWHCSDVLEGVHERTVRSCAWSPDGKMLADASFDGTAAIWEYSGEDFEFVDTLEGHVNEVKSVSWSQSGSMVATCSRGRAAWIWEIMPGNEHECVDVLQGHTEDVKMVQWHPFFDVLVSVSYDNMIRVWADDGDGKWPCVQTLTEAGNSGHSSTVWSVSFNQKGDRMVTCSDDCTLKIWDTSIDLSQPTTGEGHESWRHISTLSGYHGRTIFSVHWSSEGVIASGAGDDAICLFAEENSTVEGPSYILILKKEKAHDMDVNCVRWCPQDPRVLASASDDGTVKLWELRGDILD >SECCE2Rv1G0067330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18635957:18638109:-1 gene:SECCE2Rv1G0067330 transcript:SECCE2Rv1G0067330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOSYSTEM I ASSEMBLY 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34860) UniProtKB/Swiss-Prot;Acc:O64750] MAGFSCHFLPSPAPTRPLSSRPSVPAVGGRAAAHRPPARVPTRISCCSKPNNSEEDCTRSDKEEESISSRRRCLACLCAVTLISASGPTFYAPNGFAADMATKPGIQKAVCRNCGGGGAIICDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPICLGTGVPNNKGLLRRPGAKELLDKMYNGKLLPSS >SECCE4Rv1G0214470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:702020:705243:-1 gene:SECCE4Rv1G0214470 transcript:SECCE4Rv1G0214470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKSKHATTQFAISPAIRAHIASMQMEIIYLGVALVSMSIVLFTRCSRKAHEPPGPWQLPVIGSLHHLALSGNAAPRTLMLLRLGEVPTLVVSSREGARELMKTHDLAPSGDSTAKHILSFLTNATMRVLTDGGRDIVFAPYGEYWRQLRKIAVSELLSAPRILSFHAVREEEVASMLRDIAQAAAAARPVELRTLISSLITDITARTVMGDRQFKERDVFLGALDHSAKLAAGFNPPDLWPSSRLIGFLSGALRRAKECCDTGNGVFDAIIRGRTMAGQKEHNLLDGLLSMQKEGRIDMDAVRSVVLEVFSVGNDTTATTLEWAITELVRNPEVMQKATAKVRQAFEARGTVAEHALGKLPYMHLVIRETLRLHTPVPLLLPRQCQEPCQVLGYDVPRGTQVLVNVWALAHDERYWHDAPDKFRPERFEGEAAGVDFRGTNFSFLPFGAGRRMCPGIGFGLANIELALASLLFHFDWEGPPPGEIDMAEEFGLTVRRKADLLLHPVLRVSVPSLVRRQP >SECCE7Rv1G0456320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:10495450:10498780:-1 gene:SECCE7Rv1G0456320 transcript:SECCE7Rv1G0456320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGMGKVVCVTGASGYIASWLVKFLLQRGYTVRATVRDTADPKKTLHLQALDGAKDRLHLFKASLLEEGTFDDAVAGCDCVFHTASPFYHNVKDPKAELLDPAVNGTLNVLRSCKKASIKRVIVTSSMAAVAYNGKPRTPDVLVDETWFSSAEVCEKNKQWYVLSKTLAEEAAWKFANDNGLEIITINPTMVIGPLLQPTLNTSAEAILKFINGSSSTYANFSFGWINVKDVALAHILAYEVPSANGRYCMVERVIHHSELAKIIHEMYPEFPVPDKCADDAPFAPIYQVSKDKIRSLGMELTPLETSLKETIESLREKGFVTSETSHL >SECCE7Rv1G0476490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:205746720:205748061:1 gene:SECCE7Rv1G0476490 transcript:SECCE7Rv1G0476490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQVVWTAPMTSFMLDHLCKVVGDGVRTSTGFKKCQLARCATAMNEHFQLTLTHANIGNHNRTWRRKWGTILRLRGLSGALWDDEQSMIVLDHEHYTNHIKDHKEDEPFLNKPLKHYNEMAIIHGNTMATGQYTKGSNDPLGTEVNDISDNEASPLEEGSKSSNGGDAVAPNPKRAKTKSSVDEGLQSTLMAVGERLAIAIERSVSTDNSTTSISTNNSTNNSMVGLWEGMKDIPFFGIDYLAHYFAYLVENPNIAMAFQLLEKDQKSIWVARYVKNTFPPMDG >SECCE3Rv1G0208110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:927742072:927742281:-1 gene:SECCE3Rv1G0208110 transcript:SECCE3Rv1G0208110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSKYAGQGQAKPAPSVTTAHAYQPRYPSSIEARASAAYAAAGDVNERATAFILSVRGRFKNDQKMMS >SECCE4Rv1G0295940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:900471343:900472432:1 gene:SECCE4Rv1G0295940 transcript:SECCE4Rv1G0295940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDRIPAARFSLPKSSTPYHQNEAYMGCRHGLSLVINTHKHETIVWDPLTGEERIVAFPPECTLGRYWAWHGALLCVDAEDGHVHGDCFLSPFKLVLIYADYNTPAFCSVYDSASGVWGNIFSTMTITAGMSWLKRPSILVGNELCFLIRGGDVLVFDFEMQSLGLIKKPVENHGTNDWCFQILRMENDGLGLAVLLDLTIKLWERKSNCDGVFEWVLLQKTIPLEGMVPTRMDSVLFVGYDEDANVIVLTTMTGNFTLQLDSMQIKHIVKRNNICHDTFYPYRNFYTPELH >SECCEUnv1G0537490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68889975:68892899:1 gene:SECCEUnv1G0537490 transcript:SECCEUnv1G0537490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLVLVGVIIGVVLASSLLLRWNEVRYGRRKEGDGRLPPGTMGWPLFGETTEFLKQGPAFMKQRRLRYGRLFRTHILGCPTVVCMDPELNRRMLLQGEAGGLVPGYPQSMLDILGRNNIAAVHGPLHRLMRGAMLGLVRPAMLRQSLLPKIDAFMRDHLQGWAGSVVDVQAKTKEMALLSALRQIAGITAGPLSDALKTELYTLVLGSISLPINLPGTSYYQGFQARKKLVSILEQMIAERRSSADAHDDMLDALLRSGDDGTREKISDEQIIDLLIALIYSGYETMSTTSMMAVKYLSEHPRALEELRREHLDIRKGKSPDDAISYDDFKSMAFTRAVIFETLRLATVVNGLLRKITQDVEMNGYVIPKGWRIYVYTREINYDPFMYPDPMAFNPWRWLEKNMESHPHFMLFGGGGRMCPGKEVGTAEITTFLHYFVTRYRWEEEGKNTILKFPRVEAPNGLHIRVQDY >SECCE1Rv1G0057250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692999827:693000318:1 gene:SECCE1Rv1G0057250 transcript:SECCE1Rv1G0057250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEKKITLKSLEGEEFEVDEAVAMESQTIRHMVEDECADNAIPLPNVNSKILSKVIEYCKKHVQASSSTSAAAAASAPTEDMKSWDAEFIKVDQATLFDLILAANYLIIKGLLDLTCQTVADMIKGKTPEEIRKTFNITNDFTPEEEAEIRKENQWAFE >SECCE7Rv1G0505900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:741928995:741932936:-1 gene:SECCE7Rv1G0505900 transcript:SECCE7Rv1G0505900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARASPSPAAAAPAPPAVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPSSSPPKASPTPPPPQLPPLPPQPHSQPVPPPPPLPAASRRSGPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDISKLQHFLAAAPPGPGFVPPPPPPAPPVPMPHMPFLPMMPPPPRMPMAPMALPPEPPEEINEVAFDVEREEEEGDTAGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYNLAIMDELDETRALSCLQIETIVYACQRHLHHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKALWISIGSDLKYDARRDLDDVGAKCVQVHALNKLPYSKLDSKATGITDGVVFVTYSSLIASSEKGYSRLRQLVEWCGSEYDGLVVFDECHKAKNLIPEAGGQPTRTGKSVLEIQEMLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQSFHQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGVDFDVVEAPLEDRMMNMYRKAAEFWAELRVELLSASEYYAEDKSNSAQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEERCVVIGLQSTGEARTEEAITKYGVEMEDFISGPRELLLKLVEDNYPLPPKPDCSQQGEENVTEVQRKRHYGPDVSLKGRVRKLAKMEDSDDGMDAHSPLESDHELTDSEEELHMCQICNTEEEKSLLLHCSNCATSVHPGCPIPPWTGTLTDDWLCYACKEIIEGYFKERDAYMAELSKRYDNAVDRKSKILEIIRALDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNTKEIALDMINMHEKQLFMDGEKFIAIISEAGSAGVSLHADRRVKNQRRRVHITLELPWSADRATQQFGRTHRSNQTSAPVYRILFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSSYGKKALTMMYRGIMEQDAFPVVPLGCSENQSSLQEFITRSKAALVAVGIIRDAVICMGKHGGKLTGRIVDSDMHDVARFLNRILGLAPNIQNRLFDLFTSILDVVIQNARSEGRLDSGIVDIKAKRVEMKEPPKTVHVDSLSGASTVLFTLTIDRGVTWESAKATLEERQKDGACSSNDGFYESRREWMGRRHFILAFEGSTEGMYRVTRPAVGEASKEMPLAELEGKYKKASSADKTGEGWQEEYDVSSKQCMHGPKCRLGSDCTVGRRLQEINVLGGLILPVWGAVEKALNKQARQAHKRIRVVRLETTDDNHRIVGLVIPNTAVESVLEGLQWVQDIDE >SECCE6Rv1G0417920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659985397:659986218:1 gene:SECCE6Rv1G0417920 transcript:SECCE6Rv1G0417920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQVSNKDLEAVLMQAMMAARNVRAQRERLLELHRRLHRHHKAAPAPAPADAEAKLGELGSELFQVYYLGLEACARMLGSCVETAVENNAIAAINIAFALMPDEQLYDALLAQRLPPRPTTQAQAFARLEAALLAVKMLEEHHLPRCLECLVGGQAPVPGKPSTTEAHDPDATAAAKTCSDVHKALDYLRRANKITGLAVKHIDHAVTVLSRFADPKEVSRLTELTSDLGVEISQPTTSPDPS >SECCE7Rv1G0502790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:700802660:700810210:1 gene:SECCE7Rv1G0502790 transcript:SECCE7Rv1G0502790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKGASSRGAAAEDDFGVVAETHSPPPANGAPQTPPPRQPTPVAAAGTPRRRKSGSTTPVHQTPGVAWPSPYPAGGTSPLPAGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGKPKEGPIPEEGGAPAGPGAGAVADGAEAERPLDKTFGFGKNFGAKYELGKEVGRGHFGHTCSAVVKKGEYKGQTVAVKIISKAKMTTAISIEDVRREVKILKALSGHNNLVKFYDACEDALNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDENAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNLDDSPWPSVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDDQRQIPLDILVYRLIKQYLRATPLKRLALKALSKALKDDELLYLRLQFDLLEPRDGVVSLDNFRTALTRYLTDAMKESRILEFLHALEPLAYRSMDFEEFCAAAISPYQLEALDRWEEIAGTAFQHFEQEGNRVISVEELAQELNLAPTHYSIVQDWIRKTDGKLNFLGFTKFLHGVTIRGANTRRH >SECCE5Rv1G0357640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:748574314:748577856:1 gene:SECCE5Rv1G0357640 transcript:SECCE5Rv1G0357640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLFDCFRAAGGEPRAGRARAQLVSSSVVPSPKAGERRAPPSRNALSAVFLREDEGSQATSSGSDRGAGSRRVDPELMHEEANFPQNGGALSETPNEIIKVPESTDSAFQSATHSALLSALSENMNFMEVLKADECQTPSGSQQSSYLPDAASSSRNGCDASNQHDTEPVSKSIDSDGVNNESVIERGIKLTTLESCSATSNDDIYLDGSKSSPFSTPLKVNGGIHTPVNTQVPDLEEFTNENSTLSCSHNLHEGLNSSRDLKHCQPDISDEDLKGAKNDCPDLVETSISDECSLFQNSEGSVSSYNKTSDSTSFVEKCQATDVTVHARRNKVITTNSGSDVEFPSLSQWLKPPSSSKAIKDESYTSDTFNSAKSSEEDRPIIGMVAAHWKSEEPDNFTPKWWDGNGIPNSTNKYKEDQKVSWHAMSFEERLEKALSEEKLLSQRKCSTGNTSQFSGVEGEESDTAASNHLRVAAFT >SECCE4Rv1G0264000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718709675:718710295:-1 gene:SECCE4Rv1G0264000 transcript:SECCE4Rv1G0264000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDATTTTSKATADEAKFWTTRNYILAALGGTLAATAIIIVVSVLLSPAHISFSVVHASRSDPGRSQTQQYLNLTIAAENASQKRAAVRYQGVFVDLKNSTSAAGRSTKHATVYASPPTRDYLRPGTGPAIINASVSVLAIGTSGMFVSGRLNGTGFTVVVTAVVRFRVGRIPTRVYDIKASCTHVFFPVEGSSNVTTPPINCTA >SECCE6Rv1G0420400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:677208990:677211089:-1 gene:SECCE6Rv1G0420400 transcript:SECCE6Rv1G0420400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLHDKRVTSESRRSKRPERSRPEAKVAEPPARRAKHAEGHVPLRKRESARVARPPHPLDSEAVTAAPRPARRDDRSSSPLCGDWGTEEITMAPPPPRRREDSPDSPRTAYVLRNRRVADTGNHKPIPPPCYEKREIKLDQPRLSKKQLKRAELWNAPACARKGLERYNGMNQGDEHELVEAVGVKSFCYCGPWLHANFLARRKGASSCDDLVPKYFFAELKIDAGGLSCVSCFKFDSVDWKNFGGCGVCPATFVHPAGGGYIRALPERHLQPDPSGLQISFSF >SECCE7Rv1G0505080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:729747876:729752269:1 gene:SECCE7Rv1G0505080 transcript:SECCE7Rv1G0505080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPPLVMATATATALALVVLLLSSVAVVTVVGKECTNVPTQLSSHTVRARLQNTPEAEEWRWRELFHDHLNPTDESAWMDLLLPLGAAASTGAAAATEEFDWAMLYRTLKGGVGSSAAQAGPTPSSSILEEASLHDVRLDAGDEVYGRAQQTNLEYLLLLDVDRLVWSFRRQAGLPAPGAPYGGWEGAVVELRGHFVGHYLSAAAKMWASTHNGTLAGKMSAVVDALHACQHAPANSGTGYLSAFPAEFFDRFEAIQPVWAPYYTIHKIMQGLLDQYTVAGNGKALAMVVAMAGYFGERVRSVIQRHSIERHWTSLNEETGGMNDVLYQLYAITHDQRHLVLAHLFDKPCFLGLLAVQADSLSDFHANTHIPIVVGGQMRYEVTGDPLYKEIATFFMDVVNSSHSYATGGTSVSEFWYNPKRLAETLTTENEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPLGPGRSKAVSYHGWGTQYDSFWCCYGTGIESFSKLGDSIYFEEKGSKPALYIVQYIPSTFNWRSVGLTVTQQLKPLSSSDQNLQVSLSISAKTNGQYATVNVRIPSWASPNGAKATLNDKDLQMASPGTFLSVTKQWGSGDHLTLQLPISLRTEAIKDDRPQYATLQAVLFGPFLLAGLTTGDWDAKTGGAAISEWVTPVPASYNSQLVTLTQQSGGSTLVLSFLSTAKATSLTMQPRPEGGGTDTAVHATFRLVPQGAPLTGERRHAGNGTAATATPASALIEPFDMPGMAVTNNLTLSAEKGAEKGPNSLFNVVPGLNGAPGSVSLELRTRPGCFLVTAGAKANVQVGCGTGFSRQAASFARAEPLRRYHPISFAAKGARRSFLLEPLFTLRDEFYTVYFNVGA >SECCE2Rv1G0072980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:63410016:63411634:1 gene:SECCE2Rv1G0072980 transcript:SECCE2Rv1G0072980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLSALHDDLLQHVLSFAGAKEAAASAALSRRWRPLWRRTGVLNLDSRHYSYRYACFDVFFRDAKAALAASHRRGTALKRLTLFLTEGAYRLLDRWYSDPEPKEDVRVAGLLADPAAAGLEDLRIGSQEQSQCDDMYRPPLGSLPFAATLRVLELERCNLEPPLSALLAFPRLTDLTLRHCFALEGHLQAMVDNAPALNSLALVNLRQKPPEPPGSNKTIYLHEASSLRLRLRCPTVTALVLETHCLGWHELDDPANTGIELDMPSLRSFRYSGYPLKLSLASPAPALARVDLDATRRDHNVQQYEPTSRMLTSFSSTRALKLRLDNIEDILAGEAILPTFPNLKLLQHSGGSGEAILLASCPAMSELRLRLEMSHHYWYKTEDPAGGPFGVSMDRFERLACMSSAHRDAVHLDGVSGLPNALTNSKFSCLETTLRKVTLQFKAKEVNCFQVRLAKFLVENAMVLQEMHVDDGSQFWPDHLCHKVPGWRANAFQARNLPDTAGFRVYQLANPVIDPKKRSGYN >SECCE1Rv1G0031370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:441581293:441581784:1 gene:SECCE1Rv1G0031370 transcript:SECCE1Rv1G0031370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGCRGGGAAATWTPPYCTIVAADTSDFSYLSCPACSRRALPGHAEGAPCGACGGPAPARAYRLLLSVATHDRVFPVVLFDRAARALLGCPADELARLFAAHRGAARAAADALQGEMCRLALREPTKDGAEHLRAVSVVPLRDGFRPVVDTLRTLYSRAGG >SECCE4Rv1G0281460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826401047:826401406:1 gene:SECCE4Rv1G0281460 transcript:SECCE4Rv1G0281460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFGEAKSISGHQTLQLRKRPYGVKGSVYVVTLPALQRCLEDGPDAAERRPRSGFPTSRGTGDGHLEAHHDLQATPARPRKVTRLGVRGSIVPAASRTSYSSFSKERVRDLFSATEKK >SECCEUnv1G0565160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:390396097:390396684:1 gene:SECCEUnv1G0565160 transcript:SECCEUnv1G0565160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNQQFSLLKQPIYSILNQHLIDYPTPSNLSYWWVFGSLEGICLVIQIVTGIFLAMHHTPHVDLAFNSVEHILRDVEGGWLLCYMHANGASMFLIVVHLHIFRVLYHASYSCPGEFVWYLGVVIFLLMIVIAFIGYVPPWGQMSFWRATVITSLASAIPVVGDTIVTCIWGGFPVDNATLNRFLVSIIDSPLF >SECCE1Rv1G0016160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:135166213:135175787:1 gene:SECCE1Rv1G0016160 transcript:SECCE1Rv1G0016160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGSKGMDGVGGLGAAALGGGGGGGMGGDEGDGAVTGSWHGGAQLYVSLKMENARIIGDLVPHVYGSEPIIGSWEPARALAMERELASMWELSFVVPPDHETLDFKFLLKPKDAETPCIIEEGPTRLLTGGMLEGDVRLANFRLNGDDELLEFRVFNKADFVSPLDLAASWRVYKENFQPSKVRGIPDISINEAPTHATEDESGSSLELDLEHYVVPTPTAPPTEYAANLAATPASLDQPEAPWTNDVLLSDGIQSPRSAAADFKDDSYHNKDTEASIADSSNSKKLQVSGMVESKSVGTLIQLQKKDKPQGLYVDRGVVSPKLGKSFSACSLADGLNFGSTKAMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAVKLTRYLRWLGHETKHFNVGKYRRLKHGANQSADFFRPDNQEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRYMLMKMAEGNCKIIFLETICNDRNIIERNVRLKIQQSPDYADQPDYEAGLQDFLERLTNYEKVYEPVQEGSYIKMIDMVKGEGGQLQVNNISGYLPGRIVFFLVNSHLAPRPILLTRHGESLHNVRGRVGGDTVLSEDGELYSKKLANFIEKRLKNEKTATIWTSTLQRTILTATPIVGFPKIQWRALDEINSGVCDGMTYEEIKKIMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYSYFADRPLREVPDMEMPLHTIIEIQMGVTGVEEKRYKLMD >SECCE5Rv1G0323040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:429765888:429766915:1 gene:SECCE5Rv1G0323040 transcript:SECCE5Rv1G0323040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHTIGHNLRNRVVSANFCRSYVTTGVYFRKALHAIGELCNDYIRPPSLETPTKIAGNHRFDPYFKDCIGAIDGTHVRAGVTKDVEHYFRGRKAFTTQNVMAAVDFDLRFTYVLAGWEGSAHDATVLADALTRERGLQVPPRKFYLVDAGYGAKPGFLPPFRGVRYHLNEWGNNPVQNDKELFNLRHSSLRVTVERAFGSLKRGFKILDDAKPFFTFPTQVDIVIACCVLHNYALSQGIGEFIIPEVTWTTQPIRTSRQQASDTRAVVDRRLQMVAQMWEDRQLMYANL >SECCE4Rv1G0285060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:842081791:842084608:-1 gene:SECCE4Rv1G0285060 transcript:SECCE4Rv1G0285060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEPAEGTETLARHDSLYGDAEKVSGDRRHGSGASWRQTVLLAFQSIGVVYGDLGTSPLYTYSGTFPGGIRHPDDLLGVLALILYTLILLPLIKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDASVSNYATQIQEPSSKTKRAQWVKHRLESSKAARIALFTVTILGTSMVMGDGSLTPAISVLSAVSGIREKAPNLTQSQVVWISVAILFLLFSVQRFGTDKVGYSFAPIILVWFVLIASIGAYNLAAHDATVLRALNPMYIVAYFRRNGKEAWLSLGGVVLCTTGTEAMFADLGHFNIKAIQLSFSFIIFPSVALCYMGQASYLHKFPQDVADTFYKSIPAAMFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCFPRVQVVHTSKKYAGQVYIPEINFLIGAASIVVTLAFQTTTNIGNAYGICVVMVFSITTHLMTVVMLLVWKKNIAFIAAFYVVFGATEMLYLTSILSKFAEGGYLPFCFSLVLMALMATWHYVHVSRYWYELDRIVPAAELAALLGRPDVRRVPGVGLLYSELVQGIPPVFPRLVDKMPSVHSVFVFMSIKNLPIPRVAAAERFIFRRVGPAEHRMFRCVARYGYTDQIEAAKEFSASLLDGLKLFVHEEAAFSCIQHTDDDGALRAAQAAAAEEEKRFIDAELERGVVYLTGEADVVAAPGSSVIKRVVVNYVYTFLRKNLSESHKALAIPKDQLLKVGITYEI >SECCE7Rv1G0523860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883934903:883936996:1 gene:SECCE7Rv1G0523860 transcript:SECCE7Rv1G0523860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPIDFWNAWAVQSLVLVSLTLQVLLLLLAGMRRRETSWRLFRFILWLAYQLADATTIYALGHLSFDGATRREHRLVAFWAPFLLLHLGGPDNITAYSLEDNRLWLRHLITLGLQVVGAVYVLYKHYIGTQDMFVLAVILMFIVGVLKYGERTAALKGSNMDSIRSSLKKEPRAKCHFYLDDRPPKGGFKAKIDEEEFLMRHAHSLFHICKHAAVDSSSGMGEDNRETKVLEHLTYEQRYALMELELSLMYDILYTKAAVVHNLFGYCVCIVSPAAAAASLLLFQFSGKAGHSRVDILITYILLGSALLLEMRSLLTALGSSWTLPFLCGTRWSWLQHEVLCGGGWDQLRRRIVSLHGLIKVMGLSTWLRPARRLSGIVGQYNMLHLCSRPRDRNGPLLGRFANMLGAQEWWDREHHSWTIKFPEELKQRLMLYIGKLVDDGKVSTQGIIRKKWGEEALKACKLHEDLFEDLKRKLGVEFQEGVIIWHIATDLFLLHDNGKKATEEPMEDEAFYEESIRVMSNYMMFLLSKLYRLTCENLVSIWSKEGQEHPAPSYGDLFRMYEDPNSRTSLRDRKKLADMVQEESPNTTDEVPRVAYAIAVSRALVWNDKQVKRKNNSLQVLFSVWLDFLVHGAHKCSRESHAKKLSSGGELTTILWLLTEHLHQLVKHNRQLKAEEAKQGI >SECCE2Rv1G0115260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:748397855:748400141:-1 gene:SECCE2Rv1G0115260 transcript:SECCE2Rv1G0115260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIGILRTTALLLAGLAWCGELVAAAGGVHSVFNVEDYGALGDGTTDDTKAFVDAWAAACGARGSSATLLVPAAKSFLVALTRFSGPCASTRVTVQVMGTIAAPPAGAWSEKKNYWLLFYLVDGLTITGNSTGSLDGRGETWWGDKCKHDDDDDCVTKAPTALLVMNCTDVELSQFSSKDSPQMHIGLSMSGKVNVTQLTITAPEESPNTDGVHVDRSEDVHITGSTIGTGDDCISIGPGSRFVTVDGIVCGPGHGVSVGSLGRDGANGSVEYIDVKNVQFINTTNGARIKTWRGGRGYARSISFTNINFTNVDHPVIINQFYQDRQSVPNTGAVALSNITYTNLNGTSTGKTALDFDCSRSGSCMDIHVNTVVITAVDGGRTVARCQNAEVDTSGYVYPKIPCVDNAPSPSPTNAPSPSSGIST >SECCE1Rv1G0009440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:54900079:54900324:1 gene:SECCE1Rv1G0009440 transcript:SECCE1Rv1G0009440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSSHPTLSGGVTEKLSRTNYILWRTQITPQLRGAGVFHYVDGTSTEPAKTHVTKDAAGKEIEGPNPLHPLWVKEDQ >SECCE6Rv1G0439450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:796591715:796592266:-1 gene:SECCE6Rv1G0439450 transcript:SECCE6Rv1G0439450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPFLILAVLVLSLQCNASDASPLVRDKETNILFYLHNIHAEKDSSSVLVAQNTDATAHARGIVPFSSVYVYDDVITEGPSITSKVLGNAQGMYTGTGKDGYTILMAIDVEITTGPFNGSSFILFSRNPLRSTRELPVVGGRGAFRMAQGYGMLRTVCVHCLNSVNPPSGDVIEYNVTLWHH >SECCE7Rv1G0468740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:103074078:103076750:-1 gene:SECCE7Rv1G0468740 transcript:SECCE7Rv1G0468740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMNGLLMHLAESHNRDSQVRAWMKQVAGLSRDCEGNVELYVQYVGGDGPGARKGLKVRARDVGDRRERYGVTVPPVPSRTIADAPVPRPGGPKEEEDLRRRELLDGEPPDTIEEDSNKVLECLLYKEFVHDASIESQSDYNKRKYRLICIEGEGSSYDEYSELRREILRQLHPQNRMVSLRKDDKESQNRELLFGKSILVILDALFLHLLYVNPHRATAELETLCRVLEENRNNTAKTMLMVCYNELPSHHKSCLMYLSIFPQGHIIIRTDLLRRWVWTSTLHDQAERIFDSLVTRGFICPGEISAAGKIKNFALNPTVHEFIVTDVGFVDTSSRPELAHRFSINSGVAIQEESVSESDKSGHGILTTIESLSESAQWQFLKVLDLRGCKGLKEKHLNSICKVLLLKYFSLRNTNVTELPKDIEKLQCLQTLDIRQTAVRVLATKSVSLPMLKHLLAGKRDYPTSSNGSDTDRFEESFAAVRLPRRIRRMEKLEVLSRVEVAHSDDLTDIGKLLHLRKLGVILQGKKEELELLFQQVEKLYGCLRSLSIQLDQQTKSEGELPSPPKNLQSLNIRGITGGLHLWIAGHDQLTKITLSETGLGEEALSILGQLRILRCLRLRRNLKSLVVEGSDITSITFEAGAAPNLELIIWSFVSMEILSGLIHVPKLKKLELKGDSCDIDSVREAVIQHPNHPELKYNGQLQRCEAPVDTCGSFILE >SECCE6Rv1G0434760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:767384528:767385517:1 gene:SECCE6Rv1G0434760 transcript:SECCE6Rv1G0434760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIQTSSDINYVCTAVHGVCYGMVGDNLPSRRDVVQLYKSRNIHAMRIYNPDQEALAALRGSGIFLILDVGGLDEVQRLARDPSYAAGWVQSNVQAYYPDVLIRYIAVGNEVPAGDTGVILPAMQNVHNALASANLSSSIKVSTSVRFDVITNSFPPSSGVFRDPSGLVPIARFLDRTGAPFLANVYPYFAYKDDRGQNIRLNYATFQPGTTVRDNGNGLNYTSLFDAMVDSIYAALEKAGTPNVRVVVSESGWPSAGGFGASVDNARNYNQGLIDHIRNGTPKRSGAIETYIFAMFNENKKPGDEVERNFGLFFPNKQPVYPTTFPN >SECCE5Rv1G0332450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:548476066:548476221:1 gene:SECCE5Rv1G0332450 transcript:SECCE5Rv1G0332450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGAVFHGAQLMLDLAVAGLSLMFALGLFAVVTTVLCSAAFLHHSKPIAS >SECCE1Rv1G0017200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:149311673:149313065:1 gene:SECCE1Rv1G0017200 transcript:SECCE1Rv1G0017200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSYSSATPAARATAAWVVVLLAALFSVSLASATVDSVSLSPSSVSLAPASIDAGFSPTPAAPAPGSSAAPPRPPYRAVLPRKVLRPAGKDVDLGGVRPHRVDEGCAGKEDIAIYQGTGTTLPSGVPAYTVDVMNRCSGGDGDCAIAGIHVRCGWFSSVSLVDPSKFRRLARDDCLLNDGQPLLAGETISFEYSNSFPYQLSVAVATCVDPAAATSP >SECCE1Rv1G0047240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:622579682:622580530:1 gene:SECCE1Rv1G0047240 transcript:SECCE1Rv1G0047240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSSTCTPSSSSSTSPRLTTGVLNFLARRAMTTHHRAAAAAAAAFPLHSPGSSTGSADSVPWSSFRHHAPATPPLPPFDANDADEKLMLSQHQDASAHEAAAAPLKQEATEAKGVALALGVDGGRAFRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFESPEAAALAYDQAAFAMRGGAAVLNFPADQVRRSLEGAGEDVRGRAHGVSPVLALKRRHSMRSRKATASAACRKARGRPEGAVMELEDLGAEYLEELLGASDDMTASASASASDSWCSSHRSI >SECCE2Rv1G0127810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:856392500:856393102:-1 gene:SECCE2Rv1G0127810 transcript:SECCE2Rv1G0127810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMPSSASSTPAADVLSAPPPLPPPPGADVSVIVGVLTGVLLGLFLFLVYAKHCRQRGPGGARGAAGRLGLGFRASSTCDRCRSGLSLSVVDALPVVRFRDMGGAAAAAQPECAVCLGAFDAAADELLRVLPKCRHAFHADCVDTWLEAHSTCPVCRRRVGKEDAFAVIPELEPGADHGDADWYPAREAEVQVVVRRPA >SECCE2Rv1G0084460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:175230963:175233707:-1 gene:SECCE2Rv1G0084460 transcript:SECCE2Rv1G0084460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPEICVLSNGRITANIASWGATITSLLVPDAQGDLADVVLGFDTLEPYLKGMAPYFGCIVGRVANRIKNGKFDLNGVEYSLPINNGPNSLHGGLKGFDKVVWDVVERKEGECPSITFQYESKDGEEGYPGDVTVRAMYSLPEATTLRLDMEAIPANKATPISLAQHTYWNLAGHDSGSILDHSIQISAKHITPVNENTIPTGEIMPVQGTPFDFTTEHKIGDRINDVPGGYDHNYVLDSGDEKNGLKHAAKLKNPSSSRTLDLWTDAPGMQFYTANYVNGITGKGGAVYGKHAGVCLETQGFPNAINEPNFPSVVVQPGAKYKHTMLFEFSA >SECCE6Rv1G0380520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:23764345:23767022:-1 gene:SECCE6Rv1G0380520 transcript:SECCE6Rv1G0380520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARLIVLAVAVSLILQVAAVSAAPSSGSRGGVTLRVDRREVVVDNGLVQVTLSRPGGHITGVRYGGDRTNLLHSTRSRNTGGYWDMVWNIPGSDQRGLLNSLDGSEFRVVTQSDDQVELSFRSTYSPGRRSGVRLNFDKRLVMLKGSSGFYSYAILEHGADTPAIDISLARLAFKLNTDRFNYMAVSDDVQRYMPRAADRDAPRSSPLAYKEAVLLVDPLEPQFKGEVDDKYQYTLDTKDNRVHGWVTGGQPSHVGFWVVTPSSEFKSGGPLKRDLTSHVGPTCMSVFHGTHYVGDDIVARIGDGEQWKKVMGPVFVYLNSNSEKGDPRALWEDAKATAQAEAAKWPYSFPESPDFHKAGERGSVTGRLLVWDKHVSRENMPARAAYVGLAAPGQPGSWATESKGYQFWTTASNTSGDFTIDNVRAGEYNLYAWVPGVLGDYMNSTRVTVTPGGAINLGDLVYEAPRSGPTLWEIGVPDRSAAEMFVPDPDTKYLNKLFQNKDRYRQYGLWERYAQLYPTDDLVYTVGESHHSKDWYFAHVTRKVGDDIVPTTRQIRFRLGRVVPGGTYTLRVALAAAHAARLQVQVNGATRRVGGVFGTPAFGDGNAIARHGDHGTQWSFEFPISGMLLREGDNTIHITQTRANSIFLGVMYDYVRFEGPPGSS >SECCE7Rv1G0477500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:220938119:220938727:1 gene:SECCE7Rv1G0477500 transcript:SECCE7Rv1G0477500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSLSLLPLAPPAIPRPALFSRSVAAPLRGGNAGVGTGRFRLARRLRPPAAALEEVEQTEAMMRVAADDDSVTATVVSVLLTVSFVGLSLLTIGVIYLAVQDFLQKRESEKFEREEAERQKEEARQKRAKARGKKRNRR >SECCE7Rv1G0467340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:86708130:86708501:1 gene:SECCE7Rv1G0467340 transcript:SECCE7Rv1G0467340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCVFLRRPSTSSAYRLGYHSLDGDHEAGPPPPPAATVTVVVGKERRVFAVDQLVLDTYPFRVLLETAARKEERRGKSLFVDVDAILFEHILWLAGCHGPSAVSLLQPDLKDIIDFYSQDA >SECCE6Rv1G0409890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:592480390:592481352:1 gene:SECCE6Rv1G0409890 transcript:SECCE6Rv1G0409890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVKQLLASLLEAMGGGHRGGKKERRQPRPQPQTVELRVRMDCERCERQVKKALAGIRGVELVEVNRKQQRVTVTGIVDPHKVLRRAQSTGKKAELWPQNHHRSYDDNSAAVLAHHGPIGAAQAHDRWATSLYPRTNADAIGAGAEQITSLFSDENPNACSVM >SECCE5Rv1G0333090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:554407366:554408403:1 gene:SECCE5Rv1G0333090 transcript:SECCE5Rv1G0333090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRVFPGDHPDFKVDMSGGAPRLTFCNRARYWSSTDYQETRALGVGGYGGVVEARHRTHGCTVAVKKPLPCAHHEGAGIACACADARTLREAAFLAACHDHRAIVHLQAISLDPFAGKHYVVMECVGPSLYDLLYVHRRGRPFPEADVRCVMEQLLGAAKHMHGLRIIHRDIKPENILVGAEGISNVKICDLGLAVSMSEPAPYGQHGTRPYMAPEMLLGKDDYDAMVDMWSLGCVMAELLSGKPLFDGDDDAQQLLAIFRVLGVPLFTIWPAYESLPLAGKLVTPPHVISRNKLREHFPEDRLSKEGFQLLKGLLSCNIDKRLSATTALRRPWFAKAVNASA >SECCE4Rv1G0276590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798364213:798365771:1 gene:SECCE4Rv1G0276590 transcript:SECCE4Rv1G0276590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGVTLETLPNKVPLDFLKKITSNFSKELQLGEGAFGEVYKGILDSGELIAVKKLAENSPVPRETIFDNEVQNIMVLEHENVVKLVAFCREAQNRLVQSNGRHIIAEITETLLCYEYLPKGGLDKSLVGEFSSIEWDIRFKIIKGICQGIHFLHTLPSPVLHLGLKPQNILLDGNMTPKIADFGFSRIFGQEQTRMNTRSVVGSVGYMAPEYLYNGEISARSDIYSLGLVILEISKREKNSSSTDQKHARKYIDEVKEKWKLETIMSEYELEEDELNQVEACIDIALKCVEIDQKNRPTIQYIVNKLSNL >SECCE5Rv1G0374310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862329228:862331338:1 gene:SECCE5Rv1G0374310 transcript:SECCE5Rv1G0374310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDPPLADSYRTLLRRDELGRALARESLAVLQLDLPMIDLKRLTSGDARERNACAGAMASAASEWGFFQVTNHGVGRELLQEMRREQARLFHQPFHAKEKAGFLDGSYRWGNPTATSLRQLSWSEAFHVPLARISEEDDGEHAELSSLRGVMQEVAAAMSRVADTVAWTLVKNLGHAGSTFPAAAGCDGTTCFLRLNRYPACPFAADTLGMVPHTDSDFLTVLCQDQVGGLELMKDSHWVAVKPHADALIVNVGDLFQAWSNNRYKSVEHRVVANSKAERFSVAYFMCPSWDSPVGTCAEPSPYKPFTFGEYRRKVQDEVTRTGKKIGLPNFLKGSTVDGLTE >SECCE1Rv1G0005010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:22855438:22864390:-1 gene:SECCE1Rv1G0005010 transcript:SECCE1Rv1G0005010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVTASQGALGPLLVKLTALLADECGRLKGVRREIRSLQSELTCMHGALKKYTKLEDPDDQLKEWISLVRELAYDIEDCFDKFIHHLGKGGHVVGFKEFFHEMAHRLKTLGARRGIANQIDDLKFRIKEVKELKTSYKLDDIACSVSGHATVDPRLAALFAEEAHLVGIDGPRDDLAKWMVQEENKHHRKILSIVGFGGLGKTTLANEVYHKIQGHFYCHAFVSVSQKPDTKKIIKDVISQVSKNEEFTKDIDIWDDKKSIAKLRELLKDKRYLIIIDDIWSIMAWNAIKCAFPENNKSSRIIATTRIFEVANSCCPGPDDQIYEMKPLSNDHSKILFFKRIFGTEDCYIDMLKEVSNAILKNCGGLPLAIISISGLLANKPCVKEEWEKVKWSIGTDLNKKQSLEGMKNILSLSYNDLPPNLKTCLLYLCNFPEDYVIDRERLVRRWIAEGFISEERGQSRQEVAENYFYELINKSMVQPVDIVYDGKVRAFRLHDMMLELIISKSIEENFITIVSGKQTVWENSQCFIRRLSIHIDQELAYELAKKDLSHVRSLTVTSPSCIKHLPGLVEFESLRVLDLQDCLEIDEYVRNGVEKLFQLKYLSLRNTDLSRVPSGITVLHDLETLDLWDTNIKQLPPGIVQLIKLQHLLIGNKGKIEMEIPVGIGNMTKLREIAGCFTITKSSVSALEELGKLINLEVLQVQVIMYVLKDDESQKYKCHAEMFLSSLCKLGSCKLQTICISGSNLYLFDLIDPWSPPPSGLEKFEMTVDYYLSRLPSWIAPAVLTSLAYLDIKLSEVTVEDLRVLGELPALLSLGLRTKRVQKDKLLLQRGGFRCLKELFVFGVDAGTSLFEEGALPMLEKLDLSLFVSVTKGGVFFLGIEHLQCLKFFNVRFKYKWATCPECKAAAIAIRNEACLHPKHPRVILYMETSENAVMAFDVLSLDTSSGWWC >SECCE6Rv1G0408710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:576129339:576136296:-1 gene:SECCE6Rv1G0408710 transcript:SECCE6Rv1G0408710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRRRLPVTGLLRQLQTGAAASTSSSSPPPPPPLQKPIAASASASPSTALGSRLGFPDAKSRASSSRSAAFLAAGAAAALAALPVVAYADANEEGVVDGAVSTDAAPVEDLARKERKRIMELIESRGMQPGSYPKFEVAIKGQKVVVKFNVPSTCNISRLIVDLVTHIGLEAEQSVGGSEIILRAWDSAAARQITLNPPKTTASTGANNDDSLCILIFEPLVGSEYAVSPYEIEFIKPDSFSSKELEGLVSALKVAGQKDVKTSSGSASTKGSGQRSKHLPSIEKTVSDLEGMGVRVYGFDETSSVPMDGTVMWDNIAGYEHQKREIEDTVLLALQNPQIYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANNLPDGGIIFLDEVDSFAISRDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSMICFGLPDQQSRAEIAAQYAKHLTKSELVRFSLATEEMAGRDIRDICMQAERHWASKFIRGQVPKDEKGEPPLPPIDEYVACAEQRKNSLPDRTRPVSRSGPPLKLA >SECCE7Rv1G0479170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:249113484:249117740:1 gene:SECCE7Rv1G0479170 transcript:SECCE7Rv1G0479170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNTRGGGHSEALKNYNLGRTLGIGTFGKVRIAEHKHTGHKVAIKILNRRQMRTMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIFVVMEYCKYGELFDCIVEKGRLQEDEARRIFQQIISGVEYCHRNMVAHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDDNIPNLFKKIKGGIYILPSHLSALARDLIPRMLVVDPMKRITIREIREHPWFQNRLPRYLAVPPPDTAQQAKMIDEDTLKDIVKLGYDKDHVCESLCNRLQNEATVAYYLLLDNRFRATSGYLGADYQQSMGRSFNQFTSPASPSTRQYLPGSNDSQGSGLRPYYPVERKWALGLQSRAQPREIMIEVLKALQELNVCWKKNGHYNMKCRWCPGFPQVSDMLDVNHSFVDDSTITDNSDANGRLPAMIKFEIQLYKTKDDKYLLDMQRVTGPQLLFLDLCAAFLTNLRVL >SECCE7Rv1G0503390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:711004446:711008514:-1 gene:SECCE7Rv1G0503390 transcript:SECCE7Rv1G0503390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRTAAALAPPPSPAREPRRPSCAASLACSRRAPASPLRAPPLPRPRRLGADDAAYTATQFLCRYMRRDGHEGDGRTQGQDEPSMFGPDDDDGAKIPTQVETLVRGTAIVDAPEYKPLPDLDYLQELLAIQQQGPRSIGFFGTRNMGYMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQVICFAFHDSRLLMETCQEAKNMRKIVTLFYLD >SECCE1Rv1G0044550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:603323534:603324126:1 gene:SECCE1Rv1G0044550 transcript:SECCE1Rv1G0044550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMIVAVLAAAALAVAFLPGLAVATEHMVGDDKGWTLKFNYTAWAETKQFVVGDTLVFKYGSPAHNLVEVGGPDFTACTQPASAVVWTSGQDRLALDTAGRRWFFCGVGQHCESGMKLKITVLETAAPTPQPAPTNLAGKLQARFGGTAAAVTALAAAMLVL >SECCE7Rv1G0521500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874227905:874229315:-1 gene:SECCE7Rv1G0521500 transcript:SECCE7Rv1G0521500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGVQILSRRMVKPDYPTSSRPPEPETVHLTPWDLRRITVDYIQKGVVLPKPPPGAHAVQHLASSFARAVGRFYPLAGRFTVAPGTNDDDGPSSLQPSLTISLRCSDEGAEFVHAVAPGVTVADITGPLHVIPRVVWSFFPLTGMLGADAVVDTSRPVLAAQVTELADGLVVAMSLNHGGADGTTFWDLFNAWSDISRSRGAASGEISMVATPKRWFLDGCTVPVPLPFARVEDIVRRFEYPPVQECSLFFSSESVKKLKAKANAEMATGTATISSLQAVLAHLWRAVCRARGLAPDQETTCALPGYLGNAVAGAVGRTAVGEILGDGRLGRAAWLLNRAVAAVDEASVRDELAAWPGNPSFKYAAECAGGDPPAAMVATGSPRFDVYGNDFGWGRPVAVRSGAGNKLDGMVTVYEGSGGTGSMELEVCLAPGALARLVADEELMDASVRTRID >SECCE3Rv1G0171210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:266105463:266112897:1 gene:SECCE3Rv1G0171210 transcript:SECCE3Rv1G0171210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDELKRGIAGAAAVAASHPAPYATRPPVDADRRLAASATAALGSPPPAAASPTAHKITLKSADMKEEMQKEAFDISRVAFEKHTMEKDIAEYIKKEFDKNHGPTWHCIVGRNFGSYVTHETNYFVYFYIDSKAVLLFKSG >SECCE1Rv1G0005090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:23578170:23579485:1 gene:SECCE1Rv1G0005090 transcript:SECCE1Rv1G0005090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKPTMAMVAVVVMYAVMNTLTKTAFNQGMGTTILLVLRLLVATLFLAPIAYFKERKSRPKLTTEIFVYLFFSALLGNSLAQWLFFLGLRSTTATFASAFNNTTPMFTFLLALAFKLEKLDVATCSGAAKLTGTAVGLAGTTVLALYQGPALTGAPSADHLAVTAHGSARSWAVGSAALLGYSGSLSLWFILQSKIGTKYRALYSGTAWMFLLSFIQMAVVGAATEKRTCQVWVPGTALQVVTVLFAGVAASGLGFLAMSWCVERRGPVFTTTFMPLIQIVTAGIDVAILHEQLHLGTVVGSAVVVVALYLVLWGKSKEANTINGPPPSDSELELEVITEQRV >SECCE6Rv1G0422830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692075730:692079282:1 gene:SECCE6Rv1G0422830 transcript:SECCE6Rv1G0422830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPSAALRVYGPSNFRDDELVFNSGEIGRLQSELLAKIGSCYGRVRQLPGVFDAGFCFGLLDPVANIVAGVSIARAAVDAKEEEEEEEERCLPGARLREPDLIGDMNRRSFHGLVTFLTALFPHLTNAMAIWYLNEARLDPLVAALLIVKRRGMERTFGFASDTTAAAVETALRCAAADGQHPDPSQFALGWKLLSHSDLNNVAAVLPSSANSEYSDESFATVIAMVDDVLLKKQPQPTSVFSLEKSWELASARLLNLGPDLSPIVLEKKVFLERAAVWRMLLTTIHGYYLQALARLPKDKLQSHYHHSLLQAGHCYGPLDPVGNIILNTIWYSRAYPPAKNVEVSAISTRGLLRIAVRSLYGLVSFLCTRCASTRLTPDEAIRRLQAVGADLRLADPNLLLDDDKNVDDMVVSATVEQAYTAAAAAARHPEPHHQVELLRPSNSVLRMASDCLKDDAMLSHEDAEHLSETLMYSCMLSEHQQKPETTIKVLDRWAHIRVQHRINKFWDQHARLVGMAKSAMDSYSSELGVPSYKLHVICGANEYVDGPVCTRPGKGYYRCSHINFLAAQSAATPPTLFFAECANDGTEVRLYCPVRVPPPGTEQVRCMYCEYHGSRIVHPTRESFRGRGIEFEKMLCGEGVYSKSFTNNGIIAHSGEVSLCVGSVVDDCIYEDYRRDDTPIKAEDFVRMSDANVVFD >SECCE6Rv1G0400730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:457320644:457323679:-1 gene:SECCE6Rv1G0400730 transcript:SECCE6Rv1G0400730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAADAAAAVPDARRWSKGGPSSPVTTAILLFFFVVVVGVLVSARWITTTTHLAITNLDQWRTQPAILTATHATSIPAIPAAPPPPRATYSLSCSAPPLTRDPDIPSNISQTLSLALSANATCASVPDPQPIPPAAASNATCPAFFRFIHEDLHPWRAAGGITRAMLDRARATANFRLVVLRGRAYIERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVHADEYQGDNATAMPPLFRYCGDNETLDVVFPDWSFWGWAEINIKPWDALQKDLNAGNKRVRWIDREPYAYWKGNPEVAAIRQELVKCNVSSKQEWNARIFKQDWIKESKAGYKKSNLASQCTHRYKIYIEGSAWSVSEKYILACDSMTLVIKPKYYDFFSRVLMPTQHYWPVRDDNKCSSIKYAVDWGNSHKKKAQQIGKKASKFIQQELSMDYIYDYMFHLLTEYAKLLRFKPTKPPEAVEVCPESLACQAIGREKKFMQDSMVKSANVAGPCDLPPPFSPEEYRKLQQRKEKSMKLVETLERNASKPEERNASKPEDSNPEEQNASKPEEQNASKPEDSNPEERNASKPEDSNR >SECCE6Rv1G0402110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:480093208:480094488:-1 gene:SECCE6Rv1G0402110 transcript:SECCE6Rv1G0402110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPHLFLCPISMELMEEPVTVSTGVTYDRRSIERWIFSYGRATCPATMQPLANLDLTPNHTLARVISSWLDRGSSSSSSSSSPSTSSLSSPVRELGTPLTRMLEEERLRSTLCNLEETPFKVTALKNMRSCMAGDVAMQIIFVASGGVEVLGRVMAQALAESGAGGDFSAFTVCEEAASVLAALPLSDKASVGLVLAPECLRPVMALLQRGSAEARLHAMDILTKISNAGAGDHWAAGIDVDDVLKSLLELLSDEVSARLSSRALDVLLDVVEQSPSGRAKAVEVGAVHVLVELLVVDADDRHVAERILLLLKRLCKCPEGRLAFAEHDLSVAAVARTMLRVSDLATQLAVKVLWLVSMVAQSEKVLEDMVLTGAVAKLLGLLHVESAPSTKQKTVRMVRIHGVFWRQYPCFPTDLKDYLRLLD >SECCE2Rv1G0114160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:731524222:731526379:1 gene:SECCE2Rv1G0114160 transcript:SECCE2Rv1G0114160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGEDGKRRGATADSDAEAEAGATAAALNDLCATAGDAGRPVPAPFPRAAAWAVAALLAVGIGLGVLVLAVVRSAVLLVLALVLSAAVSVFLLWNAAAAASGRVLRRFVDGLPASSLRVAADGQLVKITGLVSCGDISLISSYEKVENCVYTSTLLRKCGRWGSEVANPKNNCSRWKLTHAERFAADFYITDAKSGKRALVKAGYHTKVVPLIDENVLVTTSRSTDLSSTLKCWLQERNLSSEEAQLIRLEEGYIMEGMRLSVIGILSKKNGDFMIFPPREPISTGCVLLSFLLPAYFDGIVLRLVDKSYFMPHSGIS >SECCE3Rv1G0214270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:965084161:965085408:1 gene:SECCE3Rv1G0214270 transcript:SECCE3Rv1G0214270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFTGVSIADGYKRCGCETLAVDARGDTGYHLLMVNGYSRTKELIPTGQSITANSFNVGGHDWLIEYYPNGENPGCADFISLLLSLLYDADADDHEVPVEVRFSFSLVDQVEKQMPTYIRATGETRSFSSTTSIWGNDRFIRRDALEHSPDLKCDCLIIRCDVVVVHNSKVDDDDDAGGRGNGTKAALLPDIHQHFNSLLQNKVGADMAFQVGGETFAAHRCVLAARSQVFMAQLFGPMKEASDTAVIQIKDMDPKVFTALLSFVYTDSFPDMYEDKIKLSELCKDTRQGQEDEMSEAVDRGQGGEAAEDEMGLMQWLQGLFVAADRYDLQRLKFICVKQLSQHIGVSSVASTLALAEQHHCRGLKEACFKFIQVQSPPCLQTLMASNGWGHIVTTYPSVLNELIAMLASNQRK >SECCE1Rv1G0061190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:714495559:714495888:-1 gene:SECCE1Rv1G0061190 transcript:SECCE1Rv1G0061190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTRNAIVAVLLLVVMVAAVSTPAVIAEELCNVKCSKNCKGEKEVCSNKCYEYCKYQVSAIEYVKMATKTLKEAAAASPEEAVKLKHQAETYLASAKSLSDKAGTPP >SECCEUnv1G0528390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3872407:3875796:1 gene:SECCEUnv1G0528390 transcript:SECCEUnv1G0528390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate transporter, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00370) UniProtKB/Swiss-Prot;Acc:Q8GX78] MAMGAVLSSSRAAFLASSSSPAAGKQQQQQHDTVMMINYSSTSSPRTRWPLCSQLIAGDRTGRHVARSTAGNQLSAHIQQPLLSSSPSRLCNNNAGLVRRRLLSRVECFLLSSDPVTSGWHKPKNLTVTGLGDSTSVLQPEYLAPVRKRGDCRAEQYEMAGSPSDVPADAALLVGDANSGEAVSAAPWWQQFPRRWTIVVLCFFSFLLCNMDRVNMSIAILPMSAEFGWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSIATILTPFAAKLGLPFLLVVRAFMGVGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPFLISKFGWPSVFYGFGSLGSIWFTLWQLKARSSPSEDPEVTEDEKRHILGGSTLKEPVSSIPWKLILSKPAVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANVGGWIADTLVAKGVSITNVRKIMQSIGFLGPALFLTLLSKVHTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFQVAVLLYIVGTVVWNVFSTGERILE >SECCE2Rv1G0069320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27792045:27792748:1 gene:SECCE2Rv1G0069320 transcript:SECCE2Rv1G0069320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAADAAAVVIQHPGDGKVERLHWPTTAADVMRRNPGHYVALVVLHHDSGGGADFNPAVPGEGGARITKIKLLKPKDTLLLGQVYRLITSQEVTKAVQTRKQERMRGCDDAIERERPRLHRRRQPPRPRGSDATTAASGEQRQPADHQERKRLENRNIAAARGRGRHWRPALQSITESSSSGHVSVNELEN >SECCE3Rv1G0211360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:949464866:949465570:-1 gene:SECCE3Rv1G0211360 transcript:SECCE3Rv1G0211360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHAVAAAAAAGLLVMRGVETTASWFSVDPAPPDDGYQFAVVVKCYVKRSLEFRGRGQAPIVIDQHYIGPSNGSVMKFLVRDLSTLQSDGSCRWALRRMLARMPQLQVLRLAEDEWDGVVPSNMVPQIVRVACGDSATRGFTFRFIMEVDRRFIHDEQALLMACKESDLGGSDRPDNCPICLEGLEGEPAVQPPRCPHAFHRRCIFRWFWQATTCPICRSDVSICALPEFLAL >SECCE2Rv1G0064470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4043656:4045092:1 gene:SECCE2Rv1G0064470 transcript:SECCE2Rv1G0064470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASISAVTGELVSRFISFLMNKYHSLSHAQSEKVVDRLQHLLMRVGIVVEEADGRYIANSGMILQLRMLSDAMYRGHRALDTLRYQTLQDSADSSSSSLHLSIPSKRSRTKTGNDDKALRLESEGALESLEIAVANMVEFVVLLGGCERMSRRPYDIYLYTSNFMFSRHAEKQKLLRFLLEHNDSPGDHAPAVLPIIGGGGVGKKTLVAHVCGDEQVRSRFSSILHLNGDNLLAILDHGRTMFGMMLVVIEFVSDVVDDDWEKFHSFLIRMSRGSKIIIISKLKILTRYGSVQPIFLTVLSYDELRYLFKTLAFGSVDPADHPQLVQIADEFTRELHNVRGSLVEINVFADALRRNHHAQFWRCILDKGMKMVKRNLSSYGLHPNKLIEQGRPVDITDLALHPLSLLPYTIREDSPSVSLGDLLADPSVRPEGDFTLIAWKSRIPPHRSYLHCVTSRAQDVHEGGTLPERKRRGLPI >SECCE5Rv1G0329310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:515134083:515137279:1 gene:SECCE5Rv1G0329310 transcript:SECCE5Rv1G0329310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDGHASAADADAMYLHGVLEVTVFEADHIHNAIHGQIIKATERLEQSLGVHCLQRSSLYVDIDVGAARVARTCEVEPHANSPVWNQSFRLHCAYPAAAITFTVKSQHLIGASVLGHGSVPTASVAAGEPLELWVGLRGGERAHGTHTPRLRVRLRFLDVERDPCWDAGIRLPGFAGITPAFFPERINCSVTLYQNSHLSNGFDPSVRLDGGRPYRPARLWEDLYVAIRDARHFVYVAGWSVNTAITLVRDASRMIPGVEGVTLGELLKRKADEGVAVLVMPWQDKTSVPFLGNTGVMKTHDEQTRAFFHGTNVRCFLCPRDADAALTLVQSIEISTEFTHHQKTVTLDAATPGTADGRHVVSFIGGIDLCDGRYDDENHTLFRELDTTYARDFMQNNFRHASLRHGGPREPWHDVHCRLEGPAAWDVLANFEHRWKKQAPRKIRGCLLDLSPETFPDPCTFDSDDGTGSWNVQVLRSVDDASVVGFPTDPAEAAAMGLTNGKDLTIDQSIQTGYIEAIRRARRFIYIENQYFLGGCASWAEDRDCGCLNLVPVEIALKVAAKIRRGERFAVYVVTPMWPEGEPASDSIQTIVRWNRLTVEMMYGIVMQAIDDAGLRGQAHPCDYLNFFCLGNREAPRPGEYAPPAKPEKGTDYWRAQASRRAPIYVHAKLMIVDDEYVIVGSANLNERSLAGNRDSEIAQGSYQPAHLNGPGGGRARGLVHGFRMSLWHEHFMGHMCAGAGEGVFLEPESAECVRAVRRAAEALWDAYTRDRVEDLRGHLLPFPISVSEFGEVADLTADGCFPDTRAPVKGRKSATLPAILTT >SECCE7Rv1G0457680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18495320:18498611:1 gene:SECCE7Rv1G0457680 transcript:SECCE7Rv1G0457680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAVCIMCTVVAIASVVCFLMARAIWRAGAREAVLEADLVRQKDALRQAERKSMNKSNAFARASHDIRSSLAAIVGLIELSRLEAHNNPNLTYNLDQMDVGTNKLFDILNTILDMGKVEAGKMQLEEVEFKMTDVLEESMDLANVVGMSRGIEVIWDPCDFSVLRCAATIGDYKRVKQILDNLLGNAIKFTHEGHVMLRAWANHPIMRRSMISTPTRFSPRRRPGGVFRWLLGRRENCNEHNGTMSLQNDPNSVEFYFEVVDTGVGIPKEKRESVFENYVQVKEGHGGTGLGLGIVQSFVRLMGGEINIIDKEPGEAGTCFGFNVFLKVSETPEVEEDIEQGRTPPSLFSEPACFKGGHCVLLVHGVETRRILHTWMESVGMKVWPVPRPELLALILDKVRIAGSASPSRPALMSPLHGVGGGDFDCTTDRCFSSKEMVRHLQNSSGMAGNHSGHAHPFGLLIIVDVSGGMLHEVALETASLARIRHQAPCRVVCLTDIKTPSEDLRRFKEAAICDLHLLKPIHGTRLHKLLQVMRDLQASPFQQQHYQFGAAMKELPAADQTSEIMPVAAAAALQGPTDVEDGKPLEGMRVLLAEDTPVLQMVQKQVLTNLGAAAEIATDGSMAVAMFTKALEGANGLPESHVDTGAMPYDVIFMDCQMPVMDGYEATRRIREEESRHGIRTPIIALTAHSEEEGQQEAIQAGMDLHLTKPIPRSKIAEIVLELCNEIKK >SECCE3Rv1G0206450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:909003060:909004346:-1 gene:SECCE3Rv1G0206450 transcript:SECCE3Rv1G0206450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGPAAGTPAKLCFFSNKSDGGSGRATRLGWTLRPANPRCINTESAPVPREQVEVVRSLNGWVAENMLPLLNPVESSWQPHDFLPCSAAAPGASEEEALSAFTEGVAALRTGAAGVPDEVLVCLVGNMVTEEALPSYQSMGNRTEGSADDTGASGLPWAQWIRGWTAEENRHGDLLNRYLYLSGRVDMRQVETTVHHLLRNGMEMLVPNSPYHSVIYGAFQERATFVSHVHTARLAGQHGDQALAKICGVIAADEKRHEAGYTRVSAKLFEVDPDGMVRALAHVMRGKVTMPGLLMSDGRDADGSLFERFSAVAQRAGVYTARDYGDLVEHFVRRWRVAELAGLSGEGRRAQEYVCGLPPKIRRMEELAHHRAARSELRPARFSWIFDRHVMVG >SECCE5Rv1G0329920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:522979257:522979657:1 gene:SECCE5Rv1G0329920 transcript:SECCE5Rv1G0329920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRFLAILLVVALLALSFSHGAVVVVEGRKVQVLRAVGRYGHGRWRTPLRGVRLQEQQEGGGMVSTVMDYSEPKANTNPRGSVPAAPEDPTSPPWH >SECCE3Rv1G0193110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:765786526:765787029:1 gene:SECCE3Rv1G0193110 transcript:SECCE3Rv1G0193110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NTF2-like [Source:Projected from Arabidopsis thaliana (AT1G11570) TAIR;Acc:AT1G11570] MDGQGKEGGGGAGSECDVVGRAFVEYYYQTFDANRGALATLYGGTSVLSFEGHRVAGAAEIGLKLAQLPFEQCRHSICTIDCQPTPSFPGGILVFVSGNLQLAGEEHQLRFSQMFQLVPNEQGSFFVQNDIFRLNYG >SECCE4Rv1G0271630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:762572426:762575186:1 gene:SECCE4Rv1G0271630 transcript:SECCE4Rv1G0271630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARRLLPPRGRRLLHAGPPADPGVLASRLASRAVVRFRGPEAARFLNSLLTNDVLLPRASSSQPQRYAPTPNLPARAPPPQYAALLTPQGRFLYDLFLYRPAPRSQMLDRTGSAPQTGETPGGEEGDGDGEVLADVDAAEVDELLACFKRYRLRSKVEIDNVSEEFLCWQRFGSDVAHAGPSTQEPEAQSIGWGQGSDHAAESSAQGNGHGWQWLKDPRLDILGYRGIFPADTIPPLVEADKEADERHYLLWRIENGVAEGSTEIPKGEAIPLEYNLAGLNAISFDKGCYIGQELIARTHHRGVIRKRLIPLKFVDENGKELEQAVAPGSDVVDEASGKKVGTVSTALGSRGMGLLRLDAALKQNASLAISDNQDVRVKAIKPDWWPAEWTEVLGQQSAAA >SECCEUnv1G0529920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9787621:9790145:1 gene:SECCEUnv1G0529920 transcript:SECCEUnv1G0529920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRSGGGGGLRNPCLTMHQPWASLLVHGIKRVEGRSWPSPVTGRLWIHAAGKVPDPDTVAAMEDFYREIYAVDGVHHIDFPQHYPVSRLLGCVDVVGCVRSEELVCWEDVPQSVRLEGLTDFCWLCENPQKLVVPFEMRGYQGVYNLERRVYEGAARGLLPVQGPLPVKFPLPDPRNPLSLKPGSLKFDSSKSALVKTESISAAIAGARAAANQYSRKGGSAATSSEIQTRGKSRENHADGSSGSGALPSVVHTSSSHPQNLDRSPLVHNSSSHPQNQDPSPVVQNSPPHSVNQNPSPVVQNSPSYLQTQNPQYIAQSSPSYSQTRNSQYIVQRSPSYSQTQNPQYMVQSSPSYSQTQNPRYMVQSSPSYSQNTPSYLQNQSQSSNIQSTPSYLQNQGQSSNIQRTPFYFHDQNQSSNVHNTPSYFHHQNQSPTVHSSPSYSHNQNPPSNVQNHPSHLQNHNPSPVAHTSPSFLQHQNAEPRRSPRLQGGAPHRLVAAALRDLKQSSLRESGEQSSAPRNWPE >SECCE5Rv1G0307740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:119136675:119139312:1 gene:SECCE5Rv1G0307740 transcript:SECCE5Rv1G0307740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWLARPAERCLGRGGCGSGAGGGGDGLLWHGELKPHASGEYSFAVAQANERLEDQGQVVTSPASTFVGVYDGHGGPEASRFLSSRLFPNLHKFASEQGGMSTDAIKKAFHATEEEFLHLVKGTWLKRPKIASVGSCCLVGAIANNKLYVANLGDSRAVLGHRVPNGRGVVAERLSNDHNVADEAVRKEVTQQHPDDSHIVVYSRGVWRIKGIIQVSRSFGDAYLKKPEFARDPMFQQYACPIPLKRAVITAVPSIKVRQIGQQDLFVIFASDGLWEQLTDQAAVEIVFKNPRAGIARRLVRAAISEAARKREMRYADMQHIERGIKRHFHDDITVVVLYLDSHKHDAQTKFGSLDSFKFTNAPADIFSPSSRTVEPTVL >SECCE3Rv1G0163590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:149490287:149491222:1 gene:SECCE3Rv1G0163590 transcript:SECCE3Rv1G0163590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTAPAPAAAADELLHEFGPLLRVYKSGRIERPLVLPPVAPGLDPSTGVQSKDVDLGAYSARLYLPPAATAAKLPVIVYVHGGGFVAESAKSPNYHRFLNDLAAACPALGVSLDYRLAPEHPLPAAYDDCLDALRWVLSGSDPWVAAHGDLGRVFVAGDSAGANICHHMAIQPGAARLGGAVLIHPWFWGAEAVGEETSDPAARARGAGLWMFACPGTSGMDDPRMNPMAPDAPGLGALACERVMVCTAEGDFLRWRGRAYAEALAAADKGVELLETDGEGHVFYLFKPDCDKAKEMLDRIVAFVNAAP >SECCE5Rv1G0311020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:184683488:184687694:-1 gene:SECCE5Rv1G0311020 transcript:SECCE5Rv1G0311020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPLFSLLLFLAHISPHASSAADTVLAGDLLDAAHASGFSAWLRSVRRRIHQHPELAFHEYRTSELVRAELDTIGVSYSWPVAQTGVVATIVGGGGAGPVVALRADMDALPLQELVDWEYKSQESGKMHACGHDAHTSMLLGAAKLLHSWKDYIKGTVKLVFQPAEEGYAGAYHVLEEGILDNVSAIFGLHVDPSLPVGTVVSRPGPFMAASGRFLITITGKGGHAAMPHHAVDSIVMASSAIISLQQIVAREIDPLEAAVVSVTFMKGGDAYNVIPESVCFGGTFRSLTTEGLTYLKKRIKEIVEAHAVVSRCTATVDFMDEESRPYPATVNDEGMYDHARSVAAAMFGEDHVKIGGPIMAAEDFSFYTQRFPGAFFMIGTRDEAMATAVYPLHSPNFVIDEGVLPVGAAFHAAVAMEYLNKRATVAN >SECCE1Rv1G0038870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:543701758:543702225:1 gene:SECCE1Rv1G0038870 transcript:SECCE1Rv1G0038870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKARVFMCVALVVLLLLVETTGPSGQAHAVDCGGACSYRCSKSSRPNLCNRACNTCCRRCDCVPPGTAGNEDVCPCYAHMTTHDGRHKCP >SECCE4Rv1G0225960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:102260180:102260521:-1 gene:SECCE4Rv1G0225960 transcript:SECCE4Rv1G0225960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPRAVRACRSACVGAVAICLLPVLLPLVLLWLPLLSFAVAVVRFRRRRRWMMMARGGCCSHGGDRSPPPESEPDGHRVARLHKYLEDQMELVEEEAGDAMAAVLARNPWAA >SECCE3Rv1G0184480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:663645048:663645755:-1 gene:SECCE3Rv1G0184480 transcript:SECCE3Rv1G0184480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLFRLVRALLHLVKQTLYPAAKPGRSVPVLGGGDAGFKRPAVLTCPRYIISVICEFVMLVCIIGTLCYYFTYDLPPEFSVHLTPIPSNSSLGTPSIPRAFDIVLHASNRRATKRCHHHGEGVVTYGGYTVASGHAPGFCVPWKGAREVPFKLAWDWEDGVYLPEHLRGRMAAAERVCAVEFEVQVRLLQGGDARSGTGTPTWMWCKARIGGAPGAVTPCTVFAPQNWFSPLA >SECCE7Rv1G0507090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:758303049:758309038:1 gene:SECCE7Rv1G0507090 transcript:SECCE7Rv1G0507090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGTLISRRLKVFSLALFVYLDYKAVQKRVQWVSAVKKNSIWAKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYIEVLKQLQDSLPPRPLQEVCGTIEKELGKPMRDLFATFDVDPLATASIAQVHRATLEDGREVVVKVQHHGIKEIILEDLKNAKSLTEWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRTVSKNLSRKTEGGSGGVSSDVDVLIPEIIQSTEKILILEYMDGIRLHDNDSLEEYGVDKKRLVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMKQALAKMFLSCAEGDQVALLSAFAEMGLKLRVDMPQQSMEIASIFFRQSTTATEAKENIKALNEQRERNNKALQEKMKLSKKEVKHFNPIDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGNMTRGPSTNSEWIYDSPVNSEVESKLRSLLLEMGSDKILGLQVCAYKDGKVIIDTAAGTLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVDQGKLKYEETVADIWPKFGTNKKELIKVHHLLNHTSGLHNALGDVIKTDPMSVCDWEEMLDQIAKSTPETEPGSSQIYHYLSFGWLCGGLIEHASGRKFQEILEEAIVRPLHIEGELYVGIPPGVESRLATLTVDMEEIQKLEGIKPGPDVPPELLSGIAQMAAGVPAMFNTLNVRRAIIPAANGHLSARALARYYAALAAGGAVPPPHSSNAKPLLGSHVHTPALSTATTSKKKKKKGSAKKSSGSSSSLEKVEYAQLRTSDADSEVSVATSGSTGGRLFSNSDSAIMDAFMGVGEYSGMIYPNGKFGLGFRRYGRSGAPPTGFGHSGMGGSNGFCDPEHGFAIAVTVNKMALGSVTRRVVRFVCEELGVPVPDEFSVAGEKGPDMVLNLAPPAE >SECCE5Rv1G0369150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:833961899:833962837:1 gene:SECCE5Rv1G0369150 transcript:SECCE5Rv1G0369150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAAAKACLSSPAPPPRPPSRAAPLSPLLCRRSTATQPRPARLPLPRPLRAAAGAGAPGASSRPARDRVIDFGKHKGQMLGTLPPSYLRWVVAELDYGDTLVWARLAREVLDDPVYVDRVEWEHAHRFLRGDSKFDYVYDDAGDDGDGPLQEMAERFGWDLSYEDGWGRLDFRLLGTSYGGRIPRKADRRQSTGSSSNRSPRGGGALFDAGADGPMGKRDERRERMRTRREELVRTAKLDVLGVNAGAKDGGALGTARKARIGAAAKKDILGLGRGGESAAPVKGGEGGSPFPGRQAFLDKVRKLKGDDS >SECCE6Rv1G0379680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:15883247:15885826:1 gene:SECCE6Rv1G0379680 transcript:SECCE6Rv1G0379680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRALVLYAAGHAALLAGGGGGKGHLDAFASIASCGFLSVRTPAVNEDGGDKNSDTILELAQLLDVYDALYPAKDGETVRVDPQELVVPKLSERFMGLRAAMVTNCPGVSSFATNLGFHVFGNEDFAAQSGSGSSSKDTRMIDRAFSLLGFAEGNVQDASEFDLVFVHAAMENTASKLGKLGMKTDLNRLDKLVAAVMEAAPVGSAVAARIHVSVVLSYGSATENKEEACLILNSSTETDSDLKLLRPRQSYTMKAGKTLDDVRNHHPMLLAQWQQGVTRSDLAKEFSFEEFIKRAGNFAMLAERFLHEVAFKLWKAPKYGA >SECCE6Rv1G0400950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:459923473:459924860:1 gene:SECCE6Rv1G0400950 transcript:SECCE6Rv1G0400950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHAGFNTPRPSSVRSAAAAAARTTAGSSSDTTPLAPTSIPRADLSSSGAKAGVTSAIRSSVGSSSSTDLFGTSSRSSVGSSSSSDLSAPTTRDMASIAKEVGKRLDYEDEAPFPTAASWQQPVLAPEGFADLAPLLVLPDPDNASSTTVVFASDADANHALTASADSTVTQLAAPDDSTADADGPLLTEMEVVLAEVSGARGLSPRSKRLLLALVQVADAELNANPTAAVLHIRRAAFWRKVRVGILAATVFSVAAIDAALAFALYGARRGNGRYHHVLPPT >SECCE3Rv1G0185830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:678442983:678443939:-1 gene:SECCE3Rv1G0185830 transcript:SECCE3Rv1G0185830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVVVVAMLAAAFAVSAHAEQCGSQAGGATCPNCLCCSKFGFCGSTSEYCGDGCQSQCNRCGGTPVPVPTPTGGGVSSIISQSLFDQMLLHRNDAACLAKGFYNYGAFIAAANSFSGFATTGGTDVRKREVAAFLAQTSHETTGGWPTAPDGPYSWGYCFNQERGAPSDYCSPSSQWPCAPGKKYFGRGPIQISYNYNYGPAGRAIGTDLLNNPDLVATDATVSFKTALWFWMTPQSPKPSSHDVITGRWSPSGADQAAGRVPGYGVITNIINGGLECGRGQDARVADRIGFYKRYCDLLGVSYGDNLDCYNQRPFA >SECCE1Rv1G0029960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:422036887:422037701:-1 gene:SECCE1Rv1G0029960 transcript:SECCE1Rv1G0029960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSLTRSLSHVPRPRRSSRAAKSPHMPTLSPAPRVLPLRRARSDADLLGSVAAAAPGSVLLRSPRPRTLAEKDDTPMEDCFDGAGAGKGNNSSGRGGGSGGAGGNGQSAGMGEHYRRVLRLEPDNPLLLRNYGKYLHEVERDLAGAEEYYGRALLACPGDADLLSLYGRVLWESDQDKDRAAGYFERAVQAAPNDCYVLGSYASFLWDAEDEDEEEASTAVASSPALVPAC >SECCE3Rv1G0155520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:66319320:66319923:-1 gene:SECCE3Rv1G0155520 transcript:SECCE3Rv1G0155520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPSAIDMAELDPVLHGVGFEMQEVSPSLLSGRLPVTERCCQPFKVLHGGVSALVSEGLASMGAHMASGYRRVAGVHLAINHFRSAALGDVVLARAVPVHLGRSTQVWEVKLWKMDPSEEGKMGPQISESRVTLLCNLPVPDNLHHAGDALKKYAAAATTTTPTSKL >SECCE2Rv1G0134590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:902348045:902351487:1 gene:SECCE2Rv1G0134590 transcript:SECCE2Rv1G0134590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSADSSPTRWGLKEQRSVYLRWFYLADDDADGRLTGKDALKFFAMSKLSRDDLKQVWAIADSKRQGYLGFAEFMTAMQLVSLAQAGQDISQDTLAHADLGSLQPPTMEGLEKKLKKSSAHKSSSDLVAYHPIQQSPMSANWFNSKSGKKIAMKSVTSIIDGLKKAYIEKLRPLEKTYQYNDFVSPLLTSSDFDAKPMIMLLGQYSTGKTTFIKHLLKSSYPGSHIGPEPTTDRFVVVTTGPDERCIPGNTIAVQADMPYSGLSAFGTAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFASKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPIRETSAGPLGTELFEREQEDLMSDLNDIPKKACDRRINEFVKRARSAKVHAHIVGHLKNQMPALMGKAKAQQKLLETLDEQFAKVQKEMHLPPGDFPGVDEYRDALSAYNFDRFERLRPKMVKDVDDMLAYDIPDLLKQFRNPYE >SECCE6Rv1G0389310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:148512442:148514060:-1 gene:SECCE6Rv1G0389310 transcript:SECCE6Rv1G0389310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMQQLVCWLCAFLALLLLKLLKKRGAATATPALKLPPSPSSLPFIRNLHHLLRSPIAHRAVADIARELDAPLMYLQLGELPAVFVSSADAAREIMKVHDMKFAWRPYPPTIQKLRPMGKGIFFAPYGALWRQLRKISIVKLLSVRRVHSFHRVREEEAARLVAAIAATPPGETVNLCEQIFWVIADSTMRTMIGERFERRDEFLVVLADIVKIASGFTMAEWFPSSWLVRAFGGTRRRGHASYLTTYELVESAYRQRQKRKEAMAAPATRNAVTGEEEDLMDELMRIHEEGDLEVPLTIGNVKAVTIDLFGAGTETSADALQWAMSELMRNPRVMQKAQAELRDKLRGKPTVTEADLPDMTYLKLVVKETLRLHPALPLLVPRECNETCKIMGYDVPKGFVVFVNAWAIGRDPKFWDDPEEFKPERFENSTVDLKGTDFEFIPFGAGRRLCPGLAFGLASIEIVLAVLLYHFDWELPDGVAPSELDMTEEMGITIRRKNDLYLRPTVRIKV >SECCE4Rv1G0239850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:426502696:426503780:-1 gene:SECCE4Rv1G0239850 transcript:SECCE4Rv1G0239850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQGAGDEGGNSGSPSASAMEKARLELPNGHVKQEVGVHHAVGGGDDGGAIVPAEAGYGSRVELAVKIDMSVLHCPLCTLPFKPPVFQCNKGGHLACGGCVALLPRGECGACEDGGGLFGPCPALDAVVSSTKIECPNAGCQTYVPYHEAGDHQSACPHAPCACTEPGCGFVGAPHALAGHLVDLHSVPVRTVRYGRVGQVPVSGPQQLLVGEEDGRAFLLTVGALGAAAAAVSVVCVRASAATRPWFSCKMWVNLPQPADAANGGRADMVLVDIQVRSSTTPGAVVAVDEPTFLAVPRMYMVPVDGDAASMEVPLNIRIDKISR >SECCE6Rv1G0427570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:719871586:719873154:-1 gene:SECCE6Rv1G0427570 transcript:SECCE6Rv1G0427570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQMVHMLQGQGETSYARNSSFQKAEQNRMKSLIEAVIADLCGSSSTLLHGKVVIADLGCSSGPNALALVSTALNAIHSQCLHLQQPPPEVCVLLNDLPDNDFNTVVKSLVMLRQSKDPVVLTGVIPGSFYERLFTSDSLHLVCASNSLQWLSKAPEELMTNRIPAFDTDEHVRRERFPLVREAYAHQFRKDFALFLELRAKELVSGGRMVISLVGTRSDVIASKFFLFPGIVAQILSAMLAEGVIDKAKFDSFYVPVHGPSGEEVREIIEEEGSFSIREMRVHDPTTEMNTALSTPRKFVNNLRALFEPIIVQHFGDIMDEFVRTAELHWSLDPDGSLQEERARTSRAMLVVSLAKAS >SECCE2Rv1G0081070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:135528343:135532629:-1 gene:SECCE2Rv1G0081070 transcript:SECCE2Rv1G0081070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPDLTSSSAADAAAAASSAAKKDRHIVSWSAEEDDVLRAQIAHHGTDNWTVIATQFKDKTARQCRRRWYNYLNTECKKGGWSREEDMLLCEAQKLLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDELFEENGTVCSNANAKRVLTQSGGVTCGAPGSSPPIKNMSSCKPDFKENLAPNMKSFGQERSIRQDSRQPLASICPDNQSVNIVKTQSLVNKTSTKQLHGEEQSCVKHEGNFLKRNDPKLATLLQQADLLSSLATKVNTENTSQSMDEAWQKLQHHLVKKDDNDMSESSMSGTASLLDDLDDLIVDPYENEEEDEQKSREPNGVTSQMAPDQIMDNCPVDQIAEESSLCGNTLSSSMEPCPVAEILGYVNLGEAAEDMGLHCMEYSSPAHAALDAEIPASVDLSESAEAQVQLAKADAEIPASVDLSEAAEGSWSQFMEYMSPAHTVLHVEGDAETAASIELSEAAEGSLPQCMEHMSPAHTLLRAKTDSEMPASANSSEVAEGSWSQFMEYMSPAHTVLHAKADEETPASVNLSEAAEGSLPQCMEPMSPGHILLRAKTDSEIPASANLSEAAKDDSLQCTEYTSPAHADLQDNTDAEILENLNGVAQDSRLQCATFTSPAHTAIEGKEVVKKGTSEDCSDVPEDSSTQACMEFASPAHTVPTFHPFTDNVPTPKITASERIFLLSVLELASPGSKPETSQQPTCKRALLNSL >SECCE5Rv1G0298130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10013114:10016068:-1 gene:SECCE5Rv1G0298130 transcript:SECCE5Rv1G0298130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEAADQLAVSMRRGGLCLGLLDPVTNIILNTVALLPRDFGDMAKPDRRRSKRLAAGRVRPGDYSSWNTSWVLPSSGTVTCGPSTRRATWYSIALGSRQALIRFMVGYFGCLSEEQAARYLHWAGADLALAVQLVEHDLHAAAVSPPDPASERTQAAFKYAASCGQRHPAPDDLMRLQASPLPKQCLRDSAHLLEKGGRKLTVDDVIAIMDLLRYQAGAPLDLQFSLRPSGRELLVYCRDLKAHEGRLDISNTTSSDGFKMFTIKVERHGHHFAALRSPHEHRSMISSCLQKVVKTAKAHFGSAVMICSGDACEYTGSLRMRLHDMIHGFYLKVFTMLPSTWLHLIPHILFAGHCYGPMDPVSNIIINSICHHILRPLTSSADCKVEVYDILDTLSMLRVEVRSLEGLIALVRASSESQCSTQRVMDHLSCKRCDLSQESHTSLQFTDAAAAARHPQHAELGSFFASLAPDRLIDLRRLLATGADGRISSESLGEIISTLKQSALPLVAPESPNAAELCNEAKETLLQKRSCYNEMKLFIRAELAQVLKKYAFEHPLEPKYEPSVICGLVAAPRSLDRPSYHVNFVAASESDNQLFFAEINEPFPDSPKQNFCCPLPLTFAGRCYYGEGTARKIVYPDSSELLEGNIDITDYGTYEADGLLDPDFIVDFRRDAQFAEDLRKYCEEQNADEYYELI >SECCE5Rv1G0332330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:547017533:547022514:1 gene:SECCE5Rv1G0332330 transcript:SECCE5Rv1G0332330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQLLPAVPRWRPSPPREQRRPGHAADDEGPSDTASDVLGGSLRSTDGFPFGSGSSFPPPPFAPMPVTLPRSRTSSSLEISVENGAGAVPAVVRETSLRRVDQGVVLSWEDLWVSAAGGKAGRVPILRGLNGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSGVSQKGDILINGRRQKLSYGTSAYVTQDDVLMTTLTVREAVRYSASLQLPSGMSAAAKRERAEETLREMGLEGAADTRIGGWMHKGISGGQRRRVSICMEILTRPALLFLDEPTSGLDSAASFHVVSRIARLARREGMTVVAAVHQPSTEVFGLFHGLCLLAYGKTVFFGPAAETNQFFALNGFPCPSLMNPSDHFLRTINKDFDNDIEEGLGGKRTTTADNIDALAASYKSSVYMEKVSRQIVDIRGIRGEVVKMEGQQPSFLTQSFVLIKRSFVNMYRDLGYYWLRFAIYIALCLCCGTIFYDIGHNYGSIQARGSMLMFVGAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVSSFVIANTVSATPYLLLISLVPGAMAYYLVGLQRSFDHFAYFALALFMTMMLVEGLMMIVASAVPDFLMGIITGAGIQGVMMLNGGFFRLPHDLPKPVWRYPMYYIAFHKYANQGFYKNEFLGLTFPNNQAGGAATITGDEILRDYWQVEMGYSKWVDLAVLFGMVILYRVLFLAIMKLTEKVKPMVNGIRFRSTQPSVHIADQGSEANGAK >SECCE3Rv1G0213570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961518735:961520664:1 gene:SECCE3Rv1G0213570 transcript:SECCE3Rv1G0213570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCHGSIVPAAYATSAGDARHHYRTSCSSSDWDSDSSSPVLDRAPHRNTIMGRGAGHQHQLSSPTAVLGHHTPPLRDLYSLGRKLGQGQFGTTYLCTEISTGVAFACKSIAKRKLLTPEDVEDVRREIQIMHHLAGHASVVTIKGAYEDAQFVHIVMELCEGGELFDRIVQRGYFSERRAAEIARVIVGVVEACHSLGVMHRDLKPENFLLKDKEDNNTNNASGNNNANEPAGEEINLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVIVYILLSGVPPFWAETQQGIFDAVLKGAIDFDSDPWPNISDGAKDLIRKMLKSPPAERLTAHQVLCHPWIIENGEAPDAPLDPAVLSRLKQFSAMNRLKKMALRVIARGLSEEELAGLKEMFKTMDTDGSGAITFEELREGLRRYGSTELGDSEVRDLMEAADVDRSGTIDYDEFIAATVHMNKLDREEHLMKAFSYFDKDGSGYITVDELEVACRDHNMADVGIDDIIKEVDQDNDGRIDYGEFVAMMKKGIIGNGRLTMRHTSDGSVLHGAGSGLSS >SECCE4Rv1G0262910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:713475667:713477721:1 gene:SECCE4Rv1G0262910 transcript:SECCE4Rv1G0262910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSFCLRSSMLVASLVIQLLITAMASGGGSGATSSTVAALKRYNAMFTFGDSMDETGNICAASSNKTELDVLTCTHPPYGETYFGRPACRWCDGRVVVDFIAQALGLPFVPPSKAKGKDFRRGVSMAITGGTAMNFSFYKSLGIEDPVWNHGSLDTQIQWFKELMPSICGTERSCKGYLKESLFMFGGYGGNDYNVQLLELGLTPLQAMNYTPKIVTAIANGVEELIALGAVHVVVPGIFPTGCLPIFLSLFGDAAGETDFDGTGCLKPYNRLTVYHNSLLRWQVDALQRKHHNSTRIMYADYYGLVYQMVQEPEMFGFSKPFEACCGAGGGKYNFDVTARCGMEGATTACSDPSTRLSWDGIHPTEEVNKVIAGALLRGPYCTPPILG >SECCE7Rv1G0472380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:141599981:141601171:-1 gene:SECCE7Rv1G0472380 transcript:SECCE7Rv1G0472380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSGDVVRWTKRISALTRGGRAAEAVAEFSRMDAAPNALTLASVLPACARLRSLGLGRAIHGFWLRRGGGPGANPIVDNAMLDVYAKCGALRSARLLFDGMPERDVFAWTAMAWGLARGGSPQDAVAMFRAMLSDGGEAARPNEATLVSVLHAVASTGALACAKLLHSYALKRGLGAEQVVANALVDAYAKCGEARLAFEVFDLLPDKDLVSWATVMRAMAVDGRCREALQLFSMMLRQGVRPDGAVFLSLLYACCHAGQVDQALHLLGAMRRVYGVTPQREHYTCVLDACGRAGRLDGVGEIFRRMPVECDQQALGAYCSHASASKAANGAVVGERFLWKRFLAGEVAAGRDTYMPISKSLADAGRWDDVCAVRERNAATRIDKTAACTWIQV >SECCE3Rv1G0209360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:938263530:938263877:1 gene:SECCE3Rv1G0209360 transcript:SECCE3Rv1G0209360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMGKGLVGTNKTLIYLWLVMLLLLSTEEMGSDGCEGHDSQTWDGNMCVKHGTCNVVCQKEGYDRGRCYVTVCRCYKNCTSLPI >SECCE5Rv1G0303480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:48966962:48967180:1 gene:SECCE5Rv1G0303480 transcript:SECCE5Rv1G0303480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMNGYEATRHIREEESRHGVRTPIIALTANSAEEGLQEAVEAGMDLHLTKPLPKPKIAGIVLELCKQDKN >SECCE3Rv1G0163710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:150945493:150955266:-1 gene:SECCE3Rv1G0163710 transcript:SECCE3Rv1G0163710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) UniProtKB/Swiss-Prot;Acc:Q8L6Y1] MDILRANLHKVRIPEPTNRIHKDECCISFDTPKSEGGLYVDMNSFLGFGREHVAWNFEKTENPVYLHIVQRLKPDPDEADRPLKKPTLLAIGVEGGFSEQEPEYDVTYEVVILPEFVSLPFPSVDLPEKVRLAVDKVILAESADRKQQLASWVADKKIISAHAMDLQQLDNGVIVPPSGWKCSKCDKTENLWLNLTDGMILCGRSLWDGSGGNNHAVEHYQQTKYPLAVKLGTITADLEGADVYSYPEDDSVEDPILAQHLSHFGIDFSSLQKTEMTTAERELDHNTNFDWNRIQESGKDAEPLYGPGYTGLVNLGNSCYMASVMQVMFSTHPFISRYFEKQSLKAAFAISQADPTLDLNMQMTKLAHGLLSGKYSAPSQEGQEGIHPRMFKSVIAASHPEFSSMRQQDVLHFFLHLVDKVDQANPGSHELNPFTGFKFIIEERVQCPSGKVSYNKHCDNFLSLSIPLHEATNKEQLEVFHEKKAAMDLDGKKVSNEEIVRPRVPLEACLASFSGGEEVPDFYSTALNSKTTAIKTAGFKTFPDYLVLQMRKFVMEAGWVPKKLDVYIDVPDIIDISHMRSNGVQPGEELLPEGASCGNKAEPARPVANEDIVSQLANMGFNYFACQRAAINTSNAGLEEAMNWLLSHMEDPDINEPISQDAMPAEDTIDEASVQTLVSFGFPEDVSRMAVKASGGNIERATEWVLTHPEASSSVSADSSASNVKDDESHIPDGSGRYKLIAFVSHMGTSTHCGHYVAHILKDGRWTIFNDNKVAASVDLPKDMGYLYFFQRISS >SECCE2Rv1G0133240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895379467:895381379:1 gene:SECCE2Rv1G0133240 transcript:SECCE2Rv1G0133240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCVVGCVSRFIIKKIGTRRIQGRPQGAIEEAKPFADENAFESNSLKGAVLSSPLIEFSTVLSATNNFRDKLGAGGFGPVYKGRLPDGQEIAIKRLSNSSSQGSEEFKNEVTVLSKLQHRNLVRLFGCCVHGEEKMLVYEYMPNNSLDSFIFDETKRSVLGWKLRYNIIQGIGKGLLYLHQDSTLRIIHRDLKASNVLLDDDFIPKISDFGMARIFGEYQRQALTHRIVGTYGYIAPEYAMEGKFSEKSDVFSFGVLILEIVCGRRNSSLIDHEWSMNLVGHAWTLWQEGRASELIDALMGTTYSKDEVCRCIQVGLLCVQELPGDRPAMPLVLRMLCGDVELPAPKRAAFFVGRAPADDNDTESGNHLTYTELEGR >SECCE7Rv1G0480730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:272902902:272903414:1 gene:SECCE7Rv1G0480730 transcript:SECCE7Rv1G0480730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGSFDLIRIVHLNGHIEEYSRPVTAGEVMAAHPSHVVSRPCSQGGARRILIVDPDSELERGCFYFLVPTSSVPEKKRKPSSQPQQKKVRSSSTLKPTSVPSSAGAGADKVTKDRGAGDSYLAEVLSEGKARCKRSRSVRATVWRPHLQIIPEEALE >SECCE4Rv1G0231940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:192545659:192545925:-1 gene:SECCE4Rv1G0231940 transcript:SECCE4Rv1G0231940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCSSNMEEKQHSWKKMSTAKQYKDGRPPAKETPEKGGSQGRRRELRRSASLPVRVASAAREQRARLYIMRRCVSMLVSSCWKNCQ >SECCE1Rv1G0004470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:19080953:19082750:1 gene:SECCE1Rv1G0004470 transcript:SECCE1Rv1G0004470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGLEAGSNKVDVAIDLGNPLLNRTVDGFLKIGAVGACRVVAEDAFDCIHRGDVSKRQLEESLKKMCKEGAYWGAVAGVYVGMEYGVERARGDRDWKNALIAGIATGALVSAATNNKGNKIATDAITGGAIATAVEFINHLT >SECCE3Rv1G0150410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31670216:31672111:1 gene:SECCE3Rv1G0150410 transcript:SECCE3Rv1G0150410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIRISRDVTITSIIVSSITQRSKPWFSRPRKLKSKIWNEAEPIYVDGMLAQGRCIHCNKLFPASKKSGTSHIGKHLLICEEKAKMDGMVAKIRTDSAIDPEWKFDQGRARRALAKLIVLHELPFSFVEYPGFRSFVKKLNPWFNVVSRETIKQDCIVAYNRHKKEIQAFFSNLSSRVSLTGDMWTSNQKLGYLCITAHFIDGSWTLQNKIIRFCLLETPHNAYNMFDMVQKSLRDWNIEDKIFSFTLDNAPVNTSMVGHLRKNLVDRYLVHHSGKLLHVRCAAHVLNLVVQDGLDAMASVVDRIRESVQYVKSSQGRMEKFNAMIAQVGLACKNHPSLDVPTRWNSTYLMLESSLPFRAAFEALKETDKDYKFASSASEWEMAEAICHLLGAFYSATNKISGRTYPTSHLYFYEVWNVKQVMEKEVLSENPTIVAMVKEMEKKWIKYFEESFLTSCMPVIFDPRYKYEYVHFRLTAAFDGGAEKYLTNVKNAMNILFAEYASEFGNTSDEGDELAEDDGEDTLDDWDNHLRLKRSHNSNELQRYLEEDLFPRRQKLDILKWWEIHSPKYPVLAAIARDILAVSASTVPAEAAFSNAGRIITDQRSSLAPSTIETLMCLEDWLRAAGNMF >SECCE5Rv1G0299410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:16523669:16525036:-1 gene:SECCE5Rv1G0299410 transcript:SECCE5Rv1G0299410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTMCRALLLLGLFLILTPLLCGCTDGGFSVELIHRDSARSPFHDPSLTPHGRRLAAVRRSYAGSGSPDPDGAVSEVVSGSFEYFMYVNIGTPRTRMLALVDSGSDIVWLRCTNGSASPAPPPPAPAGGTSNVFDLSSSSTYGRVGCQSDPCRSVHGTSCDATAFCQYSYSYLDGSSSSGLVATETFTFDDAPGGCTGCRERPQLQVPRVTFGCATTVHFAGNGIVGLADAESSLINQIGAATSLGRRFSYCLAPLFSDVSSALNFGGRAAVTEPGAVTTPMVQHSSAAGAFYTVEIVALRVGDTIIKLPKRSPVIVDSGTVLTYLDQELLEPIMEALSRSIDLPRKQSPKPKLFSLCYEAGGLEVLDKVFPDVTLELGGGALVTLKAENVFVDLLLRTVCLAMAPVTPERPVAAIGSILQQNMHVGYDLDKRTVTFAPADCATSYTSPPASLV >SECCE5Rv1G0326960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:488049591:488050415:1 gene:SECCE5Rv1G0326960 transcript:SECCE5Rv1G0326960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHPNPHGFWISREPPAQVPNPASGFAMAPPAHLDHQIQHYDHFFPGHGNQFNSETLEAVLMRPSPPAPPLAEMPPANEARTGAVQAAGAGHARARKRPFRTDRHSKIRTAQGVRDRRMRLSLDVARDFFALQDQLGFDKASKTVDWLLTQSKPAIDRLSESSRLNAARAGEDGMSSLSSVEREGRRLKETALAAGSGKGVVDVEKATRARGGGTSVPMEHRREMSGGLMSASMTGGEYYYDLGEMMCINGGECDDDGEYDEDGDFLDGMQY >SECCE5Rv1G0319420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:375787727:375790653:1 gene:SECCE5Rv1G0319420 transcript:SECCE5Rv1G0319420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGMATPPEVNTSPAPNGGGGGGCGGIRVENCYVFKSRLQEYVQKAGLMTPEYQTLKEGPSHEPIFKSSVVINNVKYDSLPGFFSRKAAEQSAAEVALMEIGKSLALPANATIPAVQETGLCKNLLQEYAQKMNYAIPSYICNKQGPYICTVEIGGIQYIGATARTKKEAEIKAARTALLAIQGQSEGCANGTTKYIVVPRKRQAKEIEKKLVETPKSLKTKKGGFKKQRNKNKFIKKNGQEVNEEKDETGVPGDVHHSDVPMKPAVTTEDPLTNTVMMQPDEALPFEHEPTRDTAMLQPDEDAWPSKPEPASDTAMLQPDGEATKVEQEQLSDKAMLPRDDEGGRVEHEPLSNTPMVLHNEEARNVKQEALISTAMPQPHVEDRIVGAEPPRDAAMVLPNDEARCVNQELLIGATMPLPIEEARAAANPVPLSDSTTAQPNAETTSINEESLRNAASAQHNEEAGTVNLEPPNSASLLQPKEPRVENGEFVSENKDQTLGDASCEANWSNEDMPEKCSDNSSAFTSVE >SECCE2Rv1G0126730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:848449303:848451897:-1 gene:SECCE2Rv1G0126730 transcript:SECCE2Rv1G0126730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSALPDEIMTEVLLRLPVKSLLRFRAVCRSWAANLSSHQFCTLHMAKVDADADAASAPPRLLFVAPTAGYNSTAIYSCSPSGPSADVLLTLDDVRGNFVGPVTAQCRGLSLLYDAVPPAYYVLNAATWAVTRLPPCPDALSSSAGLAFDPRTNEHKVVRLFLHSCYDKRLKDSRLLTKCEIYTLGGRCGGRWTPAAGGVPSSQDAEAAVGHACFDKLPPVFVNGSLHWLLPPSLGETMSIMSFSVTEEAFGWVQPPPFTTSPENSHLVELDGHLCMARDLRADSPSILEIWKLLQGSTSGDWSLDYRIDLSGQTTREVLIELEAIRIEPEVVRVLGVTGNDRSGRKIIIGTAQHKVHAWDATSGTTETIFSIEDTNLGYQAESSSLHLCLFKENLAPVHRTNDETSWSSPVGKAVKEILLRLPAKSVTRFKTVCRQWRRLIEGEAFTRSYLEMHKKMDKQGPKIMLMGKGTQQSPFRFTPLKEWLSEGSNSNEGARLELETKVVCSKPCHGLNVVSTAEEDYLYNPCTGYYYKIPSDPGSSVGTPWERPGDGRAGIQNSAFSIGNKNIGLGFSPLNQEHIAIFIFYQRKDFRSRNYRLKCSVWQRSSWYLHSISNPPLPVNDMPPAYVAGVFYWMSDPLLGPSNEQVIVAFDIARNEYDTIPCPSHIARWCDNGRRHGFVTELQGMLCVVLADSMEDELQIWKQEHDQWDRAYTICLKAWPDYSLLSNVVVPLSIDPKDGRIMLSTGRKIGLYDPIGKTLERLCAVDGMPRCTGKRRELPRAWEGLQPSKRPRSGRKIPGCMESATGHGTLQASSLNKCSNDLSMEALNAVCPGEVMSAVPLLYEESLTSYPRVLKKRLLE >SECCE4Rv1G0224850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:85571366:85573138:1 gene:SECCE4Rv1G0224850 transcript:SECCE4Rv1G0224850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHRRRTCLRRVLTIAGGVSAGLLLLAGGHTYAQGQLFSPGLLPLGLGLGADRSPPFAPPPFALSPLPPYLLSDSEANASPPQPESNLPRRLLPLHRSPPPSPFLLPGLDWEADRSHDDGDAVLLPEPDWEVLLLADAEPGAKATCAFQGGASSPASALGRLPGSGRHAYVCPMPEPARSLQPLQAPVLLPISASSSDCPGRALLNWSDRIAFSSATLDNGDVLVFAKGVNHAAGGVQCLYRYCGETHAVVASFPAITSVQQVTRCPAPPIDLNSRNTEFRVTVAATGEDPIPTLATYRPQQSESALLVTPEKNLICACTMVHNVSKFLREWVLYHAAVGVDHFILYDNGSKDDLADQVTQLRSAGISISTVPWPWIKMQEAGFSHCAATHQSSCKWMAFIDVDEFIFSPNWERSEKPSKSMLEAIVQVDPDVGQVHLWCFDFGPSGQTSHPQEGVIQGYTCRLKRILRHKSLVLLAAVDHSLENAIHHFTLKVGFKSLRSMQARVNHYKYQAWTEFKSKFKRRVSAYVADWRDPINLQSADRAPGLGVDGVEPVDWAQRYCDIKDNLLQRLSSRWFGNGLGSLGSQDT >SECCE2Rv1G0088690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:247332728:247339136:1 gene:SECCE2Rv1G0088690 transcript:SECCE2Rv1G0088690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLLFSLCTALAVAAAAAAAATDTLKQGDSLTMPATLVSSPSGVFELGFHAPDPARPARLYLCVWYRDTQPRTVAWVANRVNAAAAAAPSLTLTAAGELRVLDGAAKDGAPMLWSSNTTTRAAPRGGYEAVILDSGSLQVRDVDATVIWDSFWHPSDTMLSGMRISVNAEVRAQVRGPPERMLFTSWASETDPSPGRFALGLDPANPSQAFIWRDGNVPFWRSGQWTGLNFVGIPYRPLYVYGYKQGNDPILGTYFTYTATNTSLQRFVVAPDGKDVCYMVKKSTQEWETVWVQPSNECERYGACGSNAICTVVQDGKAKCTCLRGFKPKLADEWNAGNRSQGCVRNPPLDCQVNKTGDGFLSIPNVKWPDFSYWVSGVTDEYGCMSTCQQNCSCGAYVYMTQLTGCLHWGSELMDVYQFQAGGYSLNLKLPASELGSHIAVWKIAAIASAVVLFVLLACLLLWWKRGRNIKDAVHKSWRRSRRSSTRSQQSAGMLDFSHSIPFDDETEDGKSHELKVLSLDRIKAATGSFSESKKLGEGGFGPVYMGTLPGGEEVAVKRLCKNSGQGHEEFKNEVILIAKLQHRNLVRLLACCIQGEEKILVYEYMPNKSLDAFIFNPEKRGLLDWRTRFDIIEGIARGLLYLHRDSRLRIVHRDLKASNILLDTDMNPKISDFGMARIFGGDENQFNTNRVVGTFGYMSPEYAMEGIFSVKSDVYSFGVLILEIITGKRAVSFHGQQDSLNIAGYAWQQWNEDKGEEMIDPLIKPSCSIRQVLRCIHVALLCVQDHAQDRPDVPAVILMLSSDSSSLPMPRAPTLMLRGRAPESSKSSENERSHSIGTVSMTQLHGR >SECCE2Rv1G0105060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:614000418:614001608:-1 gene:SECCE2Rv1G0105060 transcript:SECCE2Rv1G0105060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHYRRILFFSDDCDPWYGCPPPPAPPFFTPSPSPSPSSPPPITSPPPPPPSFSFYYPFTPDLAPSPSPVHDGGGGWRDQGGGTYGYGAVDDHRRRFVTYVLCAAAALAFLSLILLGVSIAVRRRQLRRRRQAFLAQAPAPAINVGNDDPEGGGGGGVVHHVWYIRTVGLDEAAIDSIAVTPYRAGSGLLGASDCSVCLGEFNDGELVRLLPKCGHAFHVPCIDTWLRAHVNCPLCRSDVIDPAAGVGIESNPPADPDVNANAAAEQAAAASDSTLEHEDEEEEDQEAPRVEEDQHELQLPSSPEPEPLPQLPGPLPRNVRRAASMNAAMVSTAADVAALDRQPDAAPEGEEQSGREKHQSGATGHPSTERPASGGLPRSFFARHCRARSSVLPL >SECCE1Rv1G0038180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:536385909:536395740:-1 gene:SECCE1Rv1G0038180 transcript:SECCE1Rv1G0038180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAPPAAAPPAAAVPAEAAARAPAPAPAPALPPPPAAAAPPAGRQLFSVELRPGETTIVSWKKLLKEAGPGAAPPPSLPAAAVQPVVAPLAGPSGAAVHPTENDPNDPSQSNRFNAVIEKIERLYMGKHSSDEEDLGDVPDDDQYDTEDSFIDDAELDEYFEVDNLETKHTGFFVNKGKLEQSEYGSVQNVVPDGAVQNVGPKKRRRKDSSNSYIENSKELVPGSMPVKVPKRNALEIGKNIASSDLSSYSEYHSEGNKPLTNKSNSPRRMQKVNASDIATGAEYASHPKIPSKGVSLPSSEIKDLNKHKTAVPQAVDFARKSTTNATNPYPAYLGKDAAVQLDLQLKKSSDVVKPDLPKKMRRKEKYGVNQFPGLTTADNVYSTQITHLAANRRIEGSGIKAKGTRLERAIRDLENIVGEYKPHTLDVPYIDPNCQGAVKRRLPQEIKQKLAKVARLSANQGKISEDELMNRLMGIVGHLVQRRTLKRNMKEMVESGMCAKQEKADKFQQVKTEIYEMVKARLDTKPKVTEQRDDSAHDFQGGVSVDDKTALKGKFVLDAPLEDRICDLYDLYVEGMDEDKGPQSRKLYVELADLWPQGYMDKVEIRNAISRSKERRNLLYRQRKVRNEERMKRRRIAAAAKSRDGNPVVAQYATAQQVMQPPMKDASPSMTSTHTVYPVVNYGHTQVCRNADRVGEVTVGAVSDGNRSSSADIKRRKLGFDPTVDLQLQDNPPKAPPRYVSEKQKPARRVDDAKVGSSSSLPQTVLAIAGYDPQRPGYS >SECCE2Rv1G0101920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:571563118:571566809:-1 gene:SECCE2Rv1G0101920 transcript:SECCE2Rv1G0101920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMGQKRRPLVVMASSSTTAAQSASRGGANPLAELTDRFRSLEVGVREWMAKQPTHIEAAVTTAFGAVQGGALGGLMGTFAPEGGAGLPVPQPPPGLDPKAMATFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRVRGVEDVQGSMAAAFGSGALFSIVSGMGTPNPVVNAITTGMAFAVFQGGFFIVGQKFSKTKTHNEDMNYSRGRNMLSQLGLQNYEKNFKKGLLTDETLPLLNESALRDVNIPPGPRLVILEHIKREPGLTKSN >SECCE7Rv1G0469880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:113969314:113971760:-1 gene:SECCE7Rv1G0469880 transcript:SECCE7Rv1G0469880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTQCVCPYYDVPEQLETPLLEPRNTNTSSSSTQPEVLTRDILPVSRQLSPPIFARNPSGWHLQFFIRIDVEGSFHTYPSLGGPFQSLQEAENSITKYLDELRSPMMCTDGLSDAEIGILHDLYWPDGTRQNSSEGNADHRNISLLVQALLDKYNEDHHLLGDFAYELDNVVIFREFFEGEKVVRFYHINLATKTKGEDGLHSGVNNLFFAEVRQIKGENKKYVYVLSCLCMVKPTDNGQCYGCLSYGNVDLKHPVDTDKYKGGHTAPRSPCCGFDLRCDVISGLDVPACIVDEEARLMEEEAMLRYIYKCPAPVKLDGARVGAGLAKGENAGLTKGECGQGSAKYIVPARRRLLV >SECCE3Rv1G0181360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:611535440:611536438:-1 gene:SECCE3Rv1G0181360 transcript:SECCE3Rv1G0181360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETPHRRTGFGAGVRLKQKLAQLLVRSSCITNATKTTTTPATAFVSLDKANANPHQEPRHSPDLCTHCTYQRPNLVEGSRHRHRSASVVHASVDYPGGVSGRLSVHSIVPLLPPSVQTNDVKKSRGARSRSVSRRHPSYSSCRRLRRPRTNSVPYSCPSSTATDDESGEDAETTTLFSSLSFSSDSTSEFYHTNSSNASRKSHRNGPRRAQRRALPSARDPPDAFQPRVNLATKHHHCKNSNREEDANAIKKMVVEEAGAIGVGMAVVKRSSNPYADFRSSMVEMVVEQRIASVGKMEELLESYLSLNSPEHHPAIIAAFEDVWEAVFGDA >SECCE3Rv1G0200890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:858456132:858457610:1 gene:SECCE3Rv1G0200890 transcript:SECCE3Rv1G0200890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAADYNMSVGYSPNGIVVPPWLNKGDNAWQMIAATLVGLQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWGYNMSFGHQLLPFWGKARPALGQKFLLMEAVLPASTHFFKDRTIETPWINPNYPMASMVYFQCVFAAITLILLAGSLLGRMNIRAWMIFVPLWLTFSYTIGAFSLWGGGFLFQWGVMDYSGGYVIHLSSGIAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANIDASIAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWFTMMIVHKRSKLLQHVDDTLGVFHTHAVAGFLGGATTGLFAEPTLCSMFVPVTNSRGAFYGGNGGMQFLKQVAGALFIIGWNVVVTSIICLVVRLIVPLRMPEEELAIGDDAVHGEEAYALWGDGEKYDSSKHGWYSDNETNLQARNKAPSGVTQNV >SECCE3Rv1G0198900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:837176661:837180671:-1 gene:SECCE3Rv1G0198900 transcript:SECCE3Rv1G0198900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKFKPVEECSSEGRSEQTVAADFDGTLLRSSNAFPYYLLVALEAGSVLRAVALLLSVPFVYVTYIFFSESLAISTLVYISVAGLKVRSIEMAARSVLPKFYAQDVHPESWRVFRSFGKRYIITASPRIMVEPFAREFLGADRVVGTELEVGKSGKATGFMVKPGVLVGEHKKQAVVKELGDAVPDVGMGDRATDFNFMSICKEAYLVTSRKYSPVPKNQLLTPLILHDGRLVQRPTPLVALVTFLWMPFGFALALLRVYINLPLPERIVFYIYHMMGIRLIVKGNPPPPPKKGSPGVLFVCNHRTVLDPIEVAVALGRKVSCVTYSISKFSELISPIKAVALTRERDKDAENIRRLLEEGDLVICPEGTTCREPYLLRFSALFAELTDRIVPVAINTREHMFHGSTVRGFKLMDPYFFFMNPRPVYEVTFLNMLPKELTCGGGKSPIEVANYIQKTLSDQLGFECTTITRKEKYGILAGTDGRVPSKNKDKEKN >SECCE1Rv1G0030910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:436748917:436753057:-1 gene:SECCE1Rv1G0030910 transcript:SECCE1Rv1G0030910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGGEGARSLDQTPTWAVVAVCAVIVAVSILLEGILHHLGQWFSKRKKKALFDALEKVKSELMTLGFISLLLTVTARYISRICIPAGAADTMLPCKLSRSSEHGEGHGRRHLSEEPANFSCPKGMVSLVSTDGLHQLHIFVFFLAVFHVTFSAITMSLGRAKTRIWKEWEKDTSTITYEFSADPSKFRLTHQTSFVRQHASSWSKSAIMLYVVSFFRQFFRSVRRTDYLTLRHGFIAAHLSPGTRFNFRKYIKRSLEDDFKTVVGISPPLWASALAVMLFNVHGWHNLFWFSTIPLVVILAVGTKLQAIIARMAVEITEKHTVIQGMPVVKLSDEHFWFGRPHMVLHLIHFALFQNAFEITYFFWIWYEFGLRSCFHDNFEFIIARVCIGGVVQFVCSYITLPLHALVSQMGSSMKRTIFDEQTSKALKKWHKTVKKKQQQQQQQKGSSHASSETPTTDTPEAGRLSQCQFVAEAAPVANRHLHRYKTIAHVGATRALSDSDCSDTDAEAQTRYLIPPTKQRSLDSEVRVDVDAATPDHRGSFSFQRLPPAQNAMEK >SECCE6Rv1G0403750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:509681244:509685417:-1 gene:SECCE6Rv1G0403750 transcript:SECCE6Rv1G0403750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDYGKRQGGVVAIECVAGGSRAEEWGTGCTETVQTGDVVEELLIGVGGRGGPAVHAAPFKGGRAALQKLLHAAYKRGDTSVEVRVRRPAHAQQQLVPGEVDSSGELLGPGSDAAAARMQACIVPQETAGGGGGMAMVGRSQKYVLRSIRDPNYAVGLVDRSESECVAFRGSRSSRVVCALSKAQLQDGYVSYPWEKKMKEVLPVASSSSFLSLLVLPTALDRANSRYNSVDDTLARANAWFLSSQAAGVPIAFLNVQTEALLTKISGDMASATVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRLWFTAAAGEMPVEITLEEDDTRLGFAISRTEEGFLYISSVTEDDGDRPAPSTRSGLRDLYREAKRASKLLVISRVSCRKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALRPILLHVLMWDLGTDAPNRPQGAPPCPTPQPSPAFAELLRQNSFSWVDQPPQAEGEPGTVQGRDTAGDASFRFHNFSLPNNWV >SECCE1Rv1G0052250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:660214171:660218917:-1 gene:SECCE1Rv1G0052250 transcript:SECCE1Rv1G0052250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDDNALITLKKGSKLIKYSRKGKPKIREFRLSSDETALVWYSHSKEKCLRLSSVSKIIPGQRTAVFRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQTEVEVWFSTLETLITSCRVNFPTDCQSDRISFSDEVSQYQDAYDTRLELASSINRSYYSAGYGAAYSLNSSRADVRSDRANMLRVSTGDSSRISISSSVPSSSSQGSGPDDIESLGDVYVWGEVWTDVLPPEGSSNFLCSKTDVLIPKPLESDVVLDVQQISCGSRHIALTTRQGEVFTWGEELGGRLGHGTDEDISRPKLVESLAVSNVEYIACGEFHTCAVTASGDLYNWGDGSYNAGLLGHGVGASHWLPKRVSGPLEGLQVLSVACGSWHSALAMSSGKVFTFGDGTFGALGHGNRDSVAYPKEVESLSGFRTMKVACGVWHSAAIVETNSQTGMNVVSRKLFTWGDGDKNRLGHGDKEARLVPTVVQALVDNNFHQVACGHSMTVALATSGHVFTMGSSSNGQLGNPKADGKLPCQVQDKLNSELVEEISCGSNHVAVLTSRSEVYTWGMGANGRLGHGGIEDKKKPTIVDALKDRHVKSIACGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKAADSGTSSPYNNKKSVMTRRSVDIKDKSERPDIRPSRLATAATAEPVKYADAKSVRSETKPDPVSNARAPQGPATALSVPTTFVKPMGMGGMGGMGGMGGMGGMGGMGGMGGMGGMGMGMGMGVNMAPMGMGMPMVSQSHKKPSPSPAAASPLSVKSDTKDIDNLKKTNETLNQDISKLQTQVNKLKQKCEVQDEQLQKTERRAKSAASLAAEESTRRNSMLDFIRFLDTELKGIVDKVPAEFSDSIKDLQSQSEKYLTGQCSHPTEAISGNEQPRLPIGGMHEITHHARSASMGNLDGSSLTSESPCHRIMDSNGRAPGDFAPKYGTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRENQERVFRKYNHAPS >SECCE5Rv1G0355090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:728960449:728961435:-1 gene:SECCE5Rv1G0355090 transcript:SECCE5Rv1G0355090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRAPPSLATAATAILAVLAAALVTTGARAQMCGAQANGAKCANNLCCSRFGFCGSTADYCCAGCQSQCSGCSPPASPGGQGVASILSRDLFERLLLHRNDAACPASGFYTYDAFLAAAAAFPAFAGTALSTNTRKREVAAFLGQTSHETTGGWPTAPDGPYSWGYCFKRERDPQSNYCEPREEWPCAPNKQYYGRGPIQLSYNYNYGPAGRAIGVDLLNQPELVETDPVVSFKTALWFWMTPQGNKPSSHAVITGQWVPTLADLAGLRLPGYGVITNIINGGLECGIGPDPRVADRIGFYKRYCDFLGVGYGSNLDCDSQTPFNR >SECCE6Rv1G0452620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:878653661:878655966:1 gene:SECCE6Rv1G0452620 transcript:SECCE6Rv1G0452620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFVARRRKPELVLPAQATPHETLALSDVDDDIDLRFLQPAIEFFRAVDHGPGRPATAAKVVKAALADALVHYYPLAGRLREAAGGKLAVECTGEGVVFVEAEVDVSMDDLGKPSPLPPYPCVKELLCEVFDPRVVIGVPLFFMQVTQLRCGGFVIGVHICHNLADGYGTTQFLKCIADLARTGGDASQIVSPVWNREILTARIPPHINPEFVRFLQRLGSNGDDVMLSTPPEEIVVRFFLFGPEDIAALRGHHAPAHLSPPATSFELLTAVMWRCRTVALGYEHHQRVGLMFSMNVRWGGKCHGLVPHGFYGNALFYPVADTTAGELSGNPLGYTLGLIREAKRNMTNDNMESMVDFMASLRGRPPLTIDKMYEVSDMKWIGQEGLDFQWAKRVGGGLPMVGDISFDSVSCHMRCRNGKGQDVIVINMILPGPAMDKFEKEIAVWVCNGQDEK >SECCE4Rv1G0261160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700328709:700329956:-1 gene:SECCE4Rv1G0261160 transcript:SECCE4Rv1G0261160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVWQCLAALALFSSAAYGYGYGYGSGSGSGHGNGYGNGNNNGYGNGQLDPKFYEKSCPPLGFIVRMSMMKAVRAEPRMGASLLRLFFHDCFVQGCDGSILLDDVPATNFTGEKTAFPNVNSVRGFEVIDDIKRTVEYVCPGVVSCADILALAAREGTVLLGGPGWAVPLGRRDSTTASLDGANSDLPGPALNLTELIQSFANKSLSPRDLTALSGAHTIGFSQCVFFRDHIYNGTNIDPAFAADLRRSCPAPTGSGDTNLAPLDAQTRFVFDNAYYPNLVARRGLLHSDQELFNGASQDALVRQYSADSALFFADFVAAMIKMGNISPLTGSAGEIRRSCRVVNSS >SECCE5Rv1G0302980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:43408894:43409317:1 gene:SECCE5Rv1G0302980 transcript:SECCE5Rv1G0302980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVPKLKVAGLCLLLLIMPLLLLPGEHGGTCRELSKTYTSPNCETDPCAEHCQVEGFHGGECMEHDCDPYKIVCFCNTNC >SECCE1Rv1G0049460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:640828952:640830373:-1 gene:SECCE1Rv1G0049460 transcript:SECCE1Rv1G0049460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVVLLPVWGVGHLMSMLDAGKRLLARSGGALSLTVLVMQAPTESYRSEVAGHIQREEASGLAIRFQHLPAVEPPTDHVGVEEFVSRFVQLHAAHVKAAVSGLTCPVAALVLDFFGTTMLDVSRELAVPAYVYFTASAAMYALFLRLPALQEEVAVEFEEMEGMVDVPGLPPVPPSSLPSPLMDKKHPNYAWFLYHGRRFTEAKGVIINTAAELEASVLAAIADGRCTRGVPAPTVYPVGPVLSLNPPAERPHECVVWLDAQPPASVVLLCFGSGGFSAAPQAHEIARGLERSGHRFLWVLRGPPAGGGRHPSDAVLEELLPEGFLERTKGKGMVWPTRAPQKEILAHAAVGGFVTHGGWNSTLEALWFGVPMVPWPRYAEQHLNAFTLVDYMGVALAMEVDRKRSNWVEASELERAVRALMDGGSDEGKRVREKAMAMKNACRKAVAEGGSSYLALGRLSEEMRNGANK >SECCE5Rv1G0309590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:156812148:156812672:1 gene:SECCE5Rv1G0309590 transcript:SECCE5Rv1G0309590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFGGWILKSSPIPITKKPDLNDPVLRAKLAKGMGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFSVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTVFLIGTVVALWLGIGATLPIDKSLTLGLF >SECCE7Rv1G0454920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:4830972:4833095:-1 gene:SECCE7Rv1G0454920 transcript:SECCE7Rv1G0454920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASAFLFHAPPRGHHHHHKPKPASRPATTLCSAAPSSTPSLADQLEPLSRTLLTDKPTPAADRAQRPTPEPTWVNPSRPRPTVLSLRRQRRRPAASHPSSAPLQPLLRDLRALPEDADLAPTLSAFFPLPGSPPTSSDALLLLNYLHPSWRKTLSLLSYLRGLPADAGFAVDTILFNVALKSLRAARRWDEAERLALDMVASGVPLDNITYSTLITAARRCRQFAKAVEWFERMYSPASGVLPDEVTYSAVLDVYAQLRMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYDGIQFVFKEMREVGIKPNIFVYNALLEALGKTGKPGLARNLFEEMAAEGVEPNARTLTAVAKIYGRARWGRDALQLWHQMRDKKIPADSILCNTLLSMCADVGLVTEAEQLFEEMKDPDLTDVPQPDKWSYTAMINIYGSIKDADRALQLFVEMLQSGIEANIMSYTIVIQCLGKANRIRDAVEVLEAGLERGLKPDDRLCGCLLSVVALSSGEETELVLGCLEKFNGNLVKLIRMLGDDQVGADELKEQLKAVLNAAETEVRRPYCNCLIDICQNHGLPARRPRELFRLAQGYGLYSKLHIRKDDEWLLDLRSLSVGAAKTAFDDWMAALSERATQGQPLPQSFNVFTGSSTHKFAQGLASAFAAHLEEAAAPFLPSESQVGSFISSRDELVPWLQTSRSSSPVVAG >SECCE6Rv1G0441170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:809534984:809536038:-1 gene:SECCE6Rv1G0441170 transcript:SECCE6Rv1G0441170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTPADPHPAFLADKGARVFVAGHRGLVGSAILRRLLALGFTDVVVRTHAELDLTRQAAVEAFFAAERPRYVVLAAAKVGGIHANSTFPADFIAANLQIQTNVVDAALRCGSVRKLIFLGSSCIYPKFAPQPIPEGSLLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGLDAVSAMPTNLYGPQDNFHPENSHVLPALIRRFHEAKATNAPEVVVWGSGSPLREFLHVDDLADAVIFLMDQYSGLEHVNVGSGTEVTIKELAELVKEVVGFQGKLVWDSTKPDGTPRKLMDSSKIHGMGWKPKVPLKEGLVETYKWYVENVIAGKK >SECCEUnv1G0533170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:27034716:27036318:1 gene:SECCEUnv1G0533170 transcript:SECCEUnv1G0533170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLVASPARRALLLSSFLCALCLLPRHAAAGAATARGYFTVSTASFAASGATCDEPGPVIAPRQRNGTLAVLRLAHRHGPCGPSKASAVEAGPSFAEVQRADQRRVEYIQRRVSGGGARGAKGGLRQRQQQLAAGSRSATVPTTMGVGTFQYVVTVSLGTPGVSQTVEVDTGSDVSWVQCKPCSPPACYSQRDQLFDPAKSSTYTAVPCAADACSDLGIYAAGCSGSQCGYVVTYGDGSNTTGVYGSDRLALTSGNTVDTFLFGCGHAQAGLFAGTDGLLGLGRQAMSFKSQAAGAYGGVFSYCLPSKQTSAGYLTLGGPSSASGFATTGLLTAWAAPMFYMVMLTGISVGGQQVAVPASAFAGGMVVDTGTVITRLPPTAYAALRSAFRGAIAPYGYPSAPANGILDTCYDFSRYGVVTLPTVALMFSGGATLALEAPGILSSGCLAFAPNGGDGDAAILGNVQQRSFAVRFDGSTVGFMPGAC >SECCE4Rv1G0260770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:698671324:698673816:1 gene:SECCE4Rv1G0260770 transcript:SECCE4Rv1G0260770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMAATRAAYIFSTSISLLLLLIPVALSKDHHTHGTSYLKRGSSVFIEDSTTTTTTTAAAANANTTTILASPNGLFACGFYKVATNAFVFSIWFNGSSAKTIAWTANRDAPVNGRGSRLTFRKDGSMALLDYDGTAVWSTNTTATRASRAELLDSGSLVVLDPDGRSLWTSFDSPTDTLLPSQPMTRNIKLVSASARGLLYSGFYTLYFDSDNELRLIYNGPDISSIYWPAPFNQPWVNERTTYNSSRYAVLEQTGKFVASDNFTFVASDLGDQVMRRLTLDYDGNLRLYSLDATSGDWSVSWMAFRRVCDIHGLCGKNSICKYRPKLECSCLEGFEVVDASDWSKGCTPNVNKTANWDNRRRHKANSTATQDFSFKKVAQTDFYGYDSNYVQRVSILECRRMCLDNADCKAFAYRQGEGTCYTKVYLFNGQSFAYPPNNIYLKVPRRLLSSPESTSTLAHTCRVHEKEADTSSQMFQDGSSFKFGYFLSSALTLLFIEVILIIAGCWVVHKWERRPEIIDEGYTIISSQFRIFSYKELQKATDYFQKELGSGGSGAVYKGVLDDERKVAVKKLNDVIQGEQEFRSELSVIGRIYHMNLVRIWGFCVEKKCKLLVSEFIENGSLATVLFDYQSLSPVLQWGQRYNIAVGVAKGLAYLHHECLEWIVHCDVKPENILLDKDFEPKIADFGLVKLLQRGSGAQMLSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGIRVSSWMVEGKEEVEMAVRCSTEILKEKLAGEDQSWILDFVDYRLDGEFNHSEALMMLKIAVSCVEEERSRRPSMSQVVETLLSLLE >SECCE3Rv1G0152030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:43857919:43858266:-1 gene:SECCE3Rv1G0152030 transcript:SECCE3Rv1G0152030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAAIKLVLVALLAALLLGASDAAISCGEVNSALGPCISYARGSGASPSAACCSGVKRLAGSVRTSDDKKAVCLCIKRAAGGLNPGKAADILTKCRVSVPYKISSNVNCNNLH >SECCE2Rv1G0102640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:580443854:580444354:-1 gene:SECCE2Rv1G0102640 transcript:SECCE2Rv1G0102640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISHSHSQPLLDHRTEEHNHGGLLSEPQGSSSDGSSSLPSGGKLRTKSCEDMLSRIRRGGIDETKDYFKRRETDPAYVSFEDMMASKEFREGNSRRPEAEIRHPLVRTASRLYTSTAHPRQRRQSPGPLGTRRGGIMYRFVKKYVCPCLDLVGRAFGCMPGMTAH >SECCE5Rv1G0366160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:811552660:811556506:-1 gene:SECCE5Rv1G0366160 transcript:SECCE5Rv1G0366160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERTGMAKLWAFSLVVSVLAAPAPAVPAVPITLITSAVDKGAVCMDGTPPAYHMDPGSGAGKKSWIVNLEGGGWCESVMACMLRKGSRLGSSNLMERQLEFRGILSPNPAENPDFYSWNRVMVRYCDGASFAGEGYDAGSRVYFRGQRIWNAVIQHLLSIGMSSADQVLLAGGSAGGLSAILHCDQFGAFFAGRSTTVKCLADAGLFVDAVDVAGGRTLRSYFGGVVATHGVAQTLPRSCTGHLDATSCFFPQNIIGSIKTPTFLLNAAYDTWQIHESLAPDVADHGGAWRACKSNRLACNASQMRVLQAFRDQMVGIVQGAFSGSKGNGFFINSCFTHGQSKVPATWNAYGSPTIHNKSIAKSVGDWYFGRAEVRAIDCPYPCDHTCHNDM >SECCE1Rv1G0045730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:611533367:611534320:1 gene:SECCE1Rv1G0045730 transcript:SECCE1Rv1G0045730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSTCSAADERVYCPECNRATEVVLDHGTGDTICTECALVLDAHYIDVVSEWRNFADDGGGEDRDPSRVGASGDPFLAAKLSTVIDCTSKPKRSSTNGVAANVPPRLSVPDAEAASDQTLVDGFRGIADMADRLGLVATIRDLAKETFKKLDEAKGCPRGRKRDSVYAACLYIACRNLGMPRTYKELASVTAGGVAAKKDVGKMTTHIKKLLGEEDGQVMDIGVVSASDYLRRFCSRLGLGNQEVRDAQEAVRRVEEGLDVRRNPESVAAAIIYMVVQRAGASRSAKDVSVATGVAEGTIKEAHKDLAPHAQMLFG >SECCE7Rv1G0505070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:729742966:729746795:1 gene:SECCE7Rv1G0505070 transcript:SECCE7Rv1G0505070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIVPLLLLCMAAAMEGAAALHLCVDRLYDSTQGKHDDGLPHLNPTEEATWMALLPRKLGAKAEFDWLALYRSLTRHAGGDHPPAEFLSQASLHDVRLDAGSMYWQGQQTNLEYLLYLDPDRLTWSFRKQAGLPTVGEPYGGWEAPDGQLRGHFVGHYLSASAHMWASTHNDTLREKMARVVDILDDCQRNMGTGYLSAYPDEAFDMYEELSEAWSPYYTIHKIMQGLLDQYTLASNRKGLDMVVWMTDYFSERVKNLIQTHSIQRHWEAMNEETGGLNDVMYQLYTLTKEQKHLTMAHLFDKPCFLGPLSLHDDDIAGLHVNTHIPVLIGAQKRYEVVGDHIYKDIATFFFDVVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCTTYNLLKVSRNLFRWTKEVKYADHYERLLINGIMGNQRGTQPGVMIYFLPMGPGRSKSISGGPPSGLPPKNPGGWGGPNDTFWCCYGTGIESFSKLGDTIYFLEEGEVPGLYIAQHIPSTFDWKAAGLTVVQQANPLFSTDPYFEVTISISAKGDAQPAKVSVRIPSWTSTVGATATLNGKKLNLTSAGDFLTLTKLWGDDTLVLQFPISLRTEAIKDDRPEYASIQAVLFGPHLLAGLTHGNKTVNATEQSNDGLTPGMWEVDARNANSTADWIAALPQAINSQLVTLTQRSGAQTFVLSVSATDGTLTMDAMPVAGSDACVRATIVVDTYIGDSTAAEHGAAAPVLHGPNVTIAPFDRPGMAITNGLEVRLHPGTEALFNAVPGLDGLPGSVSLELGTRPGCFVTAPGGARGYSAGDKAQVGCRTNGGGDDYAAFRKAASFTQAAPLRRYHPLSFVAKGTERTFVLEPLRSLQDEFYTVYFNLVTAAADS >SECCE5Rv1G0359360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:761616187:761617410:1 gene:SECCE5Rv1G0359360 transcript:SECCE5Rv1G0359360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAGVTVLDGHNQCHCEMSGVYASAGVDAGYHLLIVKGYSRTKEFFPTGENITSGHFVVGGHDWLLEYYPNGLDPSCADYISVYLTLLYDSDVDEEDVQVKFSFSLINQVEKQMPVYIRGTKTYSFSSSVPIWGISKFVRRHTLEQSPDLICDCFTIRCDIIVCKDPKTKADAAAGGALPDIHQHFSNLLDDKLGADVAFEVGGETFAAHRCVLAARSRVFRAQLFGPMKEGASTSSIIQIEDMEAKVFRALLSFVYTDSFPEMYKDVMEENHMVDFEEKEQADEDVDDEMSEAVEPGQEEHEMGLQWLQDLLVAADRYDLQRLKFICEKRLSERIGVSSVASTLALAEKHHCGVLKLACLEFIQVQSPARLQRVMATSGWEHIITTYPSVLNEFIAKLIASRRK >SECCE3Rv1G0148080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:17695645:17697366:1 gene:SECCE3Rv1G0148080 transcript:SECCE3Rv1G0148080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSSSKRKPETQREDESSTKRLNVTVGLESLDCPICSEPLRPPIFQCSVGLFICKDCCADRLNNKCHLCSVETSFERCFGMEHVVQSVTVGCSNEKYGCVEKVTYYKKEEHKKACPYAPCFCPELGCGFAGPTKVLLDHFTTQHRCPSTTLPHSGMLSLCLQPGFNVVKCTGNNYFFLLNMASEPYGHAISVVCVQPNMTESKFTCNMSYDCITTGCCGSTSCHIRSSSLSDGLPTVYDLILPKGKVSDDANGIMLRATINHQTLSHSRSCFRGKGPTSALQQRPDTCDEDDDDDIPKSRRRSHPAKEEEEDENDEDNEEEEEEEDGRPLSVRKSHLAEEEDERPLSLRRSHPAVQLSPNTYHDDGQVEEDEEDARPVSVSRTRVRGTAPARVVQQSDTDDFPIASRSTRLQGTPPAPAVQGRHYFDTSDEDDSP >SECCE3Rv1G0198870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:836851544:836851945:-1 gene:SECCE3Rv1G0198870 transcript:SECCE3Rv1G0198870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSECTRISPETTTSANPMFSRRPSSSADRRERDVRPGQARGGCRLLRTARWTAARFYRRARVSVVTAFWSAPSRRGSSGSSAASRSPECTPARNSSRRQSGPVVVADDSHKSEAVEECIRFMNSSSRKYR >SECCE5Rv1G0354280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722843431:722844580:-1 gene:SECCE5Rv1G0354280 transcript:SECCE5Rv1G0354280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTKAATLVAVLAVAVLGLATQGQAQLQNGFYTGKCRGNDVEAVVRGIVKARFAQDSAIVAHLLRLLFHECGVNGCDGGLLIDGPGTEKTAKPNLSVKGYDLITTIKMELEKRCPGVVSCSDIEVLATRDAVNASTGQGYAVRTGRRDRRRSVATDVNLPGQDFTVPQAAAFFRTLGLNSDDMVVLLGAHTVGVTHCSMIKKSRLYSYGGKAGATDPSMDPELASTYKTYVCPNTASSDNNIVFLDDRSSASKLDNSFYKMLQRRRGALMVDQNLYSDGSTRWMVDRLANTDHFRWLFPQALAKLGEVNVLTGTQGEVRSVCTKFN >SECCE6Rv1G0425470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:707546665:707549869:-1 gene:SECCE6Rv1G0425470 transcript:SECCE6Rv1G0425470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MKILVAVKRVVDYAVKVRVRADRTAVDTASVKMSMNPFCEIAVEEALRLREAGAASEVVAATVGPAQAADTLRTALAMGADRAIHVLHDPDPARPLLPLAVAKILRALALQESPGLLILGKQAIDDDCNQTGQMLAALLNWPQGTFASKVIIDKDKQKATVEREVDGGTETICLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPADLDVDVRSDMEVLRVDEPPKRKAGVILSSVDELLDKLKNEARVL >SECCE3Rv1G0149860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:29458183:29463548:-1 gene:SECCE3Rv1G0149860 transcript:SECCE3Rv1G0149860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGLKKLHAKDALDFFNQVMVEQPLLPFLIPLGLFAWFVERWVVPFSNWVPLAAAVWATIQYGRFKRKMAVEDLNKRWKHLILNTTPTTPIEPCEWLNKLLIEVWPNYMEPKLSKKFQSTVERRLKNRKPKLIDKIELQEFSLGSCPPTLGEQGMRWMTSGEQQVMSLGFDWHSKEMSVMFMAKLAKPLMGTARIVINSIHIKGDLLLSPILDGEAVLYSFESTPEVRIGVAFGSGGSQTVPGMELPGVSTWLVKLLTETIAKTMVEPRRLCFSLPPVDLKKQAVGGVLSVTVVSASNLGRKSRSNELGNHQSSSGNTTPGIPLNRKAHTFIEVEVGTLMRKTTTCEGPNPTWNSTFNMVLHGETGVVKFLLYELDSDGVKYNYLTSCEIKVKYVLDGSTIFWAIGHNDGVVARHAEHCGKEVGMVVPFEEDITGELTVSLVLKEWQFSDGSVTLSNSLSNEFQCSIDGSPKLQSRTGRKLRVKVVEGRALAVNSKSGKCDPYVKLQYGKALYRTKTLSRTAQPVWNDKFEFDEIGGGEYLKVKCYNLDTFSDDSIGSARVNLEGLLDGASRDVWVPLEKVDSGEIRLEIEAISNDHNDSLKRSSSKVEAGWIELVIIEARDLVAADLRGTSDPYVRVQYGNKKKRTKVIYKTLAPNWNQTFEFAETGEPLILHVKDHNAVLPTASIGNCTVEYSMLSPNQPADKWIPLQGVRSGEIHVKIARRVANSEKKTSLLTDASALGKGHKISAQMRDSLKKCSGLVDDGGDAEALSLALTEVESVQEEQDLYIQQLEREKALMLQKIHDLGSEILRTSSGPARTSC >SECCE1Rv1G0027020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:377038487:377040540:1 gene:SECCE1Rv1G0027020 transcript:SECCE1Rv1G0027020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDDRPAGGYRHGPPWVFKGSALYQLHLVKAATARAFVPKELRLVEAFGYTLGGMFLARYHDSPAGQFDELVVIAGIVWNPPTSCAWAARVLVNSAEACRHGRKEVGLPSHVAAFSQTEASVLRNKPNNFLNILGMGSGFSKQENYRRVEIKEASGSSSRHLCNISLPLNEASIGSHKRNKWMGPAIKMSLPSFSGQTEDHPDLLKYSCKVECRVRPVSPAKIWSSETAEPQECSDGRSSSSSSTGSDSDAQKQSVLVLLSKPILALEFSSLRMHVDAPKSVAPHPKKKEVRYSST >SECCE3Rv1G0186820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:691323287:691325017:1 gene:SECCE3Rv1G0186820 transcript:SECCE3Rv1G0186820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRRAAQRPDFASHPSDLELISTYLVPWVSTGERPWKFVHEADVYAATPQDLARAYAPATASDGLESWYFFTTLRAKSRRGQRKSRTVGSGDHGCWHSERAAKPLYAGIGHSRQIGYRQAFSFATKDKEDGRLVRSGWLMAEIGLNPDASSEEELVLCKVYRSPRVGTGKRSTAPAATGVGPVRIGRGKASEEDSTSSEEGTPRAAGPGCYSAQQPARVSASTSGTLSMEESDSEQGSTSQHGGVIGTSPSATPPRVPRSEALRAAHLTRIPTVPAAQRPDFASHPSDQVLIKSYLTPRVASGQHPCQFTHDVDVYTASPGALTRKYSPAMASDGEKAWYFFTLLPAKSAHGQRRPRTVGTGEGCWHSEAGVKPVLDGDHPIGWRQFFSFMTKEGQRLRSIRSGWIMVEIGLDHGQEEGPSDELVLCKVYRSPRAGPVELPAAAGRKRKSGDSYSGSAAPVLTLGPAPGARKSTAAATSSSSGHKKRKTHSRNSGPVMRPARGVLKLTRPATSGRKKSTSAACARCGLDPAAEEEDGSETDLDEDDPMTGESGAPHCHRAGESSGRARTFYQFV >SECCEUnv1G0558740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334262716:334263435:1 gene:SECCEUnv1G0558740 transcript:SECCEUnv1G0558740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKINPLGFRLGTTQKHHSFWFAQPKNYSEGLQEDKKIRDCIKNYIQKNRKKGSNRKIESDSSSEVITHNRKMDSGSSSEVITHIEIQKEIDTIHVIIHIGFPNLLKKKGAIEELEKDLQKEINSVNQRFNISIEKVKEPYRQPNILAEYIAFQLKNRVSFRKAMKKAIELTKKADIRGVKVKIAGRLGGKEIARAESIKRGRLPLQTIRAKIDYCCYPIRTIYGVLGVKIWIFVDEE >SECCE6Rv1G0446400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:844084385:844085743:1 gene:SECCE6Rv1G0446400 transcript:SECCE6Rv1G0446400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT5G20040) UniProtKB/TrEMBL;Acc:F4K2Q7] MCCEMRPGFGFGSARRGIWRSWPALCSRQQRFTASLGSTKKLHVAAAAMPPESDTRRKSKVIVISGPTGAGKSRLALEVARRLGGEIISADSVQVYRSLDVGSAKPTASEMSMVPHHLIDIMHACEDYSAGMFFSDARRATQDVLDRGSVPVVAGGTGLYLRWFIYGKPNVPQSSTDIISSVWSELAGFRENGRWEEAVELLLKAGDPNARDLDTNNWARLSRRLEIIRSSGSPASAFTLPYSSFQKQQDTKLTDSPSDDATCEVKELEYDFLCFFLACPRVELYRSIDLRCEEMLADTGGLLSEASWLLDIGLQPNMNSASRAIGYRQTMEYLLHCRQNGGSSSPEEFLEFLTKFQQMSRNFSRRQMTWFRNEKIYQWVDASQPFEEIVQFICDAYNGSGALVLPESLEMKRESCIHTSKDLKTYRSENRVFLGHEECCQVLDWIRRTQGK >SECCE5Rv1G0324220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:444192707:444195495:-1 gene:SECCE5Rv1G0324220 transcript:SECCE5Rv1G0324220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGSTPKGAAAAGGEATKKVRKPKPWKHPQPITIAQLRQMRDEFWDTAPHYGGRKEIWDALRAASEADVALAQAIVESAGVIVSNADLTLCYDERGAKYELPKYVLSEPTNLVQDS >SECCE2Rv1G0107740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:654068615:654070238:-1 gene:SECCE2Rv1G0107740 transcript:SECCE2Rv1G0107740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQAPALLACLLVVVATLLLLKQLLAPSKRHAAPASASPSLPRPRGLPLIGNLHQLGALPHDSLAALAAKHDAPLMLLRLGSVPTLVVSSADAARAVFQHNDRAMSGRPALYAAARFSYGLQNISFAPSEGAFWRAARRACLSELLGAPRVRGFREAREGEAAALVAAVADASGAGAAVDLSELLLAASNKIVRRVAFGGDDGGEGGAEASAVLKETQKLLGAFWVADYVPWLGWLDAPRGLRGRLERNFYQLDAFYESVIDSHLKRRASSSADEEEDLVDVLLRLHADPAHRSTFSSRDQIKGILTDMFIAGTDTSAATVEWTMTELVNHPDILAKAQHEVRSVLGDNGMVREPDLPGLSYLKLVIKESMRLHPAVPLLVPRETTEPCTVHGCEIPAGTRVLVNAKAIGAHAGAWGADVAQFVPERHEHSGDLGDFKPWHDSFALVPFGIGRRSCPGMHFATAVVELVLANLLFSFDWSAPLGKVDAEEENGLTVYRKNPLMLFAKPRRCV >SECCE4Rv1G0221310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:47930609:47932538:1 gene:SECCE4Rv1G0221310 transcript:SECCE4Rv1G0221310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR1 [Source:Projected from Arabidopsis thaliana (AT5G65890) UniProtKB/Swiss-Prot;Acc:Q9FHP1] MKYVSGPYFEPDFDPVLDRLGTPGVVVDNETREDCSLVKVDSVNRDGVLLEMVQLLTDLDLVIYKSYISSDGGWLMDVFHVTDQIGRKLTDPSLPGFIQRALLPFQRSGSGPSPRFTTCLGNVVGPGGPDVSDCASLEFTVHDRPGLLSSITQVLVDQGCHVASGQAWTHNGRAAGVLYVTTTGVGADSAALHPSRWARIERLVNAVVDARENVSGERRWVCMSAPVRGRVHTERRMHQLMHDDRDYESGPAPTPVDEEHFCMGDRAATAARSAHRTETRVTIENWEERGYAIVKMTSRDRPKLLFDTVCALTDMHYVVFHATVGAQGPLAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRASHGVRVEVRAPDRSGLLSDFTRMLREHGLSLLRVEIKRQKEEAIGTFFLVTDTGGEVRPEALRAVRARVAEMGISLDVAKEVFGWPPVRKSRAQAAGHDQESPRYSLGSLLWSHLGKLSNNFGYIRS >SECCE2Rv1G0099420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:519905518:519921956:-1 gene:SECCE2Rv1G0099420 transcript:SECCE2Rv1G0099420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 9 [Source:Projected from Arabidopsis thaliana (AT3G28430) UniProtKB/Swiss-Prot;Acc:Q8W4P9] MRCAPPPAAGAAPWAAQGERSPRVGTASCASELAPHAHHADHRGFAAMWLFWRTRNRFSIEELRYLTDQLQKVHVVYEANKEFVVEALRSIAELMIYGDQNDPLFFEFFMEKQIMGEFARILRISKLSRVSLQLLQTMSIMIQNLRNEHSIYYIFSNEHINFLITYSFDFQIDEMLSYYISFLRAISGKLNKNTISLLVTTKNDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRVPLSDYFSDMVKHFQKQCIDLDKLVVRSARNAEPVLMASIEDAIVQIEDALYYFSDVMSSGIPDLGNFITENILQLLVFRIVLPSLQRQRTDLWISVSTSMYLLCCILHIFKDKDMASTVAAALFHQPDCPDRKQGTPNGCTSEHDHGISENQASNTSVEDQSNADKPTSSSSGHLQCLPDPPSSSDFCQGNTLREHLLSYITGGDGSQALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDTAERQLFSSSSGVADDSICSDFDIYIRKLQSNYGLQCHHPRQLTSKVHRYQVLDALVTLFSRSNISADVRVVGGWLFRQLLPHGEDEFTAFHLKLLKDSHKDCSAKLLEESGGCWCDLLLPIVREAWKNCKKAIEASSPPKGSKSIISPSDPSSFGGDSSIAIAERIYEMVKGFVLQHQVILFCLGETFTDQPPIYSPVDLPVNKRATAAGFDGSVPKPGLEVNLVDAIPCRIAFERGKERHFSFLALSNGTSGWILLLEELPQQRGIVRVTAPLAGSDPRIDEKHVKWLHLNIRPSTVPFLDPEKFKGKTKKYLVDGRWTLAFRDEQSCKAAEAIVIEEMKLQQDAVGEQLKALLELNMPEDGLQHPQLSQETSSDDGS >SECCE1Rv1G0021160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:265271897:265273904:-1 gene:SECCE1Rv1G0021160 transcript:SECCE1Rv1G0021160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVDPMVLGLGTSGGASGSGVVGGGVGREGGGGPVMEGAQPVDLARHPSGIVPVLQNIVSTVNLDCRLDLKQIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEEHSKLAARKYARIVQKLGFPATFKDFKIQNIVASCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLVFVSGKIVLTGAKVRDEIYAAFENIYPVLTEYRKSQQW >SECCE3Rv1G0156980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:78169982:78171145:1 gene:SECCE3Rv1G0156980 transcript:SECCE3Rv1G0156980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVSLPYHAIFDILSRTPVKSVCRFRCVSRAWRDLISSPVFAAAHRSRHGPLLVDAGSFEEEPAGGRDMRLLDMEGNVVRVIKDVGGYGMMCNTSLDGLVCVNGASCGGINVVDPATGELLLTCPQVDVVEHDALPYLVTRYYTIFGFGHAVPSGDYKIVRVIDDDKCEILTVGDDRGWRKAHALPKVDPCSRRGSPVTIGGIMYFLVECNNHSLLCFDLESEQWKPDVLEGPRKFVGVEMWRKTTAIRITELNGSLCMVQPVCGDIYGYNAELDDPVTNIWILDNSDKRTWIKVYTLPMAPTACRYMPLRVMHGGRKLLLHCSLDEGRSLVLQIYDLSTNTCTDIVGAPPNLAGRIGLCSFHLDNPVSAKNLLACFLDQAFLFFL >SECCEUnv1G0535270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:54565454:54571333:1 gene:SECCEUnv1G0535270 transcript:SECCEUnv1G0535270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGQGARRPIIGLLSRAQRQAAPGYTSATFETHLSSNHFAQNGMIRRRFSSEVPASEQMNLIKQLRERTCAPIKDVKASLVTCNWDIEDAQKDLRKRGVISAAKKSSRTAAEGLLAMAQDEKRAVVVELNCETDFVARNDVFQYLASSLAKMALSAQDPGKSVFPFAPAYLENMSINLDHPKLSGETTVQNAVTEVAAMVGENVKLRRGFMLSTTAHGVASSYLHTCPQPGLGRIAGLITLEAEDSSASLDALKRVGSSIAMHIVAAKPLFLSKELVSAAAVENERDVLRTQAESSGKPQMAIERMVEGRLRKYFEDVVLLEQKYVVNDSTDIKTLLKDLSKEVGSKVTIGNFIRMEVGEGIERPEETARREAMACAA >SECCE7Rv1G0492340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:549168455:549169528:-1 gene:SECCE7Rv1G0492340 transcript:SECCE7Rv1G0492340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTESSCTTQTVQGTHRFQICQFSYGNVGDEDYMRSGTFRVGGFDWVIVYCPDADGDDGEEYISVYLELMSKYAEALAFVDLRLINQVTGDACTICAENRVPNQFKSSSFAEASWGREKFISKRALKDSGYIRDNRLVIECVVTVVRELRVSENKASCEIEVPPPNALEHFGKMLKDTSGADVTFKVGGEMFPAHRAVLAARSPVFKTQLSEPMKGNKMRHITVDRMEPVVFEALLHFVYTDSLLTMDDLDRAEKNAVVQQLFAAADQYGLERLKLMCERILCLNLDVDNVAVVLRLADRHDCQKLKEACVDFLVPSERIDAVVASRRYESIKLVSPSPLADLWEKSTRPPKGFV >SECCE2Rv1G0121950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:812351245:812354653:-1 gene:SECCE2Rv1G0121950 transcript:SECCE2Rv1G0121950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRDVSYYDLILMIEEVGFQAIDFLYYAKKNRLGSSYLVHIYDQGLVMKMLSDPEIVKAVHLYVSKEKADDHIAPPSKQIDIAPSNHPNESVLLQDGGVSAEGAGQLTVQGPQRPLRRSKRLNVIQVTDQRDDEDGDCNNGEQFPPSHESQSLVDEEGQVQNQVHKEVRKRKRTSLPIVWNMPKGQRIVVKCNEDSQPIGDEGAILGKFLGTIARNGGFCPLNINDWRHVKKNSGEETILQCVQTKFVYPRSCEKWILKSIGRDWRKFKSSLKDAFFKPAIEKNPNIKRKALYKLCPEDVDNDQWRGLVKYWKSNEGKALAEKNIISRSLVKDSHNAGTKSYACWGEDMRQADPEKKRPHRSKVYLATHKKKDDADAKNKDRNKRLDRLENLITERPELAQNLNGRVAWEGDALQEVLGKEKIGQVHGMGLLPTPKQVYGRTPRYLKNINMTTNDGSPYEVEHDVWEEIAMMKEHIKRQDQIIKDMNNKGGNVNNGIEEENLQSNDNGISRLPVLHGKTKRIQCNEPVEARSSMQHDIPEDNNLSRSHEKVGDHDVNQLQTQQNSSSPQDLDIDSLIQVRETRDLIGESVSRPNQQGTRAEHPHPVRSKRRNTSSIKAASKVVLKTSTYPNKRNVAYGTIRSIDPRTKAGGIELGAEFALVRIDEPILDNEELVREVSDCKTIGEAFTSGYLIAWPSAFIRKKDS >SECCE2Rv1G0099950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:531605450:531607933:1 gene:SECCE2Rv1G0099950 transcript:SECCE2Rv1G0099950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATTPEKMRAVQYDACGGGAASLKHVVLPIPSAKKNEVLLKLQAATINPVDWKIQKGDFRPLLPRRLPFIPVTDVAGVVVDVGPGVKGLTAGDQVVAMLNSFNGGGLAEYAVASANLTVKRPAEVSAAEGAGLPIAAGTALQALRSIGAKFDGTGKPLNVLVTAASGGVGLYAVQLAKLANLHVTATCGARNMDLVKSLGADEVMDYRTPEGASLQSPSGRKYDGVVHCTVGVSWSSFQPLLSDAGRVIDITPNFSAILTSALHKVTFSKKRLVPLLLSPNKADLEFLVGLLKEGKLKTVIDSRFPLSDAGKAWQSSIDGHATGKIVVEMES >SECCE6Rv1G0400490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:453244823:453246118:-1 gene:SECCE6Rv1G0400490 transcript:SECCE6Rv1G0400490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARVLPPMALLLSLVLQLAATAAAVSPPGPSPTFPSDTAALASLKSAVDAATVPSYSCLAGWDFARDPCTAFPCGVHCYTPPNSSHQRVAGVSLDPAGYSGTLPATVFASLPFLETLSLRGNGFHGSLPAGVALPPSLRVLVLSGNSFSGEIPASLFTPASSLDQLDLSRNAFTGGIPPQIASLGALRRMELQHNRLTGSLPSMGKMRSLVHLDVSDNKLSGPLLDAPGRLPPSVLAVEARDNRLSGPLQAAAFHALPEMQVLDLTNNAVTGAVPGAAFEHPALGQLRLGSNQLGTVEEASDGVASSQLLEVELSGNRITGRLPRFLGAIPRLRTVGLDGNRFVGGVPKQYAVRAAAVEVVDGKVPFARLMLQGNYLCGALPSELRQMKEGGAMVSLADNCLLKCPHKFFFCQGPPQKKLATCPKCEP >SECCE4Rv1G0253130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:623123736:623124436:-1 gene:SECCE4Rv1G0253130 transcript:SECCE4Rv1G0253130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVCKAEGAAPASLVKSGAPVAFRALHSPAVTAARRPYNTQISRYDGDGDDYSGRDLVIPSFFSQDMLDPLGRLLSLTETGLSSTAGAGASRLAHWVAKEDDDAVYLKVPMPGLTKEHVEVRADKNILVIKGEGEKQPWDGDDDSKVPRYNRRIETPADAYKMDKIKAEMKNGVLWVTLLKLKEEERKDVFHVMVE >SECCE3Rv1G0171300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:267001862:267006802:-1 gene:SECCE3Rv1G0171300 transcript:SECCE3Rv1G0171300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRKPPGVGRGRGRGDIGTKPGGRGIGRGQDDGKGGGRGRGGIGSKGGNKGGRGRG >SECCE2Rv1G0139470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:927423005:927425759:1 gene:SECCE2Rv1G0139470 transcript:SECCE2Rv1G0139470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMVSLVLAVFVLTSATTAAVTTARRNCSDTCGEVDEIPYPFGLGPSCSLPGFSLTCAAADSTSYPLLGNLSILGFSVDNYFYFYNPIQVLASISYSVKMIPGVRDYSVHWEAPARHFAVSANMSYMYVVGCGVKALLFLGNSTVDEVQSCSVACTEARFMEKLPKGTCDDGIGCCRIEIYDDLLIWAFTLNISRTSDSARSKKVYAFISEDMGPIFRPIDALRSMLPAGPEFNPSATLEWAIPYQPNCKRAMEDRPSYACVAHHSVCVDSPIGGYFCKCSSAGFEGNPYIKDGCTDINECLQPDKYTCNGICHNTFGSYTCTSTDSYSNRPGHHLVLGITIGLSSGGGILLLAVFVAILIRRWNRAIQKRLRKRYFRKNKGILLEQLISSDKSANDGTKIFSLEDLEKATNNFDQARVVGRGGHGTVYKGILIDQRVVAIKKSTLSVISEIEEFINEVSILSQINHRNVVKLHGCCLESEVPLLVYEFISNGTLYDLLHRPSEQNSSNLLMPFSWEERLRIATEIAGALTYLHSSASVSVLHRDVKSMNVLLNDSYTAKVSDFGASRLIPMDQTHLVTAVQGTFGYLDPEYYHTGQLNDKSDVYSFGVILVELLTRRKPIIQNEHGEKQNLSNYFLCAMRERILEETVDAQILGGGRDEGVLCMAHLAEECLRLTREERPTMKDVEMRLQLLTGCRVAPRARQDEEARPAGGDGHGGIAPVVNQHGSRQFSQEREFVSSLRVPR >SECCE7Rv1G0467890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:92433642:92434924:-1 gene:SECCE7Rv1G0467890 transcript:SECCE7Rv1G0467890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPQRRLQSLVDDAIREICLRIPPDDPARLVRASAVCTAWFGIVSDPSFFRDYRAFHRKPPILGHLHNISYYESHAVAQFIPTGAFRPLVCERRDWDAVDSRHGRVLFYTPKEDTDFIVWDPITDNQQVISTDPKIFEMLCTADGITWMAAVLCAKDACDHLDCHDGPFLVAFMGSNEVEKTMFASVYSSETTEWSEMISVDNPNDVYPFATNTCPYAIEEKGHTAVVGKKIYYPVKWSYWTMKIVMYNVGEQELSLINLQGQAPARGLLMGEEDGALLFAAIKESKLSVWSMEAGPNGVVARGQRRDIKLETILTPRALSWMVGETFKDGRCTLVGFANGAGVIFLNTEDGLFTVEVGSGQTKKVHKNCCFETVIPYVSFYSREHARFVMPLQSKS >SECCE2Rv1G0137490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918265716:918280718:-1 gene:SECCE2Rv1G0137490 transcript:SECCE2Rv1G0137490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLGVNPANSCPLTPLGFVERAATVYGDCPSVVYGDTVFTWSQTYRRCLRLASALSALGVSRRDVVSVLLPNVPAMYEAHYGVPMSGAVLNTINTRLDARTVAVLLRHSGSRLVFVDPALLPLLDDALRLLPPEHPAPRVVLVDDPHEEDQFPPASATDLTYERLLEMGDPEFKWVRPTSEWDPMVLNYTSGTTAAPKGVVHCHRGIFLVTVVSLVDWAVQPRPTFLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVDAKNVYAAITDHGVTLLCGAPVVLSMLANTPEGVRKPLQRKVRIMTAGSPPPAAVLHRVSHGYGLTETGGHVVSCAWKGKWNKLPASERARLKARQGVRTPGMAEVDIVDAETGRSVPRDGATMGEIVLRGGCVMLGYLNDSEVTKAAIRDNGWFYTGDVGVMHPDSYLEIRDRSKDVIISGGENISSVEVESMLYGHPAVNEGETPCAFVSLKEGAAGAVTTAEVIAWSRERMAGYMVPKTVVFSTELPKTSTGKVQKYVLRKLAREMGPTRRGSSSSSKM >SECCE7Rv1G0458810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22825242:22825475:-1 gene:SECCE7Rv1G0458810 transcript:SECCE7Rv1G0458810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE4Rv1G0227440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:123013044:123014501:1 gene:SECCE4Rv1G0227440 transcript:SECCE4Rv1G0227440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPNAGRLSDLVRRCAAAKALIAGAKLHAQALVGGHLPQATLETDLVLLYCRCAALPSARKVFDAMRYPSMHAYNILLAASPPLLALELFSGLLDAGFRPDCYAVPAALRACAELQDPLLGAALHGFTVQLGFLSNVVVSSALLDMYAKAGLLVNAVRVFDEMPERDSVVWNCMVTAYARAGMTAETLELFRRAQVEAVSMARDLRAVPSVLNVCGKEGEMMKGREIHGRMVRSLAFDLDVPIGNALIDMYAKCGRVDASQAVFAGMQERNVVSWSTLISCYGVHGKGKEALHVYEEMLSQRVKPNCITFTSVLSSCSHSGLVSDGRMIFESMRKVHGVEPTSEHYACMVDLLGRAGAIEEAIGLIKKMPMEPCATAWGALLAACAMHNNVDVGEIAAYRLFELEKGNVSNYITLCGIYGAVGQSDGVAGLRLRMRELGMVKTPGCSWVDVKGRAHAFYQGSIPSYLRRQMFWILDRLRKDMGN >SECCE2Rv1G0083170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:162771991:162774083:1 gene:SECCE2Rv1G0083170 transcript:SECCE2Rv1G0083170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKISTVVLKVDLECARCYRKMRKVLCKIQDKMNIKTISFDEKSNAVTVSGPFDPDKLCRKLCCEAGRVIKEMHVNGKEQKAESKGKDDGGGEKQKAEKPKDGGKADKDGGKAEKPKDGGGKAEKPKDAPKADKEKPKDAAAAKPEKKVKFDDAPPATDAKPGKAMPPLPAGMSMADLGPLLEKMKQAKQQGGGGPQPPRGEPLGQPMMAPPMAAQGVAVPSIWPAPAGPMSYSYDPTPYGQPAQPSYYGGGCGGGCGGGCQCCRPPVPAGGYYGVPVHDHQGWYHGNRHPYYQQQQQPYCGEDPNAGCSVM >SECCE2Rv1G0099580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:523873564:523875369:-1 gene:SECCE2Rv1G0099580 transcript:SECCE2Rv1G0099580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAGSRSPLAPRRTLPATVVDDTVAAAAILLEKWHPDEDSFGSSLFLDSTPDEVDAFLRAAKDLHRAMLFYASGVATTADALHAGGHGLIHAQDLLDTAMRRLQRELQLLLTSLPTVLRFRQDDDDEDEQDDDQGLMDTCAHLRVVAEAMMAAGYGKECVSIFKARRRAAVTANLQLLHGFSLSPQHAQVHKLSWEQVDAKIQSWLAGARVAFTSVFSAERELCDRVFVANNEAVGDAVFGAIADDHATNILAVAEAAVGRARRAPERLFRVLDVHDALTETILPAIISAFGEKSEVTSRAVTVVTTKVSEAVRSMVASFEAAIEKEPSKGTVPGGAVHPLTRYVMNYLSFLADYENALAHIYFYQQGVGVGAEQFTDTSSLASGSMGSSSDLSSSPALSVWSNPIGWLVHVLLRKLDAKAGSYREPALSYLFLANNTHYVAKKVGGGTKLERILGEEWAEAQRAKARGYVDVYVRAAWGSKVLRGGAVEEAVVQMVAMQEKWVAADEEMGEALRAAAKEAVVPMYRLFYRRQGAVARLTPGDVITMIDGLFGGRNTVAVAVDESVAAAGRRRSSQQQQREVPVEIKNGKTRRQRVTTTD >SECCE3Rv1G0161970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:124441401:124442038:-1 gene:SECCE3Rv1G0161970 transcript:SECCE3Rv1G0161970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEVVGASAEESLTTPLLEPAASPGDPSVEVSLYRRGAGPAKVFRSGLRGPRRDRLDVRGIQAEHGLRALFAFKPGVSRRGLRIRPDPATGHSAVPFRDGAAIALDGEPKVQVSWTKPASMIVAGLLVPAVMALVAFNGVPEKLWSSSVVNAIFLPWILASAVIVFLRLRMRPQAPP >SECCE6Rv1G0427670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720375002:720376285:-1 gene:SECCE6Rv1G0427670 transcript:SECCE6Rv1G0427670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPKDLMLVAVSLCVLACTVAGDGGGRPLVTAVTRDAATSLFTIPVKSGRPLVVDLSGPIVWSTCDDGASHNTLECNDINCMRAHRFHPPNCQHNGYGMPDPHNPYRCKCTAHPHNPVSGDTASGDMTRVTLSANATDGRNPLGPVSFTAVTSCAPDSLLAGLPAGAVGVAGLARSGLAFPAQVARTQGVANSFALCLPSGPGDGVAIFGGGPLFAANGRPLTEMLVGETPLGKHKESPGYYISASKGIAVDGAQVPVDSYARLTIGLSTTIPYAELRRDVYRPLVNAFDKAMERQGGITNGARVPSPAAAPFELCYNSSRLSPTRFGYFVPTVDLMLEGGRNWTVFGINSMAQVNRATACFAFVEMKARDQSGYGGLAPPAVVLGGFQMQENLLVFDEEKQTLGFTSQLTGRGLSCGQFNFTMPA >SECCE5Rv1G0369370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:834804615:834804962:1 gene:SECCE5Rv1G0369370 transcript:SECCE5Rv1G0369370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPFQNWLGMGATIPARIQPGSIDHVPFPTVVSCLGASFFGFTIKPDAAPFRIIIYRPFFPPVPSRMKIVICMFDFGCRCNRIGGSILWQDQSPRQTKTLLQEELCYAPPPISL >SECCE6Rv1G0431850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:748128156:748128593:1 gene:SECCE6Rv1G0431850 transcript:SECCE6Rv1G0431850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYPPVPINTRVAAAADVLPDGTTVRAGWFADYSAYAMGRMPRLWGDDCREFRPERWLDGDRGEFVAADAARYPAFHAGPRVCLGKEMAYVQMKAVAAAVIRRFAVEMVESPASMDAPPPYELGATLRMKGGLRVRIRRREDLF >SECCE1Rv1G0045700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:611413540:611414254:-1 gene:SECCE1Rv1G0045700 transcript:SECCE1Rv1G0045700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHVAKLADEIKKGASSVEGVEVKVWQVPEILNEEVLGKMGAPPKTDVPVISPQELAEADGVLFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGVFFSTGTQGGGQETTPLTAVTQLTHHGMVFVPVGYTFGAKMFDMDKVQGGSPYGAGTFAGDGSRWPSEMELEHAFHQGKYFAGIAKKLKGSSA >SECCE5Rv1G0349850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:687013045:687013410:-1 gene:SECCE5Rv1G0349850 transcript:SECCE5Rv1G0349850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGCTFLEILIAVLLPPLGVFLRYGCCSMEFLICLLLTILGYIPGIIYAVYVLVSHGSASQERDYDALA >SECCE6Rv1G0417460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:658032512:658033822:1 gene:SECCE6Rv1G0417460 transcript:SECCE6Rv1G0417460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,6-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT2G05320) UniProtKB/Swiss-Prot;Acc:Q9FT88] MALHHRARLRSRAAPLLAVVVLAVLALVSLLRASHGGGGGPAPPAAVSAAAARNRNHTAAQRKILLDPSFTPRLPRQGALSLSLAARNALPPRNAGRFPSLPDGHLKIVLYVHNRPRYLRLVVDSLSRVDGIGEALLVVSHDGYFPEMDEIVKGIAFCQVKQIFAPYSPHLFPDSFPGVAPGDCRDKDRAAEKRCRGEPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLEETSDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPKKCPQCYAVNLAPSDVKAKGEGWESMVAEKMGNIGYAFNRTVWRKIHAKAKQFCDFDEYNWDITMWATVYPSFEAPVYSLRGPRRSAAHFGKCGLHQGQDSSNVCVDNGVGGVELDAIDKVPNIKADWPVHIIRKQPGYQAGFKGWGGWGDRRDRELCLSFAYMYHVKDTLSA >SECCE5Rv1G0323630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:438190181:438195291:-1 gene:SECCE5Rv1G0323630 transcript:SECCE5Rv1G0323630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPGGGSGKIERLSSIDAQLRLLVPAKVSEDDKLIEYDALLLDRFLDVLQGLHGDDLREMVQECYEVAAEYETKHDLEKLDELGEMITSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRVKLKKGDFADENSAITESDIEETLKRLVFDMKKSPAEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCAQIEDLMFELSMWRCNDELRSRADELHRSSKKDAKHYIEFWKKVPPNEPYRVILGDVRDNLYNTRERSRELLSSGHSDIPEEATLTNLEQLLEPLELCYRSLCACGDRVIADGTLLDFLRQVSTFGLSLVKLDIRQESDRHTDALDAITSYLGIGSYREWSEERRQEWLLSELNGKRPLFGADLPMTEEVADVMGAFQVIAELPSDNFGAYVISMATSPSDVLAVELLQRECHIKTPLRVVPLFEKLADLEAAPAALARLFSIDWYRERINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEDLVKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMRPPISPKPEWRALLDEMAVVATEEYRSIVFQEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGGAFKHILKKDIRNFHMLQEMYNEWPFFRVTIDLVEMVFAKGNPGIAALYDRLLVSEGLQPLGEKLRANYEETQKLLLQVAGHKDLLEGDPYLKQRLRLRDAYITTMNVCQAYTLKRIRDPDYHVALRPHLSKEVMDTSKPAAELVTLNPASEYAPGLEDTLILTMKGIAAGLQNTG >SECCE5Rv1G0341250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:622573754:622577154:-1 gene:SECCE5Rv1G0341250 transcript:SECCE5Rv1G0341250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRNRGGASAPLALAPPGPGERPPPPSQSSRRPAAAAALEQRLRSNVEREIDEARAMQRAEAGQGSSSPASSRSRFWPRARQAARKVLGISKKPSPRSAAGTPHGQDTVPDASGTSESAAVAAARTGTGDEASSEQQPVEVAPTRSEFAAMMQTALAKIQEGAAADDQAQGQAAFAEMDEVMTALAKIQEDAAADDQTQGQAAFAEMEKAMTGLMDLSHKKTSGPPKLPRDFATKWPHSDGDPLLERVMKDPIILASGYTVDKSCQQWSLAQKNTCPVTGQSLPHSLTAPNHLLHDMIAEWCLDHSNLARSSIGRSLPLVPPAEDEIQDILELFSGHSVRQKEALRMLNLMSKTSKGMQPCLAKWPELTPLLMDLRKQWMNVWSADIEAQRISLIHNLSMHRPNREILAGQNEVPAVLKNVVERAGKLGLPVSLLAMVASIIATLSEFDVFRKRMVTIGGMKMLSGLLKIEDVVLRKETGAAILALCADEEAKLSAAVNDVSDKLLECFMATDEFLLLLDRLPKSPEALDMICDKAVELVNIVMEEDAGGMVTSQGIHSAISLIFIIVERDVGKLKVKNVEDFKERLWELSSKRIPMQTMFKVEEIIKTLSEMFPAPTAQLKNQ >SECCE6Rv1G0418120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:661259963:661264385:1 gene:SECCE6Rv1G0418120 transcript:SECCE6Rv1G0418120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPARLVRVALLLLVALSFCAAGSHRAPREPHRALHSGRDTHGLVVRRSVAEAPADINVTTNSSFVLAEHRTFRKDPLNAFNRYAGGWNISEVHYWASVGYTAYPLFVVALLWFVLFFLLMLGICCHHCCCGHQGYSYSRIAYALSLILLILFTCAAIAGSVVLYVGQGKFHTSTTTTLGFVVDQADFTVENLRNLSDSLSAAKKVDIGQFLLPPNVMGQINEIQAKLNTSATDLSTRTSENSVKIQKLLNRVRLALIIIAAVMLLLAFVGFLLSILGLEFLVNILVVVGWILVTGTFILCGVFLLLHNVVGDTCVSMDEWVAHPTEHTALDDIIPCVEPATANETLTRTKEVTSQLVNLVNQVLSNVSNRNFPPAAAPFYYNQSGPLIPTLCNPFGPNLSNRTCARGEVTLDNATQVFRSFECQTRMVSGTEVCTTVGRLTPRIFGQMAAGLNVSLGLYQYGPFLVQLQDCTFVRDTFTNISQNYCPGLERYSRWVYIGLVLVSAAVMLSLIFWVIYARERRHRAYNKAHRGDKPAPMGGNA >SECCE5Rv1G0327320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:491263437:491267378:-1 gene:SECCE5Rv1G0327320 transcript:SECCE5Rv1G0327320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARVLLLALAVLATAAVAVASSSFADSNPIRPVTDRAASTLESTVLAALGRTRHALRFARFAVRYGKSYESAAEVRRRFRIFSESLEEVRSTNRKGLPYRLGINRFSDMSWEEFQATRLGAAQTCSATLAGNHLMRDAAALPETKDWREDGIVSPVKDQAHCGSCWTFSTTGALEAAYTQATGKNISLSEQQLVDCAGGFNNFGCSGGLPSQAFEYIKYNGGIDTEESYPYKGVNGVCHYKAENAVVQVLDSVNITLNAEDELKNAVGLVRPVSVAFEVIDGFRQYKSGVYTSDHCGTTPDDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMCAIATCASYPVVAA >SECCEUnv1G0554550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:287015423:287016470:1 gene:SECCEUnv1G0554550 transcript:SECCEUnv1G0554550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRLKRCGRKQPVYRIVAIDVRSRREGRDLRKVGFYDPIKNQTCLNVPAILYFLEKGAQPTRTVYDILRKAEFFKEKERTLS >SECCE1Rv1G0012960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:98802631:98814491:-1 gene:SECCE1Rv1G0012960 transcript:SECCE1Rv1G0012960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLVLLALLAAVAASREAHGYVAYNTSAGTVAGLLNVHLVPHSHDDVGWLKTVDQYYVGSNNSIQGACVMNTLDSVVDALARDPGRKFVVAEQAFFQRWWVEKSPKIQAIVHKLVDSGQLEFINGGWCMHDEAATHYIDMIDQTTLGHRVIKKQFNKTPRAGWQIDPFGHSAVQAYLLGTELGFDSVHFARIDYQDRAKRKDDKGLEVIWQGSRTFGSSSQIFTNAFPVHYSPPDGFSFEVLNDMTPVQDDPLLFDTNVEQRVNDFVSAAIAQANVTRTNHIMWTMGDDFNYQYAESWFRNMDRLIHYVNKDGRVHALYSTPSIYTDAKHASNESWPLKQDDYFPYADSTNAYWTGYFTSRPTFKRYVRMLSGYYLAARQIEFLVGGSFTSSLEDALGIAQHHDAVSGTAKQHTTDDYSKRLALGASQVEKGVNTALSCLTSSKGTCMSPAVKFSQCQLLNISYCPSTEEQISGGKGLVITAYNPLGWEHSDFIRVPVNDLHLVVKGSDGSFVDSQLVEVDNVTSNLRKLYVKAYLGINTDKPPKYWLVFQASVPPMGWNTYFVSKPKGAGSNKMGYVSSIASPSKDTVEVGPGSLKMTFSSASGQLTRMFNSITGVDLPIQQSFLWYGSNAGDGADSQASGAYIFRPDGSTPTAVSRSIPLKVIRGPLVDEVHQQFSPWIYQVTRLYKDKEHAEVEYTIGPIPVNDGIGKEVITRLTANMVTNQTFYTDSNGRDFLKRVRNYREDWDLQVTQPVAGNYYPVNLGMYVTDGKYELSVLVDRAVGASSIQDGQIEMMFHRRILHDDGRGVGEPLDETVCVDSKCDGLMARGTYYVNVNKLGHGAHWRRTQGQKVYSPFLLGFAHEDESSWKSYNVVKASMMDANYSLPDNVAIITLQNLDDGTALLRLAHLFQAAEDPQYSVMAKVELKKLFGKRTIKELTETNLSANQKKSAMRKLKWRVVGDTESSPAPITGRPVDSQALVVELGPMEIRTFLLKL >SECCE7Rv1G0510070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:786849723:786855287:-1 gene:SECCE7Rv1G0510070 transcript:SECCE7Rv1G0510070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFRSLLTPVKVWNHTNQARNPEDHRRSTMAAANAFTSASMSCGAGMNGPVNVIAVCPRSVVAARPPSSSCLRPARCAVVGTAAPVTATTEGDGRRFEQLAVPPELVDELVEEALVWCSQHGLVVGDKNHPRSGKAPGVGLLHAPFALLPMSFPKVYWEQSLELAPLFNELVHRVSLDGDFLQQTLARTKEVDPFTRRLLDIHSKMMELNKKEDIQLGLTRSDYMIDGATDKLLQVELNTISTSSNGLACGVSELHRNLIRHHQRELGLDPTSVVGNTAIAQHAEALATAWAEYNNQSAVVLVVVQAEERNGRPVAIVYFRAGYSPADYPSEAEWRARLLIERSSAIKCPSIAHHLVGTKKIQQELAKENVLERFLDNQSDIENVRKCFAGLWSLENDSIVNSAIESPELFVLKPQREGGGNNIYGDNLRETLIRLRKDGSNETAAYILMQRIFPPASPSYLVREGTFVRDNVVSEFGIFGAYLRNKDKVIINDQCGYLLRTKAASLNEGGVVAGYAFLNSIFLT >SECCE1Rv1G0029020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:409058376:409065620:1 gene:SECCE1Rv1G0029020 transcript:SECCE1Rv1G0029020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGTFASPFVMRAKLALSFKGLSFEDVEEDLANKSKLLLESNPAEKKVPVLIHNGKPVCDSRIIHLLPADPYERAVARFWAAYVDDKLLASWLQAARGKTEEEKAEGLKQTLVAVETMEAAFKACSKGKPFFGGDRVGYLDVTLGGLVVWVHAGAALYGMRLFDDAKSPLLAAWVERFGALDAAKAVLPAVDRVVEFAKMRQAQAAAQATAGGN >SECCE5Rv1G0361960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:781933144:781933680:-1 gene:SECCE5Rv1G0361960 transcript:SECCE5Rv1G0361960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGAGAVPKAKKYVVGRKLGGGPRKKAVARSVKAGLQFPVGRIGRFLKKGRYAQRVGMGAPVYLASVLEYLAAELLELAGNAAKDNKKSRINPRHLLLAIRNDQELGRLLAGVTIAHGGVLPNINPVLLPKKTAEKEPKSPKKAPKSPKK >SECCE3Rv1G0177370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:462939395:462939595:-1 gene:SECCE3Rv1G0177370 transcript:SECCE3Rv1G0177370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVAVSALIPEALGKSEERALILGWAYYLYAFSSYPLRTWLPSVYRRHDNWYTRGASFPVLSY >SECCE1Rv1G0014540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:115176582:115177553:1 gene:SECCE1Rv1G0014540 transcript:SECCE1Rv1G0014540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAHGAFLLQLLAASLQVFALAATITVTQTDDPRCRPSLPRRGAIAVYPSDMEQLQFLLNPKFVEAEWFLHAALGRGIDYLDRNLSAGGPAPVGARKASLDFRTTEIAAELGYQEVGHIRAITQANGGFPRPAIDLSADRFAAIMDDAMGARLDPPFDAYNGTVNFLLASYILPHVTAAAAVSISPNLMGYASKRLHASVLAVEAGQDAVIRMLLYQRADETVAPYKGRTVAEFTRRISDWRNGLSGCGAKDEGVKVLDRHQGAERRTISNILGAGVDSLGYQRTPAEALRILYGSRNEQVPGGFLPRGANGTIARGFFQLA >SECCE2Rv1G0075780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:85552642:85556344:-1 gene:SECCE2Rv1G0075780 transcript:SECCE2Rv1G0075780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D4 [Source:Projected from Arabidopsis thaliana (AT4G38190) UniProtKB/Swiss-Prot;Acc:Q9SZL9] MSKAPRNTGGGSAGAPRPSAGQPVKFARRTASGRYLSLSREDIDMEGELGPDYANYTVHIPPTPDNQPMKDGAQPTAVAMKAEEQYVSNSLFTGGFNSVTRAHLMDRVIDSDVTHPQMAGAKAARCAMPACDGKVMRNERGEEIDPCECRFKICRDCYLDAQKDGCICPGCKEHYKIGDYADDDPHDVSSGKSLLARNQNGDFDHNRWLFESSGTYGYGNAFMPKGGMYEDDLDEDGVGGDGGMHDMNQKPFKPLTRKIPMPASIISPYRIFIVIRFFVLIFYLTWRIRNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPINRSTDLAVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTANTILSILAVDYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCKKHDIEPRNPDSYFAIKGDPTKGKRRSDFVKDRRKVKREYDEFKVRINGLPDSIRRRSDAFNAREDMKMLKHLRETGADPSEQPKVKKATWMADGTHWPGTWAVSSPDHAKGNHAGILQVMLRPPSPDPLYGMHDEDQLIDYSDVDTRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFILNFDCDHYINNTQAVREAMCFMMDRGGERICYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGLQGPMYVGTGCMFRRFALYGFDPPRTAEYTGWLYNKKKVTNFKDPESDTQQLKAEDFDAELTAQLVPRRFGNSSAMLASIPIAEFQARPIADHPAVLHGRPPGTLTVPRPPLDPPAVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYWISKRDAFLGTAPINMTDRLHQVLRWATGSVEIFFSRNNAFLASRKLMFLQRVAYLNVGIYPFTSIFLLTYCFIPALSLFSGFFIVQTLNVAFLFYLLTITVTLIALGILEVKWSGIELEDWWRNEQFWLISGISAHLYAVVQGLLKVMAGIEISFTLTAKAAAEDNEDIYADLYVVKWSSLLIPPITIGMLNIIAIAFAFARTIYSDNPRWGKFIGGGFFSFWVLAHLNPFAKGLMGRRGKTPTIIFVWSGLISITISLLWVAISPPEANSTGGARGGGFQFP >SECCE2Rv1G0095990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:430711726:430712984:1 gene:SECCE2Rv1G0095990 transcript:SECCE2Rv1G0095990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAPPPRRVVVCGGGVVGACTAYFLSTHPASPTVPTLVEKYSPACAASGKAGGFLALDWSDSTPALSALARASFGLHRRLAAALDGANAYGFRPVHTLSICLPSQPAEPLSPHPLLPSWVDPSASAAPPRQLGTPDTTAQVHPGLFTKAVLAASGAEVVVGEVERVVVREGRVVGVAVKGRGVVNADAVVLALGPWSGRFEMVKEVFDVSGLKAHSIVLRPRDPDKITPHCLFLSYQPEPGAKMLDPEVYPRPTGEVYICGISKDEEVPDDPAAIAGEPDSIAMLHKIAGRVSSQLKTEEGAEVVAEQACYLPCTNDGLPVIGEMPGVKGCYVATGHSCWGILNAPATGAALAELILDGQTKIVDLAPFSPARFLKKKSRRGV >SECCE4Rv1G0247110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:552881303:552882801:-1 gene:SECCE4Rv1G0247110 transcript:SECCE4Rv1G0247110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSCLLLCLLVLAPHLGSSYRTGSINGGKHVITRSSHESEKPWTCSSDPSGDGNGLAVTHRLSPCSPSAAGLDQSMPSVGDASSRDALRLRGLLDESADSPGLTIPSTGTPLVDLPDASEYHVVVGLGTPAQNITVGFDTATVGATLLQCKPCAAGNPCDKVFNPSHSLSSTLYDVPCGRTWEGCPFTRCSTPGCTFSLTHNGSVVLNGTMEKDTLTVAPSVRVPGFRFLCMEMMSEVPTDGTSGVLDLSRDRYSLASRVALSPDTVAFSYCLPRGAESQGFLSFGTTRPELAGRRVSYATLHSRAPRRNLYFLRLIEVSIGGIDLPIAAQELASDALIEVQTTFTYLKPKVYEYLRDLFRWWMNNYTLAPASGELDTCYDFTGLNTVDIPTITLSFDGGASLELGIEQMMYFSDPHNIFSVACLAFAPAPAYGSGVAVIGSLAQAYTEVVYDLREGKVGFVDNRC >SECCE4Rv1G0220510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:40043866:40044099:-1 gene:SECCE4Rv1G0220510 transcript:SECCE4Rv1G0220510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRIGP >SECCE4Rv1G0228310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:133537174:133539516:-1 gene:SECCE4Rv1G0228310 transcript:SECCE4Rv1G0228310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGFVEFLVSIGKTVWNLFRLDGTTRGGSVELWVVLTTVLLLANFAVGSYGGRVFPRWFMKAASQFFVILNSSSVPYTIGLMEPSIKEGGGGGTLSNFFQVWAVLIVTMQYSISVGRPSKGKDLTLVDLLSSLWSANLLRRSSTELKIRLPLWLIWSLNALRIVRYYLDSIRAADATANNMKLVSDYMASERHTASDASPATMAGYRYLVHGEEEHEEEILRPKNDATATGDMDSVPPPKDLITLDTVWNRRDKDDMLLGKYSDDQYKDVCLSFALYKLLRRRFYDFPMPEATNPLTRKLVFEGILKKDMTNYERAFRITKVELSFLRDFSYRKHAVVFAGGFPIVRVLLSFLMVASLLYTVYAIRDIPSAGTYATADDQLARVTHGVGVTRCIIAIIACRELWEIRIYVWSQWTKVLIICQYIRLLPLPPVEGEALVQQVRQGWITKLRRLMMEKAARFMFRHVGRATWNQKISQYNLISRSVKKVLFETLKDLNPPEDASLGLYLENAFPGPENKDGRTRIESLLGDLKGETHRILVWHVATGLCQIRLLEIETRTLEARTVEAGATQQPNNKEATPQPKAVYRKPSTRFVGGKPEVRPRRRHYITAVSLSNYCAYLVRQALVPDNGLVAKKVFAAVRKEARLELHGLDGPGKVYDRLVAEAKVPNRTADEPSILKLGAQLSEELQSTYKGQEKALWENLAKFWAGYLLYLSANTTAAKHQVHLQGGGGELTTHLWALLSHAGFLGDAAHGHQMLDPEDLGDA >SECCE5Rv1G0314280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:269528580:269534583:1 gene:SECCE5Rv1G0314280 transcript:SECCE5Rv1G0314280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNGQIKNFTLNSGPQHPAAHGVSRSVLEMNGEVVECAEPHIGSLQGIRPVGAHPTRGTKKLIEYKTYLQALPYFDQHAHSSAVERLLNCEVPLRAQYIRVLFCEITQISNHSLASTTHAMDVGASTPFLWAFEEREKLLEFYERVPGARMHASFIRPGGVAQDLPLGLCRDIDSSTQQFASLDIGTVTAQQAKDWGLSGVMLRGLKWWAYPSQPGVCWDSQRAAPYDVHDQSDLDVPVGTRGDRYDRYCIRIKEMRQSVQIIVECPNQMPSGMIKADDRKLCFSVPAPSTYTAVEAPKREFGVFLVSNGSNRPYGCKIRAPGFAHSQGLDSMSKHHMPADVVTIIGTQDIVFGEVDR >SECCE4Rv1G0250430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:593065392:593066456:-1 gene:SECCE4Rv1G0250430 transcript:SECCE4Rv1G0250430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVGAAVALLFFFLAAVPGEAAALPRFAEAPQYRNGEGCPAATAAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESLFFHFLAAAPGDGELRAALAASFPSLRFEIYPFRAEAVAGLISASVRAALEAPLNYARNHLADLLPPCVPRAIYLDSDVLAADDVRRLWETRLPAAAVVAAPEYCHANFCRYFTPAFWSDPALGARVFADRRRPPCYFNTGVMVIDLRRWRAGNYRRRIERWMEIQKEKRIYELGSLPPFLLVFAGEVEAVDLRWNQHGLGGDNVHGSCRPLHDGPVSLMHWSGKGKPWDRLDAGRPCPLDHTWKSYDLYIPGDGSSAASPASGPALSAW >SECCE1Rv1G0023780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:312982824:312984426:1 gene:SECCE1Rv1G0023780 transcript:SECCE1Rv1G0023780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAAAAGQSSSARKSGVPVFVMMPLDTVKTCCGSGLNHRKTMARDLAALRSSGVEGIMVDVWWGVVEGEEPGLYNFEGYMKLVEMARDAKLTVQAVMSFHQCGGNVGDTVNIPLPRWVTEEMDKDQDLAYTDQCGRRSYEYLSLGCDDMPVLDGRTPIQCYTDFMSAFRDHFAAFLGDTIVEVQVGMGPAGELRYPSYPESEGTWKFPGIGAFQCYDKYLLNSLKMAAEAAGNPDWGLGGPTDAGGYNSRPDDTDFFRQDGGGWDSEYGQFFMSWYSRVLIEHGHRVLSGAASVFGHEPGVHLSVKVAGIHWHYGTESHAPELTAGYYSTRRRDGYLPIARMLGRRGAVLNFTCVEMRDQEQPQDARCRPEGLVRRVAAAAREAGVGLAGENALPRYDDAAHDQVVATARGERMVAFTYLRMGTDLFQPDNWRRFASFVTRMSQAG >SECCE1Rv1G0055280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:679014586:679021461:-1 gene:SECCE1Rv1G0055280 transcript:SECCE1Rv1G0055280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEESALPTLWDSLPSIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHVPRKRFTDFAMVRKEIADETDRQTGHGKGISSVPIHLSIFSPNVVNLTLIDLPGLTKVAVEGQPESIVQEIENMVRAFIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRAYRLQFPWIGVVNRSQQDINKSVDMIAARRRERDYFANTPEYKHLAHRMGSEHLAKSLSKHLESVIKSRIPGLQSLITKTVAELETELTRLGKPIANDAGGKLYTIMEICRMFDGIYKEHLDGVRPGGEKIYHVFDNQFPVAIKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDTVHGILKELVHKAINETHELKQFPTLRVEVGNAAFESLERMRDESKKNTLKLVDMETSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGTTVLAYVNMVSSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREIRQLSKLLDEDPAVMERRTNLAKRLELYRSAQAEIDAVAWSK >SECCE5Rv1G0309710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:158546474:158552187:-1 gene:SECCE5Rv1G0309710 transcript:SECCE5Rv1G0309710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKRQLALTGAGAGREQAKRLRVGVANANASAAGWRAPTSPASPGTRLMRRTVLVVVFLLRMTDGITVTESISQIGRMVQRKMEGIQKVQALMMRKLENLEEKVESMSHEVKKLARLHSNRHADKHPRSEPYQEGTTLSEPNANIRLRFLDGLKTPIYTDKIIASESNAAIRIGVFDGDKMINEGPLSKAKVEILVLRGDFCSDGQESWTEEEFNSHIAQGRHRQGSVLGGDCSAWLNNGEASLGKIRFREGSSRTPSRKFVVGGRVCMNGKIGGIRVQEAVMEPVTVLDRRNEANEKRHPPRLDDEVYRLEEISRDGIYHRRLKDVHIFTVEDFLKALNKDADDLSEMVLKIKKRSNAWERMVKHARECCLADRPELKAYHSVEGNVVIFFNCVHDLVGASFSGVYFSRDNFDSAKKAQVYELKECARDQLDNLPFDYVMNGNLPEQVPSNTHPPLDRIILAPDESVQPNKNPFHDRTNHLTEPSHHNEYVHGNQNTVNTQCFQGIPPVCTTFAANSQWDDELARWFSEESVQANIHSQMQAPMPMDGGNAMEASTSVQHNLLPQQFVPTQVQESMNGIHYENPPNHAAGPAGPSTWHDDIIP >SECCE5Rv1G0368470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:829625704:829627287:-1 gene:SECCE5Rv1G0368470 transcript:SECCE5Rv1G0368470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQVHVLSALDGAKTQWYHFTAIIVAGMGFFTDAYDLFCISLVTKLIGRIYYTVPGSPRPGSLPPTVSAVVNGVAFVGTLSGQLFFGWLGDKVGRKSVYGMTLLLMIICSVASGLSFGHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGGVAIGITALFRALFPAPPYAADPAASTPAQADYVWRIVLMLGALPAALTFYWRMKMPETARYTALIAKNAERAAADMSKVLHVEITKEQAGDLETAASIKSHVPPSFGLFSGEFVRRHGLHLVGTASTWLLLDIAYYSQNLFQKDIFSAIGWIPPAATMSTLDELFHIARAQILIALCGTVPGYWFTVAFIDSVGRFKIQLMGFFMMTAFMLGLAGPYDYWTGQGHQAGFVVMYALTFFFANFGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIIGSFGFLFLAQSPDPAKTAHGYQPGIGVRYSLLVLAACSLMGFMLTFLIPEPKGKSLEEMSRETEPDHC >SECCE5Rv1G0352470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:709806257:709809488:-1 gene:SECCE5Rv1G0352470 transcript:SECCE5Rv1G0352470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGGFGGIGDGIFERKNRLKGSVVLMRKNVLDFNDFGATIFDSVSEFLGRGVTCQLISSSVVDPNNGNRGKVSAEASLEQWILSLPQLTAETSTKFNVTFDWEVEKLGVPGAIIVKNNHASEFFLKTITLDNVPGRGSVVFVANSWVYPQSKYRYNRVFFANDTYLPSMMPAALKPYRADELHNLRGDDQQGPYEAHDRVYRYDVYNDLGDSRRVLGGSTEFPYPRRCRTGRKLSQTNPDRESRLLPVVQNIYVPRDELFGHLKKSDFLGYSLKALADGIIPAIRTYVDLSPKEFDSFADILKLYEGGIKLPSIPALEEMRKQFPLQMVKDLIPMGGDYLLKLPKPQIIKQDEKAWMTDDEFARELLAGVNPMNITRVTEFPPKSTLDPSQYGDHTSTITEAQIGNSLEGLTAQQAVASNRLYILDHHDHMMPYLIRLNNLEDTFLYATRTLLFLRGNGTLAPVAIELSTPLLQDGLTTAKSTVYTPAFTGVEAWIWQLAKAYVCVNDYGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLLPHYRDTMNINSRARDLLINAGGIIELTVFQRKYAMEMSSVTYKDWNFTEQALPDDLIKRGMAVRDPSSPNKVRLLLEDYPYAVDGLAIWNAIEQWVAEYLAIYYTNDGVLQGDVELQAWWKEVREVGHGDLKDAAWWPKMQTVAELVKTCTTIIWTGSALHAAVNFGQYPYAGYHPNKPSASRLPMPEPGTKEYDLLAREPEKVFIRTITKQLQVIIGISLLEILSKHSSDEIYLGQRDTPEWTRFGARLMGIESQVVALNGNPQLKNRNWPAKLPYMLLYPNTSDVTGKAEGLTAKGIPNSISI >SECCE3Rv1G0183350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:649219417:649228454:1 gene:SECCE3Rv1G0183350 transcript:SECCE3Rv1G0183350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G46390) UniProtKB/Swiss-Prot;Acc:F4KHG6] MLPLSYAPPLPPPQSRPNPSPSHKLKPQPCVLLFPAALRTAAAAAAISFSLLAGNAAAVAPVEQPPEICRGQDDGREVEVKAEAVTNEQLVEEAWEVVNEGFLPDAGSRPWSPELWMQRKQDILQGSIKSRSRAHDIITKMLASLGDPYTRFLSSSDFSKMSKYDMTGIGLNLREIPDDNGSLRLVVLGLILDGPAHSAGVRQGDELLSVNGINVRGKSAFDVSSMLQGPKETFVTIKVKHGNCGPVESMKVQRQMAARTPIFYRLEKRDNENSSVGYIHIKEFNAVAKKDLVSALKRLQNSGASYFVLDLRDNLGGLVQAGIEIAKLFLNKGDTVIYTTGRDRQVQNTIVADSGPLVTTPVMVLVNNRTASASEIVASALHDNCKAVLVGERTFGKGLIQSVFELHDGSGIVVTVGKYVTPNHKDINGDGIEPDYRRLPDLNEARDYLSRCQRN >SECCE4Rv1G0275340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:793106033:793110329:-1 gene:SECCE4Rv1G0275340 transcript:SECCE4Rv1G0275340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSMLGGAISKAASAAAAEMSLLMGVRKDIWFIKDELATMQAFLVAAEAMKEKDMLLKVWAEQVRDLSYNIEDCLGEFMVHVASQTLSRKLMKLKDRHRIAIQIRDLKSRVEEVSSRNTRYNLIEKNQFTRATEERDSCMEDIRNQSANNIDEAELVGFSRPKQELINLIKVQAINSPAQVVCVVGMGGLGKTTLIRKVYESMKSFSCCAWIIVSQSFIRMEFLKVMIKELFGSEALKKQLEDNVGSEVNLANYLREKLLEKSGKAWCAAR >SECCE3Rv1G0164770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:166008091:166009682:1 gene:SECCE3Rv1G0164770 transcript:SECCE3Rv1G0164770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRAKLLLTMVMLQALWDPAAPACSIDAIYSFGDSIADTGNLLREGPVGFFSSIGSYPYGQTYRKPTGRCSDGLLIIDYFAMALDLPLVNPYLDKSADFSGGVNFAVAGATALDRTYLLQNAIIMPPGNTPLSSQLDWFKSHLNDTCQEDCAKKLAGALFLVGEIGGNDYNYAFFQKRSIEAVKAYVPLVVKSIMDVAKEVIELGATQIMIPGNFPIGCSPSYLSLFSVAGSTDHDDRGCLKSYNAFAAYHNEQLQAAIADLRKVNADVSVVYADYYGAFLHLLDHAAVLGFDEGSLLKACCGAGGEHNFDMDMMCGGLGASTCADPARHVSWDGIHLTQQAYRAMALSILMEGFAQPAESVQGIWSC >SECCE7Rv1G0513550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:823075107:823078442:1 gene:SECCE7Rv1G0513550 transcript:SECCE7Rv1G0513550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPISLCVFVLLLAAAGAEDPYRFYTWNVTFGDVYPLGVRQQGILINGQFPGPQIDAVTNDNIVINVFNNLPAPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYNMQFKDQIGSYYYFPSLAFHKAAGGYGGIRVLSRPRIPVPFDPPAGDFTILAGDWFKLNHTDLKGILDSGNDLPFPDGLLINGQGSNGNRFTVDQGKTYRFRVSNVGISTSVNIRIQGHSLLLVEVEGSHTMQNTYSSLDVHLGQSYSFLVKADQPPQDYTIVVSTRFTNPVLTNTAMLHYSNSNGVPAALPSPPGPTIEIDWSLNQARSIRWNLTASGPRPNPQGSYHYGQVNTTRTMRLANSRVTINGKLRYAVNSVSFVPADTPLKVADFYNISGVFTPGSMPDAPSGGPAYLQTAVMASNMRDYVEVVFENAEGSVQSWHIDGYAFWVVGMDGGPWTPASRQNYNLRDAIARYTLQVYPGAWTAIYMPLDNVGMWNVRSESWGRQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL >SECCE2Rv1G0134530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901890005:901890520:1 gene:SECCE2Rv1G0134530 transcript:SECCE2Rv1G0134530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRTILLAVAAMTILSTASAAIYNVGEPGGAWDLSTNYGTWASSRNFHPRDQIVFKYSSQAHDVLEVGMADYDSCSTASPIATLKSGNDVVSLTATGTRYFICGFPGHCAAGMKVKIDVVPSSSSSSPAPASGPSATNAPPPAPVSAATSMEAIGFGLTVLLAVAGLMA >SECCE6Rv1G0406110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:540961440:540963943:1 gene:SECCE6Rv1G0406110 transcript:SECCE6Rv1G0406110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMEKVNALGERLKITGSEVGKQMQAGMSSMSFKMKELFQAQTPADKIVEDATAEGLEGPDWAANLEICDLVNTERVNSVDLIRGIKKRIMLKEARVQFLALFLLETVVKNCEKAFSEVAAERILDEMVRLIDDPQTVVNNRNKALTLIEAWGESGDELRYLPVYEQTYKSLKSRGIRFPGRDNESLAPIFTPPRSVAEAEVAANFSQQTFEDVHVHTYTAEETKEAFDVARNSMELLSTVLSSSPQQDALQDDLTTTLVQQCYQSQHTIQRFIQTAGDNEALLFEALSVNDEVQKVLSKYDEMKKPMASARTEQPVVIPIATEHEDSGAVGNEDALVRKPAAARAMSGGDDDILDDLDEMIFGKKGGSSSQDASKRQDPKKDDLINF >SECCE4Rv1G0277410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:803338027:803338557:1 gene:SECCE4Rv1G0277410 transcript:SECCE4Rv1G0277410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIERPKAENMSSRVDESELRKVFQMFDKNGDGQITKKELGELLKNLGIYIADDEMDATMAKIDTNGDGCVDVEEFGQLYRSILDEGNGPSGGNMGEEEDEMWEAFRVFDQNGDGYITIEELRSVLASLGLKQGRTVEECRQMISKVDANGDGRVDFKEFSQMMRGGGPPPADHED >SECCE4Rv1G0288220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:858989064:858990841:-1 gene:SECCE4Rv1G0288220 transcript:SECCE4Rv1G0288220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVVSVSHGAIGSLLGKLGDLLTGEYKLLKEAKGEIMFLKAELESMRKFLERMSDAEEDADKQAKCWAEEVRDLSYDIEDNIDDFMLRVECESSSKPHGFKGFIERSINLLTTINTRHKIGKELQGLKSRVMEVSERRMRYKVEDTVSKPNNTAIDIRLLALYAETAGLEGINEPRDELIKVMLKEDGVCAQQLKVLSVVGFGGLGKTTLANEIYRKLEEQFQCRAFVSVSQKPNIRKIFRNILSQAGYVAPDQTNMETWDEDQLISTLRRFLADKRYSIVIDDIWDATTWSIVRCALPENRKGSIVITTT >SECCE2Rv1G0123600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:825608237:825610850:1 gene:SECCE2Rv1G0123600 transcript:SECCE2Rv1G0123600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRTAPASPIRVHPLDDNYLLEEILLRLPPQPSSLPRASLVSTRWRNIILDPDFLRCFRRHHRKPPLLGFFAGYYGSCAVFIPALDAPDRIPFTRFHLQQNRRYDQLNVHGCHHGLVILLDLYNREAMVWDPLTGRDHPVPFPPGFRSHTIGFVKTAVVLCAATEDGHVHGDCSLSPFKVAFVGNDVDRKHAICSLYESQSGVCGNIISMANTGEIRDDSGLIVGNSAYWLLDGGDILELDLERQSLGVIEKPTNIHFTGHYYFQIIWTKNSCLGLAVLSKSNMRMQLWERKLKNDGVVRWGLQKTVQLDLLLPPVSPKERKRMCIIGYDSVSNTIVLWTTMGASVIQLETLELRNIPLREGGTYYPYTNFYTTGGNDTNAPPSSDQLI >SECCE5Rv1G0328620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509115625:509116143:-1 gene:SECCE5Rv1G0328620 transcript:SECCE5Rv1G0328620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMAMSSFTGAAALPRGSSGRFGARSLPALGRRALVVRAQNEGPSAPPPNKPKASTSIWDALAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYSVAVLSVASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEIITGAPFINV >SECCE4Rv1G0255690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:649373604:649376427:1 gene:SECCE4Rv1G0255690 transcript:SECCE4Rv1G0255690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLRLTSVSSDACGRLQAAAELLARLLHHGRNLVLDNTDIQQPDDDAEAHFFLPNANLKELAEKNVNVHRPLSDLHHEVDDSYPVCSVMPSQSITDKNHNVIVTLDHSQQSNANPSGKKIVIITSRRFTSPVSAADTTAEPPLSNESPDVQITDERKFNVSCSQLCKNVDDASNSIVSSAKPHDNHSSDYTPASKVQYVIKVSTSTSRRKKTPHDPRRVLLSSSHSVPETNRFPVSALERRYYAVFCRLARSERYQCMTAIVYRKVRCSYLSLGQSLMPGGHVNNFLISVFCRKLFDDCHPSVSKKHYFFSYIGENILKYNNKDQFRLIQNTFKGANLVKKIDACELLFFPICHCKHWFLFVVDLQNRQFVFMDSLFRKKSRYQVVVSEMLVGNFKHLWKEIVDPEYSFDNFRIVYPDMPRQGNGNDCGVFVMKCMEIWTPRVVLHDYFSRVNIPNIRIQYANQLFFSSKNTAEKSLVTHFLQEGKFHRVRTGVTSESNVCQ >SECCE1Rv1G0002260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8318005:8320552:-1 gene:SECCE1Rv1G0002260 transcript:SECCE1Rv1G0002260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:Projected from Arabidopsis thaliana (AT5G13120) UniProtKB/TrEMBL;Acc:A0A178UN74] MAAATSSFATLAVARPAAAGQRALLASKAPSSALSLRGGRVASPALSVSQQSRARFVTSASAEPYAPELQSKVTNKVYFDISIGNPVGKNVGRIVIGLYGDDVPQTVENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFQLVHTGPGVLSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVLEGMDIVRTIESSETDRGDRPKKKVVISECGELPVV >SECCE1Rv1G0010990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:69799450:69801501:1 gene:SECCE1Rv1G0010990 transcript:SECCE1Rv1G0010990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTTSRPHSHLPPALPSRPPRTSSSSAPSAQGPSRRPASRLYCTSPPAEAPPSGKGGEYRPSFADDFLLAFFRAKMVEEVGWDSQKPGYDGLIEVANRLMIKGKSASETEQSAVRVLQALFPPLLLVLFKALLAPIANGQLASMMVARATALSCQWLMGTCSVNSVTLPNGKSLSSGVFVEKCKYLEESKCLGICINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVPPPPLDTDKALKEPCLDICTNARRRRELGSGGSPDGLCPQV >SECCE4Rv1G0272820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:771949310:771951436:-1 gene:SECCE4Rv1G0272820 transcript:SECCE4Rv1G0272820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase, Chilling stress respons [Source: Projected from Oryza sativa (Os06g0131300)] MPALAVDAAAPVAHAFAACGDAAHFAAPLLGPAAVVAAAEKPEMAAWSADLSSALYNVDGWGAPYFFVNDDGDVAVRPHGAATLPGQEIDLAKVVARAAGARAAGGLGLALPLLVRFPDVLRHRVETLNAAFDYAVRSTGYAGRYQGVYPVKCNQDRYVVEDIVEFGAPFGFGLEAGSKPELLLTMSCLVARGSPDALLICNGYKDLEYVSLALIARTMGLNTVIVLEQEEELDIVVEASRRLNIRPVVGMRAKLRTKHAGHFGATSGEKGKFGLNAAQILSVVAKLKALGMLDCLQLLHFHIGSQIPTTALLADGVGEAAQIYCELARLGAAMRVIDVGGGLGIDYDGTHSAQTDMSVAYSLEEYAAAVVAAVGRVCDRKGVQHPVICSESGRALVSHHSVLIFEAFSATAPASNMMDPATAYLLDELTDDCRSDYRNLMASAVRGDFDTCGLYADQLKRRCAEQFKEGVLGLEHLAAVDGLCEIVARGMGAAEGPRRYQINLSVFTSLPDMWAIEQLFPIIPIQRLQERPAVDGVLSDLTCDSDGKVDQFIGGRSSLPLHELPSHGTRGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQSDGPHCFAVTRAAPGPSCADVLRAMQHEPEVMFEVLKQRTTDDGATAAALARAFGSMPYLSFDAEEASAMSGAESSGMSSDSEGSAAGAAEDDDEEWEFMRGLTV >SECCE2Rv1G0108610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:666253096:666255892:1 gene:SECCE2Rv1G0108610 transcript:SECCE2Rv1G0108610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEAREREPDAEAARRSQSLGGRHRSDADRRRDGGRSSGGREFSNGYGHRRSHPPKSRLSTRLGDREPGEVLSGSASDDSGGRSGRVAGENTMSSSSREGEVAVGAPAAPSPSKKRKFSPIIWDRDSPKPPQLHSGAVTVKGVVESASADTASDKKVVESSSAQLPPPPPLPPHGHIPEKLVVENSPMDVDLAVDTDNTEQLHELEDSKVLEVEESKVQEEESKVKEEEEYPTTRNISTSRWAGANDDDEEGVTLRKKGLSPADSAELGQGKKTPTPELGEVVTSDMSGRRTMSRSSDSGRMGNDEKEDLELGKGDYMDVDRGEASVNGSADRISSDSEDEVRRSETPEPVKPAHRCINMLQGCRSVDVFERLNKINEGTYGVVYRAKDKKTAEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRSPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPNLSEAGFDLLNKLLTYDPAKRISAEAALEHPWFSEVPLPKSKDFMPTFPALNELDRRTRRYMKSPDPLEEQRLKELQAKGNRGLFG >SECCE5Rv1G0298850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14126355:14128144:1 gene:SECCE5Rv1G0298850 transcript:SECCE5Rv1G0298850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVYGWAVSPWMARVLVCLAEAGAEYEFVPMTRHRGDHRLPEALARNPFAEIPVLEDGDLMLYQSRAIARHILRKYKPELLGAGSLEEAAMVDVWVDVEAHQLQPVLQPIVWNCIINPFVGRDVNQGLIDESMEKLKRLLEVYEARLSTNKYLAGDFMSFADLSHFSFMRYFTATEHVVLLDAYPHVKAWWEALLARPSVKKVIAGMPPDFGYGSGNIP >SECCE6Rv1G0381400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:32566119:32571353:-1 gene:SECCE6Rv1G0381400 transcript:SECCE6Rv1G0381400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKVVAGGGEVQQELEPEPAAEASTFAELGICPELLEACDAMGWKQPTKIQAGAIPYALKGRDLVGLGQTGSGKTGAFALPIIQALIEHPKPFFACVMLPTRELAIQIAEQFEALGSAIGLVCSVLVGGVDRMQQVLSIAKRPHIVVGTPGRLLDHLKDTKGFSLTKVKYLVLDEADKLLNLEFKESLDEILNVTPKERITYLFSATMTKKVSKLQRACLRNPVKVEVSSKYSTVDTLKEEWYFVPADYKDCYLVHVLNELPGSMTMIFVRTCESTRLLALTLRNLGFKALSISGQMSQDKRLGALNKFKAKEFNILICTDVASRGLDIQGVDVVMNYDIPMNSKDYVHRVGRTARAGQPGYAVSFVNQYESLWFKTIEALLGKEIPIRKVDADEILILRERVSDSKRIALTKLKEDGGHKKRRRRDDEDEEEEDSPRGRHRKPRSFKKSTRR >SECCE6Rv1G0422880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692479104:692483896:-1 gene:SECCE6Rv1G0422880 transcript:SECCE6Rv1G0422880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel, voltage-dependent, beta subunit, KCNAB-related domain containing protein [Source: Projected from Oryza sativa (Os02g0817500)] MQYNNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKSLLQACRDAGVNFFDNAEVYANGRAEEIMGQAIRDLGWRRADVVISTKLFWGGQGPNDKGLSRKHIVEGLKASLKRLDMEYVDVVYCHRPDATTPIEETVRAMNWVIDQGWAFYWGTSEWTAQQITEAWGVANRLDLVGPIVEQPEYNLFSRHKVESEFVPLYSTYGIGLTTWSPLASGVLTGKYSKGNIPAESRFALDNYKNLANRSLVDDTLRKVNGLKPIANELGVTMAQLGIAWCASNPNVSSVITGATKESQIVENMKALEVVPLLTPEVLEKIEAVVQSKPKRLESYR >SECCE5Rv1G0356010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:735900576:735904685:1 gene:SECCE5Rv1G0356010 transcript:SECCE5Rv1G0356010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLKSLVGLRKAERQQQQQRRKEDGDAGPGRTKRDAVDQFHFQDQHSPDHASLVGPEEFPDGNVPSEDDCSTPSCSGPGFSTLSAPLPQTEEELKEIWAATIIQTAYRALLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRVALENQMDEQQNNVEEQTDEAHVREVEDGWCDSIGSVEDIQAKLLKRQEAAAKRERAMAYALSHQWQAGSRQQAAITASELDRNSWSWNWLERWMAVRPWESRFLGMYTADGIAIDTGAHHAEGNATKAPYRKPVKKQVSALHSNVLIQKARPSNSEGGGSLSNPSAGSASAKPKRKLPPKEGSDEVSSRLLGLGARSSSNPKERPGQLQPRGNKRFSLPGTGTEAGKRQVNKPAVNRSPKGAGESPALEGKHRRAGSVDLLLKRVELQA >SECCE4Rv1G0257760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:672698052:672703699:-1 gene:SECCE4Rv1G0257760 transcript:SECCE4Rv1G0257760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to terminal acidic SANT 1 [Source: Projected from Oryza sativa (Os06g0181300)] MPRLTPADASLILDHVVGDASIPTAVANVLLAGLPFPDRPTPRLLRSLLLRRLATDPVSAAALDSLQLLASLPEPDPPSPVSAAHLAVAAFLAATAPDFDAAARALFARPGGRARRAVDEEEGGDPALASSEALAVADQFEAAVGNAFSQDVLKGLFGDRAKAERRVRDLLAAEWAAIGPSRLELAAEQIAGDGAVETWRAADETVRAKYRILVGEEKAREILSRIEDRISSPQVHKVIHDLKSSCADLHNVVDDPLPAAKAAADKVLAARMDNAVHINDEELNNQAVNGSIAGPSAVNDQGETLRKGTPSSLMDWNPTAQSLLWEDSLDPDGSRSQSHRPHLASPRRMPVSPLQVAENKARRRRARRWSSVEEETLRNGVEQFGSGNWKDILSHNPDVFVGRTQVDLKDKWRNMMR >SECCE1Rv1G0007200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39468234:39470183:1 gene:SECCE1Rv1G0007200 transcript:SECCE1Rv1G0007200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSAVAWWEEWQLRILVLASLFIQYVLFLSIWLRRVASLQKLRGLVWITYIGGDAVAIYALATLFNRRKQTWDVESSTVEILWVPVLLIHLGGQPCISAYSLEDNDQWKRHVITLVSHVTVALYVFCNWWSGEKKLLAAMVMLFAVGIVKFASKPWALRAASYDKIQASIEHKEGRERMHSLEKYVEEAMCAAKDTTGFSSFKYDQDRYMFVDQFAPHSVRMGELKFFMRTGYQTAFEMLQRCLAQTFNILYTKIRLSSTLLGALSLLLLPFPALASVVLFAISCKAGRNEEDIRVTYILLCGTTMLEFVLPCIALSGTECIQWESWSCLYDFIFSGWHDMVYQYNMMSFCVRKKNPTLVMKLATFNFLRVFINQHWYIQQVPRAYQITEVVYNHLEDGWRNYIRSAASYRRFNELRGQRAVRPHNPHLGWSLNMTFNESILVWHIATDLCFYHPNTSLECRQGTATQHGREISNYMIYLFLMSPEMLMAGTRLDLFTLASDQIVNNSKGQLGMTEEVVAREILKRPMVPDASDMLSDACKLTRELMDLGSDTDRWTVIQGVWVEMLCFSASRCRGYLHARSLGEGGEYLSYVWLLWAFMGMETLADKHQRSEFSQDDDEEEAAAAAASASRSQGRDDQSEDYTSPV >SECCE5Rv1G0316980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:333998290:334000935:1 gene:SECCE5Rv1G0316980 transcript:SECCE5Rv1G0316980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVSTSMVLTLLGFCVSVLFILFVCSRLVCALVRRRRRRRRSSPLPPGFPPLAANYFFAVQVDRLGAQAAAGPGAGGLDLAAVASFPTRAFAAAASSDSDSSDAAPQCVVCLAEYEDKDVLRALPYCGHNFHMVCIDAWLKQHSTCPVCRISLSDYPDSKQIAPPLPSAVVIPIPIPPYSPEASISDPCHCLFVGTGHSPRPSEVLQNEPDQANRTVPGPSLDGPDSLTLSEVTSPRETTTKQ >SECCE5Rv1G0353820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720312859:720317012:1 gene:SECCE5Rv1G0353820 transcript:SECCE5Rv1G0353820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVLTIILMGAKVEGESYNPGYYATGDLHMDSNGWRSPYYEDKTSNGQLYNGFMTKQADGYSEYDNETLKRTMLSHEAMFRQQVCELHRVYKIQRDLMKHYENKDTYAYPELADASQTNSSSQVPPNDSKMMWQMPLVAKTYGEATVAVHTDTNHSLKFLSDGSGLPSPNGFPSNGVALNTKQGIIDLELPADPYIDDDNTSDNKPIDFLGVDSGTKPPSDAGFTFSGAEGLGRFNDNSSTSGLLTTNKPRGCHVADLNEPITGMHMVGTNGSVSRGLPSTLENSWRQSAVRSSTANFGFNKEYSKEKHTDEGTSSNFLDASTKLRLEEKPLIDKGKQVSNQCFFTPRYSNADLQKSFKVADGRSATNEFIYHGQNSSVGWFSKGPMGAFAVNNFARLDHPHHSSIGTLVAPISIPHIDHPSVASPIGSCTVDPRSSVINNAFQRVPSFNGSSTVNSYKSPSAVTQSIGPSIHKLKRFDNLDGSYFGFPPDPFSASRSRQQVAISSELEQRNCLMFDHSAARQSHGYPQSTNGKGTKNFNLNEALSDGLEELVEQDGRSVGSLQHSKGEGSVFGISWLKNKATCADPTGLEKQRKMLGHSNGTATDTKDNNNLTGITPIVCNLSDSASTSLGCRIKIDDASEGITDRTWLVCNKTEESTTRQLPLSSQKPLDRDGQGAEGVVKKSGAAVVKNLFDLNDDVPHEDNSESSVVSHECDVAALQNNHAKRTFLFDLEEVPACEDGAAWTSQQECTPSGKLGASKEADMCFPSTTDAAQIILALSIDVPTTTGTPDDMLQWFAELAISSMDDHAEQAKVQGCIDNSSDDGSDSFEALTLKLEESKIDERWTRTLEPPVTDDEHAVSAVNLLSKPKRGPQRKRRQKRDFQKDILPGLSSLSRPKIIEDIQLIEGLVQASGGSWESSLTRRARGGRTRGRKPRKNETATVEIEAEAEASPPSKPDSIGLEADERGMVSWGRTTRRCRKPRCPSGNSVGASS >SECCE5Rv1G0303750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:50562951:50565557:-1 gene:SECCE5Rv1G0303750 transcript:SECCE5Rv1G0303750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREVSESRRRRRREHMATARDDCGTSLPNEMIIEVLQWLPVKSVFRFKAVCRSWAALLSSDEFRRLHMSAAKVAKWRAPPAKLLYISPTATFDSTAVYSCSFSPSSSSGRPRDRGDLLFTIDGARGNYVEVVTPAPCHGLTLLYDALDTAYYICNAATRAATRLPPSSDVACDSSAGLGFDARTDKHKAVRLINRMFHQKDLDPVSCEVYTLRGPFVDCRWRPAARGVPSSLHKFVDAAVLNASCNKLSPVFANGCLHWLMAPAYFITTPSVAIVSFSVAEETFTCQRSPPFWVPGAPPASRNWSSGEQLLEMDDQLCLVRNRMPHGSNTLEIWKLLDYSSGDWLLNHRISLSGHLARDLRQSQILRVIGSFGSYRSPWKKIIIATSMHKIFDKYQKKVHTYDPRSEALETILSITETHSTPQYGCPSSRFSFVQETLAPVHTTDEEIALSSDLAKATREILLRLPAKSAIQSKFVCKQWFILIESKNFIQSYFQHKNIDKRPKVMLLVKSTGRLGFSFAPLNKCLQEAPSHSTLLDTKVVCSKPCHGLNLVSTETKDYLCNPCTDFHRGYSNLGPNLHLRPRMPKTEEHAFTVGNKNVGLTFNPLTREHVIVEIFYHRKDFESRKYDMSCTLHWCGTPNAAQEHSVPPLPVNDMPPAYVEGMLYWMNEPRLGQSCEWAIVSFNLATSTFGIVTCPLWFARWSSRNRCRAFVVELEGVLCAVLADPVADKLDVWKLEHGQWGRAYTIHLEACPGYSLKTSVVVPLAVDPDHGRILLNTGRKIGLYDPVEQTIQNLYSLDQVPVSSSAHLKFLDMPSTSSSGDSLTCSEEESVAETNRMDSKLIPPVPMLYEESLACYSFVRKANCLW >SECCE2Rv1G0084080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172735801:172736121:1 gene:SECCE2Rv1G0084080 transcript:SECCE2Rv1G0084080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFKNARCAVLLFLAVLLLSCAGMGRAARGLEETAPEDNYPADAPESSPENDYPAEAPEPSPEHELLPPFPEFPSFPKVELPPMPEMPTIPGFSSPVPEPEADEP >SECCE3Rv1G0191140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:746995882:747004540:1 gene:SECCE3Rv1G0191140 transcript:SECCE3Rv1G0191140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETAENDSSQEIPPLAATAGVAGGPNPCCAKLWKKYQQIEKGRAVLREAVKLLNSEIDKVRNEKSALAEVCKEERLRADSAEAARETESDARDILEKEVIELKAENSALHQKQNFSKNNDELLRISELEEENRRLKQVLGEEKLKRDSEKKKAEEAKSKALEAQKLLKSETQKSEEYKRLADTERKIANDLKASCEKLRTEINETRAQLSAQIQKTGEAHKKAEAEKQKAAREKKCADSEKMLAEKNKKLIEVERKKAMEEKSHSNHLLALLEEQKKLNESLQVSIEAQRKNAMSEKNRADHLLQKVEQERKRNECLQKKSDDLSATRDMVSLGKHIDVATESANIKLLKEKLKRKKDQLKHVKNESKLEKSLIRKEIELLKQDWMKPLNRFNMLDDYLASGVEGIHAMKKLKRQPEVHDLERALRPHNPVAAPYFGLQAGIIPFTSAPREYTSYQLPRESCTRPISGTSSELEPPIGCALRTESKNHQRSSRPTCISDKKFMGSQGKESLFVSSTGTRKNQNSTGSELPPKDCSTRKQDRALLEISGHSSRRKALKSSLPGGTEVADQMPNGVRKRKRTKNSVESLSHKAVANDYLAVSDVRSCPQQQNIIIPCITKEGSQNDSRKCHAAIDKSLSGCAKVLSLGAANACAGSKFASLLSFEKLVEGDCLKLLNLDSDADEEKYRKAMERPLSPDVPIILPTIARRPMSPHLVDGNDIEYDRDCSASRSDGSLSEVQKLSQSAMFQSSYNRTEHGGSVQELCANNKSNTADNGPYNTKSVDASVTATSVNVSRGNVASNLLVSVAVDSENTIGPQFSESSCRGHANASLHLAPNKSRLNQMFDASSDPELQSNVGTTKAQVAGPVILTSNSVIGHCHEAGNNTIDFVGVSSLKRSSLIKILCYWDALTSEASKLSEDISVDGPLLERVSTEPLLLPEERVPLIFSLLLWDVRKLTTEPVDQYFASSAFSMTVKPYMETRLGFLKSNHLDVLVSLIEDFLVNKEVIVCDKMGVKNSDLIKYCHLDDETDIQVSTKPATVNQFMSACILLASICVKVERLDVALEVSYRVLQMGKLNLCWTMLALHVFGSVCGDKLRFLKSCNLLMTTIRLVVLLLESTDTSSCLVSSYIQSNRPTAFPSCTHCVFDVDTVSIDVFISSLLDELDLCALSWNNHANSNEAITRHSSHSGSSGLEISCGESCNISKQAKLTEDTSYPAGRDLCYFAEIISLLELFGSYMSCEWTYKNVVVRLLKILETCTCEEYSAALLVLISQLGRFFIDDVGYETGSVVELRNKLAVLIGTSFTRSRSTPVQFSAIGALLSLFPLTFDEIVSSQTGPLSGPCVLQASQISEWFGQLSKENQSFARSFFS >SECCE5Rv1G0335150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:570710350:570714153:-1 gene:SECCE5Rv1G0335150 transcript:SECCE5Rv1G0335150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLALGRLVSAQRERRRQRQRRRRIQARDDPVPLLAKRGRSSWEQGDHSEGRKRVKYSIPDLPQKIWRHIISLVPMQDAARAACVSRAFLHCWRFYPNIGFSNSTVGCNGVLTKAETARKFASKVNQVLKNHSGIGLKTIKLEFLGHNSSDCSSLDSWLRVAITSELEELSLVLSSEVATYRFPCSLLSGLRGNSIRYVHLSGCVFRPVVRLSCFRSLMNLCLYHVRISEDELGCLLSSCSALELFAFGYCNKITCLKIPDMLQRLNDLQVVGCNDLRVVESKALNLSSFYFGGKRGQISHGGQLKNLTMPHPCAVDNAHAMLPTTVPNLETLDISLRLKADTPMMHSQFLRIKYLRTTVDGYSFPHINDYISLVPFLDASPCLESFYLQAAEPMEHESIFRDPLPLRRKPGHRHDKLKSVTIIGFNSAKSLIELTVHIIENAGSLERLTLDTADCSLGCSDSETERCPALGQSTLIEVPRALFAIRRYVEGIVPSAVKLAVLEPCARCCDAS >SECCE4Rv1G0260490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:696236042:696236885:-1 gene:SECCE4Rv1G0260490 transcript:SECCE4Rv1G0260490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEADTDLWDNLLISYPNIGKFKKKSFPHFDLLGELYDGHTAEGTYNFTSIEQPSQIGEGFEDEREAEEVKETDDLEMMNQVQNDDDDLQILDQMDATHRKEDVDPIEQGGRTMAGSGKMPQKKPKKEKPKNSGDVIAGALEKYIELKKRQVDDEATYLANERAEATKLDDFSITKCMDVLKTMEDVTRAEKIKAFNVFKDASNREIFINAADDDKETAVMWLQSQMFP >SECCE4Rv1G0220680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41431771:41433346:1 gene:SECCE4Rv1G0220680 transcript:SECCE4Rv1G0220680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKKQASHCDSDDAEQRHAKIKELRAALGPLSGRGEKYCDEACLIRYLDARNWKVDKSVKMLKESLKWRATKRPEDIRWPDVSVEAETGKMYRATFTDREGRTVVVMRPAKQNTSSHEGQLQHLIYTLENAVLSLPEGHDKMVWLIDFTGWTLAHATPFKTARDCMNVLQNHYPERLSIAFLFNPPKVFEASFKVLKVLVDPKSAQKLNFVYKENEESMKTMYTHIDPEVLPVEFGGKNNVVYNHEDYSKLMAKDDIKTRSFWAADVNHVDSVAEVKPQSSRIVAKAS >SECCE3Rv1G0151790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:41378864:41379283:-1 gene:SECCE3Rv1G0151790 transcript:SECCE3Rv1G0151790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFEFSSCFGGGGRDDYGGERSNSNEAGGHRQGRGGNNNRNDHYAGADQKAAYHNRPPTAVDEAEHKAYHDGAGGRPGGYAAYTQHKADVGTPKLPGVPAWHNKVGDDVYTGRLQVQEPTAMDHHHYPTTTITTALGRY >SECCE5Rv1G0321450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:403920487:403922878:-1 gene:SECCE5Rv1G0321450 transcript:SECCE5Rv1G0321450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVGLGLGDERDITVRGLDAVRRCAKVYMEAYTSLLSLGLDPASLANLEKMYGKEITVADREMVEERADQMLSEAVDADVAFLVVGDPFGATTHTDLVVRAKNMGVEVKVIHNASVMNAIGVCGLQLYRYGETISIPFFTETWRPDSFYEKIQNSRRLGLHTLCLLDIRVKEPTLESLCRGKKVYEPARFMTVNTAISQLLEVEELHGGSAYGPDSLCMGVARLGSDDQKIVAGPMKKLLDVDFGPPLHCLIIVGETHPVEQEMLEFYMMK >SECCE4Rv1G0254950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:642985754:642986315:-1 gene:SECCE4Rv1G0254950 transcript:SECCE4Rv1G0254950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIESPVAASRLFRAGVMDWHTLAPKLVPQIVASAHPVEGEGGIGSVRQFNFTSAMPFNLMKERLEFIDADKCECKSTLIEGGGIGTAIETATSHIKVEPAANGGSVVKVESTYKLLPGVEVKDEITKAKDSVTAIFKAAEAYLIANPDAYN >SECCE3Rv1G0183310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:648299830:648309342:-1 gene:SECCE3Rv1G0183310 transcript:SECCE3Rv1G0183310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTARLALALHLLLSSVLLHALLATPAEGLVRVTLKKRPVDENGLVAGRLTGDDAQRLVACRHELVRNAEAKGHVVALKNYHNAQYHGEISIGTPPQNFTVIFDTGSSNLWVPSSKCFLSIACYFHASYKAKRSSTYEKNGKMVAIQYGTGAISGFVSQDNVQVGGVVVKNQDFIEATREPSITFMVAKFDGVLGLGFKEISKGDVVPVWYNMVSQGLVGSPIFSFWLNRHAGEGQGGEIVFGGIDPNHHNGDHTYVPVTRKGYWQFDMGDVLIGGNSTGLCASRCAAIADSGTSLLSGPTAIITQINEKIGAPGVVSQECKAVVSQYGQRILDLLLKEIDPSKICSLIGLCTPNGTQGVRAGIRSVLDHEVGRSNDVMCHACEMAVVWMTNQLAKNQTQDLIFKYINQLCDRIPSPMGESSVDCSKLASMPDIAFSIGGKQFVLTPEQYIMKIGEGDATQCISGFTAMDIPPPRGPLWVLGDIFMGAYHTVFDYGNMKVGFAKAA >SECCE3Rv1G0195120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:789867884:789868504:-1 gene:SECCE3Rv1G0195120 transcript:SECCE3Rv1G0195120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNMVPAPGAVDKAPCAPANSAEGPTTLTVWRKSLLFDCKGFTVFDAKGNLAYRVDSYASETGDEVVLMDAAGRPAFTVRRKRFSLQGEQWLVFAGEETRRPVYAVRRSGRGKTMAHVTACAGAGAEPSYEVEGSYARRSCVVYDGERRAVAEIRPKEVVGTDVFRLVVQPGVGVSLAMAVVVALEQMFARPSLLRSWSTVD >SECCE1Rv1G0049600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642418124:642422848:1 gene:SECCE1Rv1G0049600 transcript:SECCE1Rv1G0049600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHFRAGEERCASEGSAATSADSETAATRVHNGLVGGDGARYHGHGESSPPPAYDLDELLRRRAEDSIREWLRRKEAEAMALKAEERIRERMLRKEAEAMALEAEVRCELMEERASLLVRLAGGSQTRAAPAVPSLAPIDHQSVQVGSKVDVSAAKRKTPHVAAASATGSKKQKPDLTCTVCNITATSEVALQEHLRGKSHVRKAAAKPAQHQPDEDVFRSKVNGSAALPAKMGNSGGALALMAFPMASGDNLNLNCTVCGITASSQKNMQDHLKGKIHKRKTGILAQPLPKEDEVCSETNASAAVLPAKRKNSDVVPAASTVSSGPSSKNRKQDLTCTVCRIKATSEKGMQDHLKGKAHMKKAASLASRVEEEEEEAEEEVEGGYMPKKLKMVTDSGTLCEVVQLNGSVLCEVCDVQTPDRVTMMSHLQGTKHVSKQAKQKQREAVEPPAAVAAGGDGPGSEMVPMEASGVGRVDGGSLLCELCNVKVPSERAMRSHLSGRRHTNKQKAGAAQGNDGSGSETVSIEANGVRRLDGGILLCELCDVKALSECVMRTHLSGRKHTNKQKAAPGTRAGQVINKSAAVATIGSSSKEAASIVVNGSDDSVKKPAAGEMEVAVSAATPQVYVARVSSVAPMEVDEGAEAGDGAAKADEQEKADAEEEGSVRISVAPTEVDAGAEAGDGAAKADEQEKADAEEEGAQEAVNSNGEDVPVTDGAAQFDN >SECCE4Rv1G0281240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825615795:825616406:-1 gene:SECCE4Rv1G0281240 transcript:SECCE4Rv1G0281240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKQGGRGGHTTSMAVLAAAAAVLFLAGAPCTAQAEVMAASAGIEEACRGAASRDAAVDYAHCVSSLSSDREGRDAADMHALAAAATRMAVEHAAATEARMEGLGEAEGSPRARARLGRCTELYGAAADVLRDALDNIRARVYGRAVEQIAAALGAAERCEDAWKGEEEARGGAGGGVPVAGHDKEYGRLAVVALGLTSGIA >SECCE7Rv1G0488110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:435691120:435731588:-1 gene:SECCE7Rv1G0488110 transcript:SECCE7Rv1G0488110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASQPRHFTLGGEPRAAICAASTSKICTLDKVYGFRFICRSVVDLRSQKFHSQISKRKCYLTSSPSEFEKIIFSAGWLEFRRQRISSQRTRRWVHSIPLASQDDGSGVSVNGVPQVDPASQMKEIRVKLDKALQNEDISTGLVQSIHDAARYIELAFLDHSKSSINSWFPKTWFGVDNNAWIKSLSYQAAVSSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLDGVIQNELAKREPSLYQWYSSNQNPLVVRTFVNTFENDRRFNSATAICSEGKPMDTSESDLSLLVLGLFCLAAITKLGSAKVSCQQFFSMVPDIIGRFMDMLLEFVPISKAYTLTKDIGLQREFLCNFGPRAAVPKFANDHGLEISFWIDLVQKQLLKALDREKIWSRLTTSETIEVLEKDLAIFGFFIALGRSTQVYLSSKSHTDSNDSINIIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYYEDVPTPIVDTEGREEMPKRELISRVLNVCTYWMTSFIKYSSWLENPSNVKAARFLSKGHAMLSDCMTELDIAKNNMPKDRSLQETGVLVDATELASIDKSLESVEEALVKLENLLQELHLSSSNSGKEDLKAACSDLEMIRRLKKEAEFLEASFRAKAEYLEADTISRVLPPAGEGEHGIPGSMVNEVLTPQKPVNRMEKNRRPFWDFFGTTSGRKIVPAQQAVDQDASATKVDQIDMESNDIRRFEQLRRELIELERRVQKSAGGARKEEFQETEVPDETMSPSESAPPLSGPSGSVIKKENLITKSVEKVKESTTIVLQGTQLLAIDTGAAMGLLKRSLIGEELTQKEKQALQRTLTDLASVVPIGILMLLPIPSTYGPERLDLLRQLEEVKEMKLSEGSSEDISDAIGLRGDQVK >SECCE3Rv1G0188970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:720151422:720152912:-1 gene:SECCE3Rv1G0188970 transcript:SECCE3Rv1G0188970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFLPAILSDLLGRSVSFVVQRYLLQQGGAEDSVQQLHLALLRVGVTVEEAEGRRITNQAMLRQLDVLREAMYGGHYMLDALACGRGRSHDADDDAPAPCRLSSLLSRLNPAKRLCFSAADSAAEVRRVVDRLGCMIADMKEFVIFLKGYPRVCRQPYSAYLLLERFMFGRQREMEHIISFLLREGEPEGGVGVLPVVGPARVGKSTLVEHVCHDDRVRSHFSSILLMTGDDLEDGSKLSDPGDHGLIKHHGRAPHPHNKTSLVIVELAEDEVPDEGRWRRLRTSAFCKGAGSRIIVTSRSEAAQRLGTERALRLKVLSPEAYWHYFKTLAFGGANPEDHPRLVAIAMDICAEEKGSFIGGNIACSLLRANLDARSWRGILQNMREYTEKHRHLFGKHPHDLLRKNRHVYLWRLARTSKVFVSYGCYQARPAQTDVPRMTLQEVLSGRPAPSGRFEALAWRSQIPPCYSYLMSCSVQTPVAPHALARKKSSRLVV >SECCE6Rv1G0414960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:638232173:638233288:1 gene:SECCE6Rv1G0414960 transcript:SECCE6Rv1G0414960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGPPNARPGLQQQQPGTPGRARRRPDLTLPLPQRDLTSLAVPLPLPPPPSSAPSSTSSSGSGSGGASSMPMPMSMTPPNSAGSAPPAPPPLGELERVRRVGSGAGGTVWLVRHAPTGRAYALKVLYGHHDEAVRRQITREIAILRTAEHPSIVRCHGMYEQAGELQILLEYMDGGSLDGRRIASEAFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDAARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGNYNGYAGDIWSFGLSILEFYLGRFPLGENLGKQGDWAALMCAICYSESPAAPPTASPELRSFISCCLQKNPAKRPSAAQLLQHRFIASPPQQQQPQALAAPPC >SECCE3Rv1G0177790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:481658166:481668076:-1 gene:SECCE3Rv1G0177790 transcript:SECCE3Rv1G0177790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLSQAARNQSAYAIYNELAAFSPLRSLRSDISAGGTLRNLHERYHSSYFGGLSRSMRDLGSQSEASMLKEIYRSDPERVIKIFESQPLLHSNSSALSEYVKALVKVDRLDDSTLLKTLQRGLAASERSEGSLGSVPALKSAGQVTKDGIIGTANAPIHMVTAETGQFKDQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEASVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILEAHMSKVLKDDDVDLMIIARGTPGFSGADLANLVNVAALRAAMDGAKSVSMNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAMHTDGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGDSEVTSGASSDFEQATLMARAMVTQYGMSKQVGLVSYNYEEDGKTMSSETRLLIEEEVKNFLEKAYNNAKTILTKHNKELHALANALLEHETMSGTQIKNLLAQVNNIEPQGHAVEAPQKTPASPPSPAAAAAAAAAAAAQQAAAQAKGVAGIGS >SECCE4Rv1G0231920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:192035150:192036841:1 gene:SECCE4Rv1G0231920 transcript:SECCE4Rv1G0231920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRRQPGTPMEDDDASGVTLYCDEDPFADDSTPIPPPAASSGDVDDMVDLAMEYKARERCYAPVGSSAYIHRLLHDHHQHGGVSIARTKAVHYIIHAFSRLGLAAASAFNAVNYLDRFLSINCHLTWEVWMVELVSVACLSVACKLDEVTIPSLHDLQMEEVTSHSFRASTIRDMELTLLKALQWRLSCVTPYSYIDLLPLPTTAAANQSRCIRLLLRSLSEPSFLRFDASMVAVAALRCVALLQDHAHLITPPLRQLGNESDECFKMMKALEASLDHHQYHKYSTADQLQGSPISVIAFESTDRDSTVNSRSALSRRLFVTPTTLDP >SECCE5Rv1G0348640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:674955639:674958896:-1 gene:SECCE5Rv1G0348640 transcript:SECCE5Rv1G0348640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQGPRCSFREDAADMAGAIFMSNSETRDHCFNTGVFGLPPEYGPFVANVKQGMPLFLFDYTFRKLYGVFEATSDGGMDISRTAFRSTGRTYPAQVCFNIVWKCRPLTEDEFFPAIEENYYISKKFYFDLSYQQVVRLYGLFDKKRVEHPICNYSASANLEKEHSSRRRTDKMSLTPNSPPFSADHSHTLIPSSTQKISTVETNCSVSTSMHLIAPPTFETQPSVSMPFVTKAFGAQTAPIYSNQKLPYHSQQHCQDVSAIDGTSTQVFAPYSQTARYHQDQIVANQSYPSSDDYPHNSLSSGCVTQGPTDRARLSVKQSYGDSSLSCSRYLTQVPTGDGRSYLTSTPHFPSYHDSSLASPQHNAHWKDDYDINCDQCREMYASEQLHLNRRKSNTPPESTQLGIPAYSEAPEVSAIIQHKESFTGYIPLHDRSEDMEKEQQRHDFNRDGSVSSGSGHETLAYISDRPYTDHDVGAESNMAVPSQRPQKNVFSRLSVKPQLPPPEITGPSMNQLLYLLSQRTKQWSNKSTSPREDVRKQLVREQDMDMPCPPVELNLPNGLEGEESAHPPFLNFKRRSTAAGLDTNVGKEVSDKKKRRKLVRPSFGENNTTGTSGNDLQGNAIVEVRPSLEENNTTGNSGNDLQGNAITESNQIPASIVEMNQSSASIVEMNHSPAAIVERNQSPASIVERNQSPAAMIERNHSPVETDGNKFIIDLNEPASVESDLAEDGTIAPCPVAANIHTEKSCEVDVKKQKCSNSAEVISKQDVQSDSGAPTEKITLDLNITDLNTMDEAKLQAILGSSLLQALDKLRNSKSNDDANKAKSSLSDKNKNSQVKMEMKSDTSTKHRCN >SECCE2Rv1G0103560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:591637175:591639615:-1 gene:SECCE2Rv1G0103560 transcript:SECCE2Rv1G0103560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALNRGLRSGIRLLAIGAEASKPASRGFHATGVKRMSGHGHDEPYYLHAKHMYNLHRMKHQKLTAWTSVLGAVSIGVGVPVFAVVFQQKKTGSG >SECCE2Rv1G0116500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:765080794:765083067:1 gene:SECCE2Rv1G0116500 transcript:SECCE2Rv1G0116500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRIASLSLLPFLLIAVVAAVEGAGDGRSTFIVHVQPQASHVFGTADDRQAWYSSFLPENVRLLHAYHHVASGFAVRLTRQELDKMSAMPGFVAAVPDRVYKLHTTHTPRFLGLDALQGGRNYSAGSGDGVIIGVLDSGVTPDHPSFSGDGMPAPPAKWKGRCDFNGRSVCNNKLIGARAFDAAVNAGNGTTSTGAPLSPIDEDGHGTHTSSTAAGAVVPGAQVLGQGRGTASGIAPRAHVAMYKVCGLEDCTSADILAGIDAAVADGCDIISMSLGGPSLPYPEDSIAVGTFAAAEKGIFVSMSAGNSGPNYTTLSNEAPWMLTVAASTMDRLISTRVRLLNGLYFDGESVSQPDATATVLYPLVYAGASPTPDARFCGNGSLDGFDVKGKIVVCERGNDVARIDKGAEVVRAGGVGMILANQAIDGFSTIADVHVLPASHVSYHAGDAIMNYIKSTARPMAQIFFRGTVLGTSPAPAITSFSSRGPSMQNPGILKPDITGPGVSVLAAWPFQVGPPSLAGEHPGPTFNFESGTSMSAPHLSGIAALIKSKHPDWSPAAIKSAIMTTADSIDRSGRPITDEKGTTADLFAVGAGHVDPDKAMNPGLVYDISPADYIGFLCGMYTDKEVSVIVRRAVDCKAVKVIPDRLLNYPSISVTFPSSWNPMTPMWVTRKVTNVGEAPVVYYPQFDLPDNSMNVTVMPSSLRFTEANQVKVFKVTVWPRTSGDAVVVQGALRWVSDKHTVRSPMSIAFAGH >SECCE4Rv1G0264540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:721167526:721168293:1 gene:SECCE4Rv1G0264540 transcript:SECCE4Rv1G0264540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEHDGAASPFGFGQSPPLSGASSPSPLLPAPNHAKTRFAGRRRHAVVRLICSPFAAVFGTACSAGAAAAHDDDRATRRPSLEELLRMETSSSDDLLDVKQPNEPAEMVHPYDDDDEGSWKQSAIVVFDLSQDGDKNRRIEDEKDEAPLAVSEDQHGAMTSPDSEKEPAEELDAGGGGGAMLSPKALVNVERLVVVLASLGARSRALKGSYGRLAAGRRVGGAGDGKAELFYDRPIPLGRRCRVQHLEESPYL >SECCE4Rv1G0267200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735245715:735246815:1 gene:SECCE4Rv1G0267200 transcript:SECCE4Rv1G0267200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEAPLRQQEPWFVALAVLGALYVAAAAYRLLHTSGLALCLRGPKDLCRRYGAWAVVTGPTSGIGRSMALELARRGLNLVLVGRDPAALRDISETASDTHGVLTKTVQFDFSLVSTPQGEEAMGRLRHAVEGLEVGVLVNNAGVATPHAAYLHEADAEAWVRMIRVNLWAVTEVTAAVLPGMVERGRGAVVNIGSGAAEALPSYPLYSVYAATKRYVSQLSRSLYVEYRGKGIDVQCQTPLYVDTKMVSNMVTPGGLLSRLIMPTSDAYAGAAARWIGHRRPVCMPNLGHQLQWCLCHFVPDRVLAAHRLRENLRQRAVFQRFRSSSGEGTVGTSLAAT >SECCE7Rv1G0464870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:64800217:64802302:-1 gene:SECCE7Rv1G0464870 transcript:SECCE7Rv1G0464870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEDEFTPLSQLKYGMDKCKVRVRISRLWESFNPKNDTLFGLDCLLIDDQGKTMQARVEPGDIERFEHRLVEGKVYALSDFHVDVQRDYYMSCSNEWTMYIRRQTVVTEIEGDIGSIPLYSFEFVKFKDLRSRCGDNSLLTDVLGHVVYVGELQEVTKRSRVIEICNASIRDLRGKVLGVTLYGDIASGFAEDMAEKGKDASVVAVFAGMSVDSSSSVCSTTSSDYYLDLEIPEVQEFSANLRIQQANPAPKKTPAQKLAESWRTIEQLKNLDPEEYDEDTTFLCRVSLIDIDCSNGWCYLGCDTCQKSMYGAPRKYKCARCGPIKRPVQWYKLKAEVEDATGTMDLMIFCEVAEKLVGVSAEELVDNIEEDDEWYTLPEEIEDLIGSTHTFQVFDKYVNGSFAVRSIMDDASVPAPAAAASQCKEEKADPEGSRKPSKRLRGDDDSIN >SECCE6Rv1G0411990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611684176:611687921:-1 gene:SECCE6Rv1G0411990 transcript:SECCE6Rv1G0411990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPQRPRPRQLARTNAMRNSSYSAGAADGDDGGLAAYGDIQLSVDRAARGSRGAGYTSQTSFRIHGGRGGGEEVAELFRQLGLSGPEDFAIPPAVYAAAMSHLPNAARRRASLEESSPSEVPEISGRDVIVASRLQPAGDGEEAGLATELVQSETIQVSANAYQRPWAESKAILVESEKVETSTREVTAVSEPEKGVDKLTKVETLREERTREVVVEAARGKASGTLALVVAESNSCDIEHLVSPSPNRRFRRTITSWIKGGHIGSGSFGSVYEAISDDGFFFAVKEVSLIDQGINAKQRIVQLEHEVSLLSRLEHDNIVQYYGTDKEDGKLYIFLELVTQGSLAALYQKYCLQDSQVSAYTRQILNGLNYLHQRNVLHRDIKCANILVDANGLVKLADFGLAKEMSILSQARSSKGTVFWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWTHALLKIGRGIPPKIPNTLSEDARDFIAKCVQANQKDRPSAAQLLEHPFVKRPLQH >SECCE1Rv1G0005670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:26997758:26998128:1 gene:SECCE1Rv1G0005670 transcript:SECCE1Rv1G0005670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVTPLVALLVLVSLVASATSSEELHCCTDHHSWGNGLKNIGCRLPEQNGECNTWCQSDCRGGECKMRGGLHFCHCYC >SECCE7Rv1G0456790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:12785134:12789369:-1 gene:SECCE7Rv1G0456790 transcript:SECCE7Rv1G0456790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREACTSHNVLERILLDESAEPTNLPLSLLEAITNCFSLDRQIGSGGFAVVYEGVVGKGTVAVKKLSNTYGVHENKFQQEIKCLIKAKHKNIVRFLGYCADAQGIMKEYEGNLVLAEERNWLLCFDYACNGSLDKHITDATCGLNWRKRYQIIRGVSKGLVFLRENGILHLDLKPANILLDSHMVPKIADFGLSRCLSQAQTCAITQNIFGTKGYMDPEYIRSGQIGFASDVYSLGVIIMEILTGARRYRKDEHAVERWMDRLGVSEGDMQLEQVRVCSEIAIECMDLDPAKRPDACHIIDRLDKIEGPDKSDETGINNSFTELQVNLPREQFEERVGNPAAKSLQADVEEHSEILEDAAKTIERPHFQEGQEKLGQLSLCGGQDTMEKLNRHETSNSSSISTLFYGFSILNMYNKTAYRIFNRNNRRISEQSHFINIFTTEELRPIIMSSNLIREVNSAKVYKGVVDDALLAVKQMFNADEKQLKNEVIIQSQIIHKNIACLIGCCLEMDNPILVYEFLPRGTLHDILHSGSKVPLNLDVRLNIVAESAQGLAYLHSQARTKILHGDVKSANILLDHNFVPKIAVFDLSRLIARDNEHTSFVIGDMTYMDPVYMQTGRLTEKSDVYSFGVVILEIISRKPTYIDSVWLVQSFIEFRREGKKATELFDKKIAVTTRDLVILDCLAEIAVDCVNLDVDQRPTMTEVAERLVILNRSRRLHARHTSAEYSEETDIRSSFAEQRKVGHLPWGVQDTNRRGGGNSSSVSTGFFKLNNLDIFNWKGRRNFNRNTRHTLERSHFIKIFRKEELKPIIRSANSIGRGPFGEVYKGFVDNTLVAIKIPIHSSVLEHAQFHNQVINMSQVSHKNIVRLIGCCREADHPMLVFELASNGTVNDILHGGNNAPLKLDVRLSIVAESAQGLAYLHSQARIKILHGDVKSASILLDENCMPKISGFGISRLITGDKEHTGHIIGDLNFMDPVYLQTGRLTLKSDVYSFGVVILEVISRKKPSHSGNNSLVRSFLEVHKEGKKATELFDKDIAVTTGDLEILDRLAEIAVECISLDVDERPTMTDVAERLVMLNRSRRSQAV >SECCE4Rv1G0258910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:680613482:680615314:-1 gene:SECCE4Rv1G0258910 transcript:SECCE4Rv1G0258910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSVDPIAAEIDDAEAVLPSSPTGEERLVSSLRTQADVDDLREKHGIPSVYAARPAGDDRRACTRPPPGSICVYAHALEAGMRVPLPSFFCEVLVHFGIAPAQLVPNAWRVMAGFLALCDSAGVPPSLAVFRRFFLFSIVNKKQKGWYCFRARDSSGAGLRFTGMPGTPMDWKKLFFLLSSPEPWPCPVEWGKPSMSSLVNPALTSEEGKSAAKLLRAYGGAGVDIKTCLCDLNRAIAMVTAAPLTPSSSATTRVNSSSKGMDPSVYDMMKAMLAEKAAAQASALAKKVKAEVGSNAPAPPPSCGKKRGLEEANGEDSSAHSVLPGMCSPPPGFPRNPQYFPSKHDGDATDWEAARERLQGAVAPPQERAFAAKEPSDVVRSGYAAILQAVNYASFSLGYALGLEKKLAARDAEVAALRERLEAAKAELAAVQRAADAQANAEVAAGQHVLGPEERVRRRAEHALEGYDRWRDDSPAHVTASAAPTTSTATTQQQMIQTNGRHKRACASAKYDPKQWVL >SECCE2Rv1G0138750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:923080999:923085656:1 gene:SECCE2Rv1G0138750 transcript:SECCE2Rv1G0138750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDF [Source:Projected from Arabidopsis thaliana (AT5G16780) UniProtKB/TrEMBL;Acc:A0A178UP47] MGEADDARDAVVEEMDVDGGDRHRGKDKDRRDRHRREDKDHHGSGRRDKEKEKDKDERRRDKDDTKHRDRDRERERDRDSSKHRDRDSERDRGRDRDRGKDRERDTEREREREKERRDRDKDRSRNRDKNKDKEDREREKSRGKDRGEDADLPKGDEGDQKNGGDLQGEPDQASTSALRDRIARAKEERLKDKKEGGILDDKDDASEILSWVGKSRKLDEKRQAEKEKALRLARVFEEQDDMLAENSDDDFDEADKLGGEHLSGVKVLHGLDKVMEGGAVVMTLKDQSILADGDINEEADMLENIEIGEQKQRNEAYKAALKKGTYDDKFNDDPMSKKPMLSHYDDPMEDEGVTLDEGGRFTGEAEKKLEELRKRIEGGSVLKKTEDLTSGAKMASDYYTADEMLQFKKPKKKKSHRKKEKLDLDALEAEAIASGLGAADLGSRNNGKRQSAREVEQKADAEKRSNAYQVAISKAEEASKVLRQEKMPSKPADEEELVFGDDYEDLQKSLEQARKLSLRKQEEAAGSGPLSVAEMAAANKGQGDADAAEGDAQQNKVVITEMEEFVWGLQLNEETRKPEAEDVFMDEDDDDMPSGTTAKDDTSRLGVIKEEAVVEDPKKDVEEQEVAPVEVVHEAAVGKGLGGALKFLKERGTLNEGTNWGGRTTDKKKSKLVGIEEEAQDGKKEIRIERMDEFGRVMTPKEAFRDLSHKFHGKGPGKMKLEKRQKKYQDDMKAKQMKSSDTPLMSAEKMRDAQARGQTPYLVLSGNAKSGPTGDASGFASVEKAHPGSLTPMLGDKKVEHFLGIKRSAQFGGMPPLPPKKPKN >SECCE2Rv1G0066820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:15618001:15621556:-1 gene:SECCE2Rv1G0066820 transcript:SECCE2Rv1G0066820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVVHRVDSSLRRPAKFKKTRVLSDIYSDSYEVDFTSLKHVKAEMIDSEEVTSPSADDTFGEQDFHNASLKDRRARRKAKNKKAPKTISEESGTENQSHCGDLGDERSDEEVDLDEPLIALKQKKEKIPPCKAKGKMDAPASPHATKPVDTSPKGDEISPVQTFMFESTLHDPVTVKLETRAVVDQEHCTIAIEHNEEIAGEDICCAEMENTVVHTRDHLSVVLHQFPIEDNGCGQQPGFITQPIEQDVSDAEVHLHDNVEQKKTDHNFSSLDPIDEVCNHHKSLDDTSNSDVNKSSVGNELLLSSVNLSCDDHRDSSEYRYPEVVQVNTSESIKPLEESSQIDEFNADMRCTSVVMQPDSCGSTDKICTSLEEVVKMPVEGQSDSLVCCDVKTKDTLLHMSLEPAATGYNFANDKIVDLAHTVHFDAQDGRLENIVYDALNNHVQRKCFETKTSVGVPDTVVILSPPTVANVSHDGHLLLANMDESPKDMNQLSGTMNVDICRSVNDQESREAYVVQQELFQACVNMGKTGCAISDSSSNLEETQEISAEALISTPTCLGTDGQTRASDFSIDEGSIEVHTPKKLLSKRKTMSPACQEKFCNAWTDIDLCGVQRLKTKINLEDRSVLTTDRKLKNRTSSSFTNKGVVKSTESPSPQLRNPSVLLDTEKAVEFSQRQMHDIESIAANLISSLKHMRSLVNETLSSEAHSLLPNFNTAEMRAASEDALLVERTTRKWLSIMNKDCNRFCKILKLEGKKAVQHPEAPRKRRKITFADEAGGTLCSVKVFSDGQTSPSACQGEL >SECCE6Rv1G0423570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:696538314:696539786:1 gene:SECCE6Rv1G0423570 transcript:SECCE6Rv1G0423570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLRLLAGVATLLLATGVSPASASSSAVDLGVNWGSQCTHPLDPSEVVKMLKANGIMKVKLFDADPWPVGALLDSGIEVMLGIPNDMLETMTSYGNAEDWVAENATSYGDRLKLRYVAVGNEPFLKSYNGSFMDTTVPALKNIQKALDEAGLGDKVKATVPLNADVYVGDKPSEGKFRPDIDGVMTDMVKFMHDHGAPFVVNIYPFLSLYQSDNFPFEFAFFDGGRSIQDNGGVSYSNVFDANYDTLVSALKKAGVPGLKVIVGEVGWPTDGNKNANPKLARRFYDGLLKKLAKNEGTHLRQGKMDIYLFGLFDEDMKSIAPGNFERHWGILTYDGKPKFGMDLSGQGNDKPLAAVSGVEYLPKQWCVFDDEAAKDGKDKLPGNIQYACASGDCTALGYGCSCNGLDDKSNISYAFNMYFQMQDQDVRACDFDGLAKISDKNASTKGCLFPVQIISAANVPARRWPASWLLLALLVMHALVMTTGFIM >SECCE5Rv1G0301980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34707918:34709171:-1 gene:SECCE5Rv1G0301980 transcript:SECCE5Rv1G0301980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQSSIAMVPSRCTAETQTSRATVAFEIAGYSLHKGLGRGKYLLSPAFSVGGYEWCIRYYPDGSPDVASEGYVSVFLKLLTKNAEVRAIYNLMLVEPVKGQSTVVLSSEEPQVFDRERPSWGLRRFMKTTAEVESANLWNDCLVIECEVTVIKETFDVHVPPSDLSDNLATLLEGKKGADVTFRVQGEAFSAHKILLAMRSPVFEAGFYGPLGNKEAENGHDWLAGKNWQANIWHFQQVTALKLAKILLVLRGWLGANQLLDKILVCLPIGNPNFGSKPGSLDITIDDMQPAVFRAFLHFIYTDSMPSMRDLEDDDKIEMVKHLLVAADKYGMERMKRICEGMLCRSLDVETVAAILALADHHHCSNLKDACIEFMLSSNRMNDVMASQGYVHLKIYSPDVIVDLFERAARSCKI >SECCE7Rv1G0501640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:685966799:685969648:1 gene:SECCE7Rv1G0501640 transcript:SECCE7Rv1G0501640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPGTSIEALIRRLRLHQTPPSPYSGDPSTAATPAAANLFQPRRAAVLVCLFQDAAGELRVLLTKRASSLSTHSGEVALPGGKAEEGDADDAATALREAKEEIGLDPALVTVVSSLEHFLSKHLLVVVPVVGILSDIQAFKPVLNVDEVDDIFDVPLEMFLKDERRRSEEREWMGQTFTLHHFDYEKGDKSYVIWGLTAGILIHAASVVYQRPPDFAERRVQFNLPKCSKEPSPMP >SECCE4Rv1G0244450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:515349566:515363294:1 gene:SECCE4Rv1G0244450 transcript:SECCE4Rv1G0244450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGPLVLTALLLAALLACGGGVHGAGFNRYSFPKGFIFGTGSSAIQYEGAVNLRGKNIWDTFARTPGKIADGSNPDTANDFYHRYKDDLKLITDMNMDTFRFSLAWSRILPNGTIAGGINKAGVDFYNSLIDEVLARGLTPFVTIFHFDTPQVLEDKYGGFLSEEIIKDYVEYAGLCFKLFGDRVKFWTTFNEPMIFCSFGYGSGTTAPGRCSPYVSKACGAGDSSTEPYIAGHNLLVAHAEAVRLYRTRYQAAQRGQVGIVQVSHWFVPYDAASNADRHAVKRSLDFMLGWFMHPVAFGEYPATMRRLVGRRLPRFTREQSEMLKGSYDFLGLNYYTSNYAQAAARAPDRRQPSYGTDHRVNQTGYRNGIPIGPPAYTPIFFNYPPGLRELLLYIRRIYGNRPIYITENGTDEANNSTIPIREALKDDTRISFHVNHLKFVHKAIQEGVNVKGYITWTFMDGFEFGDGFKDRFGLIYVDRATLARYRKKSSYWMQDFLKRH >SECCE2Rv1G0119170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:788990209:788990745:-1 gene:SECCE2Rv1G0119170 transcript:SECCE2Rv1G0119170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLGGKKSNKITEIVRLQQMLKKWRKLSVASKPDAAASSTPAVATVTAGGNGESKAKKFLKRTLSFSESPPSAAASGPPPKGHLAVSVGPAMRRFVIPTEYLKHQAFAALLREAEEEFGFQQEGLLRIPCDVPAFEAILRAVDKGRKHNKDAAAFSYCSAEFAIAADVGTPNNSLCR >SECCE5Rv1G0297530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:3427174:3428166:-1 gene:SECCE5Rv1G0297530 transcript:SECCE5Rv1G0297530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIDATNCYYNEVKWREESHTPVTVEEHLQFSVPSSCCMHVACLAFTVTGAGGDAIEWGMTYPKIMRASCVIGRVINDVASHEREQEQSSGEKHVMSTVEACMEENNYTTKEDAYKKLKELIEESWMDIIEELVKPAAMRPAAPLLEAVVNSTRMLDFLYKDQDAYTDPRAHKVVVDSIYVNPI >SECCEUnv1G0542200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98590901:98591530:-1 gene:SECCEUnv1G0542200 transcript:SECCEUnv1G0542200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQNFFFFITSMVVPRGTAAPVLLKWFVSRDVPTGASSSNGTIIPIPIPLFPFLVYLHLRKFIRSMDRAKSGVLVKASRPILLPDKMERSSSARNALFRFVPVLHFLIIESMGDLSYLESFCGLLCLQFFRTLFSLPRDRSAKRERALRSKGQTLRPKGNEQQNDKMRCPGHPHIERRVEGFGPVAFPAPPLVEWCLFGGCATRKRA >SECCE3Rv1G0189920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:732512132:732512863:-1 gene:SECCE3Rv1G0189920 transcript:SECCE3Rv1G0189920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPVSMSGKASDPGSAWFGGGSRTPQPGPAHNVRLIAMAVAAFVSVLGLSLLLHLYICRVRRRNHRQAEAAAAALEAGSAAPKPAKVGLDPSAIAALPTAAYKETGEPGSDASECTICLGAMQEGEAVRVLPACAHVFHVPCVDTWLASSSSCPVCRALVEPPPSPTAPAWVQEKQGLEKECAASGSSAPPCGLGASLMRMLNRERPMARRPTQGDHVHPVEMHVEDLESQLPQLQHSVDSN >SECCE7Rv1G0496810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:617659581:617661914:1 gene:SECCE7Rv1G0496810 transcript:SECCE7Rv1G0496810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLCIVVLPAVLLLLLSSKQHQKCYVGGRPLPPGPPWPRLPLLGNLLYRCPTTASLVDALRRLHADYGPVVTLWAGGKLAIFIAGRDAAHRTLARAGATFAHRPPSWSFGFNAHGVNSAQYGGRWSLLRRNLGTHLAGAPLAVALQSSLGRLVSSLECAAAAAENHVLVPSEMLRHAVFSFFASLCFGEGVAEDVLRQLRGVHAEILSLVVELGAFHLMPALLEVACYFPRCRKLSNARRRHRAIVMALISACQQRDRDGVGVGRRRCYVDTLLELRLQDEEMVSLCWEFMNAAAKTTSTALEWIMARLVLHQDIQRKLWKDFVRRGEGDENCRANGEQRSPFLEAVVLEALRRHPPAHYLLAHTTDKDVDLDGYVIPKGSVVNYSVADIGRDATSWTNPGEFLPERFLEGGEGYGVSVTTGNGSGEESMKMMPFGSGRRACPGTIVALTALKSFVDTLVTRFEWTSVGEVDMEEKPGLVTEMRTPLRTCLVVRTCTADELIV >SECCE4Rv1G0227380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:122418227:122418502:-1 gene:SECCE4Rv1G0227380 transcript:SECCE4Rv1G0227380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGMNCFGAPEAATKVSPATKQLGGNGAEAKEQKAVVKEEAPSGHVVGEAKQMGGGDQMKAAGKGEKKKKKSGAPIVMHHFPFHSRPGLL >SECCE7Rv1G0518290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:858413129:858418565:1 gene:SECCE7Rv1G0518290 transcript:SECCE7Rv1G0518290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPLKVKLYRARARSSGGGDGGAGTTPPPAPGLAPSASLDPAPVSTSPLPLQPARQPPLTPIVKYTDEGLDVDDDWPEDEDEEEDGDEDDDDDDDDDDDDDDDEGEFDDGDEDQGLDDDDDWPEDEDDEGWEVMQEGEDELPDDDKFYIPLYMSAQKKNLPHGLVRHDSMPLDKNEYFSSWKNWNQLLDGKRTYLRPLKDGRVLNVSGVFRCPDAHPRTLGCQEPLLDLVQDYPDNDIFQEIIKILCQRYVHFRRTRGDGNYFYRAFFFSYLENLGQMQDSQAEVTRLMEHLVVSRQNFCRLKWDRAYFLNPEEYFSSVVSELNHLVNSVANGLSSDELYKISLEEIMPLRIISLLRLLAETEIRTREADYKSFIPEKMNVHQYCYKEVRSLDVKPTMLAMRALTYALGIPLRLEVLGRALMAGDLRVKRLDFFPRSESGKGAFHMVQSYWSSTSTPEPLELGSGNLLSSDGTPSLTLLCRSEDCDILYRK >SECCE7Rv1G0524470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886085012:886086761:-1 gene:SECCE7Rv1G0524470 transcript:SECCE7Rv1G0524470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQVDLSPGQLHKLADLIYRQEVQKLQELEFESYAEQEKYLSDAKDARDMVYHILDSAQDMIAQAEADDDATKQDIAKDVYGYCTMAIGTSLKFVRSYDTRLTYLDKLKTHSDDLIKQLKWLDAATQLDEAERLAIEASMYKKAALDTAEKFQHFIPNQFSKLLKENNILFDDLVTDNMAKLGFSGPFKNLDDIEKLQVYENIIADAGHGKPVVTYTFEALGKAGVAVLVFTAAAMVWDIYTAEDKLEEGVRDSVNALTAVVNLEVEAIVSAAVEAGFATLDMEIASVAVTVIGSVAGFGVGVLIGMAAGALLDLVFSSGTTSKVEITDGLTVCRVAPMPDGLKLARLVKHNYPDL >SECCE4Rv1G0243850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:507244778:507245152:1 gene:SECCE4Rv1G0243850 transcript:SECCE4Rv1G0243850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVEIVEEVIKTEVKQSTFLRNVGLQSSRNNSGKATAEVASHVRDLEQKLERSELQAEVMQEELAAIKMKAEESEAARDKELELLCKKSQEQEEQLAHLMALFGANAV >SECCE4Rv1G0274700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:786358822:786359304:1 gene:SECCE4Rv1G0274700 transcript:SECCE4Rv1G0274700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIKGRVIMRIRQKLYSVHLAPLFHVEVLRVDCRDYMDPYVLSENLVACEDTLLLLGRNGEAFSIDFSTEPAKYVRVEEGGLKKWAFFFGEKRIGHPRHLVNPERMGLRGGLVYELDENARVFSYPVDGNQNEELEPEPCFATTNAHLSRNPTSFAAWI >SECCE7Rv1G0467900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:92805809:92807106:-1 gene:SECCE7Rv1G0467900 transcript:SECCE7Rv1G0467900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQWPVMVAVVITAAVVACVGADMDADRSECAEQLVGLAPCLQYVQGQARSPAPDCCGGLGQVLDKSPKCLCVLVKDKDDPNLGIKINASLALALPSACGNTKANVSHCPQLLHLPPNSKDAAIFSPGGDKGSAAAPAKDNTASTANSRAQQAASAGTASSTATAGVALAALLLGSLALLLPADGLAAASF >SECCE5Rv1G0322470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:421106214:421110074:1 gene:SECCE5Rv1G0322470 transcript:SECCE5Rv1G0322470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAATGMQMVAARPCIPACQRMLGSRSVVSAFGRALSTRSGFASCLKTASAGPLISVSRKRFAVRAMSQGAVQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVFDSLEDVPEDVKANKRYAGSSKWTVKEVAETVKDDFGSIDILVHSLANGPEVTKPLLETSRSGYLAAVSASSYSFISLLQHFLPIMNPGGASISLTYIASERTIPGYGGGMSSAKAALESDTRVLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLAEEVGNAAAFLVSPLASAITGSTVYVDNGLNTMALAVDSPTLST >SECCE4Rv1G0248860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:576324403:576325824:-1 gene:SECCE4Rv1G0248860 transcript:SECCE4Rv1G0248860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPLRHVAMLPFMAKGHVMPLLHLARLLLGRRLASAVTFFTTPRNAPFIRAGLAGAGAGAAVIELPFPSEDAPQCTDELPSTTHLVDFVSAVAALAPSFAAALAAVEPRPDLLVHDGFIVWAKDVADELGMPRLVTLGIGGFASYVSGAVIRHKPHALVSSPTEPFPVPGLPDLRITMADLGPPFDVPEPAGPHWDFICQSCSSMYSSRGIIANSFSELESVYIDLWNKNRGFDIKMWPIGPLCLAAPEPAVQSKDDREISEWLDSRLAMDRPVLYIAFGSQAELSRAQLEEIAVGLDHSGLDFLWVVRSKWFSSEDRFNGRFGDRGKLVEAFINQLGVLGHKSVKGFFTHCGWNSVLESITMGVPILAFPMAAEQKLNAKFVVDVIHMGLRVWPKEDAHKEGGGLVVSGDVQALARELIFGEEGRRAAVRASELSVSSRKTMEVGGSSFENLAKMVQEISETETHANGE >SECCE4Rv1G0245270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:527780298:527783765:1 gene:SECCE4Rv1G0245270 transcript:SECCE4Rv1G0245270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX15 [Source:Projected from Arabidopsis thaliana (AT5G56090) UniProtKB/Swiss-Prot;Acc:Q9FKT8] MMGSKVAASLLRRGRDQGSALMTIPRLPRSAPAPPPAPPRVGSGSCGGGGGHLLPRPPPQSTGGLFAASRVASYHAFRSFGPKSFMGQCTRKMSTTAAALNSSMASETANSGLKLLVTKGPQAQKAVGIWLFGCAAWVFSMVILGGVTRLTRSGLSMTDWKFAGGLPPMSEEEWLLEFEKYKQSPEYTRVNKGMNLEDFKFIYWMEYAHRMWGRALGFVFAVPFAYFVAKGYVTRQLGVRLSALFALGGAQGLIGWWMVKSGLEEPTSEYVEPRVSPYRLAAHLTSAFVIYCGILWTALSVVMPDPPAESMKWVKGAAKFRKLAIPASAVVGITAISGAFVAGNDAGRAYNTFPKMGDSWIPEDVFSMEPFIRNFFENTSTVQLNHRILATSTLLSVSALWLAARKVDMHPAVKSLVGSTLGMAALQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSLASAAKST >SECCE5Rv1G0318770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:367820282:367822735:-1 gene:SECCE5Rv1G0318770 transcript:SECCE5Rv1G0318770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLSSQLLSLPQQWQLLLLLLTLVSLVLLVSRLSNKGLKLPPGPARIPILGNLHQLGVLPHRSLRDLARKHGPVMQLQLGTVRTVVVSSAEAAREVMKTHDEDCCTRPVSPGMKQLSYGLKNVGFAPYGAYWHAMRKFFVVELLGVRHVEAAWHARQHQVEKLMSTLSGLAGEPVVLKEHILSLADGIIGMLAFGDMYNSDKFPHHKKLVHVLEEAMHVQASFSAEDYFPNIVGHLVDQITGLATRRERIFKQLDTFFELIIEQHLDPQRVKPQNGDVVDHLIDLWKDNSGTHNITRDHVKGNIFGMFIGGSDTTSATILWAMAELTRNPRLLERVQDEIRAVVGGNERVRPDDLAKLVYLKMVVKETLRLHPPATMLLPREAMRDIRIGGYDVLAKTRIYVNVWAIGRDPESWPDEPEEFKPERFETSEIDFKGGHFELTPFGAGRRICPALSMSTATVEFTLANLLYSFEWALLKGTVVSMEEEGKLIPLQKKPLLLVPTSYQPI >SECCE3Rv1G0156730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:74761219:74762032:1 gene:SECCE3Rv1G0156730 transcript:SECCE3Rv1G0156730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLEVQHPQQQLELPPGFRFHPTDEEIITSYLAPKILNPAFDATVIGEVDLNKNEPWELPKKAKMGENEWYFYCQKDRKYPTGIRTNRATKAGYWKATGKDKEIVNPHCTSMLIGMKKTLVFYKGRAPCGEKTNWVMHEYKLEIGKQSTSGLPTTIANAASINVSSKEYVVCRIFHKNTRSGLSSIVSHQDVGTGPGNNDQGNGGATTSEKISSMSMGTDGMSKY >SECCE6Rv1G0400620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:455788098:455788781:1 gene:SECCE6Rv1G0400620 transcript:SECCE6Rv1G0400620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGDLSSHVHAAADAAPESPAKPKQEEISPAAAAVDDDVEAGGGDGVNYVARAQWLRAAVLGANDGLVTVASLMIGVGAVNESKGAMLVSGVAGLVSGACSMAIGEFVSVYAQYDIEVAQIERTRGGDDRRRNLPSPTLAAGASALAFAAGAALPLLSGGFVRTWAGRAAAVSAASSVGLAGFGVLGAYLGGASVVRSGARVLVGGWLAMATTYGALKLFGMHSA >SECCE1Rv1G0057860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:695918012:695918509:1 gene:SECCE1Rv1G0057860 transcript:SECCE1Rv1G0057860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKDPRALFLAAIVVTAMIMSTCHATQGAEECNRILPCTDSACYIHCEKLGYKDPKTRCERSPPTKGQFYDTCCCLKPEMDNNGLPSE >SECCE3Rv1G0159860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:100106671:100110279:1 gene:SECCE3Rv1G0159860 transcript:SECCE3Rv1G0159860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLLLRATSLLPLRRSPLHSSRFQPRAAMASDAASQFQKIQIQREDTTFDVYVVGKENAPGVVVLQEWWGVDYEVKNHAIHISQIGDGYRALIPDLYRGKVALEVAEAQHLMEGLDWPGAIKDIQASVKWLKENGSPKVGVTGYCMGGALAIASGVLVPEVDAVVAFYGTPSSELADASKAQAPIQAHFGELDSFVGFADVTAAKALEEKLKASGVAHEVHIYPGCSHAFMNASPEALKRRKGMGLTDENQGAIDLAWSRFSTWMGRFLGSA >SECCEUnv1G0529120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6477991:6492241:-1 gene:SECCEUnv1G0529120 transcript:SECCEUnv1G0529120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQFSKLNPPKLDMPRIKLEEHEDVTAEVVLTSLKRAIGRYSTLQAHDGHWPGDYGGPMFLMPGLIITLYVTGSLNVILSSEHQKEICRYFYNHQNEDGGWGLHIEGPSTMFGSASTYVCLRLLGEEPDSGDGAMEKGRIWILDHGGATSIPSWGKFWLTVLGVFDWYGNNPVPPEVWMLPYCLPIHPGRMWCHCRMVYLPMCYIYGKRFVGRITPVVLELRKELYNAPYNEIDWDQARKLCAKEDLYYPHPFVQDVLWATLHKIVEPVMMHWPGNKLREKALNLVMQRIHYEDETTRYICIGPVNKALNMLACWIENPNSEAFKLHVPRIYDYLWVAEDGMKMQGQIGSQLWDTAFTVQAILSTNLIEEFGPTLKLAHDYIKNAQVLDDCPGDLSHWHRHISKGGWTFSTADHGWPVADCTSEGLMVSLMLSRISPTIVGEALEANRLYDAVNCLLSWQNENGGFATYERQRSYAWLELLNPSDTFGDIMNDYPCAECTSAVIMALVSFRKHYPRHRRGEIDKCIHKADNFLQSIQRSDGSWYGNWAVCFTSGAWFAVRALVATGRTYNNSPTIRKACDFLLSKELPFGGWGESYLSCEDKVYVDLEGNRPHVVNTSWAMLALIAAGQAERDPVPLHRAAKVLINLQLVDGEFPQQEIIGVFNRNCMISYSNYRNIFPIWALGEYRSRVLGTQSPEEHGNAS >SECCE3Rv1G0154060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:58650132:58652240:1 gene:SECCE3Rv1G0154060 transcript:SECCE3Rv1G0154060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASPEKEEVRTPRELEMRMEEEVVQRNQPRRKRNRADLADELEFFTDLRNTLMKKKKKKQKKEKGTPEKERFDSFNSYRSLGTQKKGLGFLDNRIKVLRNRLGITAGTAHTSPAISDLSDPSGKHMISTLGADLSSTLGADPISDDDDGADGSGGDDEEEDKMECGKPAMEEEVQRITGSTPISEIDAEAVTEADAQDTDVSDKPDPIPTNTTAKVSINGDGHDRADTDCVDDDEVDRGKSEAQLAKEKRVMSTEKLMFESFRRDWEGAFSRRSGHFLNKTTVSSMQFTHLAPGRIPWVAGIEPAVQIFSLKLTELKGGFKFPLSVYGVVAARDGVDYNRNHIFFCDRSNSQKLTQNDPYLRLIGPSRAVLFEDYVNYEVQLMVKGSVKSRDRPLITDTYRQTQCRIGVSTICFQNCFCAMELRLERFLGSVQATIIGVRVVKDNGAWPFGHGVRVACSPAPRKLMEDSDGELKYVAQPSSGEILLLDSKDGRRPAHSEEYLYLSRQVVSVEPSGQLEVEIQAYAPPGVLPAKAQVCFEAQHCNIDRGRCCLLGAEVEISVAWSRLALDVEMLAGVDRDFQRDELQVAFTQVGLNGEPDIERIF >SECCE3Rv1G0173010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:313917349:313926051:1 gene:SECCE3Rv1G0173010 transcript:SECCE3Rv1G0173010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTDSRKRVSADYRLLPSFPSSAPSPSKKPKLAPLLPTAPPPPLPSSTSSAAPGPNSSTYSASTSSSSFSSLPHSRRRRLPPPPPVQRSIHAPLRRIRAFRLGNTRSNSDPSSYSPSPPPQPLGLDQYVDLVNSVTHPPPPTPPAYVPRGAKATVEVVAVDDLGDIKQDEEEREEEDEVLVMKVPLYKDLYEASSRQRDDRLRILEFEVRLAEKGRLGLEGLMEARPQIMPNKKVVPEPFVPLTDKDEDSVRHALGGKNRREILSEHKASNIVITREILQCLNDKHWLNDEVINLYLELLKEREMRELSKFIKCHFFNTFFYKKLINGGYDYKAVRRWTMKRKLGYNLIDCDKIFVPIHKEVHWCLAIINIRDKKFQYLDSLGSMDMKALKIIARYLVDEVKDKSGKQIDVLSWKHEGVQNLPLQENGWDCGMFMLKYIDFYSRDMGLTFGQKHMPYFRQRTAKEILDLRAG >SECCE2Rv1G0118050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:779073151:779074939:-1 gene:SECCE2Rv1G0118050 transcript:SECCE2Rv1G0118050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKKIENTTSRQVTFSKRRMGLLKKANELAILCDAQVGVIVFSGSGKMYEYSSPPWRIANIFDRYLKAPSTRFDEMDVQQKIIHEMTRMKDESNRLKIIMSQYMGEDLGSLTLQDVSNLEQQIEFSLYKVRLRKQQLLDQQLLDMRQREMHMSEDQSSSYMFHMNPARDQQGQPADVMNPKLFPLWDVGDQIYGQDAESSMTALKLSPQLQEYKLQPVQPNLQEPSLHGYVLRLW >SECCE1Rv1G0050670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:648953676:648954008:1 gene:SECCE1Rv1G0050670 transcript:SECCE1Rv1G0050670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALAALLLLAAVLLARGAEAGAGEVPLSWELGVEDAADDGYGFAGAGGDAAVAARRVLQSGSGYISYGALRRDNVPCSVRGTSYYNCRPGGQANPYNRGCSAITRCRG >SECCE4Rv1G0223590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:71912486:71914255:-1 gene:SECCE4Rv1G0223590 transcript:SECCE4Rv1G0223590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHRRRSCLRRVLTIAGGVSAGLLLLAGGGTYAGVPLFSPSLLPLGPGADCSPPFALSPLPPYLLSDLEADASPPQPETNLPRRLLPIHRSPPPPPCLPSNSESGADRSPPQHDDADAVLLPDGEILLLADAEPAGAKATCAFQGGASSPASALGSLPGSGRHAYVCLMPEPARSLQPLQAPLLLPTSAASASASADCPGRTSLLNWSDRIAFSSATLDSGDVLVFAKGVNHAAGGVQCIYRHCGHGVVASFPAITSVQQVTRFPAPPMHLNYRNTELRVTVAATGEDPIPSIATYRPQQSQSGLVVTPAPKNLICACTMVHNVSKFLREWVLYHAAVGVEHFFLYDNGSLDDFADQVAQLRSAGISISTVPWPWIKMQEAGFSHSAATHRSSCKWMAFIDVDEFIFSPNWIGSEKPSKSMLEAILPVDPDVGQVYLWCFDFAPSGQTSHPQEGVIQGYTCRRKIIMRHKSLVLLAAVNHSLENAIHHFTLKDGFRSIWNLQARVNHYKYQAWSEFKQKFKRRVSAYVADWRDPINLQSADRAPGLGVDGVEPVDWAQRYCDVKDNLLQRLSARWFGNGLATLGSQDT >SECCE3Rv1G0170540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:255108950:255110097:-1 gene:SECCE3Rv1G0170540 transcript:SECCE3Rv1G0170540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAETGGDKYRSFMHGEGEKNTVWRHGAPPNYDIVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMEMIHKVRPDDQKSVHSQGFTASTNGMKPLTRKEWTAIGGYNAFLATTLPPEHRIYDPDKETVDSGMSTFLTAFPRGFAIEVLDVYSGPPRVAFKFRHWGYMEGPFKGHPPHGQRVEFFGVCIFHVDEEMKVEKAEYFYERGNFLASFLSAPAAASASGCPVMRGN >SECCE3Rv1G0158410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:88113236:88114115:1 gene:SECCE3Rv1G0158410 transcript:SECCE3Rv1G0158410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTGGTSVAMSMKLLVDTKAGRVLFAEAGKDVVDFFFSLLALPVGTAVKLLGADSMVGSAGDLYASVQKLDGSYVLPGANIDALLRPAVPSPAAAPTSPCPSSACRTRTKCPRCSNHMADAIQCVRPDSGGSGPAASSAATGGAKGFVQGVVTYTVMDNLAVAPMSAISSITLLNTFAVRSLSALQEKTVRIGYKEGLAILKAALQSKTVLTDVFLAGCKTGPVYD >SECCE6Rv1G0401620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:471909667:471910550:1 gene:SECCE6Rv1G0401620 transcript:SECCE6Rv1G0401620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSAVRGTPQWLRGLLSEEFFDACAVHPAERKNDKNHFCADCAAALCRHCLPHDPSHNVLQIWKYASCFVVRVDDLKLFDCTGIQSHTVSDHEVVFLNERTARKRSACAENPCAACARPLSSGHDYCSLFCKVKHLGDSERGLRCALRVTRKAAAAGEEAAGSEPQNGKRPRAASSEVGPSCGGSSGKRSRKQLAPARSPFC >SECCE7Rv1G0523480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882324991:882325596:-1 gene:SECCE7Rv1G0523480 transcript:SECCE7Rv1G0523480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLAATSKLSMAVVVAVFLLGSAACTAHGLRRVVSSSSDEPCNEMTLYYHDILYNGVNNTKNATSAAATKPTALTTTHWKNGTYFGTLVVFDDPMTVGKALPVAGEEPAARAQGFYFYDKQESYTSWFGFSIVFNSTAHKGTINLVGADLMDDKTRDLSVVGGTGDFFMARGIATLRLDASEGTVYFRLQMDIKLYECYV >SECCE1Rv1G0042210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:578517557:578519410:-1 gene:SECCE1Rv1G0042210 transcript:SECCE1Rv1G0042210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEGSKRARAADSTDTIGLTAGWADIPRELLPVVLSRLPCPVDRLRFSVVNKKWHGFIEQHRRNLPRLLPSLVLPSSPEHPLVHITQGGNTRRLGLPPDIKHRASFCGSYEGGWFVLALDGPLENRLFNIFSGVNIPMPTTIMVDGLARDIKVEAAALSGSPVLYPPYNYTIGAIAKIEGSEFSGIAQWDPSREHWTYIDWDLIRRRKLDIHDVVFSQGSFYFLNSYENMEQIGAHADHEGNLPRLEIYVEKDWEIYDEEHPENMTVQRYLVDLGGMEDNPFLMVLKYQDEPTQPTSSVRVFRLSEVDEDPGPEYPEDVEPRLYWRELDPSSKSDHEFFLQPKLIFVGRGCSRIFNANDFEDLDDKFRTGVSIFFYDDRGIEDHADASFLRDDMGRFTLPEAQVEPWPPVGSQAIGPRSSKFPPTWWIH >SECCE2Rv1G0072260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:53790689:53792426:-1 gene:SECCE2Rv1G0072260 transcript:SECCE2Rv1G0072260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHKKHNQPPPPPAASPPMMPQHEPTFKIFCRADEAYCLTIRHDAVVLAPTNPRDEYQHWYKDMRHSTRVSDAEGHPAFALVNKATGLAVKHSLGQSHPVKLIPYNPEYLDESVLWTESNDVGKGFRCIRMVNNLSLSFDALHGDRSHGGVHDGTGVVLWEFCKGENQSWKILPWGAEGGGYGYGYGGGSSGGQDPYAPPPAYGGGYRPPPGGAEAYPPPHAHGAEAYPPPHAHGGEAYAPPGAGYGYGNLHRALASESTVRVLCAAGEDYSLTVRNGTVCLAPTNPRDEFQHWVKDMRYSTKIKDEEGYPAFALVNKITGEAIKHSFGQSHPVKLVGYNPEYMDESVLWTESRDVGKGFRCIRMVNNIYLNFDALHGDKDHGGVHDGTEVVLWEWCKGDNQRWKIVPWCKSYICYSLSLYLYVHH >SECCEUnv1G0542280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98664636:98665316:-1 gene:SECCEUnv1G0542280 transcript:SECCEUnv1G0542280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSSAALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINFGLSVSRVGSAAQLKAMKQVCGSSKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVPKQPQYEPLPIEKPIVVIYAAVKGFCDRMPLDIISQYEKAILSTINPELQKSFLEKGGLTNKRKMEPDASLKESTLPYL >SECCE5Rv1G0340710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:617990768:617992195:-1 gene:SECCE5Rv1G0340710 transcript:SECCE5Rv1G0340710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAKAKATFLDAMKETTPPVSPAAAAAAKEALKEDEWEVRPGGMLVQKRGPDSDAPAGAPVPTLRLKVKFNGVSHEIYINSQASFGELKKMMSEKTGLHHEDQKVLYKGKEVDSKAFLDMSGVKDRSKLVLLEDPDAQAKRLIEQRRADKAQRASKSVSRISLDVDKLATKVSALEAIVRKGGKVVEADVVALTEALMTELVKLDAIAADGEVKAQRRLQEKRVQKYVETLDAIRAKNAAAPTANGNGHGHAKSAAAPKANGNGNGQAKGDRSLHLPPRPPPVSQRRQFKQQQPAQANGKAAAAAPPTASWETFDLLSSMPSTSSATATTTMAAATTTTTKPANNNNTTSPIPRFDWELF >SECCE5Rv1G0355290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731224666:731227382:1 gene:SECCE5Rv1G0355290 transcript:SECCE5Rv1G0355290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLIAMARRRVRTWASAVATGVLLLLVAAASAAVAEEKLMVDMTLVPGAASTGAVCLDGSPPAYHLHQGSGAGAGGWLLQFEGGGWCNDARSCAGRARTSLGSSSLMTKLETFSGLLSNDPAMNPDFYNWNRVKMRYCDGGSFAGDSEFSNGSSVIYMRGQRIWDAIITDLFLKGLAKAEKVLLSGCSAGGLATFFHCDDLEERLRGVATVKCMSDAGFFLDVDDISGHNTVRSFFSGVVALQGVQKNLNKNCSDSTVKSYECFFPQYALRSIRAPYFILNSAYDVYQFFQNLVPPSSDPRGQWSRCKAALSACSSSQIATLQGLRSAMLTALKPLEGESKVGMFINSCFSHCQSESQDTWLAPNSPRLHNKTIAKLVGDWYFERGAAQEVDCPYPCDSTCHNIIPSN >SECCE5Rv1G0317410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:344022003:344025796:1 gene:SECCE5Rv1G0317410 transcript:SECCE5Rv1G0317410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMEADRVEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPLHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAISFCSILVYCLCREIVSLDNQHVFQITTGGLTDVKD >SECCE3Rv1G0193930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:775707894:775708793:1 gene:SECCE3Rv1G0193930 transcript:SECCE3Rv1G0193930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGARNTRQFRGAWSGGGGTGGSGSASYRDGDGGIGSPRYSGVNTGILDEQVLSLVFRSINWDPQALCTAASVNRRLRAVAERVLWRELCISRAPRMVASLTAVAGVGLGAGAAPPPPGRIGGGWPALAKLLSFCCGAAGTAVPVPGHLTRVSRFSKTSGRSFLSRRCRSDMLYVSDPCEHAVPGADDDLGAYRGVFRWFMWSRTRACLLGRQAELDPRVRCPYCGARVWNMVAANLVPRGASRRMGSDEGRLEYYVCVSGHVHGNCWLAHLTSSDGEHDDSDEASGGSSGEDGGVAR >SECCE5Rv1G0327590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:493586964:493588316:1 gene:SECCE5Rv1G0327590 transcript:SECCE5Rv1G0327590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVPAIGWAAKDPSGVLSPYSFSRRAQKDDDVTIKVLFCGICHTDLHIAKNEWGNALYPIVPGHEIVGVVTDVGPAVKNFKAGDTVGVGYFIDSCRSCVSCGSGHENHCPTLVLTSNAVDYDGATTQGGFSDVLIVDQGYVVRVPAGLPLDGAAPLLCAGVTVYSPMMEFGLNAPGKHLGVVGLGGLGHMAVKFGKAFGMTVTVISSSPRKRAEAVERLGADAFLVSQDPDQMKAAAGTMDGIIDTVSAGHPIVPLLELLKPRGQLIVVGAPSTPLELPAYAIIGGGKRVAGNLVGSVGSCQAMLDFAGKHGITADVEVVKMDYVNTAVERLERNDVRYRFVIDVAGSQLGAAA >SECCE6Rv1G0424100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:699183609:699185240:-1 gene:SECCE6Rv1G0424100 transcript:SECCE6Rv1G0424100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVYYSFSITLCLTLSLVFSSLRKAKAAGSSSSTFLPPGPTALTAFGPLLLLAWTSVNIESVVRVARSWYGPVFTLYLLPSFPVVFVADRAVAHRVLVQLGSAFANRPPANLATRIFSSDQHNITSAAYGPLWRALRQNLTGRALHPSSIPRYAAARRHAASSLVEGIARQMRTNQGVVVIEGLLHDAVFHVIACMCFGQGLDTAAITAVTSLQRQFLKEVVGFQVFGSSPKVSKLLFWRRYQRMLSMRRRQEEVFIPLIRACRARRNASGKSFEMDCYVDSLIGLRIPEQDGSSRHLTDGEIVALCTELLSGPVDSTVNMLQWAMANLVARPEIQGMLRAEIHNVPDGQVLEEEHLPYLRAVVLESLRRHPPARFILPHAAAGENGTVLDGFTVPKEVSVNFTLGDMAMDRKVWPDPTQFRPERFLPGGEGENLDLTGSKEIKMMPFGAGRRMCPGIDVSLLHVNLLVATMVRAFQWSEVPGEPVDFTDTLELTMVMKHPLRAKVVPCHATT >SECCE3Rv1G0173430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:339802146:339807552:-1 gene:SECCE3Rv1G0173430 transcript:SECCE3Rv1G0173430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGDDLPPPPPLPPNAEPIKAESADDLPPPPPPPPNKPEEAKKISKPKRALIARPGFGKRGNPIQLVTNHFKVSLKTTDEFFHHYYVNLKHEDDRPVDGKGVGRKVIDKLQQTYPSELAHKDFAYDGEKSLFTIGALPQINNEFVVVLEDVSSGKTPANGSPGNDSPGNDKKRVKRPYQTKTFKVELSYAARIPMSAIAMALKGQESEHTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGAVMGCRGFHSSFRATQSGLSLNIDVSTTMIVKPGPVVDFLLANQKVDHPNKIDWAKAKRALKNLRIKTSPANTEYKIVGLSERNCYEQMFSLKQRNGGNGDPEAIEISVYDYFVKNRGIELRYSGDFPCINVGKPKRPTYFPIELCQLVPLQRYTKSLSTLQRSSLVEKSRQKPQERMSVLSDVLKRSSYDTEPMLKACGISIAQGFTQVAGRVLQAPKLKAGNGEDIFTRNGRWNFNNKRLARACVVDRWAVVNFSARCNTMNLVNDLMKCGGMKGITVEKPHIVIEENGSMRRAPAPKRVEDMFEQVKSKLPGAPKFLLCILAERKNSDVYGPWKRKCLADFGIVTQCVAPTRVNDQYLTNVLLKINAKLGGMNSLLQIEMSPSIPLVSKVPTLILGMDVSHGSPGQSDIPSIAAVVGSREWPLVSKYRASVRSQSPKLEMIDSLFKPQGTDDDGLVRECLIDFYTSSGKRKPDQIIIFRDGVSESQFHQVLNIELDQIIEACKFLDENWNPTFTLIVAQKNHHTKFFMPGSPDNVPPGTVVDNAVCHPRNYDFYMCAHAGMIGTTRPTHYHILHDEIHFAADDLQDLVHSLSYVYQRSTTAITVVSPICYAHLAAAQVAQFIKFDEMSETSSSQGGGHTSAGSAPVQELPRLHEKVRSSMFFC >SECCE1Rv1G0009930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:58982647:58991128:-1 gene:SECCE1Rv1G0009930 transcript:SECCE1Rv1G0009930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAPPPLEAPGSPLAHNRCLLRDLGGHGAAPADPSALYGADAATTRFLLERILRKRGTAGRLVTSSSSPVSSSTDAVDTDSGEPQDPAESTPGLVPVSRSSDRADRTSAVSSSATSPTTALHGPLESTAQVPASRLSALLGPGGRILIVANRLPVTAERHPVEKWEFPSSSGGLASALRGVKDEELIFVGWPGVSVADEDDRTFIKNKLLEKRCVPVFLNEELMDQYYSGYCNNILWPLFHYLGLPQGYKFNKAKDFKSQLSAYTRANEMFAHSVSNIWKEGDIIWCHDYHLMLLPKLLKESNINMKVGWFLHTPFPSSEVYQALPNRKGLLKAVVEADLVGFQTYEYARHFVSACTSLLGLEGHLGGIEIKERIVKVDAFPIGIDAQHFKDSLNRAAVKVKITKFKSVFAGRQVMLGVDRLDMIKGLLQKLLAFEKFLEENEGRESKVVLVQIAVPTRTDVPEYQELKSQVHEMVGRVNGKFGTFETSPIIYLDQTVEFEALCALYAITDVALITSLRDGMNLVSYEYVAYQESNKGVLILSEFAGAAQSLGAGAIIVNPWNIAEVANAIRCALDMLPDERERRHRHNYELVSAHTAQDWAVSYVRELKHAVTKAPLGTGESVIGLPSKAAAEQYALSKSRLLILGFNATLTEQVQSFETRATDQTGNMTLKLDPGLKGALKTLCDNEDTTVVVISGHGKSVLDENFGEFNMWLSAENGMFVRQTGDDWITIPEHLQIGCHDSVKKVFEFFTKRTPKSYCEPREKSFVWNYKYADDQFGTNQAIDMLKHLEAYSLSNQRGEVIQGSRSIEVRPVGVTKGNAINKIMEELGRKKIITTPVDYILCIGHFLAKDEDIYTLFDLIVQTEPGRKAKEGWQAEFDLKPEKYFPCTVGRKRSLARYKLDGTSDVASLLHSLASADTVEHDGSSPAKKPRR >SECCE1Rv1G0047380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:624875284:624875637:-1 gene:SECCE1Rv1G0047380 transcript:SECCE1Rv1G0047380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGTTTVGFFAAGMSPAAGLPQLRVARAARLGCSSSKGLKKKASLAPAPVVAKGAPLLVAAPALVEVDELMSAERTWLSDSLLGWILLGAVGLVLSFHTVYSYILDEGDQSGIAL >SECCE2Rv1G0097320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:456594104:456595077:-1 gene:SECCE2Rv1G0097320 transcript:SECCE2Rv1G0097320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQRSLALLVALSVGSAAAQESGKQAGYDFFYLVLQWPGSYCDTKQSCCYPRSGKPAADFGIHGLWPNREDGSYPQNCNPDSAFDPSKVSDILSNLRTSWPTLACPTNDGLRFWAHEWEKHGTCAQNLFNEHGYFQAALRLRGQLRVLDALATAGISPDGGYYTLGAIKGAIQEGTGFAPHVDCNRDESGNSQLFQLYFCVHADASRFVECPVQPGGRPCGNRIEFPAF >SECCE3Rv1G0169510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:237732890:237733855:1 gene:SECCE3Rv1G0169510 transcript:SECCE3Rv1G0169510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGQGLEQDFDFFVVVDFEATCVKDGRIFPQEIIEFPAVLVDGITGRIESAFRRYVRPKHHPVLTQFCRELTGIRQEDVDGGVELGEALWLHDAWLKAATAGAGSKRSIRLAVVTWGDWDCRTMLELECRFKGIEKPSYFDQWINLRVPFQAALGGGGRVNLQEAVRTAGLDWEGRLHCGLDDALNTARLLAEIMRRGVKITITGSLAPPPIQQKQQHRTSPCGGSLALAPPPIQQQPPRTGPCSGTFALVPAPTPIQQNQQPPQPHIISSCGGSSSTCFSYCGVASTGGMEPGPMQSGCANWTPAMGANWPPYSLWSN >SECCE7Rv1G0514770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:836504660:836505961:-1 gene:SECCE7Rv1G0514770 transcript:SECCE7Rv1G0514770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPADRSPRWEEGGASTRVRSSTPSHDGGTTTEALTDDLLVEIISGVPSSQRWRCKCVCKRWLRLARTLPQSLAGFFCTTTSEERSPRTALHFFNSSGSPVRPLIYPSFAFLPSHRQIDLLDCCNGLLLCRWYGVSAPVDDEFRYVVCNPVTEQWVALPDGPSHSRLVDNLGTARLGFDPAVSSHFHVFMLLVGTCRYRNKPITGVDVYSSETGRWVHKEKGCDEDVFLIVRLTGPELATVYLNGYLHFHTVDAWWNPCLTAVDTEGETWMNFEAPVNQYPGFNFIQHSQGRLHYASFADDGKVIELVVYVMEDYGSKQWVLKHRVGVSYLFRGMLVYGLDRDFDWVAIHPECNVIFFAAGADKTLVWYNMDSRQSNQIRLLGVGDAKSPYLPYALLYSELQSLHL >SECCE3Rv1G0150660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:33415248:33416623:-1 gene:SECCE3Rv1G0150660 transcript:SECCE3Rv1G0150660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSPNNMAPNTKHPPCSMVCAGARANRSRSGHLAMISGQSRRPRLVKALVVHASGTQDVCNDIISQETKLRVDKFFEVEMTVRDNDLDQYGVVNNAIYAAYIHNAREELAASIGFSMASVARTGNAMAVLELNLKYFKPLLRGTKFVVKVRVVQIKGARLLVDHFIETLPDHELVLEATATVVCLNKDYRPTRIFPEMSSKLQQFFSS >SECCE5Rv1G0322890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:427094506:427095098:1 gene:SECCE5Rv1G0322890 transcript:SECCE5Rv1G0322890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQLSSPSMRKKVQRKHLMELLRVTARPPQERWSIRESVNFKIALGRFGEDWPRVAQFISTKTTHQICAYAEEYYLKNPRTQTR >SECCE7Rv1G0481910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:294972169:294973053:1 gene:SECCE7Rv1G0481910 transcript:SECCE7Rv1G0481910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLTAAAAATSSFPPQIRRRIIKFKPPVLPRRPQFGDSKIWTANFRGHLAAASASTPPPPGGGLYSAATYELTPDNVDRVLDDVRPYLISDGGDVAVVSVEDGVVSLRLEGACSSCPSSTTTMNMGIERVLKEKFGDAIKDIRQVFDGDQQPEETTPETVNRHLDILRPAIANYGGSVEVLAVDGEDCLVKYDGPDSIGSGVKAAIKEKFPDITNVVFTQ >SECCE1Rv1G0016610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:140041863:140043458:-1 gene:SECCE1Rv1G0016610 transcript:SECCE1Rv1G0016610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLLVPAVAVACAAPAGHKTTGHGHRQPKKGLKRGVRSKVTAVRAEMDGGTAAAPPEPAIAPDAVINLEFGDPTMYEAFWREVGERAAVAIPGWSGMSYFYFSNAQSLCWFLDPEFEREVRRVHRLVGNAAVDDGYHLVVGTGATQLFQAAMYALSPPGAERPAGVVSPAPYYSSYPPQTDLLLSGFYRWAGDANAFDGDGHIELVCSPNNPDGAIREAVLSSESGKAIHDLVYYWPQYTPITGAAAHDIMLFTMSKITGHAGTRLGWALVKDRDVAKKMVYFVDRSTIGVSKDSQLRAAKILAVVSDAYDPGEDDDTRLRLFDFARRRTGERWRALRAAVAATGAFSLPEETAGYCNFTKQNVAAYPAFAWLRCEKDGVEDCAEFLRGHGIVARGGEQFGGDARCVRVNMLDRDGVFDVLIQRLSSIT >SECCE1Rv1G0008200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:45501454:45501735:1 gene:SECCE1Rv1G0008200 transcript:SECCE1Rv1G0008200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRIGPILGHTMHYRRMIITLQPGYSIPLLDREKN >SECCE7Rv1G0470810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:124283447:124289004:1 gene:SECCE7Rv1G0470810 transcript:SECCE7Rv1G0470810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGGVKPKHSSDEALGRWRKVVGVVKNPKRRFRFTANLGKRSEAAAMKRTNQEKLRVAVLVSKAALQFIHGLAPQSEYTVPAAVKAAGYGICAEELSSVVESHDIKKLKAHGGTEGLLSKVSTSESDGLSTSQDKLASRQEIFGINKFAETEARSFWVFVWEALQDMTLMILAACAFFSLVVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVTRSGYRQKLSIYELLVGDIVHLSIGDQVPADGLFVSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLVFAVVTFAVLTESLFRRKIMDGSYLSWSGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKIKEVDKSSDTKCLFSELPDSVMTMLSQSIFNNTGGDVVINQDGKREILGTPTETAILELGLSLGGDFQAVRKATTLLKVEPFNSAKKRMGVVIQLPGGAFRAHCKGASEIILASCNKYLNDQGNAVPLDSATIAHLNNTIESFANEALRTLCLAYIEVADGFSVNDAIPEEGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGLAIEGPDFRTKSAEEMFKLIPKIQVMARSSPLDKHTLVKNLRTTHEEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRTPVGRKGNFISNVMWRNIMGQAIYQFFVIWYLQTEGKTLFELKGDNSDLVLNTLIFNCFVFCQVFNEVSSREMERINVFKGILDNNVFVAVLGSTVIFQIIIVQFLGDFANTTPLSLKEWFSCIVIGFIGMPIAAIVKLFPVGSQ >SECCE2Rv1G0076060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:88007614:88007973:1 gene:SECCE2Rv1G0076060 transcript:SECCE2Rv1G0076060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDALVPEGEEPKSAVQIAEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVDVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAAHDKELELLRKKSQEQEEQLAHLMALF >SECCEUnv1G0554160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:283091633:283095398:1 gene:SECCEUnv1G0554160 transcript:SECCEUnv1G0554160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVTGAMGSLIPKLGELLMEEYKLHKRIKKDVEFLRKELESMHSALIKVGEVPRDQLDRQVKLWADEVRDLSYNMEDVVDKFLVRVDGDGIQQPHDNSGRFKELKNKMIGLFKKGKNHHRIADAVKEIKEQLQEVAARRDRNKVVVPNPTEPITIDPCLRALYAEATELVGIYGKRDQELMRLLSMEGDDASNKRLKKVSIVGFGGLGKTTLARAVYEKIKGDFDCRAFVSVGQNPDMKKDLRDILIDLGNSHSDLAILDAKQLVKKLHDFLENKRYLVIIDDIWDEKLWEGINFAFSKRNNLGSRLITTTRDFDVSKSCCSSDDDSTYKMEPLSTDDSRRLFHKRIFPDASGCPSEFQQVSEDILKKCGGVPLALITIASALASGQRVKPKHEWDIMLQFLGSGLTEDNSLVEMRRILSFSYYNLPSHLKTCLLYLCIYPEDSKIDRDGLIWKWVAEGFVQHGDQGTSLFLVGLNYFNQLINRSMIQPIYDGLGQVHACHVHDMVLDLICNLSHEAKFGKLLDGTGDSMSFQGNVRRLSLQDRNKDHQGIPLGNFIGISRVRSITIFTSAINIMPALSRFEVLRVLDLSNCNLKRNSSLQLNLKGVGHLVHLRYLGLAGTGIRELSAEIGNLQFLEVLDLGRNYELDELPCTLFKLRRLIYLNVYLCKVVPTPGVLQNLTSIEVLWGIWVSMNIMVQELGNLARLRELDIYFKDGSFDLYECFVKSLCNLHHIESLSVSRNPKGTSFELMDLLGERWVPPVHLRIFVSYMPSQLSALRGWIKRDPSHLSNLSELILWPVKEVQQEDVEIIGGLLSLRRLWIKSTHQTQRLLVIRADGFRCTVDFRLDCGSATQIRFEPGALPRAEEVEFSLGVRVAKEDGNCGFDLGLQGNLLSLRRQVYVCIYCGGAGVGEAKEAEATVRHALEAHPNHPPIDIHMTPYIAEGARDDDLCEEN >SECCE3Rv1G0207900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:925158551:925159615:-1 gene:SECCE3Rv1G0207900 transcript:SECCE3Rv1G0207900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAPNAMRYCPDCRRAVAVAVDRASGDTVCALCARVLGQRYVDQSSEWRTFLNQVGGVDGRSVGGAGAGDQSPAHAGVTVVYPAAGDAHSKADSHAETHAGEGASLVALPRMRGAVGADTKRGAGGGGGAPKMRGAVGPVPDRSLAESFHGIDDMAARLQLTAAVKDRARDVLRKLEKVRAFPKGGKCRNRQALYAACLHMACRAEGTPRTFKELASVTGDSATAGLKDIGRLVKVIKGHLREEDGGQAGGEIMMIGAVARPGDYLRRFGSLLGMEDKEVGTALEAAQRLEKNLDVRHNPDSIAAAVMYMAIERAGAGKSIRDVSTATGVSEGTIREIYYKDLYQHANMLFGQ >SECCE4Rv1G0268820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:745085689:745087572:-1 gene:SECCE4Rv1G0268820 transcript:SECCE4Rv1G0268820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISLTSLLLSLPQQWQPVLLALVTALSLLLLIRRKGLKLPPGPATVPVLGNLHQLGPLPHRALRDLARVHGPVMQLQLGKAPTVVLSSAQAAWEALKTHDLDCCTRPVSAGTRRLTYDLKNVAFAPYGAYWREVRKLLTVELLSAQRVKAAWYARHEQVEKLISTLNRAKGKPVALDEHILSLSDGIIGTVAFGNIYGGDKFSQNNNFQDALDDVMEMLSSSGSSAEDLFPIAIGRLVDRLTGFIARRERIFLQLDAFFEMVIEQHLDPNRVLPDNGGDLIDVLIDLWKKPRGTFIFTKDHVKAIIFSTFVAGIDTNAATIVWAMSELVRKPRVLKKVQGNIRDVVGDNKTVPPDDISKLSYLRMVVKETLRLHPPGPLLLPRETMRHVQIGGYDVPAKTKIYVNAWAIGRDPVSWPDEPEEFNPDRFEANEIDFKGEHPELMPFGTGRRICPGMSMAMATIEFTLANLLFSFRWTLLEGMTVDDVNMEEEGRLIFHRKTPLVLVAKPYHHGLE >SECCE7Rv1G0494160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:579822570:579823334:-1 gene:SECCE7Rv1G0494160 transcript:SECCE7Rv1G0494160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYSGSSSQRAGGGGRGELHGPRPAPLRVHKDSHKIRKPPASQVRQPVIIYTVSPKVVHANPGEFMSVVQRLTGASSSSSSLPPPPQSLLPFPFFPQQSSMLPPALPQTQTLPFPFQLQASGPQPHEGALLQHQHSPAARLAAMEQASAPAGRSTGVHRGGLPPLPSILSPVPGSLPAIPPGFFSPSPGGAGGGINLFGELISPAFHGHGALTGTTAGASPTPMSLQYFPAAAAPSPSTPYYWDLFNNHPNHL >SECCE7Rv1G0500890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:676612374:676624655:1 gene:SECCE7Rv1G0500890 transcript:SECCE7Rv1G0500890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVWDSGRRMSRSIGRGMGVETWGVDEAFLHGGGGGGSRRGSRGHAGDDEEALRWAAIERLPTYSRMRTSILQAEEAAAAADSPTTGAEEGKQQQQYREVDVRKLGVGERQEFIERVFRVAEEDNQRFLRKLRDRIDRVGIELPTVEVRFERLTVQARCHVGSRALPTLVNSARNIAEGALGLLGVRLGRQATLTILKDVSGAVRPSRMTLLLGPPSSGKTTLLLALAGKLDPSLRCAGEVAYNGFPLDDFVPQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLLTELARREKEAGIRPEPEVDLFMKATSMEGVESSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFELFDDIILLSEGQIVYQGPREHVLEFFESCGFRCPERKGTADFLQEVTSKKDQEQYWADKQRPYRYVSVSEFAQTFKRFHVGLQLENHLSVPFDKSRSHQAALVFSKHSVSTRELLKASFDKEWLLIKRNSFVYIFKTIQLIIVALIASTVFLRTQMHTRNLDDGFVYVGALLFTLIVNMFNGFAELPLTITRLPVFFKHRDLLFYPAWIFTLPNVILRIPFSMIESIVWVVVTYYTMGFAPEADRFFKQLLLVFLIQQMAGGLFRAIAGLCRSMIIAQTGGALFLLIFFVLGGFLLPKDFIPKWWIWGYWISPLVYGYNALAVNEFYSPRWMDKFVMDKNGVPKRLGMAMLEGANIFTDKNWFWIGAAGLLGFTIFFNVLFTLCLTYLNPLGKPQAVISEETAKEAEDNGLPTDTVSNGSTRRNGSMKSNDGSNNKEMREMRLSARLSNSSSNGISNGISRVMSVGSNEAAPKRGMVLPFSPLSMCFDDVNYFVDMPAEMKQQGVTDDRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKNQATFARISGYCEQNDIHSPQVTIRESLIYSAFLRLPEKIGDQDITDEIKIQFVDEVMELVELNNLKDALVGLPGISGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGKLGRNSQKMIEYFQAIPGVPKIKDKYNPATWMLEVSSVAAEVRLSMDFADYYKTSDLYKQNKVLVNQLSQPEPGTSDLYFPTEYSQSIIGQFKACLWKHWLTYWRSPDYNLVRFSFTLFTALLLGSIFWKIGTNMGDANTLRMVIGAMYTAVMFVGINNCATVQPIVSIERTVFYRERAAGMYSALPYAIAQVVMEIPYVFVQTSYYTLIVYAMMSFQWTAVKFFWFFFVSYFSFLYFTYYGMMTVSISPNHEVAGIFAAAFYSLFNLFSGFFIPRPKIPKWWIWYYWICPLAWTVYGLIVTQYGDMEDIITVPGQPNQTISYYITHHFGYHRSFMAVVAPVLVLFAVFFAFMYALCLKKLNFQTR >SECCE2Rv1G0141710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:936457256:936458506:-1 gene:SECCE2Rv1G0141710 transcript:SECCE2Rv1G0141710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAIAIHGGAGVDPNLPLHRQEEAERVLSRCLQVGVDMLRSGATALDVVEAVVRELETDPCFNSGRGSALTRAGTVEMEASIMDGRGRRCGAVSGVSTVRNPVSLARRVMDKSPHSYLAFDGAEDFAREQGLEVVDNSYFITEENVGMLKLAKESNSILFDYRIPLAEADTVAAASEIHNDSGMVMNGLPISIYAPETVGCVVVDSSGHTAAATSTGGLMNKMTGRIGDSPLIGAGTYACGHCAVSCTGEGEAIIRSTLARDVAAVMEYKGLPLQEAVDFCVKERLDEGFAGLIAVSGTGEVAYGFNCTGMFRGCATEDGFMEVGIWE >SECCE3Rv1G0184990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:669614283:669615721:-1 gene:SECCE3Rv1G0184990 transcript:SECCE3Rv1G0184990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTPPLQLVVAALLALSLSTTASSSPAPANRSSCYKRLFSFGDSLTDTGNYIIHYANASGPVLALPYGETFFGRPTGRWSDGRLIVDFVVERLGFQYWPAYLQGAAGKSPAEELRHGANFAVAAATALSQDFFLKMNLSVDLLTPSPIPPYSLGVQIGLFKKVLAMLASTDQERKEVMASSLFLVGEIGLNDYNHPFLQNKTLEWVRPLVPQVIRSIVLSIEALIELGAKTMYVPGIFPLGCTPLYLFLFPGNDRDPATGCLRGLNDLILVHNHMLEAKLEELRRDHPGVSITYVNSYDNVLGLIKAPTQNGFDKETVLEACYPVFISGSAAVPCVDPSRHVNFDGLHMTEAAYKLMARGMLDGPFAAPPIMSTCNRSC >SECCE1Rv1G0055920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:684227864:684230560:-1 gene:SECCE1Rv1G0055920 transcript:SECCE1Rv1G0055920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPALLLLLLVLIRAAGTSSAPAPPAYAAHCPAPPAVPDPPAGAGLAPAPDPAPALQLSAGYFSGGGDLLFGPDGAARLPRSFALLPTSALRTADASVLRVAATLSFSGAGRARAGVWPSGRNRRLFDYDGQSHRLRPRLPRFVGRRASLVFDLVGYYSSASGDLCMVGSGSGRAADGHPVALLPAVLRLRFPTPANLTSPFVAGRLDSTGPGPGLAFEPVSLLAYAEEGYAYAESASCPRPPADGRDVRQLFGGRNFTCPGLRSLLRPGFRLDYGNGGESAASSLGINQTHMFVNRVHCSADGAVRLYVAFSNMSDYSRYYFMVTEKAIVAEGFWDQNSNRLCLKGCHVVNSGSSRAELAVGECGIGMTFWFPGVWSIQERSFSAGLVWNTSLKSDQGIAGYSTAVRGNFGGLKYNYTKVEEATKYYKQYGLNKKRKGKFPDRNSYLDLAFRFNLQKWGGSGYASPITIGSMLSDGSSFVFSNLFTRPAVLETKQRVLNVSYNIRYVGRWSLETFQRQQISAEGVYDTETGSLCLIACRLVNVSSSDCKILITAHFASLDAKAAQHVQGKIISLRDKTDPLFFETLEIASYGMYIGQVEDSIWRMDLESTMALISMTLSCAFIAVQLFHVKKVPEALPAMSITMLVVLALGYMTPLVLNFEALFKHSNKQTVPFSGGGWLEVNEVMVRIITMATFLLQLRLLQLAWSARSSVDGSKHEAWAAERKVLWVCLPLYIIGGVITAIVHMRTNHSRRTLRQVARLMPPRHTFWEDIVPYGGLLLDGFLLPQVILNLFSASKVRALSPVFYIGGTMLRALPHAYDAYRTHHFVRSMRPSYIYASSRDDLFSLAWDIVIPCGAVLLATLLFFQQWLGGAFFLCSKRRKPSSEYEMVSTVSS >SECCE2Rv1G0074250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75118747:75119238:1 gene:SECCE2Rv1G0074250 transcript:SECCE2Rv1G0074250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHEENHGRMIDHEKYKKELEKVKKELDTLGDQYSQLVQDVTKMFDWADQNNRVMSDEEYKKKQMDVDKDMVKLAISKEKESDAIGKMKEMEKLAQEHKEMKCILRSQGEIIRNTRKERDDLKEEKDWQIEEKKKLEFLVGDLMKAGHGNKDKLAKIKSILDE >SECCE4Rv1G0255680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:649303786:649304355:1 gene:SECCE4Rv1G0255680 transcript:SECCE4Rv1G0255680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLQSGHRIHSWPPAPSRVGRRPPAAYMAASKGARKSLVSRTLERCRSGLNSGGRSSAAVAPGCFSVYVGPERERFVVRADRANHPLFRRLLDDAEQEYGYAAQGPLALPCAVDAFLDVLWHMDHDVQDDDDDDGEAAVAPSTPICGLQRAGSGSIKVRPAGYRVLSPAKSTPASFFFSQTAAGGRR >SECCE1Rv1G0031520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:443724539:443725165:-1 gene:SECCE1Rv1G0031520 transcript:SECCE1Rv1G0031520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAPSARRVAVLRCIVAALVVTVLLAGLVVLVFWLVVRPKPIEYSVARAAVRHFNVTTPPGGGGGATLNASFYLTLAADNPNRRVSMRYSSVVIYVHYGAGEVAPQLAVADVPDFRQPSRNETRLEVHAVARSAPVPDWTARELEHDRSDGEVGVEVRVTAIVHFLVGGVKSRHYNMRAICSPVVIGLSPSSAHSFRGVPCDVAIS >SECCE3Rv1G0194450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:781146018:781152222:-1 gene:SECCE3Rv1G0194450 transcript:SECCE3Rv1G0194450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLACKALKKPKSAMVIISSASTKLTWILAPLFVLATTTIRVHGQPSSTSGFISLDCGNRNTTPYTDSSIRGIQHQSDAGFVEGGLTHQIAAVYMAGAPYESQKTLRSFPNGSRNCYTLPSTPGKKLARALFTYGDYDGLNRSMDGSLFLFGLHIGANFWEAVNLTSWDPSSTIWKEVLTVAPGDSVSVCLVNFGTGTPFVSSLELRPLQDSMYPFVNSSVSISYFRRIRFGQATDFITRYPLDPYDRFWEGWSFSYNTYPWMTLNTSSTVRRLPGDNTFQVPEGILQQASTLDTNYSFFKINVAAGPNLDAKNLQLLPIFHFAEINISNQNRRFDIYSDNDLLFPDFSPSRFQADSMHENGRFLHNPTATFLLNKTRSSRLPPLINAFEVYSLVRMDNLTTDADDVNHMKEVKKHYNLARINWNGDPCSPRDYSWEGLTCDYSKRNQNPRIVAVDLSTSGLKGGLVISFMNMASLENLDLSHNNLTGAIPDYQIKSLKVLDLSYNQLDGPIPNSILQRSQAGLLDLRLEGNPVCSKVKDTYCSNKKNTKSTMLIAVIVPVVLVSLLVGMCILWKLCWKGKSGDSEDYAMYEEETPLHIDIKRFTYADLKHITNDFKQIVGKGGFGTVYHGTMENGDEVAVKVLMETSIAESTDFLPEVQTLSKVHHKNLVTLQGYCQNTKCLALVYDFMPRGNLQQLLREGDDYSLTWEQRLHIALDSAQGLEYLHEACTPSIVHRDVKTANILLDKNLVGIIADFGLSRAFNDAHTHISTVAAGTLGYLDPEYHATFQLTIKTDVYSFGIVLLEIITGKPPVLMDPHTYHLPNWVRQKIAKGSIQDIVDKRLLDQYDSSSLQSVVDLAMNCVESAAVDRPSMTEVVSRLKVLLPTTPSQKQYVSASSTKSMNDDMRKQFELLISGVGNEESSSSFQSGYTSRMAELPPLSGR >SECCE3Rv1G0209250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:937409240:937410713:1 gene:SECCE3Rv1G0209250 transcript:SECCE3Rv1G0209250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMCAAIWSSVDGRSEESEMIAHLQSMFWSDSDAAVNLCSPSTSANSCVTASTVHSNLFLPLDMESHVVTTPMVNTGMDPCFDHRHQAVTGHKRTSHMDEQIKRTSKKSRTVPSVSDAVCISLADSETNGGTVNQSSSSCCSSEEGSIGASAEESFVPNQGDNSRGCKRPSKNLQSLYAKRRRERINEKLRVLQHLIPNGTKVDISTMLEEAVQYVKFLQLQIKVLSSDETWMYAPLAYNGMDISLSLRITAAQE >SECCE3Rv1G0181800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:618279893:618282580:-1 gene:SECCE3Rv1G0181800 transcript:SECCE3Rv1G0181800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVLVESVERLATAPAVGGPPAPPPKPAASPPPPAPSSPPPPTPPPKSPPPSSPPPASSGDKQSPNLPPGSPPPEKSPASSPPPPASPPPSTPLASRSPPPSSPPPSPPPQQSSPPPAQSTPQSPGVSTRPSPGATTSPSQQSPPKATTSPPTSPPPSQPKNPAPAIPSPSPPLNAESAPAGAGTSPPPLQTPGTPTSVTAAAGQVPAAPGTPSAASLLPPSGIMPASGPPPGTWRSPPGPGAAKASPPSLAPPVRASGENLPTGVIVGVAVAGFLMALASLFMVACLSDRGEKRRPPMRKRRTMVVPAHAGAPDSYPPSNGPAASPSDTNSYEFTGYQSCFTYDELVGITGGFSAQKVIGEGGFGKVYMGALGDGRRVAVKQLKVGGGQGEKEFRAEVDIISRIHHRHLVTLVGYCVTENHRLLVYEFVSNDTLEHHLHGEGLPVMDWPKRMKIAIGSARGLTYLHEDCHPRIIHRDIKSSNILLDDAFEAKVADFGLAKLTNDSMTHVSTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDSSQPIGEESLVEWARPLLVDALETDDFREIADPALQCRYSKIEMRRMVEAAAACIRHSVAKRPRMVQVWRSLDVDGGSTDLTNGVKLGHSTAYDSGQYSADIELFRRMAFEADLDTDEYGLSDEGEPRPGSGRQQRTAAGR >SECCE7Rv1G0477720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:226439636:226441310:1 gene:SECCE7Rv1G0477720 transcript:SECCE7Rv1G0477720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVHVEEMLHEAAAAAPRSLLVATAVLFSLVVLPLLLRIITKQGAASDAKLLSLLPSPPTKLPIIGHLHLMGDLPYVSLAGLAAKYGPELMLVHLGAVPTAVVSSPRTAEAILRTHDHIFASRPRSMVFDIIMYGQTDSCFAPYGEHFRKARKLVTVHMLNARKIRSQRPAREEEVRLVIGKVAAAAAARESVDMSELLHSYVNDLVCRAVSGKFSQEEGRNKLFRELTDINAALLGGFNILDYFPSLGRFELVCKVACAKARRVRKRWDLLLDKLIDDHAARMVSREDEAQAEQEEDKDFIDVSLSLQQEYGLTRDHIKAILIDMFEAGTDTSYMTLEFAMAELIRKPHLMKKLQEEVRRNVPNGQEMVAEDDLPNMTYLKAVIKETLRLHPPVPLMIPHFSLDACTVDGYTIPANTRVVVNAWALGRHSGYWENENEFQPERFMNGAGVDLKPNEFHYLPFGFGRRMCPGVHSASATVETMLANLMYRFDWKLPPGLKEEDIDMTEVFGITVSRKEKLILVPVAM >SECCE2Rv1G0104910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:612669053:612670863:-1 gene:SECCE2Rv1G0104910 transcript:SECCE2Rv1G0104910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase 2-3 [Source:Projected from Arabidopsis thaliana (AT1G44790) UniProtKB/Swiss-Prot;Acc:Q84QC1] MVMWVFGYGSLVWNPGFAYDARLVGFVRDYRRVFYQGSTDHRGTPEFPGRTVTLEHQPGSTCWGVAYKISREQDKQTALEYLEVREKQYDEKVYLDLYTDSSPKIPAVKDMMVYLATTSKEANQNYLGPAPLEEMAKQIYLAEGPSGPNKEYLFKLEDALNKIGVVDQHVQDLATAVRKYAETI >SECCE6Rv1G0445160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:836241307:836241921:-1 gene:SECCE6Rv1G0445160 transcript:SECCE6Rv1G0445160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPGSLPRRSTAAAASSGKPSPSPSSLPPPRPEQQTALVVAVNSDMVVILASLFCVLACALGLALLSRRACRRRSSDHYPPPPKGLRKKAIEALLTVPCAATASSSSECAICLAEFADGEAMRVLPGCGHGFHVACVDAWLRTRATCPSCRAAIVATPMQMQLQPAAAAPTVVVVVAGSSRCGRCGEAPAPAGARATDGAFLA >SECCE6Rv1G0402660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:486446719:486453705:-1 gene:SECCE6Rv1G0402660 transcript:SECCE6Rv1G0402660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGTTKTLERYQHCCYNAQDSNGALSETQSWYQEMSKLKAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECSLSLARQRKTQLMMEQVEELRRKERQLGDINRQLKHKLDAEGSNSNNYRAMQQITWAAGSVVDEGAAAYHMQQQQQQQHPNHSAAMDCEPTLQIGYPHQFAAPDQTANNIPRSSAPGGENNFMLGWVL >SECCE6Rv1G0400330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:450257901:450260416:1 gene:SECCE6Rv1G0400330 transcript:SECCE6Rv1G0400330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61670) UniProtKB/Swiss-Prot;Acc:Q9FKF4] MLCSGRMLACSGLSPGRLRPPRAYADRLRPPLPARSWRVAASAAAPGGSPDLPSSSSTPPPFGAGDDQAAAAAASSSSSSSSGFCIIEGPETVQDFDKLDLQEILDNIRSRRNKIFLHMEEIRRLRIQQRIKNAELGISNEEPEGELPDFPSFIPFLPPLSAANLKVYYATCFSLIAAIMVFGGFLAPILELKLGIGGTSYADFIRNVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGAVVLTEPVSTFSDGDQPLSAPKTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >SECCE2Rv1G0136000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910009091:910009672:-1 gene:SECCE2Rv1G0136000 transcript:SECCE2Rv1G0136000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLPVVALLALLFGASRAADFEVGGDAGWAVPAAGDSGTYNDWASKNRFLVGDSIHFKYKSDSVMEVTQEEYDKCGSTHPIFFSNNGDTEVRLNRPGPFYFVSGVTGHCERGQKMVVKVIGQNEPPPAPPAPPSGAAPAGIGSGAGAMVTAAVAAILPALIVLGV >SECCE7Rv1G0495620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:599808388:599808804:1 gene:SECCE7Rv1G0495620 transcript:SECCE7Rv1G0495620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAARNPRLVVVVLIIVVLLLSSSSALAVAGPPSASARPTAVAGARARQDFHVATTPLHDASVAKNGAGRRPAGGIRRRRADRGRGGGGGTGAWAFSAMLPRGFVPPSGSSACHNDMPATAADAQFYTCGGSERP >SECCE6Rv1G0447920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:852409168:852411853:-1 gene:SECCE6Rv1G0447920 transcript:SECCE6Rv1G0447920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHASAALPVIDLASTDIGAATKSVRQALVDYGFFYVINHGIDDALMRSVYAESSSFFQQPMEEKMALHKNSSHRGYIPPDFEGFEADAGGKGDLLECFHIGSGVDGDSKNHVNQWPPAERFPYWKETMKSYYQSAMGTSKRILSLIALSLDLDAEFFKPDGSETILRPIHYSGRAIESKHGSDHGANAHTDYGMLTLLSTDGTPGLQICRDKDGRPQLWEDVHHIDGALVVNIGDLLERWTNNVYRSTLHRVLMVGKERYSVAFFVWPSPDTMVTCIESCCSEANPPRYPPIRSDEYLEQRLTSTYKYK >SECCE4Rv1G0274960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:789500497:789501099:-1 gene:SECCE4Rv1G0274960 transcript:SECCE4Rv1G0274960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTTSIIFCMIFFMLLSTNIPTKASGSASGNSKTTDLMVEACKNASIYAFVNTVPEIFCLSTLQSDNRSIKAKDLHDLVLIVMDITKGRLTAASGMVKKMLQNAKKGTIRMRVLRFCELDYEEMASILNICDAMIRNYQGYKGNMRFVELASCVTDAYLPAGTCEEVALFRKADELQMIVQMGANFLAPIAAPEEMLF >SECCEUnv1G0535380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:56231936:56232484:1 gene:SECCEUnv1G0535380 transcript:SECCEUnv1G0535380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARAARFMVLLQIALFVVFAVIISSSVCHGAGIDHGPLNPSRGATCGGRACGQRGGTYTGRPQPYPYHPQPGPGAPPPYNGGIGRP >SECCE1Rv1G0042740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:583888845:583889420:1 gene:SECCE1Rv1G0042740 transcript:SECCE1Rv1G0042740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDSDAPTSSLAARLAALHDHAHGHGGGAAADSPFDTNVVIILAALFFALLLAIGLNSLARCALRHVGRGAAVAAGEGGATARLACSGSGIKRRVLRSLPVEVYGSGEDIDDVCAICLGEFVDGDKVRVLPQCGHGFHVRCVDAWLVSHSSCPTCRRPVITGAPPKGGDGGIGHNQCPAETDTITVVIV >SECCE7Rv1G0462430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45970606:45972033:1 gene:SECCE7Rv1G0462430 transcript:SECCE7Rv1G0462430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALNLVLCMLLLSHGSAQARKTVPGVTVPAADRDGVSGRERFLGGSRRRRELLAGAGATTTRDVFAPVTNPVTVPATNPASPGGIVTVPATNPGTGTGFATNPNLPPLYPEPSATPDPTTMPAPFTNPVAAPTMPAPFTSPVTNPATTPTPTPGTSPITNPATTYPGGAAGAGAGVGSVPTTPVYQAPATTVPTGATPTTVQPAAGGTGQPTWCVAKAGVTEAALQDGLDFACGMGGADCSALQPMGSCYNPNTIQAHASYAFNAYYQRSPSPASCDFGGAGMLVATNPSSGACMYQTSSGSGSAAGNSPAVTGTTTGPVGVTPSFGPVGTTTGTGTGPAVSSGGSGSTVLNANTYPGGTSMYGPGNPTGFSDASSGAASLNCSWVLSLIWMFTIAYVKVKV >SECCE2Rv1G0084040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172425946:172426320:1 gene:SECCE2Rv1G0084040 transcript:SECCE2Rv1G0084040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNTVVFLLGLFLSSFAMSNAARMLEEEKAPSKGEEHQPEVPTLPKVELPPFPEVHLPPKPELPKVELPTFPEVHLPPKPELPTFPEVHLPAKPELPKVELPPKPDMPTIPEFHFPEPEAKP >SECCE7Rv1G0514440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:834072996:834074827:-1 gene:SECCE7Rv1G0514440 transcript:SECCE7Rv1G0514440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-4 [Source:Projected from Arabidopsis thaliana (AT1G30690) UniProtKB/Swiss-Prot;Acc:Q94C59] MGVEVVSGGAVAAAAAAPAKEVSAKAEVEAGAAAVAKNMSFREESNRLGDLKDAERKALAELRAKVEEAIVEGRLFDLEEGSSGKVKVKVEAKKKEGKKKDGKKKKAEAAEEKKEAAAADEKKPEVAAEEEKKEEVVAEEKVEAGEEKKEEESKEEVAQPAEEKKEEAAAAEEKKEEAVAEGEKKEEAAEEKKEPEAEATAEKEETAVETEKAAAVVVDKDVALWGVPLLPSKGDEATDVVLLKFLRARDFKAGAAFEMLRRTLRWRREWKSLAATADDGDEDALPEDACRLDGADREGHPVCYNALGVFADEAVYKSALGTDGGKKPARFLRWRVRAMERHVAELDFAPGGVASLLQVTDLRGSPGPAKKDLRVAMKQVLDLFQDNYPELVARNILINVPFSYYAFSTVLFPFLTQRTKSKLVVARPSKVTETLLKYIPIEAIPVKYGGLKRDGDTEFSSGSDDAEIAEVVVKAGSTETIEIEAAEGDTTLTWDVTVLGWEVRYTEEFVPADEGAYTIVVSKGRKVGAGEEAVRNSFRAAEAGKVVITVENATRGRKRVLFRHKAKSALAKKC >SECCE5Rv1G0357050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:743439646:743439882:1 gene:SECCE5Rv1G0357050 transcript:SECCE5Rv1G0357050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSEPAGAVAGSKKPSWPELVGWPERDAALQISRDRPDVLISYIHGTGPWPPGPHPWRVVVVSDASTGIIVQTPIVG >SECCE6Rv1G0400380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:451087319:451090033:-1 gene:SECCE6Rv1G0400380 transcript:SECCE6Rv1G0400380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIAPDRTRMAPEIEKDEALEGDRESDPALASTREWQLEAMPRWQDELTVRGMVAALLIGFIYTVIVMKILLTTGLLPTLSVSAALLSFLVLRGWTRLLGRFGIVSRPFTRQENTIVQTCGVACYTIAFGGGFGSTLLGLNKKTYELAGDSPGNVPGSWKEPGIGWMTGFLLACSFGGLLTLIPLRQVLVVDYKLVYPSGTATAILINGFHTDQGDKNSRKQIRGFLKYFGGSFLWSFFQWFYIGGDGCGFVQFPTFGLKAWKQTFYFDFSMTYVGAGMICPHIVNISTLLGAIISWGIMWPLISKNKGDWYPAKVPESSMKSLYGYKAFICIALIMGDGMYHFTKIVGITTMSMHRQFSRKQVDNKAKNVDERVSLEEFQRQEVFKRGHIPSWMAYGVYVLFSVLAVVTIPVMIKQVKWYYVVIAYVVAPMLGFANSYGTGLTDINMGYNYGKIALFVFAGWAGKENGVIAGLVAGTLVKQLVLISADLMQDFKTGYLTQTSPKSMISQVIGTAMGCIVSPLTFMLFYKAFDIGNPDGTWKAPYALIYRNMAILGVEGFSVLSKYCLAISGGFFAFAAVLSVARDVIPHKYAKYVPLPMAMAVPFLVGGSFAIDMCLGSLIVFAWTKINKKEAGFMVPAVASALICGDGIWTFPASLLALAKIKPPICMKFLPAA >SECCE7Rv1G0478470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:237587521:237593414:-1 gene:SECCE7Rv1G0478470 transcript:SECCE7Rv1G0478470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRNAVHRAVEAGGGGISLTTRTVRTGLGTVVHHAGQAVVGGARLINGIGNRYYKSLKLTAKRLEEAALSCRGEERVQLLRQWLVGLKETERAASSMPADGPNQAAPVLDLYVDYEKADEPMTFFHVFLYSQALECIVLSMIHEAPTEEEVSLLSEIFGMCLNGGEDVHNTLLSSICDLASLFSCYSDEVLAKRDELLQFAQCAISGLKMNSEIARLDNEIMQLKQEINAIDAVRANITRNRNKASPRVPEDFKTAVAEVRLCSRMEDLVLKKKSIHPGDSLETHFQKVDKLKVLSESLANSSAKAEKRIMENRLQREESLTFKVTKTNEVSIAEKELEGEITGLQKQRDQLEEELSNVNTKLNATVVKLKKTREERDQFDEASNQIVLHLKAKEDELSRSVASSKVEASTVRAWINFLEDTWKLQSLYEEIKEKQANDELDRCGVCFVNLIKHHVSACVDELNTSVDCIKTFVDNLRIFSDRSVSTDDGGLSKQSNPRKYLEEEYLETEKKVVAAFSLADNIRALAFSDLERKTRLDDPEVKNLFASIDRLRVEFESVPRPVLQMETNEKEERSRRTRSLSFKGAGGTPTHSRTESPIAAQLRTRLPSESDSEPGKFDQDYREYGADDISGWEFDDLEGELRSGF >SECCE3Rv1G0204820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:897329481:897334993:1 gene:SECCE3Rv1G0204820 transcript:SECCE3Rv1G0204820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLAEMAKEEVAMLIGVSDGVKDMSIKLGDLKNFLADADRKNITDESLQGWVGELKRAMYLATDIIDLCQLKAMEQGQTKDRGCLNPLLFCMRNPLHAHDIGTRIKALNKDLDSICERGNKFKFAKLEAYQDLETTRSHATDRKTGSLMERSGTVGEKIEEDTRALVKLLTREVEGDKSNRLMVVAIVGVGGIGKTTLAKKIFNDEAIKGKFSKWIWLSITQDFTDVELLSKSIIAAEGDLPGGSAARDKDLLVRALMNTIKENKFFLVLDDLWGVDAWNKHLMTPFSYGGCGSRVLITTRHETVVQSMKAVHHHHIDKLGPEDAWSLLKSEVLTTEEKGHEVDVLKDLGLQIIAKCDGLPLAIKVMGGFLCNKEKSRRDWEDILHDDIWSVSRITDDLNTAIYLSYQDLSPYLKQCFLHFSLKPKKVVLRVDEIVSMWICEGLVQGDSNSLEEEGKKNYKELILRNLIEIDPTFPSQLICNMHDVIRSFAQFMARDEILVAHNGDAAKRALRSSNFLRLSIETKGVGSDEVEWRYLREQKLLRTLILTANLKIEHGDSLTNFPRLRLLHIESASIAALVECVHQLKHLRYLTLKRTDMCRLLENIHEMKFLQHICLEDCESFVKLPDGIIKLQGLRFLDIYGTRVNSIPRGFQALTNLRLLSGFPAYIDGDWCSLEELGSLSQLNYLSVKCLKNVSSALLAAKAKVNAKKDLKTLILNCGVKVEDGLVQGGVSESGSEEQIIEAVVDVLCPQPCIEHIEIEGYFGRRLPRWMASTAMVPLESLKIVVLQQLPCCTKLPDGLCRLPYLEWIKVAGAPVINCVGPEFVQQYNHLHRPSSQLAATFPKLQQLDFSGMKEWEEWVWETEVKAMPLLEELRITSCRLGCMPPGLMSHAVALKKLQIWNVQGLHSLENFVSVVELDLGNIPELALISNIPKLQKLKISYCRKLKTLKDMDALRRLQLRVSRWENQLPVYLQTVNPSHLLLTCNLSVLTSMAEGESSADWDKFSHIKQVEAYAEDGGDEKKWHVLYTSESSNIQTNIHQGRLVEEED >SECCE7Rv1G0481970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:297257640:297259215:-1 gene:SECCE7Rv1G0481970 transcript:SECCE7Rv1G0481970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:Projected from Arabidopsis thaliana (AT1G48000) UniProtKB/TrEMBL;Acc:Q94CJ3] MDMAHERDSSSEEEVMAGDLRRGPWTVEEDILLVNYIAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGSITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAAATAEAVQTAADTPLSWQHGADDALNESPELPVDACWPAEYAAVAGGQLPNTSVAELSSTTTAGSSSPSTTDSGAGAQPSWPAAVDGAEWFTTACDASSAAATMCDTDQLIQQQQQAPSQLAGAWTSEPLPSPGFPELGVADFEIGSFDVDSIWSMDDLWYTQPQFV >SECCE1Rv1G0015920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:132525505:132527958:-1 gene:SECCE1Rv1G0015920 transcript:SECCE1Rv1G0015920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSSNHPAPAAAAAGKSKSSGQAAAAASGQGHQPSPATPAAAKSKSSAAAGASGHAHNSSPATPAAGKSKSSAHAAAPGQASSSNQPHAVGGADAVASSLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDMRKLHRATELLSMNEELKQARKAFDVNEETLVVTNE >SECCE6Rv1G0429010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:732859734:732860213:1 gene:SECCE6Rv1G0429010 transcript:SECCE6Rv1G0429010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMITLKSSDGEEFQVEEAVAMESQTIRHMIEDDCADNGIPLPNVDSKILSKVIEYCKKHVQASPKPADSTTEDLKSFDAEFVKVDQATLFDLILAANFLNIKGLLDLTCQTVADMMKGKTPEEIRKTFNIKNDFTPEEEEEIRKENQWAFE >SECCEUnv1G0529770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8997467:8997910:1 gene:SECCEUnv1G0529770 transcript:SECCEUnv1G0529770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKEMAPVVLGVLGVMFLVGMADMSGLPGCRRPERVELQELAVQVRRTLVLSASTAAGTLLWLGKAADDLSAAGWDWEMRAMFIVDVNLSLTSTFLALSALILELSCDRVVYYCIAAALGGVHLVAAWVVRGRLRALRLRRRRAD >SECCE3Rv1G0145000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5599300:5601700:1 gene:SECCE3Rv1G0145000 transcript:SECCE3Rv1G0145000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQNFFFFITSMVVPRGTAAPVLLKWFVSRDVPTGASSSNGTIIPIPIPLFLFCGVLVKASRPILLPDKMERSSSARNALFHFVHVLHFLIIESMGDLSYLESFCGLLCLQFFRTLFSLPRDRSAKRERALRSKGQTLRPKGNEQQNDKMRCPGHPHIERRVEGFGGACLGGVPPESGLEALALPTSRLLMAVGHDYYKKVKMNLSISHGGVCIFMLGVLLSSTNTNKIQFTQRLPLGPELHMGKERCCLRGLDHLHGPISHSICGNLMIYKPSPTSERFMFEHDESLRADLLPINFPASYENGKLEDFLHRWMKNHEHKNFWFSMFPERRYFFSIRETRSTTEVAIHTNPFTDLYAPIGTGSSRTGGWYTTIMKLPFIFSIRIGFMLASSGGSRSLLRQLQKDKLHWNRESFVHNCIKGVKIVAAARRGSTFDIE >SECCE6Rv1G0452410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:877053110:877063097:-1 gene:SECCE6Rv1G0452410 transcript:SECCE6Rv1G0452410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSKDQTPSLESKPNEGIQLHPELLIAAAHGDSNKTKLLLLHREEDAARLPVEVVLDVEETITDVQKPAAATILPSEAVTGTGDSVLHVVASSGDADAFLETATVIVGKTNHLLGARNGKGDTPLHCAARLGNARMVSCLLALARGTSGERDGAADERVKAILRMQNGKGETVLHEAIRLRDKEMVVVLLSADSKLARVPLTDAASPLYLALSLGHREIAQQLFDKDKELSYSGPDGRNAFHAAIQWKGGEEATKMLLERNKDLIKQADRSSGWTPLHWAALLGETSVTKLLLSPDPSPAYLPDTTGSYAIHLAASNGQPDNVLALLQESGPYCAQLRDGKGRTFLHVAVEKESWDVVMHACRWQGERFASSVMNMQDNDGNTALHLAGQVRDIRIVHALVSIPVVKLNLTNNKGETPREFAFIRYKQEGRNSRYSNPRPRICRLLDDAAHLTVSPRKNILPREIHNEEDRSQTIVDRTQIIGLGSVLITTVTFAAAFAVPGGSRADGTPTLAGQYAFDAFVMANTLAFIFSSLSIIFLMFAGLGAKLGRRIFSMLRSLNFLAYSARSLGAAFAFGMYAVLAPVKRTSAITSCGVALGGLVLVEADWAFRKVKKVYNDKMVLVKRFGSREWLSLALAVLRTLMFELAPYIIISLSGWI >SECCE6Rv1G0408060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:567888068:567889515:-1 gene:SECCE6Rv1G0408060 transcript:SECCE6Rv1G0408060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSQLLLLVLSITCHALITGAAGERSYKVLSMSSLKPQADCSEPKVNPSSSGGATVPLNHRHGPCSPVASKEEPTFEELLRRDQLRAGYVQRKFSKDHRGGALQQSEGVRVPTTLGSSLDTLEYVITVEIGSPAVKQVMSIDTGSDVSWVHCNSTAGAALFDPIKSATYAAFPCGAAECTQLGEEANSCSKSQCQYMVRYGDGSNTTGTYGADTLSLGSEAVKDFQFGCSRVEEGFTDQTDGLMGLGGDAQSLVSQTAGKYGRAFSYCLPASASSSGGFLTLGAPTSTPGFVTTGMLRSKQSPTFYGVLLQGIQVGGNNLSVPPSVFSAGSVMDSGTIITRLPPTAYAALREAFKDGMKQYNATASRSILDTCFDFSGQDNVTIPTVALVFDGGAVVDLDANGIIFGSCLAFAATDDDESTGIVGNVQQRTLEVLYDVGHGVFGFKSNAC >SECCE1Rv1G0037360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:521997627:521999649:1 gene:SECCE1Rv1G0037360 transcript:SECCE1Rv1G0037360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPADEDGSPKKTKQGGFKTMPFILANEVCDRFATAGFNANMITYLTQQLHLPLVEASNLLTNFMGTAAFTPVFGAIIADSYAGRFWTIAAGGTLYQLGMLGLVVSALATALRPPPCAAAALSTPACQRANGGQLAVLYVSLLFTALGSGGIRPCVVAFGADQFGVGGRRPGGEQKWSFFNFYFFTMGLAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSVLSFVVGYPLYVRVKPGGSPFVRLVQVIVAAIKKRKEAVPEDAGMLYQNKELDAPIAADGRLLHTNQLRFLDRAAIVTTTDAVDSGAEPDLWRLATVHRVEELKSIVRMLPLWAASITLIAAASHNFTFAIQQSRTMDRRLSPRFEIPPASMIIFTTLTMLVSLSLYDRVFVPLARRYTGRQSGISYFQRMGAGLAVSVLGVLAGALVEGKRRRAAAEHGLLDSPGATVPISVFWLVPQYALHGVSDALSTVAHMEFLYDQSPESMRSSSAALFWVAGSLGNYLGTVLVMVVQSASGGEWLQDNINRGRLDYYYWLVTFLMALNLAYYIVCFHFYTLKSFDAEGNGAQRRQDDGEGQSRSAEQETELDAGRIGASENCELGHS >SECCE1Rv1G0033120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:463103060:463104827:-1 gene:SECCE1Rv1G0033120 transcript:SECCE1Rv1G0033120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEMGWKAGSAFRVDVVEEEEEDAAAAIPPPQTPLEPMEYLSRSWSVSASEISKILVGGKKSCLNRLPLQEMGIPEASAVLATTAIVPSYRHHTDARRSSISGIGHHQSIGKWFQHKDASRSKQSSKEKLRADRAHVHAMVSVVRVAAAVAAVAAASTSSDTQASKMAAAMAPATELLASHCVEAARLAGASHEQVASAVQSAVGVRSAGDLTTLTAAAATALRGAATMKQRMQRETARSNASVLPYEKGHSWSPDVWCKEGELLKRTRKGDLHRTKVSVYINRRSQVMLKLKSKHIGGALSKNNKSVVYGVYRELPTWVIEPGKAFMDEKRCFGLSTAQGIVEFECQDGTSKQNWVDDVQNLLRQVAADDRVGDKLGSVVKTG >SECCE4Rv1G0294710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:892392572:892393786:1 gene:SECCE4Rv1G0294710 transcript:SECCE4Rv1G0294710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTTFLNGELDEEIYMEQPDGFVVPGQEGKVCKLLKSLYGLKQAPKQWHEKFERTLTSEGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLDLIKEVKDFLSRCFEMKDLGVADVILNIKLLRDDNGGITLLQSHYVEKVLSRFGYSDCKPSPTPYDPSVLLRKNRRIARDQLRYSQIIGSLMYLASATRRDISFAVSKLSRFVSNPGDDHWRALERVMRYLKGTASCGIHYTGNPRVLEGYSDSNWISDADEIKATSGYLFTLGGGAVSWKHVNRRLKSIRKLKNSGVITVDYIQTTMNLADPFTKGLSRNVIDNASRGMGLRPTV >SECCE4Rv1G0279080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:812009626:812009922:-1 gene:SECCE4Rv1G0279080 transcript:SECCE4Rv1G0279080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDFVRADNRFKVVVPRNFALVCFRIKPSGSMTEDDADEANRQLVENLNKTGKAYLAHTVVGDKFVLRFAVGSSLQEERHVRSAWDLIKKTTSSIME >SECCE6Rv1G0384370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:63130216:63130487:-1 gene:SECCE6Rv1G0384370 transcript:SECCE6Rv1G0384370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESPFLPRERLFKQQQYFQSLSKHTHLKGRYDAITSVGIPLALAASSLFMIGRGVYNMSHGIGKKQ >SECCEUnv1G0539430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75768980:75772617:1 gene:SECCEUnv1G0539430 transcript:SECCEUnv1G0539430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase III precursor (EC 3.4.16.5) [Source: Projected from Oryza sativa (Os02g0114200)] MATTTPRLVSMLLLLALCAAAAGALRLPPDASFPGAQAERLIRALNLLPGESSGRHGARVGAGAEDVAPGQLLERRVTLPGLPEGVGDLGHHAGYYRLPNTHDARMFYFFFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTIANNMSLVWNKFGWDKISNIIFVDQPTGTGFSYSSDDRDTRHDEAGVSNDLYDFLQVFFKKHPEFVKNDFFITGESYAGHYIPAFASRVHQGNKKNEGTHINLKGFAIGNGLTDPAIQYKAYTDYALDMNLIQKADYERINKFIPPCEFAIKLCGTDGKASCMAAYMVCNSIFNSIMKLVGTKNYYDVRKECEGKLCYDFSNLEKFFGDKAVRKAIGVGDIEFVSCSTSVYQAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFAKTAESSFLVDDAQAGVLKSHGALSFLKVHNAGHMVPMDQPKAALEMLRRFTQGKLKESVPEEEPAATFYAAM >SECCE7Rv1G0466700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:80812915:80814209:1 gene:SECCE7Rv1G0466700 transcript:SECCE7Rv1G0466700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAPTMAVPTDAQLIQAQADLWRHSLCHLTAIALRCAVQLGIPTAIHRLGGTTSLPDLVTALSLPPSKTPYLGRVLRLLATSGALASPEEGTYSLVPLSYLLVDGVFIDGEASQKAIVLTTTSRHYIEAALGLADWFKKDIAPPPSPFEDVHGATLFEESMALLDPDSDKVFHEALAAHDHLGIGTILRECHDLFKGVQSLTDCCGGDGTTARAIVKAFPHIKCNVLDLPKVIEKVPSDGIVNYVAGDLFHTIPPAQAVMLKLVLHFWSDEDCINILAQCKKAIPSREMGGKVIVIDIVVGSSSKEMLETQLLMDMLMLVCTRGRQRDENDWSIIFTKAGFSDYKIVKKLGPRGVIEVYP >SECCE6Rv1G0382230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:40022964:40024461:-1 gene:SECCE6Rv1G0382230 transcript:SECCE6Rv1G0382230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHVTTQPPAGGSPLPRTGNNSTEETRKRPADEDAERPSPKKLRKKIMRSLCEVREAQATLYDPKRRGFDCCRGFEWYKREPAVFDHEEESMARFSRPLDEIPESQLDNLMLALNVIHVKILDSDVGFPINVFGNVLMRDALDFKCIYLFQRDRDNCQVISSPNEMLNLIGPNRGPVEASVFYFEINLKIRGEEPAMDRVFSRTLVDENYPLGPWTKKQQVSSWLSTLELAYRSVHYAVQATIGVKILRGPRFFHGSLIASTSEEPSEMMLYDSERWGANTKVAEDGSVNLHRCLVILREDDDLFLKVCVFGRHHRRAKPKTTVLTVEHSDRSFDIKLGCYYLQVTVSWTGILLR >SECCE2Rv1G0123260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:822762859:822770330:1 gene:SECCE2Rv1G0123260 transcript:SECCE2Rv1G0123260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEGGTSLHSPLVARVHEFLLLRTAAMLGDSPSSITSQYLQEWPEVYSPCFWTSTFVLIQLVFITSIVAQFLFKRIRWCRQRLKAATPESNKHSNQEQKNADIKLGVSYQASKFCCLLILATHVLRIFFLQLQGRVSGCKYPPFVLGEGIQVLSWIILSLAVFSLQKTKSAKHPLIIRAWLILSFLQSVISVIFDLRFSLSDHGYVGLAELMDLFTLVICTYLFAISARGKTGIISINSGITEPLLSPSAGQQTETKRTCLYGRASLLDLVTFSWMGPLFAIGYKKPLDKNEVPDIDESDYADLVADSFKRILADVERRHGLSTLSIYRAMFLFIRRKAIINAAFAILCACASYVGPSLINDLVRFLGAERKYGLKKGYLLAVAFLSAKVVETVAQRQWIFGARRLGMRLRAALISHIYQKGLRLSCSARQKHTSGEIINYMSVDIQRITDVIWYTNYIWMLPIQLSLAVYVLHLNLGAGAWAGLAATLAIMACNIPLTRLQKRLQSEIMAAKDNRMKATTEVLRSMKILKLQAWDTEYLQKLEALRREEHNWLWKSVRLTAFTTFIFWGSPAFISSITFGTCILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVAQYLQEEELKDDAITEVPRSVTDYDVEIDHGAFSWELETTSPTITDVNLKVKRGMKVAICGMVGSGKSSLLSCILGEMPKLAGAVRVSGSRAYVPQTAWILSGNIRDNILFGNPYDKEKYQKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGAQLFKDCLMGMLKDKTILYVTHQVEFLPAADLILVMQDGKIVQKGRFDDLLKQNIGFEALVGAHSQAIDSVINAESSSRILSTESQKLADSDDEFERENDTDDQVQGIIKQESEHDVSQGVNEKGRLTQEEEREKGGIGKTVYWAYLTAIHGGALAPVIVAAQSFFQIFQVASNYWMAWGCPPTSATTPRVGLGLLLSVYLVLSIGSALCVFGRSMLVSLVGLLTAEKFFKNMLHCILRAPMSFFDSTPTGRILNRVSSDQSVLDLEIASKLGWCAFSVIQILGTIGVMSQVAWPVFAIFIPVTAICYVFQRYYIPTARELARLSQIQRAPILHHFAESLTGAASIRAYGQKDRFRNANISLVNNHSRPWFHNISAIEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNGQLSSITWNICNTENKMISVERIMQYSRIPSEAPLIVDDHRPPNSWPKDGTINIRNLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTLIQALFRIVEPRQGTIEIDNVDLSKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDQHIWETLDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAIIQQTLREEFGDCTVLTVAHRIHTVIDSDLILVFSEGRIIEYDTPSRLLEDENSEFSRLIKEYSRRSKGF >SECCE3Rv1G0182760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:636497028:636498942:1 gene:SECCE3Rv1G0182760 transcript:SECCE3Rv1G0182760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVIGKLSVRVVRGHNLVIADSLTHTSDPYVVLGYGSQKVKTSVQKKNANPLWNDVLVLPVTNPTKPVKLEVFDEDKFTADDSMGVAEFNITDIYDAAKLDLKHASDGTRIKTIYPVGTNYLGSESHVSWKNGKVVQDLILKLKNVDSGSVVLQLEWVHVPGVTL >SECCE7Rv1G0521580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874317265:874318348:1 gene:SECCE7Rv1G0521580 transcript:SECCE7Rv1G0521580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAGSGGGVVKHLVIVQFKEGVTAERLDGLIRGYAGLVHKVPSMKAFHWGTDVSIENMHQGFTHVFESTFESTEGVKEYVYHPTHVEFANDFLGSTEKVLIIDFKPAAGN >SECCE1Rv1G0047570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:626430592:626432994:-1 gene:SECCE1Rv1G0047570 transcript:SECCE1Rv1G0047570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPRLPGGIGVDRISGLTDDLLGHILSFMPVRDAARLSALSRRWRGAWAHAPVLNISDEQHADRFLDFAGAALARYGQPDIPSLDVAIGRRSNLGPATAAWLRGAMEHVVGSIRVQVDRHTPALDQLVLPRSLRARAMTLDLSGDILSKGVLVLPEPADPAAFRRLTELSLSNVRLQVQADSLGEFLSSCFPQLRKLRLRKVCNVAAKSRLWPLILDMDMLEELEVDSIHQLTVLQVVAAKLRLLIVRHCFESLVVLRADIVFKVSAPGLEVISWTGWFPKQLNFLPEAQCVRRLSGVIVNWPSISWADYCLPGVVQLLETCSGADRLDLCVDIYDGWIPSMVSKQDFMERMPHLPNIRTLSLTIVTILRLLRCSIGEIVFCFLRRCPNLTLLHIDLSTLHQFTRQDPHYLLFPDDDAETKAAKPCQDRSGYDLREAHKNQLLLSNLRKIRISGFAGTDAEMELADLLFGVEAKRPALERISVSSFAQLKGRIDRITLEMRARFPLAGGRWETHPYEEIAWTKDNDGGLEDQML >SECCE1Rv1G0053910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:672167400:672167642:1 gene:SECCE1Rv1G0053910 transcript:SECCE1Rv1G0053910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGGSLRMTKITSSRKMMEGKSHGGSGLWRHAPAPVRQLFWRVRRAMLRPKRRAVTFGYDLKSYSHNFDDGLVPAHRL >SECCE5Rv1G0352490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:710152075:710154609:-1 gene:SECCE5Rv1G0352490 transcript:SECCE5Rv1G0352490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRNRAYESGSTKRKRAERVANLIKSQQGDIHKFFKSNASVSVNSNDEWAIVGVEEEQQTNENFESGQQEENIDTNIGDSNVIYTSDIYDPRNWDNLDNKARDILVEKGPMREEKMEYPQDDGGRHFAYCHYYRSLNNGETHDRKWLVYSKDVDRIFCFCCKIFNEKLREHENSVVHINNMNKWNELRVRLQKEETIDKELQHQIAKEKDRVRQVLLRIIAILYKDDNGNFLACVEMVAEFDMVMQDHIRRIQNKETHYHYLSHKIQNELIDLLASDITRAIIKVVKEAKYFSVILDCTPDVSHQEQMTLLVRCVNLSDGKIKIEEYFLGLLEINRRALYMPCACHSLNLTLCDMAKSCEKAVTFFGIVQRIYVLFAGSTKRWNVLLKHVPSLTVKSLSNTHWESRIKSVTAIRYQATELRSALSELRHDPDVDPKDKSDAKNLFDALGRFEFLVGMIICHDILFAVNKVSKMLQSPAMCIDSTLKLIQGIRQYFEKYRDEGFSTSLSIAKGIATEMGVHPLFPVKRRIKRKNQFDENNCQEEFLEAEKAFQVKYFYVLVDIAVTSLDDRFKDLMVFKGIFGFLLISDTLKSLNDEELEEACTKFAETFSNGKSDVDVYDLISELKIMRCTLPDGVMSAMEIFKHVRYVDCYPNISIAYRILFTVPVTVASAERSFSKLKLLKNYLRSTMSQERLNGLATLCIEKKLLGDIDIDPIISDFASRNVRRKF >SECCE2Rv1G0106910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:640370283:640371534:-1 gene:SECCE2Rv1G0106910 transcript:SECCE2Rv1G0106910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB80 [Source:Projected from Arabidopsis thaliana (AT5G56110) UniProtKB/TrEMBL;Acc:A0A178UM75] MGRIPCCEKDNVKRGQWTPEEDNKLLSYITQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPTDFPSPAMPDMAAGASAGMAMGSGALMAPCFAAPPQADDTIERIKMGLSRAIMSEPAAPAADKPWPSGDMSEGLAGMYAAFNPAQATEFRYEGTASGYVLGGDGDQGTSMWSHHSMYSGSSGTEGGRPALQEKGNDSVGSSGGDDEAEDGKEGGKGASDMSGLFGSDCVLWDLPDELTNHMCD >SECCE7Rv1G0483790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:328090367:328093741:1 gene:SECCE7Rv1G0483790 transcript:SECCE7Rv1G0483790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGKGEAVAAVAGEGENMAAWLVAKNTLKIMPYKLPPLGPYDVRVRMKAVGICGSDVHYLKEMRIAHFVVKEPMVIGHECAGIIEEVGDGVKHLTVGDRVALEPGISCWRCRHCKGGRYNLCDDMKFFATPPYHGSLADQIVHPGDLCFKLPDNVSLEEGAMCEPLSVGVHACRRADVGAEKNVLIMGAGPIGLVTLLSARAFGAPRIVIADVDDHRLSVAKSLGADATVKVSGNTEDLAGEIERIQAAMGGDIDVSLDCAGFSKTMSTALEATRPGGRVCLVGMGHNEMTVPLTSAAIREVDVVGIFRYKDTWPLCLEFLRSGKIDVKPLITHRFGFSQGEVEEAFEVSARGRDAIKVMFNL >SECCEUnv1G0531480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17488915:17490792:-1 gene:SECCEUnv1G0531480 transcript:SECCEUnv1G0531480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGESMMTKEQLLHLFSRFSFITSLPEFKDRIADAVSDKQEAVAVTTEVQEEILREMGIDPSFGIGCLGKVNVVYENDMDLMIKFYQFVAKEEMAIDEAELEPLEFAEKMHNQQELQQQQLEMLVQIRKYSPESQSVILESLRKQLESADFDTSASVLTPEQIQSIVQK >SECCE2Rv1G0068580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:23753434:23754420:1 gene:SECCE2Rv1G0068580 transcript:SECCE2Rv1G0068580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTADDAAAGRRKAGTPPALPPPPAEQAVRCPRCDSPNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRSVPVGGGCRKNKRSRSGSSSRGLSLTTPAGGSGTADQDQHAARMSVGGFPGGGGGGDFRGVVGMLPVLHSPAVLGQYVPFGDWSSGETNGGGAAGRATNGSAGAGNGAASSAIASSIESLSFINQDLHWKLQQQRVATMFLGPPSSSSSHPHAVGGGSNGMPAAAQFGGGGTFLHMAGLSGCMDTLPAATTWFMDNSYALPSPPRPAAGVGAASSNINSGRSSGGGGDDNASSNDNNNCGGSIPSWGDISTFAMLP >SECCE6Rv1G0398900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:427416652:427419889:-1 gene:SECCE6Rv1G0398900 transcript:SECCE6Rv1G0398900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKKQRRRQGHPLLRGGGARTEPYTHGFSATQMMALTAVCGALVPSLPPDGHHLAADEAVRDFFLASAADPPVPDEVAQLMSAMCLRDALTLVRTVLWLLGTRLGTVALCGARCLSWSSPFVQRFAEMPVDRREDALRRWSRETMLPPLRLFFLLVKVFCLYVFYSWTDDNSENPHWRAIGYSPPTDEAPEKEEQVNTKRPLDDGVVETIHQTDASLLTSLAERGLAVTEDAARNVCRIECDVVIVGSGCGGGVAAAVLAGAGHKVVVIEKGNYFTARDYTSIEGPSMSQLYEYGGFVSTRSGSGLLLAGSTVGGGSAVNWSACIKTPDSVRKEWAAAHGLPLFDKSEYAAAMDTVFKRLGVTSGCKEEGLQNKVLRKGCEKLGYKVESVSRNSSEGHFCGSCGYGCRTGDKRGTDTTWLVDAVSRGAVILTGCKAEKLLFSDAAGARGKRCVGVVATSSNPAITRKLEVHAKVTVAAGGSLLTPVLLRGSGLKNPHIGKNLHLHPIAMAWGYFPPDRMPELKGKMYEGGIITSLHKVEADGDGLPHRAILETPLMGVAAAGTQFPWVSGRDMKERMLNYGRTVHIFSLVRDRGSGTVHGERRIAYDLDPVDRENQREGLRRALRILVAAGATEVGTHRSDGQRLRCKGATDEEVEEFLDGVTGVRGPQSKSEYWSLCCTAHQMGSCRMGATPGDGAVDARGESWEAERLYVCDGSVLPSAVGVNPMITIQSVAYCLATGIAEQLKRDQPSGKNHCTD >SECCE5Rv1G0329820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:522410519:522412954:1 gene:SECCE5Rv1G0329820 transcript:SECCE5Rv1G0329820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g74600, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74600) UniProtKB/Swiss-Prot;Acc:Q9CA56] MPPRLPSPALSAHIRTLSAATASAARAGAPHLLDGVPCPDRLGRLPPELAGFVRSRAAGHTPSQFAYGNALAACTPAATGAGAGSVAFAEQLYCAAWKDGLAGDAYVCSGMVDLLAKSGRLGDALRAFADGDRGNAVCWNAVISGAARNGEHGLAVEMFGDMAWGSCEPNSFTYSGVLSACAAGAELSVGKAVHGLVLRRDPEYDVFIGTSIVNMYAKSGDMVAAMREFWRMPVRNVVSWTTAIAGFVQQEEPVGAVRLLRDMVRSGVSINKYTATSILLACSQMYMIREASQIHGMVIKTELYLDYVVKEALICTYANIGAIDLSEKVFEEVGRVSNKSIWSTFISGISSHNLPRSIQLLTRMFRQGLRPNEKCYASIFSSVDSIELGRQLHSPVIKDGFVHGVLVGSALSTMYSRCGEMEDSCKVFEEMQERDEVSWTAMIAGFASHGHSDEALRLFRNMILDNLKPDHVSLSAILSACNGPEYLLKGKEVHGHILRVYEETTSVSTCLVSMYSKCRDVQTARRIFDATPCKDQVMLSSMVSGYSTNGCTDEAISLFQLMMAAGFQIDRFICSSILSLCANIARPLYGKLLHGYATKAGILSDLSVSSSLVKLYSKNGNLDDSRKVFDEINSPDLVTWTAVIDGYAQHGSGQDSLEMFDLMIRRGVKPDNVVLVSILSACSRNGLVEEGFNYFKSMRNVYGLEPVLHHYCCMVDLLGRSGRLAEAKSFIESMPMKPDLMVWSTLLAACRVHDDAVLGRFVENKIREDNYDPGSFAMLSNILANSGDWEEVARVRKSLKGSKKEPGLSMV >SECCE1Rv1G0063520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:725501010:725501700:-1 gene:SECCE1Rv1G0063520 transcript:SECCE1Rv1G0063520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAMRVGGGALLRRTPVAEARRRLAHTTPEEMTEVATRAARIDKTKEELFDMVIDLNSNYKVPHSMKRQHLLLSQRLSSQIQPRPYDPAWRFCRRTERRNTFYKFLGVTTCGLVGSAGLFLLLHGPHHRPKKWVVDWWDKLTS >SECCE6Rv1G0399900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:444812898:444815407:-1 gene:SECCE6Rv1G0399900 transcript:SECCE6Rv1G0399900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGNDGTTTPVLLNVYDLTPVNDYLYWLGFGVFHSGIEVHGMEYGFGAHDFSSSGVFEVESKCCPGFVYRKTVWLGTTDLSREDFRSFIERLAGKYHGNTYNLISKNCNHFTDDVCKNLTGKPIPGWVNRLARVGSVFDCLLPESVQASPVGRVPTLRPVIDDDLDSISSLDSDEGDEDKHLLEAPSTDLHPVDVPLKLAKDLL >SECCE4Rv1G0288170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:858694138:858695235:-1 gene:SECCE4Rv1G0288170 transcript:SECCE4Rv1G0288170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATEDPCPCVLIAPQIEDDDGTLTTAGLYRWEEGQGVATLVVEAMPDYRSFHPDTEAAHDLAHCDGLVLVPTDAAVRVLNPATGRVLALPSSAHATAPTPLPSGRILGHQAFGLGCDPRSGAYMVVRFFYRSLDEVELYSGGCTYTYSHGAEVLTVGGADLCWRETAAPPPCPVIPGRTATFFKGSLLFTPHERVLNGGEAPGFVRFNLEDDNDEPFGVVPGPPCEKRIDYAASSMAELRGELWLCVGSPKPGMGSVEIWACGDLAGARWDRRHVVKACVFSGNRSLRPMTASAGAILLRAGPSHLWRYRRRGCRPGYGDLVDTRCLKYHHPDNDVGTVVVDYPMKTVRSVDVVPYVPSLVPI >SECCE7Rv1G0518410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859079504:859083494:1 gene:SECCE7Rv1G0518410 transcript:SECCE7Rv1G0518410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHLQPPFPTAADARRQGDDPQERERCAELMLAYIYHSLPDCPLYAGARFTALPAARAADRLSRLPRDLLRNILARLPVKDAARTAVLSSRWRALWRSTPLVLADIHLLPKAQDFTPTPADTPAVTAAVSRILEAHPGPLACVHLICSQMDGYRAQLARWLRLLAAKGVQDLVLVNHPWPLDVPLPATLFALTTLTRLYLGVWKLPGTAALRGASFPHLRELGLYFVEMEHGGVVDSLVARSPVLEVLNMVGYINGGLRLRLVSQSLRCVQICGSVLEDIAVVKAPRLERLILIESCKTARNLCTRIRILDAPKLHTFGYLEPGQVLELGETVIMPGINASASAMLTSVKILTLNVRFGVRNDVKMVPTFLKCFPNAERLHIVSDKCDKSTGDHLTVKFWEESGPIENVVSRINVMTFREFKGDRGEVGFLEYVFQSARALEMVAIFMANPSSTPFSEDEAFVKAQYSARNMASKSCQNCIIWSSHPAGRDAWSLKIGADFSFEDPFTVRTTSEEAS >SECCE5Rv1G0324700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:449323243:449325151:-1 gene:SECCE5Rv1G0324700 transcript:SECCE5Rv1G0324700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGRSKPSSSTPPPAAATSSAAAAACSELRAAYHECFNRWYADKFAKGQWNKDDCAADWHKYRACLEEHLEDKHLRQILLESETSPSYAQLDPDSSSRQGATPSK >SECCE7Rv1G0456010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9190568:9191119:1 gene:SECCE7Rv1G0456010 transcript:SECCE7Rv1G0456010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSHATSRMQSRARVDIPVFICPRCRAGVDQRVSHTTRNQDRPFYVCSENGVTCFFLWVDALAKALMNELQEEHEEWLHILPRTTVAATRAPEEEMEGKAHTDRELVVKLRMLKKKVRKLEDQAQIAIPICNYFWAVVGMVIALVVMLKMYGKA >SECCE2Rv1G0081990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:147129246:147130509:1 gene:SECCE2Rv1G0081990 transcript:SECCE2Rv1G0081990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHAASVLAAALVLTSVLTGRVQSVGVCYGVHGDRLPKPAEVIKLYKSNGITAIRLYEPDVQTLLALNGSNIGVLIDVADENVPRLASGAAQADLWVQLNIKRYYPGVSFRYIAVGNELAGAGTQSILPAIKNLNAALAKAGIKGIKVSTAVKMDVLATSSPPSSAVFKDDYMKQIVALFGTTGAPLLKNVDLNYAIFQPSSTVIKDNGLSYTNLFDAMVDAVYAALRSAKVQVPVVISESGWPSGGGVGASVANARTYNQNLINHVGKGTPSKSQPLETYVFAMFNENRKTGAETEKHFGLFNPDKSPVYPIKF >SECCE1Rv1G0007090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:38604762:38605688:-1 gene:SECCE1Rv1G0007090 transcript:SECCE1Rv1G0007090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPTMVIQDDYIDMDLSPSSPKCALLEFEFQSAGAGGGAGGVSRRREEPAYASPADELFYRGKLLPLHLPPRLQLVQKLLQEQQLHVPEIKPAAAPPSVSASAGAEVEDGGGDGKAGAKRYSWSKRLKLMKRWTSREYIKSLFLAKAGDIGIGIGNGGGCGRERAGLLDQDELCSHRRSFSGIIRRVRLVVATKAAASAPPGASPLCSSSASSSSSSTPSCGNAERFFLRPRAAAMPVLKRSSSAGSEEGAIQGAIAHCKRSHQQLLQQGRKSASGVVFYSVSNTPRISTSAANESSQERQEMCRG >SECCE2Rv1G0104490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:607649793:607654065:1 gene:SECCE2Rv1G0104490 transcript:SECCE2Rv1G0104490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHPAPSHPNPQPVRRSRRYQRDPRTPSSGPYKAAGPGTERPTASQTSLPPLHPSTPLAATMTQEREPLLQQNGGAGNAAAAGAKGSPAVLPSLARSVLKFLMWAVFLTWAAGIFFYPTAPVQAAFRKWADITSTEGLITGLTGTVFLFFSGPILIIAALAYVYISAFPGDHVQKKKLRSLSFRLWTFPVLVDGPLGVVSAVEFIGIVLFVVYIVFSMTYYVVDSVSFISKAHLPPTTRSELLLALIGLRFGSVGLFCMIFLFLPVSRGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVISYSLLGRLIEELTQWKEIGIANLAGVISLIAGLLMWVTSLHPVRKRFFELFFYTHQLYIVFVVFLVLHVGDFVFSISAGAVFLFMLDRFLRFWQSRTKVDIVSAACRPCGTVELIFSKPPSLRYNALSFIFVQVRELSFLQWHPFSVSSSPMDGRYHMSILIKVLGTWTDTLKRIITDVQEQKTRSDSDSDQSQTGRITASIEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRVEQGMPCAPKNVLVLWSVKKTSELSLLLAVDAQSISSSVCDKLHLDIQAFVTQESDPPLEEGIVGDDQKVPGIFVKNGAAMSGLVGTGDNFWAAMYFAASTLGSVLAFVLVQLYYVKRYNVYAWWHLGLLLLLCMAAGIALPGGLVVLLWHLSEKRRMQDDRWDVDARAGTADAEQTTNAAGGTDASAASLAALRTTRYGCRPKFQAEFAAFAERAGGAAADVGVLVCGPSGLQASVARECRSQNLRRGSAVFHFNSHSFDL >SECCE2Rv1G0108420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:663833705:663835934:1 gene:SECCE2Rv1G0108420 transcript:SECCE2Rv1G0108420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARSNSSNGHGYHEASTTSSSSSSTSLARRRRSVEQQVPGTPGRPLLFLTSSSSSPAHPQLVSSRRSVPSKWEDAEKWLTQSSNLRGHHHGKAASKQHHIGGPAPATARRTSLDANALALYTPPAEVLLKDKYTDNVEPSKESFVFRSSYCEPAKNGAAAVTCADDDLHRRDIGTEMTPLGSSPTSRCHTPIKSTSPARHNTPTGRSGPLVPYNSGSGMDISELTDCHFAKLDLGAQFDSMLVNWSSKEEEEEEVSKSLRHFEASAGHGGRACDKRVAAVTECRWEDDERAKSCIRYQREEAKIQAWINLESAKAEAQSRKLEVKIQKMRSNLEEKLMKRMTGVHRRGEEWRAAAQAQHLQQLRRATTEHQARRVKTISHHLSGTGTGSNASCGCFPCNSDNVMSGNLLKY >SECCE3Rv1G0169610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:238695059:238696888:1 gene:SECCE3Rv1G0169610 transcript:SECCE3Rv1G0169610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAQGGEKPALRKPVFVKVDQLKPGTNGHTLIVKVVSANPVPGRVRTGAPASSSARAPRIAECLVGDETGSIIFTARNDQVDLLKAGATAILRNAKIDMFKASMRLAVDKWGRVEATEPASFTVNEENNLSQVEYELVNVAE >SECCE7Rv1G0485290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:368404491:368421520:1 gene:SECCE7Rv1G0485290 transcript:SECCE7Rv1G0485290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEGRLVELLGAVKSRMPRRGEHSPPPAPKAAAAADVPPAQPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRSLGDAAGEDIERIRVCNYCFKRWLEEEAAARRDVAQSPSPSPSATSAGSEKSCSTGRSSTVTNGQLLSYANASCTDSASRSVGSEGNCGRLDASLELQHAVMEPDGSVEHAAYVDNSPDPFNFCSNRSDDEDDDYTIFCSDSEVHHLQNSDEYNGLMCFDDHQVVCSDAAKDSASPRKDTATLVNSEGMDKTGDHIIDNAEECNARSSSLYGMEVLENELVDFENNSSLWLPPEAEDEEDDHDGAPCDDDEGEDATGEWGYLRSNSFSSGHCRSRDKSAEEHKKAMKDIVDGHFRALVAQLLQAEKIELGDKIGNESWLDIVTSLSWEAASLLRPDTSKGGRMDPGGYVKVKCLACGRPSDSLVVRGVVCKKNVAHRRMSTKKEKPRILILGGALEYQRVSNLLSSFDTLLQQETDYLKMAVVKIKVHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAQIVPSIDYLSSQKLGNCDLFHVEKYIEEHGTAGEGGKKMLKTLMFFEGCPKPLGFTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISMIPILQMPTISSPSNNLQAFDIQKDDFTFNGFRMMDQMAAASFPDNKCWERLGVGSSSTQASSVQINDQTGNIGCLLGMDPQSYNDPLVQQSRISFCHCPACTRDVGSKMKFEELQPETNRHPMANGLSVLPAHPANLAAAESDLSFAHNANNGAKVAAKPSAPLNLQVSYNNGSSNDGSIVKRDEIPASPADNQSILVSLSSRCVWKEAICERPHLLRIKYYGNFDKPLGRFLRDQLFDQSNCCLSCELAPEAHVYCYVHPQGSLTISVRKLIVKLPGEHDGKIWMWHRCLRCPRDKGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSIHRDCLRFYGFGEMVACFRYASIKVHSVYLPPSKLDFTSQHQEWVEQEANEVVDSAELLFTEVLNALHQISEKRPITGSFDGNMKILELRQNIVELEDILQAEKVDFTESLKNLLKKEIRKGQLFIDILEVNKLRRQLLFLCYLWDQRLSFIATSGGKYCDALGGLRVGSNNSEINDRLADTNTNTKLEKNSKGTDSNALHAGDEGINQHDQSNETSSRNTEELNGAEDTIVKINQANSANVRDHLDHQGSIIGVRRVSSEGQFPVATDISDKLDAKWRGEDGPAPDASLVKPRALLEGTAADVKKQAKAVPRHTSASVRSGDTVEDLLSCLKMPYMTFYNSLNTNSGTAPTFGTLADYNPVYISLFRDLSQQGGARLFLPTGANDVVIPVFDDEPTSIISYALVSPLYHFQMSVESNQNKDTADSTLSLPVYDSGNFNLFHLFEDFGSSDDFAASVSSSRGSFAPDLLHSRVSFQDGGPLGKVKYTVTCYYAKSFEELRRSCCPSELDYLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLQFGPDYFKYLSESISTGSPTCLAKILGIYQVTIKHLKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSRNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAAPTVVSPMQYKKRFRKAMSAYFIVIPEQWMPAIINPDQSSSNICEDDSQNASPE >SECCE6Rv1G0406480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:544948582:544950449:1 gene:SECCE6Rv1G0406480 transcript:SECCE6Rv1G0406480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARPRRASSSPPPAAAAALSLLLLFPMFLGSQASGYGDDSGAGGMTALQKHAAFFDADKDGVVTFSETYAAFRALGFGYGASTLSATFINGVLGPQTRPENDTARMSIYIENIHKGIHGSDSGAYDSQGRFVPEKFEAVFARHAKTVPDALTSGEVDELITANRQPSDYAGWAGASAEWKLLYSIGKDKDGLLRKDAARGVYDGSLFARVVHERRAAREETQA >SECCEUnv1G0549360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:202897361:202899565:1 gene:SECCEUnv1G0549360 transcript:SECCEUnv1G0549360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFPRFSQGLAQDPTSRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWIQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGAAGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSTLSLIAGWLHLQPKWKPSLSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPYPQGLGPLLTGQWNLYAQNPDSSNHLFGTAQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAFIFLIACHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPPYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHVKTTYGFDILLSSTNGPAFNAGRSLWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISRRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >SECCE5Rv1G0338680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:602255480:602261887:1 gene:SECCE5Rv1G0338680 transcript:SECCE5Rv1G0338680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRRGGEELAGEEVVPMEDAVKMLMEHLVKPALRRGAFFGAAQGERYVTPDKQRAVAQQIHTAIILYNYYHRKMSPQLAFADNKQFFKCASLSVGEDLLPYLSMVHERENNSGKHAKLSITAKVALQACEIAEELDASKPSPDIALWPISKLAVLLVDPTRKICLVDYSANTKGIWSIIEKELEENVDALHTPFTLQRQAYSEVERRTGMDVSKLHLLDETLAYSLSKERAATKVFIVEYEQTMKGSLEEISLEELISSMTGPLFVNDPFPKTTSVVEYYHILPYKEILSEFLHRKWSSDSSPNEQSRRHGKGSLHSVIDENVEEQDENSTSKLKKRITKVSTPKQRKQAIDASKHRKSSKRKSVASMAAADVCAEGPDGEIPTTKHVIEVEPSKPMTKSRNTEAAATAASGETKTVQPGVQVEKNKTQEQSKRDNMPQYVLSTEAQRVEPVKNRALECENMALSGKSGGTTEYNVDEQIDDSLRLIQKIRDQILRKESILQERSAQCDMDIQTLLSEGKMTPKAVSIISKYKGTCSDMTGVANSSCSGDGGQPITKRKKLREALLRQCHELDEICRGAHWIFPRYTLLPSASDGMYHASVRLRCPDFEMSITGDLRPTPHEARCSAAASMILELHKKAEQEQ >SECCE4Rv1G0231860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:190763025:190771059:1 gene:SECCE4Rv1G0231860 transcript:SECCE4Rv1G0231860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLDSAWEWLITNFSEFQLATVVTFVLHESVFFLSGFPSLLFERFGLFAKYKIQKKSNTSAYQNRCVMRLILYHVCVNLPVMIFSYPAFKFMGLRSSLPLPHWTVIVSQVLFYFILEDFIFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKGYRKTKAIEGEEGKHL >SECCE6Rv1G0449020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:859522002:859523403:-1 gene:SECCE6Rv1G0449020 transcript:SECCE6Rv1G0449020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACFFARCVSVPVRLLAIPTPLAITTCRLRRHGAAGARPVCAAGRLLGAVRYGTGAALGAKEADAEVAAVPPSVPVRVAYELQLAGHRYLDVRTEGEFRGGHPAGAMNIPYMHSTGSGMAKNSGFLAQVSAIFRREDEIMIGCQSGRRSLMAAAELCSAGFTGVTDIAGGFSAWKENGLPINQ >SECCE1Rv1G0046610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:618907861:618908109:-1 gene:SECCE1Rv1G0046610 transcript:SECCE1Rv1G0046610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAAALVLKAAVLAAVLSMLVLPSSGRCPSLGAPPPPPPAPVSATPEPPPTSGPKITCADCMHCRDSCSSDCAALSCLRQ >SECCE3Rv1G0184540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:664029150:664034784:-1 gene:SECCE3Rv1G0184540 transcript:SECCE3Rv1G0184540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLASRALLRRLLAASSSATSPAPSRGVSTLAPKPAAGSRPRARPAHQYTPGRPVSVSALQPSDTFPRRHNSATPAEQAVMASECGFNTLDALIDATVPAAIRAPPMQFTGKFDAGFTESQMLEHMAHLASLNKTYKSFIGMGYYNTHIPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCLGIVKSKKKTFLIASNCHPQTIDICKTRATGFDINVVVSAAKDFDYSSGDVCGVLVQYPGTEGEVLDYAEFVKDAHAHGVKVVMATDLLALTTLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPAGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPYFDTVKITCADANAIAEEARKNEMNLRVVDANTITVAFDETTTLEDVDKLFKVFSGGKPVDFTAESIAPEVSSSIPPSLVRDSPYLTHPIFSMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTDPKFANMHPFAPIDQAAGYHEMFDNLGDLLNTITGFDSFSLQPNAGASGEYAGLMVIRAYHKARGDHHRNVCIIPVSAHGTNPASAAMCGMKIVAVGTDAKGNINIEELRKAAEANKDNLSALMVTYPSTHGVYEEGIDEICRIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVIPTGGFPLPEKTDPLGSISAAPWGSALILPISYTYIAMMGSQGLTEASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKATAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEVENGKADAHNNVLKGAPHPPQLLMGDAWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQASQVAEEAAAATA >SECCE5Rv1G0362370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:785646305:785647576:1 gene:SECCE5Rv1G0362370 transcript:SECCE5Rv1G0362370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRRILRRTRSFGDADAAAGLAPFPVAPIAAVPPAAPAGARLPGAPAAHLPAWPGARPLRAHADALALANNVQVPVAPPPPSMHHVNITSFIPFKLSLEANNYSKWRQLFLYVLHKFNVEDHVLEEADPLHQGPVWRNDDITLVLWIYATISDDLYDIIMTPQSTAYHLWRALHIYFRDNAAGRAVHIGAQFRATVQGDSSIGEYCRRLQSLSNALAEVDEPVTDRALTLQLIRGLSRRFHVMGTLLPMQVPFPSFSEARSRLLLEEISLAERERLEGATALAVGHVSGGSSSGDRGGDRQSSTRADKGKGPAEAPERPPQHEHRGRGGRGRGRGRGRGNGASSSSSSAGRGQAPPVPWMGYFAPGVRRLLPPSSGARPGLRPMRLACSARAPARRTRPTSRGPRTRPAPPLARPTGTATPC >SECCE2Rv1G0119800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:794374635:794375552:-1 gene:SECCE2Rv1G0119800 transcript:SECCE2Rv1G0119800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFPVFGRERSPSSPDYPPRLGSPEYVPSCHQWRAGSLDLAPSTSPWRAESPEYVPLTPWWRGRVTVTASHHTRSSAAGRQSSSSSSPDYFPSTSPSRVASPEYMPSADHWRRAASPDYAPSTSPWRAESPEYVPLNHWWRGRAAASQHTRLSTPGRQSSSSPDYSPSTSPCRVASPEYMPLTDHWRRALAVFPADHTPSTPLEQRPSSSDYAASTSPLRRSPSPDYSPSASSWRAASPEYVPTALWRRAASPQYTPPTRPRSAATYPDRVPRPSPLSAAVPADAGSRTPSRSRCYHPYQRSGS >SECCE6Rv1G0413310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:622936894:622939660:1 gene:SECCE6Rv1G0413310 transcript:SECCE6Rv1G0413310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDWFIFSKLDHNGGYLHKFPIGSPISHDIGLGLISQVGALVESSFQHPRHLSSAGSGAVQEAFCCFNKVAGAFYFCLSRASNPKILHRLSAIAGSGSRACRAQIKQVSSCMQHLAGLQVREEHAIQMLLAKLANATLGRVWNEVEERHACNILMLAAASVIPPFENISPKMLADSMMLGKDGDQIRELVDQPYLDERRPGCARVAVPRTILPEDLTEPRTGIKFPTLLEENSNPTAEVLVGMGYRSMRIMRVKNLNLYAFGLYIQPDSICNKLGSKYASVPVAELKDHPDFYEDLLRENIHMTVRLVVSYNGLSISAVRDAFEKSLGFRLQKMNPDTDYHCLKTFGSYFREDIRIPAGTKIDFRQTCDGQLITEVDGKQIGAVQSKDLCRAFFDMYIGDPPVSVETKQDIAQNVGGLIRRC >SECCE7Rv1G0493000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:560902609:560904453:-1 gene:SECCE7Rv1G0493000 transcript:SECCE7Rv1G0493000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASEEIDLDVIRDVDLNKLEPWDIQEKCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNAVKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPSSTTDAAPTATMVVSAATSSDAGQEDGWVVCRVFKKKHHHKDTGAGSGKRGGGGRDDGGKAARSSSPLYSSDDALDQILHYMGRSCKQEHELSSPRPRTAASRYLRPLETVLGGHGFMKLPPLESPSAAATGRTSITTPLAASGDGNVERELAHAEDLHQPHRDGGITDWAMMDRLVASHLNGQHDASTDQLCFDGTAGHAGSNDVLDDTAVNGLAFYSAAATRLLGGAAAGSNDDDLWSFARSAASSERLSHVSP >SECCE4Rv1G0246690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:547863480:547863886:1 gene:SECCE4Rv1G0246690 transcript:SECCE4Rv1G0246690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHIERTCSSPITGQCPGKLIWPELLGRKGKEAKDVIEKERPSTDAVYVPQDAIVTGDYCCNRVRIFVAANPNGDYANAKVIVVPRVG >SECCE1Rv1G0059820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707877735:707881903:-1 gene:SECCE1Rv1G0059820 transcript:SECCE1Rv1G0059820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGFVVLATFAAFTLKRGKGPKKDDGQAGKRKEKARDTGHGEKEDEAEEVKTISGIINSAPSVDDDDEDDMFSEIESLLGGEVDVPIPGDRYDVKERSRYNAHMANNAAEMERLRGLVRELEEREVKLEGELLEYYGLKEQETDVTELQKQLKIKTVEVDMLNLTISSLQAERKKLQEDVARGAAAKKELDASRSRIKELQRQIQMEANQTKGQLMLLKQQVMGLKAKEEEVAKKDAEIEQKLKKLKNLEVEVLELRRKNKELLYEKRDLMVKLDAAQGKITESDVVAHAREEINNLRHTNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYQTPSGKISARDLSTKLSPRSQERAKQMMLEFGSERGQGDTDLDSVSSAPSSPRSEDFDTASIDSSSSKYSFLSKRPNLMQKLKKWGRSKDDGSYLSSPSSRSLTSSSPKRSQKPKGPLESLMIRNAGDGMSITTFGKRDQESGDADDANVASSFQLMSKNVEGFADEKYPAYKDRHKLATEREKAIKEKAEQARAQRFGGGYSSVLVPSPRAALPPKLAQIKEKKAPAVNSEPGEQSSDVPNNPLAVTQLKLAQIEKRAPRVPRPPPTSTAAAASGATNTASGGPPMPPRPPGAPPPPPPPGRPGGPPPPPPPPGSLSKSLAGGDKVHRAPEVVEFYQSLMKREAKKDTTSLGSKSSNVSDNRSNMIGEIENRSTFLLAVKADVETQGEFVESLAGEVRAARFANIDDVVAFVHWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLVKLENKATSFVDDQKVPCEEALKRMYSLLEKVEQSVYALLRTRDMTTARYKEYGIPVDWLSDSGKVGKIKLASVQLAKKYMERVTSELDALQGTEKEPNREFLLLQGVRFAFRVHQFAGGFDADSMKVFEELRSKMSSTQAPAPPASDT >SECCE4Rv1G0240500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448036917:448039162:-1 gene:SECCE4Rv1G0240500 transcript:SECCE4Rv1G0240500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFIVDSTRIFMKAFHLLLFNGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYSISSTSLVISITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECKEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATIKYLLMGGASSSILVHGFSWLYGSSRGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTRHVYEGVWNPLFDSDSPTPVVAFFSVISKVAASASATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQASVKLMLAYSSIGKIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYMKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLTSVLCIYYYLKIIELLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTITGISMNPILAIAQDTLF >SECCE3Rv1G0205550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900647466:900648428:-1 gene:SECCE3Rv1G0205550 transcript:SECCE3Rv1G0205550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARCSLLTAALVLALSHGTHGHASTGTGLSSSFYDESCPSARDIVRRVIQNARVADARIPASLIRLHFHDCFVQGCDGSLLLDNDLPAIMTEKEVPANNRSARGFDVVDGIKHELENACPGIVSCADILALASEISVELAGGPRWSVPLGRRDGTSTNVESANNLPSPFDSLQTLQEKFRNLGLDDTDLVALQGAHTFGRAQCQFTQQNCSAGQDRETLVNLDTITPDVFDNKYYANLLHGRAPLPSDQVMLSDPVAAATTALIVHRFSNSQNDFFNNFAASMIKMGNISPLTGRAGEIRNNCRRVNRKSY >SECCE3Rv1G0161990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:125027148:125032187:-1 gene:SECCE3Rv1G0161990 transcript:SECCE3Rv1G0161990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSRKRLMRDFKRLMQDPPAGISGAPQDNNIMLWNAVIFGPDDSPWDGGTFKLTLQFNEEYPNKPPTVRFISRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKVREIVEQSWTAD >SECCE5Rv1G0341340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:623248542:623250119:-1 gene:SECCE5Rv1G0341340 transcript:SECCE5Rv1G0341340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAGEKPHAVCLPFPAQGHITPMMKLATVLRCRGFHVTFVSTEYNHRRLIRSRGPSAAAGLPGFAFATIPDGLPSSDADVTQDPPSLSYSIMTTCLPHFKNLLAGLNVNGSTSGAPPVTCIVADGLMSFAVDAARELGVPCALFWTASACGYMGYRNFRPLIDRGIIPLKDEEQLTNGFMDMAVDWAPGLSKHMRLKDFPSFLRTTDRDDALLTFQLHQVERVETADAVIINTMDELEQPALDAMRAIIPAIYTIGPLNSLADQIIPRGDPLRTVSSSLWKEDDVCLPWLDGKRTRSVVYVNFGSVTVMSSQELAEFAWGLANSGHEFLWIVRPDVVKSSGAAAAGAALPPGFLEATRGRGLVASWCDQEAVLRHDAVCVFLTHSGWNSTVEALCGGVPMLCWPFFAEQQTNCRYKCVEWGVAMEIGDNVRREVVEGRIKEAVGGDKGREMRKRAAEWREVAVRSMERSLTNLDSLIHGVLLSGKDS >SECCE2Rv1G0121690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:809978995:809980344:-1 gene:SECCE2Rv1G0121690 transcript:SECCE2Rv1G0121690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQDCNSKGLVAVPGSLVLHLFRLLGQQQQDNSWQKYALAYFLLVRNEYFPREPRKHSAVNGQLVHCCDSSDLGSNELEVEKQNAVVKSQSGGDSSSNGSNDCFLPGLHDDLAQDCLAWTSRSDYPSLSCLNKKFNTLVNGGYLYKLRRKYGIVEHWVYLACSAMPWEAFDPSRNRWMRLPRMPCDDCFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLTHRWSRSTPMNLPRCLFASGSSGEIAIVAGGCDRDGQVLRSVELYNSEAGQWETLPDMNLPRRLSSGFFMDGKFYVIGGVTSERHSLTCGEEYDLDTRTWRRIHNMYPGGTSASQSPPLIAVVNNQLYAADQSTNVVKKYDKASNTWNIVKPLPVRADSSNGWGLAFKGCGDRLLVIGGHRGPRGEVILLHSWCPEDGNGAASDWEVLSVKERAGVFVYNCAIMGC >SECCE5Rv1G0357140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:744208636:744210839:1 gene:SECCE5Rv1G0357140 transcript:SECCE5Rv1G0357140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGFDSTGREFSSATEMWAQEIGATTSASVSEVPPAAAAAAASSNGDAGEEAGGEGKREEWYSKGIAYWQGVEASTEGVLGGYGCVNDADVKGSAAFLRPLLAERFGTAKRHLVALDCGSGIGRVTKNLLIKHFNEVDLVEPVAHFLEAARENLSSCMDVGDDTHKAANFYCIPLQDFTPEEGRYDVIWIQWCIGQLTDDDFISFFNRAKVGLKPDGFFVLKENIAKNGFVLDKEDNSVTRSDAYFRELFNKCGLYIHSIKNQKELPEELFAVRMYALVTSQPKVTKNGKRGRPKNSPRIIRS >SECCE4Rv1G0248090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:566485856:566488069:1 gene:SECCE4Rv1G0248090 transcript:SECCE4Rv1G0248090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTMPQTIPTIPNVEEKKQYSQNDSSLDLASSSKPGAALPRKLPESAMPSSPNKEIQSSDQKPSDKLHESVDVTSNKVPADGEKDTVENGNTDGNVKSDSTVDKDHGAASASGSARLTGRSETGERGISSRCRPSTGSDVSEESACSSFSSTSKPHKANDSRWEAIQMIRSRDGILGLSHFKLLKKLGCGDIGSVYLSELTGTKSYFAMKVMDKASLTGRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQRGKYFPEQAVKFYVAEILLAMEYLHMLGIIYRDLKPENILVRDDGHIMLSDFDLSLRCTVSPTLIRSSNPETEALRKSSQAYCAQPACAEPSCMIQPSCTAPTTCFGPRFFSKSKKDRKPKPEVVNQVRPWPELMAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEVPKPIEIERQTTKLPVSTSEASAAPTGASQKGSDNYLEFDFF >SECCE5Rv1G0322420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:420456806:420458551:1 gene:SECCE5Rv1G0322420 transcript:SECCE5Rv1G0322420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSKACMRLPVFIAVLCLVAGVRHAAAFNYADALDKAVLFFEAQRSGKLPPGQRVAWRADSALSDGHASNVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSVVEFGGAMPPGQLANAEAAVRWGSDYLLKAATATPGALYVQVADPYQDHRCWERPEDMDTPRAVYKVTPQSPGSDVAGETAAALAAASLVFRARDPAYSSKLLRAARQVFDFADRYRGSYSDSLSSVVCPFYCSYSGYQDELLWAATWLHLASSPSPNSQSVYMSYINSNGYTLGGEQDGYTVSWDDKRAATKVLLSKVLLQNRVEGLLGYKAHADKYICSLVPGASGFQSQYTPGGLLFKEGDSNMEYVTSTAFLLLTYAKYLGSTGGAASCGSTAVTPSTLVSLAKKQVDYILGANPAGMSYMVGFGARYPRRVHHRGASMPSVRDHPGRIGCDEGFQYLHSSDPDRNVLVGAVVGGPDQSDSYSDSRDNYAQAEPSTYTNAPLVGALAFFAGPRTA >SECCE3Rv1G0173470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:342062757:342063970:-1 gene:SECCE3Rv1G0173470 transcript:SECCE3Rv1G0173470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREEMQQTLSEIDRRTLEALRYAFRLGCRSPSSSRDDQDMDAFIASVLPPPVSEDGDHDRHRTELPLAGEDCFRDEDEDAHVDTRPWPPGVIGVADGVSAYSAKGVDAGAFSRSLMASAYQDALETAPRAICPYTLLQRAYEGAASSDVPGASTAVLVSLVGDTLRWVNVGDSGFAVLRGGAIVGETPARDGDIVVVATDGLFDNMFDAELERVVRMGTVLGFSSKNMADIIAGIAYEMSWSKVKDSPFSVGYRKHTMSQRCGGKEDDITVVVAFIVSTQVVGVDKVEDDAASNSNWMDQVKMKKRAYELKNVSGPSLCAKSEDSGNRRKTLQRSNSGPISRARGRWY >SECCE3Rv1G0199260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:843569573:843572883:-1 gene:SECCE3Rv1G0199260 transcript:SECCE3Rv1G0199260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVEYPEGWALIEPTIRELDAKMREAENDTHDGKRKCEALWPIFRISHQRSRYIYDLFYKRNEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCKGCASGD >SECCE3Rv1G0154990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:63041071:63041532:-1 gene:SECCE3Rv1G0154990 transcript:SECCE3Rv1G0154990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINIPDGKFYHGDAAYACWPGVLPPFRKTRYHINEFSGSNYPRTALELFNLRHSSLRVTVKRAFGAMKNRFKIMDQKPFHPYSTQVKLVLACCILHNWILQWGFNEHVPEEEEVELDDIVSSGHGVEAFDNDAWKNKRLEWVLGNATS >SECCE1Rv1G0048700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637160416:637161504:1 gene:SECCE1Rv1G0048700 transcript:SECCE1Rv1G0048700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAKPHVLVACALLLLTVGCKASPFWPPEIGYYHDKCPQAESIVKGVMEKAISQNPGNGAAMIRMLFHDCFVEGCDASILLDPTPFNPSPEKLSPPNDPTLRGFELIDAIKDALEVACPGVVSCADIVAFAARDASCILSRGKVNFQMPSGRRDGTFSNASEPLKFLAPPTSNLSDLVASFVIKGLNAEDLVVLSGAHTIGRSHCSSFVSDRLNTPSDINGGLATFLRGQCPTDAMPGGNDPTVMQDVVTPNKLDKQYYKNVLSHTVLFTSDAALMTSQETARMVVENAKIPGWWENGFEKAMVKMARIEVKTGYQGQIRKNCRAINHY >SECCE5Rv1G0310120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:164143998:164150777:1 gene:SECCE5Rv1G0310120 transcript:SECCE5Rv1G0310120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGILARDFGLRPKGKAAPMSAARAASPSGSAWDSTRSAAAAAPSAPSYDDLFGAPSSAPPPKSTQSTSIDSIFDSFAEPSASAAPPKPKHSSMPVFDKPVYDDDIFDGVPGVKSSSVRYDDVFAGNHAPAPADDDLLAGFGTKSEAREVPEDKWKPGPAAASAGFDDLFAGIGRTSPVKQRQTAGAKEKKVPTSQPASMASDPFVSFETTSTSARQSAGIFSDPLDELGRHSKSQVKTATDSGLFEDSSAFNQIPKSEPLFTTNLSDDSKGSNGSTKARDSSPVQNFPKRNSAQQPSVEEFENIFQQSQSARYSDVHVDSGAPGSEKYSGNGMDDRSPRSDESEGEIWLTVSEIPLFTQPTGAPPPSRPPPSLTIKQNPHGSRAKRRDDDYLRHSTQNYNHNKSSSMDELEEFAMGKPQKSVYDNANPFFEDEFERNSSAAASAAAMKEAMDKAEAKFKHAKEVRERERDAKLRNREQQEQDDEARLYAQDREERERQEKLDRDKEMTQREEKEREQRRLEEARELEQQRERGRGRQAVERATKEARERAAIEARAKAEREARLRNERAAVQRAQQEARERAAVDARDRADRAAAESKDRAAAEAKEKAAAQTRERATAERAAVERVQQDARRRADRAAVEKAAAEVRERQAAEARERHAASVAAAAAREKQSKPDDLESFFGMGARANSAPKQRAPTVEPTFNAQSQSRGAATSASASSMRKASSTTNFTDDLSAIFGGAPTPSDEFQAVEGESEERRRARLERHQRTRERAAKALAEKNERDMNVQREQAERDRISESLDFEIKRWAAGKEGNLRALLSTMQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >SECCE3Rv1G0172750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:304632836:304634840:-1 gene:SECCE3Rv1G0172750 transcript:SECCE3Rv1G0172750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin IX monomethyl ester [oxidative] cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56940) UniProtKB/Swiss-Prot;Acc:Q9M591] MASAMELSLLNHAMHHYGIAAKTVAHLPVVPARRASSGAARFRVRASAAAPPAPAAKPGSPKKRGKTEVNESLLTPRFYTTDFDEMEQLFNAEINKQLNQDEFDALLQEFKTDYNQTHFIRNPEFKEAADKMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYITMYLNDCQRSAFYEGIGLNTKEFDMHVIYETNRTTARIFPAVPDVENPEFKRKLDRMVDINLKIISIGESNDMPLVKNLKRVPLIAQLVSEIIAAYLMSPIESGSVDFAEFEPKLVY >SECCE2Rv1G0121890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:811834585:811834833:-1 gene:SECCE2Rv1G0121890 transcript:SECCE2Rv1G0121890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLAVALLLLVVLAHCDGRELNQKDQALATARGAGGGIGEKKILGLPELPLVGTVTGTSTVTGPAVVLPAVVLPAIAAHP >SECCE4Rv1G0253190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:623717438:623719264:1 gene:SECCE4Rv1G0253190 transcript:SECCE4Rv1G0253190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQADPAMTTCPPPSQGRLITVLSIDGGGIRGLIPSTIIACLESKIQELDGPDARIADYFDVIAGTSTGALVTSMLAAPGKNKRPLFEAKDINKFYLDNGPKIFPQRRLGFLTPMANLFGAVNGPKYDGKFLHDKIKSLTNDVTVADTVTNIIVPTFDIKYLQPVIFNTYEAKVDPLKNAHLSDICISTSAAPTYFPAHYFTTRDPAGKLPDREYHLIDGGVAANNPTMAAMSMITKEVLRRNPDFKHGKPAEYHKYLIISIGTGTAKQAEKYTAPDCAKWGVLRWLYDGGFTPLIDIFSHASADMVDIHAAILFQALNIEKNYLRIQDDSLTGHTSSVDISTKENMEALIGIGNMLLKKRVARVNIDTGVYEPVDDEGTNEEALAHFAKKLSAERKLRLSQTTLNSQ >SECCE5Rv1G0302710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:41421649:41426456:1 gene:SECCE5Rv1G0302710 transcript:SECCE5Rv1G0302710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSARPILSAFLPKPKPRRLLLLRPLLSSASALTPPTPRTTTLDLPEDTDPTPLFLRPPAHPVPEASLAAFRRRAAALVPPSAPHLHRHLRWLLADASAPAPSSADPAAPHLLRAPLDELEALWLRHVRDRRPFQYVVGNEHWKDLVVAVRDGVLIPRPETEAVVDMVAAVEGFQDGWWADLGTGSGAIAVAVARMLGPGGRVFATDVSEVAVEVARLNVQRYGVQDKVEIRRGSWFEPLEDVKGKLMGVISNPPYIPTDDLPGLQPEVGWHEPKLALDGGKDGLDHLLHLCEGLSSALMPGGFFVFETNGNKQSEFLVDFISTKWSSSFCDVEAVLDFADIKRFVRGYRR >SECCE7Rv1G0469000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104632949:104633764:-1 gene:SECCE7Rv1G0469000 transcript:SECCE7Rv1G0469000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRN2 [Source:Projected from Arabidopsis thaliana (AT5G46700) UniProtKB/TrEMBL;Acc:A0A178ULL6] MALNYMGAAAINAVAALLSIPVIAAGIWLSTQADNACVQILQWPLIGLGVAVLAVGLAGFIGAFWRLPWLLLAYMVLMLLLVAALACVAVFVFVATTGTSGRPVPSRAFLEYDLADYAGWLRRRVADEPGRWDEIKTCLAATAPVCSELNQTYAAPQDFFAAWLSPMQSGCCKPPTRCGYTFVSATNWISPIDAGADPDCAAWSNDQDRLCYSCDSCKAGLLQNLRREWRRADVVLAVTVVALLAVYAMGCYAFRTARTDELFRRYRQGYT >SECCE2Rv1G0130820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:879002930:879006066:1 gene:SECCE2Rv1G0130820 transcript:SECCE2Rv1G0130820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNLKYRAGLVLIVAVVLIWVTSAEVTQEIFASYKQPFAITYLGASLMVIYIPLAFLKDFLYRLLRRNSGSSKALKVASKSSFGGSAPLKNGEFQKMLEMEPQKTVVIKITDVDLPVLEETKPLICGIGECEGDALKEQQLSTKEIAIYGLYLCPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKVIAVFVSMAGVAMTTMGQTWATDESEVSNSGATQRTLLGDMFGLMSAMSYGLFTVLLKKFAGGEGSEKVDVQKLFGFLGLFSLCLLWWLVWPLTALGIEPKFTMPHSAKVDEVVLANGLIGSVLSDYFWALSVVWTNPLVATLGMSLTIPLAMVADMVIHGRHYSAVYIFGSVQVFSGFVIANLADRVSRFLGL >SECCE2Rv1G0141260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934757383:934758423:1 gene:SECCE2Rv1G0141260 transcript:SECCE2Rv1G0141260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVVVLAVLATAAALVLSPAMSLPVFTGDDSPGFHAASCPQLDGIVWSSVEAALRQEVAVAAGMLRLYFHDCFPQGCDASILLNNTAARETALGPNLSIQPRAMQLIESIRARAHAVCGPVVSCADITLLATRDIIVISGGPWFNVPQGNLDSLAPAAQAKVFDLPAPDTASVATLVSSFATRGLGDVADLVALSGAHTIGRSQCGSFEDRSKRADDTFSRKLAANCSKHPDRLQNLDVITPDLFDNGYYKALGFNQGVFTSDMALVKNKTTAAIVKRFAESQDAFFGQFARSMEKLARVPKPAGNVGEIRRFSCFRTNAQGAYVDGDAAGEEGEEGFAASA >SECCE4Rv1G0252440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:614643651:614643966:-1 gene:SECCE4Rv1G0252440 transcript:SECCE4Rv1G0252440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVVVFLLLVATGFQGAVQVALARDCRSQSKKFVGLCVSDTNCASVCLTEHFPEGKCDGLRRCFCTTDC >SECCE7Rv1G0492290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:548569147:548573361:-1 gene:SECCE7Rv1G0492290 transcript:SECCE7Rv1G0492290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVNRRGTQPRAGMEYFDARRKPHNIGKVIVALLFIALCIFVLKQSPGFGGNSVFSRHEPGVTHVLVTGGAGYIGSHASLRLLKDNYRVTIVDNLSRGNKGAIKVLQELFPEPGRLQFIYADLGDQKSVNKIFSENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPIIETTPQLPINPYGKAKKMAEDIILDFSKRTDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGVISGLKVKGIDYPTADGTCIRDYIDVTDLVDAHVKALNKAEPSKVGIYNVGTGRGRSVKEFVDACKQATGVDIKIEYLSRRPGDYAEVFSDPTKINSELNWTAQHTDLKESLSVAWRWQKSHPRGYGAN >SECCE2Rv1G0077970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:103131626:103131932:-1 gene:SECCE2Rv1G0077970 transcript:SECCE2Rv1G0077970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEAPFYPREKLVQKQQYFQKLSKHIHLKGRYDVVTSVAIPLALAGTSLFMIGRGIYNMSNGIGKKE >SECCE3Rv1G0171610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:274777070:274779064:1 gene:SECCE3Rv1G0171610 transcript:SECCE3Rv1G0171610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKQRPEPSVASVEVSAYRTRHSPSWSFRWDNRTHIEDIMENAALFSNHSSGNIRPELKSGSVAPTEGHPNEDNLSDVFRGVKCQKPDKKMEASKRSKAGPQAVQSTASNSPLETKSCKSSDMVNVASDIKTSKSLPSTPPLVSRTDPSSSRCHPIHVDSFSMRKARRSPGHQLCRQISDSKIPSLKSFSESSYAGGRPSSSMLSTCSNDPFGGGSQHGGSSDGWSTRTFSDLVASSQRERWSVDSELFGSISSKIARSNDSHATALSPDAGICKLCSKLLKERSTWSAHDLGVVAVLFCGHAYHANCLDSTTSESEKYDPPCPVCTHGEKGAAKLFGKLDSKIKSRKSKNVMSDTDIDRSSKHKRRSMREPRLGTSSSMKDSFRRPFLKRHFSIGSRPPRSVLGSEPTGKKGFWARHWKE >SECCE5Rv1G0328980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:511363890:511364788:-1 gene:SECCE5Rv1G0328980 transcript:SECCE5Rv1G0328980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVAADDQPRRSRRYALLLAARDSEYVLKAYGGYFNVFVSAFGGGGGEDGDVCEAWDMFRAVDGELPDLDDVGRYDGFVISGSPYDAYADELWILRLCLLVREAVAARKRVLGICFGHQVVCRALGGRVGKARRGGWDIGIREVAMAATLPPCRFLDALRNLPQCAKITECHQDEVWEAPLGADVLASSDKTGVEMFCVGDHVLGIQGHPEYTGDILLSLVDRLSTSQTITVSVAEDVKRQLEATRPDREFWLNLCKSFLKTEEL >SECCE3Rv1G0147270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:14755472:14758787:1 gene:SECCE3Rv1G0147270 transcript:SECCE3Rv1G0147270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNPANPSTTRKRGHYNSAKKSRRKGDRAYRCSPHLIPPIMKRLSDEQRGFVRKIGFGSLLSMADFEMNRDLTLWLIDRFSCDTEALEFDGGVSVPVRPLVESVLGIPAGPIQVAAVGDALRGRYRSLKGKNAKKLAEEMRGMTEEEPFCMAFMMVILAVYLAPNTTMLVNRYLLGAAQQVGSLSQMDWCGFVADYLFGAIRKFKESDAPFVFVKGCVHILNVIYIDLVKHAAFEVPNGFPRLGVVTTEHNKWVASHPFGSLPVRRLEESVYAPVLNNMGNGNIVEDGTCADSDTNTDALANRFAITVTHQNNPHPISAYPGPARTTPDITSLDSVEHVVLETSAPSAVYSFSTPRVEACTFLEESVLFPRSREQLQSAGPSSPYSAQITEKMQLAPDATLGRNRGSPRDDRRPENARVELPNGGQVKRAGEGTIRMDMSLLSCRVCYHPVKPPVFQCNVGHLACGTCLAELPGEQCSVCEHGGGFRPCPVMDDVVLSSEMKCSYDGCQSYVPYHELDDHQRECPHAPCFCTEPGCGFCGPPRALLAHLAAAHSVPVQKVHYGSVHRLRLSEARCLLHAEEDDSVFLLAVGAVGALGMATVVSAVCVCAGASLEPRHAVKLRANGPPPPGGAAGSVLLDMKAVTSSNRPGEVAVEELPSYLMVPPAYLVGSGASSEVSLDVRIDRV >SECCE4Rv1G0224840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:85117111:85122233:-1 gene:SECCE4Rv1G0224840 transcript:SECCE4Rv1G0224840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGTPDYSDGGSDMDLLMLSGFDDLDSFPELGAGPSFGDGILSSFSVSPAQQVTHISPSQPSVDVEEQGDVSVTDGSDCSVSLASKEVMNVSAPTVPKTVYGGVTLTERMLRALAMLKEASTAGPVLVQVWIPVRNGDHQVLTTSDQPFLLDERLTGYREVSRQFTFSATEGPGLFPGLPGRVFISGMPEWTSNVMYYNTSEFLRVDYAIRNEVRGSLAMPVFNSSGGSCCAVLEVVMTQEKDNFCSEMDNLSNALQAVQLSTVQARTHPQNLTRNQQSVLTEILDVLQAVCHTHMLPLALAWIPVCPNSSLNVSAEFGDRAIKFGLRNKDVLCVQESACYINDMRMHDFIHACAEHPLEKGQGVAGNAILSNHPFFSSDVREYDMHDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYVLEFFLPLMCKVREEQQLLLDDISMTMQRVCSSLRTVSDAELKENTTAMRSEIRCSSSDVSISSCDQIDVSSEVNINAPLENQIRNSVGQLADKKYAKFEAGSTSQNFENCSSTEKNISLTALQESTSDGLKIRSSTEKNVGLKVLQQYFAGSLKEAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLQKIQNVISTVHGVEGEIKYDPATGCIVSSVSHIEESSMMNVEQRSSDSLPTECRKFEPNSDAYQREHIGQAVLHKAQNEKRSETHINLNPGGLSRNSHFGRTSKGPLCQDASNGSYLTKELICADGMDMWVEGAEQKNVLWNSISMPQQCKIEIETDKSNAIVEQSLASSSSMTDCSSGGTSSDGTLKKCLKSRPVYGSNASIVVKAAYKDDTVRLKLLPSMKYQDLLEEIAKRLKVSVGTFQLKYKDDEDEWVILASDADLQECLDVLDTTGSRIVKVQVRDVPCATGASSGSSFISGR >SECCE4Rv1G0278150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:807341406:807341885:-1 gene:SECCE4Rv1G0278150 transcript:SECCE4Rv1G0278150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSAGLALHAAAVAAMLAVLVLPSSGRCPSLGPAPSPPPPPAQAPPPPAPAPGPAPLIPCYTCPLEAPNSRCYSLCMDTSNQTCECALVMRRVCNDCIDECKANCTGDGCNCGGSPGGACAATCSYSEECMRCVEGRGKRCFTTCMNECFRNCSPH >SECCE3Rv1G0205890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:903522232:903525721:-1 gene:SECCE3Rv1G0205890 transcript:SECCE3Rv1G0205890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHSRSESREGSGNGRYAHSASFQQPAAAPQWGAGGGYPYGAGQDAQGGYYGAPPQQGGYAAPYPAYQPAPVAAQPPPPARAGGASKPRLDRRYSRIADDFRSVEQVTDALAQAGLESSNLIVGIDFTKSNEWTGKFSFHGRSLHHISSVPNPYEQGISILGQTLSKFDEDNLIPCFGFGDASTHDQDVFCFYPDERPCNGFSEALERYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVRASELPLSIVLVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFSEIMSKNMPQSRKEAAFALSALMEIPQQYKATVELGILGRRSMKAPERVPLPPPGGSHDAYSYGTKSFSKPQSSTSSSAYPPYETAHSAAPAAPSSAYDNQVCPICLVNPKDMAFGCGHQTCCECGQTLESCPICRSPITTRIKLY >SECCE4Rv1G0290310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:872270799:872274945:1 gene:SECCE4Rv1G0290310 transcript:SECCE4Rv1G0290310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRALREVVGRAGAAGIGGGRRFSDSAAAAVPVGAAGIGGGRRFSDSAAAAVPVGAAGRGDGGGGKAVNLFTAVNQALHIALDTDPRSYVFGEDVGFGGVFRCTTGLADRFGKQRVFNTPLCEQGIAGFAIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNEFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHVPGLKVVIPRSPREAKGLLLASIRDPNPVIFFEPKWLYRLSVEEVPEGDYMLPLSQAEVIRKGSDITLIGWGAQLAVLEQACEDASKDGISCELIDLRTLIPWDKETVEASVSKTGKLLISHEAPITGGFGAEIAASIAERCFQRLEAPVARVCGLDTPFPLVYEPFYMPTKNKILDAIKATVNY >SECCE1Rv1G0060960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712959296:712960967:-1 gene:SECCE1Rv1G0060960 transcript:SECCE1Rv1G0060960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDGESICSIQMVAGVLDGELDRSPGALQAQDETPRSVLFSVPASPSGLHLQDSARPRLMKHARFHSQPSMLTRGGGEPAPVMPRSESTREWDRRFDHFKTFSGRLERQLSILRGVVPHEPPADDIECNAVAEISMEHTDEGNDIPSADRYFAALEGPELETLRPTEVAVLPNNEPWPFLLRFPISVFGMCLGVSSQTMLWKTLSSEHSTGFLGVHPAVNHVLWWVSVTLTVIVSITYLLKVVFYFEAVRHEFHHPVRGLPRPEREINHIVWYLLMTPILFLDLKIYGQWMSSGERRLSKVANPSNHLAVVGNFVGALLGAKMGLHELPIFFFAVGLAHYLMLFVTLYQRLPTNMQLPKELHPIFFFFVSVPSVASMAWARISGEFSHGPKLLYFVALFLYASLVVRINLIALATVLYASEVDNMLTRALAVGLAGIATVTVIGVMASTVYHAFMSNDLFPNDMSIAITRQRPKFNKILAHLRSSSPNERTI >SECCE1Rv1G0030630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:429508823:429511882:1 gene:SECCE1Rv1G0030630 transcript:SECCE1Rv1G0030630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-6 [Source:Projected from Arabidopsis thaliana (AT3G51670) UniProtKB/Swiss-Prot;Acc:Q9SCU1] MSPTTAASPAPAPATDVLPKPSPGAKRSLMGSLIDATALLRAASFKEDSYVAAALPASDLRALADLRALLATHPDQISIWGVPLNPPSDAPADERTDVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFRADAVLDEDLGFKDLEGIVAYMHGWDREGHPVCYNAYGVFKDRDMYDRVFGDGDRLSRFLRWRVQIMERGVRALQLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFVNVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLFKFIRPELVPVQYGGLSRASELENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWELEYGAEYVPAAEGGYTLCVERTRKVPAAADEPVHNAFTAKEPGKMVLSIDNSGSRKRKVAAYRYFVRKPSA >SECCE5Rv1G0308270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:128128931:128136091:1 gene:SECCE5Rv1G0308270 transcript:SECCE5Rv1G0308270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLSEEGGGTTTDKMGKDNDVSRAVVEDDTALLLAAVDSIKKAALGRLRNGSDAARILDQDMSNRLLHLACKHDAAECARLLLDGEYGITPSSVDAKDQLTRTPLHAAADAHSKRCIQLLLARNARTDVRLLDGSRQVALEVALMSRRVQVDWSPESSVEDLLAYLQQKDLNAVRLLAEKTREVGEVAYRYAMEGRVAALAMLLLVAEERISTMVSVVIDGVRTKRSIYNSVVDEVLSIGEASAREGNEMRRKALLCEIQLLNQFGATVWRDRKVDRRSLPPLLRAAKAGDMNVTKMLLMGDVDVNETDPEGNTALHWCLSSSSSTQEPRIVWLLQKNGAKVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDIPSKTKETPLFLAVKNGSLSCVRLLLRYGANPKAQNLRKQRPIDVATSQDMRFLLNSANVVPMNHGSTEKNHAMKKERHKELPDDDFDDYDNDDYYESYVVPKASVGHRDFRVKSKGHSTPKEGPKLSRHNDHWEKHDYTRKIFVGGLPLSVDDAYLSRFFSTEFGPVEEAIVITLKIDERIQSRGFGFVKFKREKDMVSAKEAHHVYVLGKRVEIKDAVARPSLPELERATSFKHHVRESSRVTHSELEAEQPEQYNFGKRRPMPEKHLPSWFFIFRRWLPGFLMEATERYGEKYPLCSVKTDFRSCCRMELDHSALGYPKLSDFMRSLPGICRMRVVPVGNGPATHMVLLPPLSRPKYVPLLEPYSFDHDELPESVSGHHSPRSPLTANITENAPYSTDSPHGDACSENNVQSQHDDECSRSNGESLPDGDSTSNGSLLDEITVSTPKSDLIESPARKPDLIVSGSPPEKIEQGPLMKPDLVESAPTKKLDLIDSSPPPPPPSKSDLVQPAGPARKIQLVESRPSKNSELNEPEPARKLDLNQPRPTTCFVDRPVERPAVTPSNCENEMRFSFFHSQWDKYLTPHAKSDYCIICRSCEAAMELVPCLHKICVACMMRCNVRACMTCGTAVSGVKSAPTLDAKSRHVGDMERVSDQKCQLMVVCSGADAVVRCSPCMHTIACRGCLLASVTLLKKCTVCDCMVEHFKFGP >SECCE2Rv1G0120950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:803551800:803555458:1 gene:SECCE2Rv1G0120950 transcript:SECCE2Rv1G0120950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNRSAAAPPAAPTAPSLRTPRRLRRRPLKAPGSGGGRRSGPATPLLRWDAGVRNGAPEKRGEKAAAAGAGPGPREKARDVSVRRLAAGVWRLRPPEAVVGGHGGGGGEGRVHVGLEHIPRHLQVQLLKQDTLGHHQNLKNDISSPISVLERKSEELHKVQFHGASPMLPVTTMEKATKWEPDNLKGMESHDAYLIASQLNLLDEQQDASYVANLQLELRQARDRVGELESERRSTKKKLDHLFKKLAEEKAAWRSREHEKVRAVLEDMKANLDHEKKNRRRLELINMKLVNELKETKMSANQLLQEYDEERKTRELTEEVCNELAREVEEDKAEIEALKHDIQKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDAKYTELSTLQQHVEAFVAACSSARGDIMVVEQAENIIHAIKSVRAQDVEFRYEPPAESEDIFSIFEELRPSEEPVIKDIEQCYKNSSTVCESEIQEASPMTDIFLDKPTKAAYSNKNPHNESEAGDASSWETISHEEMQGSSGSPDGSQSSINKIFDGSISWTSRNDFEYGEIEKLKDDLADAYLTTMTQPKKKESAISKLWKSSRPKNSDVSKKDATEALNGRSSNVRLSVGTHSTVESGIQDIGLSSPSVGQWSSPDSMNIQFNRGFRGCMEYPRASQKHSLKEKLMEARMVSQKVQLRQVLKQKI >SECCE1Rv1G0010120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:60225869:60231128:-1 gene:SECCE1Rv1G0010120 transcript:SECCE1Rv1G0010120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRRRRRTTPAADGSAPSSSADLLALAATLLPTPAAAAALKTPPHLKHTVHSLPDSHPVLLSLPQTLAQALSPDPEPGSVSPRAPAAVLLHLLLTHPSHPPRWDDLLRPLALLHDRLALLATEDPPVAALAASCFELAWRAGAPGRDALVAQTLPYLFSQALTSGSNARPLLRRLFALREALHLLDYTDESISDFKVLLLRCFVSPHFLKAEEGRKLLALVLGVSEGLAREGLEFIRAQVGMMRGRRAAVVAYGEVVFRAWKDGGWVRGEIGEGFLQGMVEAAVHAADKEVAKAARRIIWAFVEQKAVAGVEKLVFSLSEPVLFRSLQVANSNVRRNALHLLLDLFPLEDPDVTKDVNDPLLEKQFFLLDKLLMDDCPEIRAVTVEGICRILNQFWEVIPSPTISKKLSKIVDDMSKDSCNEVRLSTLNGLIYLLDNPQSHDILKVLLPRLSDMVSDPALSVRAAAVDLLLAIRDLRSFQYNKVVGLGTLLSSLADDHPRVAKKITELLIPSYFPSKLPLKEACARCIALIKRSPAAGARFCEFALSEGSSPRSLVEFIKVSITLALSPSGLNSAQTDGLVIASAKLIKSLSDEGSSLVALREYFANAKLKLLFKTAVSDGAQAALLSMVPAISPDDLCVLHIECMNIIVNAAVTSKQEECQEALLAAHKLVHLSGRSDEMFEELTNILQSKASYFSGMYGLEPPSCPVASTKRKKGKSLKKTPARSDDVVGNRPSTSVILSNEQLTAAGGAAWQLNEILKDEEMRAAFLQSYAEIAFSSLKVISQVYIEQCLHFESLDLSPVFAYLSLATYSALQDVDQTDMSCSESTIINQSLDHLLRCYDRFVNGSVTVSTDSTSTLNKNKKSAEHEHQQHVTPEGSPAEGTINVIMLGTSILKFIVDTTTIKLVNESKARCVGFASSYTKYAVSAMKRHSEGSSFNGDDLKDILILTRSSFTYAAKLLHLVLASSSESSSPPEEALLLANNLLDLVPAVESFAGPRFALTLVSVVKQWLPVLILGLGCRWLIGPESEMVNKTCNLGDSDLPLWVAALAKNELFEAEEPREDDQSEQGNEHEESPSSRKLAEMMVTLLRKGRPKILDSVGVILLSNLQLALQRAEHGIVLGLARFVCDKLLGSSSSSSASKNLQITHDCLRDSFFEIDRHCKEDGRVDDEGSRQQLESAKVLISSVLPYDACSQTS >SECCE4Rv1G0225110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:87991835:87992167:1 gene:SECCE4Rv1G0225110 transcript:SECCE4Rv1G0225110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin-inducible protein [Source: Projected from Oryza sativa (Os11g0247000)] MDSQFGAMEGGGSRQRRSPVLARPNTAKRHMQQQRANAADKKVVIPNYFSVEAFFVLACLTISLLILPLVLPPLPPPPSLLLFLPVCLLILLMVLAFMPTDVRSMATSYL >SECCE2Rv1G0122280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:815040953:815042525:1 gene:SECCE2Rv1G0122280 transcript:SECCE2Rv1G0122280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIFVKSPTGETMTLEVESCAAVDTVKAKIHDKEGILPDQQRLIFAGKQLDDGRTLADYSIHKESTLHLALRLVGGGKGGRYPRIEPNLLVLALKYRQNMLVCRKCYARLPLRAANCRKKKCGHSNDIRPKEKLRYH >SECCE1Rv1G0031200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:439975102:439977825:1 gene:SECCE1Rv1G0031200 transcript:SECCE1Rv1G0031200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPWKHPTARHGAAELCFREVGDLLPRRFARRAAASEELVMRLQIHRKLNKHTGCVNTVGFNAAGDTLISGSDDQKVMLWDWDTGAVKMQFHSDHSDNVFQARFMPYTNDRTIVTCAADGEVRVAKIQDGRDVLTSLLGLHDGRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRTDTATELFICRKSVAKSGYSSHVHLNAITIDPRNPNLLAVGGSNSFARVYDIRKCKSEGSSDYAQPSDCYCPPHLIGDKNVGITGLAFSHQSELLVSYNDENIYLFPKNGGLGPDPKSSVKIGGGEGSNSTVFASGENVDRPAPQVYVGHRNCETVKGVTFIGPNHEYVASGSDCGRLFIWRKRDGKFLRAMEGDECIVNCIEPHPHAMTIASSGIDNDVKLWTPSAVERARVVNVEELKPRKRKAKLWHFALPEELVWHVLASRRRQPAAGEDSSEDLEDNTELLNLVLRAASRDNLSDESDEDEETSDGSGE >SECCE6Rv1G0396910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:378736980:378738898:1 gene:SECCE6Rv1G0396910 transcript:SECCE6Rv1G0396910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGNVVGDILDPFIKSASLKVLYNNRELTNGSELKPSQVINEPRIEIAGRDMRNLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESTDASYGNEIVNYESPKPTAGIHRFVFVIFRQSVQQTIYAPGWRPNFNSRDFSALYGLGPPVAAVFFNCQRETGCGGRRYIR >SECCE5Rv1G0297790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:5327291:5328139:-1 gene:SECCE5Rv1G0297790 transcript:SECCE5Rv1G0297790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFNGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGVWFVRQIPTSISISEVFGFCKTP >SECCE3Rv1G0165660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:177061644:177062093:1 gene:SECCE3Rv1G0165660 transcript:SECCE3Rv1G0165660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTVHVAVVDMAGFLIRVYSGWGVELAAVSLAAFLLRYAVVPYANHLVASLSGLSEVGASDAVVASYCRASGLDGAAISRLPCFVAVRRAVGPGGAVATMDCPVCLGAVEEGETVRALPVCRHAFHARCVDAWLRLRPTCPVCRATFR >SECCE5Rv1G0341090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:620727003:620728103:1 gene:SECCE5Rv1G0341090 transcript:SECCE5Rv1G0341090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKRRRRHAPPAVASSSSTPASSGHAPSPPPDLLPDIARRLTSLEDFFSLRASCRDYRALLPASRPHLASQAPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRLTLLYAHGFLVTATTAAAQYPPRLLLLHLFTGDQQRLPRVPTPFSRAILSADLLVVLFLPGRATVQHCRPGDALWRVATADAPHVFDDLIFVDATLYALVGFRLATLELSESSLELSFLGGEYDEENRPVGERFMLGECDGEVLLISEEQAETVVYRVFRWVPGEGKWVMITSLGGRTLFLGFHGFAACVGPGFPEIRGDCIYAAGRRLGEWYEYSLVNGTCDVCYAEYAGAPPLNSDSPLRPPVWVFPSLMPA >SECCE5Rv1G0353890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720462427:720462954:1 gene:SECCE5Rv1G0353890 transcript:SECCE5Rv1G0353890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAATSFLVARDPPSPKTAHLRRRQEQGPVQTGLGWACSAFVQALCFLLASGFTWGLRRAGCDLWLAVSTTCFLVALCACLWKLELLRRDPGANPASTARERRRVGLAASAVSLALVSLVALQVASLALKVALWVLAGVPLGLVIYFLFAAIRARAQDVGRWPDLHELSPQQRV >SECCE5Rv1G0351110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:696606815:696611086:1 gene:SECCE5Rv1G0351110 transcript:SECCE5Rv1G0351110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPAASPETAAAAAEVAAQFRSLVSAEDVESIKQAQHLILGRLQDSNAVLTHFNEYSEQCFAEVSSDLASKARLLKSMKDDLDHIFMKLRSMKSRLATTYPDAFPDGAMAKTMDQRPDLETPLE >SECCE7Rv1G0498140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:635731489:635732184:1 gene:SECCE7Rv1G0498140 transcript:SECCE7Rv1G0498140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARALACFLCVSAARRGRKARLVLWGGEARAAKHGTQAGQVMLDFAGTVVCLADGFYIGRPAPVLAIEDRLIAGGTYLVLPVDRLPQGYDAVTAASLAALSYDKASPAGSITGGPKSPFEYVKGDDGRRVIKVTPEFLVKAVTARPGCGGGGSGAEVDGEGACGGALCSTPELRKHYEQLVGSGRGRAWSPRLDTIKERKGRRLVAAVSPGRLSPVAVRLLGLGKGELR >SECCE7Rv1G0508140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:769736828:769747518:1 gene:SECCE7Rv1G0508140 transcript:SECCE7Rv1G0508140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASDDSREHLLDVGGLTAGGPKIRVRGLRRRSEASGEEILRGVDLDVPRGVVMGVIGPSGSGKSTLLRALNRLWEPAPGAVTLDGADICGMDVLALRRKVGMLFQLPAMFDGTVADNVRYGPQLRGKKLSEAEVESLLSLSDLDPALSSRPASELSVGQAQRVALARTLANDPEVLLLDEPTSALDPISTQNIEEAIVRLKKARGLTTVIVSHSVKQIQRIADLVCLVVDGEVVEVLAPSDLSEAKHPMARRFLELSS >SECCE4Rv1G0256440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:656876434:656878075:1 gene:SECCE4Rv1G0256440 transcript:SECCE4Rv1G0256440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQRSNGGDGGGRKNGTNKYAVACSIIGSIISILMGYDSGVMSGAMLFIKEDLKTNDTQLQVLAGILNVCALVGSLTAGRVSDWVGRRLTISLAACIFLAGSVLMGLAPNFATLLVGRCVAGVGVGYALMIAPVYAVEIASAEIRGSLTSLPEICISFGILIGYVANYFLAKLPLVYGWRTMLGLGALPSAALAVGVLAMPESPRWLVMQGRAEEALVVLRKVCNTAEEADVRLADIKSAAGFVEGDAPPAPRSGGKGVMKEMFLHPTPAVRRILVAALGVHFFQHLTGIEAVVLYSPRIFKAAGIATRNQILAATIGVDVTKTVFIMVAILLVDRVGRRPLYLSSLSGIIASMACLGLGLTVIERSAPHHGAPWAVALAIAAVFTFVAAFSIGVGPITWAYSSEVYPLRLRAQGASVGVAINRIMNAGVSMTFVTLYKAITIGGAFFLFAGLAVVAAAFFYFFCPETQGRALEEIEEVFSRGWRARQRQQAPSPSSVELPPVHDSKARP >SECCE3Rv1G0164400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:162784841:162787746:-1 gene:SECCE3Rv1G0164400 transcript:SECCE3Rv1G0164400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTASAGVSKAAAAQGGHRALPLASLNHISVVCRSLESSLSFYRDVLGFIQIRRPGSFDFDGAWLFNFGIGVHLLQAEDPESLPPMKAEINPKDNHISFTTCESMEAVQRRLKELGIRYVQRRVEEGGIHVDQIFFHDPDGFMIEVCTCDNLPVIPLVTHLDAACAPAIVAPSCKRVSNQHQQLSSAVPVAVADVPPPPAPQQCVTASANGGGCVGVVVDPAASMSASVMMTCSEHACMQV >SECCE5Rv1G0346630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:662994105:662994416:1 gene:SECCE5Rv1G0346630 transcript:SECCE5Rv1G0346630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE3Rv1G0165510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:175335969:175336202:1 gene:SECCE3Rv1G0165510 transcript:SECCE3Rv1G0165510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSMQQLALVALVLASIVLPAQEAHGAQPASTGGVISYRALLRGNSANTSDANVRPSGVANSYTRGCSKINRCRG >SECCE1Rv1G0030370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:427368366:427370798:1 gene:SECCE1Rv1G0030370 transcript:SECCE1Rv1G0030370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 722, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G19630) UniProtKB/TrEMBL;Acc:F4HP86] MQHGAGRPEMTSTLVELAWRFAAAHVLVLPAAVGGVAYLLLRALRASRLRQEGNSPPPGGIGGWCRGAVGAETLSFLADNSSGRGFYHFVHARALRYGACFRTVLFGRTHVFLLSSRARAAAASLLAADPPHFAKRYVRTVADLLGEHSLLCTSHGAHRCMRRAVAGLFAPARTAAFAAAFDRLITARLLADGCKGRAVVLDAALDVTFRAICEMLIGPRNDARELEQLQSDVMDVTQAMLALPIRLPGTRFYRGLQARKRIMDVLRQEISMRRENGLKLDRRDDFLQTLLLKSHMDSPDEALTDEQILDNILTLIIAGQVTTATAITWMVKYLGDNTDLQEKLRSIQLDLASKHQGAPLTLQHLNTMDYAYKTVKESLRMATIVSWFPRVALKDCQVAGFHIKKDWIINVDARSIHYDPAIYDNPTVFDPSRFNDDMKPYSFLVFGAGSRTCLGMNLAKIMMLIFLHRLVTNFRWEMADHDTSLEKWAMFPRLKNGCPIQLTPIRKDKMH >SECCE3Rv1G0194580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:782778493:782779377:1 gene:SECCE3Rv1G0194580 transcript:SECCE3Rv1G0194580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDGRVHPAATSSDFSGEYAYSSSDPSSSPLYSFHFEKPVPPPPAHQNKPPAPQPGTYVVQVPKDKVFRVPPPENARLFQHYTRRAKRRAGCSCLRACLYLAVALLSLAVLLAAAVGGVYLAFKPRQPAYTVVSLAVSGLAGVGNASAPGALSPGFAATVSADNSANGKVGVHYDGAGSRVAVSYEGVSLADGAWPAFYQAPGNITVFVAKAKGVGIRFSERERGQMAAAERLRSVPFDVDITVPVRLQLGGLRTWAVPVTVRCAMAVDRLAANAKVVSRSCDVKVPFLFWRN >SECCE2Rv1G0137310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:917606638:917607345:-1 gene:SECCE2Rv1G0137310 transcript:SECCE2Rv1G0137310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGALAVLLLLVLAARAAAAIKPLEEQPQGGKWADHLTAGAAITPSEEQPQDGKLADHLTARAAITPSEEQPQDGKRADHVAAGAAIEPSEEQPQGGMRAGHLTAAKGLLGEEPAARLAYVRTEEKKDSDDAETMPLEGMKPGGGVAIQQGGDPGMVPSASSGSGEHAKEESGEHAGKEVSGSTSKEGEKSAAKSCLTKEECHKKRLLCGKGCTLSAHAKCAAKCSKSCIATC >SECCE2Rv1G0133600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:897883572:897886929:1 gene:SECCE2Rv1G0133600 transcript:SECCE2Rv1G0133600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNITTGAAGAYAVLNNSGNFVLRSSNNMDIWQSFDHPTDTILPNMRFLVSYKAQIVARLVAWKGPDDPSSGDFSCSGDTRSPDLQLVTWNKARLYCRIIVLDGVSVSGGTLNNTSSISYQTIVTSGDEFSYKFTVSDNSPFRRMMLDYTGKLKNLDWDNRSASWIVINEHPNSACDLYASCGPFGYCDFTEAIATCQCFDGFEPVDSSNSFKGCQRKEALKCHEQSHFTSFPGMKVPNMFLHIRNRNLDDCAAECINNCPCTAYAYADLSSGGAMADPSRCLVWSGELIDAQKATGSENLYLRLADFPVNKKNDHVKIVLPIISCLLLLACIVFIWMYKYRGKWGKKKNQKKVTLGYISTSNSLEGNNREFPYFSYEYEDILSATNFFADSSLLGRGNEVAVKRLSKSSGQGIVEFRNEVVLIAKLQHKNLVKLLGCCIHEDEKLLIYEYLANKSLDVFLFDDARKHVLDWLTRFKVIKGIARGLLYLHQDSRLTVIHRDLKASNILLDIEMTPKISDFGLARIFGANQNHANTTRVVGTYGYMSPEYAMGGAFSVKSDTYSFGVLLLEIVSGMKISSPQLKMEFCSLISYAWRLWEDGKTTELVDSFIAASCPLHEVERCIHVGLLCVQDHPNDRPLMSSVMFMLENESAMLPHPKQPVYFALGNYEGDQARERMSSTNEMSMTTLKGR >SECCEUnv1G0563650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:376165818:376166132:1 gene:SECCEUnv1G0563650 transcript:SECCEUnv1G0563650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASERAVVVFTLSPCCMCHTVERLFRDQLGVNALLHELDQDPRGKEMERALLKMLGRGPSVPTVFIGGKLVGGTNRIMSLHLGGELVPMLKNAGALWL >SECCE2Rv1G0118680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:784920460:784922047:-1 gene:SECCE2Rv1G0118680 transcript:SECCE2Rv1G0118680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRTLRREIAEPFYDKKEAAKQAAQAAALEAPKLPVRVHPAYEESLAAAAAASAAAAASRASAMEVDGGSKKSTSFLKPMGTISKKKVQLHLKIKKDKRKARKKGNSGKKSY >SECCE7Rv1G0458840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22984056:22985348:-1 gene:SECCE7Rv1G0458840 transcript:SECCE7Rv1G0458840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCWLLLFFWAFLWPAASAVPCHPDDLRALRGFAGGLSGGGVLLRTAWSGASCCSWEGVGCDSTSGRVTVLRLPRRGLTGPIPGASLAGLVWLEELFLGSNSFVGVLPDALFGLAKLRKLSLASNELTGQVSSRLGELTHLTLLDLSANRFFGRLPDVFDDLTSLEHFAAHSNGFSGFLPPSLSSLSSLRELNLRNNTLSGPIARVSFSGMPLLASVDFSTNYLTGWLPASLAGCGELKSLNLANNTLVGTIPSWIGEFDHLWYLNLSNNSFIGEVPKSLLRLKGLATSSRSSGMVFTNMLLYVNDKRRALNDQPNTITGSNNTVRSGRNNSMSGNDNTIISGNNNAVSGSFNTLVCGDDNVLTGDHHVVSGSNHIVTNSYNKVSGCTNNVSGSRHTVSGSNNAVSGSNNTVSGSNHVVSGSNKIVTDD >SECCE6Rv1G0401310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:464606117:464606389:1 gene:SECCE6Rv1G0401310 transcript:SECCE6Rv1G0401310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALDAWRSTSAAITSWCLSALWSGLRRRHRRTAYALGDGAGGLKLNYDALSYAQNFDDGSRPGECEPDFTARFAPAGTRRPSSVTCCG >SECCE6Rv1G0377180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:280672:283075:1 gene:SECCE6Rv1G0377180 transcript:SECCE6Rv1G0377180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAQEPTAGGKRPGPCRQGYLKLGYHIAVSNAVYVLLTPFAAALALRASRLTPSDLAAARAYLLANLQLAASLVCVAAALATVYLARRPRAVYLLDFACYKPGPDHVVTRETFMRQSSRAGVFTDDNLAFQRKILERSGLGQGTYFPAAVLNSPPNPCMAEARREAEAVMFGAVDGLLAKTGVRARDIGVVVVNCSLFNPTPSLSAMVVNHYKLRGNVASYNLGGMGCSAGLISIDLAKQLLQVHRNSYALVVSMENITLNWYWGNDRSMLMSNCLFRMGGAAILLSNRAADKRRSKYQLVHTVRTHHGADDRAYRCVFQEEDKAGRVGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQILFLASLVGNKIFGLRMKPYIPDFKMAFEHFCIHAGGRAVLDTIEKNLELSDWHMEPSRMTLNRWGNTSSSSLWYELAYTEAKGRVRRGHRAWQIAFGSGFKCNSAVWRALKDIHPSKEAGSNPWTEEIHRFPVEVPKVESVVSSP >SECCE5Rv1G0375310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867910908:867911597:1 gene:SECCE5Rv1G0375310 transcript:SECCE5Rv1G0375310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHVPLLVLVFLFAAAAMATSEVETTPPIPDQIDLPPLPSPSDIPVTPPCLNSISVCALVYQDPSQLAPCCVAVKKLFGSDPECICNGIAEAQKVAKQSGLNYTVDGQEMFRRCEMPLTSCDPKKPGSANIGNAASTAKSFGAFQILLVFPLFFMM >SECCE5Rv1G0342820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633836717:633839563:1 gene:SECCE5Rv1G0342820 transcript:SECCE5Rv1G0342820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAACCLLLVLATTAASSAVYAQQANSGCFADERDALLSFRAGIRKDPQSLLTLWNGQDCCLWSGIRCSNRTSHVVRLDLRNSFFLDDMFAPVFSANPHGMRGEISSSLLALQHLEYLDLSGNYLGGVGVPIPRFLGSLPSLVYLNLSCMDFDGKVPPQLGNLSRLHHLDLYNIWNPSGYDNKLHSEDISWLPRLPLLRFLDMSGVNLTAAGDWVQVLSMVSNLRALRLRECNLVFPHTPVVRSNLTSLQMLDLTDTGVDTLNPSYWFWDVGTIRHLDLTNNEFSGPFPDAMGNMTSLEVLKLGGNYLTGVRTELLNSLCNLRVLTLWSNQINQDISEVLKGLPQCARSKIELLDLSCTNISGEIPKWINQWSNLSILELSSNRLVGSIPVEMGMLGKLNKLYLDSNQFNGSISEEHLARLVNLEELDLSYNSLHMKISSHWNPPFKLHLAYFPRSKVGPRFPLWLKGQSNITNLDISDASIVDDLPDWFWTVFSNVQYLNISCNQISGRLPRTLEFMPSALIFDLNSNNLTGTLPHLPRHLIELDISRNSLSGPLPQQFGAPSLMDLLLSENSINGTIPPYICQLQLLKVLDLAKNRLVGQFPLCPEVSEGRFIQAIILNENNLSGEFPSFLQSCPELILLDLANNKFDGELPTWIAKKLPDLSYLRLRHNMFSGSIPIEVTHLGYLQYLDLADNKISGSIPHTLGNLKAMVEDQTQSKGNPLTWSYERPANSDTYDRPKYDDSLVVVMKGQYLAYTSNIILMVGLDLSCNNLVGEIPVEITSLVNLKNLNISYNRLSGKIPEKIGFLGSLESLDLSCNELSGEIPSGFSEMTMLSKMNLSYNNLSGRIPTGNQLQTLIDPASSYIGNEYLCGPPLLRNCSPPVVTAGDLDEHHAEVRYFYLGLAAGFTLGLWVVFVVFLFYKRCRVAYFQKFDKLLHAIQASMA >SECCEUnv1G0545210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:137739835:137741061:-1 gene:SECCEUnv1G0545210 transcript:SECCEUnv1G0545210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSMARKRKASDDVSDADIDVDVGIPLSLASTDAWTTSTVAAATAARQQQRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPRPSPPAALQPPPPPAMLSMQAPALPSKVSNLLLLRLKARNQQLLLNDAMAPQEAALLQQQMSSSTSSCQESYIHGRDDEYCFQVDDFLSEECNNSPEMEEEEEEVEEEEEEEEEMDFQFMDKSAAAGDEDAGLCSPFEMVAAELGGSAPVEANVVDGEPATAVQEAMRRMEYERKVSASLYALSGVSECLRMRLGGGASAARDQLSGLREACRKKQRLAVQQPATEQSSEPAAAAAADRDAEDGKASVQEECSGSSSSGSSSLTEAGSSSPPEVNGDDVLLWSSLDLAPICYMA >SECCE7Rv1G0497010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:619657456:619658776:-1 gene:SECCE7Rv1G0497010 transcript:SECCE7Rv1G0497010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >SECCE7Rv1G0465430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:69722503:69725201:-1 gene:SECCE7Rv1G0465430 transcript:SECCE7Rv1G0465430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKSASDGEENMLTCLADLFSQISNQKKKTGVIAPKRFIQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKECKANKESSQNSSSNKNSNGPSNGQPNGSHKEPDTTWVHKCFQGILTNQTKCLRCETVTDRDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKQPNILVIHLKRFKYIEQLGRYKKLTYRVVFPLELKLMNTVDNSDLEYTLFAVLVHVGSGPNHGHYISVVKSHNHWLFFDDETVEMTDESMVQTFFGSAQEFSGNTDNGYILFYESVAKTS >SECCE2Rv1G0099330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:514848592:514851112:1 gene:SECCE2Rv1G0099330 transcript:SECCE2Rv1G0099330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAGLYRLLIIVLAAAAPLLSASQRFDYPAANLSTLWINNKAFLPHSVSYSDGSAVRAIVLRSPRTFYGPSFAAGFICAAPCDVFLFVVFIVYTNSGAKITMPTTGTPQVVWSANRARPVRENATLELSSDGNLVLRDADASLVWSSSSSGRSVAGLMIIEIGNLVLFDQKNATVWQSFAHPTDALVPGQSIMEGMRLVASTSATNWTENHLYMTVQPDVLYAYVGSTPPQLYFSKLVNKNKTGKDPTKVTFTNGSLSISVQSKQPNESDTTIQLPAANSTQYMRLESDGHLRLYEWSNADAKWAVVSDVIKLFPDDCAFPIVCGEYGICTGGQCVCSLQNNSSSTYFKPVDERKPNLGCASLTPISCQEMQHRQLLTLTDISYFDASHIIVNATNREDCKQACLKNCSCSAVMFRYGQNDSDGTCLWVTRVFSLQSIQPEIVHYNSSAYLKVQLSPSTSASNANKKKVMLGATLGAITILVLLVIASLDEELDFDQIPGMIVRYSFENLRECTGDFSKKLGGGGFGTDFEGKIGEEKVTVKHLEGARQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSERLLVYEYMPRGSLDRWIYYRHNNAPLGWCTRHMIILDIAKCLCYLHEECRRIIAHLDIKPQNILLDENFNAKVADFRLSKLIDWDQSKVMTMMRSTSGYLAPEWLMSQITEKVDVYGFGVVVMEVICGRENIDISFPKESVQLIKLLQKKAEDNQFIDLIDNHSEDMVLQQEEAVQLLKLPMWCLQNDSSRRPSMSSVVKVLEGSMNIETNIDCNLMNANPVMSVQHNQSTYSVPPEASILSGPR >SECCE2Rv1G0125230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:839878841:839882850:1 gene:SECCE2Rv1G0125230 transcript:SECCE2Rv1G0125230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVANPPAALPSAPPPSYPATSAHSASSSAAAEDDDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLASSEKPNVLYSDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTSKMNLSDEVDLEDYVSRPDKISAADITAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFDFYK >SECCE6Rv1G0433500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:759830896:759842867:1 gene:SECCE6Rv1G0433500 transcript:SECCE6Rv1G0433500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPDMYDPGGSSSSWLPGKEELQPEVVLYTASASRRRGRTSADRYALRALLRGYGLTVDGRDVSRSKAHRSELKSLLAARGCAFSLPQLLVGGRLVGGPDDVRQLHQAGELRPLLDGAPRPSPAFLCQACKRVDSEPCRNCRISRNKMVDHGIIEGEEEERVVLFYPPQDVSVGGRGRKMDATISVSLGAMRPLLKKLDIMLGPHGCKLTKGVNDRSQLLKDDLEEIGAYLEDLLEVEDPPLVAKCWMKEAHELSYDILDCIDNFVPPKSLGYKSDHKTTHVKIPKRLKWQKHIEYAAPDVLGHVISKTIRVDVIHAPRKLKWYQQMVEKVSEFRIYAQELVRRYERYLGHCCNTLAASSFLAIGPMMPLLPPPCEKTCSGLVIDGRMSKFINSQLANDENQQLKVVSIHGSGCLGKTMLAKLLYNKIGRQFNCRAFIRVSRKPDIKTLFRDILSQIKRKQPQASQDASIELRVTAEKIRNYLLGKRYLIIVDDLWDTSAWDVINQLFPKCSPGSRIITTTQIEDVALACCCDDAEQVFEMKPLDDDHSRKLFFGRLFGCESECPEEFKQISRKIVEICGGLPLATISIASLLAYHPSLSMDLMTHVHDSLISSLSSSSTSERTSKVLTVSYNSLPHYLKTCLLHLSMYPEASIIFKHDLVRQWVAEGFFAASEGQNMEEVAGMYFDELVDRRFIQPMSINFNNEVVSCTVHAMVHDLIAHMSAEENFLVVVDYNRKHLTLSHKVRRLSLQLRDAKYAKIPANIRKSQVRSLGVFGLSECMPSIGEFKLVRVLNLHLSGHHIGDQDVAIDLTGISELFHLIYLKIVCDVCIRLPGRMRGLQCLETLDVMDTPRGTHVPWDIIYLPHLLHLSLPLDTDLLDWIVSIGSLGIMNQLEDICIPTPDHDHLTRRMGALVTLIKGHSSLKTVKVVAHGSSVRYGDASKATIYWSHVAPPVNLQRFECSMHSEIIFDSIPLQIKHNCNLCILKIKVRELHDVDILGGLPALTALSLYVETSPNIKTIFSTVAGFTTLKYLKLRFMSGIAWIKFEADAMPNLLKVRLVFDAIPQMDQRLDLYSKHDQWKQYRHGTPLISIEHMPRLRKVSAKFGGAAADLKYVSRIGIVSNHPSNAIIDVQLVHYGSHGDKRISSRSPESTSCPLHVPLPGAGANGGGEVSWSNIICVIGRDLFIYCLHRLSRWEYGTIASLNHDFNSVVRNGDIYHLRRKNGVAEHWIYLPCRNPQEWEAYDPCCRRWIQVPKMPPAQFVIWDTLSVGTELLVFGDTRVTMRYSILTNSWTGLADAMNTPRYCFGSASIGEKAYVSGGVDSSNNEVSSAEMYDSKTHTWTPLPSMNRARAGCSGAFMDGKFYVIGGITSRLEVLTCGEEYDLNRRSWRVIDNIAQGLNQTSQGPPPLIAVVNNELYAADYTENNDLKQYDKLDNKWFTLGKLPVQSKKKGWDMGFRACGDRLIVIGPPNNSTDEKVIEIHSWTLDGQPPVWNLVATHQFTSELRRLHCPVMGC >SECCE5Rv1G0367470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:821632135:821635757:-1 gene:SECCE5Rv1G0367470 transcript:SECCE5Rv1G0367470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQYLDFSQASTSKKWTANSTKRQPGQGFDAPRNSMELAMEAPHSYGVFQEDVPYSCNSMRQYPRSSSAPIKKLVHEDASFRQNEGHKRVPSVIARLMGMDSPPMNSELTTRVDDGRQEMIVARPVPTKHVSFAQQQKNSKPVRHTAKQEIYTFDDAGDDERDILAQLTKRSNGGGGGGADGWSKPVPREHPQEEELQKFKKEFAAWQASKVWEQSRALELDSRHADDGDGDRSSEIVPYRYHQQDRRGRDGNRRVHDNGSGDAHWRRRGKDGGGGGPSISGSRTFSLTRADDGSSARLPLSRFYYEEEEKPLSPTRIVILKPCPELSADDVDESSLGSPELMKNENNMEAFLEEVKMRLKIELEGHMAPDDRAADRWPADVPADPKQIARNIADQIRESVTKEMHHPALLRSESTRSYRSSDVQSQMDYICRDARKQLSDRLRNVLRSEPEADQPPPFGSHRRRPAASTPSSSPWDEEPRPKPTSPRRDVARKGDKKIRSKEEKKRAIESSEVRSFRQGWYKSSATAAVVDSSDDAASPRNLMRSFSAPVSGNFVKFLSEGEPRVLAGARVQLKHEGHRDYYGGRPSPEEERAPKGRKDGFGIKGKVSNLRQNLGLRAKLFGKKLHSATADESSSSFFLDDFPPMGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDDMMIRGGYPSPVSPLEASFGEHRSPLKPAASSSEPGNPWLEQDQAEEIPQASPAPQEEEEDDTSEMDHPTKAFIRAVLVVAGMYGQSQNSGDHLSLTCQPIKPIPKRAFEEVISSSSPPAATSDGLGVDHRLLFDLINEALPGAARGSTTLCAFSKWYAAPPRRATADKRLLDGLWRSVQTWLEPASVDDDDDVNSVDGLIGRDMGASPWSGVFRDDVDGLGEEMEAEILSELVDETLWDVLLNVGD >SECCE2Rv1G0092690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:365274665:365289563:-1 gene:SECCE2Rv1G0092690 transcript:SECCE2Rv1G0092690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPAGHSGVFPVGAAATGVGGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSGTEGSTEEDISNVEGQEPSEIQKWSADFPDMLDVLLAERRVDEALDALDEAERVAADRTQTLTTAEISALRSAVSDNRQKLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLSAHNQRLQCNLQTIHPSSTSYGGAYTAALAQQVFSVVAQALSDSVEVFGDESCYASELVTWATQQVLSFALLVKRHVLSSCAAAGGLRAAAECVQISIGHCSLLEARGLSVSAVLLKQFKPSLEQALDANLRRIEESTAALAAADNWTLTYPSNGIRPLARSSVVNLALQPKLSSSAHRFNSMVQDYFEDVAPLLSLQLGGSTMDGIAKNFSLYVNLLISALPGSMDDEANIDGLGNKIIRMAETEEQQLALLANASLLAEELLPRAAMKLSSINQPSMDDLHKRGPDKQNRLPELREWKRKLQRMVDRLRDSFCRQHALELIFTDEGDTHLSAEMYISMDNTVEEPEWVPSPIFQELYAKLNRMAGVAADMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMGAFSATGMNPDSVLPGDDWFMDVAQEVVGMISGRGRAANVDREINSPTASVSAHSMSSFRSHGSS >SECCE6Rv1G0434840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:767928088:767929416:-1 gene:SECCE6Rv1G0434840 transcript:SECCE6Rv1G0434840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSKRKKMVRGAAVFVALAAMAVIVRAIVRKRRPRITYGPMHERDRIRYDYLNQKIWQSDVLCKNMLRFERAAFFNLCAIMRDRKLLEDSTHVSVEQQLAMFLHTVGHNLRNRVVSANFCRSYGTTSIYFRKTLHAIGELRNDYIRPPSLETPAKIEGNHRFDPYFKDCIGAIDGTHVRAGVTKDVEHSFRGRKAFTTQNVMAAVDFDLRFTYVLGGWEGSAHDATVLADALTRERGLQVPPGKFYLVDAGYGAKPGFLPPFRGVRYHLNEWGNNPVQNDRELFNLRHSSLRVTVERAFGSLKRRFKILDDAKPFFTFPVQVDIVIACCVLHNYALSQGIDEFIIPEVTWTTQPIRTSRQQASDTRAVVDRRLQMAAQMWADRQLMYANV >SECCEUnv1G0531160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16216341:16218878:1 gene:SECCEUnv1G0531160 transcript:SECCEUnv1G0531160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRNRTYASGSNKRKKKNAADKLVQSQRGHIHIYYKPISTTSINPGDELAIVAIEVEQQTNVNSESDQQEENVDTNIDDNNVSDSENVGNSSDAQEQSPSVDEPFYTPDIYDPRNWGNLDNKARDVLVEKGPMREENDKMEYHVDDAGRHFSYAHYHRKLSNGEKHDRKWLVYSEGVDKVFCFCCKIFNEKLKEHENSVDYINNMNKWNELRIRLRKKETIDKELQHQITKEKERVRQVLLRIIAIQLYKDDNGNFLACVEMIAEFDLVMQDHLRRIQNKEIHYHYLSHKIQNELISLLAAEITCSIIKVVKEAKYFSVILDCTPDVSHQEQMTFLVRCVNFSDGKIKIEEYFLALVDVNPRALYMPCACHSLNLTLCDMAKTCEKAVSFFGIVQRIYILFAGSTKRWNVLLKHVPSLTVKSLSNTCWESRIKSVTTIRYQAMKSRSALSELRDASDTEPKDKSDAKNLFDALGRFEFLVVSKMLQSSTMCIDSSLKQIKGITEYFEKYRDDGFSSSLTIAKGIASEMGIEPVFPMKRPEKAFRVKYFFVLVDMAISSLKDRFKELMVFKDIFGFLLSSGTLKSLSDNELEECCTKFAKTFSLDGSSDVEVYDLISELKIMRFTLPNGVMSGMEIFGHVREVNCYPNISIAYRILFTVPVTVASAERSFSKLKLLKNYLRSTMTQERLNGLATLCIEKKLLDEIDIDPIISDFASRNVRRNF >SECCE2Rv1G0129150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:866993099:866994652:-1 gene:SECCE2Rv1G0129150 transcript:SECCE2Rv1G0129150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRKRPSSSSSGRRDRISGLPDAVLGHVLSFLPTKEAGRAAMLGRRWRDIFCSVHTISAEEREGQRADDWDTYFYEAQERRSCSNALLESISAALLHRRRRPGLTVPLRSFRFAFDSYHGWDKVAVDQWLFEVLRQSTQDHQELHLDLRFFIGPICARDSVNGDDEEEESNDNVSWWHVLPRTLFSCRAVRTLCLSYCALNLPEVVDLPFLETLCLTSIRGDSEETIQKLVWSCPRLADLTLEANSRLKKLTVLDKRLRRFALRCCHNVTHVSIDASELRCLEYSGSVPEESLMSLRGSPVVSSCTIRFCKVRSKESEFVRFRKLLEKVADSKHMHLHHRGLGSEFFAVFPSLANLTLLELQGPIESSDTVDVIKRILEQTPNLEVLSLYMEERERDMKERRQREENIRYRQLYGGVVGDDQEEYDRSVDLCNLRAPDRSGFSLPCLRHRLKEINMVNYHCDVQHRVLASMLFGNALVLERMCVVFMKGLFALQVELRKEIESWVVAKPDMSFM >SECCE6Rv1G0409300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:585091643:585091870:-1 gene:SECCE6Rv1G0409300 transcript:SECCE6Rv1G0409300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAPCPRYQGLSAYEKEYLAIIVAVDQWRPYLQHGEFVIFTDQRSLVHLEEQRLKTPWQQKAFTKLLGLRYCIK >SECCE7Rv1G0468180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95601837:95602082:1 gene:SECCE7Rv1G0468180 transcript:SECCE7Rv1G0468180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMASAATMAKAVVVAVLLMQCCDVVLGARLLERDGGWLHGGVGAAGALIMQVLPRGGGSAGAPNGCTNNPKHPPGGKCHG >SECCE3Rv1G0158590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:89606198:89609110:1 gene:SECCE3Rv1G0158590 transcript:SECCE3Rv1G0158590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGTFDPLLGTTTKAPDGIGATLLSRTQSHMFRVWRQIEPVVCLGAAVGLCWAAWSYYTRKSCLRTYGRYLNGKTSGPVVGRDDEIDSVVSILCCKTKNCAALVGAPGVGKTAIAEGLAQRIAAGNVPAELSKARVVEVDLGAMVAGTVLRGMFESRLKTMIQKAENSGGKIILFIDEMHMLIGAGDKWGSNDAANILKPALARGRIRCVGATTFDDYRKYIEKDAALERRFQKVHIEEPSTQATIAILRGIKQQYERHHSLEIQDAAIVAAAELAGRYITGRQFPDKAIDLIDAACATAKKMMQIDSQEKEEDTVKKAIVAPNHVAQAVSRCTGIPVSTLDQEEKDRLIHLADRLHERVVGQDEAVNLVAEAVLRSRAGLDQPGQPIGSFLFLGLTGVGKTELAKALAEQLFDSEKMLVRIDMSEYVGFGAVARLIGAAPSCIGYDDGGQLTEIVRRRPYSVILFDEVEKADPLVLNVFIQLLDDGVLTDGKGRTVDFKNTIIIMTSNLGAEHLTAGMAGESTMEAAKDLVMKKVQKHFKPEFLNRLSEVVIFEPLLHDKLKEIVKIQMKSVTARVAAKSISLSASDAALDVILLESCNLMYGARPIRRWVQKNVMTALSRMLLKGEAVEGSTIVIDATDDKRGLKYEVVNPQGKSQVVQLSCDKSYVAAVTNPKP >SECCE5Rv1G0366330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:812680866:812687701:1 gene:SECCE5Rv1G0366330 transcript:SECCE5Rv1G0366330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DEFECTIVE IN EXINE FORMATION 1 [Source:Projected from Arabidopsis thaliana (AT3G09090) UniProtKB/Swiss-Prot;Acc:F4IYM4] MRPLLALAVCALLVAGAAAAGEDAANTTNKFRQREASDDMLGYPHLDEDALLSTKCPKHVELRWQTEVSSSIYASPLIADINSDGKLEVVVPSFVHYLEVLEGSDGDKLPGWPAFHQSNVHSSPLLYDIDKDGTREIALATYNGVVNFFRISGYLMMDKLEVPRRKVRKDWHVGLNADPVDRSHPDVNDSSIAKQAASEESHPDIQHKSVGDESSKEPHSRSTTNTPQGADPLKHPSELQSAETKPNSTAEKENPELPKNPKATTESASHAQRRLLQTADKSDDQTGSAETHGSDAGTTGEATVENDEPLEDEANASFDLFRDAEDLPEEYSYDYDDYVNDTWWGDEDWKEQEHEKAEDYVSIDAHILSTPVIADIDNDGVQEMVIAVSYFFDREYYDNAEHLKELGGIDIGKYIASSIVVFNLDTKQVKWTAELDLSTESGKFLAHAYSSPTVVDLDGDGNLDILVGTSYGLFYVLDHHGKTRKNFPLEMAEIHAPVIAADINDDGKIEMVTADVHGNVAAWTAEGDEIWEVHLKSLVPQRPTVGDVNGDGHTDVVVPTVSGNIYVLSGKDGSKVTPFPYRTHGRIMSPVLLVDMSKRGEKTQGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYTMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSNQGRNNAAYRHNRQGIYVKHGSRAFRDEEGKHFWVEFEIVDKYRVPYGNQAPYNVTVTLLVPGNYQGDRRIVVSQVYNEPGHKRMQLPTVSVRTTGTVLVEMVDKHGLHFADEYSLTFHVHYFKLLKWLVVLPMVGMFLVLVILRPQEGAPLPSFSRNNID >SECCEUnv1G0544570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:130612987:130614156:-1 gene:SECCEUnv1G0544570 transcript:SECCEUnv1G0544570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDALRVADLPGRGRALLAARDILEGEVLLSESPILLYPSSLASLSSYCSACFRSLPPPPHTPCPSCRAAAFCSPACAAASHPRLLCAALSSGLAAAPEAHQEPLLFLLSAYSLQEPSLSAILSLSSAPQGPSPSQQQEAASLHAAVASVAPSHMLPAGFSLDLTAALLSKDRTNSFSILEPYRPDVPLELRKARCCAVYPRAALLNHDCLPNACHFDYADRPGPGNTDIVVRALHGITEGKEVCISYFAANWRYADRQRRLLEDYGFRCECDRCQIESKWKFDDDNDGGSDGDDTMEEENGKEDAEDAGDEGMEQEEGSDEDGDDFPHAFFFVRYLCDREDCYGMLAPLPPLPNGELSHVFECNACGQLKKEEDEDEPDAGECSMDQ >SECCE5Rv1G0317640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:348460013:348465479:-1 gene:SECCE5Rv1G0317640 transcript:SECCE5Rv1G0317640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAKPYCCSSLNPAPSTPLARRAALSALPAAKPRRFSYGLAAVAANPRASRSAVLRPVHACLAPPRRPEYVPDRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARKPRIHTFIATSEIHMQHKLRKTPDQVVAIAREMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPHEFGKLIADIKANTPGIENAIISTHCQNDLGLASANTLAGAYAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINSQHITMTSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKFKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRTKLVELGYEINDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVIWSLGDVQATCGTLGLSTATVKLITIDGEEKIGCSVGTGPVDAAYKAVDQIIQIPTVLREYSMTSVTEGIDAIATTRVVISGDVSADKPALTSNSNRSFSGSGAAMDVVVSSVRAYLSALNKMSSYVGAVKASSEAPETTSVQSTA >SECCE4Rv1G0286950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:852772665:852779092:1 gene:SECCE4Rv1G0286950 transcript:SECCE4Rv1G0286950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVLDAFASYVQNMLTEMVSEEVHMLLGVTNEIKKMDVKLKDLKNFLADADRRNITDESVQEWVAQLKRAMYEATDILDLCQLKAMERGPSTADVGCFNPLLFCMQNPSHAHDIGTRIKLLNQNLDDIKERSAAFSFIPLGSYEDHSSKVQAPHSGNERRETSGVFDRSGVVGEKIEQDTRKLVEIMLSEKDDNNIMVVAVVGVGGIGKTTLAQKIFNDEALNAEFEKPIWLSINKDFDKVDLLRTVITQAGGVHGDEKAMAVLQPILATTLKGKKLFMVLDDVWNHGAWDDVLRTPLANAVARGSRVLVTTRDETVARRMKAVFPYHHVDKLEEEDAWSLLKKQIISSEIEDRDIDMLKDIGVQIVARCDGLPLALKVMGGVLCQKDRKHREWEMVLNDSIWSISGLPEELNRAVYLSYEDLPSCAKQCFLHYSLLPKTLVFFRREIIGMWISEGFLHGISEDLEELGRKYYNELILRNLIEPNTRYVDQCVCNMHDVVCSFAQFVARDEALAARSGETNIVSKLSAHKFLRISLEGKASESDGLDWSSLHAQKTLRALISVGYINIKPDDSLVHFPCLRTLHISNSTADIVALLESLHELKHLRYLSLGYTDISGLPDNIGKMKFLQYISLEGCQQFVKLPLSIVKLRQLRYLNFAATSIEGIPRGFNALTNLRIVLGFPACMDGDWCSLEELGPLSQLKHLQLDGLEIITASSSAAKAKLGGKVHLTNLTLNCGSKLGDDGLVKEGDGVSEEEQQQNEHVFDELYPPPRLENLDIKGYFGRWLPRWMMSSSVMPLKSLRILFIRDLVCCTQLPDGLCQLPYLEFIQINRAPAIKHVGPKFMQSYHHHNPCPSRMVAAFPRLHKMQLIGMVEWEEWEWEEQVQAFPVLQELKLEHCKLKCLPPVLAFQARALNKLSIYYVHNLNSLENFPSLVELDLDTIFNLERITNLPRLQKLTIKGCPKLKALKGVPALQRLVLTDEYMEALPEYMGGINPRHLELYCSLVLLTSIAAGQSGPKWVKFRHVEHVKAYAREGDNRKKWYVLYKANPYNLETNVSTFFMSRGTLTSFEDTQRFESVFKMTRKTFSYICSLVKVPSLEDMNSYTFVDGRVLCLEDRVAVALRRLQSSEPMESIGSCVGVNESTIILVTESFVAAVFGRAKHHGYWPESSAMDEIKSMFDKIHNMHNCCGVICKMHIPFGPNCNPEKNDNAWMQVVVDPRMRFMDIYVIAGIMIQSILLQDSEIFIWGKKGDWLNGSKLKVAVDGSEVGEYIIGDEGYPLLPWLLTPYQEEDLSDSKVEFNRRHSAATTCALKALARFKDTWKYLQEETLSPVSPHTLVDIIFGCCMLHNIVIDMEDDAVMPRPDAEDWNYCKEVRQLENEDAVRARDMLAQYFLTTMSSNSGASPVDVEEVDEVAATGSGDENKEQEAETTAAEEERYYC >SECCE6Rv1G0449720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863731250:863732470:-1 gene:SECCE6Rv1G0449720 transcript:SECCE6Rv1G0449720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLGKTTTLRSPWPDLPPELAALILSRLPSHRDRLSFGAVCRDWHLAARQQLPPAMPCISLGRGAYQSIADGKVLRSNHGADVMSFGSYLLYKHRRRLFLRDSSSTIEIPRDHHECADECSDTGANDPCGSKRTWPLIAAKGVAFTMRKVLVCSSSLVAAILNRDAGRPGSAYCLVFFRPGTCQLSWVLLTTNMYGSKYTYKYRDIALYRGKIFALISSEQLFAHEIEQPRRVEHVIKESTPPPPGIGPSSPSYLVKYYMVVSSDNRKLLMVRWNIPHAAYVHHSKMNLQVFEADLEKRQWLELNDLDGQLLFLSRTSSRALAGSSTEHYGQTVRGNRVFILGTDWAKIRRSLNPCTCHECEKLGNAVPSYCVYDMTSGKLSLVSLRKGRRMRNPGSEWFFPSG >SECCE6Rv1G0377370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:1328294:1331158:1 gene:SECCE6Rv1G0377370 transcript:SECCE6Rv1G0377370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATKLSSGHEMPAVGLGVWRMDPAAVRGLIHSALRAGYRHFDCAADYKNEAEVGDALAEAFETGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLRLDYLDLYLIHFPVATKHTGVGTTGSALGDDGVLDIDTTITLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDSLVKFCQKHGISVTAHTPLGGSTANTEWFGSVSCLDDPVIKSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKVERLEENFAVSDFDISVEDMEKIKALDRNYRTNQPAKFWGINLFA >SECCE5Rv1G0360370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:769196615:769198323:1 gene:SECCE5Rv1G0360370 transcript:SECCE5Rv1G0360370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYSPAVCLRRSASTSMYAHSNQFQGGATQSMVLWKCSRPQSSRSHMTLGVADSSHNKNITSSEAQSLKYFVSLVGRRLRRGLSTREGGLSANLDMLSRERISGISWKWSGVHQKIGATAGGLCFGFSVSGIAKAEMPVDRKINCAETSASSSHGKEVYTDYSVTGIPGDGRCLFRSVVHGACVRSGKPIPNEDLQRKLADELRSMVADEFVTRREETEWFVEGDFDTYVSQIRQPHVWGGEPELFMASHVLQMPITVYMHDEDVGGLITIAEYGQEYGKEDPIQVLYHGFGHYDSLQIQKT >SECCE3Rv1G0157590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:82755607:82757425:-1 gene:SECCE3Rv1G0157590 transcript:SECCE3Rv1G0157590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLLVALSSLLLLALYLARLALLGGKRRRRSYPPVAGAMLQQLLNWGRLPDYMTELSRRYGTFRMLTLTCNWVYTVDPANVEYILRTNFANYGKGPMTHGVLEDLLGDGIFNVDDAKWRHQRKVASFEFTTRALRDYSSGVFRDMAAELAGIVAAAAAAGEMVDMENLFMRSTLDSIFTIGFGVNLGSLSGSNQEGAAFARAFDDASEQSLYRFLDPLWKAKRLLGVLSEAAMKRSVRTINDFVYAIVDKKVEQMGRDGQEFAKKQDILSRFLVEREKDPGCFDNKYLRDIILNFVIAGRDTTAGTLSWFLYVLCNDERIQDKIAREVREATTGDHQDAGGVQEFTACLTEDAIGSMHYLHAALTETLRLYPAVPTDVKCCFSDDTLPDGHAVRRGDMVNYQPYAMGRMKFLWGNDAEEFRPERWLDDNGVFVPESPYKFTAFQAGPRICLGKEFAYRQMKIFAAVLLYLFRFEMWERDSTMGYRPMLTLKMDGPLYVRASLRRSTGN >SECCE7Rv1G0487140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:423667642:423670841:-1 gene:SECCE7Rv1G0487140 transcript:SECCE7Rv1G0487140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGAKSSAAASSSSSAADKAANGIAALNLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIHHLTREIEASDMSALQAVICCDEERVKLEKEAEILAAQDDGGGEALDLVYERLEAMDASTAEKRAAEILFGLGFDKQMQAKPTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEEKLKNFERILVVISHSQDFLNGVCTNIIHMQNKTLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQIANMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLAEKVVNDRILVFRFTDVGKLPPPVLQFADVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLSPLDGMVRRHNHLRIAQFHQHLTEKLDLDMPALQYMMREYPGNEEEKMRAAIGKFGLSGKAQVMPMKNLSDGQKARVIFAWLAFRQPQMLLLDEPTNHLDIETIDSLAEALKEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMDFKQHLKKRAGL >SECCE5Rv1G0375360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867971243:867972241:-1 gene:SECCE5Rv1G0375360 transcript:SECCE5Rv1G0375360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENKEVAALVEKITGLHAAIAKLPSLSPSPDVDALFTELVTACVPPSPVDVTKLGPEAQEMREGLIRLCSEAEGKLEAHYSDMLAAFDNPLDHLGMFPYYSNYINLSKLEYELLARYVPGGIAPARVAFIGSGPLPFSSFVLASRHLPNTMFDNYDLCGAANDRASKLFRADMDMGARMSFHTADVGDLAGELAKYDVVFLAALVGMAAEDKAKVIAHLGTYMADGAALVVRSAHGARGFLYPIVDPQDIGRGGFEVLAVCHPDDDVVNSVIIAQKSKDVHADGLRSGRGARGQYARGTVPVVRPPCRFGEMVAEVVQKREEFANAEVAF >SECCEUnv1G0528610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:5245499:5248955:-1 gene:SECCEUnv1G0528610 transcript:SECCEUnv1G0528610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHVFFSSVQPLHHSASTVAGNGGRSGRNRAFFRPSTVICPGREPASHVLPDDFDFQESLMNVQALLHHHPTSRRGLLTTVDHLKRLCIDHYFQDEIDPIIDSCADLIHSDDLLDATLSFRLMREAGYYVSADDVLRKFRNNNGEFNLGLSKDIRGLLSLQDMSHLNVGESSLYKANEFSSKHLRFAIKYLEPNLGRYARRSLDHPYHVSLMQYKARHHLSYLQNMPTRNMSIENLALAEFTIQKLQHQREMQEVKRWWMDLGLAQEIPAARDEVLKWYMWPMTVLEGFSFSRYRIEITKIISMVYIVDDIFDLVATKEELSVFTEAIKMWDLAAVDSLPSYMKSCYKALYTITSDIADMVRKEHGLSPINHLKKAWATLFDGFMIEGKWLSTNQIPTSEDYLRNGIVTSGAPLLFMHLFFMLGHELPEGNNDDIHRVVSFPAKIMRLWDDMGSAKDESQTGLDGSYKELYERENPFGDAEKHMLEMIRSEWEGLNRECFSGTKSTLSHSFITASLNFARMVRVMYGYDDEHKLPVLEDYTRMLLF >SECCE1Rv1G0014360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:113961371:113970727:-1 gene:SECCE1Rv1G0014360 transcript:SECCE1Rv1G0014360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSVGTDVSADHVIAELLDMGFEFDKISEAIGVVGPCRADVVEFVLNGSGSGQRKPGGGSQRRSSDRSARLGNPRGKFKQSSITDHIASTTGSKTESCGEEPSTSYSCLVSNINPAPTAAICFKPKPEHQTLVDDPRGEFDRTDKISAVLQKHFGFSRVKGFQKEALDAWFAHKDCLVLAATGSGKSLCFQIPALLTTKVVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDSRVEGKAMAGMYKIIYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRKLSVLRQNFCSSKLKFLEHDIPLMALTATATLPVREDILKSLKMSGHTVVVLTSFFRPNLRFNVKHSKTSASSYGKDFQELIGTYNASRNFRGKSQKILHEVEPESESSSYESLDDSASDDEDAISDKTKLAKSFVKENAENELDQYPGVDDFDVSCGEFLESSRPESFAFPVQSVETSSSESLDQGPTIVYVPTRKGTVELANYLCKSGLKAAAYNAKMPRSHLRQVHEQFHSNALEVVVATIAFGMGIDKSNVRRIIHYGLPQSLEAYYQEAGRAGRDGKLSDCTLYCNFMRTPTLLPNKRSDEQTRAAYRMLRDCFHYALNTSTCRAKILVKYFGEEFGPDGCEMCDVCINGPPEMHDFKEEAVVFMNVLQAQAGQATEGMDYNSIDCYTSGRRNIGAVPDFRMAVSYIREKIPRFAMTDKIWWQGLARILEDMGYIQEAAEIPRVLIQHPELTRAGLNFVSSQPDEEGLYAYPDAATLLAISNPKSFSSSSEWGRGWADPEIRRQRLAGKTGRRKRKRGSRKQPAGFTTAKERLSAILSKSKRKR >SECCE5Rv1G0347520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:667409793:667413594:-1 gene:SECCE5Rv1G0347520 transcript:SECCE5Rv1G0347520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPALTSCTTVMILLFLPLSASQDRLVPGRVLSPGNTIVSDDGGFAFGFFNPSNSSPVSLYLGIWYNDIPELTVVWVANRDTPATNTTSSTPKLSLTNSSNLVLSDGGGGSRVVWTTANLTTGLVSSSPTAVLLNTGNLIIRSSNGTMLWQSFDHRTDTFLPSMKIGINYRTRTTAERLVSWKGPSDPSRGRFSYGSDTNRSFQTFLWDGEHPVSRAAPWTGYLVMSLRQQLLGATNISDLIIYLAYVNNDDEAYVTYSLSDGAPRTRFVLTYYGEFQIQSWSSKSSTWVVLWKFPSAECNHYSYCGPYGYCDETVEAPTVPTCRCLDGFEPSSMEEWTSGRYSAGCRRKEALQGCSDGFVALQGMKSPDGFLFIGGERSKYEECAAECSRNCSCVAYAFANLSSSRIEGHVTRCLVWSGELIDTGKVGELGGETLYLRRAGMAATKGKRIKSNAAVRIVLPVVGSGVLVLICISLAWLKFKGKNKKGTMQQNIRLKGMSISDEFEEENSPHDQEFPFVRLEEIAFTTHNFSETCMIGRGGFGKVYKGMLGGQEVAIKRLSRDSQQGTKEFRNEVILIAKLQHRNLVRLLGCCSEGDEKLLIYEYLPNKSLDATLFDESRKLLLDWVTRFRIIKGIAKGLRYLHEDSRFTIIHRDLKAANVLLDADFKPKIADFGMARIFGDNLENANTQHVVGTYGYMAPEYAMEGVFSTKSDVYSFGVLLLEVVTGIRRNSISETMGFPSLTVYSWNMWKEDRTNELPDSSILDTSPDEILLCIHVALLCVQENPDDRPLMSSVVFVLENGITPLTSPNLPAYFARRSAAVNQIGTGIQASVNSFTLTEIEGR >SECCE7Rv1G0500050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:661533953:661534450:-1 gene:SECCE7Rv1G0500050 transcript:SECCE7Rv1G0500050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKKLVQFGKGFFERKEESTSTDMPLGTAMHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLSKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKPTTPWGYPALGRRTRKRKKYSDSFILRRRK >SECCE6Rv1G0449930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:865151955:865153710:-1 gene:SECCE6Rv1G0449930 transcript:SECCE6Rv1G0449930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREVLERIIDGREIPTNLSLALLKDITESFSEDREIGHGGFATVYKGVLPNGNIAVKRIKNSHSIKETLFYHEVDSLLNIEHENIIRFLGFCASTDQTAIKIEGLKQHIYAEVRERLLCFEYVSNGSLRKYITDELRGLKWNVRYEIIRGICEGLYHLHHEKKIYHMDMKPENILLDNDMVPKITDFGLSRLDEKSQTMSEDRLGSLGYCAPEYLFQGKMSFKSDMFSLGVIIIELVTGQKVIPKNKNNVRGF >SECCE2Rv1G0076340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89983326:89987128:1 gene:SECCE2Rv1G0076340 transcript:SECCE2Rv1G0076340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAASLASLLPQLWHRPLPPPPLLPRALYSSSPLLTTHRIPRRRLRFSPTTHLDAAATARATRAADPVEAITATSYPAYDRLLPCPSKDDPPRIEHLVAREDEVAGDFISRSLNLPPLYVADLIRFGAVYYALVAPQPPPYAAPEHVRIFREVTEPSLLRQRASIKGKTVREAQKTFRVTDPSQHLEAGTYLRVHVHPKRFPRCYEIDWKSRVVATTDDFVVLNKPAATSVGGATDNIEESCVVFTSRALGLESPLMTTHQIDNCSEGCVVLSKTKEFCSVFHGLIREKQVKKVYLALTTEPVPPGIITHYMRPLNRAPRLVSEDHIERWHLCQMEILDCKKVPWPKPLITKVHKVDNCGWPKQEAAYECKINLMTGKTHQIRAQLAAIGAPIVGDSAYMTGVIAAMANPSINPYGRASLNYNSEEEKAAAVEAWVACHGKEPKSVIGLQASEISWDYEGEQHYYKAAVPWWRQDAVESDLV >SECCEUnv1G0554810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:291397096:291397320:1 gene:SECCEUnv1G0554810 transcript:SECCEUnv1G0554810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCSGPSDVQVLPKTASTSSSSSLAAKDSGDGGKKKQQQVVKKEQGKEKKRSNLDRAALTTPRLPFHSRPGLM >SECCE1Rv1G0006610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:34938325:34939062:-1 gene:SECCE1Rv1G0006610 transcript:SECCE1Rv1G0006610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNKISLKLLVDTKSKKVLFAEAGKEFVDFVFSLLTLPIGAVVKLISAGTMHGSIGRLYQSVDNIGSSYLQPNKDKSELLQPKVLHPDARELLLLQGGGGECSSPSSPLARFKMYTCSGYCATATMEAKAACPQCKQAMATEVTFVLPSAAPKTSSSSTAAAAGDETGGYVKGLVTYMVTDGLEVTPMSAISSITLINKFSVNKDVELAEKFVSVGMDEGLGLLKAALRSDTVLSDVFLAKKK >SECCEUnv1G0567110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:411584490:411584753:1 gene:SECCEUnv1G0567110 transcript:SECCEUnv1G0567110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFVDHHLLAKIEKVNMKKDKETIVTWSRASSILPTMVGLSIAIHNGKEHIPIYITNPMVGHKLGGFEPTQHFTSYENARKDTNFR >SECCE1Rv1G0013120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:100869020:100872749:1 gene:SECCE1Rv1G0013120 transcript:SECCE1Rv1G0013120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGDLSPTSIPASPSSSSWEPLPREFADYLPVSDGDSEGGCICDDPEVEALLYGSGFQHRSLREAKDLIRRYKPGCWIEGVGDTKGGDYLLPDTTTLLLVGPRGAGKSTLVNRITRVFDKDDDPFAPERAQVSYNSKSNGTSFIREYKIPRNSNGICICDTRSLSRNPEKDFKMLQRWMTNGISHGEMVTWDTDNDTTIKNLKSMGRQYSILPCKTRKVNFVIFVVDGVSILRSIVSDKKGDMDMLRETFMNPFLSFGDDKPAVVVTHGDRLSFQQRSQVRNELAETLAIPPQQIFDIPGSDDYETDMAVLDMLRYCIQHAEQNFSMKPNSLLEMHGRETLAEMMARLMGLDAVMDATIVFLCAVALLLRVSDSLL >SECCE5Rv1G0349540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:684568472:684573460:-1 gene:SECCE5Rv1G0349540 transcript:SECCE5Rv1G0349540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWVQVLRKHFVARLATNAGLRQHTVTVDDDGTVVNFWLPKKKHTGAATTTRGKQMHAVVLVHGFAGDGMMTWGFQVGALAARGHDVYVPDLVHFGGSTSPSPDRSVAFQARCLAMALQKLGLAGRRCTVVGFSYGGLVAFEMAAAFPSLVHSVVVSGADVAYTAAMNDALLRRLGAGSMTDLMLPETVGGVERLFSTAFYKKPLLPRRLLSDFLKVMCDNQKERSEMLENMITSKDKEAPAPVFQQMILLLWGEDDNYFIVENAERLKRELGENATLRTISKAGHLAHLERPCVYNRCLKEFLAHVDRHPS >SECCEUnv1G0559180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:336309417:336311407:1 gene:SECCEUnv1G0559180 transcript:SECCEUnv1G0559180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVLQRFLSLRRGRRRRQTRARGTSIASVAKRKGSPCEQGDSSQDGKRMRLSGPDLPMDIWHHISSLLPMRDAARASCVSCAFERSWRYYPNLTFCTSKMSLNGQHFVNKVDQIMKKHSGIGMKTFEFEYNGPCFDTSKLNDWLQIAVTSGIEELEISLYPANKTEHYNFPCSLLFSGSGGNSIRTLDLSGCAFHPMAGLGCLTRLYLLSVHITEDELGCILSGSFALEKLHLTECSGIICLKIPCLLHRLSELKVSDCDALKVIENKAPNLRTVSIDSYTVHHSIGDSLRVKDLEMFCFSKFDLIHHACAKLPACMPNLETLSIHSTCEVYSETC >SECCE3Rv1G0148030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:17330259:17332931:1 gene:SECCE3Rv1G0148030 transcript:SECCE3Rv1G0148030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEILMTKQSSPTQSQEHPQLHGTVAPQGDGSTPSESDHQRRITMVPLVFLIYFEVAGGPYGSEQAVRAAGPLFTLLGFLVFPLAWGVPESLVTAELAAAFPGNGGFVLWADHAFGPLAGSLLGTWKYLSIVINIAAYPALVADYLGGVVPSVARPGRARSAAVIGMTLLLSLLNLAGLSVVGWGATALGIASLVPFVLMTAMAVPKVRPGRWVTRVEGRKKDWRLFFNTLFWNLNYWDSASTMAGEVERPERTFPRALAAAVVLIAVSYLLPLMAATGATDASPDAWVNGYLADAAGIIGGPWLKYWTRAGAVISSIGMFEAQMSSGVFQLHGMADLGLLPSVFSTRATCTGTPWVAITASTAVTITVSFLGFDDIVATANFLYSLGTLLEFSSFLWLRAKYPTLKRPYHVPLPFLALVAMCVVPSVFLAYVCMVAGWRVFAIAAGLTTLGVGWHALMRVCRAYKLLSFNVHESSSLAG >SECCE3Rv1G0209400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:938416361:938418950:-1 gene:SECCE3Rv1G0209400 transcript:SECCE3Rv1G0209400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive leucine-rich repeat receptor-like protein kinase CORYNE [Source:Projected from Arabidopsis thaliana (AT5G13290) UniProtKB/Swiss-Prot;Acc:Q9LYU7] MAPGAGTAAVTKNPTKTLAATLLLVLLLADLPLCASQPPLHSQPLPATQSPAAPLPPPQPRPPRAQAGGAARLRRIALGVLLGSLAGFLLSLAFLYAIRVAVLHAGNAPAVARGPVSFTPQITPKSLQCALPSARPLARGPRGTYHKLDLDGDLTVAVKVLDLAAAGRAEASPSPSRPASGSKSDMRRVQRQLELLARVRHLNVMSLKAYVRDADRLSLVYDFVPGGSLEDVMKRVRSQQVSLNWDTRNRIAAGVAKGLRHLHFECNPRILHCNLKPSNVMLEEGFEPVLADCGVARLIDPGSPDPESSGSLYTAPECYQSSRYTDKCDVYAFGMILGVLLTGKDPSDPFFSGESGRCSLARWLRHMQHSGDTKEALDSSIIGEEVDEEEMVMAVRVAIVCLSELPADRPSSDELVAMLAQLHSF >SECCE7Rv1G0516280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844764615:844769379:1 gene:SECCE7Rv1G0516280 transcript:SECCE7Rv1G0516280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSLRASASASPAAAGSRAAAPIKASCVRSKVTCSFLSIGATSSPARSIEPVRATATQAPPATPQSSSGEKTKVGINGFGRIGRLVLRIAIDRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGTITVLDESTLEINGKKVSVTSKRDPSDIPWGNFGAEYVVESSGVFTTVEKASAHLKGGAKKVVISAPSADAPMFVVGVNEKNYDPTMDVVSNASCTTNCLAPVAKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTPNVSVVDLTCRLEKNASYEDVKAAIKEASEGSLKGILGYTDEDVVSNDFVGDTRSSIFDANAGMGLSSSFMKLVSWYDNEWGYSNRVLDLIGHMTLVNA >SECCE4Rv1G0281990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:827586327:827586851:1 gene:SECCE4Rv1G0281990 transcript:SECCE4Rv1G0281990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPDTSWVFADLAVADSARYSTRTRLMLTGLSFAIGILTLLLYLAVSYACRRRRRRHRCRRQRGEGAGAEDQLEAGMSDAAIVYEQADALAAPMDCAVCLGQVEAGEKLRRLPKCAHLFHADCVHAWLRAHSTCPMCRSVTTGTTPAATAAAEAPPPGVVAVAGSPPALERMN >SECCE5Rv1G0336060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:581851462:581853610:-1 gene:SECCE5Rv1G0336060 transcript:SECCE5Rv1G0336060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRRQIQGSHASIGSFAEEKRSQVDVSPIGKRMRYSGSHLPEDIWRHICYFLPLKDAARAACVSRAFRSSWSCHPNLTFSKEAMGYGPPAWCRNKEVMDYMENARRQRKIARDYNSRVDHVLRNHSGTGVKTLSLLFYGPYNNANTSYCLDGWLQIAVAPGIEELSLTLFSDSDQLLDKAKYNFPCSLLSDGRGNSIRELKLVCCAFRPTVGIGCTRSLTSLSLRFVHITGDELGFLLSNSLALERLDLNSCSEIASIKIPSLLQRLSYLKVSQCSMLQVIESKAPNISSFHFVGDQQVQLFLGESSQVKEISISHSCALHYARTMLPSSTPNLETLDIFSTGEMVSTPMLPSKFLHLKTITISGWIFGTTYNLFSLISFLEVSPCLETFNLHTRIGSRNHDSIFEDPSHLGRIPGHCYDNLRRVKITRFFSTKLLVELTCHILENAPSLECLTLDITNGGLKCSDKRIRKCYESKATLVEAPKALEAIQTHIAGKVLPTTKLNILEPCSRCNAIKI >SECCE5Rv1G0374750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:864443016:864444419:-1 gene:SECCE5Rv1G0374750 transcript:SECCE5Rv1G0374750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGKKLRVLLIPFFATSHIGPFTDLAVCLATASPDVAVEATLAVTPANVPLVESLLLQRVTANVKVATYPFPAVDGLPQGVENLGKAAPADAWRINAAAMNEALMRPAQEALIRAQAPHAVVTDVHFLWNAGIAAELGVPCITFHVIGAFSTLAIRHIIDVVDNGADSVVVPRFPAPGIRIPRSELPEFLRSPRPATDDRFYSTLADSFGLVVNTSSDMERQYCEMYLRNGYVKRAYFVGPLMLRSSPQPPAAGDSSRRCMEWLDAKPSRSVVYLCFGSFAHVPDAQLDELALGLEASGRAFLWVVRAAGHWSPPEGWEDRVRDMGMMVTTWAPQTAILGHPAVGAFVTHCGWNSVLETVVAGVPVLTWPMVFEQFITERLLTEVLGIGERLWPGKRSTRHEENEAIPAQAVASALTAFMRPGGPLKLARSRVMDLAAKVHAAMADGGSSRCDLRRLVDDLMDGR >SECCE5Rv1G0320130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:382156255:382160409:1 gene:SECCE5Rv1G0320130 transcript:SECCE5Rv1G0320130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQHPLDSFLAAARGALAHLHLPGSDSKQQQQQEQRQPDCLLHLHVVLANFLHKPLRSFSRCFGNDNSKPKRGRSKPATAPLLGAGKRQPQPPQQLELLLCIAFDALAHNLQVLEGACKQKGEEFGSAALQIDQFQVVRKVIVGKKADFDGFLSNLGFARLGAPPASFADDSQASAPPAAGQDGVIGEREGVDTGGDAAQPPQKFAGRLLNIPLSNVERLRSTLSTVSLTELIELVPQLVGRLSTSIDSHPDKKKLFSVQDFFRYAEIEGKRFFEELDRDGDGQVTLEDLEVAMRKRRLPRRYARDLLRRTRSNMFSKSIGWKQFLSLMEQKEATILRAYTTLCLSKSGTLHKNQIVESLKGAGLPANEDNAAAMLRYLNADSEGSISYSHFRSFMLLVPSERLEDDPRNIWFEAATLVAVPPPVEISTGNVLKSALAGGLASALSTSMLHPIDTMKTRVQASTLSFPELISKLPQIGIQGLYRGSIPAILGQFSSHGLRTGIFEASKLILVRVAPTLPEIQVQSLASFCSTILGTAVRIPCEVLKQRLQAGIFDNVGEAIVGTMQKDGLKGFFRGTGATLCREVPFYVAGMCLYGEAKKAAQHVLSRELEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIAFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIEAENETADSLQEKKNMAGSRG >SECCE6Rv1G0424950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:703051331:703053299:-1 gene:SECCE6Rv1G0424950 transcript:SECCE6Rv1G0424950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLKKIGLGLTGFGVFFSFLGIVFFFHKGPIAMGNILFLSGLGLTVGLKSTMQFFAKPRNYKASISFSAGFFLVLTGWPFFGMLLEAYGFVIVISGFWPTLAVFLQRIPILGWIFQQPFVTSFLDHYKGKRVPV >SECCE4Rv1G0242950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:492853906:492855051:-1 gene:SECCE4Rv1G0242950 transcript:SECCE4Rv1G0242950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILKSCFLDSDASATGYAPEEIDLGDDVLAEIILRLPLDSVARSRCVSKNWCAAIANGYLRRRLPLHMSMICFPDDDGALGGGGGRPVYACAGEGRRLEARDLGFFPLHDSVVFCDGCNGLLLCRAPGAPEFYVVSPVTRSWAALPRPAKEARLSVLAYDPLGGSPQHYHVINFTGWRDHGAAVEIFSSETRAWAARIVEFGGVPAGSLSGSVHCHGSAVYFLASDPDCVVRMDLTAGAGLACTVIDLPEPAEGDGRVAHSGGRLHYFCSDGGLLKVWSLEKERPRQRWRLEHAVRVSDVVEGGSGEVRFLAMHPESQAVVYIWSPWKVVEYDLGKREITGAAWEFGKGARNRVVKTWLVPSSCYLSDCFADDGPVLAS >SECCE2Rv1G0107260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:647747703:647748353:1 gene:SECCE2Rv1G0107260 transcript:SECCE2Rv1G0107260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPPPVPNLSLGYVFRPKARELIQHYLAPKALGGYFTPGLVAEGVDVFSAAPDALPFSCSHRREDGEVWGYFFAAHPAGERAPAPGGCWIPYGPEKAYRGGGAGEAVAFRRRLAYYVAWRGGDDGGDGVWARTPWLMAEYRLNKGGAAFRCARLGPEANMDCVVRKVFTKPVVPPPPARSSDDESAGSSSRCRSADEEAGYPGEEQARKRARWA >SECCE5Rv1G0325660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:467883571:467884656:1 gene:SECCE5Rv1G0325660 transcript:SECCE5Rv1G0325660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRRVAGWSLVGREIKAGDDGFVGAPAAAVTTPEPGPSGTAARITPAVLFITVVLAVVLLVCGLLHILRRLFLKSHRANARAEAVERQLQQLFHLHEDGAGPGLDQAAIDALPAFAYAELSGSSGSGAKGQRQFDCAVCLNEFSADDRLRLLPLCGHAFHVACIDTWLRSSSTCPLCRTALSIRAVPAVVAAADAAGEPDIEEQKQEQEDASASTEVVVASTVVLPVRLGRFKNVQGNNNNDDVEAGTSSRLDARRCFSMGASYQYVLAEDNLVVSVHWRPGDGSAAMRPGVTTAGSDQQGKKVCAASRGDSFSVSKIWQWGRSGRRLPVLPAGSSSPTRDLPWASPASARGTRQETDT >SECCE6Rv1G0419260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:668172624:668174850:-1 gene:SECCE6Rv1G0419260 transcript:SECCE6Rv1G0419260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKIQYAVVARGAAVLAEHGAGGTTTNAGAVARQILERLHAAGGGAAADCRVSYTQGLYVFHVMRADGLTALCMTDDDATAASGRRIPFAFLEDIHGKFVKTYGRAALTALAYAMNDEFSRVLSKQMDYYSNDPNADCITRMKGEMDQVRSIMIDNIDKVLERGDRLEMLVDKTATMQGNTMRFKRQARRFRNTVWWRNVKLTAAVILILVAMVYIVLAYMCHGFTLPSCVR >SECCE3Rv1G0148760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20343342:20344154:1 gene:SECCE3Rv1G0148760 transcript:SECCE3Rv1G0148760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISAEPPPAALPSDPTAEGGAGEAAGAAVEAVIAPSSARRGALSSLPSTLKTWGSHRMLRCAPVNRAGDAIAPARRSSSQQLDEVSERLLLGLREASAAAAPGGGSAADDDESPGAGEAASASPRPWKARTRQRRRALMPPPAASASPQASERERRLVRADALDRPRFSATLSAEEIEEDVYALTGARPRRRGRRRRPRAVQKQLDMLLPGAWLSEITAEFYRVPDDR >SECCE7Rv1G0495730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:601419063:601420117:1 gene:SECCE7Rv1G0495730 transcript:SECCE7Rv1G0495730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGPCWVALALLLFVATANGSELSAGYYQKTCPTVQHVVRSVMASRVAAQPRMAPAILRLFFHDCFINGCDASVLLDATPFSPSEKDVEPNASLTGYTVIDDIKSALEHDCPATVSCADVIALASRDAVSLLGGPTWSVPLGRKDSRFAADPESTKKGFPSPQDNLGELVTMFSRLNLDARDMTALSGAHTVGMAHCDTYRDRVYGTNGDIDPSFAQATQQTCQGPSGKAPFDVQTPMRFDNAYYKNLIARRGLLASDQTLYGGGGLQDNLVEMYSVDGEAFARDFSKAMVKMGNVPPPKGMPVEVRLKCSMANNY >SECCE3Rv1G0190320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:737580591:737584454:-1 gene:SECCE3Rv1G0190320 transcript:SECCE3Rv1G0190320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAMALRSTADRCFGHHSPLTNVIQSTFSANVGSRWGSLARAFSAKPLGNEVIGIDLGTTNSCVSVMEGKNAKVIENSEGTRTTPSVVAFSQKGERLVGTPAKRQAITNPQNTFFGTKRMIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMKETAESYLGKSISKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEYLVSEYKRSDNIDLSKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADAAGAKHLNITLTRSKFESLVNGLIARTRDPCKNCLKDAGITTKEVDEVLLVGGMTRVPKVQEVVSEIFGKAPSKGVNPDEAVAMGAALQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLITRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMATDNKLLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATAKEQQITIRSSGGLSESEIEKMVREAELHSQKDQERKALIDIRNTADTTIYSIEKSLGEYRDKIPAEVATEIETAVADLRAEMASDDIEKIKSKMEAANKAVSKIGQHMSGGGAAGGDAAGGGSQEGGSQGGGDQAPEAEYEEVKK >SECCE2Rv1G0078750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:111557981:111558622:-1 gene:SECCE2Rv1G0078750 transcript:SECCE2Rv1G0078750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIKEAESSKKAPAAAAEGTGTKVGAMADSTLGSIGDVLKLLPTSTVIVYEVLNPIVTNAGACSVANRVVTAVLLALCALSCAFSAFTDSFVGADGKVRYGLVTPRGLLPFGGGDDDEAGARDFSKYRLRPADFVHAVFSVVVFAAVALLADANTVACFYPALREQQKQVVMALPVVVGALASGVFVVFPSKRHSIGYPPAKPAASALASQ >SECCE4Rv1G0282670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829790163:829790816:1 gene:SECCE4Rv1G0282670 transcript:SECCE4Rv1G0282670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAWESRGAEQEGGVELSRSGNGGNGVERIKREHMFDKVVTPSDVGKLNRLVVPKQFAERHILPRLLGGAARAACPGAVLLFEDGRGGGKEWAFRFSYWGSSQSYVMTKGWSAFVRDRRLAAGDTVSFCHAGARLFIDCRRRGAGIVSSLPSTRLLVPAAGPAVVPQVFFMPQRVTSSDERAPRSRCVRLFGVDLELAGTEPLPLDLQLALMRR >SECCE7Rv1G0521270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873321497:873322496:-1 gene:SECCE7Rv1G0521270 transcript:SECCE7Rv1G0521270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAQAAKVVALGMMLAILLATTADSNPQPDGTCSVECACAEKCSRQYPSVDDDPPFKITPVCTHRTEHELHARLYLHHSFQGKNRNQQDVLISELRNEFGSLIVNDWVITDAPGRDTKVVAHAKGIHIQAGIDTVDYYVSFNMVFDDGRFKGSTLQVMGTVVAKGEWAILGGTGEFALARGVIYKTSSKYINQVGNVIELDIHCLYTPMERSKGTSWVLEA >SECCE5Rv1G0347790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:670139060:670147999:-1 gene:SECCE5Rv1G0347790 transcript:SECCE5Rv1G0347790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSRMTTESDSDSDATTAAALGRGSGSETSSSSAPSTPGTPAAAPASPAVAGSGPRPAPGYTAVNAVIDKKEDGPGCRCGHTLTAVPAVGEEGSPGYVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWSRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDGNSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFLYGGLRGGVLLDDLLVAEDLAAAETTTAANHAAASAAATDTQAGKAPGRYAYNDERTKQAASESAPDGSVVLGTAVAPPLNGDMYTDISPENAVLQGQRRSSKGVDYLVEASAAEAEAISATLAAVKARQVNGEAEELSDKEQSPDSSSSNKHSSLIKPDTALSNNMTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSIPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETMSLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPDQIEDTWMQELNANRPATPTRGRPQAAANDRGALAWI >SECCE5Rv1G0303490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:49026130:49027468:-1 gene:SECCE5Rv1G0303490 transcript:SECCE5Rv1G0303490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPADWTGNMGDALPPLSGEDDGLVELLWCGGHVVMQSQAPPRPAVRELDTTPWFQYSVVDEDDYSVERDLFSEIFGGMPANGAGRPCKEEDKQRGAADAVIALRSGVVPPPPLTDKAGLHGDGPRGSTASEAGESSIFTMGFRRVCGGSNQAQTPHVSDTAGEDSVLLPLSSKEARDVRSYHSASATLTTSSTWSRPSGASKRKQSESAESPESADVTCETAQKPANAKRRRAAQVHNLSERRRRDRINEKMRALQELVPHCNKTDKASMLDEAIEYLKSLQLQLQLMWAMGGRMTLAPAPVMFPAGAHQYMQRLPAIRSRMPPFRTCVYSSNDPEFS >SECCE2Rv1G0110400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:689581843:689584722:-1 gene:SECCE2Rv1G0110400 transcript:SECCE2Rv1G0110400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSKAEKKAALDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGMHFATTTLMTLVMKWLGYVQPSHLPLSELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLSIIPLLCIMEVLFENFRYSRDTKLSIGVVLVGVGVCTVSDVSVNAQGLVAAVIAVCGTALQQHYVNYLQRKYSLNSLKLLGHTAPAQAASLLILGPFVDFWLTRNRIDTFHYTSTVTFFIVLSCVISVGTNLSQFICIGRFTAVTFQVIGHMKTILVLTLGFLLFGKEGLNFHVAFGMILAIVGMIWYSSASSKPGGKERQGVASEKAQKSPQSELDDKV >SECCE2Rv1G0108960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:671057420:671058190:-1 gene:SECCE2Rv1G0108960 transcript:SECCE2Rv1G0108960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGQSFAPTTSTSPPPNSTRAIFKAFTASKATPPSMPPSGILSYIPTSLSSILPVRGCAAPPSPSPSRSPPTPPASPVPSKMSPSVKAADRAELARVFELFDRNGDGRITREELEDSLGKLGIPVAGDELAAMIARIDADGDGCVDVEEFGELYRTIMSTGSGGGQKGSPDGEAEVEEEEDEDMREAFRVFDANGDGFITVDELSAVLASLGLKQGRSAEECRRMIGQVDRDGDGRVDFHEFRQMMRGGGLAALA >SECCE3Rv1G0153360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:53179769:53180155:1 gene:SECCE3Rv1G0153360 transcript:SECCE3Rv1G0153360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCIINGYMVPAGTRVVVNAWVIGRDPSSWEYAEEFIPERFIYEGSTMHVNFKGNDFQFLPFGAGRRMCPGMNLGIANVELMLANLVCHFDWELPLGLETKDIDMTEVFGLTVRRKEKLLLIPKSRT >SECCE6Rv1G0445940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:841964622:841965242:-1 gene:SECCE6Rv1G0445940 transcript:SECCE6Rv1G0445940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRGGEGEEGRHATVASAAAKRRTGRTKFKETRHPVYRGVRRRVGRWVSEVREPNKKSRIWLGTFVTPEAAARAHDVAALALRGRAACLNFADSAGLLRVDPATLRTAQDIRAAAVELAEAACPAAPASSARPAMAMMRQGTLTQEAPCHDYAMQMQYGGMGDLDQHSYYYDGMSGDWRSPWHIEDHDDDHRRGYGAGDVTLWSY >SECCE3Rv1G0168810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:225997866:225998453:1 gene:SECCE3Rv1G0168810 transcript:SECCE3Rv1G0168810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNQRFSLLKQPIYSTLNQHLIDYPTPSNLSYWWGFGLLAGICLVIQIVTGVFLAMYHTPHVDLAFNNVEHIMRDVEGGWLLRYMHANGASMFLNVVHLHIFCGLYHASYSSPREFVRCFTVVIFLLMIVTTFIGYIPPWGQMSFWGATVITSLVSAIPVVGDTIVTWLWGGFSMDNATLNRFLVSIIYSPLF >SECCE4Rv1G0247230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:554885572:554904915:-1 gene:SECCE4Rv1G0247230 transcript:SECCE4Rv1G0247230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PA200 [Source:Projected from Arabidopsis thaliana (AT3G13330) UniProtKB/TrEMBL;Acc:A0A178VC52] MHLYNAWLPPAVADAARGEEAAFAGAVRAAKDAWRPDDPDSAYATLKWISVFDLFIKAKSDVAPEDIHALVELGFGIFHASQNKFVVQIKWGGLLIRLFKKHAERLSLDVQWRPLYETLIQTHFKRNMGPEGWKVRQQHFETITGLVRASRTFFPEGAAAEIWLEFRPLMENPWHNSAFEGVGFVGLFLPANSRNQDHFTTDWIAQCLHIWDSVTNCNFWDIQWAAIIARCIKNSRSIEWEKFLPLLFTRYLNMFEVPISSGNGSYPFPVEVPRNTRFLFSSKTRSPSKAIAKSVVYLLKPKSLALEQFEKLINFLEQFYHPSNGGRWTYSLERFLRYLVFYFERRLQHEQFDKMDEKNEQFCLGKEERAFFIKVVLKLLDRGQYSKDDSLAETVSIATSVLSYVEPSLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRALLLSSLCSTQSGDSSMIDTLNDLIVTSLSNALLGMDANDPPKTVATMQLIGSIFSNLATVGVSDDVPAFLQTSSLSDWLDEFFCRLFSVLQNLESSSAIAEGYQTSIMPGTFLVEDSPHYFCMLEILLGKLSKTLFNQSLKKIAKFVNANILPGATSEVGLLCCACVHSYPEEASVYLVKPILMTIMSSFEGTPTTGYVGREVPNNMATKATLSPALETALDYYLRVLAISISYAGPVLLNYREELKHVIMSAFQAPSWKVNGAGDHLLRSVLGSLVSFYPLDQYKPFSCHSIANIIEPWGCSKAHQDREVEMLNFPPKWHDPSQDELSFANELLEFHFQSAVEDLLTICQTEVHSETGDEKEHLKVTLLRIHSALQGVMSCLPELRPSYKDGRSKVAEPSFFIAGSSGSTVGSSEMREKAAELVHIACRYLLKERTDDSILLALVVRVIDALVNYGSLEYDEWSSHVQAWKLESAAIIEPQCNFIVPFHAQGKKRPRWALVDKAHLHNTWRCSQSSYHRYRTDANVTPSSLMVNLVKDLLDLSLHNYETVRSYAGRSLTKMLKRWPSLISDCVLTLTKNLRNSKALEHEVLGSCSILASQTVLRHLTTDSASLSSFIMGILGSSHHESIKCQKAITELFVKYNIRFSGISRSFFKNSQSLADRPGFLGLFSQINALGFETNSLHWRYNLMANRVLLLLILASRSEPDIYSQILAETAGHFLRNLKSQLPHSRMLAISALNTLLEGSPHKASVEDSQQSLDHPEECNILSTGKLLNDIIQEEGFMNDTLNSLSHVHIISDSDGSSKASYGASSFQSGSDKAITYFYFDFSASWPCTPSWISLVGGGTFYSSFAKIFKRLIQQCGMPVMSSLQTALEEFLSSKERSRQCVAAEAMAGMLHSDVSGDLESGSDWLMLQLQKVVLAPSVESVPEWASCIRYAVTGKERPGTRAPVLRQKVLDCLCTAVPQSVATSVLAKRYSFLSVALIEISPHKMSPAEEQYHVTVLDELLDNMSHSSAQVREAIGVAMCVACSNVRLAGACSPGVLTEQTGNEYWSKRLTDGATELSVSIQNNSQSKQLELASDSSTANGLDNEEEADAKRMETIFHFMIASLKSGRSSVLLDVIIALFYPVLLLQETSNKDLSLLAKSAFELLKWRTLPRPFLETAIMAILSSVNDPNWRTRSALLSYLRTFTYRHTFILSGSEKSQIWQTIEKLLVDNQVEVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILDTRRRTPKSGHSVATIHGAVLALTASVLSVPYDMPSWLPGHVTLLAHFIREPSPVKSTVTKAVAEFKRTHADTWSIQKDAFTEDELEVLRDTSSSSSYFA >SECCE4Rv1G0261070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700253416:700256820:-1 gene:SECCE4Rv1G0261070 transcript:SECCE4Rv1G0261070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTARRSMPKLVAPARPTPRETKILSDVDDCYDLRVYSFGIEFFRCRPGGHPTTTPAKAVKVALAEALVFYYPMAGRLREILHTNKLVVDCTGEGVVFVEASADVGLEEFGNPSPRPPYPCIEELLSDAGDIKVVVDKPLFFVQVTEFIGGGFAVAFQACHNIVDGFGLIQFIRSVADLARDAQPIVLPVWERHILMARAPPCIIDIDPAYTPVLTGSEYDADAVAEDVMLSTPIESMVHKYFLFSPRDIAHLRGHLVSWLLRRPATAFELITAVMWRCRTIALGYEVDKKVRLSFTLNARGRWKRDLPIPQGYYGNALVHTIVEATVGDLCGNPLGHTVELLHKAKAGMSLEHVRSMVDMMALLRGRPTLPAQRLYRVSDVSHIGDDSLDFGWAEWVGGGMPLPKLTSFHTRYKDECDGESIMVSFLLPMPAMDKFAKEIASWLNKDYGGNYLRPSSL >SECCE1Rv1G0043660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:594671606:594674922:-1 gene:SECCE1Rv1G0043660 transcript:SECCE1Rv1G0043660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTDSRRLSDDYEVADVLGRGGFSIVRRGVSKSDEGKTQVAIKTLRRLGPAMMGMGMQQGSKGAPSSGGLPLWKQVSISDALLTNEILVMRRIVENVAPHPNVISLHDVYEDVHGVHLVLELCSGGELFDRIIGRERYSEFDAAAVVKQIAGGLKALHKANIIHRDLKPENCLFSDRKEDSTLKIMDFGLSSVEDFSDPVVALFGSVDYVSPEALSRQEVSTASDMWSVGVILYILLSGCPPFHAATNQEKQQRILQGEFSFQEHTWKTITSSAKDLISSLLSVEPYKRPTASDLLMHPWVIGDCAKQDRIDAEVVSKLQRFNARRKLRAAAIASVLSSKVALRTKRLRSLLGTHDLSSQELDNLRQHFARICADGENATLTEFEQVLKAMKMDSLTPLAPRVFDLFDNNRDGTVDMREILCGLSSLRNSRGDDALRLCFQMYDADRSGCISKEELASMLRALPEECLPGDIAEPGKLDEMFDTMDANGDGEVTFDEFKAAMQKDSALQDVVLSSLRPGQQ >SECCE5Rv1G0299880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:20434175:20437847:1 gene:SECCE5Rv1G0299880 transcript:SECCE5Rv1G0299880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKKGAEDLGRALIRQQNRAAAAAKERGEALASSRRRAAPLESVIDVSEIDAVLQRAAEEDRHHSALAAAAAASSSDLIIDLDATGETAEERRRLRKEQEALHANSLGVPRRPPWTNRMTAEELDTSERQAFLEWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVIERSDLLVMVVDARDPLFYRCPDLEVYAKELDEHKRTMLLVNKADLLPLNIRKIWADYFKEHDILHVFWSAKAATATLEGKMSSGEENSASPDMDTKLYGREELLMRLQAEAKSIAAQRRTSASKGEQEASSTDSVSSVAKHVVAGFVGYPNVGKSSTINALVGEKKTGVTHTPGKTKHFQTLIISEELMLCDCPGLVFPSFSSSRHEMVSCGVLPIDRMTKHREAIQVVADRVPRDVLEQIYKITLPKPKPYESQSRPPTAAELLRAYCASRGHVSHGGQPDETRAARQILKDYIDGKIPHYQLPPGVVTDDEVETREPTVAAAAAEGPAASAADESGSDDSDEQDDATGGPDMMHLLRDLESFDLAAAEGSNGTGNKKQHQESQKQRRKPQRKKDRSWMASDDGSDGTAVVRDFQKPTSNTPTVRVSGNV >SECCE5Rv1G0372610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853700140:853701565:1 gene:SECCE5Rv1G0372610 transcript:SECCE5Rv1G0372610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARTTTLLLVAAALLAASCSAWEVDIRMPTSVDEAAALIHALRPLLGSGKHAGVACDSWLLGVEAHNVRDWKTVPASCEGYVGHYMLGSHFRRDSKIVIDQALAYVDSLKLAGNGKEVWVFDIDETTLSNLPYYAKHGFGATPFNATSFNAYVREGSAPALPETKRLYNKLVSIGVKPVFLTGRTEDQRAITVTNLRRQGISGWMNLLLKQPGFKGSAVTYKSGERQKLQAAGFVIVGNIGDQWSDLLGAPEGARTFKLPDPMYYIG >SECCE1Rv1G0007050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:38184411:38187512:-1 gene:SECCE1Rv1G0007050 transcript:SECCE1Rv1G0007050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSPTKRRATRRPLGKAGVVGLAVVATATAALLLMLVCTASLRYSAAVDYALAAPRKLWSGGVSIAAEASPSPSPEKGHRAGAAPTAAEEEEECDLFDGSWVWDDSYPLYDSKDCPFLDGGFRCSENGRPDASYVKWRWQPSRCDLPRFDAKFMLEKLRNRRVVFVGDSIGRNQWESLLCMLSSAVPNKKSIYEINGSPITKHTGFLIFKFSDYNCTVEYYRSPFIVLHGRAPAGAPKVVKYTIKVDAMDWMSDRGKWSNADILIFNTGHWWNYEKTIRGGTYFQEGGKVKMKMTVIDAYQSSIQTLFNWVHKKVNTSKTQVIFRTYAPVHFRGGDWKSGGSCHLETLPDTTPFKSLEQWADKLQPVHDVLGSSTRPKLPGFAILNVTQMTAQRKDGHLSVYISPSGPVPLRRQDCSHWCLPGVPDTWNELVYAIFMKRQTMMDQNVSLAASRRLNTG >SECCE6Rv1G0435730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772751770:772752900:1 gene:SECCE6Rv1G0435730 transcript:SECCE6Rv1G0435730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARAPLLAAAVALLLVALGSAGAADAAAQQDELRLTQPPVARGLSFDFYRRTCPNAVTIVRNFVRDAVRKDVGLAAGLLRLHFHDCFVQGCDASLLLDGSPTAPGEKQAPPNLTLRPSAFKAINDIRDVLERECRGAVVSCADILALAARDSVVATGGPEYGVPLGRRDSRGFAPPNLVTDGLPRPSTSVPSLLGVLGKLGLDATDLVALSGGHTIGLGHCTSFQKRLYPLPDPSMSAPFLARLKRTCPAVGTDATTALDVGTPKVFDNQYYVNLVNREGLFVSDQDLFTNVATRPIVERFARSQRDFFDQFSVSMVKMGQIKVLTGGQGQIRRNCAFGNPGTVDGLQ >SECCE3Rv1G0202080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:869447407:869449285:1 gene:SECCE3Rv1G0202080 transcript:SECCE3Rv1G0202080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEDNRRALRELLFCTPGALQYLSGVILFEETLYQSTKGGKPFVDILKEGNVLPGIKVDKGTIELAGTNGETTTQGFDDLGKRCAKYYEAGARFAKWRAVLKIGATEPSQLSIDQNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAYVTEIVLAACYKALNDQHVLLEGTLLKPNMVTPGSDAKKVAPEVIAEYTVRTLQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLQTKKPWNLSFSFGRALQQSTLKAWSGKAENEEKARTAFLVRCKANSEATLGTYKGDATLGEGASESLHVKDYKY >SECCE4Rv1G0217670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18294803:18296459:-1 gene:SECCE4Rv1G0217670 transcript:SECCE4Rv1G0217670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDATYNAILLVLLAVSTIYFFKPSSGRRPPGPRTLPIIGSVHHFVNTLVHRRLRDLAGVHGPIMMLKIGPMPLVVVTSRELAREVLKVQDPNFANRPRLLVGGICGYGWTDIIFAPTSDYWRKIRKLCIHEILSPKRVLQFQFIREEEVQRQVELTRASAAAGEPVDVTRMVYDISSRTISRSAFGEVRPDMPVFQHAIKRVVGLSSGFNVPDLFPRLREMLGEATGMKRKLREIHRTFDDILVDIIEGRRRVRAHRIAAGKDVVDENVVDVMLSLQKGDNPWGFPVTDNTIKAVVLDMFAGGTGTSGSSTEWAMSEIMRTPRVMKKLQDEVRRAFHGKDSISETDLRSNSVRYLKLTMKEAIRLHPAAPLLVPRESIETTEIGGYVVPAKSRMVVNAWAISRDPRYWKDPEEFMPERFEEDGAVDFHGLHFEFTPFGAGRRMCPGYNYGLAGMELTLLQLMYHFDWTLPAGVEEVDMAESMGLGVRRKNPLMLCATPYVVPPPAAPVAST >SECCE5Rv1G0301880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34134354:34136444:-1 gene:SECCE5Rv1G0301880 transcript:SECCE5Rv1G0301880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAAALLLAAAAAAALAGAAEGLGVNWGTQASHPLPPKVVVQLLKDNGIKKVKLFETNLEAMKALAGSGVEVMLAIPNNMLHRIAGDSGAAKDWVKRNVKRFDFDGGVVIKYVAVGNEPFLEAYKGSFIKVTLPALENIQNALNDAGVGDRIKATVPLNADVYNSPAKNPVPSAGRFRAEISGVMTDIVKFLARNKAPFTVNIYPFLSLYLDDNFPLDFAFFDGGATPVNDNGVMYTNVYEANFDTLVAALAAVGHGDMPIIVGEVGWPTDGDKHAKASHAERFYDGLLRRLAANRGTPARPNRHIETYLFGLVDEDRKSVQPGSFERHWGIFRYDGQPKFAMDLSGQGRRGTMLVPAKGVQYLSRTWCALNPKASRDDLNKLIGAKIDYACSNADCTTLGYGSTCNSMDAKGNASYAFNAYYQTQSQKDEACDFQGLALPTETDPSTSTCNFTIQIDTSGSGAAPTLLGVVAALFVVLLHLSSLW >SECCE7Rv1G0456610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11123594:11125248:1 gene:SECCE7Rv1G0456610 transcript:SECCE7Rv1G0456610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGTDEHQHGHGDGSDAVEWKKVAELRAVTEAQDPAAKEEDDFVLRRFLRARDYNIGKASAMLLQYLAWKRVAKPHGSISDEELRNEIAKKRVDMQGFDRLGRPIAYIYGARHFPARRDLDGFKRYVAHVLDKICTRLPVGQEKFAAVIDLRGWGYANCDIRGYVAALDIMQSYYPERLGRVFLIHVPYMFMAAWKMVYPFIDDKTKKKFVFVADKDLDATLRDAIDESQLPEEYGGKLKL >SECCEUnv1G0546920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:165894924:165898114:1 gene:SECCEUnv1G0546920 transcript:SECCEUnv1G0546920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQTTMGLAAAVRPCRQRLLASAAAAKASVIRLFPRCSHPQHHHHGRRLSFLVSAASHTSQSDPSTTPTPVTSDPRSAVAGNLPFFDRVLFPGSFPPETPPVEDPAPPADEGPASASPVREETETEREAWRLLRRAVVSYCGEPVGTVAAEDPECTEMLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRTVPLDENNEAFEEILDPDFGESAIGRVAPVDSGLWWIILLRAYCKITGDYSLQERVDVQTGIKLILSLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMIVMNEGSKHLLRAINNRLSALSFHIREYYWVDMNKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAISSSLTTPTQAEGILSLIEEKWDDLVANMPVKICYPAMEYDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEEKLSADKWPEYYDTRSGRFIGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCACCLSKRTRCSRRAAKSDIIG >SECCE3Rv1G0148650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19458088:19458543:1 gene:SECCE3Rv1G0148650 transcript:SECCE3Rv1G0148650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRSNVFDPFADLWADPFDTFRSIVPAISGGSNETAAFANARVDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKNDKWHRVERSSGKFVRRFRLPEDAKVEEVKAGLENGVLTVTVPKAQVKKPEVKAIEISG >SECCE1Rv1G0011500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:78492114:78496157:-1 gene:SECCE1Rv1G0011500 transcript:SECCE1Rv1G0011500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRLRRQPAPAPSRASDPEEPTEVFPVGEAVEVLPGEGAYRGAHLPAVVARFDPDLRSYAVEYDALAVSGASGRALPEAVPASQVRPRPPPPSPAPHAEHAAVDALRDGAWWLGVALVGGDRAGGKVAVYFPATREEAEFDAADVRPHLEWVAGEWRSPEDMETSKRTPYAKGTQIEVARLEADSVVAWFPATVAKTIWKNNLLVEYPSSKGSELCNEIIDIKHIRPCPPRASVISFCVDDDVEGFQDDGWWPGKITEIHPKLTYTFKLATSGKKVQLHQNTLRLRYDWTDNQWKQVAQNLPGTKFTGGDRVEVSSDEEGFHGAWFQGTVVKSVGHKFLVEYDALKDDDETTPLKETIGEEHIRPSPPAIPVTNGFKVLDEIDAYTNDGWWVGVISEVLGDRKYKVYFKAYKEQDEFGLEQLRRHCDWVGGRWMQASPALEM >SECCE5Rv1G0303950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:52493063:52495593:1 gene:SECCE5Rv1G0303950 transcript:SECCE5Rv1G0303950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFSNVDPVTAADMRRQGLDPHELDQSTEQLMSLIHGNLPDPPVSTTARLTAFRARPSDGVDRISRLSDALLRDIVSRLPVKDAARTAALAARWRGVWRSTPLVLVDTHLVSSARASTRDVIAAVSRVLAAHQGPFRSVHLTCSRMGAYQAQLKRWIRLLAARGVQELVLVNRPWLREVPLPKTLFTISTLTRLYIGVWKFPDAAGLQGASFPHLRELGICSVAMKDGDIEAVVARSPALEILNIQGSMKGVHLRLVSNSLRCVQICSFVMESVNVVNAPRLERLILSQCLNPAGGSCIRVKIGNVPKLKVFGFLEPGKYVLEIQDTVIMAGIKTSASMMVTSVNVLSLKARFGVYDDVKMLPAFLKCFPNVEALHITSEKCDQLAGKFDLKFWEEVGLIVSVLLRLKVITFREYRARQDELAFLQYILQHAMVLKYAVVQMVNPKFTSLSVDEMAYTIDNMQPPKNKKWASSGVGLLTMGTHGPEGGETWTFRKGADLSDDDPITPVKFIIRVAGPTTRQQKSRATKRRN >SECCE7Rv1G0471570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:132138841:132145662:-1 gene:SECCE7Rv1G0471570 transcript:SECCE7Rv1G0471570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSGRGSRRSASAASSRGGGLHSQAADPFGRAASQHGHEDDEENLRWAALEKLPTYDRMRHTIIHDAVVNGHEMEMEMEGLVDINHLASGEAGRALLERVLQDDSERFLRRLRDRVDRVGIELPAIEARYQGLSVEVDVFVGNRALPTLWNSATNFLQGLVGRLASSNKKTINILQNVNGIIKPSRMTLLLGPPSSGKSTLMRALTGKLDKSLKVSGSITYCGHTFEEFYPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGVGARYDMLYELAAREREAGIKPDPEIDAYMKATAVQGQESNIVTDLTLKVLGLDICADMPIGDDMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYIGQLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRDNILEFFEAAGFRCPERKGVADFLQEVTSKKDQQQYWYLDQEQYRHVSVPEFAERFKSFHVGQQMLKELQIPFDKSKTHPAALTTSKYGQSNWESFKTVMSRELLLMKRNSFIYIFKVTQLLILGLVAMTVFLRTKMPYGQMSDSVKFFGALSFSLFTVLFNGFAELQFTIKMLPTFYKQRDFFFFPPWTFGLVNIISKVPVSLVESTVWVVLTYYVMGFAPAAGRFFRQLLAFFLTHQMAMGLFRFLGAVLKSVVVANTLGMFVILIIFIFGGFVIPRGDIQPWWIWAYWSSPMMYSQNAISVNEFLSSRWANPNTDESIRAATVGEAILKSRGLFTGDWGFWVSIGAIVGFTILFNILYLLALTYLSFGSSSNTISDEENENETNTSMPIDEATNRPTRSQITLPFEPLSLSFNHVNYYVDMPAEMREQGFAESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGSIEGSITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDEKTRKLFVEEVMTLVELDVLRNAMVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVNTGRTVVCTIHQPSIDIFESFDELLLMKRGGRVIYAGELGHHSHKIVEYFEAIPGVEKITEGYNPATWMLEVSSPLAEARLNINFADIYANSDLYRKNQELIKELSIPPPGYEDLSFPTKYSQNFYNQCVANFWKQYRSYWKNPAHNAMRFLMTLIYALVFGTVFWQKGTKINSQQDLSNLLGATYAAVFFLGSANCITVQPVVAIERTVFYREKAAGMYSPLSYAFAQTCVEVIYNIVQGIEYTVIIYAMIGYEWKAAKFFYFLFFIVSSFNYFTLFGMMLVALSSSAMLANIIIAFVLPLWNLFSGFLVARPLIPIWWRWYYWANPVSWTIYGVIGSQFGDNTSSVSVIGGSPMVVKQFLEISMGIKHDFLGYVVLAHFTYVIGFFLVFAYSIKVLNFQKR >SECCE6Rv1G0379170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:12506806:12509303:1 gene:SECCE6Rv1G0379170 transcript:SECCE6Rv1G0379170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATEEEASKAGSEETLAIVNPAGEVAVTGSGDGADHESSEPDATITRPPPPSRSNNYGAVVIGGTFDRLHRGHHLFLQAAAELARERIVIGVCDGPMLAKKKYGYLIQPIETRMENVKEYIKSIKPDLEVHVEPIIDPYGPSIVDEALEAIVVSKETLPGGHAVNRKRAERGLTQLEIEVVELVPEKSTGNKISSTAFRKIEAEKALQQQKLDQQEEQQTVQLECRT >SECCE2Rv1G0129650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:869664949:869665206:1 gene:SECCE2Rv1G0129650 transcript:SECCE2Rv1G0129650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKAPWMVAMSVGAVEALKDQAGLCRWNYALRSVYRAAKARANVRGGVSQGTKQIPASTAAMAEKAEEGLRTVMYLSCWGPN >SECCE7Rv1G0472240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:139348355:139352088:1 gene:SECCE7Rv1G0472240 transcript:SECCE7Rv1G0472240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMDLVSPSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSFLSPRPPPMVQPLSPNDHHHPMGPFQGCTDCRRNQPLPPLASPTSSDASPRAPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSSTVSASNSAPEPRIVLPGPPLQERATEQVVESFDIFKQMERSA >SECCE4Rv1G0258040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:674318809:674322098:1 gene:SECCE4Rv1G0258040 transcript:SECCE4Rv1G0258040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDDADRPDSADAGAQKPAELPSPHMESVCENTTTADFKQSNFGNFLPNVRSGGWSDIGGRQYMEDAHVCIADLAKNFGYPTVDKEVVSFYGVFDGHGGKDAAHFVRDNLPRVIVEDADFPLELEKVVSRSFVQIDSQFADKCSHQRALSSGTTALTAMIFGRSLLVANAGDCRAVLSRCGIAMEMSMDHRPCSLSEKLRVESLGAYVDDGYLNGLLGVTRALGDWHLEGMKEASRPGGGPLSAEPEIKLTTLTKDDEFLVIGSDGLWDVFSNQNAVDFARRRLQEHNDVKLCCREIVEEAIRRGATDNLTAVLVSFHLVAPPQIRASQPRRVARSISADGLNSLRILLGSQ >SECCE2Rv1G0108910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:670481934:670482773:1 gene:SECCE2Rv1G0108910 transcript:SECCE2Rv1G0108910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTQVANHSKRNHTDSYFSGKQQQQQAGATTATSSGSGEFGGMGSKKPRNASPRGGGPISPREKKDKVGERVAALQQLVSPFGKTDTASVLQEASGYIKFLHQQLEVLSSPYMRPALAPGAESEDPDHYSLRSRGLCLVPVEQTLQLTQSNGADLWAPANTTTRRP >SECCE3Rv1G0158940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:92970480:92971253:1 gene:SECCE3Rv1G0158940 transcript:SECCE3Rv1G0158940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 25 [Source:Projected from Arabidopsis thaliana (AT3G27650) UniProtKB/Swiss-Prot;Acc:Q8L8Q3] MASPSSTGNSPVSVVVAAATTPGAGAPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELPPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDAAHTELLRYACGELGGIPTALPVVTASVPTGRLSSAAMPCPGQLAGGMYGGGSGGGFRRLGLVDAIVPQPPLSAGCYYNMRSSNNAGGSVAAEVSPVQIPYASMANWAVNAISTITTTSGSESIVMDHKEGGDSSM >SECCE6Rv1G0446830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846347254:846348500:1 gene:SECCE6Rv1G0446830 transcript:SECCE6Rv1G0446830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAGDTPPPPASTEEESRWLAALSEPELDLLISLKLLAVKRAETAGRPHLADAFDLRTLRALGVVLLEDFNQRLREETSLDATGLDRLALSRDPVTDASFGSSSSDSEVFRRRSKDQPVKPSGVKRKRKKTHDGRHGEAVQRNKKRRKTSVSR >SECCEUnv1G0569090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:436062087:436062534:-1 gene:SECCEUnv1G0569090 transcript:SECCEUnv1G0569090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLVRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHAIVGNR >SECCE2Rv1G0122200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:814664452:814673707:1 gene:SECCE2Rv1G0122200 transcript:SECCE2Rv1G0122200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32590) UniProtKB/Swiss-Prot;Acc:Q564K3] MPPADAPTPPPSRGMAAGTRLLLQSPPPAFPLGSNDDQLERARARAMARAATVRRRSLAASLAPKTPHPNLLNRDEVMDLFQNCIKLASENKINQKNTWELGLIDHLSEIIHAGEEEDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGEEADLGEGGDAGPAQDEGVTKKDADRRLSPASTLESSFEALNVKKFDAAFTVDPLYHQTTAQFDEGGAKGLLLYNLGVYGSCRVLFDSFEAPDKCILSDPEKAEVIDLSFAKEQIEQMIVHMPLSNDISPTLRDIVAQFDEENKRPSHDASSGQMLVMEDQVVDSNDDSMPPDCGTWDFGGCDDQDSAYDENCNPMNSNSTNYEEGTDDYEYTFQGPDGPDVDERLEKIADLLSLGAGFSSKTNAWAGPEHWKYRKVRDLEPAQTSSGDLEVAKKAKKKKGKEEPDIDFTKALEHENTNVFAPPKNPKSLLLPANKGSCNNKLPEDCHYQPESLVKLFLLPDILCLARRRRKPLDDSRDNSDDFMPSGPWDDGDLGNDYGDEGDVASDVEEPVNLIAKPRQVNKIDVQYDKVSKQVDVHALKEVLWNHIHTSAKTDDLERDDIELSLCLTKVLHDLPCSNRDVSTTEISPHLYFICLLHLANEHSLTLRDRPTLDEIDIYIPTSPLVK >SECCE2Rv1G0127060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:850691245:850691454:-1 gene:SECCE2Rv1G0127060 transcript:SECCE2Rv1G0127060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMLDLADAKGFAAPGNVIGLAFVFVAVVAVVAIAVFNCSDGADESGAKRKKKRKAQPVVVVFGGGGC >SECCE5Rv1G0352700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711260835:711261481:1 gene:SECCE5Rv1G0352700 transcript:SECCE5Rv1G0352700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEGSKPPGPDEAATDGRADETARKDGAGNPPPPPFLEVTCRSSGEVRRFAAGTTARYALHAVNRKLGPGAPAALHVEAAKEGEEPVCFGPTAPLADYGRGWRLQTVTEQDAPGAHHHAHHAPPAGDEGKGSRERELLRKKGASVYLAKIGLAFVFLFLLGGLFTYLLETIPDMILASTPQSM >SECCE3Rv1G0147940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:16855218:16856336:1 gene:SECCE3Rv1G0147940 transcript:SECCE3Rv1G0147940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGAAPAEGRSGASPEKPDESAKKARLDLPDGHVKQEAAVAAYSPRVEIAVRIDKQVLHCPLCTLPFKPPVFQCTAGHLACGGCVAQLPFMQCTTCVDGGSFFNPCPAVDAVVSSTRIECPNVGCQWTVTYHEVAEHQKACSHAPCQCMVPGCGYLGAPQALAGHLNTVHSVPICIVQYGKVSQLQLSVSTQRVVLLGDDNCVFLLTMGALVAGVTTVSVVCARARAATRPRFTCKMWVNLEPPTAAANCGKEDMLLVAMHMRSSSSPGAVVTAGEPTFLTVPPMYLVPAAGDGMSMEVPLHIRIDKLSPCSDASV >SECCE1Rv1G0030580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:429038984:429040987:1 gene:SECCE1Rv1G0030580 transcript:SECCE1Rv1G0030580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSKEAKPERAGGGGGAGARAVPVALMLLFLCGFSFYLGGIYSTGRTFTFSSTTTSIIPIVSTAKQEGSAIALAIARNGNGDDEVEFAECPADYQDYTPCTDPKRWRRYGNYRLSFMERHCPPPPERAVCLVPPPRGYKPPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLRKDGDRFTFPGGGTMFPNGVGAYVDLMADLIPGMKDGSVRTALDTGCGVASWGGDLLARNILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPIPSASMDMAHCSRCLIPWTEFGGLYLMEIQRVLRPGGFWVLSGPPINYENRWHGWNTTVEAQKADFDRLKKMLASMCFRLYNKKGDIAVWQKSLDAGCYDKLTPVTTPAKCDDSVDADAAWYVPMRSCVTAPSPKSRGKALPKWPQRLGVAPERVSVVPGGSGSAMKHDDGKWKAATKHYKALLPALGSDKVRNVMDMSTVYGGFAASLVKDPVWVMNVVSSYGPNSLGVVYDRGLIGTNHDWCEAFSTYPRTYDLLHADGLFTAESHRCEMKFVLVEMDRILRPTGYAIIRDNPYFLDSVASIAKGMRWTCDRHDTENKENEKEKLLICHKQLWSAKKA >SECCE2Rv1G0126930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:849691816:849698311:-1 gene:SECCE2Rv1G0126930 transcript:SECCE2Rv1G0126930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGSVIERNMARPLMRLVTMGGAPILQQLHLEERLLRRTSDNWCIVNDGTAPPTIVMGVSGKVSELVEIRPVLQDHVPVVRRFSGGGTVIVDQGTVFVTFICNKTAVAGLQPFPRDIMSWTGQLYGKVFRGFGEFHLRENDYAFDHRKFGGNAQSITKDRWVHHTSFLWDYDVKNMSYLKNPQRAPEYRQARDHTDFLCRMKEYMPSRSVFTEGITAALGDHFMVQHTELETALSDHDDFVPSTKVLSPQDLQDIISSKEAPTAQRAEGWPR >SECCE3Rv1G0147820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:16229779:16230897:-1 gene:SECCE3Rv1G0147820 transcript:SECCE3Rv1G0147820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGAAPAEGRSGASPDKADESAKKARLDLPDGHVKQEAAAAAYSPRVEIAVRIDKQVLQCPLCTLPFKPPVFQCKAGHLACSGCVAQLPFMQCRTCVDGGGFFDPCPAVDAVVSSTRIECPNVGCQWTVTYHEVAEHQKACPHAPCQCMVPGCGYLGAPQALAGHLNTVHSVPIRIVQYGKVSQLQLPVSTQRVVLLGDDNCVFLLTMGALVAGVTIVSVVCARARAATRPRFTCKMWVNLEPPMAAANCGKEDMLLVAMHMRSSSSPGAVVTAGEPTFLTVPPMYLVPAAGDGMSMEVPLHIRIDKLSPWSDASV >SECCE5Rv1G0360980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:776595876:776597027:1 gene:SECCE5Rv1G0360980 transcript:SECCE5Rv1G0360980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLARFILLLLLVLAAPVAQPSPYSDNLQDACNKTLFPKVCIKSLTTNPETRTADARRLAELSVNVAKEAGTKVAALAHNELNGVKAEDVLFKCLDSCSDDIEEAVAHLSGLAREVTDSKFLEVKSWLSATLGGSSTCEESCKDAPASDAKKTVVAKSVEFEKLLRVTLDLITEASGSMSASGSMSGDVAVPPTSWDGSASGSYGASAPESSSGSASAPESSSESASAPGSEAPSSDASSAPSNAPSSEAPSAGAPGPSYGSSAPSSEAPSSDASAPSSAPTSDAPSAGAPGPSYGSSTPSSKAPSSDAPTSSKAPTSDAPSAGAPGPSYGSASGPAADAPSASPSNADAPSTGSAGAPSKGAAGAPSPSDAGAPEADSPAS >SECCE2Rv1G0117680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:775092236:775099655:1 gene:SECCE2Rv1G0117680 transcript:SECCE2Rv1G0117680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSQLLDGGALALLLLLLTAAARAQRTPRTHPGDAAALNAVFAKLGQKASSSWNISGNLCTGAATDDTDIDNDPNFNPAIKCLCSTGNASLCRITRLKIYALDAVGPIPEELWNLTSLTNLNLAQNYLTGPIPSSIGALAQMQYLSLGINALSGPLPKELGNLTNLVSLGIGSNKFNGSLPSELGNLAKLEQMYIDSAGLSGPLPASLSRLTKMKILWASDNDFTGQIPDYIGSWSLTELRFQGNSFEGPIPATLSNLAQLTSLRIGDILNGSSSSLAFVNNMTSLSTLVLRNCRISDKLSSIDFSKLTSLNLLDLSFNNITGQVPQTLLNLNLLNFLFLGNNSLSGNLPSSIGSSLKNLDFSYNQLSGTVPSWAKDSQLNLVTNNFVADSSSNSVLPTGWGCLQRNTPCFLGSPQSSSFAVDSGSRRPVSGSDNSFYESDDASLGPASFYVTGAQTWGVSNVGRFMDAQNGSYIIYSSRQFLNTLDTELFRNARMSPSSLRYFGIGLENGNYTVTLQFAEFDFPDGQSWKSTGRRVFDIYVQGVRKEQNFDIRKTAGGKSYTAVRKQYIVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPAISALSATPNFTPTVRNAAAKKSGSKTGVIVGVIVGLAVLGLVAFAAIYFWRQKKRKLSLEQEELYSIVGRPNVLSYGELRSATDNFSPDNLLGQGGYGSVYKGKLTDGRFVAVKQLSEKSHQGKREFATEIETISRVQHRNLVKLYGCCLEGNKPLLVYEYLENGSLDHALFGKGKSSLDWPTRFEICLGVARGLSYLHEESSIRVVHRDIKASNILLDANLNPKISDFGLAKLYDDQKTHVSTKVAGTFGYLAPEYAMRGHMTEKIDVFAFGVVVLETLAGRPNYSTKDENKVYIFEWVWELYEDNHPLDVVDPRLEEFDSEEVLRAIKVALLCTQGSPHQRPSMSRVVAMLTGDVEAPDVVTKPSYITEWQIKGGNTTYMSTDVSGQSSSAPRPNSSTSQTSSPFLSSVIDEGR >SECCE6Rv1G0389330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:149537899:149538789:1 gene:SECCE6Rv1G0389330 transcript:SECCE6Rv1G0389330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNHNGYGYYDNNNGSYTNDDNPCYYGMSYATSEPSSYGHQYQQSPSTQPQSSHDNHYYQYDISNSSSSSAVPSYAAYDDIARYAQQQHPQCLNFGGGSYQYDGAASAMGMSVDMDQFSALMEATSISPAAPSWAEQQEAKKAKAEPPQLIGVRRRPWGKYAAEIRDSTRGGERVWLGTFDTPEAAALAYDQAAYTSRGTAAVLNFPVERVQESLRVLKLGEATAAGEDESPVLALKRRHCMRKRTPKGKGQEGDMKKKQPAAVAAASSSVLELEDLGADYLEELLALSEQWSNE >SECCE1Rv1G0056370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:686714722:686716690:1 gene:SECCE1Rv1G0056370 transcript:SECCE1Rv1G0056370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSREKRWSLAGATALVTGGSKGIGRAIVEELAGFGARVHTCSRNAAELEECRRRWEEEKLAVTVSVCDVSVRPAREKLMETVRDSFDGKLDILVNNAGQLVLKAATEWTADDYAQLMETNLESSFHLSQLAHPLLVNTSILGGGSIVNISSVGGLFGYPGLALYGITKGGMNQFTRSLATEWARDNIRVNSVAPGIVSTDMIKDLEPDALEQACSRIPMGRSGKPTEVASVVSFLCMPTASYITGQVICVDGGRTIY >SECCE1Rv1G0032720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:458404360:458407455:-1 gene:SECCE1Rv1G0032720 transcript:SECCE1Rv1G0032720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRERRLPPAPAFQMENPFSVKVLQVFTGAGVGCGVGIGVGRPIYLGMIPGLQQVMSATRGATDSFSGVTRHVNSALRKAGLKNIEAGIGCGVGIGHGFGIGIALKPRAIHGIQSSIAEVLSKLTSKLRDSSGETAASDLTSGSLPASGQTPIGMSMDLEAKAFKSNFHHTSTNEISQVQPTHELRGQNGMQQEMITGGRTEKVIANFLQSPLFQNDTKTDISDAAVNLHGTDNVLQLVLKHQRVIEELRDENEKLRQVLIDELKISPAKLQLDRTSGVKAYYPCSECFDCRRRSRKSNR >SECCE1Rv1G0035470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:498897447:498899610:1 gene:SECCE1Rv1G0035470 transcript:SECCE1Rv1G0035470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sulfoquinovose synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33030) UniProtKB/Swiss-Prot;Acc:O48917] MANLVTNCGFSPSPAVKAHCNSPSYGHNFIRLQNSKSSTSSLNLKSSSKRSNKLYVTCASTAVQGQTQTPLTGSQQASGQSSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPIASIQNRIRRWKSLTGKTIQLFIGDICDFEFLSEAFKSFEPDSAVHFGEQRSAPFSMIDRSRAVYTQNNNVIGTLNVLFAMKEFSEECHLVKLGTMGEYGTPNIDIEEGFLTITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGIRTDETAMHEELSNRLDYDGIFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLDVQTKSVPNPRVEAEEHYYNAKHSKLSELGLVPHLLSDSLLDSLLNFAVQYKDRVDTAQIMPSVSWKKMGAKPKTVSV >SECCE1Rv1G0032550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:456582689:456583648:-1 gene:SECCE1Rv1G0032550 transcript:SECCE1Rv1G0032550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPKQDDVPSSPELPPLAAPGIAAAAAAAAAAASSGGGGGMVGAGGGSGRRLPPPCWTHEETLALIEAYRDKWEALKKGNLRAADWDEVAGAVTARCGRFPTATYKSGVQCRHKIEKLRKRYRAERTRSMGRSKGPKWPFFPLLHDLAGGGVPDASPNPIIKIKPRGNATPASPSPVSSPSSEDAGRSRSLHGLISNGGGGSGLRFTIPKASRTKPGVPREARPDRDRGEEDPDAEAMAEVASALRAVGEGFLRMEERRLELSLQMEKERMESEMKRTQALLDAQQLFVEAFLGKQQPHHKKAKLVSSAAPMEED >SECCE6Rv1G0382310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:41034328:41036005:-1 gene:SECCE6Rv1G0382310 transcript:SECCE6Rv1G0382310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDDIKIKPLQRQKVCVIGAGMAGLASARELRREGHDVTVLEQSGDVGGQWLYDPRTDGDDPLGDAAPPVKVHGSMYASLRLISARENMGFTDFQFAPKDGRDGRRFPGHREVYLYLKDFCDAFGLMESVRLNTSVVRVALAPGPTQQWTVRSVDLGKCDGAEEEEVFDAVVVANGHYSQPRLPSIEGMEAWRGRQMHSHSYRVPEPFRGEVVVVVGCGESGKDIAMEVRRVAKEVHLVAKSMEDVTQGLAKVLAKHSASLHLQLHVERLCEDGRVVFGDGSSVLADTIIYCTGFSYSFPFLDTEGAVTVDDNRVGPLFEHVFPPSLAPSLSFVGIPIKVFAPWFFEAQAKWVAQVLSGKRTLPPEEEMMRSVEEYYRAREAAGAPKKYTHDVSLFDTTYIDEFGSKYCDFPGVEKWRYELLVSSFINMLDNLETFRDEYKDSDSIRKSVQEWHLSA >SECCE4Rv1G0273040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:773619917:773622928:1 gene:SECCE4Rv1G0273040 transcript:SECCE4Rv1G0273040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTAKLLLLTIISASSFFTGGAPHPPSSHGGSCIAAERTALLSFKEGITSDPAGLLASWRGQDCCRWRGVNCSNQTGHVIGLRLRSTNPDMYGYSCDGKSLFGEVSPSLLSLEHLEHLDLSMNCLSGPNDSFPVFLGQMRNLRYLNLTGLTMFTGRVPPQLGNLSKMQYLSIGQAGSRSQMYSDDITWLTNFRLLQHVSIEGINLSGIHDWPHMLNMIPSLRVISLSGCSLQSANQSLPYLNLTRLEKLDLSWNSFDHSIATNWYWKTTSLKYLNLQANRLSGQFPDALGNMTSLQVLDVSTNWNKYFMMTGNLKYLCSLKTLDLSSNNINGDVEVMMEGLPQCAWEFLQELDFSDNSLTGTLPNLISKFSSLKRLELSNNNLTGSIPPRIGNLTFLTALDLSNNRFSGSVPSEIGSLINLSFLDLSNNYFTGIVPSEIGALSGLTSLVLSKNNFSGVITKEHFAGLTGLKNIDLSSNNLKIEVNSDWLPPFRLESALFSSCQIGPLFPDWLQWQQEITQLSISSTALMDTIPDWFWSTFSKATYLDMSNNQISGSLPAHLDDMAFEQLYLGSNRFTGPIPPLPRNITVLDISNNAFSGTLPSNLEAVQLQTLLIYSNQIDGGIPQSMCALQELGDLDLSSNLLEGEIPRCFENLTISYLLLSNNSLSGTFPAFLHYGEGLEFLDLAWNKFYGRIPTWIGEMTRLRFVRLSHNMFSGTIPVEITTLSYLQYLDLSGNNISGVIPLHLPNLTGMTRKGFMPISGTDIGPSGLGSVTVTGQFGALLSIITKGQELRYGGTLAYFVSIDLSGNSLSGEIPMDITSLDALINLNLSSNHLSGNIPSKIGDLRSLESLDLSQNKLSGEIPSSLSSLTSLSYLNMSYNSLSGRIPSGHQLDTLSADNPALMYIGNNGLCGPPLQKNCSGNGTVFHDHLGSSNREFEPLTFSFGLVLGLVAGLWSVFCTFLFKKTWRIAYFQLFDELCDRIYVYVVVKWAGFTRKADEE >SECCE4Rv1G0290960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875017892:875018889:-1 gene:SECCE4Rv1G0290960 transcript:SECCE4Rv1G0290960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSTNTIALVLVALLSVLLTSVCSAANYDTTAARAYNSGWLPAKATWYGAPTGAGPNDNGGACGFKNVNKYPFSSMTSCGNEPLFDGGAGCGSCYEIRCVTANNPSCSGQPRTVVITDMNYYPVARYHFDLSGTAFGAMAKYGLNDKLRHAGIIDMQFRRVRCNFPGMKVTFHIQRGSNPNYLAVLVEYANVDGTVVRMELMQTRNGRPTGTWEPMHRSWGSIWRMDTSRPLQGPFSMRITSDSGKTLVANNVIPAYWQQDRAYWSNIQFY >SECCE3Rv1G0206940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:913384847:913387870:1 gene:SECCE3Rv1G0206940 transcript:SECCE3Rv1G0206940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVADAAVPVPAAAKANGAGAGCCAAKGPGYATPREAMEKGPREGLLYVTCVYNGTGIDKPDYLATVDVDPKSATYSQVIHRLPATHIGDELHHSGWNACSSCHGDPSTSRRFLILPSLLSGRVYVVDTAKDPRAPALHKVVQAEDIAEKTGLGFPHTSHCLATGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSSWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGSTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFQTADGSWSHEVAISIKPLKVRNWMLPEMPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVFVGGLLQKGSDVVYVTDDDKEEQYAVPQVKGHRLRGGPQMIQLSLDGKRVYVTNSLFSRWDEQFYGPDLLKKGSHMLQIDVDTEKGGLTVNPDFFVDFGTEPEGPSLAHEMRYPGGDCTSDIWI >SECCE6Rv1G0435790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772870897:772871289:-1 gene:SECCE6Rv1G0435790 transcript:SECCE6Rv1G0435790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSSSSKTEAGGEQHRKEEKHHKHMEQLAQLGAVAAGAYALHEKHKAKKDPENARSHRIKEEIAATVAVGSAGFAFHEHHKKKDAKKHVHH >SECCE1Rv1G0008870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:50461138:50461878:1 gene:SECCE1Rv1G0008870 transcript:SECCE1Rv1G0008870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLPPLLPTPPRSEMLPILPTPPRSQMLPLLPTPQGLVLTMLVSAMAGRADFVHRWDWNKKGNKPCSSICSSSSSSSSSSSSEGGKSTGRADSVDRWDSGKKYNKKPRTATSSSSSSSYSAGSPGRADSVERWDSKKKLATSCGASLQPTGHHGRHDGGNKRLPSPSRASSTKRWDLHKKPRPEQMEKLPRANAAATTPTLATTPQKAMFAGPSFYASPPDPSMLPMPSFFLLPRSRGLCTS >SECCE5Rv1G0334980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:569255888:569256244:-1 gene:SECCE5Rv1G0334980 transcript:SECCE5Rv1G0334980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDERTVCLINQYHDREFLDIRRLNDAKEWTQESDKPTSSASMCYPKLAVHGGLLMASNDDTISVFDGSQHLLKLALQGSKGSGAINDFTVGGDRIFAVHKDDNLLDVWETPPPPIV >SECCE1Rv1G0044270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:601537363:601537779:1 gene:SECCE1Rv1G0044270 transcript:SECCE1Rv1G0044270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAPAAEPQPEEPSAPRPPPPEKRAAPTDAEEGDERPEPKRRRARVAALEKVPSAAAAAAAAAKSEEEDDGFSFLARSFSGVETTPKFGSFNPAAAQFVAFHLPPPPPLVDPAEESPPVAVGGDGEEKVKDGNSC >SECCE1Rv1G0036510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:510344661:510345677:1 gene:SECCE1Rv1G0036510 transcript:SECCE1Rv1G0036510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRGPPSLATAATIILAVLAAALATAARAQTCGSQAGGAKCPDCLCCSRFGFCGSTSEWCGAGCQSQCSGCPAPPGPGGQGLASILSKDLFERLLLHRNDAACLARGFYTYEALLAAAAAFPAFAGTSEGLSVETRKREVAAFLGQTSHETTGGWPAAPDGPFSWGYCFKQERDPPSDYCEPRPEWPCAPGRRYYGRGPMQLSFNYNYGPAGRALNIDLLNNPDLVATDPVVSFKAALWFWMTPQGNKPSSHAVITGRWTPTAADNAAGRVPGYGVITNIINGGLECGRGQDPRVVDRIGFYKRYCDVLGVGYGNNLDCNSQRPFTSGASAGLAAQ >SECCE1Rv1G0030940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:437337685:437340306:1 gene:SECCE1Rv1G0030940 transcript:SECCE1Rv1G0030940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPSSGGAAGGSPMARKPPRHQLTAIRHCASSARIAAASTDLGLDSGTLSLISPTDIRPSFLPVFRSGSCANIGPKSYMEDEHVCIDSLIEHLGMLTPAVPAPGAFYGVFDGHGGTDAVCFVRKNLLKFIIEDGHFPNSLEKAIRSAFVKADHAIADSHSLDRNSGTTALTALIFGRTLLVANAGDCRAVLGKRGRAVELSKDHKPSCKSEKLRIENLGGIVFDGYLNGQLSVARAIGDWHVKGSKGSISPLTPEPEFQEVRLTEEDEFLIIGCDGLWDVMTSQCAVSMVRKELMAHNDPERCSRELVQEALRRDTCDNLTAVVVCFSADPPPQIEVPRFRVRRSISMEGLHMLKGALDSNA >SECCE2Rv1G0100840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:549312237:549312449:1 gene:SECCE2Rv1G0100840 transcript:SECCE2Rv1G0100840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWAPVVVGVVLFVVLSPGLLFELPGTYGRVDFGGLRTTGKSISVHTLVFFTLFAVIILGFEVHIYTGA >SECCE5Rv1G0365630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:808327343:808330691:1 gene:SECCE5Rv1G0365630 transcript:SECCE5Rv1G0365630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGGGGPDWNGLLKWSLAHGSDGTNPPRALSEEDRKWFMEAMQANTIDVVGRMKEIAQVMKTPDDVLQSHGVTPENIEDMLDELQEHVESIDMANDLHSIGGLDPLLGYLKNSHAGIRAKAAEVVSTVVQNNPKSQQLVMESNGLEPLLTNFRSDPSTTARTKALGAISSLIRNNQSGLAAFRLGNGHAALRDALGSDDARLQRKALHLTQYLLNNKADRNVAAEIGLPNQLIHLASSDDSGVREAALGGLLELARDKTPAARNALPDQDKLKDVLRSRIEGISAMDAEDLQAAREERQLVDSLWKECYGEPSSLREKGLVVLPGEDEPQQPPPDVVGEMFEPPLRAWAAPRPAPVEDSDSGSGKKDPPLLLGP >SECCE1Rv1G0009410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:54351382:54351933:1 gene:SECCE1Rv1G0009410 transcript:SECCE1Rv1G0009410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVSALGGKLYFIFSESNQYKMGVLHLDFGTPTPAAELHTLEDVDAAITLPEGMCGGVTRLLESQGELFQVCVCFRGFDPNDIGAVLVLKMDFDHGRRWRRVHDIGDRVFMLAHGGNAVSCSASACNLQRNRVYFMKNFLEDDGDLCIYDLVEEVLEILVVHERDLTLARTKPYWIVPPTA >SECCE6Rv1G0420660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:678466333:678469815:-1 gene:SECCE6Rv1G0420660 transcript:SECCE6Rv1G0420660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAARYAHSPAHLAVYRRDHAALRTLVAGLPRLPRAGEVATEAESIAGERVADAVSAVIDRRDVPRRETPLHLAVRLRDPVAADLLMSAGADWSLQNADGWSALQEAVCTREDAIATIIARHYQPLAWAKWCRRLPRVLASVSRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGAALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELLPGSLIVLSHKDKEITDALEGAGVQPTESEVAHEVALMSKTNMYRPGIDVTQADLVPHVNWRRQERTEAVGQWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMDGEEKNGRGAELETELDEVLTAEERKQLDSALRGNQEEESDDRAEEGDKGADHLDANGAGKDKKGWFWNGKKGAKNDEKAPKAVSKDESGDAGKGKEKGNGKKKKGGVSSGDSNKLESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPTGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPTSPTQFQDAKSKDSEGSASWYSWVRGGRGAQSSDSGDSKSWKDDVDPFHIPSEYTWVDATEKKRRMKAKKAKSRRGTARKQSSKSTSSEGGQHPMMDGFE >SECCE4Rv1G0250420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:592976289:592981527:1 gene:SECCE4Rv1G0250420 transcript:SECCE4Rv1G0250420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVASNGGAAPVPGRLASVYSEVQTSRIAHALPLPSVLRSHFTLADGPASTAAGSPDEIAKLFPCLYGQPSAAVVPSAEPVETKPLKIGVVLSGGQAPGGHNVICGIFDYLQERAKGSTMYGFKGGPAGVMKGKYVELTTDFVYPYRNQGGFDMICSGRDKIETPEQFQQAEDTVNRLDLDGLVVIGGDDSNTNACLLGEYFRGRNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNVALIGEEVAEKKETLKNVTDYITDVVCKRAELGYNYGVVLIPEGLIDFIPEIQKLIAELNEILAHDVVDEAGAWKSKLEPASRQLFDFLPKTIQEQLLLERDPHGNVQVAKIETEKMLIAMVETELEKRRAAGKYSAHFRGQSHFFGYEGRCGLPTNFDSSYCYALGYGAGALLQFGKTGLISSVGNLAAPVEEWTVGGTALTALMDVERRHGKNKPVIKKAMVELDAAPFKKFASLRDEWALKNRYISPGPIQFSGPGSDASNHTLMLELGAEI >SECCE7Rv1G0524380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885898375:885900732:-1 gene:SECCE7Rv1G0524380 transcript:SECCE7Rv1G0524380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPVESMLHNFAGLLWNGSHDLPEDMSNLAKLCHFYVPSDDQLHSDIYNVGKLKLLEELKVFQVNKKSEGFEPKQLEHLTKLRELGIYSLEKIRTKEEAAQANLMEKRYLRRLTLCWDSKRSSVEPCVEAAVLESLQPHGYIQVLCIRGHGGPSCPTWLGDEFAVEGLQSLFLDGVSWEVFPSLGKAWDLRELCFEDIDTLKEFIIVKSFCIFEKWVYTDEQESSIGGDLLPPDSHMFPLLQVLVIRKCLKVLGLPFSNHIVSPDWFPKLQELEVRDCPEFLPVIPISWIESPRSITMKCVKILKEFAYSKSSRGAELKITGGSDLLSLDQVLVFDKETSLEKLTLERCPPLELKHLLMLTSLKTLIVRKSDGLVGPLGGHGDVEWQLPVECIYMRDLNGNSAKQLTELLPHLPKLSKLEIHFCKNIKKLVVGVDVQQITQEASEMGGGEIAAEEEDDGVLLFPPHLCDSLEELEFNCPELVLVDPPTLVPGGGWLQALRSLQRSRIQWCPKFLSTFSFSGHLFPSSLQFLELRGLKGLRTLEPLSNLSSLTRLELTDCGEDLKCQGLWSLLTTGGQLNKLEVTCSPRFFADWEDAEGGEEQQTQLVSSTLQELETDDIAGLLAAPACRFLSSSLTKVTLFGYWHEGMERFSKEQEDALQLLSSLQELEFWDFKDLQQLPAGLRNLTSLKILSVKFCPAISSLPSDALSDSPEKLDVYECSEELKRQCRGLEGTIPEINI >SECCE7Rv1G0514130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:830031368:830032782:1 gene:SECCE7Rv1G0514130 transcript:SECCE7Rv1G0514130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAIALLDQSLPFGVVGGGSDRLSKEIFSILESNFLFGAQALEPAGACSAGRVRVLSIDGGADGGALAAAALVRLERRLQELSGNPEARVADYFDVAAGSGAGGFLAAALFARRMPAEAARDVVAKNRKVFSGRHGRGGLFSRPEAVFKKVFGDLTVRDAAKPLLIPCYDMATAAPFVFSRADAVEAEAFDFPLWQVCAAACGVGPAEVASLDGRTRLRAAAAAGGTGAGVANPTAVAVTHVLHNKREFPFAAAAGDLVVLSLGGNAAAGSGARASSSSLLRIAGACQADMVDQAVSMAFGENRATNYIRIQGNGITAGATAEAAMAERGVESVLFRGKKLMPQTNGERLDGVAEQLVREQHRRMDSKTPVVLIKPSATPRTSSSSASTLITVSTNSSSESP >SECCE1Rv1G0037750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:530868453:530873482:1 gene:SECCE1Rv1G0037750 transcript:SECCE1Rv1G0037750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKKFRGEEKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNDADPRTHQGLDLTRMTTRELIAKHGLSDDTIDFIGHALALHRDDRYLSEPAIDTVKRMKLYSESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLANKVRKIGKVARAIAIMSHPIPNANDSHSIQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSAEAETDNPQSELKPGIDLLGPVDELFFDMYDRYEPVNEPSLDNCFVSSSYDATTHFETTVTDVLNMYTLITGKTVDLSVDLSAASAAEEY >SECCE6Rv1G0381450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:32720357:32723695:1 gene:SECCE6Rv1G0381450 transcript:SECCE6Rv1G0381450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT3G20500) UniProtKB/TrEMBL;Acc:A0A178V816] MTNALTQNRKNRNSTTRLLAAGLQSLHLQQPESTEQADGAMEPLGAARRRPPTPVMAAPALLPLLLLLTLALTSCGAAAASGAPVGEDYVRPPARPRGGQRRALLGLFPWSKKKASASASDPQQVHISLSGEKHMRITWVTDDNSVPSVVDYGTKSNTYTSSSDGESTSYSYLMYSSGKIHHVVIGPLEDNTIYYYRCGGRGSEFQLKTPPSQFPLSLAVVGDLGQTSWTTSTLNHIKQCEYDMLLLPGDLSYADYMQHLWDSFGELVQPLASTRPWMVTQGNHEKEMIPFLKSGFQSYNARWKMPYEESGSTSNLYYSFEVAGVHAIMLGSYTDYDESSDQYAWLKADLANIDRKRTPWLVALLHVPWYNSNWAHQGEGDSMMNAMEPLLHAAHVDIIIAGHVHAYERTERVYKGGVNPCGAVHITIGDGGNREGLARRYHNPKPLWSVFREASFGHGELKIVNSTHAHWTWHRNDDEEPVRTDDVWITSLAGSQCVQDSSREFRKILMSP >SECCE7Rv1G0473980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:164819684:164820926:1 gene:SECCE7Rv1G0473980 transcript:SECCE7Rv1G0473980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPNQWLTQTEQEELAYMYQQEGVPVTVPGMEQQFAEPPLPGHQEQYYTSPMATPSFHPSRSSDFPSFGGSSSLPNLPFGSATVKNELGQPSPPPASSNFLSFGAGQAGTLNFSGGAWQHDGVEGTMQLQAPERRSRPPANAQEHVIAERKRREKLQQQFVSLATIVPGLKKTDKISLLGSTIDYVKQLEDKVRALEEQGSRRSSESTVFESKCCISAADNDAAGPSGSGDAEDSSPAVEASIRGHTALLKICCKESRGVLVMVLSELQNQGLSIINANVVPFTDSCLNITITAKIEEGFSSAAELVRNLTVALRSFS >SECCEUnv1G0528420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3903074:3906664:1 gene:SECCEUnv1G0528420 transcript:SECCEUnv1G0528420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAAAAETTTTSSSAHHPQQQQQQQLKPTTCNAPPSSSSSARQEEEPNKGVRHLCERGVTALPPRYILPPSDRPAPAAARGIPVIDMARLRSAAPSERAAELARLDAACRDLGFFQAVNHGAGGKARAMLDVAARFFALPFEERAAHMSPDIRAPVRYGTSFNQLNDGVLCWRDFLKLLCNPARLHDVVPSWPHNPSDLREVMSAYARANQGLFRELMEAALEAMGITGEGVLEELDAGTQMMMVNCFPACPEPELTLGMPPHSDYGFLTLLLQDQVNGLEVCQGDDDWLLVDPIPGALIVNVGDHLEIFSNGRYKSVLHRVRVNSTQLRISVASLHSLPPERVIGPAPELLADGTQRRYMDTDLTTFLDYLASAEGKHKTFLQSRRIPT >SECCE5Rv1G0329360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:515525989:515529273:1 gene:SECCE5Rv1G0329360 transcript:SECCE5Rv1G0329360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPNVKAETMRLMERRSALEAEMDGIIAALSAPGGAGITGSLVDAEGFPRADIDIPAVLAQRRKLGELRNDHKDVTNKIEKNLEVLHSTKLSRNEQSTPRSSGISAPAHGGLSQNDPMEEDLVTRLPFAMIDDIADGSPAALDGLLLGDEIVKFGSVEAGGRLQERLVSEAVSNEDNQVSLLIIRQGSPMNLTITPRKWHGRGLMGCHFRIL >SECCE6Rv1G0442170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814256967:814259423:1 gene:SECCE6Rv1G0442170 transcript:SECCE6Rv1G0442170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPHHLLSVSVSVYTLCLCYSVSLLISGAPPAGLATVLSFSFNFSNGSVDLCDTELRCERDTHMGSGAIELTKNDVRANLYSLGRASYVHPVTLWDNTTGEVASFSSNFTFQIRFKNETDQQLASLCGLSSEPNDGVGDGMAFFLAHYPSRLPPNSVGRNLALFNDNNNFDATGDDRVVAVEFDTFPNSWDHSDNHLGIDVNSINSKAYANVTKRLVSNDDAIMTAEVSYENLTGVLVALLHINGDGPPYIVNTSVNMKRDLPRQVSVGFAASTGACIERHQVMSWSFSSTLDDATVAARTIPRRRPLVHVLVPSVVAAFLVLLCAAAVLGRRIWKKLDEDKERDQAEFERGVGPRRYRYCELAAATKNFADEGKLGRGGFGNVYRGDSLSDQDRPVAIKMLSAESSTQGRKEFESEVKIISRLRHRNLVHLLGWSDSREGLLLVYELVPEGSLDRHIYNTSCLLTWPERYRIILGLGSTLRYLHTEWDQCVLHGDIKPSNILLDSSRRTKLADFGLARLVEHGAGPLTTQVVMGTVGYIDPEFTRTRRPSTEADVYSFGIVLLEVVSGRRPKMGTGEPADKAIPLLRWIWDLYEKGAIVEAVDERLKGEDRQLDDLDICKGQMHRALVVGLWCTHPHPGARPSVIQLMNVLQSEDVTLPALSRPAPADINTGSHGYNNASTSSAIACSDVSWASSGR >SECCEUnv1G0557180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:320130471:320134162:-1 gene:SECCEUnv1G0557180 transcript:SECCEUnv1G0557180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLELFITACMPVLNMLLVTGVGSFLATDSAGILGKEARKHLNYVVFYVFNPALIATYLAKTITMESMARLWFMPVNVFFTFIFGLIFGWIVIKVTGAPLKLRGLILGCCSAGNLGNIFLIIIPALCKEKGSPFGAPDVCQTYGLAYSSLSLAIGAVFLWTGAYNIIRANSNVTEEDGNSPITQTKVLVSGGTRCADSEDNHSISSDHVDECALPLISNPTKTKVPLLERAKGFISSVSGAIDLKKLFAPSTIGVIIGFIIGGTPLIRNALIGDGAPLRVLRESGTITQLFGVGESECSVIFVWVYALASVAVTMWSAFFMWTLS >SECCE6Rv1G0422780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:691245853:691252873:-1 gene:SECCE6Rv1G0422780 transcript:SECCE6Rv1G0422780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAIHQFAECITCHAWMIAFCPNTTEVHIYKFFTDKWEKLHVLAKHDQIVSGIDWSRSSNKIVTVSHDRNSYVWTQEGQDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCICYYEQENNWWISKVIRKKHESSVTSVAWHPNNIHLATTSTDGKCRVFSTIIKGVDTRGPQAGASVDWKFGEQIAQLDLSPTWAFGVRWSPSGKTLAYAGHSSMIYFVDDVEGSPAAQNLALRDLPLRDILFVSEKMAIGVGFDCNPLIFAADETGLWSFVRYLDERKVTPSTSKASQLSEALGKLYGQSRQGSSSDTVEPSKPRGGAHENCITCIVPLRRGSESIVQRFSTSGLDGKIVVWDLENHITIPK >SECCE2Rv1G0106620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:637854281:637858766:-1 gene:SECCE2Rv1G0106620 transcript:SECCE2Rv1G0106620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN [Source:Projected from Arabidopsis thaliana (AT1G55870) UniProtKB/Swiss-Prot;Acc:Q9LG26] MQCRRRLAPLLRRPPPKTLLPRLLSRLVSSSSSSSPPPSSGGGDEAGDGSVAGVAVKQVTRGNLAEALEELRARVREAAFVGIDLEMSGVTSAPWRDTFELDRADVRYLKLRDSAKRFAVLQLGVCPFRWNPAKSAFVAHPHNFFIFPRKELPYDSSSHEFLCQTTSLDFLAKHQFNFNTCFHEGISYLSRAQEEEALQKLNVLHHDGISAFPNTSKQEEDVQLKSTADLLFSERMKIRFNEWRDAISTNARVDNHKLGSNKLNTNQFQTVFFKMRPAIMLDGFTSHQLKLIQQVLRKNFRDLMYVCTFGEDETSERRVVYTDNNEDSILLMKDVQEDLLRRRKAKVESAIGIRHVIDLIASERKLIVGHSCFLDIAQVYSKFVGPLPSSIKEFALAINRIFPHVADTRHLMSASDAVQHLMRQKSKALSSAFSLLCPAFHSTAGEASIPAPVRIEVEADETTLSCFASGAKHEAGYDAFMTGCVFAQLCAHIGVKFEDFTPKENLEMNKKLKKYINLLPPSFNSGTVLDLRTGVERPDACYKLRYPAAVHDNIVLIWGFQSGLSAKDIKGCICKVFGPASVTTVFSIDSTAVLVQFSKQESVNDFMDLKATLEKQDSAISVLHPLSTILEGGQTRVANYDTYADICSSSVTKYLFADQANAVCSTSNSELRGENVDAGDAPGTDSILNDTAHTFVNQAEGTKHSSKKQDATDISCQDILDALQDGKTLFGKQTRQT >SECCE1Rv1G0036520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:510348284:510350067:1 gene:SECCE1Rv1G0036520 transcript:SECCE1Rv1G0036520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATVRSTLPSGFLLLLLSTALASAATAPRLVLLAPSGSHTSCLDNPPDLTAAGDEAGELVRDLGGLQAYVTGPRSSPRAIVLASDYFGFEAPKLRKIADQVADDGYLVVVPDLLHGDPFRADAKISFQDWLKTHSPVEAAEKTKALIAAVKKLGVSEVGVGGYCWGAKVAVELSKSEEIQAVVISHPSQVTVDDMKEVKHPIEILGAELDQASPPPIVHQFEQALDQNNKIDHFVKIFPGVAHGFACRYDANDAFAVKTVEEARADMLSWFNKYLKKHQELSLRES >SECCE1Rv1G0063820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726972574:726973173:-1 gene:SECCE1Rv1G0063820 transcript:SECCE1Rv1G0063820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRIVSSLADVDAALQDLHITQMNQANQVRFQLDERAPLEEAAMIGERTRPGRHGCILVNPELLECKTKTKGTLERDFKNMLAASLKRMDQELLGVEASIAALKVLLLKNDNQIPQDPSFEQPYATQQERDEAAARDRRAQRALWHAKLEILEMRQSILKDKRSETMSKMTELFNRIMDEPSHLGAGYADRAYPPLV >SECCE5Rv1G0376590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872997116:872997472:1 gene:SECCE5Rv1G0376590 transcript:SECCE5Rv1G0376590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDIGYFSDGAFNTTFPEGSYVEMGGRVLNTRPGGKHTRTPMGNGMPACAGSRFAATIFEYLGVDAEGELFLDTPDRTVTTTPGCYGANLIGYSAGAPGYVVAYGGPGGIYCDKPCC >SECCE2Rv1G0129610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:869554454:869555917:1 gene:SECCE2Rv1G0129610 transcript:SECCE2Rv1G0129610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANVLLLPEPGSGHLMSLIEAGKRLLAHGGDGLTVTVLVPRPATPESASEVDSHVRRVAASASGLGIRFHHLPAVDPPTDCAGNLQEFKSRYMQLHAPHVRAAVADLGAAALVVDFFATAVIDAARELGVPTYVYFTSTAALLALTLRLPALAVDSAATDDGAVDVPGMPPVPAGSVPGFLGDKESPNYAWFVYHGRRFMDADGIIINTVDALEPGLLAAIAAGRCVPGRRAPPLYPIGPVIDHAVEPSNEHCVRWLDAQPRASVVFLCFGSLGWFDRAKAHEVAAGLERSGHRFLWTLRGAPAAGSRHPTDANLEELLPAGFLERTEGRGLVWRRRAPQKEVLAHAAVGCFVTHCGWNSTLESTWHGVPLVPWPLYAEQHLNAFELASVVGVAVAMEMDRARDNFVEAAELERAVRCLMGGGSEEEQEEGKMAREKAVEMKAACRRAVEEGGSSYAALHRLRHAIRSGARTCDGAASPSPTETS >SECCE4Rv1G0263440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:716752258:716755079:-1 gene:SECCE4Rv1G0263440 transcript:SECCE4Rv1G0263440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGVMGPVIRKLGELLVGEYNLEKRVKKGVQSLLNELEMMHAVLRKVGEVPSEQLEEPVRIWAGKVRDLSCDMEDAVDDFMVRVDEGSSSNPTNMRNRVKKFLKKTTKLFGKGKALHQISDAIKEAQDLAKELADLRRRYELDTRSTSNGATIDPRVLALHKDVGELVGVDRTRDELIKTLICEDGSSKEQLKTISIVGVGGLGKTTLTKAVYEKIKAQFDCVAFVPVGQNPDIKKVFKDLLYELNKAKFTDIHNTSKDENLLIKEIREFLVDKRYLIVIDDIWEEEIWRYINCALYKNKLHSRVVTTTRNVSVSEACLSSSDDMIHKMKPLSDEDSRILFHRRIFQSEAKCPEDLQAVSREILKKCGGVPLAIITIASLLVSNQRIKQKEEWMHVHSSMGRGVTEGGIVKDMKRILSLSYFALPWHLKTCLLYLSIFPEDFEIERDWLIWRWLAEGFIKCDKEETRLFETGESYFNELMNRSLIQPAEINEEGTVVTFRIHDMVLDLICSLSSEENFISILDNAHWHAPNLQSKFRRLSLHNINAKVQNHQFDSTRLSKLRTFAVFSPVTCDWLPSLSSFQFLRVLDLGKCGSRESSSGISLKYVGNLIHLRYLGLRNTDVCELPMDIGKLQLLQTLDIRDTSILELPSSVVQLRNLICLCVNYEVRLPKGMGSLMSLEVLEQVGLSSSAHIVKELSHLTEVRTLSVDCENMDEDLIDILIKSLGNLHKLQNLYIVDGGRLIDRMCESWVPPPNLRCFDSWEPICPAWFSRLPKWVTSSSLPHLSNLQIDVEERKGMTFRSLGCCLLFGFWGCVQVA >SECCE2Rv1G0102940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:585542068:585544506:1 gene:SECCE2Rv1G0102940 transcript:SECCE2Rv1G0102940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVLLALLCALHAPASSASTATYSLSPGQSLAGDDKLVSSGGKFALGFIQIPGSDSKPSGSGSNNTQLGIWFNLNRIPKLTLVWVANGGGSQVAGAASPKLTISGDGNLVILDHGKVAWSTQANVTASNNRTALLLLDTGNLVLSSASNASDVQWQSFDHPTDTLLPGATIGLDKVTGRSRRLVSRKNRIDQAPGAYSMELGRSGVVQMLWDSSVPYWSSGEWNGNGDYFSSVPEMTVRHLLGFTFVDDDREVSFAYHLLDETLTMYSFLDVSGQRKILIWQDATQDWVTVYIHPTTQCEVHAVCGPFTVCDDSAPLPCTCIKGFSVDSPEDWDLDDRSTSGCRRNTQLNCASISNGTMVGLADMFYAMPAVRLPYNPHSAAGHVTSAGECKQVCLSNCSCTAYSFGSDGCSMWHGGLLNVKQHQIDGASSGDGEILHIRLAAKEFRTQKNNSIVVILGAIGAGLNALGILVLIVVLRRTRRNKRYSETLDNIHGGSGLVSFRYSDLRRATGDFSEKIGAGAFGSVFKGSLNDSITIAVKRLYGCYQQEKQFRAEVSSIGILHHTNLVKMVGFCCEGDKKLLVYEHMPNSSLDTHLFRSNAKTLNWRTRYQIALGVARGLAYLHESCLDYIIHCDIKPQNILLNELFVPKIADFGMAKLLTRDFSRVMTTTRGTIGYLAPEWISGVAITPKVDVYAYGMVLLEIISGRMNANGECSSSGDGIVYFPIQVARKLLEGNVMSFVDDRLNGDVIVDEVERACKVACWCIQDREFERPTMGKVVHILEGLVEVDTPPMPKLLEAIAGRSHSART >SECCE5Rv1G0358160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:754390973:754394608:1 gene:SECCE5Rv1G0358160 transcript:SECCE5Rv1G0358160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREETNKKSKVSWSKSLVRKWFNIKGKAQDFHADYDAATQGRDGGDERRTSCSQRDAGAAKKSRTDRSLKRNVDRVRRSRNEFDVSRLTETQDYRIFASTWNVGGKCPSRGLDLDDWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNVPAKKWVSLIRRTLNKNPGPSSHGGYRTPSPVPDPVVELDADFEGSLRRQDSSSFFHRRSFQNLSRSLRVSGNDMFSQPRLDRRFSVCDPVNIGGRPSDYDGNFQCMGSPGDEYIDEDISNGAYFSPFPYGYGTSTPMEEDDEQPNTSRYCLVASKQMVGIFLTIWVRSEIRNDIRNLKVSCVGRGLMGYLGNKGSISISMSLHHTTFCFVCCHLTSGEKEGDELRRNSDVLEILRKTRFPRVRGCGDVKSPETILEHDRILWLGDLNYRISLPYSSAKVLVETHNWRQLLERDQLRIERRCGHVFPGWKEGRIYFPPTYKYSFNSDRYSGYGVRPKEKRRTPAWCDRILWHGTGLIQLSYVRGESRFSDHRPVYSIFMAEVEILHQRRRNMGYFSSRVEVEELLPYSHSHGNLKFY >SECCE3Rv1G0197390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:817861250:817862654:1 gene:SECCE3Rv1G0197390 transcript:SECCE3Rv1G0197390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSDMTSEDSVLNISSSCDGIIRLPATMHGPNFNGTDADVNVLCEHGEPAQLFVAFEGMHMGRRFLGCAKKEGINCGVVQWIDFEWLDSMEKALAKLWDVYEEIKSARTNDNLESSFAIHNLAEEKKKLQENYDSLYADVNALLDAQQQRGVELTNQKEQKQYLVLKIVELESVVGNLKAELSKKEEEKNKLQENYDSLNADVNSLLDAQQQRGVELNNQKERKEYVDVKIVELETVVGNLKAELSKTEEEKKKMLQKYDTLKNLTGAQTNVIRNLKFNHLKEKERLTEERHKLQHHISEL >SECCE5Rv1G0366780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815837292:815837669:1 gene:SECCE5Rv1G0366780 transcript:SECCE5Rv1G0366780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQEEELNLGLTLRTTAAPEPDVGFFLCVYCKRKFGSSQALGGHQNAHKYERSVAKRQRVIAAATRAHAVLAAAPAAAQDERQPGYHRGGGGDFMSAAGKAHTHGRSSSEYGYGADDVDLALRL >SECCE6Rv1G0408160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:568915900:568916829:-1 gene:SECCE6Rv1G0408160 transcript:SECCE6Rv1G0408160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSMAVALLVCAMLLQTCVATRRLTALVQEQPITMKYHKGALLSGRIAVNLVWYGNFSAPQRAIVTDFVSSLTSAASPAQPEPSVATWFKTAQKYYASSKARFPALALGSHVFDQSYSLGRRLREKDLVRLAARGGPSRAINVVLTADDVAVDGFCMSRCGSHGASPRSRAGRFAYVWVGNPATQCAGQCAWPFHQPQYGPQTAPLAPPNGDVGVDGMVVSLASMIVGTVTNPFGNGFFQGPAEAPLEAATACAGVYGKGAYPGYAGSLLVDPATGASFNANGAHGRKYLVPALVDPDTSACSTLG >SECCE5Rv1G0359250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:760822930:760823430:1 gene:SECCE5Rv1G0359250 transcript:SECCE5Rv1G0359250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLTALVLLALASAMAVTAQNSPEDFVDAHNAARADVGVGEVTWDDTVAAFAQDYAEQRRGDCELIHTPDGRPYGENLYGGGGTEWTAADAVNSWVSEKQYYDHGSNTCSAPEGESCGHYTQVVWRDSTAIGCARVICDSGDGVFIICSYNPPGNYVGQSPY >SECCE4Rv1G0269000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:746848537:746849238:-1 gene:SECCE4Rv1G0269000 transcript:SECCE4Rv1G0269000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNATGAAGRNYDGEHNGGTGYQRQRQWRAPHPHSSRAYRSGVWRWAMAVVFTVLAVLVLVAAVSVLLVVLVLQPRAPYLAVQSARLGNLVYDQQGVLDNAELELDVRAANVNAHAAVAFSELELRLSFHDMVIAILRADPFVVPPRGERPLGYVASSEAVPLDGPGRAAMEGALNRGVVPFRVNGQARTRWKVGGVVAVKYWTRLACQIRFFWPNGTALDFTCNSKSRSRY >SECCE7Rv1G0485110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:358711658:358714451:-1 gene:SECCE7Rv1G0485110 transcript:SECCE7Rv1G0485110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSVKAPVGRWQKGKDLLWYTRDKQSDSEDAMKEEIRRVKEEEEQAMREALGLAPNRSSRPKGNHLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKAPHNEEEPSSLSPDPPESKPEQVDFAPATKQENSEDDRKGKRRREERRGDREKERRREKHYEGKERKRDKYESRHDSEDREKRHRKDKKQKRHDSD >SECCEUnv1G0564270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:382039993:382042748:-1 gene:SECCEUnv1G0564270 transcript:SECCEUnv1G0564270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNHYDESYVDCFINFDTMEEPSMEDLLGTRQPRAVAAQPQQDAATLVHQAHPNLAPDVAQINLAAPPSGDVGVQYLQAPAPPPIQHQQLPYESYFPGFHDEILMPEPIPQVHPSIQVQDAPSVHMQHHQAPAPAPMHEDDQYRHVQAAPADHEDHQMLDESLLHDLMQMLTPTADVHLEAAPSDHVQHLQAPATAVQHQHALGHHFHGQMPGPGEAWVHMQHRQAPTPAQMPYQPQAPAAPSDPELAPVHQMQDKSSDYSFGDILLDDALLQDLMQMPSPMAHDIQAAPAGHMQHVQAPAPAVQHRQQMPAESSTRGLQDQMQGESAARRFRAQVTDEASTCGSSRTPMPACQDDILSPCSSGCSSMVREYLMENDQFDIAEAPLMSDGGSNGVPSVGFMEDEEGFVPLVPGRLQCSQCHVVRQIRFKCEIPLIHIFLHSVTHTSFEDGIQNHNVSARGTFEHAILDRHHFAVGGQVPRAERMYIDFRRRTGEFVLNFLANIVGALRMEMGGTLEDSYETVQRAPARSNINPRPELRNDALQQVETTMLNMIINSTAVNAEAAQPAPPPPAAEPEPAIAATTNIVHEILTPANIFDSSDVVPEEHPISEAAKLQQEGTASLSAQEEGEMEMRQYLHEMMLKARRELDMPYGPVQKFCRGNTYTWMWRRISTLNRRIINFEEKSLNVTLNGLLRIKTEVHEAVAEKERLLAEIVRGMKKQRESRGKNDREAGTSGTKKAGGASN >SECCE1Rv1G0011970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:84802262:84802564:1 gene:SECCE1Rv1G0011970 transcript:SECCE1Rv1G0011970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWGKSTKNPAPPAGEEVAVQKVEKIEFHNIVHIKPPVGAGGGAIRNAWKPQPWTAGAGSSGAAKKPDSPGVDINKRASRFIEDTRNRWRLGIKSFRGR >SECCE4Rv1G0228170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:131780484:131784059:1 gene:SECCE4Rv1G0228170 transcript:SECCE4Rv1G0228170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNPISVRLDLNRSSDPSRFNVNLRSYFSSIRPPTKLAYGFRLGRCIILHFPKRTFIHFFLPGRSPRLKRKQDKKSRPAVLQEKGWWPTFGKVGPIGCLHSIEGTEEERNEVRGRGAGKRVESIDREKQNEIRIWPKKMQRYGYHDRSPSRKKNFDKSLRVSGAFKWPQYAGVVNDIPFLTGNAAYLLKGNRIKFFLPKKSRSDGPTSHLLKRTLPAVRAYLLKGNRIKFFSPKKSRSNGPTSHLLKRTLPAVRPSLNYSVMQYFFNTKNKMHFDPVLVLNHFVAPGVAEPSTMGGAKGGSLDKRIRSRICLARAKKRLIHFIRQANDLRFAGTTKTTISLFPFFGATFFFPRDEVEVFGATFFFPRDGVWVYKDPFCEYAREQLLAQFRIKCRNLMGKDKVMELIEKFIDLGRIGKLIKGIEMMIEIILRKRRIPYGYNSYLNEVQKMRSFLSNRTNTNTLIESVKIKSVYQSASLIAQDISFQLRNNPISFRSIFSKIVKDIPLIMPKGVEGIRICCSGRLGGAEIARTECGKYGKTSCNVFNQKIDYAPAEVSTRNGISGVKVRISYSQNKKGRAISETYEI >SECCE6Rv1G0450210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:866934591:866941169:-1 gene:SECCE6Rv1G0450210 transcript:SECCE6Rv1G0450210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPVGQEARRLCAVTGGRGFMARHLVAALLRSGEWRVRITDLGPDVALEPAEDDGLLGSALRDGRAAYVSVDVCDLAQITQALEGVDTVFHTATADPIKNNFPLHYKVNVEGTKNVIEACNRCKVKTLIYTSSSGVVFDGVHGLFGADESTPYPDKFPDAYTQTKAGAEKLVMKANGKDELLTCCIRPGSIFGPGDTIVPTLVSYGGMVIIIGNGKNCDDFVYVENVVHAHICAEKTLSTKDGAKRSGGKAYFITNMEPVNMWDFIYMLLEELGYKSRFRLRIPLCLLRTITFLVDWSYNNIFSHYGMRQPSMLTSTRIKYVTLNRTFNCNNAVEQLGYKPIVSLKEGVNMTTEF >SECCE2Rv1G0108630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:666518390:666519227:1 gene:SECCE2Rv1G0108630 transcript:SECCE2Rv1G0108630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGDAGGGGGGEKGRRKKVELLQEAIHGLLEEKRGKQRRQGEGEEGAMDHEEDLFLSSLLSKLDTLENDVDPDGAELSSFNPAPDSSEEAGPGDVAKDLSKIKRQNMITHILLGTVMVMIAAWQFNEVSFLLAVQKKLTNPFKSVGDMVKSTLKIGKKPVVEAIEASPLPPVGVPDVARADLPMLAIGDGDS >SECCE7Rv1G0526670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:896238745:896244637:-1 gene:SECCE7Rv1G0526670 transcript:SECCE7Rv1G0526670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVVGMAKSVVEGALTKAQAAIEEETKLRQSAQRNLVYITGEFQMMQSFLKIADGDRLENAVGRTWVRQIRDLAYDVEDCIEFVVHLDKKNRWWLRLIQPVSWFLRPCVDQGPLPLDEAVDELDRLKARVEDVSSRNTRYCLIGDSGSNSTTATTTTTTTEKQASSRDAVAPPTAFNRLFEAAFKTTQKGRQWDLTQLLTKKDQDLGVISIWGTGTGTGGGEGLGLASIAWNAYVDRETCESFACRAWVKLMHPFDPLVFVRSLTAQFHATSYKEERGKSVGGVQVLMKMEAAQGGDSLKDFEQLVMNNRFLVVLEDLSTMADWDAIRRFFPNMMNGSCIILSTQQFEVASLSVGHPYQVQHLNQLSADHSVYAFFTKGSQDDTDKDIETNNAPTINNTKSMVDNRKKEAAGKWIDEHPLVGRESEKTVLGINVITARAKSYQAMSVWGIAGVGKSALVKNMFCDKILNCKLFQKYGWVDVSHPFNLWDFSRVLLSNLGSDDLVASETTADLCMMGSRNPIVECREILIQNRCLVVIDGLQSTKEWDLIKAELVTGCNPRNIVIAVTTKQEIATHCRGNKGEFVFNVKGLEADTAFELFEKVSTQGDLEERQDLTSICGGLPKVIVEVAGSFAKKPDRWKDALSTNNKFMPELENNGEFENLKGLFDWMNSYFRNCRDSLKPCILYLPIFPRNYLIRRRRLVRRWIAEGYSKDSHEESAEMNGEKQFCDLLNLSIVQQPSALGLGETRMVFCQVNGFFREYIVSRQMEENLVFELRGSCALTTQRTGRHLVISKCWDRDQTVFESIDFSRLRSLTVFGEWKSFLFSESMKLLRVLDLEGASQVEYGDLKKMVKLMCRLKFLSLRGCGEICNLPSSIGGLRQLQTLDVRHTSIVTLPVNITKLHNLQYIRAGTVATAKTVPQPSNRSGVRRPVGVVVPRGIGKLTALHTLGVVNVSASATKAFLEDLKKLTHLRKLGVSGINKSNSSKFVLAITVLVHLESLSVWLDDNNQDCLDGIKLPLPVGSLRSLKLHGLGDRLPKWREQLTMLIKMDLEIVKLTEDHVSPQSGLLTPEGKKKPTKDVLKFLSELPSLCILRLRVKQLQNDELNVSIITNDLEEDSFKKMKIFEIACGSSSSSKVTFGEKTMKKLEQLTVEFCSGSSFFGLKHLRELKEVLLKGSSCDEALKTDLLAKLATHPKEKKPVVKMEEPLRTDESIVQGRHTFCC >SECCE4Rv1G0218970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:26371718:26372968:1 gene:SECCE4Rv1G0218970 transcript:SECCE4Rv1G0218970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVCRGPAVPAFETPAWLRPAEPYKPKVVVADDRPPQVDIWNAIQADVDSKVAAAAKKPYASPRVRRSMSQKSLEICTESLGCETGSGDFTASLDAVDMACLFGSPLPTAPVEAEESFWEGSAAQESYRADDLVAVNYHSSSGRRSPRRSFPPPLPSMSSRDGPCLKMCSRRQDGRLVVEAVVVRPRGYLQANRQGGRLCLSFIECSARGQSAASKSTAPSYFPVVEDKCEPEQEVAMQVEEEEEDEEEVEVVDRGTMVEVKVSSQPQAPAAAKVHRSTLVINKFVGSTPFTCFEQARCPADAPHSEPSARDETPTLRRVPSSTTTLAAAVAVASTETGAPRTPVDGDDSDDDEDECGGQHHPSALAAADTKQQLLLFTSRRGDKHDLLQSVRRCRQLRQKPLFILEQYCIATS >SECCE3Rv1G0201030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:859314093:859318327:1 gene:SECCE3Rv1G0201030 transcript:SECCE3Rv1G0201030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGPLSRSVPRRDGRGGWRAALFIVVVGFLERIGFMGVGGNLITYLTGPLGMSTAAAAAAVNAWSGTVLVLPLVGALAADSRLGRYRAVLLAGVLYLLSLGMLTVSSMLQTQQVRPAGCHGTTITCSPPPSTSSSPARLGFFYTALYLLALAQGFHKPCSEALGADQFDSSTDTSRSSYFNWFHFSISWGYAVAATVVTYVEENVGWTVSRVIEILLLSKCETMAVFLLGKGTYRVEQHVDGSSFGRLIEKFIFSRTDATVDTQRLLETNQDVDGDGFLVKLLPIWLSSLVFTACISQITTLFTKQGSTMDRRLGGATGLVVPPAALQCCVSFTFIALVPVYDRAIVPFMRRLTGHPGGITMLQRIGVGMVTTCITMVIAALVEAKRLRVAKDAGLLDRPDVAVPMSLCWLVPQYVLIGLAEVFSYIGLEEFFYDQVPNALRSVGLALCLSIFGAGSYASGMLVWAIDWATTRGGGESWFSDNLNHGHLDFFYWILAGFGALEVVVFLYFTKQYVYGNKPE >SECCE6Rv1G0377440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:1802969:1803166:1 gene:SECCE6Rv1G0377440 transcript:SECCE6Rv1G0377440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSWPEVVGWPELNAWDQITSDRPDVSVGFYVQGSPLPPGYDPKRVVLIVNPSLVVIKTPVVG >SECCE7Rv1G0476830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:211767901:211768842:1 gene:SECCE7Rv1G0476830 transcript:SECCE7Rv1G0476830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL4 [Source:Projected from Arabidopsis thaliana (AT1G07320) UniProtKB/TrEMBL;Acc:A0A384KN11] MPVSSVASPLLLSLSASSSSFLSSSSVSFLPSPSSSSSFPHASVRGRPSASILRALRAEATTLPVINFTGEKVGEVALDIKAAPPSTARAVVHRALITDRQNARRGTASTLTRGEVRGGGRKPYGQKKTGKARRGSVRTPLRPGGGVIFGPKPRDWTIKINRKEKRLAISTALASAAVANDSFVVQEFDEEFATGPRTRDFVAALQRWGLDPREKAMFFSTELDNNVRLSGRNIGTLKMLTPRTLNLYDILDARKHFFTPAAIDYLNSRYGTTGFDEYEGDDGEDDVEEEGVEEQEEGEEITEEAAQGTYSYP >SECCE3Rv1G0209920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:941207577:941208942:-1 gene:SECCE3Rv1G0209920 transcript:SECCE3Rv1G0209920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIVGTREQFVQPQSFLRATMQLKSPTRPKLSFCFTPQGDCDQYCGLIPGLPEDLAKICLALVPRTHFPVMGGVSKRWMSFLESKELIAVRKEVRKLDECVYVLTADARAKASHWEVLGCQGQKNTPLPPMPGPTKAGFGVVVLDGKLIVIAGYAADHGKECVSDEVYQYDCFLNRWTALSKMNVARCDFACAEVNGVIYVAGGFGPNGDSLSSVEVYDPEQNKWTLIGGLRRPRWGCFGCSFEGKMYVMGGRSSFTIGNSRFIDVYDTNSHAWGEFRNGCVMVTAHAVLGEKLFCIEWKNQRSLAIFDPADNSWQRVPVPLTGSSSTRFSLGTHDGKLLLFSLEEEPGYQTLMYDPAAPAGSEWCKSTLRPSGLCLCSVTIKA >SECCE2Rv1G0099300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:514731850:514745441:1 gene:SECCE2Rv1G0099300 transcript:SECCE2Rv1G0099300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLANMFAVLDLDGEDDRKEVEQPTSSKPEAAAAAARKLDSGMMHNKMIVNYDGDKLGSSSSEYKMPLVWIDLEMTGLDVRKDRILEIACIITDGKLTKRIEGPDLVIRQSKECLDNMNEWCKTHHGASGLTEQVLQSDISECDAEKQVLDFVRRYIGSATPLIAGNSIYTDLLFLKEYMPQLAGIFPHVIVDVSSITALCIRWFPKERKQAPGKEKNHRALDDIRESIMELQYYKENIFKSRQSKH >SECCE5Rv1G0355680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:733427909:733428235:-1 gene:SECCE5Rv1G0355680 transcript:SECCE5Rv1G0355680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGRRPGHATRPPWALAAELRRREAAADARLAAARARLAEALAELERARARAAELQRRLEENYGKRRRLKRVVSATRSRIHETRALLQDPPPPQQQQQLPAESDPTS >SECCE1Rv1G0051000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:650914214:650915745:-1 gene:SECCE1Rv1G0051000 transcript:SECCE1Rv1G0051000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSEIQSYAGQPAVVGGGGGEVVVRRRRREPTLGPVGGGIGKASLPGVAVKRSSRFRGVSRHRWTGRYEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGATTYTNFPVMDYDKELKIMETLTKEEYLASLRRKSSGFSRGVSKYRGVARHHQNGRWEARIGRVFGNKYLYLGTYGTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPPVADHLLAGMHAQLPPSPVEHERFLPAEAHIMLPPTTAPGSPFVLDHSAAALGGGLRRRSSSSSSSTALSLLLKSTMFKQLVERNDPDSPQGTGGCADRVGVLPAGEGSYEYRDFFHGVSSDVCGLFSSPGNACGNAGFQGGAVASCYGGGERMAPTTTPWDGFGDIAALQ >SECCE1Rv1G0034280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:479276083:479279421:1 gene:SECCE1Rv1G0034280 transcript:SECCE1Rv1G0034280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAVGSNGHAADGRASCRENLLRPRPPARPGAPVPVRAHAVETIESSGDTAADGRQLTEDAHGDSTECSSSFGPSCSVSDDETRSGMHDMEVDSPFLGNFNVYGAASLPKTARQKQVTAEWRKAVRPIMWRCQWLEVRMKELSSQVAKYDRELALISHEKDLQSEMISSGPELAKLDAQGHDRNIMKRRQRQRLEDIVDTSLYMDSHQILSYYHESKNRNSGAETDGLLIFDNAVVEDTKRRVPDNTLPESKETDRILEQYCLTDILRTIDGIQSRVLRLQGHLSKVCSNHAQVKVPPKSQKAQTQLASCKKDGHRQKKRDLNSLLQEEDKPRPLVGVPTVLSDRCTDHAMEYGKRNIAEEGATQPYAKEVTFETLFGADNPLIHHTHLGELYKENADDVLIDNRAAQVEGYQQFEKVKQKAQNHVELANKVADTLSRGEETVARQVVKLEPVYEIAPSVKQVGPGNKQSKKPKKTSGSSLPPLKEQMEKSPDVPAKKRTVKDLHNLKNEKPVFVAVDMRRSQRVRKPKKYGSD >SECCE1Rv1G0047120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:621710675:621710992:1 gene:SECCE1Rv1G0047120 transcript:SECCE1Rv1G0047120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPMRCACCDFKEECTQEYIKSVKANFGGEWLCGLCSEAVGDELSREGRGQDGVKEAIKAHMAFCRMALSSPAVRVAHGMREMLRRSSRDKGSSSTSAKSCSL >SECCE3Rv1G0185910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679263122:679265093:-1 gene:SECCE3Rv1G0185910 transcript:SECCE3Rv1G0185910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKDGGSVRATMSIFGESISGRRADKNRAQENLSSEMKQLAKSDMDKLNERKASVDNERAGAESELSRARAMAKELERQIDQTKAKATSQRSVLQATWTRKNGAEEASDAQHAEVSQELDRVKRELRKLKLEVKSAAEAKAKAESDVVATVCKIQSNLQAADEMKRRVDEANEEHVLVELARIEAERERRELESQHVAEAERFAREIEAARAKVKEARREASRARELEAKLEATNADVEVLQGEMELVRAMGKHHVPNDGAAEDTTRHKKEDAPDRALLQAAEAELSVAKNELESIKAGAFQFMTSMDRTRTEIMGVVQEIDRLKAQEKNADAQVQQLNAKLLKARAQLEAVTAADERSKAIVSNLTAAMQQLHAETEAASKEEELTMLEKRCVLAEADNVAAEMATAEERTRQSVKELEAAKASEASAMKKLKAAVESTMQARASAAPRRQGTITVSRFEYEYLSGRAALVRVVADKKVAAAQAWVQALKASEKEVAMRAEAAEREMREMGPREAQAASDAEKTAGEQKALEQELYDLNATAESVGLQCAYPRRRSSRVSATSKRSKGRRSSVSAANWNPKSPSFTIKRKKKMMPSLLKLIKEKRGGSDKSTN >SECCE4Rv1G0246910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:550872990:550876912:-1 gene:SECCE4Rv1G0246910 transcript:SECCE4Rv1G0246910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTEPAKGLLPYLQRADELQKHEPLVAYYCRLYAMEKGLVIPQKERTKMTNSILVSLINQLEKDKKSLTLGPDDHLHLEGFASSVFAKADKQDRAGRADINTAKTFYAASIFFEILSQFGELQPDLEQKQKYAIWKAAEIRKALKEGRKPEAGPPGGDKDEAPASTTTIDHDMGRSQSFGSRQNGNEASPHPVDKDFSRRDSFSAVQPGNISSRQGAEKFNDHVSGQAHFSPQPPPSEFSSPQSQFSPPPHSSYSSPSYQGTDYPPPDVHTSHPNYSSPSYTSTDYPTNEVHKPTSNYSPPPYTRADHPSDDGYNPQSNDKPDVSAYPQTYHQPPYTIEPQHTSQNYYATETPPAPYNYPNFQSYPSFQDSTLPSVTTHQPSFHPASDGGATAPSYSPPASNHPAPTQYHPSVDATHQVTPTAAPPASQYAYDSNYQPAVEKIAEAHKAARFAVGALAFDDVSIAVEHLKRALDLLTNPSAETH >SECCE6Rv1G0423840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697664158:697664709:1 gene:SECCE6Rv1G0423840 transcript:SECCE6Rv1G0423840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFIYPRCRAGVDQRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLQKKVRKLEDQAQIAIPICNYFWAVVGMVLALVIMLKMYGKA >SECCE4Rv1G0230170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:159222896:159231000:-1 gene:SECCE4Rv1G0230170 transcript:SECCE4Rv1G0230170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASSSARTVAACVIGGIVLGASVVALHLGTGPAAPSLPPVEALRRRFRRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDDEITANKGPPVTPLHERMKMVRAVKWVDDVIPDAPYAITEDFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERPVSDNQSHSSLQRQFSHGHGQNIDDSGFGSGTKISHFLPTSRRIVQFSNGRGPGPDSRVVYIDGAFDLFHAGHVEILRLARGLGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWHISKDMITTFNISLVVHGTIAENMDYTEDDSNPYAAPIAMGIYHKLDSPLDITTSTIIRRIVSNHEAYQKRNEKKEASEKKYYDSKSFVNGE >SECCE6Rv1G0451740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873402705:873403795:-1 gene:SECCE6Rv1G0451740 transcript:SECCE6Rv1G0451740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDLHGLNGDASQRSQTMARLHEACKDWGFFWVDSHGVDAVLMEEVKRFVHAHYDDHLKDRFYASDLARDLQLPAEESKTVSDQVDWETAYFIRHRPTDNVADFPEIPPATREMLDVYIAQMVSLAERLAECVSLNLGLDGGLIRDTFAPPFVGTKFAMYPACPRPDLVWGLRAHTDAGGIILLLQDDVVGGLEFFRGDREWVPVGPTKGSSIFVNIGDQLEVMSGGAYRSVLHRVAAVAEGRRLSVATFYNPGADAVVAPAPTVREPQLYPGPYRFGDYLDYYQGTKFADKAARFQAVKELFGSRILHD >SECCE1Rv1G0038670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:542041282:542041683:1 gene:SECCE1Rv1G0038670 transcript:SECCE1Rv1G0038670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARNVVMCFLLILVLFPDHGSAGCWVNSNGGPLCIDFLCKATCLIAEKMFNCVLKEHRCEGTPLDSECRCYLCDK >SECCE5Rv1G0338010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:595683344:595691735:1 gene:SECCE5Rv1G0338010 transcript:SECCE5Rv1G0338010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRASDDVKSEVLASSSGGATAPLLLRDAAANAKVQGGSLFMVLLSTAVAVCGAFEFGTCVGYSAPTQSGIEEELGLSISEFAIFGSVLTIGAIIGAVTSGRLADSLGRKMTMRIPATICIFGWLSIHFAKGATMLYFGRTLLGYSTGVLSYVVPVFIAEIAPKDLRGGLATSNQLLIVCGSSSTYITGALVGWRNLVLVGIVPCALLLVGLLFIPESPRWLAQVGREEEFHASLQTLRGENADISEEAIEIKEYIESLQSFPRARVQDLFLSTNIYAVIVGVGLMIFQQLGGINGVGFYASSIFSSAGFSGKLGTILIGIIQIPITLLGAVLMDRSGRRVLLMVSASGTFLGCFLAGISFYLKAHGLFLEWVPALALSGILVYIGAYSIGMGPVPWVVMSEIFAINIKAVGGSLVTLVSWLGSFAVSYSFNFLMDWSSAGTFFMFSAASLVTILFVARLVPETKGKTLEEIQDSWN >SECCE7Rv1G0482130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:301220859:301222349:1 gene:SECCE7Rv1G0482130 transcript:SECCE7Rv1G0482130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTESAQRRASSTAPRRSVGCMAGLLRLLSPYHRHRKRLTAKNATPEASLPPPSPPPMKKPAALPSPTQAPGKPQMPTAVRRRRSCEAPRSPTIAPEYRRASCDSPRPPPPAIVARLMGLEESAPASPATTPRPRPLPTRPPPPPPPEMAAEKRRKLLGALEKCDDDLQTLRRIIAAVRAAELRSAAASDVSPAPAGEGKGAKWMDGSSPLQQKPRAGAQYPSPDSVLDAITSPRFPCRKRSSPCTHLDADCKPEISRCGNDALIVRSKTVKPSRTLVFSGDYCKIKQYCNELHAMAMYHYPATVAAMDGMPRWTPSAAEAKGWQHRRRWGLQGAERGRAMVESVGEVWGQGAGEERWEAGLVGAALERAILQELVLDVVTELLGHSEREAHAPFGQSGRGHDHGGGAMCRKRLCF >SECCE3Rv1G0199300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:843816096:843819200:1 gene:SECCE3Rv1G0199300 transcript:SECCE3Rv1G0199300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSLFFFFLALFLQHLHISVGLPGRSLAAATEQWQPMQCDDASLNPSSCSSYLYVTPQGRSLSEIASIFNGSASLTQPIKRRSGSEDLLIRVPCMCGAINDTMSGLFHGTEYKVNLNDTADNINGNFSGLAWNIVATANKTITVHLLCGCSSMASEGVVSYTVQSGDTLSNIATLFRSGSSEILSLNAWVTDPGFIKQGWSLFIPMGVAGSSKRKFGGLPIIIAVSISAAIMLLCTLTIVLRLRRRSLVPNVEVPKKEMERVPSNTSIAILESRYFPSKRIDDIDPFQTERPVIFSLKAVGEATANFDEKRKIGEGGYGMVYLGFIGTHEIAVKMMKDSKSKEFFAELKVLCKVHHINVVELIGYASGEDHLYLVYEYVQNGSLSEHLHDPLLKGHQPLSWTARTQIATDAARGIEYIHDHTKACYVHRDIKTSNILLDEGLRAKVADFGLVKLVERSDEEDCLATRLVGTPGYLPPESVRELHMTTKSDVYAFGVVLAELITGLRALVRDNKEANKTKSLISTMRKAFKSEDVESSLEKIIDPSLKDNFPIEEVCKLVNISMWCLSEDPLDRPEMRDIMPMLSRIHLTSIEWEASLGGDREVFSGVFNGR >SECCE7Rv1G0461410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:37643620:37646061:-1 gene:SECCE7Rv1G0461410 transcript:SECCE7Rv1G0461410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPEIRHRQVEANGITMHVAESGPASSAAAPAVLFVHGFPELWYSWRHQMVHLAARGYRCVAPDLRGYGGTSAPPDPASYTAFHVVGDLVALLDALRLRQVFVVGHDWGAIVSWNLCLLRPDRVRALVNLSVAFMPRHPSAKPVDYFRRAYGDDYYVCKFQEPGYEAQFASLDLKRFFKMAITVQTTGSSAMSLKKMQASNSQITLPSWLSEEDVSYLASVYAKTGFAGGLNYYRCLDLNWELMAPWTGAKVQVPTKFIVGDGDLAYHHPGVKGYIHNGGLKRDVPMLDEVVVIKGAGHFIQQERAQEISEHIYEYIKKFSTDRKLSKL >SECCE7Rv1G0484600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344135711:344136442:1 gene:SECCE7Rv1G0484600 transcript:SECCE7Rv1G0484600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRSSSVPSSPCSGETNVERQLQSLQTAVSSPSSTIEIMLDGLRRLGDIYDCIDDLTSLPRSQALICKPQQRIAVEQELERSLVLLDLCDAVQVSFSELKASVQDMQLVIKRGDDAALQAKIQSWFRLTKKAQKQFKKISKRSSPADVESCRVVGQLAEARDVAVTMIKSSLELLSKQIAAPNSSRWSLVSKASQKKSVACKEEQLQVLELDIADLESGVESLFRRLIQSRVSLLNTLSL >SECCE7Rv1G0468600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:100184609:100185187:-1 gene:SECCE7Rv1G0468600 transcript:SECCE7Rv1G0468600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVISQQRSHHHHHHSGRRKKPSQHSSSPQPMRGYHAFNCRAFHSSISIGILPSPPPPPPPPAPRARTYSPEPKTPKQQLHNGKKRSRAIPITPSGSPPSRPELWAGPAYSNSPPPSSLPIPKFSLHQKRSVSLELPPADRPEHVEVLVHAKSAPSTPTAGSGLGFFGDSDTAIATENLRRILNLEITED >SECCE1Rv1G0048270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:633532244:633532836:-1 gene:SECCE1Rv1G0048270 transcript:SECCE1Rv1G0048270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSEAQEELVLSSWKAMKPDSESIALKFFLRIFEIAPAAKPMFPFLRDAGEDTPLESHPKLKAHAVTVFVMACESATQLRKTGDVKVREATLRRLGATHVKAGVADAHFEVVKTALLDTIEGAVPEMWTPEMKAAWEEAYDQLAAAIKEEMKLAAAA >SECCE4Rv1G0270710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:756196287:756197420:1 gene:SECCE4Rv1G0270710 transcript:SECCE4Rv1G0270710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGGATPLLPGLPDEITIWEILVRLPPKSLLRCRAVSPAWRRAASTRDLLLSHHARQPALPLLYSHNDVTDGGQSLDVTLFDHRAGVAAAAADQFQRVARLKPAPLEGGIDGSFAPLFYPVASCDGLLLLSIDRDLCICNPVTRQYAPLEQLDGFITVGLYPHRPTGQYRLLLYHESDQRAIYVFSIGSNQLPRHIGCHHPLAGDGLLFRGSLHWHAGDRIMVFDTTTESFRQIHSPVAREEDYGRLFEMDDMLGIFFLFYDEKTTIDIWVMQDYQGEAWAFKCRVELPVAEIREQCRNYSHYVDVMVVHGDGELLVLVGFEDWLFQVDVDGKLIAGFHARGLPSDNIVHKHTLVQHTFFPRLKSYVVNDSPFI >SECCE3Rv1G0143500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:935147:936295:1 gene:SECCE3Rv1G0143500 transcript:SECCE3Rv1G0143500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLRPWADLHSDLIIAIVDHLRSLRDYVSVRRVCAAWRSALPSTSPCLLVLDDMQPPVSTPVQQHLGFPLYNTCAYALSIPLQRTFHLPMLRWHSSSVTGSTRGYLISANGVHGRRPGVLLLNPVTGEQIQLLPLPSKHHLYPRRVVLGPNPRPGCYTAAAIFYHDYATKVAYVTSGDAEWTFFNVAVNGAKLVDLVYDAEHGRVYCLDERGDVQVLHIPRCGPTQQHTVTPLLAARVAPTFAPPYQAVASKISFMRLFFCEGALYQVWQNTGVTVQFRLPAGGVFTMSTDAILVLKHYPERWPWWDVVTDLGGYSVFIGRNNTAVVQAEAALGVRPNCVYWIDSAQCTPWVFDMSTRTSKRCVLPPSIGRPRCWYFNND >SECCE7Rv1G0482950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:316161248:316164801:1 gene:SECCE7Rv1G0482950 transcript:SECCE7Rv1G0482950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVSSVREDVSTGSRTPVSSPSRTNGVGACDLDGLPREISNGKVSYRSIGNFSRQTVSDSPEESNGYFRDSHAFDELTDFQGLRIDDFRDANYISSHKLQPTVRMPTTRVVGFESNCIGGSDTAELDTICPSVASHNFGSSCDQHELQAKKILLSPLKNVLSRKFHGDLLNIASGDARFQHSEPGKKLHSSGFQDNKMVNTGSHSSFESQACPTPRWSSRTSEFDVNRINSNHFTDGPLLGNKESFSYYDHLAASAKLAHSPLSLSPLSPRRIHKVKTTESPRHVMKDIENDFLDLKEQGRFDGIRMLDVVEETDRLHDEYDTMTPKRSSCRRYQNWGSESSPTCPRVGYGRSLSLPARRSLVVSFEESLLSGRLSYGKDNQTIDGFLAVLNIVGGSFSPPAQKLPFAVASIDEDSSLLYYSSIDLAGRLSTSATNSNSPKLQRSLSNNDSRSAKSRLRIPAKGRIQLVVSNPEKTPLHTFFCNYDLSDMPSGTKTFMRQKVTLSPTVSPSNIVKEESKTSDTNVGPKAQCVSCGSELAQRGTLCLEYCGKGQTCNSADESEKRRTNTKCYSSGTDMKESDKPNSIGNKQNNTDSDDCCCQMDNVHSDVKKSCCASSRINDSSGGGVLRYALHLRFLCPASKKSSKSMLRCKSDPSSVPHSGNMVTEDRRFYLYNDLRVVFPQRHSDADEGELKVEHDFPADPKYFDISN >SECCEUnv1G0528080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3151995:3153722:1 gene:SECCEUnv1G0528080 transcript:SECCEUnv1G0528080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGGTHKRPAADIMQQEDGPANTDAKDDHHIAIYFIDSPQQTERGVLVLGDKTNAQEDYSFQQHLETPSSPPCYSTDHMLGADQTHYNSNNGSPSSSHQHEHGSRPPRRRQSRTRRRLAAAVPFVSKIKWGPLWDKSKEWIKNPMNMALFVWIVAVGISGAILFMVMTGMLNAVLRSKSQKDTWFEVNNQILNALFTLMCLYNHPRRFYHLALLCRWRAGDMAALRETYCKGGTVKPNERRHMMVVVLLLHLNCLAQYALCGLNLGLSRTRRPPVGVGLTVSVAICAPAVASLYNNLSPLGKDYEVQAADDEESSSSGSRQLQQKKTVERRYSFSPSPPQRQGLEMGAVVIAVAAQEDGVPPEWSGGLVSDLWEDISLAYLSLFCSCCVFGWNAGRLGFGNAYVHAATFVLLCLAPFFIFTLAAINIDNEAARLALSLGGTLLCVLGLLYGGFWRIQMRRRFGLPGNGFCCGRPDVTDCFQWLCCCPCALAQEVRTADAYDIVHHRMVCRRQGRGDDDDRGEEEEEDASSSSSRVQMQQPLRFAGVFASDANSNSDTSRSRIPPAVPVGIQKQ >SECCE3Rv1G0205190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899418531:899420120:-1 gene:SECCE3Rv1G0205190 transcript:SECCE3Rv1G0205190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPAKEAARATTLSTRWRDVLASVHTVSLEQSEGAVSFANRVSAALFARHRRRGTAIPIRSLRVAFRDYDDGMNDLDSIVDQWISYAMQESGPELHLDLRLLAHEICGRGYSLRSSAARDNQAGIQDDERASSSRSACSSDNENREAESLLHALAGITLDVISDDEVETPPPPKTKRPWQRQQKGKLYTVPRYVFSCAALRTLCLGSCKLSPPAGGTNLPSLKALVLTHVADSSRKIQRLISSCPLLADLTLEACARVTELSVLDKQLQRLALRCCHRLASVIIDASKLRAFEYRGAVPAQPSFLTMHHGPRSLSSCRVDLCGGELTSEEDLTRLGEFLHHFETAKHLHLTSARLGSGIDNNAFATWFPTFLKLRHLELTGSLPHDDDTHGNAVVAAVARILGHAPNLEVLTLFFTAPPREKPECYDLYKEEEFLDAHHLKYDCGTIVLETPPADLLVPCLMEINLVNYQGGGAQRKLAKFLLRNVARLEALYCGFAEGPLWIQTKLMDEMRSWAMDKIEPNDMMFM >SECCE1Rv1G0048960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:638138288:638141121:1 gene:SECCE1Rv1G0048960 transcript:SECCE1Rv1G0048960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMATKLVFPQDNPPLSIISAAKIAGVPITTDPCLPSGSVPTLHFGSGDFIHGVNTILRYIARTTSVSSFYGEGTIQAARVDEWLEYAPLILSGSEFDAACSFLDGYLASRTFLVGHGLTVADIVVWSNLRGAGQRWESLRRSRKYQSLVRWFNSMAVDYALEEVTSAYVGKRGIGKSPAPCLKEKMPGLENTSGHEINLPGAKVGKVCVRFAPEPSGYLHIGHAKAALLNQYFANRYKGRLLVRFDDTNPSKESSEFVENVLKDIETLGVKYDAVTYTSDYFPKLMEMAECLIKQGKAYVDDTPKDNMNTERRDGVESKCRNSTVEENLLLWSEMVNGTKRGTQCCVRGKLDMQDPNKSLRDPVYYRCNPDPHHRVGSKYKVYPTYDFACPFVDALQGVTHALRSSEYHDRNAQYYRILQDMGLRRVELYEFSRLNMVYTVLSKRTLRWFVQNKKVEDWTDARFPTVQGILRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIIDPACGRHTAVLKDKSVPLTLTNGPEVPFIRILPRHKKCKGAGNKAVTFANRIWLEYADASAISVGEEVTLMDWGNVIIKEIKTDYGTITQLVGELNPDGSVKMTKLKLTWLSDIEDLVSLSLVDFDYLIINKKLELGEDDKPGGEKFLQNLNPCTRREALALGDPNMRNIKRGEVIQLERKGYYRCDVPFVGSSKPIMLFAIPDGRLKSTSIVSGALASTSYVG >SECCE7Rv1G0487530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:426778680:426779150:-1 gene:SECCE7Rv1G0487530 transcript:SECCE7Rv1G0487530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTKTNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDATKGSGGAIRKKEATHRMAEANRALAHFR >SECCE2Rv1G0119990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:795866146:795868756:-1 gene:SECCE2Rv1G0119990 transcript:SECCE2Rv1G0119990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFGLPPTAAAAVVTLVLGLAAASAMADDVEMVFLKSAVAKGAVCLDGSPPVYHFSPGSGSGANNWIVHMEGGGWCKTSEECAIRKGNFRGSSKYMKPLSFSGILGGSQQYNPDFYNWNRVKVRYCDGSSFTGDVEEVDSSTNLHYRGARVWDAIIEDLLNKGMSKAKNAILSGCSAGGLAAILHCDKFNDLLPPSAYVKCVSDAGYFIDGTDITGNKFVRTSFKTVVNLHGSDKNLPSSCTSRTSPELCFFPQHVLPTLRTPLFILNAAYDSWQIRNILVPSAADKKKEWAKCKLDIKGCSSSQLVTLQHFRNEFLSALPKPAQTPSIGMFIDSCFAHCQSGAQNSWNADGSPSIQKMKIGKAVGDWFFDRAVSQRIDCAYPCNQSCIDNEDD >SECCE6Rv1G0438540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:790914653:790917106:1 gene:SECCE6Rv1G0438540 transcript:SECCE6Rv1G0438540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLSSQLLSLPQQWQLLLLLLTLVSLVLLVSRLSNKGLKLPPGPARIPILGNLHQLGVLPHRSLRDLARKHGPVMQLQLGTVRTVVVSSAEAAREVMKTHDEDCCTRPVSPGMKQLSYGLKNVGFAPYGAYWHAMRKFFVVELLGVRHVEAAWHARQHQVEKLMSTLSGLAGEPVVLKEHILSLADGIIGMLAFGDMYNSDKFPHHKKLVHVLEEAMHVQASFSAEDYFPNIVGHLVDQITGLATRRERIFKQLDTFFELIIEQHLDPQRVKPQNGDVVDHLIDLWKDNSGTHNITRDHVKGNIFGMFIGGSDTTSATILWAMAELTRNPRLLERVQDEIRAVVGGNERVRPDDLAKLVYLKMVVKETLRLHPPATMLLPREAMRDIRIGGYDVLAKTRIYVNVWAIGRDPESWPDEPEEFKPERFETSEIDFKGGHFELTPFGAGRRICPALSMSTATVEFTLANLLYSFEWALLKGTVVSMEEEGKLIPLQKKPLLLVPTSYQPI >SECCE4Rv1G0247260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:555734035:555736424:1 gene:SECCE4Rv1G0247260 transcript:SECCE4Rv1G0247260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLRGSAVSGTARRWPAPSGAVLRFAPLATSRLPAASLRRKGAFSGLSASVVRESLTTMCMKSKCTRTPIDYATAPEHTEDEVPEPTAAVTASEGINIDQEVAPPHKSAIIHDFCLGIPFGGLLFSMGLVGFLFWRSPVSLTFGVAPGLAILGLAVLSLKGWRSGKSSLPFILAQAAVAAAVAWKHCQAYATTKKLLPWGFYTALSALMICFYSYVLLAGGNPPPKKKPAAAI >SECCE4Rv1G0275410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:793363954:793365375:-1 gene:SECCE4Rv1G0275410 transcript:SECCE4Rv1G0275410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKECCRDSHIYQLKPLQSVDAANLLLRKSRKRQEDMEGDGKDIVEKLVKKCGGLPLAILMVGGVLATKKVAEWRQFYDHLPSELETNPSLEAMRRMVTLSYNHLPSHLKSCFLYLSIFPEDFEIKRRPLVDRWIAEGFIKARGRINIEDVGKSYFIELINRSMIIPSRVNVEGTVKTCRVHDIMRDVMVSVARDENFVYLTADDNVTSATEENFHHVSYHGKKFLKECIDWRHVRSLTMFGERPIEPPAPLFAPSMRMLRALDLHGAHFGITKKDIKDIGLLRHLKYLNIGSAKAYSNIYRFPRSIGKLKGLQTLEIRMTDISAIPNEICNLQSLRSIRCKKTDWLYIALQPSMGCLMDMMYHQVMTLNSHEKALKSRIPCFRYWSIYKGVSVPTGISKLRELQILEVVDIKRTNANAIKELGDLVQLKKLGLVTKEATEQKCKLLCAAIEKLTSLSSLKLNASQNGSLE >SECCE1Rv1G0056070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:684773676:684775019:-1 gene:SECCE1Rv1G0056070 transcript:SECCE1Rv1G0056070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGAFGGNRGARPVPPEKGVFPLDHLHECDLEKKDYLACLKSTGAQSEKCRMFSKKYLECRMERNLMAKQDMAELGFGNADVVDTPSEKNSKLESPASDSKEKK >SECCE5Rv1G0319550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:376723100:376725166:-1 gene:SECCE5Rv1G0319550 transcript:SECCE5Rv1G0319550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVDVRGSIQLLRTCGAVAGQQLHQVLLKSGHVPSSLPPSNSVLLMYARFSPTHRRDARRLFDEMPGRNCFSYNSLVTAHLNSRDHAEALRLFRSMPERNNFSWNAVITGMVSAGDLDTAHSLLDEMPVKDAVAVNAVMHRYVRCGRVDEAFALFREIGSACSGAADSSPCNDLFVLATVVGACADRTKYDFGRQVHGRMVTAKIELDSVLSCALVDMYCKCGDVDSARRVFDGLAQIDEFSVSALVYGYASRGQLNEALHIFDRVENLNILLWNSLISGCALACLGDDAFALFVRMMRSDALPDSSTYASILNVCGFSGMLKSGQQIHGCGLKSGTVNDMIAASALIDFYSKCSLWEDACRAFSELRFHDTVVLNSMITVYSNCGRIEEAKRVFSMITSKSVISWNSLVVGLSQNGHAIDAMELFCKMHRLGVRLDKVAIASALSASSNICSISFGEQIFSLATVLGLQSDHVVASSVIDLYCKCGNLANGCKIFDGIDKPDEVMWNSMLIGYASNGHGRKALELLELMRVSGVRPTERTFVGVLSACCHSGLVEEGLTWFKQMQEDFCLIPSAEHYACVTDLLVRAGRLDEAVEFIENMPFKADTISWTTVVGGCKAQGNEALIQKMSKRLKQMEESGSPHSSLYVQLSSVLAAKGDWAKSAEMRGMMRERRIAKNPGCSWIDS >SECCE3Rv1G0196000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:804906225:804907547:-1 gene:SECCE3Rv1G0196000 transcript:SECCE3Rv1G0196000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSDLPLDLLGLVVACLPNPADHARSRAVCRPWHSAVRSHERRHRLPWVVLWECDVVTPSDGRSHRLPSFPVDSAICVGSTGSWLAVGLGTKCEFEDGIDYMLQSYLLHNPFSNMCVPLTMLSDSIANIKTCKICKFLMRSSAIDDFIAVLTDNTNYPLIVLRQGKGAWLPDPRTTPYTHIIDIAFLGNKLYAITKAEDLIPFDLTLDSDGKPIVTICKRVIKQPPGYDGYDAWNACDDDDEDEENVDDDEENDDDVVDGVDDDEQEDGVDGDDDEGDGDVGEEEEVVPDDNEVMIEDDRTHDSSEYAYDEETNELITVSRHLIKSRGKLLMVRRHMRSHSGESSMLTCHVDILEADVGTGAWVPLPKGSGLGGGRALFISMNFSKFVYAPYGDMKEDVVYDVETGEVFDVKSQCSSLPRFCAPFQGVTWLFPPELVV >SECCE5Rv1G0343060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:634604012:634605715:-1 gene:SECCE5Rv1G0343060 transcript:SECCE5Rv1G0343060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLRGRPAEAREKRRDAASSAAIVIIFLLLALTHLLVLSSHFGAVDPLIWWQQRAYAADDVPGGNAVVPDRLLSPDLEGNNPAACLSRHEASRHWKPTPFPVPPYLVERLRRYEDNHRRCGPRTALYREAVSRLRSAARNADHAAAGDGNHTCKYVVWVPFEGLGNRILSLVSTFLYALLTDRVLLVHEPPEMEGLFCEPFPGTSWLLPPADFPHKLDAAAFSVGSKESYVNMLHSNVVRYGDGNAQVLPAYVYLHLETVYLRTASVKLRLQNHTFCDEDHRLLNKFDWMVVKSDNYFAVALFVMPMYRRELDRMFPAKGAVFHHLGRYLLRPGNRVWGMVDRFFEGYLAGADERLGMHVRNKQLFPVPPEIMFEQILRCAREHHLLPQVLATSEPPTAKAKKKKKNKAVAVLMLSLKPEYHDKLHAMYYTNATVAGDVVVSVHQPSHDGEQQSDARAHNERALAEIYLLSFCDRMVTTGWSTFGYVAQALAGIRPWMLLPVSRSKMRADVACVRPPSVEPCMHPQPSLLTCQQGAGTRHLDDPVKRVPFLRHCEDVPLGLKLFD >SECCE6Rv1G0441930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:812548741:812554367:1 gene:SECCE6Rv1G0441930 transcript:SECCE6Rv1G0441930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRPRGGTQRMDAAISHFAPMGYRKEDVRSVVNRLLRDVYGREGWPFLEDSCYLVVQEALIEMQEEQDKLQLQAVQPPQQDEEDGDGDGGGGGDDDDEDEAEQQEAAMMEPPSENAMPIVMVDSEAQPSETVLAVEQTGEVIPMIMDQPARGAGSSHPASTGTGRTRRPCYGWISDSESDSDYEEYLARRQKQVRVPAK >SECCE3Rv1G0180020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:559323143:559343217:-1 gene:SECCE3Rv1G0180020 transcript:SECCE3Rv1G0180020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSQSQSQSQSQSQTNWEADKMLDVYIYDYFVKRNLQETAKAFQTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDIAASYIQTQLVKAREHQHEHQQQQQSQQQTHHQQQHQQHQQQQQHHHHHHQQSQQQQQSQQHQQIQMQQMLLQRAAQQQQQQQQQQQRRDGSHLLNGTASGLSGIDPLMRQNPATANAMAAKMYEERLKLPSQRDSLDEASLKVQQRYGENAGQSLDPNQTSLKTAAGGQSSGQILHGTVGGLSGALQQVQARSPQMPTQEQSIKTEINPVLTPRSAGPEGSFIGVQGSNQGGSNLTLKGWPLTGLDQLRSGILQPKSFMQSPQQQFQQLQFLNPQQQHQLLMQAQQNMASPTVSDVDTRRLRMLLNNRNMAMGQDGQTNSGGDIIPNIGSPSQSGGSRTDIDMLIKKKIAHLQQQQQLQQSTVSSQQSQSSNQLLQQQEKPGVGCMPIDGSIPNSFVVADQASKKRKKPVSSSRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLLHSGGPSKPLMMFGSDGTGSLTSPVNPLGDVDRLLEDGSLDENVDSFLSQEDMDPRETMGRCIDASKGSGFSEVAKARASTNKVSCCHFSSDGKLLATGGHDKKAVLWFTDALNPKSTLEEHSMLITDVRFSPSMTRLATSSFDKTVRVWDADNPDYSLRTFTGHSASVKSLDFHSNKEDIVCSCDSDGEVRCWSINNGSCVTCVRIFSGGATQLRYQPRHGKYLAAASEKMISILDAETLQVCRNALQGHKKNIESICWDAAGDYLASVSEDSVKVWSFTSGNDGERVNELNCSGNKFNSCVFHPNYPSLLVIGCYESLELWDIREKNTVTISNAHDGLIAALAASNASGLVASVSHDKLVKLWK >SECCE5Rv1G0300670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27712782:27713006:-1 gene:SECCE5Rv1G0300670 transcript:SECCE5Rv1G0300670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVPSCVQCGTRSNPCRCKVVGPTLGFVAFVVTGVVEWPLGAAVYLFRRRKGRRIMGHPARVVYPRVTTAIPI >SECCE4Rv1G0217280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:15082421:15086130:1 gene:SECCE4Rv1G0217280 transcript:SECCE4Rv1G0217280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSLAALCLLFLLLAAAAAAAGARVDPIYSGKLVPDWSREPKFKLQNFSLNREDGLQLLSRPRDVTRRRLGERTGVIKMETVQQDDEALVKLENAGIERSKAVDSAVLGKYSLWRRENENEKADVNVRLMRDQMIMARIYSVLAKSRDKLDLYRELLARIKESQRSLGEATADADLPKSASERARAMGQVLTKARDQLYDCKEITHRLRTMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPNSENLEDPDLYHYALFSDNVLAASVVVNSTIVNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESAAMKEYYFKADRQKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNISQNFDPNACGWAYGMNIFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLMTFYKLTHPLDKSWHVLGLGYNPTVEHAEIDTAAVIHYNGNMKPWLEIAMTKYRPYWTKYINYEHSYVRGCKISQ >SECCE4Rv1G0279790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:816808656:816811973:1 gene:SECCE4Rv1G0279790 transcript:SECCE4Rv1G0279790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITATKPLVGGACAAPSSARRRTFVVPEARRKTGNGRRTSVSKVGSTSTTTTTTTTLSDSNGPAVVGTVARPDVHVQDRTQITEMKATVTVHMSKAAGVRDFLYDLILKTWLHVDLVSSELDPQTGQEWEPISGAVKHSGTVDDEWDMYEASFKVPASFGPIGAVQVTNYHHTEMLLLDIEVFPTGQEESAVTFHCNSWIDPSHCSPDKRVFFPAFSYLPSHTPKGVVGLRKRELEVLRGNGCGERKDHDRIYDYDVYNDLGNPDDGKNPTTRPVLDGKEHPYPRRCRTGRPRSKKDPFAEERSHKDDIYVPRDEAFTERKTSAFETKKFMSVLHALTTGLKTAKHKNQSFPSLSAIDKLYDDNFRNQPVQPEGGKLRFVIDLIETELLHLFKLEGTAFLEEIRRVFKFETPEIHDRDKFAWFRDEEFARQTIAGMNPMSIQLVTEFPIKSKLDEATYGPADSLVTVELIEEQIRRVMTVEEARANKKLFMVDYHDLLLYVHKVRKLDDTTLYGSRTLFFLTDDGTICPIAIELTRPKSNRKPQWRQVFTPGCDGSVTGSWLWQLAKAHVLAHDAGVHQLVSHWLRTHACTEPYIIAANRQLSQMHPIYRLLHPHFRFTMEINAHARELLINADGVIEGTFAPGEYSMELSSVAYEQQWRFDMEALPEDLIRRGMAVIREDGKLELAIEDYPYANDGLLVWDAIKQWALTYVEHYYPCTADIVDDEELQAWWTEVRTKGHADKQDEPWWPELDSHENLAQALATIMWVTSAHHAAVNFGQYPMAGYIPNRPTLTRRNMPTEMGAEGMRTFVEAPEKVLLDTFPSQYQASIVLAILDLLSSHASDEEYMGTHEEPSWKQDGAIRQAFEKFKERTREIVEQVDEWNNDPDRKNRHGAGMVPYVLLRPSDGNPMDEKMVMEMGIPNSISI >SECCE5Rv1G0341130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:620988193:620992641:1 gene:SECCE5Rv1G0341130 transcript:SECCE5Rv1G0341130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSTSPSSSSSPTAASSPPSSSWIHIRSLLVAASSSNSSSSAAAAAAAAAAAGSSLPALASVASAAAAASSSSSPAASSSPRSDRGGIKSPWSRRKRKRVLSRQQWDSQFSANGKLRDGGKKVLKKVRSGGIEPGIRAEVWPFLLGVYDLNSSEEERNTIRIKKRKEYEKLRRQCQHILNGYRGSGLKSINEVSNEECSGQGSGAEGSESPCFEDVNVEKASRSLEEPKPEHSEAEQPEITMCDDVVESMEEDTSELIDAYPCIAESESSDSESSDEDDSGRISVCGEESCDPDPKFARSSSFKADIFRSSRTPEDFATWQRIIRLDAIRANAEWISFSRDQAEVPKEKAQKSAASVGLKDYDHLEPHMIYHAARLVGLLEAYAIYDPEIGYCQGMSDLLSPIIAVMEEDDAAFWCFVGFMRKARHNFRLDEVGIKRQLKIVSQIIKRKDSHLYKHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRTTWGKIRLHAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >SECCE2Rv1G0129400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:868090326:868092583:1 gene:SECCE2Rv1G0129400 transcript:SECCE2Rv1G0129400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLEHPRNGRTAYHFQPAKFWQNDPNGPLYHNGMYHFFYQYNPHGATWGDGKLSWGHSVSGDLVNWADVGNALDPTSPFDANGCWSGSATVLPGGRPAILYTGIDANRVQVQNVAFAKNPADPLLREWEKPGCNPVMPMPADVTGNNFRDPTEAWLGRDGLWRVGVVAEVGGVGSLLVYRSADFLRWERNAAPLHASSRDVPVLECPDLFPMAPPGAAEGLDVSASGAGVLHVLKLTDFAKEDHYMVGRYDDEADTFVPAEPERGDDPGNWRRLDYGHLYASKSFYDARNKRRVLWAWVDENDGGGEARGWAGIQAFPRAIWLDADGKRLVQWPIEEIESLRRKRVGLQWATEVEAGGRKEIAGIVSTQADVQAVFEIPNLEDAETLDPKWLQDPKGLAAEMGASAHGGVGPFGLLVLASGDLEEHTAVFFRVFKHDGKYKVLMCTDLTRSSRKEGINKPSYGAFLDVEVEKDRSISLRTLIDHTVVESFAEGGRTCMTARVYPEHAAAGGSRLYAFNYGAGAVKVSKLEAWELATAAVNGGGDM >SECCE1Rv1G0055080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678153889:678154409:1 gene:SECCE1Rv1G0055080 transcript:SECCE1Rv1G0055080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGRQGQRVRLYVRGTILGYKRSKSNQYESTSLLQVEGVNTKEDVSYYAGKRIAYVYKAKTKSSGTTVRCIWGKVTRPHGNSGVVRAQFRSNLPATSMGKKVRVFMYPSST >SECCE3Rv1G0204460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:894863581:894866185:-1 gene:SECCE3Rv1G0204460 transcript:SECCE3Rv1G0204460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCAGRGSSAAAAKKGRLEGKIALITGGASGLGKATAHEFIQEGASVVIADVNSALGVETAQELGPQAHFVHCDVTVEESVAAAVDATVTKHGRLDVMFNNAGIVGALSGTSEVASLDLGQFDRVMSVNVRGTLAGIKHAMRVMAPAGSGSILCMASISGLLGGLGTYPYAVSKLAVAGLVKTAAAELSRHGVRINCISPHAVPTPLVLEQFSQLYRGADEAQLAAIIGGLGELKGATCEAVDVAKAAVYLASDDAKYVSGQNLVVDGGFTTYKYMNMPPRKPRDRE >SECCE5Rv1G0306650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:97449599:97453744:1 gene:SECCE5Rv1G0306650 transcript:SECCE5Rv1G0306650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVVTVQVGGFANFVGSHFWNFQDELLGLGDDPGADAAFRTAPLDMDVLYRTGETLQGVSTYCPRLVSIGSRGSLGSLSSSGAPGLSSAASDQLNVTTWSGNVTRSVEKRHGRNLFLQSLTEQEPNPSTSNGGNNSQKSVEDKDLVECLEKDVNFWTDYTKVQYHPQSLYEMHGSWTDFDKFDNFGTAREVVSEWTLMEEMNEKLRFFVEECDHIQGIQFIVDDSGGFSSIAATYLENIADDYTNTPVLLYCVRDPVTHGSSRNQRETITRSLHDAVSFSKLSSFCSLMVPIGLPSLSQSSLSPFLSLQDAKPFHSSAISAAAIHSVTVPFRLQNAGPASNIAHSSGNIDMRELVHIIADQGRQNMVTALDVAMPAPSLKDGNDLWNMKSLHTLTPEISDEEEDQYSIESLVVHGVLRAGGHRASISQVKNSVYSAYEARATKPKFSHLSVSPCPLPIPLPFPSIFRSNIGQHGEILRNHAEGTQPKGSLDVESIPMAARLRSSNAVLPFIERRSLSLQKFGVARGALGTQILRDWGFGREEMEDMGEHLSKMVRAFHPDGGLTSDSD >SECCE6Rv1G0399240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:433599536:433602681:-1 gene:SECCE6Rv1G0399240 transcript:SECCE6Rv1G0399240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGAIVEKDPLNWGAAAAELTGSHLDEVKRMVAQFREPVVKIEGASLRVGQVAAVAQAKDASGVSVELDEEARPRVKASSEWILSCLAGGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGPGADGHSLPAEVSRAAMLVRINTLLQGYSGIRFEILEAITKLINTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQATTVDGKKVDAAEAFKVAGIEGGFFKLNPKEGLAIVNGTSVGSALAATVLFDCNVLAVLSEVLSAVFCEVMNGKPEFTDHLTHKLKHHPGSIEAAAIMEHILAGSAFMGHAKKVNEIDTQLKPKQDRYALRTSPQWLGPQIEVIRSATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNTRLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPVTNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYMVALCQAIDLRHLEENIKTSVKNCVTQVSKKVLTMNPTGDLSSARFSEKSLITAIDREAVFSYADDACSANYPLMQKLRAVLVDHALTAGPGEAESEATVFSKINKFEEELRSALPREIEAARVAFENGTAPIPNLIKESRSFPLYRFVREELGCVYLTGEKLLSPGEECTKVFLGISQNKLIDPMLECLKEWNGEPLPINV >SECCE7Rv1G0493730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:573774513:573776792:1 gene:SECCE7Rv1G0493730 transcript:SECCE7Rv1G0493730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIELPRGLPFAVDTWTPASALKRHRFLTHAHRDHLAGITTTSAAGAVYASRLTVLIARHIFPQLGPDAFVEMELGAPVLVPDPDGDFTVTAFDANHCPGAVMFMFEGAFGNVLHTGDCRLTPDCIQGLPFRYITAEAPGASQAPSSCRIDYLFLDCTFAKCPLQFPAKEASIRQVINCIWEHPNAPTVYLVSDMLGQEDILIEVSRAFGSKIYVDRDKNSECYHTLSLVAPEILTEDASSRFQVIEFPRLSERATDMLALARARQQPEPLIIRPSTQWYAHYAAPEASLKQKPALTEPMRDEFGVWHVCLTMHSSREELEQALRFLQPKWVISTTPPCLAMDLAYVKKHCFLSRLGPDDPIWKLLRIPHGNATVTGSPQAAITTVEGIKQSEEESEDTCSAVCSQVFQDEGPVFQDFAIEVVQQVTLFGRARFGLPQDCELWKDEYESVQVAEEIKFEEELQNSNTKSFELCKDFKSDKGTADIIDLTQAVTNELHGSATEPELQKDCEFIDVIQVIDNTHGEVREHSLSREGCAELAKDAKCNEGAERIRLSRFKVKEESSIARAKFLEICKPRESVKFTAETLGKQAKVEATEELLSEDRTVLSDISNRSEGPATDRADIAGVGSSKVLNAKLRRLYRSMNVAVPRPLPSLVELMGASKRPRVSSQFHI >SECCEUnv1G0556030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:307776355:307779436:1 gene:SECCEUnv1G0556030 transcript:SECCEUnv1G0556030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRINVVESNEEYMMEEPTEHHNSAEEDVESSDVHERTTNDEEGFTDRDDANADENEVAENAETKERKKRKLKYIWNLPKGKRIMVRCNDIDQPIGKEAKHLGDFLGSVARNGSLCCLSYKDWRLLKTKTNVKAILDQVKMRFLYTPRMEKYILKIIGDRWRQHKSDLKAMYFDEKKSTKANYNNKPKSVTPDQWRSLVNHWTTQKAKEISATNRNNCSMRKSTHTSGTKSFPRQREEMKDADPEKKYPHRAHLFIHTHKPKTCKNKIINAHVEGLKDILDKNPELAYNSDGKTAWKGDALNKVLGDDNPGHVHGLGLVPNPKKLFDVSTSRVFQNTHFTSVEDTPNEDMLAFRVEMEKLYQVNKNQNAKIMELEEKMRRMERQPNQEISDPMATIGLEPLVDGHNSNRKRVLAPSVDGLQLVKKRSNNLQNKPSGSNDADLQASNKNSVSDKNKETMVRNGGSARQLEKCSATQKNVVQNQETPDHNFSARQGEINVAAHKNVVPNKETLLENVSARQGEKTSSANKLTKKTTKGANASSKSAQSGSLSWLGTSELPAGTKVFLKSLKNNNRDVALATIVSCDPNFKLDGAEIRNEFWAVHVDMTLVKTENLVRSRKNCTTLGNAEKTKIAWPSTFIQKING >SECCE3Rv1G0169070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:230744278:230754254:-1 gene:SECCE3Rv1G0169070 transcript:SECCE3Rv1G0169070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDRARDTALVLSTGPWTIRRLHNILHHFTGLLKAQPAECSALPSLLPATLAGEQLHNQRGGRRSPPTCHRLSPMAGYRSASSSSAAGAGGAAVFAMRVLLLLTLLPLVLAAFAFALQWRGGMRDPTGTVWPADTQRFPGMENSPLGSSSSSTGGRGSYFAVSSASASSAAADCAEILGRSASTHGISLYRGWSFDSDTAITPKICITGSTSASLHQILPWLYYHKVIGVSHFILFVEGEAAKPVVTSVLESIRGVKIIYRTKELKEQQDRSRIWNETWLSGFFYKPCNYELFVKQSLNMEMAIVMAREAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSVERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHMRPNGAHRWHNYMKSPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEQEMRNWYREHVVWTDKDTNLKLLRKGVLTRIYAPMAIIRGLKESGIFIDAVTSAKAHPKANIGLESNESIHTNVTATQSTTLKEGGHDISQATARKILEMIDVQEEAMPPMSPPGFLELMESALS >SECCE7Rv1G0508080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:768787307:768787796:-1 gene:SECCE7Rv1G0508080 transcript:SECCE7Rv1G0508080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAAAAVYLSSYYVPPPRFPTFQAGRRSAAIAVRCVNRDTPDTSKAKLKVGSPIVITEEPPMLKTAASVPSLRQNAGRVKPGDVGRIMARKPKDVWAVRLAVGTYLLDGKHFKPLEVVEDEGGDDQPQDE >SECCE2Rv1G0134230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900794159:900797329:1 gene:SECCE2Rv1G0134230 transcript:SECCE2Rv1G0134230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMARLGAALPRARPLAAAAAMAAARTPPRGARWDPAAPSRPASLYARRCLAHSDVKAPPASESKDVGGPSQGWRIKMLYDGECPLCMREVNMLRERNKSYGAINFVDISSKDYSPKDNQDLDYETAMGRIHAILSDGTIVTDVEAFRRLYEEVGLGWVYAVTKYEPVATIANAVYGVWAKYRMEVTGRPPLEEIFAARRQAGECKDDKACKM >SECCE5Rv1G0297210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803381:805893:1 gene:SECCE5Rv1G0297210 transcript:SECCE5Rv1G0297210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGEWGWWLGLVLGAAPLLCLAAWHAMDAWYRAAFFLKHGSQRRLPPGHMGLPFLGETLSLIWHFKLARRPDEFIATKRRAHGGAGAGLYRTHLFGSPAVIACSPAANKFVLQSPDKFGARWPVPELVGITSVVNVEGATHARLRGFITAAINRPSSLRTIAAVMQPRIVAALASWADMGTIVAATEIKNVTFANICKMFISMEPSPVTNKIDKLFHDLVAGLRSFPLDFPGTTFHSARKCRRKLNAIFREELEARKKVGKECDDLMGGLMHMEDEQGRKLRDEEVVDNIVSLVIAGYESTASAIMWATYHLAKSPVALAKLREENMAVSKSKGASSMITHDDLPNMKYTMKVVEETIRMANISPMLHRVANRDVDHNGYTIPAGWSVLVWVRSLHTDPSNYENPLTFNPDRWDEPAKPGTYLVFGGGYRICAGNMLARLQLTIMLHHLSIGYEWELLNPDAEIDYLPNPRPADGAVMSFRKLSA >SECCE7Rv1G0501170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:680149272:680150057:1 gene:SECCE7Rv1G0501170 transcript:SECCE7Rv1G0501170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQPRPKLPVAEEAIALYDTYWFHRLVLNAYSPPAPLPLPAAASEREQPEQPPAESKSELQRAPSGLHRHRRTRSDEAKAAAFHGQLEPLKIPSGHRARLETILSGKAGLAAPEPLPERRRPEARRPGGRRRRSRRGRSLSELEFEEVKGLQDLGFTFSETEVDAELASIVPGLRRLRAEEEAKRAKAAAAEEEACRNRAAAEASSAAAPRRPYLSEAWEDEEAEVRRMLSNFRIPPATDGADLKENLRLWAHTVASAVR >SECCE2Rv1G0077690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:101073825:101077327:-1 gene:SECCE2Rv1G0077690 transcript:SECCE2Rv1G0077690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPFCLVVVGVLLLLRWPASSLASAPVARTITVDQRGGGDYTTVQSAVDAVPDGNSQWVKIHVRQGSYREKVIIPSQKGFILIEGDGSSSTDITFNSHVDGADTPVTAPITGRLRGSLAEISSTYNSSTFIVHSDNFVARNIAFKNTYIGKPAVAFLMDGDKGAFYDCAFHGYQDTLSDLAGRHYFRRCLVEGAVDFIFGYGKSIYEDCTLQSNMPASSPQPGWVTAHGRAADKSAAFVFKGGSITGSGQQYLGRAWNDQAVVVFYQVKMDGIVVPQGWDKWTSGQDVSQVSFTEVGCSGPGSGTGGRVPWEKQMNSAEVQRFVDIGFIDDGWLSNQP >SECCE1Rv1G0037640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:528830518:528834649:1 gene:SECCE1Rv1G0037640 transcript:SECCE1Rv1G0037640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MESSEPLQDIMCEFRAGKMSLEGTRVVPDTRKGLVRIGRGEEGLIHFQWLDRGQNIVEDDQIVFPDEAVFEKVTESSGRVYILKFRHDSRKFFLWMQETNADGDSQICRQVNAYINRPLDGDAISIEAEMSHEDTADDDISSRAGNLVDQSMTADMAGEVTSAAGPVRLADLQRILSSIQPSDATADPDAGLRLGDILKPDLILPLIETLPIEQLTSHLPEGSWTAGDILELLQSPPLRQQLDAFTHVLQTGQIDLAQFGVDPSKYKFTVASFLEALEDSVAKASTDGGNDSKAKKDGGNDPMDES >SECCE5Rv1G0303660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:50192900:50194912:-1 gene:SECCE5Rv1G0303660 transcript:SECCE5Rv1G0303660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPCFHLLLLLSVVGGALCTGALVDDVHQPPIRCSADNYTDGSQYHKNLYRLLQTITMAAPQKGGFYSGTLGVGADKIFALFMCYAEVDDSEEACRFCRISPEEIMKTCPQSRTAHIFTREYCVIRYSNESFFSAADLSVAQAVQRSIESWRAPLAPYNMSSASGTRDELMRRLTARAGKDAMRVARGTQRYNEKDPLVAMSGVAQCTKDLPPSECTRCLSYYTNQLPQLLSNNSIRGSVRGHSCNLEYNTYTINKNGRHKMVKAAVVAGAVTLMLCLISLSVWFLSRQRRRRSAAAVRSELEQPLKEVVYFRGRSIDQDELKQGIGPVRFSYGELAAATNCFSDSNKLGEGGFGSVYRGFLHDTNLDIAVKKVSKSSRQGWKEFVSEVRIISQIRHRNLVRLVGWFHGGDDDDLLLVYELMPKGSLDAHLYKPDHLLSWTVRYKIVLGLGSALLYLHEETEQRILHRDVKPSNVMLDASFNAKLGDFGLARLIGNGRGSCTTGAAGTLGYMDPKCVFTATAKVESDVYSFGVVLLEVACGRPPAVVQEEEDGSVVHLLQWVWESYGKGTILEVADERLDGGFNRQEMERVMVVGLWCGHPDRSLRPSIRQAVSVLRFEMPLPSLPTKMPVATYMSAEDSFSTSDVSESVEAATSSAHAPSVKKYKSV >SECCE4Rv1G0264500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:720981231:720985023:-1 gene:SECCE4Rv1G0264500 transcript:SECCE4Rv1G0264500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAESSGLRRGAGAGARRKAKEAAVGAAARALFYPTLLYNVVRSKVQAEFRWWDEVDQFILLGAVPFRRDVTRLQKLGVHGIVTLNEPFETLVPSSVYKSRGIDHLVIPTRDYMFAPSLVDISQAVDFIHRNASRGRMTYIHCKAGRGRSTTIVLCYLVKYKNMTPTTAFEHVRSKRARVLLTRSQRKVVQEFSTKVAGTAAATSSSPSGDAVPQTEDGSGLPGVIRDREDASSPSHKATPSGPMMKKVLACLLPSPMRSGGDSPSHADLRAP >SECCE5Rv1G0343630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:640091086:640091508:-1 gene:SECCE5Rv1G0343630 transcript:SECCE5Rv1G0343630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAVGRGLDLDLELGIWFAGLFSGPELAAAELLLQLSVLGEAEAKAEPTKSSRCSAGSRCEGLSVEAEERVVGEITASASTELDRRARKRYRLLSELYADTIPAKSAAAAKKKKRRKTHHDHGGSSSESKETRYGGDY >SECCE4Rv1G0285090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:842611032:842611585:1 gene:SECCE4Rv1G0285090 transcript:SECCE4Rv1G0285090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGERKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSAAAAEKEATKSPKKKAATTKSPKKKTAATKE >SECCE7Rv1G0511810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:805149306:805150610:1 gene:SECCE7Rv1G0511810 transcript:SECCE7Rv1G0511810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRAAAERRARRKLLFAVPILPIVLFIVGSCALFLFTADLPRIRIEYACLDGTRDALATKEPPEAVVVAVPVPSEEEQQPLRQLTDRPYSLGPNVSDYDARRAAWLAAHPRFPAFVAPGRPRVLMVTGSSPRRCTEPEGDHVLLRAFKNKADYCRVHGLDVFYGNAVLDGEMTGFWTKLPLLRALMVAHPEAELLWWVDSDAVFTDMLFEPPWGRYAAHNLVLHGWDGEVYGARNWLGANTGSFLLRNCRWSLDLLDAWARMGPRGPVRDRYGEIFAEALTKRAAWEADDQSALVYLLATERGRWGEKVFLESSYHLHGFWEEIVGRYEEMRGTGRPGLGDHRWPLVTHFVGCKPCGEPGATYDAVACREGMERALNFADDQILGLYGFRHESLGTTAVRRVRNDTGRPLDDDDQEIGRLLHPEFRAANPL >SECCE1Rv1G0006550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:34779610:34781033:1 gene:SECCE1Rv1G0006550 transcript:SECCE1Rv1G0006550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGRKPLSLLDLCIRSAIDNLRTLNSVDIVPDHLLKRILPHCTLEQLIHIESCTHADLTDVTDVLWKRFFQREFGEDNMNLAIKRMKENGVRYKWKKLFEARTEKQKQVEARMSAGLKNKYQAANAAKQSKQIKVCTKIPPNSKRSFWGGSGSSSLSNSSYKSPILKKARMEVDSRAKMQAAIQRNTVARSSQPARLTFPSEQTSRTTTIHRPNSTITITKPTGPNRPIQKQNTRPKF >SECCE3Rv1G0185450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:674652519:674653037:1 gene:SECCE3Rv1G0185450 transcript:SECCE3Rv1G0185450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPLMPAVLDNLPHLLDNPHLAENLLHRAIEDLRRNNALASQAAVCLLQGLRLEAELRTLPALTEEERLERAALVAVLDALVASLESLSEEQSTLIRLVGLLFVVRAAASARSRAHLLPGLLLAAVSAAVVVYVSTGGAVVPGFRSFVRFSLLMLGFLFASDRPRAPGRGG >SECCE2Rv1G0112310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:708121202:708122464:-1 gene:SECCE2Rv1G0112310 transcript:SECCE2Rv1G0112310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCVVRRGPLPEFSSPAVRNRIEAEDGRYVLNSEPRELSLAVKVGLEADVALGDAIPAEAVFCLAVQRGLKAEEVIHLFVRSGIKAEDALAIAFRTGLKAEEEIHALLLEQLLASFDRRRKDPELYEWEHPCYSVNERKKIDPKCPFFLFKMKASAKKAQVSWWQKQYTRFPPERAGYVYAMRVARLITGPDGLHLEQAGEGGQPGALSFQIDDTYMGRPWRKDDFFEEGSSVPLREVAVIKRLPNGDGISIMSYMKGLGVKLHDILFTLHCPSATVALTFTERSFYVSSKFTEEPLYASAGLDFIDITVPLENLIKKLYQMYEQDEQEKMTMHEKQNHEEGETLIRQQKELLVQTAEEHERNKLEDLQRRRAESKKQKHRRKEMKEAANRTKGGARTEEEQDDAYFCTPIFPLLEESD >SECCE7Rv1G0524180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885118251:885129432:1 gene:SECCE7Rv1G0524180 transcript:SECCE7Rv1G0524180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPQLSPDEKLASEESLKLYCKPVEWYNIIKRRASKNPTFLQRCLDYMIEARRKKRIQLTVSLSRGTNTTCRDQNIFPLYVLLATPTSNISLEGHPPIYRFSRAYFLTSFGEFGSKDHTKATFIIPDIENLAFSRASNLNIILISRGQVGEDIGENNCTGGHLERSALQNLEGKCFWGNISINLLHLSLENHATTLNLGHTVKLTSMVEMRPSFLEKKFLDLDNCLTFCSHKVDATGSYKLHVGISAQEAGARDIHENPYSSYSYSNVTTPSLPRIIRLRAGNVHFKYKYYNNTMETTEVTEDFACPFCFVKCGSYKGLGCHLNSSHDLFHFEFWISEERQAVDISLKADAWRIEPGPEGVDPIHQTFSYCSRFKNRRSVPHLQK >SECCEUnv1G0570270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:465373489:465374620:1 gene:SECCEUnv1G0570270 transcript:SECCEUnv1G0570270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNKSPSSAGLQDSMGRTFLHVAAEKRKVKIVSSSCRNRSLLWILNIQDNDGNTALHLAIQARSLRMFCALLGNRHTHLNLSNNKGQTPLDISLYGVSLGFFDENSEAKIHFALTVVNARSGGSRRDHFEENYNRQLKYDGTEQLEKLKESTQTLCIGVALIATATFTVTFALPGGYKADEHINGGTPTLAGRYAFDAFIIASTFSFVLSAMSMVGLMYSGYSILNPETRRIYLIAALYFGSTSGTCFITTFALGLYVVLARVAHKSAVAICVISPLAVLCKQMDHWLKWALLAQPLCTRIGPTRALLMVTTRILYSLLMEFWPIIFIFVWAIYTSSQL >SECCE3Rv1G0206890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:912771733:912773838:1 gene:SECCE3Rv1G0206890 transcript:SECCE3Rv1G0206890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGQPDQLPPATAGFLNLNWDSSMEPGANSEGLALHGISPRHYGAGTPLGSPTKLNLSMMGQYRHHHHSPYPPPPQVGGAGGLPTLENLMPMASLDQFLADPGFAERAARLSGFDARAGFGPAAGQAQFGLPDDGPVGALKELDLGSARDDSSVSDPASAGAGMAVKGASDGNARKRKAAGGSKGKGKDAASVSATSAKDLLAKEDSASKRCRSMSMEDAEENSGKGKAAQSSSENGGKKQGKDGASKLPEPPKDFIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPSLLAKDMQQQSCGQLQQGSSHFPLEASGAPLPYMGQGNNDPLGCGMSDGGGMGDDQGGMHPLDQAFCRPMSSQQQQQHFLSDAASQVGAFWQDLQSVVQMDMGQSQEIATSSNSYDGSLQTVHMKMEL >SECCE2Rv1G0117820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:777319990:777324644:-1 gene:SECCE2Rv1G0117820 transcript:SECCE2Rv1G0117820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEQGGGGGRGRGRSRGGGGRGGAPGGYGPQGGGGGYGGGGQGRGAQGSGGGYGGGGGYGPQGGMESRGGGYAPRGGGYAPRGGGDGRGRGYGPQGGGEGRGGGYGGGGPGGRGPGGGGRGYGPGGGRGGNAWAQPGRGPAGGPGDYAPVRAPAPAPAPASAARRIESEEAGGSSGSVERISSKEVAKLEPLAPPVAVSPNGIRVPMRRPDGGGSLCQARVQLLVNHFIVKYPKLSTFFHYDIDIKFDPASSKVSGKELSNADFLSAKAELFKDDSFRQLSSAVAYDGKRNLFTVAQLPEGLFRVRVRSKTYIVSVEFKKQLPLSQLSELPVAREILQGLDVIVREASSWSKIILGHGFYSPQSKEDMGSGVVSMKGTQQTLKHTQQGLVLCVDYSVMPFRRDGPVLDIVRQFIKPLPLDYRTALNKTHREKLVYELKGQRVTVSHRKTKQKYTIQGFTDLPASQITFLDSESGQTKRLVDYFVQQYGKVIEYQMLPCLDLSKSKDKPNYVPIELCKLVEGQRYPMANLNKDTDRALKGKALIKAADRKWEIETAVKAEDGPCRGEIAQQFGISLDVKMMEVTGRVLTPPMLTLGSSRGGPGNFSMTPSNCQWNLMGKKLVEGKALQCWGIVDFSARPSHNKQQALDGNMFINYIVRKCCDLGIQMNKTACFVHLSAMSVLSDPHLLHEELNKAKQAAVKKNQKLQLLFCPMSEQHPGYKTLKLICETQLGIQTQCFLSHLANKTQGQDQYMSNLALKINSKLGGINVQLQDKLPLDNGVPYMFIGADVNHPSPGNVESPSIAAVVASMNRGATKYVPRIRAQPHRCEVIKNLGEIVQELIGVFEKKAGVKPQRIIYFRDGVSDGQFEMVLNEELADMEKGIKVNGYSPTITVIVAKKRHHTRMFPKEHNEPLQTKNGNVLPGTVVDTRIVDPVTYDFYLCSHNGLIGTSRPTHYYNLMDEHGYGSDDLQRLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMLASQPQVRSSSSSASSAAGTSDFSNFPTLHVDLQDNMFFI >SECCE6Rv1G0430550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:742706320:742708219:1 gene:SECCE6Rv1G0430550 transcript:SECCE6Rv1G0430550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGSGGGGGSDFSVMVIGSDFAVDAGAALLASHADREEWHDCVPDLGDDFSDLEELQVVRVQGADRSGRAVVRVVGKFFPAPVIDSGRLKRYVFHKLRTELPEGPFCILYVHTTVQSDDNNPGMTILRGIYEELPAEYKERLQIFYFLHPGLYSWLAIATLGRLFLSGGLYWKIKYVSRLEYLWADIKKGEVEIPDFVTEHDKILEHRPLTDYGIEPDPLHLADIPAVGYSLGRHEDKWSPEDRWHSRNYM >SECCE2Rv1G0111330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:699365687:699368141:1 gene:SECCE2Rv1G0111330 transcript:SECCE2Rv1G0111330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARARATASFRLVVLRGRAFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALHKDLKDGNSKVTWFSREPYAYWKGNAAVATSRQELVKCNVSSTQDWNARIYTQDWFKESKEGYKTSNLDSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPLQHYWPVRDDRKCASIQYAVDWGNSHKQLAQRIGKEASDFVQQEVNMDHVYDYMLHLLTEYAKLLKFKPTKPPEAVEICPESLVCQAEGTEKKFLMESMVKSAHDSGPCDLPPPFSPQELTMLKQRKENSIRQVEMWERRASTAR >SECCE6Rv1G0383290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:51613010:51615148:-1 gene:SECCE6Rv1G0383290 transcript:SECCE6Rv1G0383290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFNVPTAVLQLFVLLILASPTTACTKEERCNLLRFLAGLSRDGGLAASWRDSSTDCCEWEGITCNGDGAVTEVSVASRGLEGRISPSLADLTSLLHVNLSYNFFSGGLPPELMSSRSIIVLDVSFCQLNGPLPDLPSLVTNDWPLQVLNISSNQFGSEFPSATWKMMKNLIALNASNNSFTGQIPSSLCLGSPSLALLDLCYNQLTGDIPTALGDCSKLKVLKVGHNNLSGTLPVEIFRATSLEYLSFPNNALQGELDGAHMVKLSNLLTLDLGGNSFSGNIPESIDQLRRLEQLHLGSNKMSGELPSTLGNCTHLKTIDLKINNFSGDLGNINFSALQSLKYLDLIRNNLSGVVPESIYSCSNLTALRLSDNHFHGEISLRISNLKHLSFLSLSQNSFTNITKALHAIKSCRNISTLLIGRNFMNEAMPQDETIDGFQNLQCLAMEWCSLTGRIPIWLSKLRNLKILVLLSNRLTGPMPSWINSLNHLFRLDISNNSLTGNIPMTLMEMPMLKSDKPAIYLDPSLLDLPIYVDSANGKLQYRMGSAWPKVLNLGNNKFTGAIPQEIGHLKALLYLNLSFNNFYGEIPQSIGNLTNLQRLDLSNNHLTGEIPAALEILHFLSEFNISNNDLEGPIPTTGQLSTFQASSFNGNPKLCGSMLINHCSSVEAAPIFIVSAKKWSSKVIFVTAFGVFFGLGVLYDQLVLFRYFG >SECCE6Rv1G0419660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:671536598:671541856:1 gene:SECCE6Rv1G0419660 transcript:SECCE6Rv1G0419660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLPLVHSRVSLWGQGRLGSSSRQRLRHAAGRAQASYRGLEPLYDDGYGAVKDLGHYYKEIGELAKHDGGPPRWLCPVDAGEPAVDDAPIMLYLPGIDGMGMGLCMHHKALGTLFELRCMHIPLQDRTPFEELVTMVEDAVRAEHSTSPNKPIYLLGNSFGGCIALAVAARNPSIDLILVLANPATSFERSRIKTVLSVFSPLPDRVSVAIAALLNYNIDNEVKMARSRMRSGKHPLEALSRFTSNMASSLKHSKMLDKLPKDTLRWKIKLIKQAASYANSHIQSVTAEVLLLASCADRLLPSKSEANRLQKKLPKCRVFFFEKHGHSLLLEHGVHVSSIIKCSSLYRHSRRYHRVRDYLPPSATDMKEADKISRGTMLKTCPAMYSTMEDGTVVRGLAGLPEDGPVLFVGNHMLMGTEIIPLGAELLRRRKVVVRGVAHPLLFPRKARTRSQGHDLFDFLNLWGGVPMTLRSMYELLAAGEFVLLYPGGYREALHCKGEEHRLFWPDQAEFVRMAAQFDATIVPFGVVGEDDLVNLLWTYDDIRSVPFGGKMLRAYSNHLKLRDTQEVIFPGAVPKIPGRFYYRFGKPIPTRGRQDVLTDRRAATELYFDVKSEVEEIIAYLLEKREEDRYRSILPRLLYRAVRGSKTEVPAFDP >SECCE1Rv1G0039200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:546473665:546475521:-1 gene:SECCE1Rv1G0039200 transcript:SECCE1Rv1G0039200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFEVTISGLTGLTGHHRGKCQSTVHELRDADLIVQESRWASLPPELLSDVIRRLEASESTWPSRKHVVSCAAVCKAWREMCREIVTSPEFCGKLTFPLSLKQPGPRDGMIQCYIKRDKSKSTYHLYLCLSNAVLVENGKFLLSAKRNRRTTCTEYVISMDAENISRSSSTYIGKLRSNFLGTKFVVYDTQPPYNGAMVPSVGRSSRRFNSKKVSPKVPSGSYNIAQVSYELNVLGTRGPRRMNCIMHSIPASSVEPGGIVPGQPDQIMPRALEDSFRSMSSFSKSSIMDRSTDFSSSRYFSSSRFLSDIAGGAISREEDGEHKERPLVLRNKVPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAPSQPLPPAAGAPTPTPTPSQPAPAPEQDKIILQFGKVSKDMFTMDYRYPLSAFQAFAMCLSSFDTKLACE >SECCE7Rv1G0504780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:726814186:726818203:-1 gene:SECCE7Rv1G0504780 transcript:SECCE7Rv1G0504780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEAKVPVAGRRNVLVTSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGHNVLYVCGTDEYGTATENRAIEEGCSPLQICDKYHAIHKEVYEWFNISFDHFGRTSTPQQTEICQSIFHKLLDNNWLSENTMQQLYCESCQRFLADRFVVGSCPVEGCGNDTARGDQCDRCGRLLNSTELIDPRCKVCEGIPIIRDTDHLFLELPLLKEKLEKYIDEASATGSWSQNALRITDAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSALLGTGENWTLMKNISVTEYLNYESGKFSKTKGIGVFGNDAKATNIPADVWRYYLLSIRPEASDAVFTWGGLQIKHNNELIKNLGNFIYRVLSFIAKPEGAGYGSIIPEAPNADIHPLSQSLAETVGNLIQQYIDAMDKVKLKQGLKIAMAISSEGNAYLQENQFWKLYKLDPASCATVMKTSVGVVYLLACLLEPFMPSFSKDVLQQLNLCPNEHISFADEKGESDKAKRPWDLIPANHRIGEIVPLFTELNDDAVASFRETFAGSQAERNARAN >SECCE6Rv1G0393290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:279828564:279844094:1 gene:SECCE6Rv1G0393290 transcript:SECCE6Rv1G0393290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQESTVDGRKRRRRGGAGKRQKDSSSSQLAPPLAPPADAASPLEKRRRKAGEAAAIPKGRKPTSLLEKMRARLSGGHFRMLNEKLYTCSGQDAFDYFKNEPELFDVYHAGYQEQMSHWPEQPVNVIIKWLKSHNKSWAVADFGCGNAAVAKNVKNKVFSIDLVSDDPSVIACDMAHTPLEPSSVDVAIFCLSLMGTNFPSYLQEANRVLKPSGWLLIAEVRSRLDPNTGGAGPDKFSEAISQLGFSLVSKDEKNKMFVLFYFRKKEKSKVVKNIEWPQLKPCLYKRR >SECCE5Rv1G0354960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727835761:727837497:-1 gene:SECCE5Rv1G0354960 transcript:SECCE5Rv1G0354960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSSLASAFSHLSLPSTSAPHPPPLLRLLPSTRRAARLVLFASGADPAEPVEAEAEAPAADDGPEEVLAVEAEEDAVSGLALRKYVKQRLPGGFAAQRITATGRRKMASARVVLQEGTGKVFINFRDAKEYLQGNPMWMEYCKIPLATLGFENNYDVFVKVQGGGLSGQAQAICLGVARALLKISPANRVPLRSEGLLTRDTRVVERKKAGLRKARKRPQFSKR >SECCE3Rv1G0168530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:222978875:222979618:1 gene:SECCE3Rv1G0168530 transcript:SECCE3Rv1G0168530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAAEAEALRLRLAAVARKWLEDPRADYAGNVTHAASQKGHALNSLVLAGARISLSEHGRVVCSFHVPPPLTDSDGTWHAGALAAAVDNMCSAVVFTVVGAPTATVHYGLSYFEPVACNQEVQLDGRVVGRKGKLTAAVVEVRKMGSGELVAIGRQWMTPAWPTKSNKSRSSL >SECCE4Rv1G0267190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735164854:735165942:1 gene:SECCE4Rv1G0267190 transcript:SECCE4Rv1G0267190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEALLRQQEPWFVALAVLGALYVAAAAYRLLHTSGLALCLRGPKDLRRRYGAWAVVTGPTSGIGRSMALELARRGLNLVLVGRDPAKLHDIAGTASDTHGVLTKTVQFDFSLVSTRQGEEAMGRLRHAVEGLEVGVLVNNAGVATPHAAYLHEADAEAWVRMIRVNLWAVTEVTAAVLPGMVERGRGAVVNIGSGAAEALPSYPLYSVYAATKRYVSQLSKSLYVEYRGKGINVQCQAPLYVDTKMVSNMVTPGGLLSRLIVPTSDAYAGAAARWIGHRRPLCMPNLGHQLQWCLCHFVPDRVLAAHRLRENLRQRAVFQRLRSSSGERTVGRS >SECCE2Rv1G0077830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:102118183:102126750:-1 gene:SECCE2Rv1G0077830 transcript:SECCE2Rv1G0077830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGRGEHHVQIPTGGAKIKAAAPPEMGMNHFVRFVALIVRLGNALGTLAFTWATVVLLGGYAKDFRYQEDFLHPTLIVFLEAARMFTRNNRLDYQLFFNTRGAFRPMGWNGLIAILYSFDAYLILVKNGSLSGIWIITLMAIIFPIGRLLSGLKLHIWKPLRRATSLWAPLVPILLLAPPLSDGVNLYIHYYEGDKQRIYEMVRWVVFTALFVPVLLVTISRLRFTKIIKLVDNYLGNRKVLWGRIVINLCMIAVLGMQMFMLKQILGYSRSGLIAILVCALLVVSFGNLQVLAAVLRIWLALSTFQKYKDNEESLALSLRIFYSMVLGQGILYAVACMVELFSFIPRRYLVHSGRFRRQWEVDSVNLYYAYAMEKCMQEAVLAPKKISLSNFAMDSLNSDSSKNQLYGIQMMHSFLQREPTKAHLLSTLTTSTKTVAKIVRMLAWTCPKDTAIRLYAAKVTAELAKNLRAGTIPGTMQLVSALLDADRRLQRGNPLLDNPVLNTEDSREAADNQFQTQGQHRDTDNLLETESISTQEVGMNEQNSCAFRCWHHISEFWSIPKEQLRTDHDLLPALAMSIIESLAGVDQDNCVEISKAADLIPKIIRFTIYRNDEMNTKAQQEILLKSSLKVLQRLTSIGGEIGITLRQISRKLVAGILRNIAVDEKTRQDIGCFQMIITKLMQEFFNSEGTMSTNDDHISRKVAGQALAMLTIQSVQNCLVISKEPGIMKELKILIHDRSLLRNLWLHAQPELRESDLKELSHTLREVLERILDVDGAELEILIGLSSQICKIKQRFAKRLVDTLKANMKPSAHCPGIRRVVLEQVIHLIEYNSCYADCFNAFGMTDALSMVEQTASKAENYRLFLGDEGFMEYSMPLSDLVARAKELMGCD >SECCE4Rv1G0280040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:818134602:818137963:1 gene:SECCE4Rv1G0280040 transcript:SECCE4Rv1G0280040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREFKEEGQIVPLLEQQVRSPPIDDAVEAEESPQVPNNVFSDPGPSSGRDVSIRGTEIRSPISASLGAMRPLVGKLDMLLQAPLLQGCSNSKRVKRLMEVMRLLKDDVEKMDSYHDQLSEVDDPPMAANCWMNEARNLSYDMEDYIDSLIFAQPEHPSLVPNNIKTTRSGLKFRFFLKFFSHAKTTKTQVVSIAETLSEFRMYVQEAIERHQRYNLHSCSTLKRWFVPVGPTVPVSVLYDVEAAHIVVDGWMSEFINSLGEDQEQQQQLKVVAVLGPSSLGKTTLAKVLYCRIGKQYHCRAFIRVSKKPDMKRIFRDILSQLQRPDPPQDFRETDLIDNIKKYLRNKRYLIIIDDLWETSVWDIINNAFPEDSRGSTIVTTTQIEDVAVACSCYQSGHVFEMKPLDDDHSRMLFFNRLFGSESDCPDELKQVCDEIAEICDGLPLATISIASLLLSQPVMSNDFFTYILQSLISCFSAVPTSERTRQALNLSYNNLPRYLKTCLMYLNMYPEGYTFLKGDLVKQWVAEGLIYTAEGQDIEKVAESYFYQLISRSFIQPTCVNYYNEVLSCQVHDMVHDLIAHKSAEENFIMPIDYRCQKNVSLSHKARRLSLIFGDARYAKTPANIRKSLVRSVRFSGLLEAMPCLTEFKLVRVLNLQLNGQGHLDNDMADLTGISEMFQLRYLKIASDVCIKLPDHVLQCLGTLDITDARFAPVPWDVNFPRLLHLRLSLPVERDLLDWIDRTRCPSLMSLGKLNHLQELHLTFSSLSTFQHVAKNMEALGSLIGGHGNLKTIAVEAQASSVKNTAASKTGISWDGMEPPPLLQRFEFSPHNSCIFSQVPSWIGKLGNLCILEIAVKGLAAHCADILRGLPALAALSLYVETPPDDKIIFDKAGFSVLKYFKLAFMRGIAWVKFEADAMPSLWKLKLVFDAIPPMDQQWKTYAHSTALINIDYMPGLREVSTKFRGAAADLEYVSMNGVVSNHPSNPIIDVQLADSGSNGNESTETEITTR >SECCE2Rv1G0134070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:899530639:899532027:-1 gene:SECCE2Rv1G0134070 transcript:SECCE2Rv1G0134070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSLARTTGLWSLPTHPRMFFSLVFRVLPKLLGLPPSLLKKFLKYEHIHPPHMETEVGELPELPHELLVDIFALLDIPDLKRASSVCSSWRSAYTSLCNLGLYKRPQTPCLFYTSVSAGESDALLYSLAEKRSYKLTLPEPPIRSRHLIGSTNGWLVTADERSEMHLLNPITCQQIALPSVTTIEHVTPIFNKAGALCKYHYSRHTYGPYGLCTDGPSILALGELRSYLHKKAFLFYDASAGGHIVVLIHNPCWQLSFARLGDDKWTWLREHSYFQDCIYKDGLLYAVTAQGKILAFNLRGPVVTTELIMDIAKDFLDENIYIVQAPCGGLLQVWRTQEASEYVEGADPATEVTNTKKIKIFKVDTTAEKLVGIDSLDDHVLLLGRNQTLCASVEEYPHLKANHAYFTDDCEPYLFGWKNNRRDIGIYDLANNTCEELVSPQLWSNWPNPIWITPSLTRL >SECCE5Rv1G0375960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:870703511:870704470:1 gene:SECCE5Rv1G0375960 transcript:SECCE5Rv1G0375960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQLALALLLFLVVASTSPLALAHGDLDYGGGVKNSEAVGGYTAGAEKKPEAVSVNAEKKPEVVSASAETKPEVIAGYAGAKKKPDVAPNNVDAEKKPVSASGYAGMEKKPKGATKVAEEKKSEVASEKKSKTKSEPSQPSTIEKKPKTKNEKKAKGKNDASGYTGAEKKPKEKVDAPKKEKPKKEEVRNIIPNTYAKPKEEPKKEEPKKEVIAASSRDAYTEPKKEEPKKEELVAAEPKKEESKKKEPKVPEVDTTANYAAPKKAQPETTATVTSDSYTAPKNAQPETPATSTADTYAAPKTAQPDTAAASTTGGYA >SECCE1Rv1G0027470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:383377919:383380263:1 gene:SECCE1Rv1G0027470 transcript:SECCE1Rv1G0027470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAMLTSKHVPENIAADGEGGQQQMHRDEKQHKPVLKKVKDKVKKIKNTIAGHKHGNGNGHDHDHEQDTGGSNSTDEDDEDVAMREAEVEKGGYQQDVEDKPIFADSSPELHGSPMYDSEKIPAAAKEGKHNDAPGVRFGDIGGPAASHEVKHNDAPGVRLGDIGGPATAHEVKRDDAPGVRAGDFGGLAAPHEVKRDDAAGVRAGDFGGPASAKEVRRDDTQGVRLGDFGGPAAAHQVKRDDVAGERLSDFSGPASAKEAKRDDTQGVRLGDLGGPVVEDPAAPNSRTPMPRGGEDIGTTDVVRDFEAMTVSDDPKQVDVSKEYEAMPVSDGTGEEWTDAPTDAEYTGSYTDRLKNTAAGTTEYGKKLASTVYEKVAGVGSVVASKAQQVTPGFGAGGNAQDDSSATAAPESMTAGAEKRDLDLPKEGMPASYTGSEGLKNAATDATGTERLKDAATDATGTEGLKDAATDATTEGAPGATYTDKIMSAAAGTTEYGKKLASTVYEKVAGVGTAVASKAQQVTQSAGTATPGVGAHDGATTTATATPGVGAQDGATTRATATPGAGGPGNGQDKGVTGVTAYIAEKLRPGEEERSLSEAITGAVQQRKEGVGSTVAKAREVPAQAVTRARGAVTSLTGGNRVSDTVQPTTEGNIGEGVAAEGPVLHGEAPRTNTNVM >SECCE7Rv1G0499640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:656049654:656053134:1 gene:SECCE7Rv1G0499640 transcript:SECCE7Rv1G0499640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTGLARFLCLCSFFCGLPLTICDESENDRQALLCFKSQLSGPSRVLASWRSASREFCDWRGVTCSAMPPRRRVIALDLESKGISGSISPCIANLTSLARLHLSNNSFHGGIPSELGLLTRLADLNLSMNALEGNIPSQLSACSQLQVLGLGNNSLRGEIPPSLSQCKHLREINLSNNKLQGGIPSAFGNLPELRILVLASNGLTGNIPPSLGSSHVLTYVDLGRNALAGVIPESLANSSALQVLRLMANNFTGELPKALFTTSSLIAVCLQQNSLVGSIPPVTATSTPVKYLDLRNNHLSGRIPFSLGNFSSLVHLRLTANHLVGSIPESLGYIPTLQKLSLSVNNLSGPVPLSLFNMSSLRILTISENSLIGRLPFDIGYTLPNIQALLLSKNNFDGPIPASLLKAYHLYMLYLNHNSFTGSIPFFGSLPNLEQLDLAHNNLEAGNWGFVSSLSHCTRLTKLMLDGNNLQGKLPSSIGNLSNSLQWLLLSSNNISGPIPLEIGNLTSLNILYMSNNSLTGNIPATLGKLQSLVEESLSQNMLSGLIPDITGNLVQLSMLELDHNSFSGRIPARLARCTQLKIFNLAHNSLDGPIPSKIFKISTLSEELDLSYNYLSGRMPEEVGSLIHLKKMNMSNNRLSGNVPSTLSQCVDLEYLDMQSNFFVGSIPQSIARLSSLKNMDISQNNLSGEIPEPLKSLKSLQYLNLSFNHFHGAVPRGGVFDIAGAVSVEGNYHLCTSIPTGGMSLCSALVDKKRKHKSLVLVLEIVLPIVVVTIIILSCIVVIYRKKRMQSNSHLQHVNEHIKNVSYEDVVRATDRFSSANLIGSGSFGRVYKGSLQFQDHQVAIKIFDLDIYGAHRSFIAECEALRNVRHRNLVKIITSCSSVDHTGADFKALVFPYMPNGNLEMWLHPADHEHGERNTLSLSQRINVALDVAFALDYLHNQCASPIIHCDLKPSNILLGLDMAAYVIDFGLARFLFSAPNAHQDSSISACHLKGSIGYIPPEYGMSGQISTKGDVYSFGVLMLQLITGCSPTDDKFSDGISLHEFVDRAFTKNIHEVVDPTILQDDRNAADLMKNCVIPLIAISLSCSTASPKDRPDMGQVSTEILRIKHAASHMRAR >SECCE2Rv1G0073050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:63784444:63785268:1 gene:SECCE2Rv1G0073050 transcript:SECCE2Rv1G0073050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRECNPCSRMLALFKGRPRAQQLSQPPKPTLLRRAFGKIKNNRRRRRHRSSSFSSVRAVFWPLMSMGSDVDRSFVADRPPRSSSEDSGGTAVRAPSPSLDTPGAASTTAARLLAIQAQISEAAASASPAKQSSTASGAVRAPSPSLDEQAALTTTAARVLALQARLGSAAVFASPTKPITTAVHRPSDVAAACGDGDVEEACRGFERQLMEMLVEEAKVGDLMDVEELLGCWEKLRSPVFVRLVGRFYGDLCMDLFSDLDDDMSSESSDDSTV >SECCE5Rv1G0353060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:713581201:713582031:-1 gene:SECCE5Rv1G0353060 transcript:SECCE5Rv1G0353060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPIEAVIARHEAGLNASEHVVMDESTEAAAAASGVAPGAGGAEAARAAGMKVVVAVDASEESLHALSWALDHVVRLHPGASLVVLHAQHRVDHSAYAGLAYVPPTAMDAMRRTQEENSRNVVARALDVCRQKQASATATVVVGDAKEAICQAVEDMRADLLVLGSRGLGMIKRAFLGSVSDYLAHHARCPVLIVKPPNKARQF >SECCE3Rv1G0211610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:951332519:951333721:1 gene:SECCE3Rv1G0211610 transcript:SECCE3Rv1G0211610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRALLLLLLCSLLAGAANAEPSPDQTYKDCHPGDKAALLAVKAALGEAYHFASWTPDNPCCDWYDVTCDHFTGRVVGLAVFQDANLTGTIPSALAGLPHLQDLTLRHLPALSGPIPPAIGKLSNLSSLRISWTAVSGPVPSFLGALKKLTFLELSFNSLTGAIPASLGTIPNLSGINLSRNRLTGAIPPLFLTKSSDQDVYLWLSHNNLTGRIPAEFAAVNFTHLDLSRNALTGDASGLFGRGKELQYIDLSRNDLDFELSGVVLPEQLYFVDVSHNAIHGNIPAQVASLSNLTGDASGLFGSGKELQYIDLSRNAFDFDLSGVVLPERLNFADVSHNAIHGSIPAQVATAANLNFFNVSYNRLCGPVPAGGNMARFDLYNFEHNKCLCGAPLPSCNK >SECCE2Rv1G0075040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:82096542:82098298:1 gene:SECCE2Rv1G0075040 transcript:SECCE2Rv1G0075040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive beta-amylase 9 [Source:Projected from Arabidopsis thaliana (AT5G18670) UniProtKB/Swiss-Prot;Acc:Q8VYW2] MDALQTQHAAAAASPAARRLRGGGAGHAHAAPGRVGFGRPRRGSSARDVLSVAGPGRFSGQAVGSKNSRQVEDAGTVRLFVGLPLNSVTDGAAVNSARGIEAGIRAVKLLGVDGVELQVFWSVVQPESPDKFSWAGYRAVADMARDEGLSLRVSLRIHGSPGGNVPKLPSWVGAAAAKDRDILFTDGAGGRHEDCLSFAVDELPVLAGMSPLQRYEAFFRSFADAFDDLFESTITDVTVGLGPNGELRYPSYPPGSDVTQFIGVGEFQCYDKYMLAQLKQHAEAAGNPLWGLAGPHDTPGYHESPDTSEFFRDHGSWDSPYGDFFLSWYAGKLLSHGDRVLGMASRVFGSKPVELSAKVPFMHWWHGAASRPAEAVAGFYKSKKKNGYSPVAKVFAQHGCTMVVPGMDVCMNKQQRSTGSSPDKLMVQIKNACRRHGARIAGENASLVMTHTSSFSRIKSNIVTTERMRPSFFTYRRMGAEFFSPKHWPPFMEFVRSVVCGEWDEDDEMAAAVSSYAKDWVAQPV >SECCE4Rv1G0229150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:143127649:143132897:1 gene:SECCE4Rv1G0229150 transcript:SECCE4Rv1G0229150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLVEKYPNIVTPAVEEEDCPAGGGAAVYFDNLYLDMNGIIHPCFHPEDQTACPPPATFDEVFRSMFDYMDLLLRIVRPRRLLYLAVGSFAPSSSSSASSFVLKTYARMLTPWPRACLHADGVAPRAKMNQQRARRFKSAKAAKEAELEENLMRERFRAQGKEVLPPDDGPCEVSDPNIITPGTEFMEKLSAALEYYVRARLSSDPLWKGIKVILSDANVPGEGEHKIMSFIRGQRSMENYDPNTRHCLYGLDADLIMLALASHEIHFSILREDVLRQNHQPDICVTVTDKSFTAQESPKLKCRGWFPRITEARPKGKMPKKPYQYLNIWVLREYLELEIATPDCKHDTERLIDDFIFICFLTGNDFIPHIPSLEIHEYAVDLLIDVYKTTFNKMGGYIVDTNKIKDKHAAYVKVSRLEKFLHELSLHEEKIFLKRFELREKLLRKIQRQAAEDEWNERNYDVVEESADGLEQDSISACTDDRANVTENTLELKRNLKDNLRSKQDLLKNGSSKHDRIRLGLPGWKPRFYKEKFGAETSNEIGRLQTEMVQKYLEGLCWVLRYYFSDVPSWSWYYPFYYAPFASDLKHLSQFKISFTVDKPLRPFDQLMAVLPPESSCALPKCYSKLMGCEESTIQMFYPSDLAIDTHGKRFLWQGVAKLPFIDVKLLLSATKTVEKDLALPEMRRNTIRQEKILLRSSNSLANNAAFAPVSEKKLLISTSEITGWLSQDEDARSNGLFCSPIRDLPDITHDQTISAMFFNPEAMKPISRLPDNVIVPDKTVTESDIRTRPMWHTYPGPRPPTVTCRPDTLWKGRSPAMQQKEEVRNAGTGWIGRGRGAVGAAAAAAATETQQIGRSGFGRARGDAAVGAETLQRMSGSYGRGFQGDRCGDGGAYSFRPGGGRFDGGGDGGAYSFRPGGGRFDGGGDGGAYSFRPGRGRFDGGGDGGAYSFRPGGGGDAGAHSFRPGGAWAGRGGAAEKQQTAWRPAGTWARGGGRGGGGSGQRRAW >SECCE6Rv1G0414230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:630803050:630807984:1 gene:SECCE6Rv1G0414230 transcript:SECCE6Rv1G0414230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRDGAVDLWAMAAELERQFAGYKQRLASSGRISSLVDDDDAHVLVLAANEDDADAAGDLSNGRVEEEVAAVGGVRGRMYEAYTRRRDERLRSVWRARMERKEAEVMALWAQLDARSAGPGRGGAGPAPAEDVDGAAGEEAEDGGERRRSSDVAAPGMISGKKHPRTRRSFSSASLVKSTRPDVGIRRALSQEPPEPPAGTDNGAGRKHGHRVRPVTGAAPKRKALSGSKGASAKEHGSPRQAGLKTKPPRSFPRPSSSGGMGGVREAALPQNANVAAPMSEHGAAPDNGEAQKASSPRPFLASGNGTVKNARAASPASDGGEVVDSAGAATAASGGEPEAKNGEITIDLGRRDAEEIEVQSPEARLGNGEITSDSETEPSYIFIKKKAVVEEEEATRLSDALAGPGSGEPHIQVRDGTDEAPTAATAEDAAARGSSDSVSSMSGRVSAPSSPPSCSSRAQSIERLLVEDAALLRKRREDQSPTGGRSVQAVSTPGSAGRRAYGAAPATSPRGTAMGFKKRFLSFGKKNRGSREGATTVIVDCTSPTIDDDDGASERWRTAADSSRPRVLVSSSDAASDDTDQYAASPQACSLQSLVAAAASPSKSELSEIVPPEKSPKAHRSFFSLRSFNCGRS >SECCE6Rv1G0397330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:389698589:389703717:1 gene:SECCE6Rv1G0397330 transcript:SECCE6Rv1G0397330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQPSLAILVREPDGFTVWPGPPYSPGSSPPQRLPKTACSATSFSSDGSRLLATVASASATVYDCSSFSVIRSFDLPGLTAAALSPTGSYLQTFQKSSSPQVKNVTVWHVDTATALYQHYQKNLSKANWPMVQFSADESVACRMATNEMQFFDTNDFTKGIVYKVRLPGIAAMQLASAPGSHVAGFVPEAKGVPASVQIFSCDKDAQNQVVARRSFFRCSTVQFHWNKGSTGLLIVSQADVDKTNQSYYGETKLHYLTTDRAFEGIVPLKKEGPVHDVQWSSSGSEFAVVYGFMPAKATIFNKKCNPLHELGEGPYNTIRWNPKGRFIVIAGFGNLPGDMAFWDYSEKKLIQKAKAEWSVISEWSPDGRHFMTATTAPRLQIDNRIKIFDYTGSLQFIKEFEKLYQVDWKPESPERFGDIDELTISLNSIKIEETKKQGQSSKSAQTSSKPPVNVATKPAGAYRPPQSKHTAALQDKLFGGLAPTGGEMSKTALRNKKRREKQKEKKAGEGSSADDS >SECCE2Rv1G0089080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:252878180:252882108:1 gene:SECCE2Rv1G0089080 transcript:SECCE2Rv1G0089080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRAREIYKKVVRRVGGEGKLPAEVMDTVKNILPNSKVVMGRAKRGIFAGRHIQFGNKVSEDGGNKSRRNWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGIAWKAKIEKMYSELAGMEVGFFPPEEDAKIEQGFEEVRSAKREFRSESRRALGLAKQSQLEATKADDDETTEVVDANEEVSDVAEKS >SECCE3Rv1G0181100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:604934059:604938591:-1 gene:SECCE3Rv1G0181100 transcript:SECCE3Rv1G0181100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAFDAEAGGPAIVSAAGNGAHKPAPGADADAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGITTLSLIAAVTFYEYSLMSRVLDHCEARGRRHIRFRELAADVLGSGWMFYFVVIVQTAINTGVSIGSILLAADCIEIMYSSLAPNGPLKLYHFIIIVAVVLAFLSQLPSFHSLRHINLVSLLLSLGYTILVSAACIRAGLSKNAPTKDYSLSSSKSEQTFNAFLSISILASVFGNGILPEIQATLASPAAGKMMKALVMCYCVIGFTFYLPSITGYWAFGSQVQSNVLKSLMPDSGPALAPTWLLGLAVLFVLLQLLAIGLVYSQVAYEIMEKNSADATQGKFSRRNLVPRLLLRTLYLAFCALMAAMLPFFGDIVGVVGAVGFIPLDFVLPVIMYNIALAPPRRSTLYIANTAIMVVFTGVGAIGAFASIRKLVLDAGQFKLFSNNVVD >SECCE6Rv1G0404070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:511346652:511348487:1 gene:SECCE6Rv1G0404070 transcript:SECCE6Rv1G0404070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVEAISCSKVADIAAAGPAEAKECAAEHGNGNGNGADAAAAATDGKKCGGGDVAAPLHCGKGELEEDDEDGEKVPEHIDLGPILSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLSIQSPGRPDIFLPLPAEPSGKGVWFTLKEGSLYKLKFTFSVSNNIVSGLRYTNTVWKTGIKVDSAKEMLGTFSPQPEPYAYVTPEETTPSGMFARGSYSAKTKFLDDDRKCYLQINYTFDIRREWPSSS >SECCE5Rv1G0351640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:701535326:701538653:1 gene:SECCE5Rv1G0351640 transcript:SECCE5Rv1G0351640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRKEMEGVRAIVLKPSESLDESRFTKIAGADFNDAGLGLDGLLGSLASTGFQASNLGDAIDVVNQMLDWRLSHEKPTEDCDEAELDPKYREFIKCKIFLGFTSNLVSSGIRDVIRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGEFSLPGALLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSTENVWTPSKVIARLGKEINDESSYLYWAYKNNIPVYCPALTDGSLGDMLFCHAVRNPGLIIDIVQDIRLINGEAIHASPRKTGAIILGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVAATFARRSHSSNSTN >SECCEUnv1G0548240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:182713908:182714129:1 gene:SECCEUnv1G0548240 transcript:SECCEUnv1G0548240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTVEDSSKPRPKRTGAGSLLKPLNSEYGKVAPGWGTTPFMGVAMALFAIFLSIILEIYNSSVLLDGILTN >SECCE3Rv1G0187260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:696886029:696888003:-1 gene:SECCE3Rv1G0187260 transcript:SECCE3Rv1G0187260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSPWLLVVAVVLVAASGRNARADPQVPCYFVFGDSLVDNGNNNDIASLARANYPPYGIDFPGGATGRFSNGLTTVDAISRLLGFDDYIPAYAGANNDQLLSGVNFASAAAGIRDETGQQLGQRISFGGQLQNYQAAVQQLVNILGDEDSAANHLSQCIFTVGMGSNDYLNNYFMPTVYATSRQYTPDQYADVLVGQYTQQLRILYNNGARKVALMGVGQVGCSPNELAQQSSDGVTCVARINGAIEIFNRKLVELVDQFNTLPGAHFTYINAYGIFQDIIRSPGANGLTVLNKGCCGVGRNNGQVTCLPFQTPCANRNEYLFWDAFHPTEAANILVGRRAYSAAQPDDVHPVDLQTLARL >SECCE1Rv1G0014340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:113473225:113477898:-1 gene:SECCE1Rv1G0014340 transcript:SECCE1Rv1G0014340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGARDGAGPGAAAAPPWHSLPDEVLEHAFSFLGAAADRGAAAAVCQGWLGAERRSRRRLAVANCYAAAPRDAVDRFPSVRAAEVKGKPHFADFGLVPPAWGAEAAPWVAAAADGWPLLEELSFKRMVVTDECLEMIASSFRNFQVLRLVSCEGFSTAGLAAITEGCRNLRELDLQENYIEDCSSHWLSYFPESFTCLETLNFSCLEGEVNFAVLERLVSRCRNLKTLKLNNAIPLDKVASLLRKAPQLVELGTGKFSAEYHSDLFAKLQAAFAGCKSLRRLSGAWDAVPDYLPAFYCVCEGLTSLNLSYATVRGPELIKFISRCKNLQQLWVMDLIEDHGLAVVAGSCSKLQELRVFPSDPFGAGQVLLTERGLVDVSASCPMLESVLYFCGQMTNEALITIAKNRPNFTCFRLCILEPRTPDYVTRQSLDAGFSAIVESCKGLRRLSVSGLLTDLVFKSIGANGNCLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGNKPLLANAAKLETMRSLWMSSCSLTLGACRQLAEKMPRLTVEIMNDPGRTCPVESLPDDSPVETLYVYRTIAGPRSDTPDYVQIV >SECCE2Rv1G0124350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:832172346:832174805:-1 gene:SECCE2Rv1G0124350 transcript:SECCE2Rv1G0124350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix transcription factor, Regulator of tapetal programmed cell death, Male reproductive developmen [Source: Projected from Oryza sativa (Os04g0599300)] MIVGGDYFEGSHDHNLMTGSLTHDSSLAPKCNDNTNIELQRFKVQSFSAEILSDSTNLSSEAARAINQLQHQLGIGLEQDIPPVETATWDTSICTIQDQIINHQLSEDPQNILVQQQIQQYDAALYPNSAYTPAPDLLNLLHCTVAPVFPATASVFGDTALSGTNYLDLNGDFTGVAAIPDSGLMYTSDPALQLGYHATQSHALKDICHSLPQNYGLFPSEDERDVMLGVGSVGGDLFQDMDDRQFDTVLEGRRGKGEFGKGKGKASFATERERREQLNVKYKTLRMLFPNPTKNDRASVVGDAIEYIDELNRTVKELKILVEQKWHGTNRRKIRKLDEEAAADGESSSMRPMRDEQDNQLDVAIRSSWVQRRSKECHVDVRIVENEINIKLTEKKKVNSSLLHVAKVLDEFHLEIIHVVGGIIGDHYIFMFNTKVSEGSSVYACAVAKRILQAVDAQHQALNIFN >SECCE7Rv1G0525890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893023977:893024996:-1 gene:SECCE7Rv1G0525890 transcript:SECCE7Rv1G0525890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEVAGSTQTLQWKCVESRVDSKRLYYGSFILSPLRKGQADTVGIALRRALLGEIEGTCITRAKFGSVPHEYSTIAGIEESVQEILLNLKEIVLRSNLYGVRDASICVKGPRYITAQDIILPPSVEIVDTAQPIANLTEPIDFCIDLQIKRDRGYQTELRKNYQDGSYPIDAVSMPVRNVNYSIFSCGNGNEKHKILFLEIWTNGSLTPKEALYEASRNLIDLFLPFLHAEEEGASFEENKNRFTPPLFTFQKRLTNLKKNKKGIPLNCIFIDQLELTSRTYNCLKRANIHTLLDLLSKTEEDLLRIDSFRMEDRKHIWDTLEKHLPIDLLKNKLSF >SECCE1Rv1G0054720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:676171246:676172445:-1 gene:SECCE1Rv1G0054720 transcript:SECCE1Rv1G0054720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRRKQQPAAEPASLPEDLLVEVLARVPYRSLCRFRCVSRPWRALCSDPVLRKRSPQAVSGFFCYTRDDESDELRFLNISGRGRPLVDPGLPFLRAVWDGGVRAVDCCGGLLLCQCWTKSSVLGALHDPYADPDVASDSEAEADAVEDVAQYVVCNPATEEWTVLPAIEELRPRNVIRLGFDPAVPSRFAVFVLLQDAEDDHDAGVQIFSSETGTWTFRQSNWGEGSVNVDYYNGSPSTFFGGTLHLTTRDSSVITVDTEGKTWHKIRMPLSVEDTSDIGFIGHSQERLYALHMNYSDDDCQLTVWVLEDYATGQWTLKHTADMSETVGDDEVCTFVAVDSEGNLIFQINGRDEQLVSYDMEKRKFHVIFSFESYFTLRCDPYIPTYQEWLPKAAP >SECCE2Rv1G0116130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:761586526:761591069:1 gene:SECCE2Rv1G0116130 transcript:SECCE2Rv1G0116130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHQMAAAAPENRPPRSLFDLPSDFFDSYVLFRSHPALAPSLAEPREPSRPAPPPLQQQQPPEAAGFRWTCNTCAGEFDSLQEQREHFKSDLHRLNVKLSVAGKSIIKEEDLEKIDSDSLFDDLEVSSVSGSEDEREDGPASDHRLSVKGKEDFRKKLFFRGHSGDTVSFWRCILFKEHEEPFFDCKSGKMESHGSTSYVHEDEMINRVKQLACEPRNALHLRIIILTSGGHFAGCVFDGNKILANKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEVQELIVSWKPYFDVCVCVYIYAPSKNRQMLFDGDKAQSVLQACDIRPLPLSVHRPTLKEAKRVYNNLTQLYYETECSIMGEVLPLVENVTKFEQSTEAREEISVPPEEAILESLNSHEAMTMLPSSNNATTPLHEAAKAGSVEQTLELLEQGLDPSIKDERGKTPYLLASDKEVRNTFRRFMALNLDKWDWHAADVPSALTKEMEESQAAKQAEKDAKKKARAKELKKQKKAKEKEKEKEKEKEKALALQSQPVGKVAKPTASMPGLKHKNPQAVALSMQEERDRKQADEREKRAAAAERRLAALASQSGSTSGAAAAGSSTQTTAPANDATCSCCFSSLAGKVPFHRYSYKYCSTTCMHLHSEMLEDD >SECCE6Rv1G0382710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:46782611:46796638:-1 gene:SECCE6Rv1G0382710 transcript:SECCE6Rv1G0382710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDQSSVLVAEGAIKSIKLSLSTEEEICTYSINDCPVTHPSQLGNPFLGLPLETGKCESCGATENGKCEGHFGYIELPVPVYHPCHVSELRQLLSMVCLKCLRIKKGKVKQTNGKENVSVTACHYCRGLPALYLKEIKTEDGAFRLELRAPPKKHMTERSWEFLDKYGEFHHGGASHSRTLLPVEALNILKKIPDDTRKKLAARGYIAQPGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLLKKILQKIEQIKKSRSGSPNFESHDSESTDLQLSIGQYIQLRGTTKGPQDAKRFAVSTDSSHLSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGADVVGLPSEVAKRITFEEQVTDININRLQEVVDKGLCLTYRDGQTTYAISVGSKGYTTLKVGQTISRRIVDGDTVFLNRPPSTHKHSLQAFTVYIHDDHTVKINPLICSPLAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTNSHNGKVNLQIANDSLLALKHMSSRTMLSKESANQLAMLLSLSLPPPAVVKSKPCWTIAQIMQSALPAELTCEGDRFLVRDSTVIKLDIDKESVQASFSDLVSSILAVKGPGGALKFLNALQPLLMEFLLLDGFSVSLQDFSVPKPLLEEAQECIKKQSLVLEQSRCSNSQSVETRVDNNLKDVKQQIADFVVKSSRLGLLIDPKSDSSVSKVVQQLAFVGPQLYREGKFYSRRLVDDCFSSFVNRHPPIEDGVQHPPEAYGLVQSAYFRGLNPYEELVHSICTRETIVRSSRGLTEPGTLFKSLMAILRDVVICYDGTVRNICSNSIMQLKYKEEDDTDFPSAIAPGEPVGVLAATAISNPAYKAVLDSSQSNNTSWELMKEILKTKSSYKNDAKDRKVILFLNDCSCPKKFCKEKAAIAVQGCLKRVTLEDCATDICIEYQKQISLAAISEATPAFVGHIHLEKAYLEKINVSTDEILQKCQEVSGKHANKKGTLGHLFKKITFSTCDCIFAQKPGDGKVPCLQFSFSEDIPMLSDSVEKAVNVLADSLCGVLLEIIIKGDPRVQEAKITWVGSDATSWVKHTKKISKGEPAVEIIVEKKEAMQNGDAWRIAMDACIPVMNLIDTQRSIPYGIQQVRELLGISCSFDQVVQRLSKTMKTVAKGILKDHLVLVANSMTCTGNLNGFHNGGYRATFRSLKVQVPFTEATLLTPMKCFEKAAEKCHSDSLGSVVSSCSWGKHAALGTGSSFQILWNENQLKSNKEYGDGLYDFLALVRTDQEKAAYTFLDDVDYLFEDNAMDDICLSPEMDGTHGMPTFEDNPEQQITGKGSSWENDTTAGASWEQNANAGSDSGNWGGGSTVENDSTDWVGWGTGPANDKKIVSGEPAEPDTWADQGAKKDTEGGWNNAAAAADTGAAKPAEQDKSCWNATPAVENDSSGWGGWGTGNAKDNNIVSEGSAEVDTWANQSGERDTDGGGASWDKMKVKDHNMQQDQWGHVPTQNVDAQDDPWGSVAQPSTAKHIDAQNDSWGSVAAKAQTSSAEHMDAKNDSWGSVAAKTSTAEPMDSKNDSWGGVVAKASITEPMDAGNGSWGSVAAKAQTSTAQQESWGNVSASPSDNAWGAAPVSQGPDNSDAKQPDSWEGWGSAAPVSQGPDNSDAKQPDSWDGWGSAQADDSSTDKWKTNASGNNKGWKSDGWEAKENRRDQRDNPGRPPMRPPVERPPRPRYELPTEAKKVLQEIEPIVTMVRKIFRESSDGIRLPLEDEKFIIEKVLEHHPEKEKKVSGEIDHIMVNKHHIFQDSRCFYVVSSDGTQTDFSYIKCMDNYVRKNHEEHAELICEMHFKKRNRDRPPVVEGGATPATEAGASQPTPGEDLEAALPGPPPGTPPPSAITQQGETPASPSATPEDDLPLPPPPPLPQHEDGTPEDDLPLPPPPPLPQQEAGTPGSAAAAGAVDDKWAGAGDDKWAGGADDKWV >SECCE1Rv1G0000100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:648422:650285:1 gene:SECCE1Rv1G0000100 transcript:SECCE1Rv1G0000100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVEEDDDDCFVEPFFYDEAWDLAMRARALEMTRREEEEKARQEEEAARKAEENRRRGLDHERVMASIVEYDPKTKRKVYTRYPFDDFSLFDINEESPIPPMRYTKRYVDGLKLQDTANILSIKIVSSDKGFPINVYGTIIARDSIDHKCMYLFNRTRDNCQPIKSRDENLILTGPGRGLVLLDFIYLEIDLKIKLYEGPLGEQISKGLLMIDGRVLPRDEKVTVDHQTLESWFSIVEVKYATLLNAVEGTFEIKLLEGRFCGKIMASIEGIEPRIVIYNSDEDGVVSCGDRAVITPRRRVMTLRLNGMLTFGFAAHGGGAAATRQWKVEFTPRHRGEEKKEISCGIAKLQVKVFWSMLDYRP >SECCE2Rv1G0119740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:793992616:793993248:-1 gene:SECCE2Rv1G0119740 transcript:SECCE2Rv1G0119740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNDTKLAAVDDAENPAVVCGDVDNSESSIDFAGRANWLRAAVLGANDGLVSTASLMLGVSAVKPDARAMVVSGFAGLLAGACSMAIGEYVSVCSQRDVEIAQLDQAGKRGGDEEKELPNPAQAAAASALAFSVGALLPLLAAGFIVGYNLRVAVVVLVATLALAAFGCVGAVLGRAPVARSCSRVVVGGLAAMAVTFGFMRLFRASGI >SECCE1Rv1G0023970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:317178266:317184807:1 gene:SECCE1Rv1G0023970 transcript:SECCE1Rv1G0023970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPALRSFPAVYGVKPAARLVRHHSRLGGSLRASSVAVNGEVGLRNRGGKKEDAAKEEDRGLEPLYDDGFGGVTVKDYFAAARTLCKDDGGPPRWFSPVECGKPAVEDAPLLLFLPGTDGVGMGLILHHKSLGKAFEVRCLHIPVNDRTPFEGQLQIVEQSIQYEHDLSPNRPIYLVGDSFGGCLALAAAARNPHIDLVLLLVNPATSFARTPLQPILPLLEAMPSDLHVTVPYLLSFVMADPLKMAMVSIENNLSPPETLQKLSESLTSLLPLLSQLADIIPRDALLWKLKLLKSGAAYANSRLHAVQAEVLFLASGKDNLLPSGEEADRLFKALKNCRVRYFKENGHTLLLEDGVNLLSVIKGANMYRRGRQRDFVTDYLPPTLSEFKKTFDEDHKLFHLALSPVMMSTLTNGKIVRGLAGVPDQGPVLFVGYHALMGIELSPLYEEFLREKNTIVRGMAHPVLFGSNYETSRQEFSRFDTVSMYGGLPVTPINMYRLFERNQYVLLYPGGVREALHRKGEEYKLFWPDQPEFVRMAARFGVTVVPFGFVGEDDILELVLDYNDQKNIPYLREWIESINKDSRRVRDSVKGEEGNQDMHIPAIVPKVPGRFYYLFGKPIKMEGMNNVLTDRESANEVYLHIKSEVENAMAYLQRKREEDPYRSIAQRAVYQATQGVSAQVPTFEP >SECCE4Rv1G0265560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726246498:726247829:1 gene:SECCE4Rv1G0265560 transcript:SECCE4Rv1G0265560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSDEDSQILFHRRIFQSEEKCPEDLQVVSRNILKKCGGVPLAIITVASLLVSNQRLKQKDEWMHVHNSMGRGVTQGGIVKDMKRILSLSYYDLPFHLKTCLLYLSIFPEDYEIEKDWLIWRWLAEGFIQCDKKESGLFEIGESYFNELMNRNLIQPAEINYEGTVVTCRIHDMVLDLICSLSSEANFISILDNDEWHAPNPQRKFRRLSLHNIKAKCHNHQFDSTSLSKVRTFAVFSPVTCDWLPSLSSFQFLHVLDLGNCGSHKSSSAISLKYVGNLIHLRYLGLKDADVRKLPMDIGKLQLLQTLDIRDTSIKELPASFVQLRNLICLYVDKDLMLPKEMGKLKSLEVLNRVDLSSSPHIVKELSHLTEVRTLSINCYDMDEDLIDVLIKSLGNLHRLQNLCIRDGGSLIDGMRENWVPPPHLRSLDSWDVFESSWFS >SECCE2Rv1G0137000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:915871370:915873073:-1 gene:SECCE2Rv1G0137000 transcript:SECCE2Rv1G0137000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPQSRAYAAILQRSAADPRRVASLHAAILKPGLLARDQFLANHLLIAYFRSPLRPRRHGLRLLDEMPRRNAVSWAAAVSGLAQGGRPREALALFRAMRREGAPPSEFALVSALNASSLLAAGAHARQLHALAVRLGFASHAFVLNAFLAAMVRHERLADAVRLFEWASGRRDVVSWNTLLAGLARRSRTRMWILWRRMAREGVGADGFSFSTVLSGLTADADTTSGLQVHGQLVKSGFGDDVCVGNSLVEMYTKNGALESGARAFDEMSRRDVVSWTEMAAGWLHCGEPAKAIGVLGPMMLEGIRPNNYTLATAVNACATLAGPSEGRKVHGYAIRLGEGSDVGVNNALIDMYSKCGLVDTAHRVFQSMRQRPVISWTTMIMGFARNGQPQDAVKVFDDMLLEGIAPNHITFLCVLHACSQGGFMEEAWIYFRAMTDKFRIEPGEDHYACMVDLLGKAGHIEDAEELISRMPFRPGVLVWQALLGACQLHGNEAAAKRAAERALALEKEDPSTYLLLSRTLASRHDWGGAGRSRGLMGDREVMKLPGCTWLQSMPETESAQACTA >SECCE2Rv1G0075920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:86630473:86631759:-1 gene:SECCE2Rv1G0075920 transcript:SECCE2Rv1G0075920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLRSNPGDVPPTTLLTLPDEMLEEILLCVSDAADLVRASMARVSIRRLVTNHRFLRRFRARQPPPLLGIVSPYPRPRWDRPLLNLAQPPHPSAAAASAFSGLDAADFSCAFLPNAARWRRRDMRDGRVLLSGIPEGMKFHSIFFVRDLAVCEPLSRRYILLPPIPDDLAALAPDLLCFEPFLAPPAAEDEGGMSFRVICLVQCKTKLVLLIFSSGSGAGQWRAVTFDNWISLLTGSDNQPESYRRSRIRHYAHGYFCWVLSPASKLLMLDTCSMGFSVVDLPHGTSETEAQVAILEAENGRIKMFINEHFTTELRYYVLQNDGVAANQWLSEATFSLPVNNGGYMFMGVAGGYLLLQGLGEGKYPFHLVSLNLKTLQLEWFLASMRNNKGAHLFAGFPPSLSPPTLRHGNNLPLYLHTYILHSI >SECCE4Rv1G0260740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:698292830:698295250:1 gene:SECCE4Rv1G0260740 transcript:SECCE4Rv1G0260740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAMAATRGGAYIFTTLLLLMIPVALAKGHTNGGSYLTRGTSVSIEDGTKAATTITILASPNGAFACGFYRAATNAYTFSIWFSGSSGKTVAWTANRDAPVNGRGSRLAFRKDGALALLDYNGAAVWSTNTTATRARRAELLDSGDLVIMDADGRRLWGSFDSPTDTLLPSQPMTRHTKLVSASARGLLSSGLYTFYFDNDNQLKLTYNGPEVSSVYWPDPFTTPLVNHRTTYNSSQYGVLEQTGRFAASDNFKFAASDLGDKVMRRLTLDYDGNLRLYSLNATTGGWSVSWMVFRGVCDIHGLCGKNSLCKYIPKLECSCLRGFEVVDASDWSKGCRRKANIRTSRDFSFRKVARADFIGYDLLYWERVTIRNCKYLCLDNLNCQAFAYRQGEGKCFTKVYLFNGKNFPNPHTDIYLKVPRGVLSSSELASTVTHACKVHQKEANTSSLMFQDGSSDFKFGYFLSSALTLLFIEVVLIIAGCWVAHKWERRPEIIDEGYTIISSQFRIFSYRELQMATNCFQEELGSGGSGAVYKGVLDDERKVAVKKLNDVIQGEQEFRSELSVIGRIYHMNLVRIWGFSVEKTHKLLVSEFIENGSLATVLFDQQSNSPVLQWGQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDRDFEPKIADFGLMKLQQRGSSAQMLSKVHGTRGYIAPEWALNLPINGKADVYSYGVVLLELVKGVRLSSWVVEGEEEVEMAGVCSIEILQEKLAGEDQSWLLEFVDHRLDGEFNHSEATVMLKIAISCVQEERSRRPSMSHVVETLLSLVE >SECCE5Rv1G0335900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:580383150:580384964:-1 gene:SECCE5Rv1G0335900 transcript:SECCE5Rv1G0335900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDYVPGLLMGSAGLDFGVPGLDAGFFETLCGAGGAGLAAMFGDRAAAMPGFGGQFGPAEGGGGGGELGAASREGSSVSDPAWAHGANARKRKAPAAAAGKGKEAASCFAKMGEPTGPDSKKCKIEDEAVRPKVEEEAAATASDGSAGGEKGRKQAKGKGSKSKQPAPDEPPRDYVHVRARRGQATDSHSLAERVRREKITLKMKMLQDLVPGCNKVIGKALMLDEIINYVQSLQQQVEFLSMKLSTVNPQLDFDTLSNLLHKDMNQALGPSASTVFPLESAGTVYPLCDQADLFQPFSSAAMENQCSMGLLDMALPHAPQYPFQKQQQDFWEASAQNGLHMDHEQSQENAGSAPNFDGQLQAADHPEVEF >SECCE7Rv1G0461140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:36002551:36006345:-1 gene:SECCE7Rv1G0461140 transcript:SECCE7Rv1G0461140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPPPPALPDELLQEILARLPPDDPASLLRASLVCKAWGLAVSHPGFRRRLHELHRAPPLLGFFSTWASDSTPHFIPTTASSFSLHAPDCHSWRAVDCRHGRALFLSVNKKAETLLVWEPITGAQNRLPVPAAYDTAKPYPGAPMYSNAAVSCATDGCDHRGCLGGPFRVVFIFEEHTKEEQNVTWACVYSLENGAWGELTSLHTERSIEITDNSSVLVGSSLLYFRASASILEYDLARHALDLFIPPDNDENVCFNLILTEDDGLGLIEDWCQHLKLWTLENASDDIDALWVLNRVIHLNNLFPASAGLNEGYGVWVMGFAEGANVIFVHSVAGVFMIELQSEEVRKVFADSFGSVVPVVTFYTPVLRGDKQYMLVSNPSGVAYGEERGDREKTMDQGQQLFDKGSNATNEGDFVNTFECISLDHHIGVPRYGEVALGCASTFDKYGCAYKAQEVNDSVDDVIRSAPNEELVKDTASDDDAGDSKASGSSVEDTSIFGERKAGGWNGNLSCI >SECCE2Rv1G0139210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:926002979:926009857:1 gene:SECCE2Rv1G0139210 transcript:SECCE2Rv1G0139210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAQRAALLRESLGKSQAATDAVVSILGSFDSRLSALDAAMRPIQLRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPNENLQGFLDAVDRLRSIERFFSSNRSYSSSDRVLSHVNGLLSKALVKMEGEFQNQLTQRSKPMEPDRLFDCLPSTLRPSAESRSEGGKHPPGGPQSDNHETAEAAVYKPPALIEPKFVPLLAKLAQQLVQAGCQQQCAEIYSEARASALESSLKNLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAVKLLFAGERQLCDQVFECSQSLRDKCFSAITKNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQTEIDTIFVGESCSQMRDSALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHTDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKREDGSGSELASVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANQYRRVAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRTAVKERFRSFNLQFEEIYQKQCGWSVPDSELRESLRLAVAEILLPAYRSFQKRFGPLIENSKAPGKYVKHTPEQLELFLGNLFEGKQERP >SECCE2Rv1G0080520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:130501694:130502985:-1 gene:SECCE2Rv1G0080520 transcript:SECCE2Rv1G0080520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMGTALRPAMAAAASNTAHRPRPLPATASANRSITSRPTASAPPVRTLVCTAPPRRAAATTARAAGAGAPSSASPDAVTYSSSIDTDMPLYEPPGVSFDEYLEDRPRVFRAMFPDESRSQQLSDEEWRIQMLPLEFLLITVRPVVVMQLRSRAAGGLDLRITEWELRGLDSGYTPASFDLGVRGSLYADRGQRRGSRLRGHLEISITVALPPPLRIVPEAVLRGVAESVLSTLAERMKRDVDVGLVADFRRFRLEKAASRAAVARADSKA >SECCE4Rv1G0261210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700566518:700567029:-1 gene:SECCE4Rv1G0261210 transcript:SECCE4Rv1G0261210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSHSVITGLSLSVSQSPCTIKPGVAALSFHQFFEGFALGGCISEVIVHFISALWLAVPHLKAQFKNFSALLMAFFFAITTPVGITVGEGILDSMSFGIPIYMALVDLIAADFLSRRLSCNLRLQVCSYVALFVGAIAMSALAIWP >SECCEUnv1G0555380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:298858153:298859515:1 gene:SECCEUnv1G0555380 transcript:SECCEUnv1G0555380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEGTSRRRILDYLNDGEELGVEGPSSSSAAATPRALAAARSLLPRFRWARLARLGGKCGAGDGKARPPKAVAEEETVAPEVERELSLMDASGASASSATCAAEAGDASGGDTTRPPSGLGVGLSLVFLLAKTSDEFSKMARVRAEMEALIRDFKGRQAMAAANTRGDDDVSGALNPESAASSCLTDGNEPQAATARCEDHRRRHHRHQVASSSGAEMEAASRRRMDVLEEEFHAELDRVRARYGADTPPFSTGEERDEGGAEPSDYDDDDGIADCRQGFESDLGDDDDDRDNNDDEEEDDDDSDRYHGVSAIELERRLHELLHQRNQERIGELEAALRRAEKRLFDKEMEASLWKDTAKMAFRRDHDHHHDDNDDQSP >SECCE4Rv1G0220190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:36074720:36075076:1 gene:SECCE4Rv1G0220190 transcript:SECCE4Rv1G0220190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSTGCFPALFLALNLLLVAGVGGQTPAAGRNPCPSNALADLKVCADVLVLLKLKINVPANQQCCPMIGQLVKLDVAACLCAAIKLSVLGIPVNLPLDIPLVLNYCGRNATGSKCS >SECCEUnv1G0570210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:461847198:461847575:-1 gene:SECCEUnv1G0570210 transcript:SECCEUnv1G0570210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGRADDGHRGHLGPPGFGRRRGRQQSTPRAERRTSKSRSAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTR >SECCE6Rv1G0428120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:724227944:724230607:-1 gene:SECCE6Rv1G0428120 transcript:SECCE6Rv1G0428120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAELLRAQLEEQRIEGDEPILEDDDDDDEDDDEDEDEKDDDDVEGGYAGGRSRQSRSEKKSRKAMEKLGMKVITGVSRVTIKKSKTVVYVLSKPDVFKSSQSDTYVMFGEIKVEDPSTELQTQAAEQFKEPGPSSVISKGEPSVAAAQDDEEVDDTDVDKKDVELVMMQASVSRSRAVKALKAADGDIVSAIMELTN >SECCE4Rv1G0229830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:154158273:154162252:1 gene:SECCE4Rv1G0229830 transcript:SECCE4Rv1G0229830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTAIAISSSNPKSRSKSPRNSALASAISAASGSTGPPHLGSGGAQDAGRGFGGLLSWLFNAGAAHAADEKPPSRDWDAHEFGARTTVPFARLQGAKRYKVSDIRFLDRRALGGGGARVTGPDDPLFEGAALRPGEVYTRSQLLGELEALSSCGMFEAVSVEAVRPQPDGTLGLTVSYAECLWPPAKRFSCANVGGLLPAQADEVDDGDMTEREKIALRRRHERAYQQRLGRAKACILPEPVRGEVVEMVRKQGRVSARLLQRIRDCVQRWYHDEGFVCAQVINFGNLDAGEVLCEVVEGEVTGVEYQFQDKLGNVVEGNTQLPVIDRELPQQLRPGHIFNIGAGKQALKNINALGLFSNIEVNPRPDDTKEGGVIVEIKLKEQDPKSADVETVWNFVPGDQGRPTLASIQPGGGVTFEHRNICGLNRSLAGSFSSSNLLNPQDDASFKLEYTHPYLDGVEDRSRNRIFKASCFNTRKLSPVFVAGPNMDDAPPIWVDRVGIKANITENLTKQSKFTYGLVLEEITTRDESNDVCTHGLRTTATGALGMDGPPTTFSGTGVDRMAFLQANVTRDNTEFVNGETIGDRCIFQVDQGLGIGSRNPFFNRHQLTVTKFVNLNKQEKGAGKPPPAVLVAHGRYAGCVGDLPSYDAFALGGPHSVRGYGMGELGACRSLLEVATEVRIPVPVMKNTHVYAFAEHGTDLGSSKDVKGNPTEFFRRAGQGSSYGVGVRLGPLRAEYAVDHNAGTGSVFFRYGDRF >SECCE7Rv1G0454970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5194788:5197606:-1 gene:SECCE7Rv1G0454970 transcript:SECCE7Rv1G0454970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLCLLAASAAALRHHHAAADVPPSDKVVFILAGQSNMAGRGGVTGAHWDGVVPPDCAPSAAVLRLSPALRWEQAREPLHQGIDGNRTCGVGPGMSFANAVLRSGAARGAAVGLVPCAVGGTRMAEWGKGSELYGDMVRRARVAVETGGRIGAVLWYQGESDTVRWADASEYARRMGALVRDLRQDLAMPHLLLIQVGLASGLGQYTEVVREAQKGLKLRNVRFVDAMGLPFQDGHLHLNTRAQVQLGHMLAQSYLTYGHAHPLRTPTPWWLQVTTLACCFIILWREPYTSVLI >SECCE5Rv1G0364120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:798634962:798644324:1 gene:SECCE5Rv1G0364120 transcript:SECCE5Rv1G0364120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQVRNEYGLADPALYAPEGEEDDPEALLEGVAMAGLVGLLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRMQQLEAEFPAVEKAIIAQTDHSNCLHDDGIEWHSNLQLNQNLITIGDMPRFILDSYEECRGPPHLFTLDKFDVAGAGASLKRYSDPSFFKMGQASNMIEPNYLREKKPRRIKKKAMRRKGETLESLLIANSESQITSSKDRSSRKVPPRTTKLKSRHLRDSYNKTISRICREQLQEVISSQQKILSNYSARNYHAKFRLTDSSEIISSCGELDSFSAPTQSFTKLELTKVVPIDESDTLVTVSEPINGSVLCKVDDGRFVATQQEHSEMEHICKGSPLHSVQEEELQLAVVPVYHDDDPCRPDDIASDQDKFIDALNTESEGKADHNMKSQKNLSAEMEVNNLNRDGKEAESVLDVQFSELGPAEDLSSGLSNPCNDTEPTRADSFLLSDSSPSAVSDTKDTDSDSDSCKQLSGHNLINDKESCNDVDLMDVSSSSSVTSDDNGNFETDTSLNGCKQYQEVSFLPSNDNHAIAIPSSEKQLSQTSSGLDGLASISSDYHEIAYRSAEDGQNNVVDGTSTVSGEPNDVSHAGGEIEVPHDLLLHSGTVNQEQIQLSEKQFHAGGEIEVPHDLLLHSVTVNQEQIQLSKKQFEECTSLATVVQETDSKLASLPDMDPVVHMNDLEFNNVALPAESNTSTTPTSLDPDGIHNHPDKLDSGVIPIHSITPDNPSYESDHSELVEELHCLPDEDLYKHITEDQELAALEKGPCSVRLDTHQEDSMQASAVPMHFSNIQVIPGLTEPVPTFQDDTEAHTSEMLEQSPRLLNDDIKSSLIDGPSASSTAPILDTVKSCMEHHESIEMVKNVEHSEVLVDAGVVEESTTGRFDDDMVPSEEEHTDGAKHTEKAEVDAEVVEDSTTNRFDDDMIPSEEEHTDAAKHTEKAEVLPTNSSHDIPLQPSPFREDIEAVEATCENLGSLEESRGHIFRESMLQTANLRQPIEIETSGETLGGSDDILYVPPLHFPEESSCQEELPEETTPIAEEVPCQSDLDKDVTISPNSNMVGEQLSDVDQDLVRELSAQDFFGTNPFIDPGYIVSSTDPLPSMSYQPCCSEEEDDFLSELLIQQVNTQAKENMYPLDDSLWEPATPPDEAPLPSEVMTEQDFRSLCHEYHEIDFTAATEGFDSKPSSDSNDIANDCVVSVLDSPSSVSLLPAELDQEVVCSKPDSQLAECSSARDITGETIVPLSAMKVPDGEKQAVDSDLRSHESFGDEKNPELDIPSVPVKSEQEQHPLPEVVPVKEEQDPCANLPPHAFINEKVGELDVHSSNSPVEPLVGAHGLDELYVLPLRKPIPTQESDSCVLDGPNSQSVPSCSADKTVDDLDFPPLRIALEAEESEDQFTGENDSQTAISYICEKIEEPAVPPSNAVLVEKEAEVCAPSELDSQIASCSLPNKKIDELDCPPLSGSALIEDESVDHISVVPDPQIAPYSSVNDKIVGAGASTSVNDTEAGWETCPSPELDPQFAPCPLSDYNVGKLDGTPSCSVQVEAENGPYHSPEFGTRIAPYSSVNDKIDVPGAATSIHDMEVEQGWEACASPELDSQIAPCPLSEDRVSEVDGSSSCNVLVEAENGPYHSPEFGTRIAPYSSVNDNIFVPGAAPSIHDMEVEQGWEACASPELDSQIAPCPMGEDKVGELDGSSSCSVQVEAENASYCSPESDSQIAPGSLNSSTLAERSTVASTSVMHSTKEIDRVSPVPPHTEPFQSVCSEDPPKLPPLPPLQWRLGRPRLGLLSTKDRAPDPASRTTSILPVSSQDMDNGLVSLDGMAEPIASVSSQDIKERYQNSMVDNSDQRVQSGIPSALFPTANDVAKEHDRPFSEDRGSIKHQGHITSSPTETEEYLNDSGATAHVINQQDHQQHLLCSDISDIAEHLSSTDPAASEDDKTVDDHNAARSVHFHTVSSSTPGHVSENGSCQQSQHGESLSGTSENEEHSSNASDEEKNLNEQSITSGLSLARTNYTASGSVLEEGKSQERQLQEQNEDNLKGCPPEGQSLTAESIVSEDYPHGDHNLDTENILQPNPSWPSNISKYLDDLGEGGYEQAEQPPVMGWTVGPQMLHPNYGISTEGSRFEPEVTDYRLTRKPVSVRNIPRNPLVDAVAAHDRSTMRKVSELAPATDKPNTNDKNLWLEQIRNKVGELAPTADKQNTNDKNLWLEQIRNKTFDLKPVGSAKPTSMIGPARASSGNLRVAAIIEKANAIRQAVGSDDEDDDNWSDT >SECCE2Rv1G0103770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:595016775:595017672:-1 gene:SECCE2Rv1G0103770 transcript:SECCE2Rv1G0103770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase TCHQD [Source:Projected from Arabidopsis thaliana (AT1G77290) UniProtKB/Swiss-Prot;Acc:O80662] MQLYHHPYSLDSQKVRIALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIFRAIDIIQYIDRLAVHLSGEIQPVNTEVLQWMQKVDGWNPKMFTLTHTPLKYRAFVSKFIRRVLIARMAEAPDLASMYHVKLRDAYETEDRLKDPETMRQSEEELSKLLDDVEAQLNKTKYLAGDEFSPADSMFIPILARIALLDLHEEYISSRPRVLEYHTLVKQRPSYKVVIGKYFNGWKKYRTLFRTSFFLCVRTLFRKY >SECCE5Rv1G0355630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:733160858:733162153:-1 gene:SECCE5Rv1G0355630 transcript:SECCE5Rv1G0355630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGDASTSEDPRACREPSRRRRLRSRATAVRAPPLADVLREHALVHLPPAAAARLRLVHPSWERRLASPLFAVAHAAAPRRMSGLFVPKAGFFPFDGPDDAVPSPTLAFAPASEEVTVLSSSRGVACCYSPADDAFFVCNPATASWAPVPSPPCRTWPRPAIVVLFHATPYNFRGDYALVCAAECAPGSGAYCFLVFTSGTGEWRATDAVVPAEGLVAASGVAAGGTAWWRTSVGTAVGYSPLTERVEMVLCPGDSGMWEIGSAGGKLHCAVRDYDNSVAVFRLDEDGSWEEAAARVPVAELLPRWQTKRAGHENGEASGQELVARSSFIVENEVVRLDDGVRLLGFQGAELEVVALAGRRLVAFDLRTRRRREVRVPVVQEEEEKKEKRWDGAEYAAHTNTLALVAPAVLACEPMLVEERPDAGEVAFS >SECCE7Rv1G0504970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:728748671:728752976:1 gene:SECCE7Rv1G0504970 transcript:SECCE7Rv1G0504970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCQNLGLFFLHSAPGPRIPRNGPASDQPLPASTEALEADLSAATISKKQLNKEARKAAKAAKAEKAGRAEKAQAEEEEDPCAANYGDVPLEELQSKVISGRSWTDIGDLDEAAVGRSVLIRGSAQTFRPVSKTLAFVVLRQSMSSVQCVLVARADAGVSTQMVRFATSLSKESIVDVEGVVSLPKDPLKTTTQQVEIQVRKVYCITRAIPTLPINFEDAARSEAEFDLAERTGEKLVRVHQETRLNYRVIDLRTPGNQATYHIKFEVENAFRQYLSSKGFIGIHTPRLISGSSEGGAAVFKLQYYGRDACLAQSPQLYKQMSLCGGFGRVFEVGPIFRAENSNTHRHLSEFIGLDAEMEIKEHYFEVCDIVDGLFVAIFKHLEENCKNALEAVNKQYPFEPLKYLEKTLKLTYEEGIQMLKESGTEIEPMGDLNTEAEKKLGCLVKEKYGTEFFILYRYPLAVRPFYTMPCYDDPAYSNSFDVFLRGEEIISGAQRVHTPELLRKRAIECGIDASTISSYIESFSYGAPPHGGFGVGLERVVMLFCDLNNVRKTSLFPRDPQRLTP >SECCE1Rv1G0042260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:579079001:579079702:1 gene:SECCE1Rv1G0042260 transcript:SECCE1Rv1G0042260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGTAGPPPSSAGDAPQPVALAMAPPQPQQPPPPQQQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLKYLDQFGKTKVHASGCAHFGQPSPPAPCPCPLRQAWGSLDALIGRLRAAYEESGHAPESNPFAARAVRIYLREVRDGQAKARGIPYEKKKRKRTPALPAGEGTSSSSAAAAVPGRGEGGEQSGSGTAAASPLPSPTGAQAEGSSVTAAPSTSRV >SECCE5Rv1G0339180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:605747310:605750426:1 gene:SECCE5Rv1G0339180 transcript:SECCE5Rv1G0339180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKEYTYAELEKEPYWPFEKLRISITGAGGFIASHIAKRLKGEGHYIIASDWKKNEHMEEDMFCHEFHLADLRVMDNCLKITTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAGRINGVKRFFYASSACIYPEFKQLETVVSLKEADAWPAEPQDAYGLEKLATEELCKHYTKDFDIECRIGRFHNIYGPYGTWKGGREKAPAAFCRKAQTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFCEPVNIGSDEMVSMNEMAEIVLGFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKSEGADMSAYGTSKVCTTQAPVQLGSLRAADGKE >SECCE5Rv1G0324000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:441479190:441481691:-1 gene:SECCE5Rv1G0324000 transcript:SECCE5Rv1G0324000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGACGVASLFLAVAAAACCAAVAAQEPNTDAYFVARFYAKMGLAAPPSGAGAVCSWPGVSCDGEGRVLAFAAAGMGLSGPIPEDTVGKLARLRSLDLSANRLTALPNDLWELGASLRSLNLSRNAIRGALPNNVGNFARLQVLDVSHNAFSGALPPALGSIAGLQVLNASHNQFQGQVPSAGGSLVSMDLSGNALDGDLPDLSPLRSLAYLNLSGNQLRGSIIGAFQEQLGVIDLSNNRFSRLNFSSGYSGTSLMYLDLSSNELLGEFGLPGRFRNLRHMNLAFNQLSTNNLLASIGEISSLEYVNLSSTGLHERIPGVLASRLVGLHVLDLSRNNISGVVPDMSALPLRVLDLSVNNLTGEIPVSLVKKLASMDRFNFSYNNLTVCASDLSPAAFAAAFARSRNDCPIAVNPDSVKKNGGHRKGMKLALAIVLTLFFSVLALLCLALVCRRPRKKRGDTFSADKRPSSSFKEEQGTSGPFAFQTDSTTWVADVKVASSVPVVIFEKPLLSFTFADLLEATSNFDRGTLLAEGRFGPVYTGFLPGGIQVAVKVLVHGSAMADEDAARELERLGRIKHSNLVPLTGYCLAGGQRIAIYEYMENGNLHNLLHDLPLGVQTTEDWSTDTWEDNNGGVATQNITPEGTAAWRFRHKIALGAARALAFLHHGCIPQIVHRDVKATSIYFDYAMEPRLSDFGLSMIAGTSTDGDHSPGYVPPEFSDPENTTATSKSDVYSFGIVLFELITGKKPLGDEYPDQKEASLVSWARAMVKANQGSSIIDPKIRDTGLERQMDEALRVAYLCTAELPSKRPTMQQIVGLLKDIEPRVAEEN >SECCE2Rv1G0107470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:649260572:649264049:1 gene:SECCE2Rv1G0107470 transcript:SECCE2Rv1G0107470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLSGPPEHHLSSALDTHYEEKRKSNVEYSEDEKKAMIVSLKKKAMNASQKLRHSMKKGRRSSKVMSISIEDERDPEEVQAVDAFRQLLVLEELLPSQHDDYHMMLRFLKARKFDVEKSKQMWADMLQWRKEFGTDTLLEGFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVTKLMQVTTMERFVKNHVKEFEKNFADKFPACSVAAKRHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGQGFRLLWSTIKGFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCQGGCMKADKGPWKNPEVMKMVQSGAGRCGKLNLESLDAGEKMICADNTVYTKKQDPFNGQDEWRNPSRAQIEHPQLSPVHEELLPTLFPNPGSPYSCDVPMVEKAIDAICKSHGLTDDNIALTKAVANASNASNPPMMGGIMALVMSIATMLRLSRNMPRKVIGAAIGAQSAPKTQARQQSKMSAEAEYTVSAKRFADLEEKIMALLAKPAEMPSDKEELLKAATSRVAALEEELARTNKALQETLERQREIMEYIEKKKKKKAKRLFHW >SECCE2Rv1G0090060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:268548334:268548849:1 gene:SECCE2Rv1G0090060 transcript:SECCE2Rv1G0090060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKPTAFSAAERFLGFHHRPGSATVAPSPYDDLPDLAESDVWYSPSSDAPTTTADQDGIQRTDRAPRGEPPRRVGGLSRAFADGRQVASSAPVEVPAWPSRFADLELEPEPEPDEQQQEDADGWVPPHVYLARRQARASVVEGVGRTLKGRDASRVRDAVWSRTGFPG >SECCE1Rv1G0027370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:382292984:382295324:-1 gene:SECCE1Rv1G0027370 transcript:SECCE1Rv1G0027370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAPAKAARVLAATAVALVLLWCVHFRGGLALSSPTNKGLIFNVHPVLMLIGFIITGSEAIMSYKTLPWSHDTNKTVHLILHAVALFLGSFGICAAFKFHNESGIANLYSLHSWVGLGAIILYGLQWVSGFLTFFFPGASPTLRRAVLPWHVRAGLVVYVLALLAAELGFLEKLTFLQAAGLGKYSSEALLVNSTAVVVLLLGASVVLFVTAPAQSEHRLGYSSVRKS >SECCE7Rv1G0502890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:701992057:701996068:1 gene:SECCE7Rv1G0502890 transcript:SECCE7Rv1G0502890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTDSSSRFVQELVLYAASAALSCLVLFAGLRQLDPNRESSKKALQQKKEIAKRLGRPLISTTPYEDVIACDVINPDEIDVEFESVGGLDEVKQALYELVILPLRRPELFAYGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVSAVFSLANKLQPAIIFIDEVDSFLGQRRNTDHEALTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGVPARSERSKILEVILKGENIESNIDYDYIASLCEGFTGSDILELCKQAAFYPIREILNSEKDGTRANSPRPLRQSDLEKALSTSRKGKKAASGAASGLQSPVWIRPSDPEDDQISEKSAVE >SECCE1Rv1G0054450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:674934906:674936237:-1 gene:SECCE1Rv1G0054450 transcript:SECCE1Rv1G0054450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPQPAAGRIRLNVGGQVFETTADTLTGAGEGTMLSAMLEPCWNAGATGGVPEHFIDRDPVCFASLLDMLRTGELHAPPGVPDRVLFREASYYGLLDRVRAARIGEFDLDRARLVTSVPPGRAPMARPVVRAAPDGGCCVTHGPVVRVYNWMLEEPLPICLTPTESVRDAAYLSDSTLLVGGGGLAAFSTLTGDLTQHFRLAHAGVKKAPLFNAGALVAFDQQTKVFASCNSRDSGYYGIGVWDCITGEHKDSFLNQNLGNASKLQWLASMNALMVIKACSPEDCWSSSSITLVDFRDMSVVWSWCSSTQSKDYRRVADALVMEDERSVCLISQNHDLGFLDIRCQSFGLQPCTWTPPTKVMAPTCYPKLAVHDGLLLASKDDTISVYGGPNHDHLRLALRGSQGGGAIADFSVGGDRLFAVHHEDNVLDVWETLPRPPPIA >SECCE5Rv1G0340540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:615294859:615295563:-1 gene:SECCE5Rv1G0340540 transcript:SECCE5Rv1G0340540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGINDWISSPSSSTSRHEHGEAVPVWSPAAKRPAGRTKFKETRHPVYRGVRRRGSAGRWVCEVRVPGKRGERLWLGTYVAAESAARAHDAAMLALLGRSPSAAACLNFPDSAWLLVMPPRLSDLADVRRAAIEAVAAFLRLEAAAVVPDIYDATSPVYLPSPVGNADEVFQVPSFSPLGSDMFELDMSGEVDLDAYYADFAQGMLLEPPPTPAYWENGECGDGGAAAGLWSY >SECCE4Rv1G0259770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:690254764:690256856:-1 gene:SECCE4Rv1G0259770 transcript:SECCE4Rv1G0259770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVASPFPTLPSPSSSLSTSTRRLHVRAGPPSAAAASPREQPQQQSARGAAGAGERRQRREEEQAEAEAEEFERQRKEEVNRKIASRKALSIILRREATKAVLDKREPGKGTRRLLPRTVLEALHDRVAALRWESALKVFELMRDQVWYRPYVGIYIKLITMLGKCKQPGKAHELFQAMVDEGCAPNLESYTALVSAYSRSGSFDRAFSLLDQMKATPGCRPDVQTYSILIKSCLHAYDFDKVNGLLEDMARAGIRPNTVTYNTLIDAHGKAGRFAEMESTLLKMLSEDCKPDVWTMNSTLRAFGSSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSDRIKPNCVTLCSLIRAYGRADQVKKIETVLRVVENSDITLDIVFFNCLVDAYGRVGRLAEMWDVLNMMKEEQIRPDKVTCTTMIKWFLVKGIDDHRVQYLRDLKDGRSTDNK >SECCEUnv1G0555460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:299952560:299953744:1 gene:SECCEUnv1G0555460 transcript:SECCEUnv1G0555460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSIGKSVLNGAIWYAKSAVVEEVALQFGIQRDKVFITDELEMMQSFLMVAHDERGEHNKVVRTWVKQVRDVAYDVEDSLHDFALCVHKKRCTWWRIPRTLLDRRHVAGQMKELRAKVEDVSLRNVRYSLLKGSSSTEPAAGQYTTASMFGVDEARNAAKQNQSRSDLAKLINKEGDNFGLGVIGIWGTSGSVGHTSIIWEAYENPVIKLNFPCRAWVRVTRPFHPKEFVHSMVKQFHAAVGVGVLLEGERTGEELAQEFNGHVNEKRYLIVLTDLSTIEEWHQIKTCFPENKLGSRVIVSTEQVEVASLCAGQASVVSELKQLSSDQTIYAFYQQRSGRGFTLR >SECCE4Rv1G0256740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:659271862:659276803:1 gene:SECCE4Rv1G0256740 transcript:SECCE4Rv1G0256740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPAMGKRQHPEAAEVPPPAAAVKMEADELRDFEHGPLGKRARPAQPSPPALPPPHQDMYHNVLDEPSPLGLRLKKSPSLLDLIQMRLSQANSDAGQSSTDNAEPSKKKDLKSGTSSAGERLKASNFPASVLKIGKWEYTSKYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKVTLPEVGDGSLDVMLARPPLFFKETDPQPRKHTLWQATSDFTCGQASMNRHHFLKCPTTLLGKNFEKLVQCDQRLHQLSQQTDVILDSSLFEPRCSIFEDPVELKCHDFANLKDECEDLPGFSGSVSPCAGSSMSTKNDTNDCFGKQPEFVAQPMHPGASAVNAQPVSRNVNGVAQEFNIPNWWSQLKVPGLRPSMSVDDLVSHLGNCISEQITSGNPTMANNEVPTKESLEEIAQYLLGDAQGPQAPASDERLMARVDSLCCLLQKDTAPTAQPKPEPNDSGSIGGVDSEGSDDEFSSASTRKTADANQPPPAMSRKDSFGDLLMNLPRIASIPQFLFKIPEDSEN >SECCE3Rv1G0155030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:63175019:63176578:-1 gene:SECCE3Rv1G0155030 transcript:SECCE3Rv1G0155030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVERWAGFGSAMATIIFLWSVVQNYVPPTFRLYLTAWAAKVAACFNPYLQITISEYGAERFQRSDFFLAVEAYLSDACARRARKLKAELVKDSKNLRVTVDDHEEVTDDFSGTTIWWYTSKRQSRAQVISFYPGEDERRFYKVVFHRRHRDLVVNSYLPFVLGEGRAVTVKNRQRRLFTNNASRNWNPYRSKSVWSHVPFEHPATFDTLAMHPDDKEAIVDDLMAFQESKDYYAKVGKAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLFIETTGKSIIVIEDIDCSIDLTGKRRKDKKASTDKEYDADDKPKLPMDQEKDDATKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCRFEGFKVLAKNYLDVIEHELFGEILRLLKETDMSPADVAENLMPMSKKKKRDPNVCLTGLIEALKQAKEDAAAAKAKEEEEAKEAEAKKSKEKEEAEVKKVNEENKGKDKAQEEANGDIKEGDK >SECCE5Rv1G0298730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:13503651:13505096:-1 gene:SECCE5Rv1G0298730 transcript:SECCE5Rv1G0298730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMADAICQGRNRNNCGDGRIHFLVAVYSMQGHLNPARCLARRLAAIGGASVTLSMPIFGHHRTLASDEEVNDGAISYIPFSDGKDDGSWAKDWEERTWRRRASAESLSSVVGRLAAEGRPVTCMVSTLNMPPAIDVAREHKIPFAVFWVQPATTLATYYHYFHGHAEMINAGADPACVVSLPGLPPLSIREMPSLIIDKDEASKMYLRGFGELFQQIEQDKAMVLVNTCDALELEATSLKAIQSHLDVIAVGPTIPPAGAGEEAIHLFLQDENKYMDWLDAQPAKSVVYVSFGSLATYSQRQAEEILRGLGCCARAYLWVVRREGRTEEVGRLVMGAEEGKGMVVEWCDQLRVLAHPSVACFVTHCGWNSTLEAVASGVPAVAVPGWSDQSLNAHLVEEWGVGVPAERDVDGVLTGVELARCVELVAGDSETAAKVKTNAAAYQEKARQAMAADGPSERNLRSFVNRVRHLVGAVEKNHA >SECCE1Rv1G0035370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:497858323:497866344:-1 gene:SECCE1Rv1G0035370 transcript:SECCE1Rv1G0035370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDASSDLGGGRAGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESILIWFSGKEEKQLRLSHVSRIIPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDMLSSGTTSPRTYTRRSSPLSSPFSSNDSVHKDGSENYRLRSPYGSPPKVGLDKAFSDIVSYAAPPRPFFPSDSNVGSVHSVSSGHSDNTNGNSRGIPMDAFRVSLSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGNSRVGSSPGAKMDCLLPKPLEFAGRLDVQNISCGGRHATLVTKQGEVYSWGEESGGRLGHGVDCDVPQPKLIDALAHMNIELVACGEYHTCAVTLSGDLYTWGNGTFNFGLSGHGNEVSHWMPKRLNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGSFGVLGHGDRLSISVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKETRLVPTCVASLVEPNFCQVACGHCFTVALTTAGHVYTMGSSVYGQLGNPQADGMVPARVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNCPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGVDQSMCSGCRLPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNPNKPYRVCDSCYGKLNKGPETDRYSSAKRGAILQGFNDSINDDLETRSNVQLSRLSSMESFKNMDSRYSKKNKKFEFNSSRVSPIPNGSSHWSGLNISKSFGSSKKFFSASVPGSRIASRATSPVSRRTSPPRSTTPTPTLGGLTSPRVVVDGARPIKDNLSQEVIHLRSQVENLTRKSHLLEVELERTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAEKLPEGAGAGAGAGAGAVKHSRLPPLSGVPVNDISMATENLGSPRNSGEPYLNGSNGLIVSNGPSSVRNKTHLEVGKNGTRQPDSDSKPESEWVEQDEPGVYITLTALPGGARDLRRVRFSRKRFSETQAEQWWQENRARVYELYNVRVVDKSVASIDSDAPH >SECCE5Rv1G0335280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:572336837:572339804:1 gene:SECCE5Rv1G0335280 transcript:SECCE5Rv1G0335280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCAAGGGGGAYLTPRRSRCCGVGSARPSPTTGGTRGLSLLLRVPFSQYSKAPWALWCSPDLPVEGDQHSDRTGASGALKAMVCDMFRPLARNISDMRSLRTVFDLEDYQVGMLFGAFLGCVGCYQLWKAAPSIFVDAALAYAFYKLSVVSSEVRRQGKCNDLLTRLKFGIVVIMATKDFRKNYELLDIVKMPVFFLYLSTFIFDVARMKKYAKHYLILTVNLLRMKGGAQELFRIMFYPSYTSPYDDWFRRK >SECCE7Rv1G0492840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:558209095:558209541:1 gene:SECCE7Rv1G0492840 transcript:SECCE7Rv1G0492840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKELSEEQVASMREAFSLFDTDGDGRIAPSELGVLMRSLGGNPTQAQLRDITAQEKLTSPFDFPRFLELMRAHLRPEPFDRPLRDAFRVLDKDASGTVSVADLRHVLTSIGEKLEPHEFDEWIREVDVAADGTIRYDDFIRRIVAK >SECCE2Rv1G0069340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27909722:27912564:-1 gene:SECCE2Rv1G0069340 transcript:SECCE2Rv1G0069340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLPQCCCGLTPLPLPRRALALPPPPSLLLTSTAPRRALSLRVAVAAPTRLATAEDDGSGSRAAAAQGGDEEPASGFDPGAPPPFGLADIRAAIPKHCWVKDPWRSMGYVVRDVVVVLALAAAAARLDSWLAWPVYWAAQGTMFWALFVLGHDCGHGSFSNNAKLNSVVGHILHSSILVPYNGWRISHRTHHQNHGHVEKDESWHPLPEKLYRSLDSSTRKLRFALPFPMLAYPFYLWSRSPGKSGSHFHPSSDLFQPNEKNDIVTSTTCWLAMAGLLAGLTVVMGPLQILKLYAVPYWIFVMWLDFVTYLHHHGHNDKLPWYRGKAWSYLRGGLTTLDRDYGWLNNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPDKSGPFPFHLFGALARSMKSDHYVSDTGDILYYQTDPKLAGGAQASD >SECCE1Rv1G0029390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:413761933:413763617:1 gene:SECCE1Rv1G0029390 transcript:SECCE1Rv1G0029390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRRVDNLKIELEVIPVIDLYKCEPWELPEKSFLPKRDLEWFFFVPRDRKYPNGSRTNRATTTGYWKATGKDRKVSCDGGAVCGVRKTLVFYKGRAPGGERTDWVMHEYRLCQDLLHGASNFIGAYALCRVIKRTEAGLLHGDAAKPKPGHQQQMTKVGSSSSLVTTDQQLSSLTPSPPRLDIVGNAYQLHSSPSPLYGGEVVSGMGAPLGFPQQDAAATFFIDGDLAGARESHMPFFDDMGVVSDHELRWDTLPPYANNTFPATAGAAELWNPAAPNAGAPMLCREESDDLAAFFSSLDENNMVVY >SECCEUnv1G0567010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:410866016:410867178:-1 gene:SECCEUnv1G0567010 transcript:SECCEUnv1G0567010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVTPAARVSELMAQGRKSAAALLQIQDHAGIRELAAEVLRCCDRALAALHGKAGREKRKLELQGAATQTTRPKRSTRKSSGETTAVMRVERKRNWDDGFVWTKYGQKDIRGSDHPRHYFRCANYTLDAGGCPARRHVQRSEEHGPPLYVITYFADHTCCHGAEAMAALDDIKILDFGSVGSRSPRPDDDDARSGKTSLSEELPAEAAKVESTPLSDLRPVGKVAELSSSASGSWDPSAAVCSDWDFFGDCSFDYVSEFFDVEVITLY >SECCE4Rv1G0282700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829815018:829816414:-1 gene:SECCE4Rv1G0282700 transcript:SECCE4Rv1G0282700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGGGGVWPVVVMVFLNAIAAVMVSLVKVAMNGGLDPLVLVTLQQLTAACFLGPIAYLREGKARPKMTLEIFAYLFASAALGAALRQYMIFVALRYTTATFVTAFSNVAPVLTFLLAAATRSESLSLRSATGAAKLAGTLVSLAGAMVLTFYRGVALTHAHHDQLHYSAPSPAGADPGAGRRWTLGTVAILGNCVCLSCWFLLHGRLARKYPYVYSCNAFMSTFSFLQVAAVGLCAHRSLGLAAWLVTSKFQILTILYAGVVGCGVSFVLLTWCIEKRGPVFVAAFIPVVQIIVSVMDFTVLHETLYLGSVLGSVLVIGGLYLLLWGKRQEALQQPPKVAEDDTEQQQQQQQQPQQQQQQQQQQVQVQMQP >SECCE2Rv1G0135910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:909223818:909226007:-1 gene:SECCE2Rv1G0135910 transcript:SECCE2Rv1G0135910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g50420 [Source:Projected from Arabidopsis thaliana (AT3G50420) UniProtKB/Swiss-Prot;Acc:Q9SCT2] MLLPPPHPSRLGFHPLEPALSAAADALHRSCPRSPRRSVLRRARALHALLVVSSTPSAHTPGTFLVNQLLALYARLSALPDAVALLRWTPRPNVVSFNTVLSALSRGAPRHAPQAFRLFGQLCASGLRPTAPSLCAVLRAAGALRDGCAGALAHAQAAALGFLASDIVPTALLQMYSECGAPRDANRVFDEMATRDVVAWNCAMHCSVRYGYLGRALGQFCSMVRAGLAPTESTFSSVLSGCARAGDGRGGRVLHGWVVKSEELDPDTPLQNALLGMYSGCGDLDTALRVFERIETPGLVSWNTLIAGFSGAGDGWSAMDAFVRLKDVRFGEPIVPDEYTFAAVVSAAAALPAMRSGMPLHAEVVKAGLETSVFVGNTLINMYFTNGEPGSARILFDSLPEKDVIMWTEMVAGHSSSGEAELALKYFISMLQEGYKVDSFSLSSALNSTAEFAGLKQGEMLHAQVVKSGYEGNICASGSLLDMYAKNGALQGAHLVFYTIPKRDLKCWNSMIGGYGNYGNSEMAFKLFGEMIRDELQPDHVTYISLLSACSHCGLVEKGKFYWFCMMTDGIMPGFKHYTSMVSLLGRAGLLDEAVDLLQKSPFAKKCPELWRILLSSCVALNDLSVGVHAAEQALAQDPNDMSTHVLLSNLYAAAGKWDVVAEIRKKIRGMTVEKEPGLSWVEIKSTIHVFSADDECHSQIDDCRAELLRLKGNMELLYSSENDLLSSG >SECCE3Rv1G0204080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:889484822:889485716:1 gene:SECCE3Rv1G0204080 transcript:SECCE3Rv1G0204080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAGGTNPAMRMKLLVDTKAGRVLFAEAGKDVVDFLFSLLALPVGTAVSLLGKPSMPGSAGDLYASVQRLDASYLLPGADIDALLRPAVPSPAAASNISLLCLPGPSLSAASKRFFWCGQDHSRSYGYSYGCPEHNYVTDASGGKCPSPTCGKPMTKEMHWAPSDSGGSGQAAVSAATGRANGFVQGVVTYTVMDNLTVTPMSAISSITLLNTFRVTDISALQEKTVWIGYKEGLAILQASLQSKTVLTDVFLAHKAPRSAA >SECCE1Rv1G0019500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:235720019:235722025:-1 gene:SECCE1Rv1G0019500 transcript:SECCE1Rv1G0019500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTGVRSQDFSQRFWYTLSRALSEFCVIILLHVAATLSYVATRLAHISRLRAPCTMCSRLDQALHDKAWFSADLVCASHRSEISSLAYCKIHNNLAHYADLCKRCLAACTLAGFVDDVNSRSGLRSRQFCSCCLEPFKKAHNTHKLYENADVKDPSHNVHGSEEIKQRSQVVVIEKINLIMPPMVVPEQVSTNHSKVKVGIEEVRESDASPGTYEQSRKDNCASTNAGLGAKPAPSGLALPSRIFVDRNSSIKNTFIGRVNLPSPRPSEIISARDNNSTTQQEVKALLTQMSSVRGLDHSWTEGATSPDTMIQNDESNGTSRRPYLERNYSVLEPSDASLGICEGEGEISLESLKRQIEINKKSMLVLYKELEEERSASAIAASQAMAMINRLHEEKAAMQMEALQYLRMMEEQADHDHEAIQNLHDLLTEREKELLDMDADLDSCRKLIQHDQFNGGNFDGTVDNTAGYDKYVSFDVLNASDFMKSTMSGFEEEKTYILESLGRLEEKLRISTYKLASDDARNNQEETLLGDHMGDESTSFLQSVEQKDKDECSCSPFNNDKMSGLKNLQDEISLLDTRLRALEDDHEFLKRVLSSLKGDGIQCVQDIMSHLQELRRVVAQ >SECCE7Rv1G0455910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8649823:8655424:-1 gene:SECCE7Rv1G0455910 transcript:SECCE7Rv1G0455910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLAGGRRACREGCPGCRLDEANKAHAGVPYLNFFFIWVVCLCAALPIQSLFPYLYFMIQDLKVAKQEQDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISILSVIVLNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCTKEHQALGISLVTSSRAIALIIGPAIGGFLAQPAKKYPDLFSEESIFGRFPYFLPCLVISVLAAGACVACIWLPETLHMYHDEKIEAIDTMEVHVDDSILEDGKTKEYGSERMASTKSLLKNRRLMSAIILYCVFSLHDTAYFEIFSLWAVSSRKYRGLSLTSQDVGIILAISGFGVLMYQLVIYPLLAKYVGLIKPLRSAAVLSVLLLATYPLMSNLYGMELKVLINIGSLLKNMFAATITIACNILQNTSVPQEQRGVANGISVTLMSSFKAVAPAAAGILFSWSQENITGLLLPGDVIMFLMLNMVSVIGLLLTFKPFCSMPSATT >SECCE3Rv1G0162190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:126966604:126967140:-1 gene:SECCE3Rv1G0162190 transcript:SECCE3Rv1G0162190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPTSYPVARDAPPRPAPQTAQLGGGPAPQRGGWARWALWTVVFLVLAASFAWGVYQARHRPRSLAFVIVTYYLLAVLYCCLGKLSLLRRDDPAVAPERHRVRLAVWVVSVAFANVIAARVADSMPERGLQIAVWVILAVGIGVAFYFFFIREGAREAAGQRREAELHQVSPEQRV >SECCE1Rv1G0033240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:464707895:464711037:-1 gene:SECCE1Rv1G0033240 transcript:SECCE1Rv1G0033240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDRKDAEAAAAAPFEIPALQPGRTCGAEESTRSHVLVRPIGSSNLPCNEYALLARQNPKGDVPPVASILRKKRPRRSRDGPTSVSETIKRWKEVNQQLEHDPQGAKRARKPPAKGSKKGCMQGKGGPENTQCGFRGVRQRTWGKWVAEIREPNRVSRLWLGTFPTAEAAARAYDEAARAMYGELARTNFPVHPAQAPAVAIQAAIEGVVRGASASCESTTTSTNHSDVASSLPRQVQAPEIYSQPDVLESTESVVQESVEHYSQYDTVPDAGSSIARSTSEEDVFEPLEPISSLPDGEADGFDIEELLRLMEADPIEVEPVTGGSWIGGGNTCVEMGQQEPLYLDGLDQGMLEGMLQSDYPYPMWISEDRAMHNPAFHDAEMSEFFEGL >SECCE6Rv1G0397040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:385057518:385062766:-1 gene:SECCE6Rv1G0397040 transcript:SECCE6Rv1G0397040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVAPAPLPHVKVRGGGIGFTKSVDFAKVLSVPGALRTGSSRGRALLVRSSSTESDTMELEPASEGSPLLVPRQKYCESIHQTRRRKTRTVMVGNVALGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADFVRITVQGKKEADACFEIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEDDYEKELEHIEKVFSPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRNLDFHNFVFSMKASNPVVMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCRRLANLGTQAANLQIGVAPFEEKHRRYFDFQRRSGQLPLQKEGEAVDYRGVLHRDGSVLVSVSLDQLKAPELLYRSLATKLVVGMPFKDLATVDSILLRELPPVEDAEARLALKRLVDISMGVLTPLSEQLTKPLPHAIVLVTLDELSSDANKLLPEGTRFAVTLRGDESYEQLDVLKSVDNITMLLHNVPYGEEKTGRVHAARRLFEYLETNGLNFPVIHHIDFPKSIDRDGLVIGAGSNVGALLVDGLGDGVLLEASTQEFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATEALIQLIKDHGRWVDPPTEE >SECCE5Rv1G0351460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:700055724:700058845:-1 gene:SECCE5Rv1G0351460 transcript:SECCE5Rv1G0351460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGFDIIGGITGSKTRLKGSVVLTRKNVLDFNSLGATVMDNVTEFLGRGVTCQLISSTIVDSNNGGRGKVGAEASLEQWITSLPFITVGENKFSVTFDWAVDKLGVPGAIIVKNNHASEFFLKTITLDNVPGHGKVVFVANSWVYPQSKYRYSRVFFANDTYLPSKMPAALKPYRDDELRNLRGDDQQGPYEAHDRVYRYDVYHDLGESRQILGGSKEFPYPRRCRTGRKLSQTNPDRESRLLPLVQSIYVPRDELFGHLKTSDFLGYSLKALVDGIIPAIRTYVDLSPTEFDSFADILKLYEGGIKLPDIPALQEMRKRFPLQLVKDLIPMGGDYLLKPPKPQVIKQDEKAWMTDAEFAREILAGVNPMMITRVTEFPPKSSLDPSQYGDQTSTITEAQIGSSLEGLTVQQAVSSNRLYILDHHDHMMPYLVRLNNLDDTFLYATRTLLFLKGDGTLAPVAIELSTPLLQGGLTTAKSTVYTPASTGVEAWIWQLAKAYVCVNDYGYHQLVSHWLNTHAVMEPFIIATNRQLSVTHPVHKLLHPHYRDTMNINSRARELLVSAGGIIELTVFQRKYAMEMSSVTYKDWNFNEQALPDDLIKRGMAVLDPSSPHKVRLLLEDYPYAVDGLVIWTAIEQWVTEYLAIYYTSDSVLQGDVELQAWWKEVREVGHGDLKDAAWWPKMKTVAELVKACATIIWTGSALHAAVNFGQYPYAGYHPNKPSASRRPMPEPDTEEYALLARDPEKVFIRTITNQLQAIIGISLLEILSKHSSDEIYLGQRDTPEWTSDAKALEAFKRFGTRLEGIESEVVALNGNPQLKNRNGPAQFPYMLLYPNTSDHTGKAEGLTARGIPNSISI >SECCE2Rv1G0121290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:807006863:807008216:1 gene:SECCE2Rv1G0121290 transcript:SECCE2Rv1G0121290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGDVATVFLEMSLGTRLAVSFPAASTTVADLKRRVSHEHAACFPNHGQIAVQSVKVDQGGSWFHLADSMAVRDAFQFHGANEIWHLQADALPHPQHLDQGMVTEGRSKDSSAVHSEPSCEILTDKVEVEVAKEEPLTAKGERSSNRTDPRGSKEAEATSTEQRACERTRLRPRARAGKTPVTKKANSSGSSESEEVYIINTVKAPPSQFVVMLKECHFVTKNGQYLNVPREFSVAHGYTERKKVLLRMGGESWTVNLKQCRNVRGKPRTSFRYGWHQFCVDNDLRVGETCFFRALGQGGGGRHVLKVEVRRLDGSYAT >SECCE5Rv1G0331710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:540820785:540823023:-1 gene:SECCE5Rv1G0331710 transcript:SECCE5Rv1G0331710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSISSFSLLLICLATLQLHANASQEAQLREFISSRRNSDNTDTFNVRNIADRVAASLSAESSVSDQSSMKAADMITALPGQPEGVDFNQYGGYMTVDEENGRALFYYLVESPSGASDKPLVLWLNGGPGCSSLGYGAMQELGPFRVTEDNKTLSRNMNAWNNVANVIFLESPAGVGFSYSNTSADYDLSGDERTADDAYVFLVKWLERFPEYKGRAFYISGESFAGHYVPELAATILLHNTYNNRTIINLQGILVGNPYLDANRNIKGAVNYYWTHAVMSDEVHANITTNCDFDNVDGTYTNPACSSAVDAFQPGNIDPYNIYAPVCIDAPNGTYYPSGYLPGYDPCTDYPTHAYLNDPAVQMAFHARMTKWTGCTNLNWKDAPMSMLPTIKWLIGSKLPIWIFSGDFDTVCSLPGTRYSIQDLGLSVTTPWRPWTAKEEVGGYVQQYAGGFAFLSVRGAGHLVPSFQPERALVMLSSFLNGMLPPYVEQQ >SECCE4Rv1G0295920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:900405790:900407457:1 gene:SECCE4Rv1G0295920 transcript:SECCE4Rv1G0295920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPAAKIPQDQGVDPTASSSCVDGNRLLCSRPPVDPVSDVLGDDNLLIKILIRLPPKPCALPRASLVCKQWGSILSEPEFCKRFRKHHRKPPLLGFFRGYAKNFIPAMDSPDRIPAARFSLPKSSTPYHQNEAYMGCRHGLSLVINTHKHEIIVWDPLTGEERIVAFPPGCTLGRYWAWHGALLCVDAEDGHVHGDCFLSPFKLVLIHADYNTPAFCSIYDSASGVWGNIFSTMTITAGMSWLKRPSTLVGNELCFLIRGGDVLVFDFEMQSLGLIKKPVENHGTDDWCFQILRMENDGLGLAVLLDLTIKLWERKSNCDGVFEWVLLQKTIPLEGMVPTRMDSVLFVGYDEDANVIVLTTMTGNFTLQLDSMQIKHIVKRNNICHDTFYPYRNFYTPGNAPIYIAQAKKSN >SECCE1Rv1G0056960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:691347662:691348327:1 gene:SECCE1Rv1G0056960 transcript:SECCE1Rv1G0056960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNEVVARQGREEDEVHGVDEERPLLARPPAVRDDPGDGLSPMQRAISQTYQSTAHLATLLPTGTVMAFQLLSPIVTDQGHCVRANRAMAGALVALCALSCFALSFTDSFRDAKGAVRYGFATRRGLWVIDGGAPLDPQAAAAYRLRFLDLVHAVVTVMVFVAVALFDHNVVSCFYPVPSEDAAQVLTVLPIAIGVVGSMLFVTFPTTRHGIGFPLSQH >SECCE6Rv1G0391850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:237504932:237514092:1 gene:SECCE6Rv1G0391850 transcript:SECCE6Rv1G0391850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSRSVQGSVGGGGSTAGGSAVPLAVLLRREVFSERTAAERPELQHGLFSQAKKGEDFVFLKPDCERLPGVPSSSFSAFGLFDGHNGNGAAIYTKENLLNNILGAVPADLNREDWLAALPRAMVAAFVKTDKDFQTVARASGTTVTFVIIDGLVVTVASVGDSRCVLEAEGSIYQLSSDHRFDASKEEVDRVTEAGGDVGRLNVVGGAEIGPLRCWPGGLCLSRTIGDQDVGEFIVPVPLVKQIKLSTAGGRLIISSDGVWDALTAEQALNCSRGLPPEAAAEQIVKEAVHSKGLRDDTTCIVVDLVPEKGNPAMSAPKKQPGMGVFKNMFRKKTSSDSSSHADREYMDPDVVEEIFEDECALLSRRLDSEYPVRNMFKLFICAICQVELKPNQGISVHEDSSQPGNLRRWDGPFLCQSCQEKKEAMEGKRRSRDSSSRNSVSSE >SECCE6Rv1G0430180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739851400:739877992:1 gene:SECCE6Rv1G0430180 transcript:SECCE6Rv1G0430180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPSLHLVIIMLLIPWPFSSVLLCAPVSVLVPGMRLTAGKTLTSDQGSFALGFFTPSNSTENTYVGIWSNDIPLCTVVWVANRDNPITDASSAVLSMTENSSLVLFETNGHHILWLASTITSSNSSAKLLDNGNLVVVSPDGAMLWQSFENPSDTVLPGMPMRTTHKTHPPWRMISWKGPEDPSKGRFSGGNDLDTPLQFFVWDGSVPYFRAAVWNGYVSSNAGLQAVSPLMYLTIYKGTDNESYSTFGLSDGSSRIIYKVDYSGKTALWRWNTSLTDWTLIAPWPAYRCNLYGYCGAYGYCDNTEAIPTCKCLDGFDTSNKTEWVRGNFSHGCRRKEELQCGGEDNFLTLPAMKAPDKFVRLWNKSYDDCKVECSKNCSCVAYAYANLSTSNIDGDATRCLLWTGDLIDVEKGGTIGNENLYLRLAALSRKGRKNIKIKVIPAVSTSVLLMLVLACLVWFRKFKGKHDKEGRSKRLIMGGLRISDGLGEETHELPFISFEEIVAATNNFSMSNLLGEGGFGKVYKGLLHGNKEVAVKRLSRGSGQGAIEFSNEVVVIAKLQHKNLVRLVCYCVQGDEKLLIYEYLPNKSLVSGETKSMLHWPTRFNIIKGVARGLLYLHQDSRLMIIHRDLKTSNILLDGDMNPKISDFGMARIFGGGEQQANTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLETVSGLRISSTENIKEFPNLIIYAWSLWREGLSKDLVDLSVSESCSNEEVLCCIHVGLLCVQDDPDARPLMSTVVSTLESRSTPLATPDKPLYFSQRNKVAKRAEYSQNSVDMEALTVIEGR >SECCE1Rv1G0047610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:626753273:626753806:1 gene:SECCE1Rv1G0047610 transcript:SECCE1Rv1G0047610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEKGQGGGGGRKLGRWLGAPVRALSRACDAYVRKMSACAGGMPTQYAGAMGRGGAGAMHMQAATFSSRSTRRGPDDDVGELVRAMSQRQQGSGASPAAASVPPRSRSVAVGRIDEDAPCDFGADAGRVGLPPPAVRRSRSAAVGGGLPPRVGGGGGFGAATIKKVPAAAGVVHGG >SECCE5Rv1G0354290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722846992:722848084:-1 gene:SECCE5Rv1G0354290 transcript:SECCE5Rv1G0354290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTRPALLVVVLAVAVLGLATDGQAQLQTGFYTGKCRGNDVEAVVQGIVQARFASNSDIVAHLLRLLFHECGVNGCDGGLLVDGTSTEKTAKPNLSVKGYELIAAIKMELEKRCPGVVSCSDIEILATRDAVAASTGQRYTVRTGRKDSRRSVATDVNLPGPDDTVPKAAAFFRNLGLSSDDMVVLLGAHTVGVTHCSMIKTSRLYSYGGKAGATDPNMDPELASTYKTYVCPNTASSDNNIVFLDDRSSASKLDNSFYKMLQRRRGTLMVDQNLYNDSSTRWMVDRLANTDHFTWLFPQALVKLGEVKVLTGTQGEVRRVCSKFN >SECCEUnv1G0532270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19705683:19706092:-1 gene:SECCEUnv1G0532270 transcript:SECCEUnv1G0532270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQAPIINMASLGSRAAGRRHPTVLQQIALFLVVAAVIMNSSVCVGAAGHDAVAVGTDPNHPTFPSPPGKPYTGGRGCRTIYGCRDVPPAGGQP >SECCE3Rv1G0207110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:917801776:917805702:1 gene:SECCE3Rv1G0207110 transcript:SECCE3Rv1G0207110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like kinase, Specification of anther cell identity, Control of early sporogenic development, Initiation of anther wall formatio [Source: Projected from Oryza sativa (Os01g0917500)] MQIEQFTSPKNRIAMGSRSICLLILLVIFVPSSVMSESSDIKSLFTLRHSIAEEKGFLRGWFDSETPPCSWLGITCSGRSVVAIDLSSMPLYVRFPSCIGAFESLVLLNLSGCGFTGELPDTLGNLQRLQYLELNDNQLNGNLPASLYTLKMLKEMVLDNNLLHGQLSPAIAQLQHLTKLSISGNSISGGIPTELGSLQNLEFLDLHMNSLNGSIPAAFRNLSQLLHLDLSQNNLSGLIFSGISSLVNLMSLDLSSNNFVGPIPREIGQLENLRLLILGQNAFTASIPEEIGNLKRLQVLLLPECKLTGTIPWSINGLVSLEEFDISENHFDAELPTSIGLLGNLTQLIAKNAGLRGSIPKELSNCKKITLINLSFNAFTGSIPEELAELETVISFSVEGNKLSGNIPDWMRNWTNARSISLGQNLFSGPLPLLPLQHLLSFSAETNRLSGSVPAELCQDNSLQTLILHDNNLTGSIEETFKGCTNLTELNLLGNHLHGEIPGYLAELPLVSLELSLNNFTGMLPDRLWESSTLLQISLSNNQITGQIPDSIGRLSSLQRLQIDNNYLEGPIPQSVGYLRNLTILSLHGNGLSGNIPIELFNCRNLATLDLSSNNLTGHIPRAISNLTLLNSLILSYNQLSGAIPAEICVGFENEVHPDSEFVQHNGLLDLSYNRLTGQIPTAIKKCSMLMVLNLQGNLLNGTIPAELGELTNLTSINLSSNGLVGPMLPWSAPLVQLQGLILSNNHLNGTIPVEIGQVLPKISMLDLSGNVLTGSLPQSLLCNKYLNRLDVSNNNLSGKIVFFCPMDGESSSSLLFFNSSSNHFSGTLDESISNFTQLSSLDIHNNSLTGSLPSALSDLSFLNYLDLSSNDFFGVIPCGICNIFGLTFANFSGNHIDMYSSSDCAAGGVCSTNGTGRRVAHPSHRVPRLGIICILSLAVIIVLVLLVFYLRHKLSRNNSLVILPAGKAKATVEPTSSDELLGRKSREPLSINLATFQHSLLRVTTDDILKATKNFSKEHIIGDGGFGTVYRAALPEGQRVAIKRLHGGHQFQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGSLEIWLRNRADAVEALGWPDRLKICLGSAHGLAFLHEGFVPHIIHRDMKSSNILLDVNFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGQTMKSSTKGDVYSFGVVMLELLTGRPPTGQEDGEGGGNLVGWVRWVIARGTRNELFDPCLPVSGVWREQMVRVLAIALDCTAEEPWKRPSMVEVVKGLKTTQAMECGPLVVTVSRGS >SECCE7Rv1G0512020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:806486467:806493935:1 gene:SECCE7Rv1G0512020 transcript:SECCE7Rv1G0512020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha subunit B [Source:Projected from Arabidopsis thaliana (AT1G67630) UniProtKB/TrEMBL;Acc:F4HTP2] MEEEIRAEFESSGFTIGGAGPADSAQILSTLLTYCVNYKMSAADLVSNWEVYYLNRQLDGLKVESSYLDGFLSHLQNEVKEKLIKEETDLHIYSSNDVDMLLSNTHTDEEGFLGTPSTKQEKPGESSNSELTPLTTERPSSIRAAKTNGDRITPFAQRVNKFTQHYVLNADNVASVPSKDDVETSEDELIRRVQPSQKCTLQVQRSKPEPGCRFMYDRMEDRFNYLEDRIGRSARLFSAAGLCGQPADATLASEENMFAVGMVICDGEGRLNEKSILLQGSVEHSRGQRVRLDLKDINQFSLFPGQVVGIEGHNPSGHCFVASKLIDSIPISVDDQLPCAKKQAVDHEGHQNSNTLPRVLSSVIAAGPFTTTDNLLFEPLQELLSYACRKQPQLLILMGPFIDSDHPEIKKGTSDQSFHDIFHFEILRKLQDFTQYLGHNVRVILVPSVRDAHHDAVFPQPAFDLHLPEDITQQITSLSNPSLFSSNEIQFGCCTVDILKQLSGDEISRKPPGGKAADRIGRLATHIVKQQSYYPLYPPAAGVPMDFSLAKEALEIPSAPDVLLLPSDLAPSVKVLSVNEDTEEHKRFICVNPGRLAKGIGGGTFVELYYNEDTEKTKAFIMRI >SECCE6Rv1G0403440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:502968930:502970747:1 gene:SECCE6Rv1G0403440 transcript:SECCE6Rv1G0403440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKRGHRRRNVNPTQKATCNEDVAGSEADGDMLSKLPNDLLLNILERVDTLDAIRACILSKRMLKLPTMLSQFFLNVSSIPGHHPRFFKVSDLLRTNSAVAHVTDNILSTRCLDITISKLKIRFILMQPDALTIGKSVARAMATQKVSAAEFEIVTEKTHKRCSPDDLLQHAKQFNDLFGACPDAFAGLRRLWLRNMRFGELDIPNILSTCKLLESLHLTHCDSGISSVLQLEHAQLVELEVDFGKFEIIELSCLPKLQRVSYKSWFSCKDPLYFGFVPQLSKLRLAKTGFVSDMILELSQLLGNVPSISDLHLDFESEKIWVLPESPKLLMPVLSKLQHVNLDNLPEGCDLAWTMFILEAAPSLKELCITVWDHWCMMVRDKVLRKEHGYCEKADVQWKPYAPHFKHKNLVKLIIYGFQPDNNFVQYIRRVIEAAVNMEEISLHDRKVCGRCGDLDPEISGVVGPSKYPRTAEERQIIESRIIEGLDLTSCMGIHFRS >SECCE5Rv1G0333670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:560115244:560116569:-1 gene:SECCE5Rv1G0333670 transcript:SECCE5Rv1G0333670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTASVAAWMDPHLVLPVLEFLQERGVYADEEILRGKIRLLGGTNMVDYAMDIHKSLHGTDDVPADMVARRSEVVERLRALQEAVAPIVAFLSSPQLVQELHADKQYNLHMLQERHQIGPDQIEALYQYAKFQYECGMYSDAADFLSQYRALCTNNERSLSALWGKLAAEILMQNWDVAQEELNRLKEMIDSSSFTSSPVNQLHSRIWLMHWSLFIFFNHENGRNGIIDLFFQDRYLNAIQTNAPHLLRYLAAAVVVNKRRRNMLKELIKVIQQEQNSYKDPIIEFLECLYVKYDFDGAQQKLVECEQVILNDPFLGKRVEERNGIAVPMRDEFLENARLFIFETYCRIHRCIDIGVLAEKLNMTYDEAELWIMNMVKSSKLDAKIDSVTGTLIMTTNRVDVHEQIIESMKNLNARTYMLAKSVVDPGHAAAQQAAR >SECCE4Rv1G0262430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:707406532:707408066:-1 gene:SECCE4Rv1G0262430 transcript:SECCE4Rv1G0262430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWLYKRPIHPPNPHGTNRFLLPNITFAPFIQPNLTPPPLSSPLISSSLRSRSRSRRQFPLRMYHQQCELLMPHEGLDMDAGQSHHLAAGSAVPAELNFHLLSYVDTAVSPQQPTVEYFFGGADQPHAQFEQLAANHQAMTVLRDYYGQYPATADAYLPGGGPRTGSSSLVFGAAEEESAYMVGAFQCSPKPRASGSRKRGRGAGSSFHGFPANGGVEKKEKQRRQRLSEKFTALMLLIPNRTKEDRATVIYDAIEYIQELGRTVEELTLLVEKKRGRREHQGDVVDPAPTLVSGDGECSAGEVAAAVMPAMPAPPQPIRSTYIQRRSKETFVDVRIVEDEVNIKLTKRRRDGCLAAASRALDDLHLELVHLSGGKIGDCHIYMFNTKIHPGSPVFASAVASKLIEVVDEY >SECCE5Rv1G0364660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802627411:802629009:1 gene:SECCE5Rv1G0364660 transcript:SECCE5Rv1G0364660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPEVTGDALPECLRLLDEIPAAAALSPVFRRHWPSISASLSSLSASLSQPAFLPSAPLLTPLASTLSALMSVCSGPPLGHLHTVSLLSSSSASLSQLAADAGLLVSPADGDGSESDSLLPRLRLGSFVSRAAALDSLAESVTSSQPGSCSAAAVSAVVAMVDSGDLLPATRDKAVSVMAAFASSEATCRFLEQESGTVVPHLCRALESGGTSAEQACVALEPLTASSRDAAATVSARGGVAALLVACASGTPASQAAAAGVLRNIAAFPELLPAFRDEGAFPLLVQLVSLGTPRAQEHALGCLRNLTASDGDEGQRLKVEAFQAGALGCVKDFLDSCRGDEPGLAPAFGLLHNMASFRLIAEIAVSASFLSHVVAALGSENPNTRTEAAMALAELCHVGSGKARSEVGEAMPRLVWMLEAKAVAERDAAARALATLVPSSGSYRTLFRKEEMGIVNVVRLLDPAVRGGDKRFPVAVLLGVSQSRRCRKQMVAAGACGFVQALLAAEVDGAKKLSECLGRGKMLGVFPRT >SECCE3Rv1G0170590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:255867355:255868071:1 gene:SECCE3Rv1G0170590 transcript:SECCE3Rv1G0170590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDPKALPSAEAVNHQFNVVIDGAETAIHEGVLRCNGGTLTVVSPGVLEVSRLQHVVVRGGGGGDVRFARCGFAAAEACGAVSFHRCDAVRVDGAGEVAVRRCRSADVERAGTVSIRRCKGAARVHGAGELRLGRCREADVGNCSDVALGRCREARADWCGSLGVQRCRSADVSRCGAVRVDRCGDANVSSCGSVMVRRGKVNMVEAHQPLQGWQEQALCQKAEPADAVPMEIMSR >SECCE4Rv1G0286460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849404445:849407485:-1 gene:SECCE4Rv1G0286460 transcript:SECCE4Rv1G0286460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSMAASNLCMAIVALATVAAAAVGEVEHTFVVSEMKMTHLCNETLVTVVNGQLPGPAIEVTEGDSVAVHVVNKSPHNITIHWHGLKQRLNCWADGVPMITQCPIRPGHNFTYHLNVTGQEGTLWWHAHVSCLRASLHGAFIIRPRHAYPFPKPDKEIPIVIGEWWGMNLAQLAKNMEDGYYDDTSSATTINGKLGDLYNCSGVVEDGLVLDVEPGKTYLLRLLNAALYSEYYVKIAGHEFTVVGADANYIRPFTTDVVAIGPGETLDALVVANAIPGKYYMVAVGGQAPKPDIQIPETRSRATVRYGKGDEAAPPVAPEMPDQHDFMVSFNFHGNLSSLNRTGSPQVRTTADESLFLVLRMGSICRQDRLSCKRSGSKESIIVETMNNVSFHLPAAAAATPLLEELYYDHRRNGTAGGGGGLDQLYTLPDRPARPFNYTDRALIPWGPNEAWLEPAEKAAVARRFRHGAVVDIVFQNAAMMDTDNHPMHLHGHDMFVLAQGHDNYDTVRDVARYNLVDPPLKNTVLVPRLGWAAVRFVADNPGVWYMHCHYELHVSIGMAAVFIIEDGPTLESALPSPPVDYPTCNR >SECCE2Rv1G0099270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:513869138:513875178:1 gene:SECCE2Rv1G0099270 transcript:SECCE2Rv1G0099270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRERRVVFVTVGTTCFDALVKVVDSEELKQALLQKGYTDLLIQMGRGTYKPSKASGNSSLQVEHFTFSPSIADNIREASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERNHLFCAHPQTLRETVEAMDLNMLQPYVPGEAKPVVELINRFLGFPVN >SECCE3Rv1G0190840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:743755180:743758568:-1 gene:SECCE3Rv1G0190840 transcript:SECCE3Rv1G0190840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRDLAIASISAAAGAVAAAAALLYSYSATNSKPQSPPPLPPCTEPLPVNGCAARHPPAQDPFKTTKREGFISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDDKLPWAKKSARGDPLETKYPYVVHAEVNAILNRNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRIDNSDYVYVASHNLLSMAGVKVRKHQPQMAQIPINFQEPRV >SECCE1Rv1G0036890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:514320617:514335177:-1 gene:SECCE1Rv1G0036890 transcript:SECCE1Rv1G0036890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSKAVCVQRTTTSGGGRSREAARSVAAPRARHAKSKPMIHPARGRHCSPPNAVAAPMPATKKRVFHFGKGKSEGNKAMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACEQYQAAGRALPPGLWEETLEGLRWVEEYMGARLGDPARPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGDRFAYDSYRRFLDMFGNVVMDIPHALFEEKLEAMKASKGVDNDTDLTANDLRELVDQYKNVYIEAKGEQFPSDPKRQLQLAVLAVFDSWDSPRANKYRSINQITGLRGTAVNVQSMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMRDHMPEAYAELVENCEILESHYKEMMDIEFTVQENRLWMLQCRSGKRTGAGAVKIAVDMVNEALVDRNTAIKMVEPGHLDQLLHPQFANPEAASYKGKVITTGLPASPGAAVGQIVFTAEDAEAWHAQGKSAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSSIRVNEVEKVVAIEDKMLYEGDWISLNGSTGEVILGKQPLSPPALSADLETFMSWVDEVRQLKVMANADTPGDALAARKNGAEGIGLCRTEHMFFASDERIKTVRQMIMAPTVELRQKALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDIVRELCAETGAAEDDVLARMEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGIQVFPEIMVPLVGTPQELGHQVALIRQIANSVFTNMGKTIEYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAQGILQHDPFEVLDQRGVGELVKIATERGRKARPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLV >SECCE6Rv1G0386050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:89982443:89985582:1 gene:SECCE6Rv1G0386050 transcript:SECCE6Rv1G0386050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTALSPVAFKASFSPLSSRPARTRINVQGAFCLPCSTWKRASNRSFRVYSLFGGKKEKDENGDEAPSKGGIFGNIGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIEGYCEGELIKVTLSGNQQPINVEITEAAMELGAEKVSELVNEAYKDAHQRSVQAMKERMADLAQSIGMPAGLGDGLK >SECCE3Rv1G0186830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:691325718:691328554:-1 gene:SECCE3Rv1G0186830 transcript:SECCE3Rv1G0186830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTLEPHDYIGLSAPTSSSSCSSSPSPAGEAGPRLTLRLGLPGSESPDRDGSADDDVAPALTLGPASHKAASKRAFPDASPRRGCSAAARGDEKPPSAAPPAAKAQVVGWPPVRNYRKNTLAASASKAKGGDDAAPHYVKVSMDGAPYLRKVDLKMYSSYEDLSMALQKMFSCFITGQSSLRKPSTKDRLTNRSNVDSLQDQEYVLTYEDKDADWMLVGDLPWDLFTTICRKLKIMRGSDAAGIAPRSLEQTGQNK >SECCE1Rv1G0053730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:671592917:671593527:-1 gene:SECCE1Rv1G0053730 transcript:SECCE1Rv1G0053730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALASRLSWPRYGTVPMTRCPACPRTAPLKRLVTTADKNGNLGREFVKCESKPEQGKKLKQCTHFEWLDEYIERIQLEGASGELDLPLEAEKFGSGPYGSGGPGFGNSIGATVGDAGVTAELKKLNKQMKTLIELQKQGNLMGLMAGLFYVCVIALAFVYVMIVSRK >SECCE3Rv1G0172340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:290758024:290761082:1 gene:SECCE3Rv1G0172340 transcript:SECCE3Rv1G0172340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDSCITTKELGTVMRSLGQNHTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKVTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >SECCEUnv1G0556920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:316958291:316963708:1 gene:SECCEUnv1G0556920 transcript:SECCEUnv1G0556920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFATGAMGTLLPKLGNLLLDEYNLKDRVKQGVKNLKAELECMEAALLKVSDMPLDQLDPQVKIWANEVRELSYVIEDSLDLSMVCVDGLEPTKPYSFKGFVKKTRNKVTKFKARHKIANDINYMKIHVSEVKERYERYKISDVVANVATTTVDPRLLTMYNKVSDLVGMDKAVDELTKKLSEGNDPLGKKLKVISIVGFGGLGKTTLAKHVREKINKSFDCGGFVPVGRKPDKKKALKDILLELDKQMYMDASEMDERQLINQLREFLTDKRYLFVIDDIWDMPTWEMINCAMVDSCSGSRIILTTRICGVAEKVGGVYNMKALSDEYSKTLFFSRIFGGDQGIILDNQYDELVNKILWRCGGVPLSIITIASLLAGKRREDWSEVYDSIGFRQENNEVVENTRKIVSFSYYDLPFCLKTCLLHLSTSPEDTTIGKETLIWMWIAEGFIPDKPGTRLFELGESYLNELVNRNMIQLVETSRIGRASFCSVHDMVHDLICTLSSQLNFITIYDNQKPITFLPSNSVRRLALSGGSMGYNPVMEMGQVRSFNAVYWQNSGMPSLLSFKVLRVLVIHKCDFSMGVCDLDHLSKLVHLRYLELVHTPAAELPIDIGHDLKFLQTLIIVNSAIKELPPSIGELSNLMCLRASEGTRLMSEIGKLTSLEELVLRSAEKSPNFFTRLGNLREMRILNIRFDEIDERALEDMVESLASLRRVQELTIRFESEERIQVKDIWEEWTPPSELCRLSLERIVLPRRPLWLDSSCVPYLSSLWLEVEVVAEQDLQILGALLSLRCLYLRICEMKGFSYTIGSGEFLKLIDLDTNMEISTSGEGVLPMLEELISCPTVGRHDYVALMLENMPSLQHVSYELDCQHCTSKEAKEAESALRNTTKIHPNHPTVKIERINQVDDDDDDDDDDDGAGQGPEEVSVPDKELLQPALENSGADDKTTQMTSNEEEAAANQP >SECCE6Rv1G0407830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:565680749:565683730:1 gene:SECCE6Rv1G0407830 transcript:SECCE6Rv1G0407830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAANRNQPIKLNKPVVGKARKLKDLMLKSDNRVCADCSAPDPKWASSNIGVFLCLKCGDVHRALGPDISNVLSLTLDDWSDTDIDSMVEVGGNSYANSIYEAFLPKDHPKPKPDAPMEYRTKFIRAKYETQDFLKPSLRISSKAGFESTNSLNSVDNSFSSTSRKHAPEDTREFVGQLNVKVVKGSGLAVRDMLTSDPYVVLSLGEQKAQTTVKASDLNPVWNEVLNLSVPRNYGPLKLEVYDHDTFSADDIMGEAEIDLKPMITAAMAFGDPSRHADMQIGRWFMTRDNCLLSDSVVNIVSGKVKQEVNLKLQNVESGEMELELEWARLD >SECCE1Rv1G0044700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:604427628:604428996:-1 gene:SECCE1Rv1G0044700 transcript:SECCE1Rv1G0044700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRAVLVGINYPGTEGELKGCLNDVARMRRCLVDRFGFDDADIRVLADADPSTPPPTGANIRLELERLVGGARPGDSLFFHYSGHGLQLPAETGEDDDTGYDECIVPCDTNLIKDQDFTELVRKVPDGCLFTMVSDSCHSGGLIDKTKEQIGNSTKQSRARQRQRPPSAGASLCASLLGAIRGALEYVGIRLSRRAKQADGTATSRSLPLSAYIRMLKEHTGKEDVGVGSIRTTLFHHFGDDATPKIKKFVKAVVHGKLRHGADHAATVTEQIPKATPGGGEGGDQAGALGPAMEQEVRSVEEVYAGAAAKAPPPRNGVLISGCQTDESSADLTMDSGVSYGALSNAIQAVLAEEKRGKVTNRELVQRARGLLTKQGYVQQPGLYCSDEHADAAFIC >SECCE4Rv1G0280670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:822612463:822613113:1 gene:SECCE4Rv1G0280670 transcript:SECCE4Rv1G0280670.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVQTTSLAFSGIVIILLSMAITAQASDTKPMSSGIMLEACKNVSSDFFKVRFTYDFCVSTLQSDKRSTDVKDYRILALVAVDAMKPQVTAVAAKVENLIHDATKDKLATRALGFCRVDYNSMVSTLEICHDIIQSFEIRKGNEGSMSFDLPVCIAKTIKAINDCADKIEFTSVSEGLTKEYEELSMMASLSSTLLHLYITSPPPRGLGLDISSN >SECCE1Rv1G0014690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:116839856:116840254:-1 gene:SECCE1Rv1G0014690 transcript:SECCE1Rv1G0014690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMEQGVPEGAEPKSVAAVVADILTKECPSSTFLQNVGLESSSKKKFNRSASALDAHVQELEYKLEKERQASELMREELVEVKKKSEETEAARATEYQLLLQRVEATDARAAVSDARFARLMDLFEGKIV >SECCE1Rv1G0051090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:651187754:651190204:-1 gene:SECCE1Rv1G0051090 transcript:SECCE1Rv1G0051090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAICGEDEPAPRDPERAAAGGGVERLDLGDGRAALVAGGKRSVYLMDCEPVWGCVATPGRGGEMEDACAAVPRFADVPVRLLARRRDLDGLGLDADALRLPSHLFAVFDGHGGAEVSNYCRERLHVVLSKELRKPPSDLGEMSDVDMKEHWDDLFTRCFQTVDDEVSGLASRLVDGVPRSDPIAAENVGSTAVAVVVCSSHVVVANCGDSRIVLSRGKEPVALSIDQKPDRKDERARIEAAGGKVIQWNGHRVSGILAMSRSIGDRYLKPYIIPKPEVAVVPRAKDDDCLILASDGLWDVVSNEEACKVARRQIQQWHKNNSVTTSSSDGGDGSTDPAAQAAADYLTRLALKKGSQDNITVIVVDLKPRRKPKNNS >SECCE6Rv1G0424280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:699929568:699933728:-1 gene:SECCE6Rv1G0424280 transcript:SECCE6Rv1G0424280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSAATGALKPVLAKLGALAGDEYKRFKGVRDDIKSLTRELTAMDAFLLKMSEEEDPDVQDKVWMNEVRELSYDMEDSIDDFMQSVNDGDTKPDGFLEKMKNSLGKMKARRRIGNEIEDLKKQMIEVAERNARYKAREAFSKAKNATIDPRALAIFKHASELVGIDEPKAEVIKLLTKGESTHEQMKLVSIVGSGGMGKTTLANQVYQDLKGEFKCRAFLSVSRNPDMMNIMRTIFCEVSGKDYANTEAGSIQQLISKIADFLADKRYFVVIDDIWDVDTWHVIKLAFPVTSSGSIIITTTRINEVAESCCSTPFSGDIYCIRPLKMVHSRHLFYTRLFDAQENCPSYLKTVSEHILKKCAGLPLAIIAISGLLANIERTEGPWKQVEDSIGRALERNPSVEGMMKILSLSYFELPAHLKSCLLCLSIFPEDSVIEKKVLINRWIAERLIHRESGYSTSYEFGERCFNELINRSLIQPVKTGRYDRVKSCRLHDTILDFIISRSIEENFVTLVGVPSLSVGTHNKVRRLSLQASKQKELIVPRGLVLSHVRSLDVFGESVQIPSMDKFRHLRFLFFEYRDQLENHHLENIDKLFQLRYLSLRGEKKVSKLPEQIGRLWCLEILDLRGTSVCELPAAIVNLKRLVHLFIKYNATLPCGISGLQALEKLKVVTVYNQSFNFLQKFEQQQSLKVLDLDFEDYSSGDRVNAEKESKKDIVVASLKNLGNLLSLTVWDGPEFVGESLCPMPLSLQKLKVMNSIIPHVPNWVSSLVNLQELHLELFRAEQRDFYILGGLPVLRCLVLEIDGREIRNTSLTEEPEVTRVIVCGEVGFPCLRIFNYDSEYAVMNLTFAAGAMPMVDDLSIEFDAAETESLGTSGDFDLGIENLPSLLKIRCLIWGDGDDSSRVEVAIREAANAHPNHPTLDLVI >SECCE3Rv1G0167370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:201423339:201427448:-1 gene:SECCE3Rv1G0167370 transcript:SECCE3Rv1G0167370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLNLPADDVPEEPMPTWGKCPQLYLSYGIATRNDRVPYLKDAIAAVKSFTVLSPPMGLDFFGVFDGILGARFVEHMEERLHVAVAKEIERDLRADTQRARFDVEGWWRMIMESAFRVVDNELVAGGHGGIDAPASVGSGALVVMVLQDYFVLANRGASRAVIYRGLEAVPLTPEHTPMPQNAGGDVVGSTSRVEDVMLPDAFSGSSRLRATVLEPEVLSVKREPGDKFLILATRGLWDFVTPGDACALIERRLSVFVPWDKKPTNSSGLPCAKILANELAAHAISKGTKHNVNIVVILLKNFWDQSLPSISKK >SECCE4Rv1G0214750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:1581624:1582265:-1 gene:SECCE4Rv1G0214750 transcript:SECCE4Rv1G0214750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYSLVLVVVALVCPPLATMAGDPDILGDFIVPAQMVGMPPTNITGDFFTYTIFRAANETMPWPPQYFLVIKANMEVFPALNGQSVSYAMLVFPSGYVNPPHTHPRAAELFFVHSGALSVGFIDTAGKLYTQDLVAGDIFVFPKGLVHYQYNQGPNPATAFSAFGSAAPGTVNVPASVFGTGVDDVVLAKSFKTDFWTVQKLKAALTPPPRK >SECCE3Rv1G0163220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:145916429:145920384:-1 gene:SECCE3Rv1G0163220 transcript:SECCE3Rv1G0163220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 32 [Source:Projected from Arabidopsis thaliana (AT3G24350) UniProtKB/TrEMBL;Acc:F4J6K6] MNPSRSAPVSFRDRTNEFRSAVESARRHVAPSPAAASASGSAGPLDDSRSAASAHSEFNRRASKIGLGIHQTSQKLARLAKLAKKTSVFDDPTLEIQELTAVVKKDIGALNNAVMDLQVLCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAAKDASNPFIRQRPLVPREASDAAPPAPWASDSATTPLFQRKKTNGDHGASSSSSSSPAFMQQQQLAVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMEETVANVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >SECCE6Rv1G0423680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697043713:697045923:-1 gene:SECCE6Rv1G0423680 transcript:SECCE6Rv1G0423680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCSSRAEADRAPVHIYHQQNQEEHEPLSSAFDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVSLPVTENPDLEKSDIKSKTDDQQESLKVDEYESCEKGAPEDKTEEEDVCPICLEEYDEENPRSITKCEHHFHLCCILEWMERSETCPVCDQITLIDEMYE >SECCE4Rv1G0276730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:799102604:799106390:-1 gene:SECCE4Rv1G0276730 transcript:SECCE4Rv1G0276730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLGGLTKSLAMTVLSEVGDKTFFAAAILAMRHPRKLVLAGCLSALTVMTALSASLGWAAPNLISRKWTHHITTLLFFVFGIWSLWEGFKEEGESEDLAELEAKLDADFKSNKGESKNKSKATEDTKKKQRPFLMQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVIGGVIAQALCTTAAVMGGKSLASQISEKMVELSSGVLFLLFGIMSLLSGPEGQL >SECCE7Rv1G0470630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:123134109:123137929:-1 gene:SECCE7Rv1G0470630 transcript:SECCE7Rv1G0470630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASMGRSSSSSVLFLVWAAALLLNAALLVQSVPYDYSSSSECLAEPLEAHYGGGVIVNSDFSAGLQGWSAFGYGSVAEGASPTGNKYAVAANRTRPYQSVSQKVYLQNDTHYTLSAWLQVSDRSADVIAVVKTADNFIHAGGVDAKSGCWSILKGGLTAAASGPAELYFESNSTVDIWVDNVSLQPFSKEEWSSHRHAAVKKARKRTVRLRATDAAGNPVPGARVHVRQVRSGFPLGSAMSHEIIDNEKYQQWFAKRFTVTTFENEMKWYSTEWTQGHEDYSRADAMLRFARSHGIAVRGHNVFWDDPNTQLGWVKALSGDQLRAATANRMKSVMSRYAGKVIAWDVVNENLHFDFFEEKFGAGASAAFYQKAHGMDGGALMSMNDFNTLEQPSDQNGLPSKYLNKLSQIKDAFPGNGIGVRMAIGLEGHFSANPSIPYVRAALDTLSQAGVPIWLTEIDVKQGPDQAYHLEQVLREVYSHPAVHGIVLWTAWHPQGCYVMCLTDDNFNNLPVGDTVDKLIAEWKTRSHVGVADADGHYETELFHGDYQVTVTHPAAAANSTVVQSLSVNRESDNEYTIHV >SECCE2Rv1G0140520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931543777:931546303:-1 gene:SECCE2Rv1G0140520 transcript:SECCE2Rv1G0140520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFCCDDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHTAVNPGDRVMGYIMGKVEGQGESWHGHVTAVSVASEFRRQKLAKTLMHLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVDKKSIIPLKRPITPDELEYD >SECCE5Rv1G0352750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711332207:711341934:-1 gene:SECCE5Rv1G0352750 transcript:SECCE5Rv1G0352750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAR1 [Source:Projected from Arabidopsis thaliana (AT4G16440) UniProtKB/TrEMBL;Acc:A0A178V110] MSSSRFSPALQASDLNDFIAPSQDCVVSLNKNSAASRLPIKKKQVVVSTKPPEESVKISLKDCLACSGCITSAETVMLEKQSLDDFVTRINSGKTVIVSVSPQSRASLAAFFGLSQSQVFRKLTALFKSMGVKAVYDTSSSRDVALIEACNEFISRYKLAQLSSDKEVGTSLPVLSSACPGWICYAEKTLGSYILPYISSVKSPQQVIGAAIKHHMVEKLGLKPYDVYHVTVMPCYDKKLEAVRGDFVFSVEEKEVTEVDSVLTTGEVLDLIQSKSVDFKTMEESPLDRLLTNVDDDGHLYGVSGGSGGYAETIFRYAARALFNREIEGPLDFKVLRNSDFREVTLEVEGRPVLKFALCYGFRNLQNIVRKVKMGKCEYQFIEVMACPSGCLNGGGQIKPAKGQSPKDLIQQLEGVYMQDVSISNPFDNPIAKTLYDDWLVQPGSDNAKRYLHTQYHPVVKSVTSQLQNW >SECCE4Rv1G0224150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:77591115:77594714:1 gene:SECCE4Rv1G0224150 transcript:SECCE4Rv1G0224150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMALSAIVTTPSSSYNNNLPGLTARRSHRWHARRLRSQVRAQAQQELQYKKLGDSDLLISEITLGTMTFGEQNTEKEAHDMLSYSFDQGINILDTAEMYPVPTKKETQGRTDLYIGRWMQSKTRDKVILATKVSGYSERLTYLRDNAEVVHVDAANIKESVEKSLSRLSTDYIDLLQIHWPDRYVPIFGEFSYNSTKWRPSVPFEDQLKAFQELIDEGKVRYIGLSNETSYGVMEFVQAAKLQGLPKIVSIQNSYSLIVRCRFEVDLVEVCHPNNCNVGLLAYSPLAGGVLRGKYLDANSADAKRSRLNLFPGYMERYNASLAKEATDEYVKLAKKHGLTPVQLAFGFVRDRPFTTSTIIGATTMDQLRENIDAFTSAPRPLPPQVLDDIEIIFKKYKDPAIL >SECCE1Rv1G0040730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:565292934:565299563:1 gene:SECCE1Rv1G0040730 transcript:SECCE1Rv1G0040730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQQVYSRLGSFGGAAGTPSPPPPPSPVRAGGGAGRRTPGKAGAARGSQEAAAGAAGWGAAARRAAWALLAALLRRQAVFLFAPLLYVAAMLLYMGSLPLDVVPRIITRSAPGSVYRSPQLYARLRADMDADNSTDALATVWRQTYRGGVWRPCIHNLTNSLPESNGYIYVEANGGLNQQRTSICNAVAIAGFLNATLIIPNFHFHSIWKDPSKFSDIYDEEHFVKRLENDVRVVDKVPDFIMERFGHNLSNVFNFKIKAWSSSKYYKDVVLPKLVEERFIRISPFANRLSFDAPPVVQRLRCLVNFEALKFSNPIATLSETLISRMRQKSAESNGKYIAVHLRFEEDMVAFSCCVYDGGDEEKKEMDVAREIGWRGKFTKRGKVIRPGVIRMNGKCPLTPLEVGLMLRGMGFNNKTAIYLASGKIYRSEKTMAPLLEMFPLLQTKETLASDEELAPFKNFSSRMAAIDYSVCTYSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTIKPDKRRLAILFDNPRIGWKSLKRHLLNMRAHSDAKGVEMKRPNESIYTFPCPDCMCRLNKTAHSKPIHTR >SECCE4Rv1G0220660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41407231:41419003:1 gene:SECCE4Rv1G0220660 transcript:SECCE4Rv1G0220660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPYWTAARVREVFINFFTSRAHTPWPSSPVVPLHDPTLLFTNAGMNGFKAIFLGLAAPDSPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGSWSFGDYFKAEAVAYAWELLTQVYKLPTDRIYVTYFGGDEKAGLAPDTESRIIWLKYLPNERVLPFGCKDNFWEMDDTGPCGPCSEIHFDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNRESDGTLSSLPAKHVDTGMGFERLTSILQNKMSNYDTDIFMPLFDAIHKLAGVGIQPYSGKLGSDDVGQVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLMAKKGFFSSLVDVFVQLMGDVFPELKDNEKKIKDIIKDEEASFENTLVKGYERFKKAADAVKENGGTVLSGQDAFVLWDTYGYPIDLTEVMAVDFGLSVDTEGFNASMEEARQKARNARYKAGVKSIALDANATSQLHNQGLSSTNDRPKFQHEVHSSVVKAIYTGSEFIATTSGDEDFGLVLESTSFYAEQGGQIYDTGSIEGPSGSFAVNNVQVFAGYVLHICSSLEGPDSKALSVGDEVKCKVDYTRRALIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRRIEDIVNQQIKDGLEVYAQEIKLVDAKRINGLRAVFGEIYPDPVRVVSIGPKVEDLLANPESKQWLSISTELCGGTHISNTREAATFALISEEGIAKGVRRITAVTAECASQAIKLAQLIETDINEASKLLDGATLEKKIGSIKNTLDAAVIPAARKADLKGNVSKLEDQLRKAKKKIGEENIQKAVKTAMDAAEAAISEGKPFCVTRADVGLDTTAVREAVVKAMNRFKNLSMMVFSTDEASNKAVVYAGVPPDAPNGFKVLDWLTPSIAPLKGRGGGGKNGLAQGQGSDASRVKEAMEIATQIASIKLS >SECCE3Rv1G0145640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:7805112:7820087:-1 gene:SECCE3Rv1G0145640 transcript:SECCE3Rv1G0145640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPSRVSLHSLEIMTDNFSEERVLGSGAYGKVYLGKREDGQKIAVKILHGMLEHLDGQFEKEFHNLANLQHQNIVRLVGYCHETRREFLPHNEIVVLADVTKRALCFEFMENGSLSDCLFDELNGHDWRNRYAIIKGICNGLKYLHEELKPPVFHLDLKPSNILLDKNMIPKIADFGLSRFFKEGNSHVTSSPIGTFGYLPPEFIRHGIISNKLDIFSLGVVVIKIIAGPTGHCRSDEMTSEKFIEIVHGNWMNRLQSAGSGDLLESYSEQIKRCIVIALSCVKDDRQKRPTIGDIVNMLTETETLENYHGSLIDQMSTCVVKETELINVHPLQLHFSFRPNKLISCPFHVTNNMDDQHVSVRCVPKHPEAKRYYADRDKLYGTVPPRSTHTFVVVMKEEQQPPVNMDELDLVVETILGEVEFETDIKDARKENSRGFREVTLKAVCATTCEKMTSEVILHDGDYKRLRSMDVHPTEPWILAAHSTRLIIWNYKTQTRMMERQFHLGLADSLRSFLYFGIYVSTVRFIVKSTEQLFVVGDTHGYIHVHDSITMKRVEKFDAHGDEVASFAVHPTQPFLLSASWDQLIKLWNWDKGWECIQTFTGHSDQVRQVKFNPHDANTFASASSDGTAKIWRIFFSHSFHQPQLRRGTGLR >SECCE7Rv1G0514620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:835231365:835231646:-1 gene:SECCE7Rv1G0514620 transcript:SECCE7Rv1G0514620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELIRRLSFSDRVSDGSGGVPRGCVPVLVVGDGDEECERFVVRVEALRHPSLSALLDMAAQEFGYKQEGILRVPCAVRQFRQALTTAAVSKN >SECCE7Rv1G0522980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879751348:879751738:-1 gene:SECCE7Rv1G0522980 transcript:SECCE7Rv1G0522980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHKVFPAVLLLLLLVVAMEVAPAQAGEGRVCETDSTRFKGICMVGTNCANICLTEGFTSGKCSGLKRKCICTKPC >SECCEUnv1G0572170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:526788973:526789257:-1 gene:SECCEUnv1G0572170 transcript:SECCEUnv1G0572170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEILQFVMAVDCYPNVSVAYRILLTVPVTVASAERSFSKLKLLKNYLRSTMLQDRLNGLAMCCIEKDILDNVDLDCALNDFASRNARRNFF >SECCE2Rv1G0074680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:78820413:78821420:1 gene:SECCE2Rv1G0074680 transcript:SECCE2Rv1G0074680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAEKAAKKAAKKADKTDKALWDAYHTRVFCELCAREVEAGNRPGAFLSPRGYKNLGESWLQITKKSYVRMQFKNRWENLKTMYCQWKQLQIDASGLGWNAKLGTIDADTDWWNTHLMTYPEHAKYRNGGPPNLVEMDLMFDDRHVTGAESAIPGEIPLDKEEVTIDDDSDSAEDDDEVIKAKPKKKRKTKSSKDDFSALDEKNPFVRMYKKASDAICVTAESIKEASSSSKAPPPPPPPHPVGPSMNEAMEMVRECGVEEGTPLFFSSSMLFMKPEYREMFASVQTKEGRFDWLERAHDFAM >SECCE2Rv1G0123200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:822279816:822290219:1 gene:SECCE2Rv1G0123200 transcript:SECCE2Rv1G0123200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKRAAAAAASKKPPPSQPSQPPAKFGILHFFERHQSQAASQNPKRQKPAANPDPMPPPPPPPPPEEEMSEVSPEVTKTLAPKRVKFSPGMLIKQSQDDGGGEVVTWKISPVNDRLRTRQLPGMALHPCSNTEKHSSLEAMKKWHSSPLGLSRCTASARSLSVCGIGPGGGDGTEDQSPFRTPPSLPYSFTEQLNAGITCNGEPDQLEAGQHKKALLDLLDQVEDAITEEELPVDAGNKGGQVTVGDSTDSKCSPIANSSLNAESNKPREAPSFDSFLVLEVSEKHKGDSSCDRYPAKVLRLLNEHCGKEYSVHLCDEWFHSTVVPGDTVSVIGEFSDQGKCTVDHDSNLVIVHPELLISGTRVASSFHCPRRSVLDERLKSNEYSTSALMGTLLHQVFQAGLLEDVPSRQFLEQQAKEVLLNNLESLYACGASERSTHSILIEAIPKMLNWYKSFMKGSKSNNVDFGHTEGRKTVEVTEMMDIEEMAWAPRYGLKGIIDASVISRVNSCGGGSYDKVMPLEFKTGKSTSGQSAMEHSAQVILYTLLMSERYLNKDIDMGLLYYLHTDQTLGIKVKRSDLIGLMMRRNELASEILKASISQSFPAMLQSPSSCTGCRHLTSCTIYHKVHGGNTATSGLGDLFDNLVNHLSVAHHNFLKHWDRLIDLEARTSQVKKKEILLPLHYNSGSKSSAPSFYVLDMKNEHSVDSSGKSKRYIYNFVREKMQPEAAGHSEPQAESLDFNLKCGDCVVLSTQSGRIAVANGSIRDISRSHITVSLSRRLRLPGSSSLLEQGDLQRELWRIDKDEFSSSFATMRFNLVQLFSQKPQNTKLRKLVVDLEAPRFDSGGLFSQDPALSYIQSLTSLNNDQQRSLHKILAAKDYALILGMPGTGKTYTMVHAVKSLLMRGESILLTSYTNSAIDNLLMKLKAEGIDFIRIGRHEAVHPDVRANCLSTTEVQSVDAIKTRMEQVQVVGVTCLGVYHPLLAHKKFDTCIMDEAGQITLPVSLGPLMLATKFVLVGDHYQLPPLVQSFEARESGMGISLFWRLSEAHPQAISALRCQYRMSSGIMELSNSLIYGNRLCCGSLEIANAKLKFSGKQQVHFKFKEILNPDRAVIFANTDQVPALEAKEHRTVNNPTEAYIVSWITKQLLKRGVAQDEIGIITPYNAQVNLIRQQVDPLVEVHTIDKYQGRDKDCIIVSFVRSSGNSRASGSSLLGDWHRINVVLTRAKKKLIMVGSAGTLSTIPLLRLLVEKVAECGGQLDLTNKDVQSIPELRNSQLNVQ >SECCE2Rv1G0112560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:711154482:711159195:-1 gene:SECCE2Rv1G0112560 transcript:SECCE2Rv1G0112560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYHEEYVRNSSGVQLFTCGWLPASTSPKALVFLCHGYGMECSGFMRACGVRLAAAGYGVFGMDYEGHGKSMGTRCYIRSFHRLVDDCDRFYKSICELEEYRSKSRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKISEKVKPHPLVITALTQVEDIIPRWKIVPTKDVIDAAFKDPDKREKIRKNKLIYQDKPRLKTALEMLRTSMYVEDSLSKVKLPFLVLHGEADTVTDPEVSRALYEHAASTDKAIKLYPGMWHGLTAGEPDENVEAIFSDIIAWLNARRRAWTPEERRTKMLAAPKKPDIDEKDHGSTRPRRRRRGFLCGLTGRTHHHSEM >SECCE4Rv1G0289800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:868481571:868482092:1 gene:SECCE4Rv1G0289800 transcript:SECCE4Rv1G0289800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATTIAARKSAPTTGGVKKPHRFRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE3Rv1G0189900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:732139960:732142431:-1 gene:SECCE3Rv1G0189900 transcript:SECCE3Rv1G0189900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTASGAHHWPSGAGVEHHGHGPSAVWADEFAEFAAARRGAHRRSLSDSVAFVEMAPGGCGAGDFDRLDDDQLMSMFPDEGGSTAPGSDNGTSDSDGKDRYDDGQNDDANPEEPGPGQATPTSSTETIRDPKRVKRILANRQSAQRSRVRKLQYISELERCVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKLFKDAHQEALKEEIERLRQVYQQQNLRMSSGAGAASDHGGPPPVRAEKELMS >SECCE4Rv1G0268940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:746038688:746039881:1 gene:SECCE4Rv1G0268940 transcript:SECCE4Rv1G0268940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAETIEVPSDAELLQAQADLWRHSLYYLTSMALRCAVELEIPTAIHRLGGAASLPDLMAALSLPSVKMPFLGRVMRVLVSSGSGVELYRLTPLSRILVHGVVADEHHSQKYFVLGVTSPHYTEAALGLADWFKKDTEPPVQSPFEEKFGVPLFDEKTALLDEELDAVVNQGLAAHDNLGIATILRECGDIFKGLESLTDCCGGDGTTARALVKAYPHIKCTVLDLPKVIDKAPADGVINYVAGDLFHTVPPSQAVMLKLVLHFWSDEDCVKILAQCRKAIPPREEGGKVIIIEIVVGPSLGPEMFEAQLLMDMLMMVNTRGGQRDEKHWYELFKKAGFTDYKIVKKLGARSVIEVYP >SECCE3Rv1G0205670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900898480:900902958:1 gene:SECCE3Rv1G0205670 transcript:SECCE3Rv1G0205670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAGDETPPLPSSSGAEESSLLSSPPSPTATNGDEPPPRTRKPGTKRLVLTASVLLSFLVGLPLLLKSTEIHRSPLPSDAIADLSRRLQSHPPSFPCGIHAVFLRSGPGSSVASLADQLERAISTQHHLLPASSTAGNISVSVTVQSDGGCTSSTAAASPWRCGSVTAADSAGGDEVFDELLHSALGGGDGDGMKVYTIVIAENDDGKGIRVVIGKHRHAWIVGKVDEAEAVSLVGKVFIKYFMNGGIEEGETGIGKGEFMPVGSDGNVVLSFSLLNADPNDWVYDWEFKNIGERILTPVVEALRPIADINIESQVLYHTPKSSYSYSDDKLGGNVLSMGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGELSKTNAFISPMWGGVVIWNPPDCSLGSKKTHGNRRQMSSQELMETLEIFIGQLRQLFGLKPNYHAQGVDVATKFLVSEKGFAQWELDLLYRHHACSNLLSCVATLESLSSLVQSLPRMIVMDEIGRQVELSLEAASLAQSNATLGIGDSSAVSATRARALAEDAFFHPSIMSISYASVEHYFAIYMPFFAPVCLHVLLAAIKELKRYKVERAKYSAFLLASQSQATTS >SECCE3Rv1G0189000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:720772643:720773467:1 gene:SECCE3Rv1G0189000 transcript:SECCE3Rv1G0189000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVVFDFDKTIIDVDSDNWVVDGLGATELFDRLLPTMPWNTLIDTVMVDLHAQGKTLRDVADVLRAAPIDPHVVAAIRAAHSLGCDLRVLSDANRFFIETVLDHHGLRGCFSEINTNPSRVDADGRLRIAPHHDFHAGPHGCGLGTCPPNMCKGQVLDRIRASAAADGARKRFIYLGDGRGDYCPSLRLAREDFMMPRKGFPVWDLICENPGLLQAEVHPWSDGKDMEETLLRLISRVLVEESQLLPLDCKLESMPVAVQDGMPMPLGVKN >SECCE4Rv1G0260800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:698686974:698687285:1 gene:SECCE4Rv1G0260800 transcript:SECCE4Rv1G0260800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQSMAALLAVVLVLGACTDVAHGICNISSGGIRACQPAAAIRNPTDAPSAACCAALAGADLPCLCRYKNVGAMWVRFYKIDVKRAMALPRKCGLAMPANC >SECCE2Rv1G0137570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918483757:918487964:1 gene:SECCE2Rv1G0137570 transcript:SECCE2Rv1G0137570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EOL1 [Source:Projected from Arabidopsis thaliana (AT4G02680) UniProtKB/TrEMBL;Acc:A0A178V4A7] MRKLFFSELTSCKETKLQSATHSWLPMDKGKLSKFAGHSSSSSSSIESLMKMPEPPVLPHFKPADYVDILAQIHEELEYCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQAAWEKASTIHEKLIFGAWLKYEKRGEEPISDLLGSCGKCSQEFKLLDFVSQISAESHGISFDDESDEFQGSPVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFKESHLEVIDMSRNGISPIGMRAISKFSLSGRLPYLSAEAILEMLDFANKFCCKGLKDACEQKLASFVSSRQDAIDFMECAIELGCSILAASCLQVLLNELPECLNDEQVVRIFSSANKQQRSTMAGNASFSLYCLLGEVSMSISATSDVTVSYLEKLVDSASDSRQKQLSLHQLACVRLLRKDHAEAERLFNAAFTAGHVYSVVGLARLASLRSNKHYSLNLLDSVMSSRWPLGWMYQERALYLDGDSKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPDYRMIGGRVAAKQLRTLVMENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASQHERLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLEPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGNLDLAAECYINALKIGHTRAHQGLARVHFLRNNRTGAFEEMTKLIEKARSNASAYEKRSEYCDRDLTKADLQMVTKLDPLRVYPYRYRAAVLMDNHKEKDAISELTKAIAFKADLNLLHLRAAFHEHVGDISGALRDCRAALSVDPNHQEMLELHHRVNSQEP >SECCE7Rv1G0481600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:285560293:285562017:1 gene:SECCE7Rv1G0481600 transcript:SECCE7Rv1G0481600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFTLLACIGALLAGAAAAVPPATFVFGDSLVDAGNNNYIVTLSRANYAPNGIDFDGHQPTGRYTNGRTIVDILGQEMGLGGFVPPYMDPNTTGDALFRGVNYASGGGGILNQTGSIFGGRINLDAQIDNYAKNRRDMMTQRGEVAAVSRLRGALFSVTMGSNDFINNYLVPVLSVPERAVTPPEAFINGMIAKYRQQLIRLYLLDARKIVVVNVGPIGCIPYLRDIMTTGAGACAELPNQLAQSFNRKLRAVVTELGTGLGGSRFVYADVYRIVSDIIANYKSHGFEVADSACCYVGGRFGGLAPCGPTSSYCADRSKYVFWDAYHPSDAANALIASRILDGDPADISPVNVRQLLLDDA >SECCE3Rv1G0191870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:752097297:752098844:-1 gene:SECCE3Rv1G0191870 transcript:SECCE3Rv1G0191870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g09190 [Source:Projected from Arabidopsis thaliana (AT1G09190) UniProtKB/Swiss-Prot;Acc:O80488] MPSTGAASEPSPSFSHAVTTPDGWHPRTAERRLLHLLHHSSRARRRPLELLAFAVRHCLHSSPPSPHHHFLAALLLLSSPPPPALSLLSLLPPEPPPPLALLNAALKSLSAPCPPLAFGLLSSLRRLHAPDRLSFLPLLGSTSSLPLLSALHGLLLRLGFLSHHAISLALLKPYHLPHARILFDEMPQQRKCTIAYNTLITAYVKANDIFTARHLFDEMQRFKRSRRSMVSWNAVIAGCTWCGRDDMAVRYFQDMVREGKVVPDDGTLAAVLPACGRTGNAGAGRWAHEYACKMGILDSSVHVANAVVDMYCKCGDVSSAREVFEGMQQRSVVSWNTMISGFSLNGQGIKGIELFRRMVRSGGEPNSVTFLGVLGCCAHAGAVDTGQVIFQIMQSEHGIEPGIEHYGCMVDLLGRSGLLQEAHALIQGMPMSPNSAIWGSLLSACRAHAGLGIAEVALKELISLEPWNSGNYMLLANLYAETQRWEEAGDVRKLMRRMSVQKAPGQSLIEEPSST >SECCE6Rv1G0408680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:575736186:575738657:-1 gene:SECCE6Rv1G0408680 transcript:SECCE6Rv1G0408680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRSASTAPMPHLRLLSCRSYSISTLPPSRSWSPHAAFAAATERVSAGTLTPEDAHHLFDELLRQATPVPARSLNGFLTALARARDTDACRDGPALALALFNRTRREEAGSRVVSPTIFTYGILMNCCCHTLRPDLGLAFFGRVLRMGLKTNVVVATTVLKCLCGAKRTDEAVNILLHRMSELGCVPDDFSYSIVLKSLCDDGRSQRALDLLQMWEKERGVCSPNVVAYNIVIHGFFKEGEVSKACDLFHAMVHQGVVPNVMTYTLTIDALCKARAMDKAKLFLRQMIDKGVRPNNVTYNVMIHGYSTLGQWKEAGKVFREMSRQGLIPDIVTWTSFMASLCKHGRTKEAAKFFDSMTAKGHKPDLVTYHVLLHGYGTEGCFADMINLVNSMATKGIAPDRQVFNILIDAHAKRGMMDEAMHIFTGMQGQGVCPDVWTYSTIISALCRMGRLADGMDNFSQMIGKGVEPNTVVYHSLIQGFSTHGDLRKAKELVYEMRNKGIPCPNIAFFTSIMDSLCKEGRVMDAHDIFNLVTDIGEKPNVITFNTLIDGHCLVGEMEKAFGVLDSMVSAGIEADVFTYNTLAYGYCRCGRIDDGLILFREMLRKKPKPTTITYNIILDGLFRAGRTVAAKKMFHEMIEGGITVSMSTYSIILGGLCRNNCAEEAITLFQKLGAMNVKLDIRILNTMINAMFKVRRREEANSLFAAISASGMAPNASTYGLMIGNLLKEGSVQEAENMFSLMEKSGCAPDSRLINNIIRILLEKGDIVKAGNYMSKVDGKTISLEASTTSLLMCLFSSKGRYREQINLLPGNYQFFNGVS >SECCE7Rv1G0466050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:76201919:76202395:-1 gene:SECCE7Rv1G0466050 transcript:SECCE7Rv1G0466050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSSKPKRAGGLQGARPQPLSLPSKKPRVGGHAGSAGPVIVYERTPRVVHADPHQFMAVVQRLTGNCKQRASTAATTWPPEASSGSGARDGGASTSTAAGALVLTLGQQQRAPCGGDHHPAAAPPASPAAASPLLSPSGFFFSPATMQAIRELIS >SECCE1Rv1G0010890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:66897777:66899745:1 gene:SECCE1Rv1G0010890 transcript:SECCE1Rv1G0010890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQSFLAVAPVKPAAAARLPSSRRARVSACLATPAPAPTAPAAASARRELSAASRAVIADEAKYIVGTYKRAQVVFVAGRGCKLYDIDGREYLDMAAGIAVNALGHGDPDVDAAAADQRGRLVHASNVGYTVPQVELAKRLVEASFADRAFFANSGTEANEAAIKFARKYQRVAHPNGDAPTEFMSFSNCFHGRTIGSLALTSKVQYREPFEPVMPGSTFVEYGNLEEAKKVIQSGKIAAVFVEPVQGEGGIHSATKEFLQGLRDACDEAGALLVFDEVQCGLGRTGYLWAHEVYGVVPDIMTLAKPLANGLPIGVALVTEKVAAAINYGDHGTTFGGGPFVCHAALATLDKIQKPGFLAEVARKGEYFKQLLKTKLSGNSHVREIRGAGLIVGIELDVPAGPLVDACLDAGVFLLTAGKGNVVRLVPALIVSEKELEQAAEVIRECLPALEASTS >SECCE6Rv1G0397280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:388937454:388944067:1 gene:SECCE6Rv1G0397280 transcript:SECCE6Rv1G0397280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDESKFEQQLQLWALRIPRELASAVTRLLRSGCLLDMPRVKPVIEDPGSDKNRLVVLSEKIQNPDLSDIPEQVHNSLKQLCSVDVVPYMLTLGYSYWSAGHVAHLNIPDDLLVYKYVIAKVIYDKNYPRIQTVVNKVGAISNEFRVPKFEILAGKKDMVTEVKQYGATFRLDYGLVYWNSRLEHEHIRLVSLFEKGDVICDMFAGIGPFSIPAGQKGCVVYANDLNPDSVHYLKTNAKINKVEDYIFTYNMDARVFMQSLLAVPDPETKSECQFAAANRCSEEMVSGNEHSTSNGNHDVQEISQESLDGSSMVSTSTKRRQETSDEGDVACQEDANQTKKRNNKKVKSSGPLPARPWEHIDHVIMNLPASALQFLDCFDGLVQKRYWKGSLPWIHCYCFIRSSESEELILCEAQNKLNAKIAEPIFRRVRDVAPNKAMFCLSFRLPVECLKEETEDHIRSVDG >SECCE7Rv1G0507980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:767183426:767184502:-1 gene:SECCE7Rv1G0507980 transcript:SECCE7Rv1G0507980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMARMAASVLAILLASCALASASFDKEFDITWGDGRGKIMNNGQLLTLGLDKVSGFGFQSKHEYLYGKIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVTASRTLCTQTCSRRVRASGSSSSASGSIPPTTSTPTPSSGTQSTSCKFMVDDMPIRDFKNLEGKGIAFPKNQPMRLYSSLWNADDWTTQGGRVKTDWSHAPFSASYRGFKADACVVTAGGRPRCGASVGTGVAPGTGAAGEWYNQELDLTRQQRMRWVQSNYMIYNYCTDPKRVGKGVPAECSM >SECCE3Rv1G0200930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:858717268:858717561:-1 gene:SECCE3Rv1G0200930 transcript:SECCE3Rv1G0200930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHGMSSPSQSFRSYSVSSSEEENRCGAAVACLSRKILAAGPANRVGTSKVTPVMATGQGGIEGSPRLQRSRAVSRDLVRDWNFDEIVIGN >SECCE1Rv1G0061120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:713664212:713666160:1 gene:SECCE1Rv1G0061120 transcript:SECCE1Rv1G0061120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEVSIQKAGELHGHPHPGCAPSPEPLLLRVPSRAAGASSMEAPDAVGTGGRTTKEAAVAAYAFTPSSVLISPSGLHLAHLGMPPFVRANKADVGAPAVPPSVSKVERVHHPAVPQLLKQARHHSQPSLVVRVGGEVPAVLRSDSMRERDRRFDQFKTFSGRLERQLSSLRGVPQDTDVEQGAASKISEEDTDEDDEVPTADRYFAALEGPELETLRSTEVAVLPKDETWPFLLRFPISAFGMCLGVSSQAMLWKTLESEPSTKFLHVHPAANHVLWWISVALMVVVSIIYFLKVVFYFEAVRREFHHPVRVNFFFAPWIACLFLVKGVPHPVWEIHHAVWYVLMVPILCLDLKIYGQWMSSGERRLSKVANPSNHLAVVGNFVGALLGARMGLRELPIFFFAIGLAHYVVLFVTLYQRLPTNVQLPKELHPVFFLFVAAPSVASMAWTRISGEFNDGSKILYFVSLFLYVSLVVRINLFRGFKFSLAWWAYTFPMTSVALATVLYASEVDNVLTRALAVGMSGIAVVTVTGVLATTMYRTFVSKDLFPNDVSIAITRRRPKFSKILAHLRSSSSDVKELVLSIPNFSNSKQGTYCDDSGSDSKMSNIVGESMVA >SECCE3Rv1G0204800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:897202634:897204936:1 gene:SECCE3Rv1G0204800 transcript:SECCE3Rv1G0204800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATASRLRAFESWMREHGVVCSDALRLDASEAGGVYVRAVAALREGDVVATIPRRACLTPRTSGAAAAIKDAQLGGTLALAVAVMYERARGAESPWHDYLRLIPDCEPVPLVWPEDEAARLLAGTELDKTVKQDREFLCEDWKECIEPLISSGELGVKPDDLSLEKYFAAKSLLSSRSFRIDKYHGSGMVPLADLFNHKTDGEHVHFTKSEASDSDEEEDDDDQSNADSDEEEGEDDQSNVDLVEEEDDDDQTNASADEPSTVENSTANPSGEGYTDEDLEMIIVRDANPGDEVYNTYGTMGNAALLHRYGFTELDNPYDIVNIDLTLVTKWCSSKYSQRYAKARVSLWHKLGYSGCTSEDAEYFEISYDGEPQLELLILLYIVFLEPEVYDKLVCAAEDLIGDDEQDDEEDPIDSFAKVVRVTSPAKNPEPDVKKLLHSESIGSALASIADIRESLYGSSALKDDEEKLRTCSPVGERNLYHSLVLRVSERKILGRLTKHASSLSKTKKRKHV >SECCE7Rv1G0503750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:715844607:715853655:-1 gene:SECCE7Rv1G0503750 transcript:SECCE7Rv1G0503750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIDTPIDLKPCGPLEEYRIPDYVLRPDAQQVIVDHAPQCPVIVFINSKSGGQLGSSLIKTYRELLNEAQVIDLSEEAPDKVLHRLYVNVERLKIEGDILAVQIWRTMRLIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPSTDQEAVKLFLGLVKHAKEIKIDSWHLILRMQAPKEGPCEPIAPLELPHSLHAFHRVSSGDSHNVEGYHTFRGGFWNYFSMGMDAEISYAFHSERKRNPEKFKNQLTNQGTYAKLGLKQGWFCASLSHPSSRNIPHFAKVKVMKKPGGHWEELQIHHSIRSIVCVNLPSFSGGLNPWGEPGARRINTEFTLPYVDDGLIEVVGFRDAWHGLVLLAPNGHGHRLAQAHRIRFEFHKGVVDHTFMRVDGEPWKQPLPKDDDTIVIEIAHQGQVTMLANEPCKSMSFSDPLSSHGHHNEHSDEHKNDVDDEDEWEDGRRKFGAADTFKLPDEIDIAHLS >SECCE3Rv1G0190380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:738396147:738397889:-1 gene:SECCE3Rv1G0190380 transcript:SECCE3Rv1G0190380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g33760 [Source:Projected from Arabidopsis thaliana (AT2G33760) UniProtKB/Swiss-Prot;Acc:P93011] MGSHHPSPEYSSLLLAGPRAGALKQAHARLIVTGHSRSLPFITKLATLAVAAGAAPYAHLLATSHPAPDSFLFSSLTRTAAHHGLPVAAIAFYRSLLSAALPFSSFTFTAVAKACADLSAIRTGTAIHAHSILLGFGSDRFVLTSLLVLYSKCGQLGVARKLFDGIRDTSVVAWNAMISGYEQNGLAEKGIEVYKEMQAAKVVPDSMTFVATLSACAQAGALDLGREVERRIVSERMDISVFLGSALVNMYARCGVVDKARRWFDALQERNVVTWTSMIAGYGMHGHGHEAIKLFHLMRREGPPPNHVTFVAVLSACAHAGLVMEGRDAFDCMKRIYGLVPRVEHYCSMVDMFGRAGLLDEAMQFISDYMPGEPGPEVWTAVLGACKMHKNFNLGVEVAERLIALEPENPSYHVLLSNIYALSGKMHHVEEVRNTMIKRRLKKQIGFSLIELGGTSHLFRMGEKSHPETTEIYQFLEELIHRISAAGYMPETESVLHELEEEEREGALRYHSEKLAVAYGLMMSIGSTTPIRVIKNLRICGDCHLAIKFMSAVENREIVVRDKHRFHHFKDGKCSCLEYW >SECCE7Rv1G0490050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:472468687:472469583:-1 gene:SECCE7Rv1G0490050 transcript:SECCE7Rv1G0490050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSSHPTLSGGVTEKLSRTNYILWRTQITPQLRGASVFHYVDGTSTEPAKTHVTKDTARKETEGPNPLHPLWVKEDQRVLGYLLQHLSKEVLITVTAITTARELWVALASMFSSQSLSRVNNIRTALINAQKGNQSVSSFFAAMRGLADELAAAGKPIQDDELISYIIHGLDQEYQPLVSALDARVTPVTLDELFAMLSNFDQRMAQYHGSGGGFKSSANSASRGRGGGSCSRSSSRGKGRFGSSGGGGYSNNTRGGGCSSISKGRRGGGSNRSCSDLPRCQICGKPGHTAKDY >SECCE2Rv1G0109760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:680515082:680516293:1 gene:SECCE2Rv1G0109760 transcript:SECCE2Rv1G0109760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G77090) UniProtKB/Swiss-Prot;Acc:O49292] MSSLALFLPSSSSSSFLTKQASHTKGRAPAVVRCGSGPTLSGSHEEEEREGVMVALVGRRNALASAAAACGVSVLGFAGDGLAAAKQGPLAGRIPGLSGPDKNGWKTYRRPDEKSGGHGVGWSPIIPYSFKVPDGWEETPVSIADLGGTEIDLRFGNPKEGRLSVIVAPTRRFADDLDDATIEKIGTPEKVINAFGPEVIGENVEGKVLSTATAEYAGRTYYQFELEPPHIFITATAAGNRLYLFSVTANGLQWKRHYKDLKQIAESFRVV >SECCE4Rv1G0233950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:260196803:260219086:1 gene:SECCE4Rv1G0233950 transcript:SECCE4Rv1G0233950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGKTFADVVKLLTSWLPRRSKPDNVSRDFWMPDHSCRVCYDCDAQFTIFNRRHHCRRCGRIFCGKCTGNSVPVPSGPDKSDDEGDRIRVCNFCFKQWEQEQIAAVKQILPVLSPSVSEASVFSTKSTITINSVSTPAASYSTGNYQHVARASNIAPPPPKLSQDKVSHSMQDSHVPEISMSTISNRDDSTLMQFGYYTNRSDDEDEEFGAYCSDRQVQHEQHNNQYFRSVEFDEFDASYNTTISKTIEVNVNPKELSACAVDHGFPSTLPVTKVEDEPELDNSSDCGAASSIYALETADTNSVDFEKNDYFWIPPEPEDKEDELETDLFDDDDDDDEPVSDGGRCYLQSSSSFGSGEFRSRDRSTEEHKKVMKNVVDGHFRALISQLLQVENITLEEGDDMGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLASGHHSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENTVSRYAQDLLLEKNISLVLNIKQSLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKHIEHPVTSGNKAKKTLKNMMFFEGCAKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLRSPLTVTLPDKRSTADNSISTVPGFTINISNSQQATNSFEHLDTDIVISTDPGGTAVVEPPVDCDCLTSQKANSHSFGPLCTSGCSFNSSNGDKLEKVTATADSVSMSSITTHNVPADPSHIYSTLEKKCAYSGDYHADYSTSLDCETARADSINTNHHQSTSAVSTNIINYSNLKELLEGSHALPNVRIVNANNLLAVQPMSSPAVQNQETSQGHDITSNKTEIVPSDHQSILVSLSIRCVWKRSICERSQLLRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLTDVVLPGERDGKIWMWHRCLKCPWSDGFPPATHRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSVHVPPHKLYFTHQPLDWIQKEVNEAIDRAKVLFDEVSRTLHLISEKKAHSSSLNVECTNYIAELETMFWKEKLEFEGCLSKVLKKEVPKCQPDILEINRLRRQLLFHSYLWDQRLLFAARSDRNRHELSNTRQGDKETIHSMDSVADPNVSEQPQSEISGSVAANKDVKYAENLQVSICGENCSGVDPSNSYCNLDQRIPTSESDFLQRNIQTPLYSSVSVNGDSVPFEPDLVARRTLSEGQFPSLLDVSNALDAKWTGENDPVTSKVTLPDSAASSEDSQEHISDTTPSYASVLLNKLGDSAEDHSNWIGMPFLQLYRSLNKKWSRSKRFDALIEYTPVYISFLRAVERQIGPKFIFPIGINDTVIGVYDDEPTSIISYALASHEYHLQLSDELEREATDTSPSLCDSRSVSLTESVDETTSELLRSVVSTDDNIVSIPGSKNQSTSDPLVYGKVTHIKVNFGDEGPLEQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPDYFKYLSESICTGSPTCIAKILGIYQVKSLKGGKEVRMDVLAMENLLFERRVTRLYDLKGSTRSRYNPDSNGSDKVLLDENLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPAAIVPSKQVADSGQDSDQFVSTESSSMLDR >SECCE5Rv1G0353150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:713958853:713961454:-1 gene:SECCE5Rv1G0353150 transcript:SECCE5Rv1G0353150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAASRYASYDDSPSPSPSPRRAGPAAAAASGTPGQQHGSRALVAVRSGRGDLRCQAPLPQPHGNLGSVLRRLISMDKKPSAKKLLPVPPAAAAKNGSGAKLPGLSRKLFQKAPPEAKKKALTEVKNSGNANTRTLAMVLRSERELLSQSKEQEDEIAALRLQLQQKDREVERLKDLCLRQREEISTLKDAVLFPDTEPDRHVRDEISTLTGQIQCLAEELAQVKAEKNTSRSCFDDEYCSSPSTPVLNEETAFSLECSIGEAETPPNYGSPDEMFVKDLNPCLTPFSKSRSEEYEESLSSHRSKARPDPHLSSSCRRPMSKSSDHHHKPTSGTGSSKRRPYRSDQDKSCQNLF >SECCE5Rv1G0369420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:834846093:834846440:1 gene:SECCE5Rv1G0369420 transcript:SECCE5Rv1G0369420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPFQNWLGMGATIPARIQPGSIDHVPFPTVVSCLGASFFGFTIKPDAAPFRIIIYRPFFPPVPSRMKIIVCMFDFGCRCNRIGGSILWQDQSPGQTKTLLQEELCYAPPPISL >SECCE5Rv1G0369960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:838958166:838959877:-1 gene:SECCE5Rv1G0369960 transcript:SECCE5Rv1G0369960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAGGKVMPKSKASFFLYGLLLYVLLPVLAVYVVALALSPLYSGSCPPAEGASNAVARLAADAGGGHNASSSFSSSNMMLRSPAPMARRVRRPKPSADAAPTGLRHILFGIGASSSMWKSRREYIRVWWRPGKMRGFVWLDKPVPEYYSRNSSTGLPALKISADTSKFPYTHGAGSRSALRISRIVSESYRLGLPGVRWFVMGDDDTVFFPDNLVDVLSRYDHTQPYYIGNPSESHIQNLIFSYGMAFGGGGFAISRALAAQLARMQDGCMHRYPALYGSDDRIHACMSELGVPLTRHLGFHQCDIWGDVLGLLGSHPVAPLVTLHHFDFLQPVFPAARTRTAALRRLFDGPARLDPAAVAQQSVCYDREKQWTVSVSWGFAVVVVRGVVSPREMETPMRTFLNWYKRADYTAYSFNTRPVARNHCQRPQVYYMRRSRMERRVRRRRTNATLETTVTEYERHTPMPNVTCRWRIPDPAALLDRVVIVKKPDPDLWKRSPRRNCCRVVSSPKNGTKLDRTMAVDVGVCRDGEFARV >SECCE1Rv1G0051340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:653040562:653042652:1 gene:SECCE1Rv1G0051340 transcript:SECCE1Rv1G0051340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRCCLLLALAGLLLGNAAALPHHGPAKHDYRDALTKSILFFEGQRSGRLPPSQRVSWRRNSGLSDGSSVKVDLTGGYYDAGDNVKFGFPLAFSSTMLAWSVLEFGGMMKGELQHARDAVRWGADYLLKATAHPDTVYVQVGDAGKDHACWERPEDMDTPRTVYKVDPSTPGSDVAAETAAALAAASLVFRKSDPAYSSRLVARAKRVFEFADKHRGVYSAKLSPYVCPYYCSYSGYQDELLWGAAWLHRATKSPVYLGYIKANGQLLGADEQDNTFGWDNKHAGARILLSKSFLVQRVGALQEYKAHADTFICSMVPGTPTDQTTYTRGGLLFKLSDSNMQYVTSSSFLLLTYAKYLVSAKKTVSCAGVAVTPQRLRAIARRQVDYLLGSNPLGMSYMVGYGARYPKKLHHRASSLPSVAAHPGKIGCSQGFTGLYSGAANPNVHVGAVVGGPNQNDQFPDQRSDYEHSEPATYINAPLVGALAYLAHSSGQL >SECCE4Rv1G0251210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:600852238:600856185:1 gene:SECCE4Rv1G0251210 transcript:SECCE4Rv1G0251210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda [Source:Projected from Arabidopsis thaliana (AT1G10520) UniProtKB/Swiss-Prot;Acc:Q9FNY4] MAPKRKPAEPPRDPEGIFRGVSAFFVPHGVQSRRLEVWKQKLVQMGGRLEKKDADAKGARINHVLAADAKALLRELTADWLHRFTGSVVSFDWLEECLKSGERLPEHKFTINYEEEFKPKKAPGAGGTGASNPAKRSKMSSEDPENRNETSGHDGKDELATGEHRDTTSTHVHDGSGVEKGPGQFAHSQTSSGDTKDTVGSLDIEEASSGEPTTYAPPDFNRNITEIFGKLINIYRAMGDDRRSFSYYKAIPVIEKLPFKIESGDQVKNLPSIGKSLKDHINEIVTTGKLSKLEHFENDEKVRTVSLFGEVWGVGPATALKLYDKGHRTLDDLRKDDSLTYAQRTGLKFFDDIRQRIPRHEVSEMEKLLQEVGKDILPGVTIVCGGSYRRGKSSCGDMDIVITHDDGKSHVGFLPKFVQRLKDINFLREDLIFSINSIEGTDSGVDTYFGLCTYPGRELRHRIDLKVYPRNRYASGLLAWTGNDVLNRRLRILAESKGYVLDDTGLYLATQSSGGKRAGRSEAIVNCHEEKDVFDTLGFPYLEPHERNL >SECCE5Rv1G0340630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:616435382:616436107:-1 gene:SECCE5Rv1G0340630 transcript:SECCE5Rv1G0340630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGLEVSSSSLSSSSVSSSPDHASERVKRPAGRTKFRETRHPVYRGVRRRGNTQRWVCEVRVPGKRGARLWLGTYATAEIAARANDAAMLALGGRSAACLNFADSAWLLAVPSSLSDLGDVRRAAVEAVADFQTREVANGSLAATVTEEASCGAPEESSSESDSAGSSETSEPSADRELEVPLAVDTDMFGLDLFPEMDLCSYYASLAEALLVDPPAPVTTNDVYWDNGDGGADVALWSY >SECCE7Rv1G0473010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:152805383:152806966:-1 gene:SECCE7Rv1G0473010 transcript:SECCE7Rv1G0473010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALAAFLFLIPLFSLGAVFVLRAWGLDKKAPAQRTPRTRPYPLLGHLPQFLANRHRVLDWLTEVLALLPTCTLVFRRPGGVRGVITANPTNLEHIMRASFDNYPKGPRFAALLHDFLGRGIFNADGEAWRSQRKAASYEFNTRSLRVFVAQSVHSELHGRLLPLLRRAAGSGRQLDLQDTLERYAFDNICRVAFDHDPGQLPDGDDGCGARPEVESAATTASSSFADAFRDAANLSAGRFQYAVPGFWMIKKALNLGSERRLRESIAMVHGFADRIIRSRREEMDMGCEKHDLLSRFMVSQGESYTETALRDVVISFLLAGRETTSSALTWFFWLLSSRPDVERRIRDEVAAVRARRAIGDLGRAGFDLDELREMHYVHAAITESMRLYPPVPVNFLRAEAADVLPDGTAVGAGWFVAYNSYAMGRMESVWGEDARAYRPERWLDPAEGTFQPDSPFRYIAFHAGPRICLGKEMAYIQMKSIVACVLEEFELAVDGAYRPRQVTSLTLRMADGLPVAVRVKAIVN >SECCE5Rv1G0359010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:759076004:759076758:-1 gene:SECCE5Rv1G0359010 transcript:SECCE5Rv1G0359010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSVVADAVVVLFSLTIAMAAPLIGAQSVLPRHLYPAPLQDFKRWYAAEFDDYLMAEPPAFLRGIFWLEIAFLWPLSVATIYGVLARRRWAATTSLMAGVSTLTSMSAILGEMLGSGRATPRLLQLYAPYVVFAVIAILRGPCSCSAPPSPASSARKKRV >SECCE5Rv1G0305830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:77532079:77536646:1 gene:SECCE5Rv1G0305830 transcript:SECCE5Rv1G0305830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQVGPNNHKNLNVVYQDLTTILGLGRFDSGNLTAGLPLKYDMDPINLVRMRTIPSENGLRPAQYSSDNLQDMSSPCESPHAGKVKLMCSFGGKILPRPSDGVLRYVGGETRLISIPRNFSWKELVHKTLSIYNQPHTIKYQLPDEDLDALISLSCEEDLQNMMEEYYSLEKANGSPRLRLFLVSPNDCEETSLSARSLESEPEYHFVVAVNNLSQLKQSTSGNNLVSQLSQQLDNSLLPCSYSTTCQTDIESGGKALAGTALNESSSQFFLAPYSQQMVAESAATSSPVLNRQRMSLNQSRLRMSSDKLTMNQEHANKYEVSNGSNLKAMLPDHQDKTQSDVGTGVGIGSTMHNLQIQRQAKDLGIPRNESYASSHTNYDKSTPMEMPFYSGKVCLHPETASWLSGMHEYTGQIHGMPHAFSDPLLNDRTEQPASHLSLTFDSYIPPSFSQKMFQANELERTISGTRPDLLCAKPPEIARIDEPNYLVSSHTDQRYDQGVTGGASFESAVYYQQDNLSSNMVQTGHDAGPVVQQRKVYHQENSAAPSVSPQCTYVDTRFTLHHTHGARLSSGELDALESSGLTSMHATDNSHSRFLHGFPNGSQTANSDRGSHVEKMNSGRVTDYGTAGCVHGNDKVAPGPHILLPIDPFEAFAPQRSVANGASAVYQNENFDQLLVHSSGLATSSPVELRNADLTVNMFGNGTFEDGFLQRDVPVFNQHNIICSDVEVIGSDCATINNEDMNLKGRMHNNFQMEARVIVEDVTDNMPSGIPSSRPVPQVEMAAEEQHHAIMSSEKDDDAKSDGPELANEDHGDKEPADRSISDAEIAELEASMYGLQIIRNADLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFAGRSSEQEKLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVAEFMVNGSLRNVLLRKDRSLDRRKKLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNMRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIALWEILTGEEPYANMHCGAIIGGIVNNSLRPPVPETCDPEWRILMEQCWSANPDIRPSFTEVTDRLRAMSAMLQSRAQAPGS >SECCE5Rv1G0374900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:865263205:865263993:-1 gene:SECCE5Rv1G0374900 transcript:SECCE5Rv1G0374900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNMECSGTGSGDRGGMVTPFVAKTYMMVDDPATDAVIAWGPANNSFVVADPFAFSETLLPAHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHASFLRGQTHLLPRIVRRRTSGGRRAKDEDEEEDEDTSSAMLAMEVVRLRQEQRATEESLAAMWRRVQDAERRPKLMLAFLLKVVRDPDVLRRLVGNSGSDAGVLFPGEGAEAKRPRLLLDGEAQMQDAFVGEPRVDFTGFYTGGDGFSDVPVVDDPPYAFPVDGGGY >SECCE5Rv1G0320890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:394087840:394097607:1 gene:SECCE5Rv1G0320890 transcript:SECCE5Rv1G0320890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSYLLAGSRAASPSLPSFPSLRRRSCHRPPPLATTPLPLPSTQRWRRSLRFCASSPSSSPPPPVPPEEEEPMDYELSETTGNCDPLCSVDEVSSQYFEANYKPKNDLVKALTILATALAGAAAINHSWVAANQDIAMVLVFALGYAGIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSQSTAEVSEIVFFLLGAMTIVEIVDSHQGFKLVTDNISTRNPKTLLWVIGFVTFFLSSVLDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQITTLKIMQGLFVPSVVSLAVPLALMSLTSEANGSSQASSSLLSSEQMAPRGQLVFAVGLGALVFVPVFKSVTGLPPFMGMLLGLGILWILTDAIHYGESGRQRLKVPQALSRIDTQGILFFLGILLSVGSLEAAGILRQLANYLDANIPNSDLIASIIGVASAIIDNVPLVAATMGMYDLTSFPQDSDFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYLRKVSGFALAGYVAGIITYLAGQNIPLSLPTSLAEIPFITGS >SECCE5Rv1G0343370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637850420:637856580:1 gene:SECCE5Rv1G0343370 transcript:SECCE5Rv1G0343370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPATTTVAVPRMKLGSQGLEVSALGLGCMGMSTYYGPPKPEPDMVALIHHAVAAGVTLLDSSNIYGPHTNELLLGKALQGGLRDKVQLATKFGILAGADGERAIRGDPAYVRAGSLERLGVGCIDLYYQHRINISVPIEFMMGELKKLVKEGKIKYIGLSEASTSTIRRAHAVHPITTVQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFFSNGPKLDLPRFQAENLEKNTMVFERVSAMAARKGCTASQLALAWVHHQGNDVCPIPGTTKVENFNQNVAALSVKLASEEMAELESYALADVAGDLYHAFLNTWKDSETPPMSSWKAE >SECCE3Rv1G0200070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:850775426:850777229:-1 gene:SECCE3Rv1G0200070 transcript:SECCE3Rv1G0200070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAPRANTRRRRLVERGSDRLAFITGQTRDLPPDPFPDSPVAEPRLQTKHKSHSSEGDLLRKFNASSAVPEIQPVYEPPLLRSRDDEALNKRTYDYGAASVQPKRDMETRPISLPPRDMETRPRSVPPMDMETRPRSVPPSQPNQADDSSWSLETVKQLVNFTPQEITQAISATEFNRCLASIIIAFMVVLSNWGLDVGGTISRVLVCTRPLLFLIITNVTIVMSLLMENRDPNARGRQAGISLGSDGIGLMLELGLLLQKVSEAMLMDFSICAVIMICFL >SECCE2Rv1G0076260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89681828:89686824:-1 gene:SECCE2Rv1G0076260 transcript:SECCE2Rv1G0076260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADKVVLFGSFTEDETKLFQGQPAKSEEKSWELPEIQFGSLNFSVLSLEKVSNAITEGSAHSPKPTSGHTKDLAGSNKETVTSTLPNGGPVLFNGFPAEVSPNNGILKNVKSEALVPSAGPVNNVKKTEAPVPSAIPVYDVKKNEALAPSPGPINNVKKDATVPSAGPVNNVKKTKATAPSAGPANNVKKAEATATSAVSANNVKKAEPTVPSARPVNNVKKAEATVTSPVPVNNVKKAEATVPSPGPVNNVKKAEATVPSPGPVNNVKKAEATVPSPGPANTVKKTESVVPSVAPIKSISSSTLIEGQGPHNDGLRCTESSSSAMLVTENGSTGADAPIIAAPADESVTSLNEEAYQNKPLLPHGLKNTGNICFLNATLQAFLSCFPFVQLVQDLRNRSIPKAGYPTLSAFIELVSQFDVLDESTMKRDERFALVAAKVINPTMFDQVLRNFTPDVPAGTSARPRQEDAQEFLSFAMDRMHDELLRLNGNGSNSKEGMVVSSDDDDAWETVGRKNKSAIMRTQSFVPSDLSAIFGGKLQSVVKAAGNKASATVQPFLLLHLDIFPDAVQTLDDALHLFSTPESLEGYRTTAGKAGVVTARKSFKIHELSKIMILHLKRFSYGNRGCTKLYKPLHFPLELVLNRDLLSSPSSEGRRYELVATITHHGSGPSRGHYTADAKRDGGQWLRFDDGHVMPINVNKVLHNPAYILFYKQV >SECCE2Rv1G0096950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:449936666:449937037:1 gene:SECCE2Rv1G0096950 transcript:SECCE2Rv1G0096950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGRTTHLLLLTILLSFVLASSAAGGFFGGIPWFRGGQPGGAGGMGGGWGAGGVGPGGGFARRGVVQPSVVCAEQGPCYQQRLTCPSRCFSSYSYHGKNGGGGGGGGGCSFDCTRCEAHC >SECCE3Rv1G0143750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2112121:2114361:1 gene:SECCE3Rv1G0143750 transcript:SECCE3Rv1G0143750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIWSVVRWWDDWQLRILVLGSLGLQWFLLLAAPMRKYTIPRVFRTCIWLAYISSDALAIYALATLFNRHARGSNCGGVEQSGVLEVLWAPVLLIHLGGQQEMTGYEIEDNELWTRHTVTLVSQVAVALYAFCKSWRSSSDWKLLAAAVLLFVVGVLSFSEKPLALNRAKIKRLAAVSAWVQGTKKPSKWRKRVNQFFLFEESNCFTGMPPQSSGGGREEVAMPRLSSSGVGGKKEQKPPVVALTEADKVLMVLSDMSLLAAAKDLVSRNKAGKVEDVLPPLPVAEKALPRWLRSAFAFIYTRATVVVTPLYLLYHLLVVPVLHMAALALFAASNKHPYRRADVKITYIILCLTAALDVLAVFIRQLLYRLMSMTKVPALCETVPSYNLVDAALREGDKSIGWIYKCARRMGFNCKGGCLSCRPQLGQLYKIVAQTVIADLVDAQDRDLASYRILDSNNWALSEELQGHCGKEIKESLRVSFDRSVLLWHMASDLCFRCIIDHAALVDDDGGKQEGEGGGGAEEKVEGVEAEAAEEEAVDEQEQEEEGGGRAEIEQEEEEEEEEERKSRDPALRLHIKCTVAISNYMAHLLNFNPEMLLTGSRHHLISEAVEELKSSSLSKNKEELGQKDVNELIAGILARDTSSSTSQEVFHILEACKLAKELLEIRDPTARWMLMYRVWLGMLCYSASMCRGYLHAKSLGEGGEFLSFVWLVLSLKGAKSLADKLQMPPDTSDTAKSNAPPVT >SECCE2Rv1G0107000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:641816879:641820671:-1 gene:SECCE2Rv1G0107000 transcript:SECCE2Rv1G0107000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHTHIAMEWKDQSPGPDCSMLPSFLTDPFPADPLVEDCDGGNDGSEGTGFERHGLSVAVGSPQEEGKPLPATPHFGQRSSSSSSLSERMQARAGFSVAKLSMPDSEYSGAQSPYLTIPPGLSPASLLESPVFLSNAMGQASPTTGKLLMHDDTYNNNNARLEPTSVEERPGAFSFKPLDLKSSQYTAEGKKGSLPNCQHPSVPIRDVPVKTETNIQTTTRGANPLGHLNQAQFNNGQDLMKRSYHDCNNKRNRLAADSATAGGDNNAPLPDHDPPAMAADSEAVKGDYPAVVATAAPAEDGYSWRKYGQKQVKHSEYPRSYYKCTHPSCQVKKKVERSHEGHVTEIIYKGTHNHPRPAGQSRRPAGATQVHPFNDTQMEAPADNNSNGYGNAGGSQPNAEARSLWHAGVSVQDWRGDGLEATSSPSVPGELCDSSASMQVHDGGARFESPEGGVDVTSAVSDEVDGDDRVAHGSMSQGQGAADTTEGDELESKRRKLESCAIDMSTASRAVREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCTVRKHVERASHDLKSVITTYEGKHNHEVPAARNGGHGSSAASGGTGASQLSHARRAEQPSVQDGLMRLGGCGAPFGLPPRDPLGPMGNYPYSLGGGHAALPSLPMPSGLGAVEGLKLPMLSPSLHSVFRQRQAMETAAGFRVPKGEVKDEAAGAGAGAGGGAAAAAYPQMMSRLPLGHRM >SECCE1Rv1G0035250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:497305872:497309354:-1 gene:SECCE1Rv1G0035250 transcript:SECCE1Rv1G0035250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT1G56590) UniProtKB/Swiss-Prot;Acc:F4I562] MLQCVFLLSDSGEVMVEKQMTSHRVDRAICGWFWEYVLAHAAGDPSKVLQVVVSPTHYLFQVYRSGVTFLACTQVEMPPLMAIEFLSRVADVLTDYLGDINEDTIKDNFVIVYQILDEMMDNGFPLTTEPNILKELVAQPNMVSKMLNIMTGKSSTIGSKLPDATASFVPWRTTIVKDASNEVYVNIVEELDACVNREGVLVKCEACGEIEVNSSLPGLPELTLSFANPTIINDVRFHPCVRFRPWESNQILSFVPPDGQFKLMSYRVKKLKTTPIYVKPQLSSDSGNCRVNVMVGIRNDPGKPIDSIIVQFQLPPLIASADLTANHGTVDILADQTCVWTIGHIPKDKAPSLSGNLRLEEGLAHLHAFPVFQVKFRIMGAALSGLQIDKLDVKNTPSAPYKGFRAQTQAGRYEVRS >SECCE4Rv1G0225220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:90231436:90243505:-1 gene:SECCE4Rv1G0225220 transcript:SECCE4Rv1G0225220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWWMNSLMKKGFRKPLEEKDIPALDVADQAGTQYTMFVDKINARQSSLFWVIVSCYKRDILFSGFFALLKVLTLSSGPLLIKEFINVSSGKEAFKHEGLVIALGLLLSKCLESLAQRQWYFQTRRVGIQVRSLLSAAIYRKQQKLSCFASIKHSSGEIMNYLIVDAYRVGEFPFWFHRTWTTGLQLGIALAVLYNAVGPATIASVLVIMLTVLMNAPLARQQQDFQKKLMEAQDMRLKAMSESLVNMKALKLYAWEAHFKSVIEHFRELELKWLSAFQLGKAYTSVVFWASPALVSAATFIACYFLGVPLDPSNVFTFVAALRLVQDPINHIPNVIGSVIQARVAFSRISSFLGESELPKDQVSMEHCVCSQYPIVFKSGCFSWDSSGNSNLGNINLEVKAGTKVAICGEVGSGKSTLLAAIMGEVPRTEGMSHVCGKIAYVSQEAWIQTGTLQENILFGSDMDKQRYEETLQRCSLVYDLESLPFGDRTQIGERGVNLSGGQKQRVQLARALYHDADIYLLDDPFSCVDAHTAASLLNEYVMGALSEKTVLLVTHQVEFLHAFDSVVLMSHGQIMHATSYQELLVSSKQFQDLVKAHEVNTDIPDVKKTAYNVDKQFERDAGVIHGMAKESIKSSASDQLIKTEEREIGDTGLKPYLMYLGQNKGYIYASLVAITNIIFASGQIFQNSWLAANVQNPCVSTLNLVLVYTAIGFGSIIFLLSRALLVVDLGLRTSRPLFAQLLSALFCAPMSFYHSTPLGRILSRVSSDLSIIDLDLPFTMSFSICATLNAYINLSVLCFFTWQILLVAAPVIIMAVKLQRYYLASSKELMRINGTTKSLVANHLGESIAGAVTIRAFKQEDHFFAKILELIDNNASPSFHCFAATEWLTQRLEIMGAAILSSSALVITLLPAGTYSPGAVGMLLSYGLSLNMLFLFSIQNQCSLANQIISVKRLSQYMGIVSEAPYIMKQNQPPDAWPSVGKIELEGLEIKYNQDASPVLHGITCTFQGGDKIGIVGRTGSGKTSLINAIFRLVEPSGGKIIIDDYDITEMGLHDLRSRIGLIPQDPILFYGSVRYNLDPQGRFSDEQIWEVLGKC >SECCE7Rv1G0468100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95395395:95396432:-1 gene:SECCE7Rv1G0468100 transcript:SECCE7Rv1G0468100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPPDTAAPYVVDDFGSALQVLSDGTVIRSPPPPFPPADVNDGRVQWMDAVYDAGRGLGLRMYRPHRRDVADDGEDGKHPVLVYFHGGGFCLGSYSSPKDHAVCLRLAAELPAVVLSFDYRLAPEHRLPAAHEDAAAALLWLRDQFTSGSDNPWLAGSADSCRVFVSGVSAGGSLAHHMAVRFGTSGLEPAASIAGYILLMPGLFSAAPTQSELDTPDTAWLTRERFDRFIRLGMPAGASRDHPLVNPFGPGSPSLEPTCVGRMLVVAAERDLFRDRNVEYAGRMKAMGKDVELVVFARQEHGFFGADPASEADGELVRVIRRLVERDGDGMELIVSSGISL >SECCE4Rv1G0269630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749714649:749715686:-1 gene:SECCE4Rv1G0269630 transcript:SECCE4Rv1G0269630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLLRATVALVILVLLFMPGAMAATAASFDASRTQQLPLPPGEVHGPESVAFDAQGRGPYSGVSDGRILRWDGPKLGWSTYAYGPGYDSETCTTSRYGTEADVESRCGRPLGLRFNHKTGDLYVADAYKGLMRVPPGGGEATVLVDQIDGMPLRFTNGVDVDQVTGKVYFTHSSMNYDRSDHELVTKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIEGVDAGKSEPFSDLPGYPDNVRPDRKGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGSKKVRPTEIMERDNGKIYLGSVELPYVGVVKRK >SECCE6Rv1G0386070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:90033837:90035287:-1 gene:SECCE6Rv1G0386070 transcript:SECCE6Rv1G0386070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTVDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGH >SECCE2Rv1G0128220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:859023454:859024011:-1 gene:SECCE2Rv1G0128220 transcript:SECCE2Rv1G0128220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRTVLRVDTSCAKCKRKVLLAVSSLQGVDKIEVDSDKGTMTVTGGVDPVDVVEATRRKAGKRADVLTIGPPPSASAASKPEEKKKPEQQQQKPPQQWEEKKYVAAGRSAPEPPVTVYVHHVPAPPPSWPAYEQCAVVPYHYQQQQQDPCSIM >SECCE1Rv1G0052870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:665083019:665083716:1 gene:SECCE1Rv1G0052870 transcript:SECCE1Rv1G0052870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSLARAAPHVRAASTATTATLAEALKVGDALRPRRRRFTEEDVAAYAGVSGDRNPVHLDDAFARGTAGFQRGRVVHGMLVASLFPALIASHFPGAVYASQSLKFAAPVHVGDEVVAQVQALHIKATGARHIVKFATKCFMENEETLAIDGEAMAFLPTLQLSAEAIE >SECCE2Rv1G0110410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:689675918:689677513:1 gene:SECCE2Rv1G0110410 transcript:SECCE2Rv1G0110410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMASSRRVAVQQANRAGVYIPPARPLIKGQGHSIAQSRSVFTLHFARGDPDTSQAQTVPISAADTSGEKKKNMAGRSVPRRLALFCLLASCIALAARTSDASRRELGISIGNGGGGGIGIGIGIGIGGGGGGGYGGGGSPSPTPPSSYGPKPSDFENERLYRAYLVIQRFKRTITCDPQGITKTWTGTDICSDTSYVGFFCEKPPNVNERALASVDFNGFHLEAPTVEGFVDALPDLALFHANSNDFGGVVPILRSLQYFYELDVSNNKLARCAFPTDVLGITNATFLDIRFNTFYGEVPAGLFRSFPIVEAIFVNNNHFSGEIPSNLGDSPVNYLALANNQFTGPIPSSIGRAANTLLEVLFLNNKLSGCLPYELGLLAKATVIDAGTNQLTGTIPASYACLRKVEQLNLADNLLYGEVPDALCRLAYPSSGGHLANLTLSDNYFTSLGACCWALIKQGKLNVARNCIPYAPNQRSHDECAGFFHRTKTYCPASTYVPCHHPKDYAAGEEEASASAAEYKYRTYSALHP >SECCE6Rv1G0389250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:148161095:148164292:1 gene:SECCE6Rv1G0389250 transcript:SECCE6Rv1G0389250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSCCKRSNGAQPGRKKKKKDTTWRIFSLKELQLATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAEKEFAVEVEVLARVRHKSLLSLRGYCAEGQERLIVYDYMQNLSLHSHLHGQHAAECHLGWERRMSIAIDSAEGIAYLHHHAIPHIIHRDVKASNVLLDANFQARVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKAKESCDVYSFGVLLLELASGKKPVEKINPTTKLTITEWALPLAREQKFKEMADPKLGDSFAEAEVKRMVLVGLACTQTKPEQRPVMSEVVEMLKGESADKFSILENDDLFKPQQTSSVQGTSGPCSSDAITEEKESKEDTIEEATDSSEAVPSAR >SECCE4Rv1G0292620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883284612:883286084:-1 gene:SECCE4Rv1G0292620 transcript:SECCE4Rv1G0292620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLRNSRSVFCRLRRYCNAGQPPLAPRVRSDVLDSIRGLFFRPVKPAAYCPTPALSQPVALSRSQPSIPRPRGWYRDPWKVARASGAAVLLPYATVFTACAAVSHKETVPYTNRTHRVMFSPKLEREQGNEVFEKVKKKHSKDILGPSDPKTVRVRHIASDIIRGIQEVFPTDGLGNDDAKQGKAAVRPQTGHLDDLQWEVIVIRDNRANAWSLGGGKIVVFTGLLNFLDTDAEIAAVIAHEAAHAVARHSMELIMLTPPILNELLPFSRRTELEADLIGIMIMAAAGFDPRVAPEAHRKLWEGTVLDDYISSHPSGKKRSRVLSEGDAMKEALELYYKQVCAGKGADRCFPYGGRISDTLMSE >SECCE3Rv1G0157010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:78342809:78351729:1 gene:SECCE3Rv1G0157010 transcript:SECCE3Rv1G0157010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNSSDVQMAHCSEGSETTIEIKIKTLDSQTYNLRVNKCVPIPLLKDKIATVTGVLSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQPAASGNAGNEANVPNSTRRHGRTVRSIVLEAVDLDQGSEFSSIAQILQSMLTSPQVQGGSAPSETRPSEPMQSSFPNDVRVELDQQQASLHFPEAAAGSSLPNVIPDSVSTISQYINFMRDSFRREGFNGNAQTVGNIEPGTAGSAPVGGTQSPESQPGSTSAHHVLPTAASLAETMHSTRQLLVDLAGQLLSQLSTQLEDLGNVSDSTTRRNLQQSAMRQGLLLQNLGSLLLELGRTTMTLRINPAPSEAVVNSGPAVFISPSGPNPLMVPPVPFFPGPRSVQMGQMFSSLGSQGSVLHPRDADIHVRTSGSVPVASTNPSESVGAQQAQEHADRTGNASHSNAREAFARVAGGAPFAVGSGVRLVPLRTVGAVPAGISRSPSGSSSGGVGVIFPVITRVHQRVNSNGSGARNGQTPNEPHSDTHANLQPNPQPSQAREAGNQGHPVEVNVGNSSQASHGQQNGQGPLSQLMDSLQWIGSLFSGETPPANGTSQHAPAASAEPVDVRNHAVPEASVASDEGIRFANLVRQIMPHISHVENQPQSTPADTSSTPSQAAVPESANGSRDGPSDSRNSRQHSRDPVDGPNSKRQRMSE >SECCE7Rv1G0514690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:836019232:836019648:-1 gene:SECCE7Rv1G0514690 transcript:SECCE7Rv1G0514690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRRLSRVAAADACAAAAYKPLRPDAAAKASSTAASSSLFFRARRLGRGARVPEGHVPVCVGEEGGPVERFAVRAELLGQPVFKALLRRAAQEYGYGHPGALRIPCAVANFRRLLLGLSDSGCRATDEDDAALYY >SECCE4Rv1G0260000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:691943901:691945331:1 gene:SECCE4Rv1G0260000 transcript:SECCE4Rv1G0260000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVVRTYTRRSARRWPPGPGPWPIVGNLLDLRGGNLHHNLARLARFHGPVMTLKLGIVSTVVVSSQDAAWEAFAKHNRRMAARTIPDTRRAVAHADRSMVWLPSSDPLWKTLRGIAATHIFSPRSLATAQGARERAVHGMLDAFRRCTGQEVEIGHVLYHGMFDLLTNTLFSLDGQEKLRDLLEDIVGLLAEPNMSDLYPSLQVLDLQGLRRWTATHMSRVFHLMDKIIDDRLYRGEGKHHRDVLDALLALVAAGKLSRHDLKAMLFDILAAGTETTKITVEWAMAELLRNPGVMAAVRAEIKAALAQDQERMITEADVVKLPYLQAAVKESMRLHPVAPLLLPHLVVEEGMEIGGYAVPKGATIIFNSWSIMRDPAAWERPEEFMPERFLGKTELGMWGKEVKFIPLGTGRRLCPALPMVELLVPFMVASMLHALEWRLPHGMSPDQVDVTERYTSNDILVMDVPLKIVPMVAT >SECCE3Rv1G0191930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:752724032:752728066:-1 gene:SECCE3Rv1G0191930 transcript:SECCE3Rv1G0191930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNVAAAVPRLVAHREVEMFSHYVANQIGFEDPNQCPHLCTMAYDYLKKSEGYEQNLLAFFHNNMNPDGLLVKLIEELDRCILGYFSFHWKCATHVITQVLTAEQPKRKLRSLVLEATRKMRFERVTRELKVTRLFSTLMEELKVVGISCHENQPRCPTEEVMVPAAQGNRSPVLLLMGGGMGAGKSTVIKEIMKEVFWSGAAAKAVVVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSTDAAASVLVTALNEGRDVIMDGTLSWEPFVLQTVAMARDVHQQRYRMGAGYKVAADGTTTEQYWEAVEDEEGIGPNCRMKPYRIELVGVICDAYLAVIRGIRRAIICGRAVRVTSQLRSHRRFASNFRRYCHLVDNARLYSTNAIGGPKLIGWKDGGSRLLVDVEEIQLLDRVSSINEEANCVHELYQNGHPTGGAGSVWEDLVTSPERAAIQRELKAAILESEACFPSP >SECCE2Rv1G0089820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:263118819:263119781:-1 gene:SECCE2Rv1G0089820 transcript:SECCE2Rv1G0089820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYHSNTRFAPLRDAPFALRGALGTSNSSFNNMDGLRHSSSIGQARSYTSSPLGALRQKMPPSGNRSLHTSRRLSAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAAIISIPLATRFSVMFEV >SECCE6Rv1G0406210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:542284010:542286648:1 gene:SECCE6Rv1G0406210 transcript:SECCE6Rv1G0406210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYILKKSGSAIFRLLVRCQPGVRPPQPPLRTATRSYRAYRRRLPAVPRSAPTPRQWPQRPELIHFTRGRGGEAWYHDWRKVAARVLVPGAAAIAAYYHNLETVPYTNRAHLVFLSPRVERWLGGLALDDLKKENATMILPAQHYKSVRVKRITSEIVRAARRTLGVAPVDPSGELLDDRFMARNYGKQAMTRHLDGLDWEVIVVEDRQVNAMCFPGKIVVYTGLLDYFETDAEIASVLGHEVGHIIARHSAEAVTKSLCSYAVQRLVIGRDCPDLLSGVSKLLFTLPFSRKMEIEADRIGMLLLAAAGFDPHIAIAVGKKLGKISRNSDSENYLSTHPSGKKRVQSLSQDKVLKEAMELYREASPGKEAERFSISDPFNIRGWRSQGVKK >SECCE4Rv1G0220710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41457785:41463326:1 gene:SECCE4Rv1G0220710 transcript:SECCE4Rv1G0220710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRKQASDFDSYDVEQRQAKIKELRAALGPLSARGEKYCNEACLVRYLEARNWNVDKSRKMLEESLEWRAARRPEDIRWTDVSVEAETGKMHRAPFTDREGRTVIVMRPAKQNTSSHEGQLQYLTYTLENAVLNLPQGQDKMVWLIDFTGWTLAHRSPFKTSRESTNTVQNHYPERLAAAFMFNPPKIFEASFKILKVLIDPKSAQKLNFVYKENEESMKTMYSHIDPEVLPVEFGGKNNVVYNHEDYSKLMTKDDIKTASFWGADGNHALNGHSVPEV >SECCE6Rv1G0444380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827641080:827645503:-1 gene:SECCE6Rv1G0444380 transcript:SECCE6Rv1G0444380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAILPELATQLVVPVAAAVGIAFAVLQWFLVSKVKVAPEPRGEGGSASGGASAAGAKDGASEYLIEEEEGLNDHNVVLKCAEIQTAISEGSTSFLFTEYKYAGGFMTVFAVLIFVFLGSIEGFSTKSQPCHYSVGKTCKPALANAAFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAASGLFVLYVAINLFGLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHQFTPMMYPLLISSVGIIACLITTLFATDFFEVKEVDQIEPALKRQLIISTAVMTVGIALVSWLGLPYTFTIFNFGAQKTVHSWQLFLCVAVGLWAGLVIGFITEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGITTVDVLTPNVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHAKSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATYGGILFKYL >SECCE1Rv1G0041890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:574967197:574969223:1 gene:SECCE1Rv1G0041890 transcript:SECCE1Rv1G0041890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRHLLRLSAAVSGGLRRSLATAAASHPPWAAMGHTAWTVGAPGAQVRLAEPPRISEVYAPDHLVKTGPLPDPDGDFVQGHGGAVCAASADGLLLLVYAETRLFARIVARQQGGRPLRLPPQTDALKCIDPDHVPDVARFVLNPLTRQITRLPDCATKFEVSPLFKLRMGLLTQADRGHGPPDRFAVAELQEENRMLRFLSEKGGWENVVVSPCQPPSARRMKINQPALAFGGRLWWVDVTWGAVSADPFSDRPELSFIELPRGSVLPARSPLPEAEGEVHWSEYRRVGASQGRLRYVEVSRKEPFLLSSFVLDNDGTGWTLEHRVALSKLWADGGHQWLPLPEGKTPQIELLDPLNSNVVYLTVDKKHVIVVDMNMKKMVGSYMYESDFSVPCVLPPWLGSSKIPSAGKDTGKHKTL >SECCE2Rv1G0106590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:637414998:637418619:-1 gene:SECCE2Rv1G0106590 transcript:SECCE2Rv1G0106590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDNERPYHTNAFHELAPSGGPKVDSESERETKDNLLEDKMVEQTNPSEHDFVKAEHEKGGKTRQIRIEDVSYDKDVVEINLPSSVVSSDYGGHFVKDVCIDEGVLADQKGSAEKVVSEKVCPIFDSSTVDANGDLKGDIRVDPVKTAHKSQIVPLHVVHATDGNTMEEIRADPLKTEHESEPQIVTLHVPCATDGNKMEQYSSGEARDLEGNKTTDEFTDVNDEKSSPRQLSSHEAAKQCQQAGTVISETCETHKPFCDGEAIDEEASNDCHETGSSTTPESSYFTGVPVESTSDGLSAAIPAEDVGEESHNRGLNPATHYNPFIAYGSLEDTWESKYALPTIVDDVSVVPICPVGKTDSFSDLVNGGALGGFDDVETAESRTGDSSRLDSVGARSTRLGVQPSEERHDQGGLVERTDSFSDIIKGVPGRFDSVTADETRIKHNRLDSIEESSGRLDVQASEENNDQRGTVSAEPTDVKSENHPPKRETDAVQDEHDFNPRDMGDGTKTREESNVGDESSTAAQTEPAVQQGGPDGAKLVTRGGIRNPFESSFSGANITLDAATPSAHIGNTSLRSDSSTTSTRSFAFPVLQTEWNSSPVRMAKADRRRFRRDRGWGYRVLCCKF >SECCE6Rv1G0418570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:663515777:663517217:1 gene:SECCE6Rv1G0418570 transcript:SECCE6Rv1G0418570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAVLTLIALLGTVRTCQAGYGYPTPVTSTPSTRSPPLPYTPTTPSPPPPTHGTPSPPLPYTPTTPSPPPPTPVTASPPPPYTPTTPSPPPPTPATPSPPSPYTPSTPSPPPPTPATPSPPPPTPSPPIKGLTVGYYKKSCPRAEDIVRKVVSDANPGIKAGLIRLFFHDCFVRGCDASVLLDQTDPNSPTEKFGTPNLSLRGFEVIDAAKARIEKECGSDVVSCADVLAFAGRDATYFLSNKKVDFNMPAGRYDGRVSFKNETLPHLPPPFATVDQLKANFASKGLTADEMVTLSGAHTIGISHCSSFNSSFSDRLNPSTSDMDPTLMSSLRAQCKSDTGNDNTVVQDIKTPNKVDNQYYKNVLSHKVLFDSDAALMTADDTSAAVRANAKDNGVWEEKFKAAMVRMGAIEVKTNVDGEIRRKCGVVNSY >SECCE4Rv1G0227040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:116905362:116906875:-1 gene:SECCE4Rv1G0227040 transcript:SECCE4Rv1G0227040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGSNSLPSPSCADGRKRRVCYYYDPGIANVDYGKGHSMVPRRVAMTHGLLASYGLLSDMTRLRTRPASPEELLAFHDQKYVDLLGRLTPAGYIGDGGLRRAAEEHGIGPVRRWDGACSNDNPVIDGLMGYCLSYAGGSLAAARALCRGDHDVAINWSGGMHHACRGHASGFCYVNDIVLAIKQLLGRFRRVLYVDIDAHHGDGVEEAFADSNQVMTLSFHQYESQFFPGTGSIDDVGEGAGRYHALNVPLKKGMDDQGYHELFKPIVGRAMQVFQPDAVVLQCGADSLSGDRLAGLELSVGGHAECVRYLRGFNAPLLLLGGGGYTINRVASCWCYETAVAVGKEDEIADEIPDHPYDHYYRGHGYKLQCRTVSRSSGEKKDADAIRVKALEHLSAINCAPSIQFHEPCAAAAQGMDLCHDEDDDDDDDDEEEDPIYRLDRLCDDKELTEFFVELGRKRRLSSGAMV >SECCE2Rv1G0131210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:883774655:883776270:-1 gene:SECCE2Rv1G0131210 transcript:SECCE2Rv1G0131210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLMVLASLFLLLVLATTAHGIRLDRHLQEALNSKEQLAGQPPKSNDAADSTGSSKLCTADGNCSGKAKKPSSPHAHADPDDASAAKHQVAPKRNDGDAQVASHGGEQEEPQPQQKTWSRRALPRRPKEEKETRTYPDLIDIAGMDYSPAARKPPIHN >SECCE1Rv1G0023850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:314726859:314729055:1 gene:SECCE1Rv1G0023850 transcript:SECCE1Rv1G0023850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDDQSFTEGISSPIAAHILDFCDDGSGGGDLFGSVNAASDVFAASSEDASSSSTATPPLCSHGDNMSSGAAAAAATTTATFSPLPSLDSTLSALLEEDQPPGPDAELLLPINYAFAAAAAGTDDTQTEQQQFGQMVLPVVAATEHHPALQTQMSSTASELMQLTSGYTDECFAAALAGGFMGLDETLCQQQPGAMLPGAADAATQGCYAAQGGFFGGGGCTGTVMSMMLGMEEMGEYQRMMEGGALVDADSAGQMAFPTAAEMQMGGSGSPGRVPAAGETSSLEDTSFKAARLSVEERKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEYCEASTAMGSQNHEEYEQMPGVKAEDMLDPDALAHISGMSSYMYNHTVESWI >SECCE3Rv1G0144660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5097212:5100646:-1 gene:SECCE3Rv1G0144660 transcript:SECCE3Rv1G0144660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPMVSSSTGAMNSLLDKLAVLEDEYPDLAEGVREGLKSLSDVLHSFARQRRRNSLINEWMLQVREVVYDMEDWIDGDPSKAKLDDVEEQIEEFKAQIQGARDRCTRYGLLSSRSTTSCALQDDDAETRESVAMDPKLLHGEAPCRLVGGDEARYVLVNHLMDQEERRKVVCIVGTGGIGKSTLATGIYRQLQGQYSCAAFVHLGRNPSVKTTLISILKQVMPDWHCEEYLWNGYNSEDMEAWDEKKVINKLWAFLKTKSYFVVLVDMRSISTWKKISCALPNKDKSCDRILITTCTKDVAESCCVHPSDFVHPMERLSERDAKTLFHSKVPAVSEQQHRLLEVSDDMLEMCGGGVPLAITITAALLSRKSACLPPDQCHSTPQSQWMRKVLEMSYDDLPLPLKSCFLYLSAFPAGNHTIKKDRLIRRWEAEGLIAKRDGESLWETGESYFNELINRRLIQPAFDDDNDEPTGCTVHGVVLDFLESLSAEENFTTEGGKLKCGIFPYERVRRICLDCGEEDALFCSKYYFSLEQKSREEDSSSEESSVCDQDKAISLHLSQVRSLAFCGGVGRIPDLLSDHFKLVRVLDLSDAEGLQNEQLGSIGRLSLLRYLALGGADVTELPQQIMELDHLSTLDLRQTEVNELPEFKATKLVCLLANGLTIPPGGGMGEMENLEELSTVCLGGDGSLADNVAGLVSKLMRLRMLGVRFRITYSNNNTAEEAERQGIIKHLVEELGKSNLQFLFLDEYPHRLLDLLVDARPHYLRKFELRLDWLLCPLKVPQEISFLVDLTHLHIGVSIVDAEGVGALGGLPKLVLLKLHSLRPQHGLTGEPQSPARLSVSSKDGFQRLKVFWYVCEHGAWTGLQFEQGSMPHLRRLLIDFQSSEDTDHFVLGIQHFSCLVQVRTTIYCEPTSTIVSAAETHIRDQVSHNPNNPILEFNRKRRGRLVRRLGPLSNVQQPSAVIMIRSLDDWTMMTQQEAKNKLVVVEFTASWCAASRSIAPFFAFLANEFPDAIFLKVDIDDADLKYIAEAYEVDGAPMFLFMNKGEVKDTLRGALKEELFEKLQLQMALIMDN >SECCE5Rv1G0341560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:625855403:625856852:-1 gene:SECCE5Rv1G0341560 transcript:SECCE5Rv1G0341560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence-inducible chloroplast protein, Activation of the chlorophll-degrading pathway during leaf senescenc [Source: Projected from Oryza sativa (Os09g0532000)] MATATASTMSLLPISHLKQLQQQRRTRLASARPGKVLVLGRRRRHVVPRARLFGPAIFEASKLKVLFVGVDEEKHPGKLPRTYTLTHSDVTARLTLAVSHTIHAAQLQGWYNRLQRDEVVAEWKKVQGAMSLHVHCHISGGHFLLDLIAPLRYYIFRKELPVVLKAFVHGDGSLFSQHPELEEATVWVYFHSNNPNFNRVECWGPLRDAAAPYEDENATADSPAADAAVATATSTATDEQAMGAGQWPRRCPGQCDCCFPPECLIPWPQEMDIAGQTPPQ >SECCE3Rv1G0148810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20562133:20562699:-1 gene:SECCE3Rv1G0148810 transcript:SECCE3Rv1G0148810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSSTSASSSGSTPRILGRAMAILSLPLTPLSKARAARTLLHFKRRRARRLRHYNYAYVGEYQFSPSGSPLLLPRPPGVSAWRARRRSRARTVLAALLCGGGCGLDGDGGIDAAVLDGLLPLPRALQDERAGEDAASYGYGYGEEEEDGEVEVEYEDEDEEEVDGRAERFIERFYEEMRMQQRRLL >SECCE5Rv1G0317940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:355493129:355493680:1 gene:SECCE5Rv1G0317940 transcript:SECCE5Rv1G0317940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFGSSTSKKENKGKKRSKRSGDGGFFASTASSSASDDQSVTTPRSVLPSSSGAAAPSSSGPTKKPALVAVTRLELEVALRTVVSTEEELAAMLAEAEAGLALKGIEDAEAADEGELRDTFAVFDADGDGRISAEELRAVLVSLGDERCSVEDCRRMIGGVDTDGDGFVCFNEFTRMMMLAL >SECCE3Rv1G0179970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:556518084:556519732:-1 gene:SECCE3Rv1G0179970 transcript:SECCE3Rv1G0179970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTALLLVVLAVAALHAPAASAALSQEPPATPCAAAIVSFSPCLAHVAVVAPPALPSSAPTNACCAAFLRAVTAGDGEGGGGEGCFCHLLRNPLLLGFPVDAARLGALLPTCASAKTSAATAVEAEALFADKCRELKSLPEMHFTPPSPPPAPKLSPAAVPEPAAPTPKMEEHSTSTPVPGDRSGSDALCACRVFLVALVLGAAALLTLHF >SECCE5Rv1G0304820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:62343107:62347897:-1 gene:SECCE5Rv1G0304820 transcript:SECCE5Rv1G0304820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADRVLHLLVAPAASPAAAHRRGPPRPQRVGLPPVKRRVAVSCSSSTSTEEDGMTYKGAGVDIDAGTELVRRIRKMAPWIGGFGGILPHKDEYLVFSVDGVGTKLKLAFETGIHDTIGIDLVAMSVNDILTSGAKPLVFSDYYATGKLDVDIAEKVIKGIVDGCEQSGCNLIGGETAEMPGFYAEGEYDLGGFAMGVVDKDKLIDGKNIMEGDILIGLPSNGVHSNGFSLVRRVLDKSGLSLTDQFPGNDGKTTTVGETLMTPTIIYVKQVLEIISKGGVKGLAHITGGGFTDNIPRVFPSRLGAKIFTGSWEVPPVFKWLQHVGKIEDAEMMRTFNMGVGMVLVVSKEAADRIIEESSPAYRIGEVIQGKGVHYV >SECCE7Rv1G0474850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:178205336:178207327:-1 gene:SECCE7Rv1G0474850 transcript:SECCE7Rv1G0474850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSRSLLPRLSPAGGQYGLLHIVDSCRAAAHLPTLRAVHARLLLLQHLPSSAVARVKLIQAYAACSALPAARAVLTSSPDRTTVFFNVLLRALTAASLHREALLLFSSMRPQGPSCSPDHYTYPLALKSCAASGSLRLGVQIHASIARLGLYANLFVAHSTISMYARCSRPNDAYQVFDEMQHRDVVSWNAMISGFAHAGLFQRAVVSFRELMGLQCPQPDAGTMASILPAMGNAKAEDITFVREVFDKMQFRGLISWNAMLSIYAINGLHVKAVELFMRMEKDGVEPDGMTLATVLPCCGQVSAFSLGKRIHEIIKRKRMCPNMLLENALMDMYANCGCLKDAREVFDAMSSRDVVSWTSIISAYGVRGHGTEAINLFENMLGQGLEPDSIAFVAVLAACSHAGFLDVGKRYFDCMTSRYQITPKAEHYTCMVDLLGRAGCVSEAYDFILAMPIKPNERVWGALLGACRIHSNMDIGLLAADNLFRLVPDQTGYYVLLSNIYARAGRWADVTSVRSVMASKGIKKLPGASNVEIGDQVHTFHVGDRSHTQSEMIYKKLDELLGRIRGMGYNPGVEGTLHDVEEEEKEGHLSVHSEKLAIAFVLINTSPGTPIRITMNLRTCSDCHHAAKLISAITDREIILKDINRFHHIVQGVCSCADYW >SECCE4Rv1G0274870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:788886230:788888265:1 gene:SECCE4Rv1G0274870 transcript:SECCE4Rv1G0274870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSAYYLCLLLALLLPLLVFMLNRKHGVRNGGMHLPPGPWRLPVIGSLHHLAGNPLMHRVMADLAHRLDAPLMYLKLGEVPVMVATSPEAAREIMRTHDVVFATRPWSPTMKIMNSEGLVFAPYGALWRQLRKICILELLSARRVQSFRHIREDEVSRLVAAVAAAPPMEPVNVSERIAVLITDSAVRAMIGERFKRREEFLQTLEDGVKIASGFSLGDLFPSSWLASFISGTTRRAEEYHRKSFELMEYAIKQHEEQRATAASANGAEAEGEDLLDALLRIRKEGGLDVPLTMDMIKAVILDLFGAGSETSATTLQWAMSELMRHPNVMRKAQAEVRNNLQGKPKVTEDDLINLKYLRLVIKETMRLHPAAPLLLPREAREPCKILGYDVPKGTTVLVNAWAIGRDPKHWEYPEEFKPERFDSSMVDFKGTDFEYIPFGAGRRMCPGMMFAQASIEIVLAALLYHFDWELPRGEKPDGMDMTEEMGLTVRRKNNLYLHVVVRVPPV >SECCE3Rv1G0161080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:112382466:112382762:-1 gene:SECCE3Rv1G0161080 transcript:SECCE3Rv1G0161080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAFFEKQRFDASEFIVLIPLPTRSMLLMIPVHDLIAMYLAIELQSLCFYVIAASKRKSEFSTKADLKYLILGAFPSRILLFGCDRTTTDQFFGTYL >SECCE5Rv1G0359720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:763678556:763679236:1 gene:SECCE5Rv1G0359720 transcript:SECCE5Rv1G0359720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTIGQRFGGYAEELERLVQTLGGYEQPRYRTRRQETHGIQLGVVTQLRLSSVQQYHPRRDYINITIRRCSFEMGVQDLARRALLHLCGTHFDSLRETEYRYFIDAIIPDTAPHHQELAAAEVGAMRALGRLARAQFRVAESVAEELTDVYYRLDDAQRRILELEEHLHSGAPPQVPEPIPVADHPAPAAAPVPAPAPAAAGISSFAPAALFRTPAQGNCGWLDD >SECCE4Rv1G0267230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735293174:735293662:-1 gene:SECCE4Rv1G0267230 transcript:SECCE4Rv1G0267230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQRLAAAKRCSKGAKAAAVATIAAAVPTLASARMLPWAKAHLNPTGQALIISTVAGMAYFIVADKINVSMARKHSFDDAPDHLKNTSFH >SECCE7Rv1G0483670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:324971824:324973422:-1 gene:SECCE7Rv1G0483670 transcript:SECCE7Rv1G0483670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMRRIAGMGKSKKAPSAVQKDKDESIVFFRELYKHERDTDVNLLEPIYSAEFDAIQGGHMSKPPPGKRDLLVPINAKHDYGWPKTPPVAPMFPSLKMEARSSETVVSPKEELPIPMTQPVKPSAPKFTGKPEATKTSAKTPAGSSSSNHSKSQPCTTDRRSATLPNRQQKPDAKANTGKSSNSSKAHSHMYYANASQDSSSSSSASTANTVETPGEAPYTAPKNLLTTGSIFARRGVSTTAARARPRGPPLGVDAKVESGKGRRPPCPPAAAARASKEPQVDGMKSALSAKGKAVAGTGNEPVCNSGGRAATPAKGMRRTDGKNERRPRFADQ >SECCE1Rv1G0001060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:3599499:3600692:-1 gene:SECCE1Rv1G0001060 transcript:SECCE1Rv1G0001060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGEEATLNDDVITEILLRLPCTSVLRSRAVCKAWHRITTDPSFLAAHAERRPAELLAVSGGPGWACQDRVDTIPLSLGADGGGPTGRRRELHYPEHCDVHFLVGCCDGLLLFLMDSFPRGQSCSYFFVSNPVTRQGTWIDLMPPACRGNLMVLCGFYRHGPSGEHRFLVLANERQSPKIPINMGSVTGSAAHYVFNFSAATVSSEAPRRLGPVAGNNVVVKPNCSENQVPSHLYHRGKLHWTTHPQATSTGKILAFDTLSEEFRLISCPAWPKGENYYLHDLCLLELHSRLAITTTLLCGDFMELWVLEDYDDDQSWSHRFRIQLPPLFCLRWTMGTGVPNVILVGCYMEKLAAVYNLTEKWSVEKVGLVNSNTSARHFLFKESLVPHPFFDPY >SECCE3Rv1G0155900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69838480:69840039:1 gene:SECCE3Rv1G0155900 transcript:SECCE3Rv1G0155900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPAVLGLALLLLLVLNPNGAEARPAPTGGHQKKASSATFFVFGDDFADNGNLPLTDPVTEMSRQWGYPYGSNYVDADGFPRPNTPSGRFSNYKIQSDFIATIMGLEEAPPAHARTAEKTCDPSGMTFAIGGAGVLDSTSHEVLALAKQVDTFRKMVKDGTITANQLSHSVALVAFSGNDYAGTDVIGLSSPNDINAYIGKVTKEIATNVDRLLKLGVTKVLVNNLHPIGCTPSHTRTNNYTTCDIFGNLGASIHNDNLKQVMASKKNVYIIDVYTAFANIVDHAAGKGSELSKQFNRKLSPCCESLDSKGYCGQQGESSSELLYTVCDKSNRFFYWDDMHPTHTGWEAVMKQLEKPLREFVNQA >SECCE4Rv1G0262800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:710939402:710941667:1 gene:SECCE4Rv1G0262800 transcript:SECCE4Rv1G0262800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSSSPATRLPLVLLVLLSSLALQAAAQKYNAVYSFGDSITDTGNLCTNGRPSAITFTQPPYGETYFGSPTCRCSDGRVIVDFLSTKYGLPFLPPSKSASADFKKGANMAITGATAMDAPFFRSLGLSDKIWNNGPISFQLQWFQTITSSVCGSSCKSYLANSLFIFGEFGGNDYNAMLFGNYNTDQASTYAPQIVDTIGAGVEKLVAMGAVDVVVPGVLPIGCFPIYLSIYGTSSAADYDALGCLKKFNDLSTYHNSLLQAKVTSLQAKYKSARIMYADFYAGVYDMVRSPSKYGFSSVFEACCGSGGGKYNYANSARCGMSGATACASPASHLSWDGIHLTEAAYKQITDGWLNGAFCHPAITH >SECCE7Rv1G0526830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:898745993:898748902:-1 gene:SECCE7Rv1G0526830 transcript:SECCE7Rv1G0526830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIDADTIDRAVTNIIPYLEDTSNTAHKAIYFDGTGGLAASAVLRAIAENPPPSLLKKFDKIIHVDCSRWKSTRALQKTIAQELKLPRRVMDIFDRQDEEDDFKGINEGSRAEIRLVGREILQVLFGQRCLVVFHNGSNDMVNFSASGIPQGEYSDIKVLWTFRGRLRLIPRITEKVDDSHLFLYDTLSLRRWNFLLQIEAGEITGYTGKLGEAATKCCMYMLSLNSQGGNIMDYDWATHASNYWVCDGIIQGGQGDEAWEVAAAMHQNINIGDYSSNVLPSFGHKLKTPLKRWILSKDSSLVHPESTSFFLAAVASGSDPPLRPLPNDMFRQSDKLRVLKLCHCTFSFSAPPFCCCHNLRFLGLDGCKDQRVEEDEKQDMDFFKSLWVIDIHNTDWHLPSSPEIIKQMATNIREVHIKNGMFWHIIFALGQPQNLHKLRVIDPTCSLETGLPSLSSSTSLKTLVLDGCAGLEHVEGLPPSLESFSLDARPRKDDYKEAKVSHISLVGCARLSDFILRGSLPNLEKLDLSGTRVKTLDLTTPVVQVPCLQQINLLGCMQLHAILWPKEGLRTLKVLHIDSSVCPIQTKLHDVYVTITDMRFFQSLVLQSSTRFCWKSNRFHLNLCVPCNSNVKGQSYMKEKMGPCNSWQIIFPSQPKSLSSNTCTTYTDVSVGNIFVDHDYSNGMQFQLSGCHVEIGKGLSHANGQRKETIKAIIFVMDCVESLHVHDNSSITTVIREHLMCIESEKLLWRNLESCHVVRCPKMHTIFNTIYGYIRFPELVNFCAADLLMTHCIWSKERTINVEDDCSFAKLQSIHLFSCPRLTFVLVMSMLYTFTSLETLHIAFCGNLRQVFPVEPEILKRIARYHKGVLEFPNLKHIYLHQLFKLEQICEAKMFAPKLATIRVRGCWGLRRLPAVGRDSRPVVDCEKDWWEKLEWDGLEAGHDPSLFQPRHSAYYKKPLPRGSVLW >SECCE5Rv1G0360080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:767632501:767634042:1 gene:SECCE5Rv1G0360080 transcript:SECCE5Rv1G0360080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAWFLPLLAIFIPLLLARLLTHHVAGKPKIPPGPVAVPGLGSLLLLRNSLVDAEHLLRRLVARHGPVVSLRVGSRLSIFVADRRVAHALLVERGAALADRPDLVVNSGCTVSGASYGPAWRLLRRNLVSETLHPSRARLFAPARAEARRLLLDKLREREPGAQGGGVMVMDAFRHAMFFLLALMCFGQKLDETAVRAVGAAQRDWLMFAARKTSVFAFFPAITKRLFRGRLKTALALRRRQKELFLPMIDARRERKIKPVATEEGTTLEHSYVDTLLGIKLPGEEESSRALTDDEMVSLCSEFLNAGTDTTSTALEWIMAELTKNPRVQEKLYNEITKSQQRGDGDGEEEVSEEETHGMPYLKAVVLEGLRKHPPGHFVLPHRAAEDMEVGGYLIPKGASVNFMVAEMGRDEREWERPMEFVPERFLPGGDGEGVDVTGSREIRMMPFGVGRRICAGLGVAMLHLEYLVANLVKEFEWQEVAGDEVDLAEKPEFTVVMAKPLRARLVTRTG >SECCE5Rv1G0323280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:432815776:432818412:-1 gene:SECCE5Rv1G0323280 transcript:SECCE5Rv1G0323280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 15 [Source:Projected from Arabidopsis thaliana (AT1G50300) UniProtKB/Swiss-Prot;Acc:Q9AST1] MAGYMSRGPPNGSIYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGHPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSIIQVHIAESKNKDTVDNFTNVSVDAEIVGQDELDNGAGRGRGRGDGPAKAWQQDGDWMCPNTSCGNVNFAFRGVCNRCGASRPAGVSGSGGGGGRGRGRGSDDARGSRATAAVGGPPGLFGPNDWPCTMCGNVNWAKRTKCNVCNTSRPGHNEGGVRGGRGGGFKELDEEELEEVRRRRKEAEEDDGEMYDEFGNLKKKFRAKSHQTESTPALPGSGRAGWEVEHRGSTEREGRERSRDRGRDDYDERESRNRDRGSHGRERRRSRSRSRDREKERGRDRGRDRGNERSWERGTERERDRYR >SECCE7Rv1G0504940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:728456448:728460193:1 gene:SECCE7Rv1G0504940 transcript:SECCE7Rv1G0504940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAASPSSSLLLSRCHGASLSSSSLASRAGAGSSRLRAPRCVLGTAEQLRVVEAGKRVGRADPWAAPWTPKSPAQEARLAALPQEARDSRLKIFSGTANRPLAQEIASYLGVDLGKILIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFIMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLAANLLTEAGSDRVIVCDMHSAQALGYFDIPVDHIYGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNLSEVMHLIGDVKGKVAIMVDDMIDTAGTITSGAALLKQEGAEAVYACCTHAVLSPPAIERLSGGIFEEVIVTNSILLPEDKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ >SECCE2Rv1G0098640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:490189938:490195376:-1 gene:SECCE2Rv1G0098640 transcript:SECCE2Rv1G0098640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPPVIGKAGNLTVFITPPATPPPVDTPTSRSAESPGSEFSTPNSTPRSVASPASPPPEHIATSPPPAKMISPPPPVKTVSPPLPPAKLFQASPVQVPPPQFEKADARTDGSAFGFFWDAVLRVQEAHASLDEYISNWFGLDQSKYQWALNDYYESTGKEMDSGKAAKTTELSGKMQKV >SECCE4Rv1G0265050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724243554:724246355:-1 gene:SECCE4Rv1G0265050 transcript:SECCE4Rv1G0265050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPRHPQCTPAHQAGALLLVAVTFLLTRLLSAPPPPPPFPTPGPRLSAAADHLRVYVYAEDEVDGLRALLRGRDGAVSAATCLKGQWGTQVKIHQLLLRSRYRTLDKDEAHLFFVPSYVKCVRMTGGLTDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKPDARAVEPIPLKKRKYLANFLGRAQGKVGRLKLVELAKQYPDKLESPELKLSGPDKLGRVDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVLLSDEVELPFQNVIDYTEISIKWPSSKIGPELFQYLESIPEERIEEMIARGREVRCMWVYALDTEPCSAMTAIMWELQRKVRRFHQSAETFWLHNRSMVNRDLVEFRQWGTPVPLP >SECCE3Rv1G0157080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:79026917:79028657:-1 gene:SECCE3Rv1G0157080 transcript:SECCE3Rv1G0157080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPDTAKMASQLRDAANPPAPARAKAKGGGLSVLGIAFLTVNSGMAISRSKGDPTAVRFVAFSYVVLVLLIYCHGRLERAPRGSPARRKLKMAVWVLTTMLTAVLSFKVAAIMPLPVQVLVWAMAAAAVGGGFYAFFVFREGKG >SECCE3Rv1G0165640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:176795353:176795946:1 gene:SECCE3Rv1G0165640 transcript:SECCE3Rv1G0165640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYDGTGSQQGAGAIPTLVGALAASFACCFLFVTVFLCQRLLHLRLTWTPHPQGEGRAQQQPKVGLDAAAIALIPSFPYVRGSSASVPAECAVCLGDLDEGETVRQLPACKHLFHQGCVDAWLISNASCPVCRATAEPAAPARAAASASVVPVEMRDDETVSSSPGASETTPERPGWLGGRAIVSRSVQEVDLERQ >SECCE4Rv1G0276470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798116138:798117340:1 gene:SECCE4Rv1G0276470 transcript:SECCE4Rv1G0276470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVILLAIRKIGIALAKGAADQASVQFSKYGTQLLELQGSMGRVASEFRVIHDVLCQMDIRNRNNQVYEGWLEEVQKVAHVMEDMVDEYLYLVGQEHDIGCCFYLKKGVKKPRSLLSFNRLAFKVKETEKHLTHLSEIKNRWVTLINNGDTNSSNYIVKRSQDLANISRSLDEEDLVGVDENREKLEQWLEGGDLERSVIALLGMGGLGKTALAANVYKKKREEFQCHAWVSISQTYSREHVLRNIIKEVCKDNVSVLSSIVAMDIVRLEETLKEFLEQRKYLIILDDVWTPEAFDDLSRVLTHNSKGSRLIITTREGNVAAHASRGHTLTMETLPEDKAWDLFCRKAFPRETNHECHAELKPLSKEIVSKCKGLLLLLCQLVASWVCVRKLWKNGEE >SECCE7Rv1G0515290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839400380:839401528:1 gene:SECCE7Rv1G0515290 transcript:SECCE7Rv1G0515290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKVGAAEFEIITEKIRKCSPAELLGHAKQFNGFFSACPDAFAGLKRLWLCNMRFGESDIPNILSTCKLLESLHLTNCDSGVNSVLQLEHAKLTELEVDFGKFEIVELTCLPKLQRIWVLPESPKLLRPVLSQLQQVNLDNLSEGCDLAWTMFILEAAPSLKELCITVLDHWCNMVMRDKEFRKNNGFCEKEDVEWKASLPDFKHKNLVKLTIYGFQPDDIFVRFIMCVMKHAVNMAEVSLHDRTKVCRRCGVLDPEMKYPSRYPRNADERTHVTEELRMSLPAMVRFWT >SECCE5Rv1G0324990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:458991044:458993341:1 gene:SECCE5Rv1G0324990 transcript:SECCE5Rv1G0324990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRARRLHPALRCLLRASAAHSSPHPPPTQHILAASQIPKPFPLLRRHLSSPPAPVSSPPAVVSSDLPAVSTNGKCPGCGIAMQSADPALPGFFNLPSPKSPDYRARLAPVTADETDISASLKSGLLQEGQENSREGKAVAVVAEAEAEAEKKSKVVVCARCHSLRHYGHVKRPDAEVLLPDFDFVAAVGPRLASPSGARSLVLLLADASDFDGSFPRAVARLVAVTSEAHHADWKRGAPSNLPRAVLVVTKLDLLPTPSLSPDDVHSWAQARARAGAGADLQLAGVHLVSAARGWGVRDLLNHVRELAGARGKVWAVGARNVGKSTLLNAIARCSGAESGQTLTEAPVPGTTLGVIRVDGVLGAQAKLFDTPGLLHGHQLTSRLTQEEQKLVQVRKEMRPRTYRIKSGQSIHIGGLVRLDVEDLTVGSIYVTVWASPLVPLHMGKTENAESMMKDHFGLQLQPPIGQKRVKELGKWVRKQFKVSGNSWDANTMDIAISGLGWYGIGLKGEAVLGLWTYDGVDVVPRSSLVHERASIFEETGFSVSKVVSRADSMTNKLKGNKKTNKKEMKGSSQSSEEPSTVMDA >SECCE6Rv1G0403290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:500318275:500325054:-1 gene:SECCE6Rv1G0403290 transcript:SECCE6Rv1G0403290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAEPGARLSDRTRRSPLGLRAMVLLMHVVFVGAVFLLDSTLARRIHEEPWYIGVYGVLVLIALAQYFYTAGSSPGYVIDAMQAGGGMHATFINTATLSKQSSSKHGSLKSSMNRPDLEKLRPVSSSSSWLHQIVDLYPPGSSNRDWTCQYCKIIQPPRTRHCHDCDKCVLQFDHHCVWLGTCIGKKNHCRFWWYIFEESILSTWTVALYIESLHLDIEKVWWKDFIGVILLAVLIFILMFLLLLLMFHTYIALTNQTTYEVARRKRIFYLRGIPDRVHPFSKGICRNIYDFCFTRENGFVLEAVPPIEELEARAAPYTCRDLFCCRCC >SECCE6Rv1G0397810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:403304210:403304801:-1 gene:SECCE6Rv1G0397810 transcript:SECCE6Rv1G0397810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIDAQRKSAEKNQKSKGSQLEARAVGLKVVCPICKAQLANEKQLIDHYGSKHPREKPPGPSNAE >SECCE4Rv1G0230750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:172987272:172990656:-1 gene:SECCE4Rv1G0230750 transcript:SECCE4Rv1G0230750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVRFARNTETGDPVAIKILDKEKLLKHKMVEQIKREIATMKLIKHPNVVRIHEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSCGNLKVSDFGLSALSQQLKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMALYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITVQEMLEDEWFKKGYKRAEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKSSPKEILRKIEEAAKPLGFDIHKKNYKLRLEKVKAGRKGNLNVATEILRVGPSLHMVEVRKAKGDTLEFHKFYKNLSNTLKDVVWKSDDLQIQPS >SECCE3Rv1G0211520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:951168204:951174212:-1 gene:SECCE3Rv1G0211520 transcript:SECCE3Rv1G0211520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAALARVISCLLPPPRRPPPMLSTRLLRHRLAARSPLRFVAAAAMSSSSSAPGGGRKPNRLAAEHSPYLLQHAHNPVDWYPWGDEAFEKARKLDIPIFLSIGYSTCHWCHVMEVESFENEQVAKILNDWFVSIKVDREERPDVDKVYMTYVSALYGGGGWPLTVFLSPNLKPLMGGTYFPPDDKYGRPGFKTVLRKVKEAWDTKRDALEKSGDVVIEQLRDALSAKASSQDVPNDVAVLYVDQCVEKLASSYDPKFGGFGSAPKFPRPVEDCIMLYKFRKHMEARQESEAQNIMKMVTHTLQCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIANVYLDTYVITGDEYYSSVARDILDYLRRDMIGEDGEIFSAEDADSAEYEGAPRKKEGSFYVWTSQEIEDTLGENAELFKNHYYVKSSGNCDLSGMSDPHNEFSGKNVLIERKPGSLMASKHGKSVDEYYGILGECRQKLFDVRSKRPRPHLDDKVIVSWNGLAISGFARASQILKSGPPGTKFYFPVAGCDPVEYLQVAEKAANFIKEKLYDASSKRLHHSYRNGPAKAPGFLDDYAFLINGLLDLYEYGGKIEWLLWAIELQVIQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSMAAINMVRLSSIFDAAKSEGYKRNVEHLLAVFETRLKELGIALPLMCCAADMLTVPSRKQVVLVGDKASPEFQDMVAAAFSSYDPNRTVIQIDGSNMEEMAFWESNNANIAQMARSSPSGKPAVAHVCQEFKCSPPVTSPGALRELLNKTVAAAGSAV >SECCE3Rv1G0146170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:10555574:10564103:1 gene:SECCE3Rv1G0146170 transcript:SECCE3Rv1G0146170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMGMAHSPLLPALVLVITSALVVADPEAMLLSQGCSLYNVTPTAAFLSALNSTFAELRANLSAGGGFATVFRPRFVAPTFALAQCRPYVTGRDCVACFDAAAARVHPACGAANGGRAILDGCIVRYESLPFFNQSTGPGNRQICNGSTVAGGAFNGAVQALVSDLAIAVPRFPRLVAMAAGAGVYAMAQCVETVGAGACAQCLEVASSNIDLCPPNSDGRAVDAGCYMRYSDKPFFPANETADLAPYLRSGKSRVKGAIVGGILGGVAFLLLLGLLASLWIWRSKKLQKPQRGDILGATELQGPTNFYYRDLKAATNNFSEKSKIGEGGFGDVFKGLLKNGKIVAVKRLSVMQTSRAKEDFESEVKLISNVQHRNLVRLLGCSRKGSECLLVYEYMANSSLDKLLFGERRGTLNWKQRFNIMVGMARGLAYLHQEFHVCIIHRDIKSSNVLLDDDFQPKIADFGLARLLPGDHSHVSTRFAGTLGYTAPEYAIQGQLSEKVDTYSFGIVILEIISGRKINDTRLEPEAQYLLETAWKLYENENVIKLVDESLDPEEYVLEEVKRIIEIAFLCTQSAAASRPTMSEVVMLLLSRNSPEIQPARPTFIDSTSRVRGETSVSSSSSASKATVSMSQLSAR >SECCE5Rv1G0354060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:721422786:721424053:1 gene:SECCE5Rv1G0354060 transcript:SECCE5Rv1G0354060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQVSDTDDSFDNNRPEHITGVNKIDWANKEHCRCIAACLVKGVYVHENDRAERRAVKLAPAWWESFGFHLEKELREPIYSIVGVIFDTIYGAIFKYSPSAPGDGCAPRYVVAFRGTKPGSIRDYYLDLKLVVNKLKKRTRCVQGCASIEGLMMEEGQDGCTWLAGHSLGAAVALVVGRDMMVQDKPRNLPTFLFNPPHVSFITSINLLKLDPVAKEIVHRVGNFLREGAAKVLAAHRERMENLFQQLSPWVPNLYVHKKDPICQGFIDYFDQRQKFMERYPRVGTTFAAISRRDVFWHLIGKDKDRPQLLPSATLCKSAQSELGAHDLQQWWKPDSELRLEVKHYSYPVPQAQTTSTSMPA >SECCE5Rv1G0362360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:785580966:785582861:1 gene:SECCE5Rv1G0362360 transcript:SECCE5Rv1G0362360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGGERGVGERGGDRGGFGRGFGRGGRGDRGGRRGGRRGPRQEEEKWVPVTKLGRLVKEGRFTKMEEIYLHSLPVKEHQIVETLCPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDSNGHVGLGVKCAKEVATAIRGAIILAKLSIVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRETTFTKAPYQEFTDILARPTKALMLDAPVEKVEA >SECCE4Rv1G0254150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:633236009:633242697:-1 gene:SECCE4Rv1G0254150 transcript:SECCE4Rv1G0254150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLSHFPLLAAVLLAAVPRPAHPLTELETSQIRRFQDYLRICTAHPVPDYAGAAAFLLPYAASLGLRTTTLHFSPCKSKPLLLLTWPGTDPSLPSILLNSHLDSVPAEPEHWIHPPYAAHHDPATGRVYARGAQDDKCLPIQYLEAIRGLQAAGFAPARTVHVSLVPDEEIGGEDGHEKFVQSEEFRALNVGFMLDEGQASLTDVYRVFYADRLVWKLIVKATGPPGHGSKLFDGAAVENLMDCIEAVAGYRDAQFEKVKSGKCGPGEVVSVNPVYINAGTPSPTGFVMNMQPSEAEVGFDLRLPPTEDIEQIERRIKEEWAPSHKNLTYQLLKKGPVSDVTGRPLLTPANESNPWWSVFEQAIISSGGKLAKPEILSSTTDARFVRQMGVPALGFSPMINTPILLHDHNEFLEDKVFLRGIEVYEHLIRALSSFKG >SECCE3Rv1G0188270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:711761764:711762732:-1 gene:SECCE3Rv1G0188270 transcript:SECCE3Rv1G0188270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSGVGRKKLKHRLAAILSVFSRRSGGRKRRDDDAAPPALALPSYGRLGAGGKKAATGDHHDRRLSVSARRTVPLIRITIDCAGRRSVDAADPSLLAPLDADDVKAERAALKGAGLPFETSEWEGRKCPPSSPFPAAPLPPLPRWKERPGNGGRRLSTHSSRRLLSSSSSDDEYDEDSRNLFSSRSFSSDSSDFYNCPRKNTAAKARASVSGPCRAPPAAPRRGASQSCRYSFEMPRGSTASAATDGGFAVVKRSADPYEDFRKSMEEMIAEWPEGGGGDGDGEHSAESLLETYLVLNSPRHYPVILAAFADVRETLCP >SECCE5Rv1G0301220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29492430:29496424:-1 gene:SECCE5Rv1G0301220 transcript:SECCE5Rv1G0301220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSELRAPCLLLLVFLLHSSLRLQLSQGLEQSQQRRVYIVYLGERQHEDVSLVTSLHHDLLASVLGSKEAALESIVHSYRYGFSGFSAMLTESQARKIQKLPGVVSMKENTMVRMHTTRSWDFLGLSMGVDNKLEQQPNELLAAANHGDGMIIGVIDSGVWPESQSFADDGYGPPPSKWKGTCQAGANFSVHDCNRKLIGARWYAGPDIDRRFLQGDFLSARDSHGHGTHTASTAGGNVVHNTSFLGLAAGTARGGAPRARIAVYKACWGSCSTAGVLKGIDDAIHDGVDVLSLSIGSTDEIAALGTLGAVTRGIPVIMAAGNDGPTEQTVHNSSPWLLTVAATTVDRSFLTVITLGNNRQFVAQSMYVADKGGDEFSQLLYYFNDRCDPDYINSTDIHGKVVFCYTPGPGSVSPPPKYANIAATVRRNGGKGFIFSQNNLESLDLYAIKGPALPCVPLDFKTSYQIALYCTGSGSGIPKIKISTTRTTSGSEVVAPRIASFSSRGPSPIYPGVLKPDIAAPGVYILAAAAQTGAYKSLGVSYVFDSGTSMACPHISGIVALLKSVHPNWSPAALKSALMTTAHTMDSNGVPIEANGNRAKIADPFDYGAGSVNPTKAADPGLIYDISASDYRKFFNCIQGLGSNNNCTPPDLNLPSIAIPGLKTPVTVVRTVTNVGQPNAVYKAFLEPPPGVKMAVEPAVLVFSNARKVQSFKVTFRATRRIQSSYTFGSLAWHDGGAHLVRIPIAVRVVIEELYSDVS >SECCE1Rv1G0005690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:27304363:27306060:-1 gene:SECCE1Rv1G0005690 transcript:SECCE1Rv1G0005690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIEAVEKVKVASTPVKPPVLNERILSSMTRRPGSAHSWHDLEIGPDAPTIFNCVIEIPRGSKVKYELDKKTGLIMVDRVLYSSVVYPHNYGFIPRTLCDDSDPMDVLVIMQEPVVPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHFNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPATAAYEAIQHSMDLYATYVVEGLRR >SECCE5Rv1G0308800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:138247971:138248660:1 gene:SECCE5Rv1G0308800 transcript:SECCE5Rv1G0308800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLAFALLILAALAAFSSATKLTIHNLCPHPVWPLVTPNAGLPSISDNAARLDTNAILSLTFPSTFWAGRVAVRTGCDDGTSPPRGCFTGDAPPSTVAQITVHDSGNLDRAAYSVSLVDGFNVPMVLSPQAIGGGQCPALGCAVDLNCDCPPDNRAAEGTACRGPAGYFKNRCPLTRTTPTDVEPVPQSCRAPGELKIVLCQSSMLQCGAAAAEDADMVIRTVVADN >SECCE7Rv1G0481160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:281376874:281384395:-1 gene:SECCE7Rv1G0481160 transcript:SECCE7Rv1G0481160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERDIDDLPRNAANYTALTPLWFLERAALAHPARASVVHGALRYTWADTYRRCRRLASALARRSVGHGSTVAVIAPNIPAIYEAHFGVPMAGAVINCVNIRLNAPTVAFLLEHSSAEVVMVDQEFFSLAEDSLRIIADQKKGSFKKPLVIVIGDHTCDPSALQDALRTGAIEYEKFLETGDPEFAWKPPQDEWKSIALGYTSGTTSSPKGVVLHHRGAYLMSLSGALVWKMNDGAVYLWTLPMFHCNGWCYTWTLAAICGTSICLRQVTAKAIFSAIANQGVTHFCGAPVVLNTLINAPPVDTILPLPRVVDVMTAGAAPPPSVLAAMSRLGFRVSHTYGLSETYGPSTVCAWKPEWDILPDDERARLQARQGIRYVGLEGLDVVDPKSMAPVPADGSTLGEIVMRGNAVMKGYLKNPKANAEAFENGWFHSGDLGVRHPDGYIEVKDRAKDIIISGGENISSLEVEKAVYLHPAVLEASVVARADEQWGESPCAFVTLKDGVDGSDEAAMAANVIKFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRM >SECCE1Rv1G0026560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:372034411:372035004:1 gene:SECCE1Rv1G0026560 transcript:SECCE1Rv1G0026560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKLLAVLVLLQVITLHIHGVASSTANKLMPKPSGGRCHISGFLYGKAGKCNKENGSDCCIPRHRYPQFRCSPPVSSKTVATLTLNSFARGGDGGGPSFCDNRYHKDSELVVALSTGWLRLDGTRRCNKMIRISGNRRSVLAKVVDECDSVHGCDKEHNFEPPCANNIVDGSPAVWKALGLNKNIGEFKITWSDV >SECCE7Rv1G0480480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:270686600:270687822:-1 gene:SECCE7Rv1G0480480 transcript:SECCE7Rv1G0480480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLQHFLLLAALLLLTGAPSSSAGAAGNGKVPVEVYYESLCPSSALFLTDRLADVFEDGLLEAADVTLVPYGNAEVDKDGTITCQHGPEECLLNTVEACAIDAWPDVNVHFGFINCVEGLVMNETQGEWESCFQKLGLDPKPATECYKSEHGHKLSLKYGKQTRALVPPLSGVPQVVVDGKPRDDGDFVSYICKAYKGRPPKICQEPDRHYPTVVEAGKGVSYNAAGFELDDGVEDKIEVPRADDN >SECCE2Rv1G0121640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:809571748:809573145:1 gene:SECCE2Rv1G0121640 transcript:SECCE2Rv1G0121640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASAVHVLVFPWPRQGLINPMLHLATALLDAGAHVTFLHTEHNLSRIARAPPPRLRLLSIPDGLPDDHPRHYMELIESMCTTGSAAYRALLLSSLLPADDVPPVTCVIADGTMPFATDIAEELGIPALAFCTFSACSSLAFMSMPKVFELGENPFPAGDPVCGVLGMEGILRRRDLPRGPGVCSTEQTGGGNPMILKIGEGVAHSCKARALIINTSASMERPALAHIASRTRDVFVVGPLHARSRSAASASLWREDDGCMAWLDGHDDRSVVYVSLGSIAVISYEQLTEFLSGLAATGYAFLLVLRPGMIQMASSALLREAVAVAQAGKARIVEWAPQLDVLRHRAVGCFLTHAGWNSTLECAVEGVPMVCWPFFLDQLMNSRLVGAVWRTGLDMKDVCDRAVVEKMVREAMASGEIKAAAQAMAEQLRQDIADGGSSSSELGRLVRFIRELSIKSGPEPRIT >SECCE3Rv1G0158980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:93449074:93450609:1 gene:SECCE3Rv1G0158980 transcript:SECCE3Rv1G0158980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQRRHTAGTSHILCRVHRRSMEMYGAVRRPRFLLLLLLAAVFWVCVLYFRLSVLISGVTVAPMERMVSFAAGYDYESRGDDGDNDPCRGRRVYIHELPPRFNADILSGCASTDGRWPDMCAQVSNAGLGEPLTELEGEGEGEGALTGAAGWYATQQFALDAIFHGRMLRYGCLTNDSSKAAAVFVPFYAGFDFALHHWGYDNATRDAASLDLERWLVGRPEWHRAGGRDHFLVAGRTAWDFRRQTCPSPTWGTNLLLLPAAKNMTVLVVESSTASGHGGNDMAVPYPTYFHPRTDADVLRWQQRIKSADRPWLMSFVGAPRPGDPWSIRSQIIAQCAASSKCRQLGCASGASQCHTPGNIMRLFQSSTFCLQPPGDSYTRRSAFDAMVAGCVPVFFHPASAYLQYRWHLPKDHATYSVFIPEDAVRVGNVSIEDTLRRIPATAVRRMQEEVIRLVPRLVYADPRYSLETVKDAFDAAVEGVIQKVAESIPKVVETTDRRSSWLDKIWSE >SECCE7Rv1G0468670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:102450832:102451242:-1 gene:SECCE7Rv1G0468670 transcript:SECCE7Rv1G0468670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE5Rv1G0333370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:556280759:556283712:-1 gene:SECCE5Rv1G0333370 transcript:SECCE5Rv1G0333370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSDRGSLMEDWMAMPPTPSPRTLMSSFLNEDFSSGQFSNFFGEHVSNKPHDQSEKRGELMDLSEQVPAQSATATPQKDFSLQPNSFNANQKSNPQGSLAERRASRAGFSIPKIDTSRVGSSTVIRSPIAIPPGLSPTTLLESPVFLYNAMAQPSPTTGKLFVASEANSTMPPDSTFSNDVFSFQPHSGPTSYSNVEKDYNVSHQNQSLSNIHQQGSSLRSSFTAVKDSADETIVKPKTSDSVFSDNHSSEEQEDDEGDQNEEYSSATNSNPAEDGYNWRKYGQKQVKSSEYPRSYYKCTHPDCPVKKKVERSQDGQITEIVYKSSHNHPLPPPNRRSGIPSLQINDPQVHVLEKPGSHTGLNTASMWENGKSECIQDMQGVEGRPAAGPPVSAYGDTSIMESQDVADVSSTLSNEIDRATQGTISLDCDGGEDETESKRRKLDALATVTIPTATTTSSIDMVAAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHQGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGNSSSGSGSAPASAPQANLSHRRQEQAQGSYPQFGGATPFGSFGLPPRGHLGAASNFHFGMAPPGMSMPMPAARHPSMMQGYPGLMMQEGQMKPEPDQQSGFAASNGAAAAYQQMMGRPPFGPQM >SECCE5Rv1G0361230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:778309039:778310740:1 gene:SECCE5Rv1G0361230 transcript:SECCE5Rv1G0361230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAENLFLRLAPELVDAVLLRLPPGEPACLVRASVVCKPWFRILADPGFRRRYLEFHRTPSVLGFFEDDGIYVPTSALPSVHPGRGRLVHRPLDCRHGRALLAPYNRSFREGDIVILTVLDPLTGRRRLVLSPVDEDVLWFSAAVLCAAQGCDHYGCQGGHFRLAVVATNDVGVTSGWLYSSETRLWSELTTVGHPKVAYYANQSAPSVLVGDTLYFNIDGIIELQLGTLRLSKFEKPIAGKGRLMTAQGGGLGFIAMVDVTNLTLWSGEAGPKGAMVWTKFRVIDLKKLLPAGALMTQTVDYGINGVAEGTQIIFVSTCLGSYMVDLKSRQVRMVSGRGRNITPYMSFYIPAIKAASTSQGQRAGASSVPRSGARGRR >SECCE2Rv1G0102010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:572331200:572332330:-1 gene:SECCE2Rv1G0102010 transcript:SECCE2Rv1G0102010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAPETVAAPAAAVAVDQEVAYCSEHPYPPGAAAAAGVAAGSGICAFCLQEKLGMLVSSSKSSPFHPPPSSVTPTTPPPNRLASEPLYSSTASAMAIPPHKGKTSSSQAAPVASGLRRSKSVAPRPEEPPLSSGITADSPRKKSFWSFLYPSSSSSAHRSGSSSLAGGEGAGSARRKSVSVASATSASLGRRLEAIEEPESPGRRSEGSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPKGSALSHLGGARDAGDGDGDEDYEYTQQHRIKCAGFFGGIGAAPPASSSYWLSAPNGGSGTGASGNTRVSGTRSHRGWAWALASPMRALRPTSSTSSKTIMSASATAGGSAVATS >SECCE1Rv1G0045310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609245341:609246523:1 gene:SECCE1Rv1G0045310 transcript:SECCE1Rv1G0045310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALMVAVLLAAVAATCARAQLHEKFYGESCPSVEDVVRKEMVRALSLAPSLAGPLLRMHFHDCFVRGCDGSVLLDSANKTAEKDAKPNQTLRGFDFVEKVKAAVEKACPDTVSCADILALMARDAVWLSKGPFWEVPLGRRDGSVSISNETNQLPPPTANFTVLTQLFAAKNLDSKDLVVLSAGHTIGTSHCFSFSDRLYNFTGRVNPTDIDPTLEPQYMAKLRNKCTSLNDNTTLVEMDPGSFRTFDLAYFKNVVKRRGLFHSDGALLTNAFTRAYVERHAGGGYKEEFFADFAASMIKMGNADVLTGSQGEIRKKCNVPNH >SECCE7Rv1G0458620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22232228:22237683:1 gene:SECCE7Rv1G0458620 transcript:SECCE7Rv1G0458620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGGVIAGAVAKQILSKLWVGGDYAASEIALQWRFREDVQELGEKMKYLEAVLGDADERSRQQGRDGGREYELWLTKFKRAAYDVEDVLDELDANELINRTQSKVSLWFSGNNQLLQRMTMPHEMKNVTKKIEEIKEEGRKVLNLVPREARGEGSRNNQILAANWNAHGMETGMVGRGVEKEKIISLLLTSEEANQQDISIIPVVGLGGIGKTTLVESVMADKRVSVFDVSIWVKVSKQFDLHKIASAILKSMMNSTVNLDNCDLQKVLQKELATRRYLIVLDDLWEEDGDKLENLKRXXXSRQRAFGPDDDHSGLEEIGKQIAEKCGGLPLVANALGQVMSELRTAGAWEHVRDTKVDLGLREGHQKETLERLMLSYYYMKPEFKLCFIYLAVFPKGFFMDNNHIIQQWNALGYINSRHDGQRCINYLLGMSFLRIPGSASVSPSLLHFKAPLELVMHDLVHDLASLIVADEIIDLDATKSTSWSKLRYYRHAQLTNYMNNSEFFKHLPSKIRSLHFRDLGGQQLPGKAFSRSKYIRVLDLSGSSDKGKPAPSNIVLPSSVNRLKLLRYLDSTGLPITALPKYFHTLQNMETLILSKCSLTTLPDNICRLKKLLYFDLSGNSTLKKLPESLGELSELSFLSLSGCSVLEELPESIGLLTCLYHLDISDCCALQQLPDEFGNLRNLSFLNMSSCCMLTKLPDSVSFPCLEHLNLSSCHELVNLSIDFGHLQKLEFMDLSGCFKVSKLPESFCQLKHLTYLDLSDCQNLEELPKCFDLLFELEYLNLTSCPKLRQLPESLCKLFKLRRLYLSYCLRLNELPSSFGDLNLQVLHMNGLVLKDGCSDSIGNITSLTELVIDTGTSEFLDEVKGIREGLNLVGRVKHYVHKIESRQCSNIVDLAGLTCYELILEGLENVSDPKDIDNIKLRDKSDIRELKLWWQSTGGISVLDRLVPPRTLENFWIVGYGSKYFPDWVLNISFYLPFLSELTLKDLEACDRLPPFGALPNLRKLGLARIPNIRKIGKEFYGEGRPCMKLRVLLLKSMQNLEEWWTTESSTENGEFLIPILHYLEVMDCPNLKFLPYPPRSMDWSLINSDKVLPEQGFGKLSSSIRPCKMGLERFSFSEGMLGRLKHFATLEKFFIISVSGLSTLPEVMQCFTSLTELNLSSLNDLETLPVWLDQLGSLEEIYVQHCSKLTSLPENMKRLTALTTLVLKQCNGLETLPSWLGQLSSLQVFVIKDCPNLTCLPESMRDLAALKILRLAQWKGLKILPECLGQLTSLEEISIHNCPNLTSLPESMRDLPSLREFWLIECNGLKTLPEWLVQLACLEKLIIGAYPNLKSLPVRRRIWSHSSMNRFMSKSDCKVPRGGCLQDCRIPKLYYKNC >SECCE4Rv1G0250330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:591057258:591061536:-1 gene:SECCE4Rv1G0250330 transcript:SECCE4Rv1G0250330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLQKSKALCLKRKPDDDCLAKNSKSHRTEIDNVSSKVHSGSSSDLPAHSCHSQPNLANDCVNYLKSGVPSRVVFYKQGSWCDFPDKIVTSIVDAFEGDKSSIVVVMDDQPLLIDFLSMTLVNLKTRKQRSVAWLSGTNNWYFPSSFFDEEVEESAKLSRNVVEGAAPGSVGRNFMKSPSDVAKQAMLEASPPVIQSSCALDILRKKIVHVERGSESFLFVQNLFLSGMGSFAVPNNILHIHRYSPKDIPAQRRLEAFEKQLRLKGQKSGNANAKYGWLGSGKQDIVSVIVNGFVSTGKNTYDTAIGDGIYLSPENRAFTSVGLCDVDEKGVQYMLLCRAILGKTGVIKPGSQEEFLGIYDSGVDDCSNPNYYVMWPSHRSTNISLEYLISFRLAPKVQEYFHSLKGLWLRPPPGEVMVDPSILQPVLCQTDEGPTSPWISFRVLFETIQDSISSLARELLYRHYEELKENKITRDEMVKKMMVIVGEKLLLDSLTKLKYSASLWYNSPAKNTSYSAALGSGCIGTSTDVVVSATPSHDGPAPSVLRENCEPVNPTRGGSPANAVRGQHSPTPSMCSESSSSRCTNSHDPFAPTVAPLGHDALVRSALLSVNGLDSAGPSMEYNGHDTLARSCSEGHDSHVSRPTPGNSASLSMEDLHSAAPSTTPEAHDALASNRAHESVAATPGVSCQAYTPSRIPQFSAATSIAPELCAPRSMAPHLRAPRSMAPELCAPRSMAPHLRAPRSMAPELCAPRSMAPHLRAPRSMAPELCAPRSMAPHLCAPRSMAPHLRAPKSMAPHLHVRSKVPKVPAKNTMPEANYSSAATVVPVICKPPPSSVLPKEKSHPTSSKECMASENVAPKNLAAQCGSNKSLPRIGVDDSSTAAYSADRLVTLSAEGEKCL >SECCE2Rv1G0077170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:97515932:97518410:-1 gene:SECCE2Rv1G0077170 transcript:SECCE2Rv1G0077170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAAPIATTSSRRAVYLLLAALAAAPFLLLLFFNGGASPSALCRSSPAPRRIPYPVVLWSSVPPLPGLPSSPLPDLRASHWIVFSASPHHQRHRPLAGAPGWQLLAVADEATPPGWSHPGAALLTLADQARLGFRSVEFLPARGHARKVAGYLFAVQRGARVVYDADARNAVAGNNLTRHFDVDLDQRQGGGSVLLQYSHADPNRTVVNPYVHFGQPSVWPRGLPLEKAGEVGAEEFYTKVYGGGQFIQQGLCNGLPDVDAVFYLTRKSLEMEAFDVQFDADAPKVALPQGVMAPVNSLNTMFHAPAFWGLALPVSVSPMASDVIRGYWAQRILWEIRGQLVVYPPTVHRTDNVHAHPFDDEKDIHVNVGRLINFLMEWRSTKQTLFERILDLSYAMTEEGFWWEKDLHFMAAWLQDLAAVGYRQPRLMALEIDRPRAAIGHGDKQEFAPKKLPSVHLGVEEIGEVSTEIGNLIKWRKHFGDVVLIVHCTGPVDRTALEWRLLYGRIFRAVVILSEHGNSDLAVESSNFAHAYKYLPKVFDRFAGAEGFVFLQDHMVLNYWNLLDADKSKLWITNKVKESWSDVPLPGNNKEWFMKQGDMVKKAVDSFPVHHQANYKRSIGEDKIIHCSSEIFYIPRRYTGDFSYLVKVIGNLDIHHTIGVPMLFLAMDVPSNFDPKALGKLAYRTNLPSNTAFSAIYTPEAHAVYPMKVENEIDFVNLIRVMASGDPFLLELV >SECCE2Rv1G0080710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:131950419:131950970:-1 gene:SECCE2Rv1G0080710 transcript:SECCE2Rv1G0080710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANGDNMAAGILRLLMLMARGPSAADAMPGAGGGRVEVRHFILSGDGGDLFSGEVGGGVPPASKAAIASLKEVQAAGEGSLGDCAICLDAFGAGKEMPCGHRFHGECLERWLGVHGSCPVCRHELPKAEPAAEEQQQDGDGEGRGPRGAVLVSIVTLRGAERPQEEEQREEPVNIRIEDVD >SECCE5Rv1G0331760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:541155542:541157594:-1 gene:SECCE5Rv1G0331760 transcript:SECCE5Rv1G0331760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAVVLVILLAGGSLANAANQKDVLRAFIKSRAQKGTAEPDTWADPISSFRHLPTKCEAPPAGTREADRITALPGQPPRVNFDQYSGYVTVSEEYGRALFYYFVEAPYEAASKPLVLWLNGGPGCSSLGAGAMAELGPFRVNPDGKTLSRNRHAWNNLANVIFLESPAGVGFSYSNASVPSGDTRTAVDAYIFLLNWLERFPEYKGRDFYISGESYAGHYVPQLAAVILALRELGATNMNLKGIFVGNPLLDSRSNNTYGSLEFLWNHGVISDEVWGNINGQCSSGQLEGKACDDSFDEGDIDRYNIYAPVCIQSPDGSLHPSGYLPGYDPCIGSYIDAYLNSPKVQKAMHVRTHTQWSECSETLPGWTDSPVSMMPTIGWLVDNGLKVWIYSGDMDDACPITATRYAIKDLNLTITKPWRPWYTPDNEVGGYAQQYEGGFTFASVRGAGHMVPSSQPKRSLVLLYSFMKGVLPPGALPPGFSKWSWV >SECCE5Rv1G0348360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673424915:673427163:1 gene:SECCE5Rv1G0348360 transcript:SECCE5Rv1G0348360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTMEEPLVGGNSSTEETGGPKESLVVTEVKKQLYLAGPLIAGCLLQNVVQMISVMFVGHLGELALSSASIATSFASVTGFSLLSGMASSLDTLCGQAFGARQYGLLGIYKQRAILVLTLVSVVVAVLWAYTGQILLFFGQDPEIAMGAGSYIRWMIPALFAYGLLQCHVRFLQTQNIVLPVMASAGVTALSHVPVCWLLVYKLGLGNKGAALANGISYLANVSILAIYIRVSPSCRSTWTGLSKEAFRDILSFMKLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVLSICLNTGSLAFMIPFGLGAAISTRVSNELGAGRPEAARLATRVIMVLGLATGVSLGLIMLLGRNLWGYAYSNENEVVEYIARMMPLLSVTFIFDDLQCVLSGIVRGCGLQRIGACVNLSAYYLVGIPAALCFAFVYHLGGMGLWLGIICGIVVQMLLLLGITMRTNWDKEALKAKNRVFSSSLPLDMAT >SECCE5Rv1G0300110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:22452469:22453272:-1 gene:SECCE5Rv1G0300110 transcript:SECCE5Rv1G0300110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDVDWTQVFDGVSGSIFFIVFAPKQDQLEELKILADEVKTVKDKISRYDKVRNGHSSTGFAYYSRPTGLLIMTSAHDLSYLFNASRPLTRETLDMMDITVLCDHQEQTIQEARLVNAVRKYGTATVAGVDSSEDTIVLSVENSSLKNYSDDGVCAQAHPALEISANTPINHRQCMMVSWPSCMPRTISIGTTVSSRGIGLCDPLGYNMNVLEVDMRTAESSSGAPLFNSDGKVIGILQGRLSRTRSIFVAGSHLHGWVQAAHGA >SECCE4Rv1G0293090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884605902:884607014:-1 gene:SECCE4Rv1G0293090 transcript:SECCE4Rv1G0293090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGFMAFEIDSSLHHYNAVGQGAVYSEVVSAGGHCWRLACYPHGDPSHVAAPFRFISIYLELVSKPGRHADADAFTVTFTAFAAHGDGAPPSHHAECGHVYTRGNHGLKRPCGFPEFIGDYTDIPVEHGLTVMWRVRVTPEKPDAPKIGRQLGRLLDSRLAAWTDVSFVVAGETFRAHRALLAARSPVFEAQLFGAMLESSSASITLEDIEPGTFDAMLQFIYTDALPGNTDDPPNLEALRRLLVAADRFALDGLKQECTDMLLGSMSTDTVVDMLRWACTYNCAELREECVDFVAARRNLNEVVLTVGFMQLVLEWPSILDDVKESAARQQQHAKESLALGDSDLSISGGMLLAPHGYYYYQPAAA >SECCE7Rv1G0521560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874296676:874300885:-1 gene:SECCE7Rv1G0521560 transcript:SECCE7Rv1G0521560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MLRRRLSSLLLRSPCSSSVAASSYQQQHHHLLLPTHAEKPPALNLLRLFTSLAGTDGDRPFIAFVLGGPGSGKGTQCSRIASDFGFSHVSAGDLLRHEISSGTDQGEWILEIIREGRIVPSEITVELVRKAIESSTAKRVLIDGFPRCEENRIAFEKITGTEPDLVLFFDCPEDEMVKRLLSRNQGRVDDNIETIKKRLKVFESLNLPVVEYYSSRGKAHKINATGTEDEIFEAVRKLFSSLRL >SECCE4Rv1G0229840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:154162574:154166860:-1 gene:SECCE4Rv1G0229840 transcript:SECCE4Rv1G0229840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRHLAGATHNHRIAANSSSLFDLQRPPSCLAAARPLSLAPLRSRFTRLYALSSNDIKVGFNLEVDGAPWKILEFLHVKPGKGAAFVRTKMRNYITGNTVEKTFRAGSTIQEASISKETKQFTYKDGSQFVFMDLTSFEESRLNESDVGDRQKWLKEGMDCTLLYWNGRIIDFDLPITVRLTVTETDPGQGDSAQGGTKPATLETGAVVNVPSFVETGDDVLIDSRTGQYMSRA >SECCE7Rv1G0498290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:637633808:637635512:-1 gene:SECCE7Rv1G0498290 transcript:SECCE7Rv1G0498290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPPLVFSLFLLPLLYLFFRGGSKRASTHGARNAPGPPKQLPVLGNLLQLGGRPHRYFQSLTQKYGPVVQVQLGRVRMVVVASPEAAKEVLRTNDLHCCSRPNSPGNYRNMNVLGCDHHAGARTLSYNFLDVAFGPYTDYWREMRKLLVLELLSMRRVQSFAYARAAEVDRLVSSLAGTLPGTPVDLSEKLYALSDGIIGTVAFGKMYGSESFERTSFQRMMDETLRVLGSFTFEDFFPSWALARWADALTGVASRRRRVFLNIDRFFDAVIDKHLEPERLAAGVQEDMVDALVKMWRDQEGPLALTRDNIKGILMDTFAGGIDTCAVTTIWIMSELMRNPRVMRKAQSEVRAAVRDKSRVDEEDAQGLEYLKMIVKENFRLHPPGTLLIPREIMQSCEIAGYSVPAGTRIHVNVWAMGRDPDIWDRPEEFFPERFEDARVDFRGLHFELLPFGSGRRACPAIAMGVANVELVLANLLYCFDWELPKGMKGDDIDMEETGQLVFRKKVALELVPVKRE >SECCE6Rv1G0452180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:875611750:875616211:-1 gene:SECCE6Rv1G0452180 transcript:SECCE6Rv1G0452180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVIWHCIRHNHCSFMAKIETGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGVFYLYMKTAERAHMPNKLWERVKLPRNYEKAMEIINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRRLQLKVREKIMTVPRKKTQRDLRRLDKAEKAAQLDKSIESELKERLRKGVYGEIYNFPFKQFDTILDMEKDELAPEIEEEEEGEIEYVEGDDIEMGDMDDMEDFEGFGDEDDGGDEDDDLDEPATKKPKVPGSDSRSKIGRKSTKVITEVEEDEDRGSRQRTRM >SECCE6Rv1G0383160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:50903469:50904965:1 gene:SECCE6Rv1G0383160 transcript:SECCE6Rv1G0383160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRGHHTAAAHAKVELEAELGERNSAPTVNPLVGQEARGPMAGDRRTRPERVRRLIRRLRPAPIGSAFKRWLKHPAHLAQFAWAVCVALSFALLGLLLLGVFDGAFRRRSVRDRWVEIVNQILNALFTLMTIYQHPELFHHAALLLRWRTGDEKELRKAYCRKGYGAGEARRERLHLSVVVGLLHLTCFAQYAMCGLFWGYSSAARPDAAMTPLAGVSAVAPVVAGLYMYLSPLGRKSGQSMHQEPEEVCDDDDSMVVAVITDPAGREWAGGLLDVRDDPAACWLSCLCTFCVFGWNMERLGFGNMHVHGAMFALLCFAPLWVLNHAAMNIRSKAVSNLVSAAGVVLCLFGLLYGGYWRARMRRKFGIAGKNRPFFSSLSLDVADYLQWMFCWGCALAQEVRTGNLLLDVESTGGSGGVQVDNSLRPLPREDGSFEIAAVDGTHSVPLAYNNSPRRGEELPLVKLKRDDSSSSGEMRPPVPPLMQDGGGPQGPRIQ >SECCE4Rv1G0238420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:376874222:376884899:1 gene:SECCE4Rv1G0238420 transcript:SECCE4Rv1G0238420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLMTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHVGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTIAEAETIALSILKQVMEEKVTPNNVDIAKVSPSYHLYTPAEVEAVIARL >SECCE4Rv1G0287210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853366167:853370798:-1 gene:SECCE4Rv1G0287210 transcript:SECCE4Rv1G0287210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYLQNMLTEMVNEEVHLLLGVGDEIDRMDVKLRDLKNFLADADRRNITDKSVQEWVAQLKGAMYEVADILDLCQLKAMEEGPSTVDVGCFNPLLFCLGTGFFNPLLFCMRNPSHAHDIATRIKALNKRLDTIKERSAAFSFINLGSYEDRGSKVHAFHSGNTSRETSGEFDRSGLVGEKIEQDTRKLVEIMLTEKKGSTNIMVVAIIGIGGIGKTTLAQKVFNDETVKAEFDKTIWLSINQDFDKVELLRTVITLAGGVHGGEKALAVLQPILTTALTGKKLLLVLDDVWSHGAWGNVLEIPLVNVLARGSRVLVTTRDERVARGMKAVLPYHHVDKLEEEDAWSLLKKQIVSSETNGREIDMLKDIGLQIITKCDGLPLAVKVMGGLLCQKEKRRSDWEMVLNDSMWSVSGLPEELNHAVYLSYEDLPSSMKQCFLCYSLLPKISLFERDNIIGMWISEGFLHGASDDLEELGNKYYKELIQRNLIEPNTEYANQSVCTVHDVVRSFAQFVARDEALAAHSGETSIVSKLGAKKFLRLSLESKSSESDGLEWSYLQAQSTLRTLISVGHINLKHGDSLVNFPCIRTLHIDSAHVVALVESLHEVKHLRYLSLRYCDISSLPDSIGEMKFLQYINLAGCQQFLKLPDSIVKLGQLRYLNLDNTNINGIPKGFRVLTNLRIVSGFPAQEEDDWCSLEELAPLSRLKILGLQGLENVSASSSAAKAKLSEKVHLTGLSLICDYRLYGLIAEQGTGVSEEEHQQIEKVFDELCPPPNLDFLYISGYFGRRLPRWMMSSSVVPLKSLRTLMVHDLACCTELPDGLCQLPYLEFIQISRAPIIKRIGPEFMQPYHHHGPHPTKAAVAFPRLSKLFLTGMVEWEEWEWKEQVQAFPVLHELVLKQCKLKRLPPGLTSQARALNKLFIQYVQGLISVENFPSLVELHVTLDFDLERISNLPRLQKLAIGGCSKLKVLEGVPSLQWIMLADVHMDTLPEYMGSISPRYLELLCGLGLLTSLAMGQSGPEWDKFSHVEHVNAYASEENNRKKWYVLYTADPYNLETNVSRSFMSREDNEE >SECCE5Rv1G0350360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:689973028:689981619:-1 gene:SECCE5Rv1G0350360 transcript:SECCE5Rv1G0350360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 [Source:Projected from Arabidopsis thaliana (AT1G75010) UniProtKB/Swiss-Prot;Acc:Q6F6B5] MAASVRGGLVVPLPPPLASPRRLAPPPRRRRRRLPRVRAAAAEPVEVVGVGSRKDAVIDFCLGSRTLSSTPIRFWTVHVDNSEVQFIPRIRDTETAVGDLERPLSLHPCPPAVIIVASAGQEADQIAALELLSAAKSADNLAASIFLKPFCFEGQRRQLEASDLIVKLQACSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGFNQMFWSSLDAQIKEVDPEEVGKLLKSYGEARVGFGAGYNVQSAIKQAVLHCPFLRGGIKDLNNVVLLSLTTSRVLAESDMISILHIFRRVTGFTKDIIFSRNSEPDLEPKLIVVSLLTIRNTRDENGASVKEGFLLSMALHFPFISSLMGGDTQELRQARLNHSFSQLPDSGLSLADQGLPELFSVSNDATLSNLRSDEIENIKSERESDNNNGRIHPEFKEANLESDGETSIYVGREDPSSQQERNFWSNAPAFGIAQLWAKERTTTGRSNKRNELDYITLPVGVKSLEVQYDHSPNTQPETHNATDSTPLSTGHAVSGTSLSGVGLEKVMEICSSAAAFLKGRMDKSRKRGSAASRAASMLDAEREPEKTWSPIVEIQFGEGTYRGRCQEGVPEGKGRLTYSDGSIYDGLWRYGKRYGLGTLYYSNGDVFHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSILFGHFQNGWRHGECLLIDGNGSRWLEIWDDGVLTGRTKLEE >SECCE5Rv1G0346240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660495588:660496043:1 gene:SECCE5Rv1G0346240 transcript:SECCE5Rv1G0346240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAKTLARLAKKWQRVAAIGRKRLTWSLSTSTEEVGGSCGMSCSLVASKGHCIVYTTDGVRFEVPLAFLGTTVFTELLRMSQEEFGFAGVDGDRITLPCDASVIEYAMCLLRRSASAETEAAFLNTMAMPCHYHVVPHLGVSQHFSVCSS >SECCE2Rv1G0129820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:870444361:870446508:-1 gene:SECCE2Rv1G0129820 transcript:SECCE2Rv1G0129820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPGNIVTQLIQEEHRSKWIAHSNHNVKCFTEDEIRRFTNNYKTVLGKGGFGEVYEGVLEDKSMVAVKKFICNVKENFAKELTVHREINHKNVVRLVGYCVDENALMVVMEYIPKGNLSDILHQDSTPIALDTRLRIAIECAEALGYMHSQMYTQVIHGDIKPANILLDDELRAKISDFGISRLVNTENTLYTLNVIGSIGYMDPLFAQNGRLTAKSDVYSFGVVLLELITRKKARTEDGEIGLVESFIQSLSKGLRRVREMFDPEIVTSSDMKTIDEIAKLAGKCLKMELNKRPEMLEVAERLRKLRKAPQQVQERLALFSWARKNKLAPAGIPSQESSSSNQKLTTVAPAENTPSQESSDSTPKSEIVAPAKAALSQDSRNQDGFLVRLTVTGLLFTVEDLLRASAEVLGKGTVGTTYIATLESGYEIVVKRLKDVKLPNEEFEQHVMMISAIQNKHIVPLWWYYCRMDERLLVYEFIPMGSLAKVLHGDRGSDPSPLDWEQRSAISLAAARGVESIHLSGPSSCHGNIKSSNILLTGTHDACVSEHGLIALGIYSSVSGYRAPELVDNRRVSQKADVYSFGILLLELLTRKAPTNSRKDQEGVDLPRWVRSVVREEWTAEVFDVELIGREQKDGEEECMVRLLQLAINCCSQDADSRPTMSDVVQQIEEIQQSLTPDQEPSTS >SECCE7Rv1G0474340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:170109196:170110918:-1 gene:SECCE7Rv1G0474340 transcript:SECCE7Rv1G0474340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >SECCE7Rv1G0480120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:265610506:265611018:-1 gene:SECCE7Rv1G0480120 transcript:SECCE7Rv1G0480120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIELFQGVAVDGSSAYVPIDEDEEEYDVADDGNEQSPMSTTSRKRGSSGGEQSASSPGKKHKSPMVKLMTGLINTMNSENTSDMITEYANKRQEAKDKAKEKKSNNTKESITHCQLLAVQCGAEETSVEYFMATQLFADEANRVIFENISSKDARLTWLKRWCTMKKLY >SECCE2Rv1G0111340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:699369013:699370599:1 gene:SECCE2Rv1G0111340 transcript:SECCE2Rv1G0111340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGRDGFLRRRRRRRPQAVHGDDRISALSDDLLLDILGRLDTRTVLGTGMLSKRWAGLPREHPVLDLSVGDILPLRYRRWVLLHQDPEVTDLHHESGTAKVEKAMRHIRRYERRAMRALTSSVERLSDAAAGRRVSRLTLEFFSTHNTGCINRLISTAIDAWEVRDVVAVAKPVYAQRRKFHAFPSQGICEAPHASRLRSLKLGGCALPPLRGHGALTVLVLQDTPMSTPVSVYEGIFTSCPQLQVLHLICCYSAGFGQITVDAPGSDLRELVVDDCVGFIGIGLRALPKLERLASLGTQVSFETASFPCLRQCNLALCMGVTKETARRYFVPRTKLELGSFVGCIPDVTDMVIRFTGPDRWIVPSVSSPALLPNLRRLLVADVPRCWDVSWPRLLLETAPSLETLHVHIAPPCKEEEEPSGDEISWRPAMVGHRHLKEFVMAGFDGTGRQVYLVRFVMGVCSTALRHVAMFKKGHVRDKGHWDWEMVTQQQQHSQWTDEEKDKTLKQIMNGVPSSSTASPVIVFG >SECCE4Rv1G0228670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:137854465:137855688:-1 gene:SECCE4Rv1G0228670 transcript:SECCE4Rv1G0228670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGSSSSSHSPFVSFGRSLLSRSRDTPASPAMLPSGGEAEAEAFQRHLAVSLAKLRDGEDFLSVAWIRRLLEAFLLCQEEFRAVVADARRRGGGGALAERLVGEYHERAVKALDVCNAARDGVDQVRRWGRLAGIAASVLLGPGEIHEGQLRRARKALSDLSILLVDDAAAAGGGGGVASFLASHRNRSFGRGSRASPSRASNASSSSSSSHFRSLSWSVSRTWSASRQLQAIGAGLAAPRAHEAGLAAPVYAMGCLLHLASWALVAAVPCPDRAAALQAHHLPAAPPRGAFAWAPPLLTLQDRLTEEGKRKDRRNSCGLLKEIHALEKCAQRLAEAIDAAPIPLSGEREAAVREAAAELAAVCSAMKDGLEPLERQVREVFHRIVRSRMEGLDSPMPNAAD >SECCE5Rv1G0325400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:465217256:465217627:1 gene:SECCE5Rv1G0325400 transcript:SECCE5Rv1G0325400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCATEEENKQQQGRTLGAVASKGGPSVEALAMAGGRRCMPAGACCSEPDHVEDDNAATPPEHLRAFEAFLEEVVPVGMIMASRREEEARLRRGGKPRSYDDDLKEKLKLWARAVARETTGGRR >SECCE5Rv1G0331700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:540813539:540816230:-1 gene:SECCE5Rv1G0331700 transcript:SECCE5Rv1G0331700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISSFSLLVLCLAALQLHANASHSPSQEAQLKKFISSRKNTGGSTDTFRVRNIADRVAGSLSAESTVSDQSSMKAADKITALPGQPEGVDFDQYGGYVTVDAENGRALFYYLVESPSGASDKPLVLWLNGGPGCSSLGYGAMQELGPFRVTEDNKTLSRNVNAWNNVANVIFLESPAGVGYSYSNTSSDYDLSGDERTADDAYVFLVKWLERFPEYKDRAFYISGESYAGHYVPELAATILLHNTYNNRTVINLQGILVGNPYLDANRNIKGVVDYFWTHAVMSDEVYANITKNCDFDNLNGTFTDAACFGAAAAFDSGYIDGYNIYAPVCIDAPNGTYYPAGYLPGYDSCSYYPTDAYLNDPAVQMAFHARTTKWSGCAYLNWTDGPMSMLPTMKWLIESKLPIWIFSGDFDSVCPLPGTRYSIQDMALSVTTPWRPWTAKEEVGGYVQQYAGGFTFLSVRGAGHMVPSFQPERALVMLSSFLQGVLPPYVEQQ >SECCE6Rv1G0382900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:48314907:48318056:-1 gene:SECCE6Rv1G0382900 transcript:SECCE6Rv1G0382900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLHVSDKTYSKIFRIPSLGLALVLLICLVSPGSSCTEQEKGSLLQFLAGLSQDGGLSATWRNGTDCCKWEGIACRQDGTVTDVLLPSKGLQGHISQSLGVLTGLWYLDLSHNLLSGGLPVELMSSTSITILDVSSNQLNGTLQELPSSTPARPLQVLNISSNSFTGQFPSNTWKAMANLIALNASKNRFTGQIPTHLCNSSPSFAVLELSFNKFSGSIPPSLGNCFKLRELRAGYNNLSGVIPDELFNATSLEYLSFPNNGLHGALDGTHITNLRNLVTLDLGWNNFSGKIPVSIGQLKKLEKLHLANNNMSGELPSALSNCTNLITIDLKSNKLSGELSNVNFSNLPNLRTLDLWSNNFTGTVPESIYSCSNLTALRLANNKLYGQLSSRIGNLKYLSFLSLGKNNFTNITNALQILQSSKNLTTLLIGHNFWGEILSQDETIDGFGNLQVLDIQGCNFSGRIPVWISRAANLQMLLLCGNRLTGSIPGWISSLSHLFYMDVSSNSLTGEIPLTLTEMPVLKSADNTTHLDPTVFELPVYNGPALQYRVVTSFPAVLNLSNNNFTGVIPAQIGQLKVLAVLDFSFNKLSGQIPQSVCDLTNLQVLDLSSNNLTGTIPAALNRLNYLSAFNISNNDLEGPIPSGSQFDTFQNSSFNGNPKLCGSMLTHKCDSASIPPSSTKPRHKKALFVIAFGVFFGCIAVLLLLGRFIVLIRTNGIATRDRRENNGDVEATSFYSSSEQTLVVMRMPQGKKEENKLKFADILKATNNFDKENIIGCGGYGLVYKAELPDGSKLAIKRLNGEMCLMEREFSAEVDALSMAQHDNLVPLWGYCIQGNSRFLVYSYMENGSLDDWLHNRYDDASSFLDWTTRLKIAKGASLGLSYIHDVCKPQIVHRDIKSSNILLDKEFKAYVADFGLARLILPDKTHVTTELVGTMGYIPPEYGQAWVATLRGDMYSFGVVLLELLTGRRPVTVLSTSKELVPWVLQMRSEGKQTEVLDPTLRGKGYEEQMLKVLETACKCVDNNQFRRPAISDVVSSLASVEADP >SECCE1Rv1G0048860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637718065:637723161:1 gene:SECCE1Rv1G0048860 transcript:SECCE1Rv1G0048860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTGSRGPAGFSTQANALLRKNLCFQKRNLKTNVCITLFPILLCVLLVLLQGAIDREIDKPKYRCGCACVDAAADGSCRRTECGVQHSTLDQVASCPIPNPPRWPAVVQLPTPESRAVRAASQPFDGLPAQTCRDAGSCPAAFLVTGANRSLAESLSGQLFPALSSSLNFTDYLGALSKIVPGSDTTPEFRQLLEPAFTPGNTLYVVQPQCRSNLSQTVSVNAGIIPLQLNIECIQGLMLWRESESIVNDELFKGYRQQRESGREKANEFAAGYNFLTTNKDSLDISIWFNSTYSNNTAVAPIALLRVPRLVNMASNAYIKFLRGSGVEMLLEYVKDMPKVGTKLKFDLSSLLGALFFTWIVELLFPVVLTYLVYEKQQKLKIMMKMHGLKDGPYWMITYTYFFALSAVYMILFIIFGSVIGLRFFTANNYGIQIVFYFIYINLQIALAFFAASFFSSVKIAAVVGYIYVFGSGLLGAFLLRFFVEDNSFPKGWLVVMEIIPGFSLYRGLYEFGQYAFSGTAMGTNGMEWTNLSDPVNGMRTVLIIMVIEWAILLPLAFYLDQASSLGGGLRKRLLISLKCFKKRAASIRRYSFRRQGSKVVVEMENPDTTQEREVVEQLLLEPSANHAIISDNLTKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTAYVHGMDIRTDMNEIYTNMGVCPQHDLLWETLTGREHLLFYGRLKNLKGAELLKATDDSLKSVNLFRGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWSVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCIGHPKELKARYGGTYVFTMTTSPEHEQEVEQLVHRLSPNANRIYHISGTQKFELPKQEVKIADVFSEVESAKGRFSIHAWGLADTTLEDVFIKVAKGAQAFNV >SECCE7Rv1G0501230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:680843444:680845042:1 gene:SECCE7Rv1G0501230 transcript:SECCE7Rv1G0501230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARSILVALLAAVCFAISTAAAATSVNTTGFAGCLAVHLPPGIVYTQSSESYPSVLQFSIKNLRFVTPATPTPLVIVKATHATHVKVAVRCGAAHGVGVRPRSGGHDYEGLSYRSLDAARPFAVVDLAALRAIHVDVQSRSAWVESGATLGELYYGIANKSARLGFPAGVGPTVGVGGHLSGGGFGLMLRKHGLAADHVVDAVMVDAKGRLLDRAAMGEDHFWAIRGGGGGTFGIVLSWKLRLVRVPATVTVFTVHRPRNQSASDLLTKWQHVAPALPRDIILRVVVQNQDAQFESLYLGRCAGVVAMMTEMFPELGVTRRDCIEMSWIESVLYFAFYGTGKPKELLLDRGTKPDRYFKGKSDFVQEPIPRDVWESTWSWFLEDGAGLLILDPFGGRMGSVAPSATPFPHRRALYNLQYYGFWWDNTTKASEQHMGWVSGLHRKMEPYVSKSPRGAYVNYRDLDLGVNEYGGDGAGTSYEKARVWGEAYFKDNFERLAAVKAKVDPGDFFRNEQGIPPLRLPGGNGTTRN >SECCE7Rv1G0500600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:669804904:669806203:1 gene:SECCE7Rv1G0500600 transcript:SECCE7Rv1G0500600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCLNPVASPYLPMTLAPTWCYPSPFSYPPPPPPLSPAHGWPFAYGGDWCHTVGMPSFPLQTAYGYPAPLMVYCCTAPPPPPQATTRCRITEIIEDGGEVAAKDEVWDEPSPRSVLTPWSREPPASPLPPRVPLLRPPPRTSSAGKPRRRQWPRLAFNPKENNTSLMIRNIPNKFMKRRFMAILDQHCAEENAKLSGDGEGVRSEYDFLYVPVDFGTRFNKGYAFVNMTTAAAARRLHAHLNGHRWEAAGSKKVCDVVHARLEGLDGLVEHFSTSWFPCDGRKDFLPVRFEPPRDGVRQTVEHVVGHLQPRRPC >SECCE1Rv1G0000790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2530156:2530935:-1 gene:SECCE1Rv1G0000790 transcript:SECCE1Rv1G0000790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDELKLLGTWASPWAARVKIALHLKGLSYEYVEQDLDNKTDLLLTTNPVHKKVPVLIHNGKPICESVVILEYIDDAYGTVGPSLLPADPYERAIARFWVDYIEHKLVIPWKVAFTANGEEEKTEGIKQMLAGALTLEGALKECSNGKPFFGGDSIGYVDIALGGLLAFLQGTEELCGTKLFDIANTRLLIAWVERFIALDAAKVALPDVDKLVEFAKIRRAQIAASIKN >SECCE2Rv1G0104120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:601222135:601226608:-1 gene:SECCE2Rv1G0104120 transcript:SECCE2Rv1G0104120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMQMANPSAAGGPGICSDALFRELWHACAGPLITVPRQGERVYYFPQGHMEQLEASTNQQLDQYLPMFNLPSKILCSVVNVELRTEADSDEVYAQIMLQPEADQGELPSLGPEPQELEKGTIHSFCKTLTASDTSTHGGFSVLRRHAEECLPPLDMSQNPPCQELVAKDLHGTEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRHMRQVNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSQSEFVVSVNKYLQAKKQNISVGMRFKMKFEGDEALERRFSGTIIGIGSTPTMSTSPWADSDWKSLKVQWDEPSSILRPDRVSPWELEPLDAANPQPPQPPLRNKRPRLPASPSVVPELAPKFGLWKSPAEPSQTLSFSEPQQARGLFTNSRFSPSSNIAFNQFYWPARESREDSYAGSTNKVTVERKLEPTAGGCRLFGIEIRSAVEETQPVLTVSVDGYDQTAASVDVDSGELSQPSNINSSGAQAASSERALLETQSRQVRSCTKVIMTGMAVGRAVDLTKLYGYVDLHRKLEEMFDIQGELCSTLKKWQVVYADEEDDMMLVGDDPWDEFCSMAKRIYIYTYEEAKQLAPKATKLSRVNSSHESVTPQSGSDHPASFANADC >SECCE1Rv1G0000760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2322262:2325012:-1 gene:SECCE1Rv1G0000760 transcript:SECCE1Rv1G0000760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAILLAVSKIGTIVLNEVVTDVVQKLSRKLDALKELPAKVQRIEIELNTMNDIIQDLGSTHLNNNVIKGWIGNVRKLAYRVEDIIDKYSYEALKLKDEGFLHRYIITGSRHVKVFSKIAEEVEEIEKDIVQIKGLPKYWRDTIQPTKNEHAKIDKQRSGSCFPELFSDEDLVGIDENRSKLIEWLATKDKESTVITVSGMGGLGKTTLVKNVYDREKVNFPDAHAWIVVSKEYDVIDLLGTLLAKIRHTQESTTPPPLSMGAKADVYDLTEAINKILQDKKCLIVLDDVWNKDAYNQMCNAFQGIQGSRVMITTWMEDVAALAQPKRRLVLQPLGSTESFKLFCSRAFHSNLNRECPLELKAVATKVVERCRGLPLAIVSAGSLLSTKQPTEHAWNHMFNHLRSELRGDNHVQPILDLSYHDLPGDLRNCFLYCSLFPEDYAISRESLVRLWVAEGFVVKKENSTPEEVAEGNLMELIGRNMLEVVERDELLRVSTCKMHDLVRDLALAVAKEERFGSANGPKEMIRMDKEIRRLSTSGWRDSKAIVGVKFPRLRTIMSLTTASPCTNMFSSVLSGSSYLTVLELQDSAITQVPASIGDLFNLRYIGLRRTNIQSLPHTIDKLSNLETLDIKQTKVEKLPPGIVNIEKLRHLLADRFADEKQTEFRYFVGVEAPHMTSNFQELQTLETVHASKDLSLQLQKMMKLQTVWVDNINASNCDDLLKTLSDMPLLSSLLLSACDENETLSFQALKPVSTNLHRLIIRGGWADGTLKCPIFQGHGKSLKYLALSWCNLGIEDPLQLLALHLPDLTYLSLNRVSSAGILVLSAGCFPKLKTLVLKRMPNVKQLEIKKSAIPEIDGIYIVSLSKLNMVPHGIESLETLKKLWMLDLHKDFKAQWNLNQMHNKMKDVPELRV >SECCE6Rv1G0442350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814930666:814933176:1 gene:SECCE6Rv1G0442350 transcript:SECCE6Rv1G0442350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAGAPRVRSLNIAAPEADARPVLVPGGNKAPAAARKPSPKPLRKAPEKPPAAAAAAKEDGDGAKKDAAAATAGGARKGGPSPLPSPRRTPPRKPHDAPAVVLDASCSSEASVESLRGRASGGRTERSWSRPAAPKRGKAACKAVEKHADVAEVVAPATPEAVQGKRRCAWVTPTTDPHYVTFHDEEWGVPVHDDRRLFELLVLSGALAELSWPEILKRRQNFREIFMNFDLIAASNINEKKLVAAGSIARSLLSEQKLRTVIENARQIVKIADEFGSFSQYCWGFLNHKPIVSKIRYPRQVPVKSPKADMMSKDMVRRGLCGVGPTVVYSFMQVAGLTNDHLVSCFRFTECKLSPTLAMSDADRARQRQNEKQMCGERKTVAMRRP >SECCE1Rv1G0056310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:686199697:686199930:-1 gene:SECCE1Rv1G0056310 transcript:SECCE1Rv1G0056310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGSNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE1Rv1G0036710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:511672770:511676909:-1 gene:SECCE1Rv1G0036710 transcript:SECCE1Rv1G0036710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVKSALLSGHRPHRLAAAAPQQLAATASFHSTPPLQRKRKTQWHHRFNYYAKRRRNRDTKRTMIRNISEYAEQLFDSWRDEDEKKDASSGPSWFRGHRWVRDSKNNGFRPHEFFYDTVKSRGGFEFCTSDDDDEPETVFRNAFRGNQHTYYWSFESDDFPRRNSRRSHSESSGHWSYETDDEGEISTQTEVSVARQALGLSTSGPLKLEDVKSAYRTCALRWHPDRHHGSSKATAEEKFKRCSAAYQTLCDSLATA >SECCE4Rv1G0291690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:877633800:877635335:-1 gene:SECCE4Rv1G0291690 transcript:SECCE4Rv1G0291690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g28690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G28690) UniProtKB/Swiss-Prot;Acc:Q1PFQ9] MQETNSHCRPSCSVTPRVPRYLRTAAALAAAVQSLINAPPPRRESQSLHAQLLTSGLRPTADLSVKILILHLRCGSHRNARAVFDGMPRPTGAAHNYLVAGYFRLGLPGEALAIVRRRLARSTGRLDVFALSMALKLSAALALPRAAREVHARVMRSLAQLDDVLFAALVDAYVKNASLGYARRVHGMVPKPSVVCSTALIVGCMNHGLHRDAEAIFDRMEEKDVVVYNAMLEGYSKTEETAESSLEVFKAMQRARFRPTVSTCVSVLGACSLLSSPELGEQVHCQVIKSKVISDIKAGSALVDMYSKCGRVEEGRRVFDGMAERNVITWTSMIDGYGKNGLSDEALLLLEEMRGQQRGARPNHATFLSALSACARAGLLSRGQEVFQSMERDCSLKPRMEHYACMVDLLGRFGSVRQAYDFVRGIPARPNTDVWAALLGAATLHGDVDIANVASREVFELSRAGRPGAYMAFSNTLAAAGKWDSVHQVREMMKQRGVLKDAACSWVGSDN >SECCE3Rv1G0165920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:180483858:180489714:1 gene:SECCE3Rv1G0165920 transcript:SECCE3Rv1G0165920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAKDRCPLCMETMDLTDKQLKPCKCGYEICLWCWHHIMEMDQKDECGGRCPGCRSTYNKDRILGTSISNQILKELCADKSNLQHEQTKLQKQKPATNQLRVAEEPIDHPDNVRVIQRKLVYIVGMPSEFASEKILRQKSFLGQYGKIENIIIDNIGANQQIPDSGRVYVTFSREEEAVLCIEAVNGYILDGRPLKATFGVTRYCHIWLSNKVCQKPNCSYVHQKAQPEDVCAKDDVGMFCARIQHSLGMNMKGPQQRSGVTLPSPGACNSGTAICSGISKDKNCVNDVVVPRVCNKNPGTLPAAIPRDSVAPSGKPPSIVNTALHQQNNHEIVLSQQKQVASKSQELPPLRPKDQLASSDDKSNTSVHLGNGISDSKKMASEVNGTVETSWRKPHYANIVSQGSSGPARRLTVLTRELISTETRSKVTGQVGSWISSKAAGQACSSISSTDTRSKAAGQIVSSISSTDTRSKAAGQVVSSTSSTDTRSKAAGQVVSWTSSTDTRSKPAGQVVSSTSSTDTRPKATGVTWISNFKKLGLPKDEGNDRTAIPRYQAVKGVSQRPEEPSHRLTSQPSSAVVKPHVRAEEKNACSDTRDNPVQVKHMQATVSTASASATALESLSVIPTMLSNLSSSNVVSQTSSGPDKVSNVHRKLASENQSQILHQQKAALSNKAVASGSILGNQVVGTDGKHQSSSQGGDHSLCNGEMTLLGDNIPSQHAEKIRLPRPVSDILAKDIQGRKRLVCPPGFSKLHKSSDSGKSVSTSSSTCSALRSTSDALAQDSCSVTDQPDIISWVSECLEDDGDHKESSRVSISSTLSSTDTIWRPTQAPGPSFGAPNHCQLPPYHGGLPQSMGGDQNPMRCCCTFPSVSNRPNQMPEYWNGSANSYMAPGGYDTFYQNWTNGSADPGLNSAQVDLSYSMYTLF >SECCE7Rv1G0473330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:156465547:156468260:1 gene:SECCE7Rv1G0473330 transcript:SECCE7Rv1G0473330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKGSPPPSAAGSSSAGNAPAPVGPVPRPPEVAPFLTKVYDMVSDAATDRVMSWSDAGNSFVIWDAHAFERDLLSRHFKHRNFSSFIRQLNTYGFRKVDPDRWEWANEGFLRGQKHLLKTIKRKKRPSHEAGGELEQAPVKAAPGTENIEIGRYGGLVKEVETLKRDKALLMQQLVDLRHYQQSSNLEVQSLIQRLQVMEQNQKQMMALLAIVVQNPSLLNQLVQQQQQRWWKDDGNKKRRFPALQQGPVTDQETSGAGAEIIQYRPPAPETSSQVIADEAFLSATTQPISSTALNMPMDIDTQTTSDNLNILGSSGDLFADMPALPDFDDMHLWFDEDGEPTLSIQDYDESPQSGQDCQMEAQHNYNNSQHADAITEA >SECCE5Rv1G0321670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:408296891:408304297:1 gene:SECCE5Rv1G0321670 transcript:SECCE5Rv1G0321670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGNDRRDAALGGLAVLPDELLCAIVDLLQPTDIGRLACVSSVMYILCNEEPLWMSKYLSVGGHLEYKGSWKITTLSRLSLCSGNSELEQKARHFDGFNSLFLYRRWYRCFTTLSSYSFDNGHVERKDDLSLDHFHSQYDGKGPVLLGKLAETWPARTKWTIQQLVHDYGEVTFRISQRSPKKIIMKLKDYVSYMELQHDEDPLYIFDDKFGETTPALLEDYRVPHLFQEDLFGVLDYEQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWALYPPGRVPGGVTVHVSDEDGDVDIETPTSLQWWLDIYPHLAEHEKPLECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNQSNFEHVCLDMAPGHCHKGVCRAGLLAVPGKSIRDIENHPPGTTSAWNHNDMTRAEKRLKGSGSVRAPNSANQCASFEFSDVHESLENQAFSYDIGFLSQFLEKEKDHYTSVWSPTNPIGQREAREWLRRLWVLKPELRGLIWKGACLAISVDKWYACLEEISACHSLPPPSEDEKLPVGTGSNPVFIVSDNVIKIYAEGGLGYSAHGLGTELEFYDLLRKVGSPLINHIPEIIASGFLVYEDGIYRTVPWDGKGMPDVLVKYYPLELSYANSCFPLGLWSKQQFGMDSSTECSNKPIWPYMVTRKCKGDIFARVRDTLSKADLLSLASSLGVQMRNIHLLPLPHGEPLPKPEDNNVRDSDPPEWKQVISTLNGRKNNIKKHLANWGGTVPTVLIEKAEEYLPADMSSLIKFVKDDDGDSVYTFPCWIHSDIMDDNILTQRSLTDAKSTDDRDLEKLDAINIIDFSDLSIGDPLCDLIPLHLDVFRGDIDLLREYLRSYQLPFLRGKSNNDIYKSVRNSKFSTASYRAMCYCMLHEDNVLAAIFGLWKELRSATSWEEIEHLVWDDLNRYQQSSPTPAS >SECCE7Rv1G0500350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:666009244:666010800:1 gene:SECCE7Rv1G0500350 transcript:SECCE7Rv1G0500350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 711A1 [Source:Projected from Arabidopsis thaliana (AT2G26170) UniProtKB/Swiss-Prot;Acc:B9DFU2] MAEAGEWLPCVSTLAACLLGFALYFYEPYWGVRGVPGPPALPIVGHLPLLALHGPDVFGALAKKYGPIFRFHLGRQPLVIVADPELCKEVGVRQFKSVPNRSLPSPIAGSDLHQKGLFFTRDERWSAMRNTIISLYQPSHLAGLIPTMQRCIERAADTIQLNGNVDVDVDFSDLALKLATDVIGQAAFGVDFALSAPPEQGGREAAEFIAEHVHSTTSLKMDLSASLSIVLGLVAPALQGPARGLLRRLPGTADWRIARTNERLRARVEEIVASRERDRDKRREQRDFLSALLNARDGGGDKMRELLTPEYVGALTYEHLLAGSATTSFTLSSAVYLIAGHPEVEAKLLAEVDRSGAAPPTADDLQRNFPYLDQVIKEATRFYTVSPLIARETSRRVEVGGHALPKGTWLWLAPGVLARDAAQFPEPGEFRPERFEAGCEEERRRHPYAHVPFGLGPRACVGQRFALQEVKLAMVHLYRRYVFRRSPRMESPPEFQFGIVLGFKHGVKLRAIERRSPA >SECCE6Rv1G0406690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:549090685:549093032:-1 gene:SECCE6Rv1G0406690 transcript:SECCE6Rv1G0406690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSVFVLALLLLPVVASAGHHDYGDALHKSILFFEGQRSGRLPPDQRLRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHKEEARKAVRWATDYFMKATAKPNTVYVQVGDAFKDHSCWERPEDMDTPRTVYKVDRSHPGSDVAAETAAALAAGSIVFREADPVYSQRLLDRAMAVFKFADRYRGAYSSSLHAAVCPCYCDFDGYQDELLWAAAWLHKASRRREYRQYIKRNEVVLGASDSINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRANANNFMCTLLPGISDHPQIQYSPGGLLFKVGGSNMQHVTSLSFLILAYSNYLSHAGAHVSCGAGRTAPPTKLRQVAKRQVDYILGDNPLRMSYMVGYGPRFPRRIHHRGSSIPSVAAHPARIGCKAGAAYYASAAPNPNLLVGAVVGGPSDASDAFPDARAVFQQSEPTTYINAPLMGLLAYFSAHPNPAEWADD >SECCE2Rv1G0103640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:593029374:593039845:-1 gene:SECCE2Rv1G0103640 transcript:SECCE2Rv1G0103640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVDFLVGIGMKEEDIATMLFGEKVTELREDTFDGSEQEKQILEGIFGSGQTTSLPGTIKALVPASTPSSSASSNTMVYCRIVESFTHGSLSSYHVLYHNASHQMQNAMPFTDHARPSESELVAHQTPPSADRVYTRRAVIRRSQRAKQCSVVDWEKVDINSVVTQRRDGRGGFGMLWNHLRLHAHLLMMDAGWKIEGRERGDKSKVDLMFESPDKEIRLASLSKAWKCFGQWLLIHSSRFDGNYYGKEWFNMYDFCYDLKNTLLCLEHEVRRPKQSLSFLHQWQLLDPFMAVVCIDKKVAALRNGVALKAMNSRVTILSCSDIKLLSRLSRRNVNKPVAIKYSSNHNHTHPKSRRSLLPLLLSDGQPHKEENSLHNEQSIIFGTSKHSQYEVQQRYLTMEEMNERSVRNIPHRIVKGFQDATEHSSMPTCFSTKREFPYSKMVQDIRDESDPLYFPPSYASDHLVENVQIKGPSSHAGEVMEIAANIGNSAESPSDELLIRPDLLFSQEVDEMILGTTDGVSSEHHVAAVVSDYQAGNEDVRGAPSSGTLPLLEEKGAHLEAIRDDVNNEHNVVVPGFQAGNVHVREEPSAGALSLLAEKDTPLEAIRDDMNNEHGVVVVPGFQVGNEDARGGPSSGTLSLLTEKDTNLEAKDMSLEQMTKTGWVPSGATGGPLKISEPQVLFVSPQDGRLSFMNNSTFNQEVLSCLDFSHDSMGANMQLDIQTSAYEASLIQGFLYLDSEGSPIGWEVINPEPPEQLICGPSSEPNSKVSGHRGELNMQNEAQTSEQREISESDPSKNGQKRSEKVAGIKDDVRRKKQKANSVHASHCAIGKNMDITTEVSASCVVRNGEEQIGATSSEHASLNQKRSQEAADIQDEVRAKKQKVNDEKKEKVNDGLISDSIIGQYMDSSTAENPTGCVTRCEVVQISASSSEQVPVTLVPEIKEHKEQAEDSSEPPKQLVSEQPPKKDVKFRKKEPWTRKCRFDEDDLLMTAVIHRLTARYRNHYNRMLINRIGLKSLPRSRLENEKKCGQQKFPKSARTALSKLLEMGIVCTMNILQYRRPGPKNVLKDGNITEHGIRCRCCDTIFTSSNFRCHAGLKQEIPTLNLFLGSGKSYSLCQLQAWFIEQKKRKEHAKNTMSVQADENDDTCGLCGDVGELICCDNCPASYHQACLPCQEVPDGSWYCSSCLCNVCGEVVNSKAPGGLLHALECSQCEYRYHVKCISGKVVCDQRSGFGTWFCERRCQQIYTSMRSRVGMPDHLDDGFSCTILRNNDDQKVHSASDIALLAECNMKLIIALSILEECFLPILDPRTGIDIMPPVVYNWRSDFVHLDYKGFYTVVLEKDDNILSVASIRLHGTTVAEMPLIATCSENRQQGMCRQLVDYIEEMLKSLEVEMLLLFAIPNIVDTWTSAFGFRPIEESDKRKLSKVRLVSIPGTVLLKKDLYERSSETEAGELRTEQPHPLEDTGPVCAMETNPPRADPSSAVALGKCHVN >SECCE3Rv1G0170460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:252895670:252896305:-1 gene:SECCE3Rv1G0170460 transcript:SECCE3Rv1G0170460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAATTAAPDKLRQPCRPRPAAQISSSKNRVPKAPVVIAHECPSAMRAHVLEVPAGRDVLSCVAAFARRGRCGALVLGAAGHVADVVLREPALVLRGTTEILSLAGCFFPSPSASAAGVAVFLAGPRGSVLGGAVAEGGLVAAGPVVVMVATFVAAAIDRLPLVKGDESSKADGSDVHGVAGQWRCGGQPLQQQCGWAPMCRKLGAKS >SECCE5Rv1G0326170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:473997423:473998547:1 gene:SECCE5Rv1G0326170 transcript:SECCE5Rv1G0326170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATSHERDWSSLPAEMLAEVLKHLRWSSHPSFGLVCRRWQSARALSPFYPAWITPLLLNAGHVGSTNVRYYSPYYHKNFETAYTLNSPGAKICCATGQHLTLCMKNLVLTEQLLTGDVCELPPIVFTRFDLVVYDGNRRMFGVDAAHDMLRIARCIKNNMGEWEDWEYTYFDPDGPKFSPSPDCNPVLHNGLMYLLGKDGGLAVYDETRHDEKFEILDKPNSFGFECEDSYLVESDQHQLMAVLVGHRGTPVNVVKLNEHTMEWEKIDDLEGRSLFTGTFSTTMKKTNFKRMQNKVFLPRFHNWPQTVPADLVKRDGELAFVPNSRCSRNTAKDDNCGTNMWTFELGQGEDAKEFWETEKAGYSIWLDFSTS >SECCE7Rv1G0481310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:282659016:282661009:-1 gene:SECCE7Rv1G0481310 transcript:SECCE7Rv1G0481310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional TENA-E protein [Source:Projected from Arabidopsis thaliana (AT3G16990) UniProtKB/Swiss-Prot;Acc:Q9ASY9] MEGDGGTAAAWMATHRGMYQRATRHPFTVSIRDGSVDLAAFKRWLGQDYMFVREFVAFLASVLLKCCKQSDSSDMEIILGGLASLSDELSWFKKEAAKWSVDLAGISSLSSNMEYCRFLQSFDDPEISYTVAITTFWIIETVYQDSFAFCIEEGNKTPAELLGTCQRWGSPEFKQYCQSLQRIADRCLGNAPPDVVKSAEEAFLRVLELEIGFWDMSSSQF >SECCE2Rv1G0138310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921060553:921061771:1 gene:SECCE2Rv1G0138310 transcript:SECCE2Rv1G0138310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGLLLAREVSDLCIGKPPIRWLPPSSTVARAVAELEADGGPGAAVAVWDGQDGAAVVGRVRMADVLLFLCAGDGSSLQATLADLLAAAGAPPVRRVEPDASVLEAVDALLGGAQSLVVPIRDHRHRRRPETAQLDAESMCWVTVEDVVRFFLGSVALFSQIALRSVSDLGAVRPACSALAVAPGDDALSTITPLLRTALATHASVAAVSGRCLVGEISPWPLCTLDASIASSFVDSGRGTREAAIRPRNLIDQSSPSSSSSSSPCASSSSSSSEDEHDDEKNVASAFATTSRHAKFSARCTKGIIACRRGSSLVAVMAQAVAHRVTQVWVLDDEPEEEEEELVGVVGLLDVIRVLRRHLLHAPPAESTAE >SECCE5Rv1G0365980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:810244566:810248536:-1 gene:SECCE5Rv1G0365980 transcript:SECCE5Rv1G0365980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSVCLPRPLATSRSAAPRCLHRRLRRLHPLGRGAAGMDALNVSSRDAEPLLHHAAAAAATAHRRLRVRTHAQGADESATDAGSGAAAGADGYSLAAVILPFVFPALGGLLFGYDIGATSGATISVHSAELSGTTWFNLSSVQLGLVASGSLYGALGGSILAYRIADFLGRRIELMTAAALYISGALITGLAPNFVVLILGRLLYGVGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVGGILFGYLVGSYEIDVVGGWRYMFGFSAPLAAIMAVGMWSLPPSPRWLLLRAVQGKGPVEDNKKKALSALRRLKGSSASEKVLTDEIDNNLSSIRAAYADQAEGSIFQVFEGASLKAFTIGGGLVLFQQITGQPSVLYYATSILQTAGFTAASDAAKVSILIGLFKFVMTGVAVLKVDDLGRRPLMIGGVSGITVALLLLAAYYKALSGFPFVAVGALLLYVGCYQLSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQGYLGPANVFLLFAAISLLALLFVILNVPETKGLSLEEIESKILKK >SECCE3Rv1G0155000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:63118966:63126849:1 gene:SECCE3Rv1G0155000 transcript:SECCE3Rv1G0155000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPARRVVEEEEEESSSSSGEEQQEQSDAGGSGSGEEAGGGEAEEEVDEDEIEAVTTGAGADEEEEEDGAEEDEEESDDDDEAKDTAGNPAVGKQERARLKQLQKLKKQKIQEILQSQNAAIDADMNKKGKGRLKYLLQQTEIFAHFADGSQAKEKKSRGRGRPSSKRRAVEEDDEEYLQEEEDALASSVGTHLLVQPSCINGQMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEFRGITGPHMVVAPKSTLGNWMKEIARFCPILRAVKFLGNQEERNHIREKLLQPGKFDVCVTSFEMAIKEKNKLKRFSWRYIIIDEAHRIKNENSLLSKTMRLFSTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQITGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKEIILKVGMSQMQKHYYRGLLQKDLEIINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIETAGKMVLLDKLLPKLKDRDSRVLIFSQMTRLLDILEDYLIYRGYQFCRIDGSTGGEDRDASIDAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLSGQKTVNKDDLLQMVRYGAEMVFSSKDSTITDEDVDRIIAKGEETKAALDAKMKKFTEDAIKFKMDDTAELYDFDGDKEENNADFKKIVAENLVVELPRRERIKNYNESECFKQALRQAAPAKPKELRIPKMPTLHDFQFFNSQRLNELYEKEVRHLVQSNQKKDTIDGEDEDQVEPFTAEELEEKQRLLEAGFGTWTKRDFNTFIRACEKYGRNDIKGISSEMEGKTEEEVQRYAEVFKERYKELSDYDRIIKNIERGEARITRKDEIMTAIGKKLDRYKNPWLELKVQYGTNKGKFYNEECDRFMLCMAHKLGYGKWDELRSAFRKSPLFRFDWFVKARSAQELARRCDTLVRLVEKENQEYDERERQARKEKRLAKKMTPTKRAGETAPAASSKKRRQSLMDDFLGSGKKGKA >SECCE5Rv1G0328660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509362605:509363209:1 gene:SECCE5Rv1G0328660 transcript:SECCE5Rv1G0328660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMMAMSSFAGAAVLPRGSAGRFGARSLPALGRRAVVVRAQTEGPSAPPPNKPKASTSIWDALAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYSVAVLSVASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEIITGAPFINV >SECCE2Rv1G0082670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:155120806:155122357:-1 gene:SECCE2Rv1G0082670 transcript:SECCE2Rv1G0082670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIINWVQNRLNTKQEKKRSAAGAGASSARNAPAREKSCRGQADDELPADWSMLSIGTLGNEPTPAPAPAPDQAVPDFTIEEVKKLQDALNKLLRRAKSKSSSRGSTAGAGDEEQNLPLDRFLNCPSSLEVDRRLSLRLQGADGGQNGEFSPDTQIILSKARELLVSTNGNGGGVKQKSFKFLLKNMFACRGGFPPQPSLKDPVETKLEKLFKTMLQKKMSAPRPSNAASSSRKYYLEDKPMGRIQMDGRHEEEEEEEEDYNVEDIFKWDKTDSDFIVLEV >SECCE7Rv1G0516830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:848706478:848707101:-1 gene:SECCE7Rv1G0516830 transcript:SECCE7Rv1G0516830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKMHLSMPLPAGLENFPFTSFQEAFTVKLRAEQEAPILKAKVKKAKLKVEKAKVKPAVKAKAKAKAKVKEAKSKPEVKAKVKEVKAKVKEAEAKGKVELKTMTTEEEEALLKFVALLEKEEDFLDAKAEAEAEADKCGSKRKPELERDEEGAAFLAWIEATKPPTDQEEYSDGDVADGYDSQDDDIYERHVQANNARWPFLVGA >SECCE2Rv1G0101160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:555530096:555530470:-1 gene:SECCE2Rv1G0101160 transcript:SECCE2Rv1G0101160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEKPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE4Rv1G0253390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:625926870:625927655:-1 gene:SECCE4Rv1G0253390 transcript:SECCE4Rv1G0253390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVAAAAVLVLLALVLVPEACRAERFVVGDAARWTWGYNYTDWVVRKGPFFQDDSLVFTYDPPNATTHAHSVYMMRNLADYQSCNLKAAKLVAGVNEGAGAGFEFVLKKRKMHYFVCGERQGLHCTAGQMKFIVKPKSSVCRD >SECCE3Rv1G0194160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:777544580:777546555:-1 gene:SECCE3Rv1G0194160 transcript:SECCE3Rv1G0194160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQKAATRRALGDIGNVVNVRAAEGKPQPHEPANHRPVTRNFGAQLLKNAQEKAKNPAARPAVRRAHHVKPAPPPPEHVIEISSDSDVTKSEAGSVSSVRKYSRKKVVTTLSHVLSARSKFAAGITEEQALPAIQDIDKLDGDNQLAVVDYIEDIYKFYKVAENECRASDYMDSQVEINAKMRGILIDWILEVHQKFDLMPESLYLTVYIIDMYLSLQPVLRRELQLVGVSALLIACKYEEIWAPEVNDFILISDSAYTREQILKMEKAILNRLEWNLTVPTLYVFLVRFAKAAFSSDQKNDKEMENTVFFFAELALLQYGLVQSKPSMVAAAAVYAARLTLKRTPLWTDTLKHHTGFTEAQLMDAAKILVASHSTAPDSKLKVAYKKYSSEKLGGVALRPPATDLGK >SECCE5Rv1G0310060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:163862653:163863846:1 gene:SECCE5Rv1G0310060 transcript:SECCE5Rv1G0310060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETGATAASGSAAVKMTMVVGVDESEHSYYALQWTLLHFFSPGQQQLYRLVVVTAKPTAASAVGLAGPGAADVLPFVEADLKRSSLRVIDKAKELCTQVSDAVFEVVEGDARNVLCEAVERHHAEMLVVGNHGYGAIKRAVLGSVSDYCIHHAHCTVMIVKKPKHKH >SECCE3Rv1G0183330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:648715160:648727978:-1 gene:SECCE3Rv1G0183330 transcript:SECCE3Rv1G0183330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGARGPAAGPVPASARKLVQGLKEIVSRSDAEIYAALRDCGMDPDEAVSRLLSQDTFQEVKNKRDKKKEVNKVTPEPRSRGANNSNSRAARVGTDRTNRSSSVQSVSGGVDNVASRSSILGPGVPSTNSTQKPTVPSSFANKDVVPDGLCGASQSSSGFQQNWCGVPGQMSMADIVKMGRPQGRSSSKSMATADKAFAGQNPSLSNETNHNTKQSASTVAPTTFDQGFPALPDPIPQVINSNHAKADNHQTHEHVWFPQDGPPSQNQFTAPEPSGGPLSFVASLESLVLVADAVNLHEKSHTEDNTSIVKQTAIPSERHLEVLHDKVQFGDELLQNSSTYQSQMHSFADEVEVSNVDVDSAATNFQHLSLQKEDLAAANSADDNPAVIIPDHLQLENTDCAHLSFGSFESGAFSGLLPSKVPKFSVEEVPNSDETPAVDQIDIRNQDYYDNGALQSSANEDVETRIGTNIENIDAPSVSQPDILMQGALDVSGLQYNPPSVSDHVYPNTTQPSIMESQQGNAQAQHLSHFSSLLAQQANTLQSNFLGSNLTQHRDFDFSPFLSAQSAMKYNPAVPTTSYPISMQENLNQGGFSNTQSTQNPPSMSIPSGLPQQLSLHPYSQPTLPLGPFASLVGYPYLPPNYYVPPAAFEQAYSSNGPFHQSGGGGGAVPGSAMKYSMPQYKSGLPVTSPPQHSSAVSGYGGFGSSSNIPNFGQNQSASPATTMGIDEALSSQFKEANHYMALQQSDNSTMWLHGAAGSRAVSAVPPGNFYGFQGQSAQGGFREVQQPSQYGGLGYPSFYQSQNGMQQEHPQNPAEGSVNSSQTAPSQTSHQMWQHSY >SECCE7Rv1G0477120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:214578815:214581816:1 gene:SECCE7Rv1G0477120 transcript:SECCE7Rv1G0477120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEASVGMAAATVDGAAAVAARRYSTQQQPQPQQHHNQPKLGTTLHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATMRNTSIWREASRIVYEEGLRAFWKGNLVTIAHRLPYSSISFYTYERYKNWLQMIPGLDNNGGLGADVGVRMVGGGLSGITAASLTYPLDLVRTRLAAQTNTAYYRGISHALFAICRDEGPRGLYKGLGPTLLGVGPSIAISFSVYETLRSHWLLERPCDSPIFISLACGSLSGVASSTITFPLDLVRRRKQLEGAAGRANVYKTGLVGTFGHIIQTEGYRGLYRGILPEYCKVVPSVGLIFMTYETLKSMFAEGASDE >SECCE5Rv1G0347640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:668445617:668448322:-1 gene:SECCE5Rv1G0347640 transcript:SECCE5Rv1G0347640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATASKKPRTITAPGSGAGSPWKVTRSTAAVTSLADQFGTPEKMKKLTSSAAADLVTPEKTEPRPFPRRGRSGGAVRAVLDLGKGPAGVDALESVERELGVRAGAGRRPVKRRPEVKLPESYETLCEFFNCFESSTRLLRMKGSMATFTNICASIQNLAERRFTYGHLAQLKYIMPEAIIINKILLRDEKTCCMKPDLQVNLLVDSVEESAMQKGETRYSALRRMFRQRLVDFFRKHPEGDDIPEHELPHPSTQTKSNAAKITPRVVTEAETAVPSPSLAQQQSVAMSHMSQSFKRRFSQRASTCPGTSSTTSLPPKADSTAESPLSRKSLCSSTSGGIDHASQVQEKGAMDVALRFGVTEGTPAKFASTPLRSMAATPNLQTPQRPISATVCDTPPLKTVKRSARAKLFMTPTKDTGSMEEENQTTSTSAGDGDDELLNFLPKSLLQSVKEKEQIAFAEKETGYDDQVQRQKLISCLPSTFDTIFLIYQSGQRSVLAKQELINKIVESSPKIVDKGEVEEQLKLLLDFVPEWIREKTARNGDVLCCVDTTLSQAEVRQRLHGGG >SECCE2Rv1G0103630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:592745548:592756535:-1 gene:SECCE2Rv1G0103630 transcript:SECCE2Rv1G0103630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 4A [Source:Projected from Arabidopsis thaliana (AT1G10930) UniProtKB/Swiss-Prot;Acc:Q8L840] MQGSNKLNAGSSCNDKPPKVNWPHHANAIQSSLSKDDFLSSSFLFSLPTQRENPEANRNTMLSLRSAACKIQGPERLQVPWIEKAWRSVCNTHVTCKNYLRPGLSAKVKECGREFALTYATDSSYNANKLDNVPKSTFPSQESLQHRTESGILEQNNSHRLASTYTTAYQSNHVVGTTYQSSFARTDAMSCQTVPVADNMCADDKLDAMDDDEILASIDVDRIVMEHYEATNTPRGLTSRQMSTPPGNKRNFTGSDDNSLPQELSEICSHGYKLAFCPELNYHLQGMKDQLIAVSNKLLDGSGELNPQHSEELRQQRAHLNKQIKILGDYMARPTQDDERQRSHSMASTTAAEGHHPPMTPSTFVDNNRSQSQFYDMNGPRDGGSCYTPAPCTYMDSNIPLTSVQRDYTRRNIDISYTDGSGDKKWSSTDFPWTKELEVHNKRVFGNRSFRPNQREIINATMNGSDVFVLMPTGGGKSLTYQLPALIDEGITLVVCPLVSLIQDQIMHLSQANIPATYLSANLEWTEQQRILRDLMSPTSTCNYKLLYVTPEKIAKSDALLRQLEILYSRGYLSRIVIDEAHCVSQWGHDFRPDYQHLGLLKQKFPETPVLALTATATASVKEDVVQALGLSNCVVFKQSFNRPNLRYIVMPKTKKCLEDIDCFIRGNHPKECGIIYCLSRMDCEKVAEKLREYGHQAAHYHGNMEPSDRAAVQRLWSMDKINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYNYSDYIRVKHMITQGSAEQVRSSSSSSHGQALATHKENLLCMVSYCENDVDCRRLLQLIHFGETFDPSHCSKTCDNCKKGLRWIEKDVTNIAKQLVDLVLTTRQSCSSSHILEVYRGSLNQNVKKNRHDNLPLHGAGKNLAKGEAARVLRHLVTEGILTEDVKKSDTYGSVSSVLKANQVKVGGLRSGNQIILKFPTPDKAPKMGKLDESSISQVNKIVQRQSEMDENFSSLLYETLRILRSQIAEGTAGCGVHHIFKNETLKEISTRIPRTKEELLEINGIGKVKLNKYGDRVLATIEEFLNQFSNGSKRSSSSGGSNEQNEAAKKRRSFTAIDTSGNRDDFGDRTVQSKKHAGKARNSNQGVPDAASVIQDIRYIDVDLDGCEEVDEELCSSVQQPVASGRVLPKWTAGGNAPTPNIFEEFKYTN >SECCE2Rv1G0122640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:817563395:817565482:1 gene:SECCE2Rv1G0122640 transcript:SECCE2Rv1G0122640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRSMTLQLAFAAILLLILCSSTCSALQFAYQSFDAASEADFSFTPGATISNRSLQITPDAGDMAHRSGRVMYARDTLKLWKNGDRTALTSFRTEFVLNILPKNGTGEGMAFVLTNNPALPSDSSGQWLGLTNNRTDGAPANRVVALEFDTRRSFDADLDGNHVGLDLNGVRSVGQMPLSNYSIVLSRGSDVEVAFAYDGKIMSVVVAQAGLVFTYAWYTDLARYLLDNISVGFAASTGEFAQLNQVKSWNFTTVDDAVAGGDGGYRLRRQKVFLAVLIPLTVGVLLMALLVWRRLTRQTRLAYRNLEKMIDAHGPVRFKLRELRNATGDFSDGRKLGRGGSGTVYLGYLRRMSMEVAVKRVSTNVNSNRGEKEFVAEVNTISKLSHRNLVKLIGWCHKKGELLLVYEYFPMGSLDKLLYARERTASSMSASTDTPELTWERRYKIIRGVASALDYLHHGSSRRILHRDVKASNVMLDEEYNARLGDFGLARVVQHDGATHHSTQVVAGTRGYMAYESFFTGRASLDTDVYSFGVFVMEVVSGRSPSNAVQYQCIHDSDHRGEEEYWRGGRGRHPTPMHIVDWMWRLYGDGKGLHAADPLLGGEFEQAQVDCAVRLALACCHPNPRERPSMRTAVQVLIDGAPAPEPPLNKPAFVWPPGGNRQEMELPDVGLLFTGDAGQHSSFCSMSCSISGR >SECCE4Rv1G0278210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:807733538:807738916:-1 gene:SECCE4Rv1G0278210 transcript:SECCE4Rv1G0278210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPRSNLGLVPRDMNSSLPVSTTNSSGPSIGVSSLVTDGNSSLSGGAQFQQSTSMNADSFMRLPASPMSFSSNNISGSSVIDGSIMQQSPPQEQMQKRRASSVTSQPVIDAAAAFHAQKKPRVDIRQDDILQQQLIQQLLQGQSSLHLQGQHNPQLQALIRQHKLAQIQQQQQHQLSQQFPQHQHSQVGIPRQPQLRPPLAQPGVQLAGPVRTPVESGLCSRRLMQYLYHKRYRPDNNPITYWRKLIDEYFAPRSRERWCVSSYEKPGNTSAAIPQTSPGTWRCDICNTHSGKGYEATSEILPRLCQIRFDHGVKDEYLFLDMPNEFRLPNGLLLLEHTKVVQKSIYDHQHVTHEGQLRIIFTPELKIMSWEFCSRKHDEYVTRKFLTEQVTHMLRATQSYQATVTKNGPAGLSNDEAQKACNEFASASRQLAKNIDHHSLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNKLGPIDGLKNYPKQTAGPKLTVQNLHDSKAVKTEMSPHVNNEVPGVGAISNNPQNPAAQSNYQHLLRSSSANQGLLQQEASQNAAAMNSYQNMFRSSSANQGLLQQEASQNAAALNNYQNMLRGSSPNQSLHQQEASSIFKGPTAVHSGIQLEASRSFRAAQLGQFQQPISFQQGMPQHQHNNFQGLGASPQFQQHVINQLLQEAKNTNSRALAHQQQQQHQQQQQHQQQQQQQQQQQQQHQQQQQQQQHQQQQQQQQQQQSPSTPNANGGLASGAAVTNSAASTEQAQHMNNGTAKGAAPVGMTGPSNLINSGAGMVQRSSSFKSVSSNPAASGGNAVTPKAESVHDMDDLEHLISHELVESGLFMGEQPGDGGFSWNI >SECCE1Rv1G0056540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:687220694:687223623:-1 gene:SECCE1Rv1G0056540 transcript:SECCE1Rv1G0056540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFLKTGHGRDSRFSFRRRKSGKASSTPSSPLSSASSEDVVLDPGSPTMEPSSKAQTLPCARRALSRSSCGSRGKLSVDLIPPPLAGGPSDGPRPSTSDAPPPKPPPRPEGPPSDADMVKEKFSKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLQPMAPESKARWTKEIDWLLSVADFIVEFVPSRQVAEDGSTMEVMMTQQRRDLLMNIPALRKLDGMLLDYLDSFSDKQEFWYVKKNDNESEKGDTAEQSDKWWLPTVKVPPEGLSDSTRRWLQHQKELVNQVLKATMAINANVIMEMDVPEAYMESLPKNGKSTLGDSMYKLITDDYFSPEELIATVDLSNEYNIVDLKNRIEASVVIWQKKMQRDGKWSHGVSHEKRGRFEGRAENVLLLLKHRFPGISQSALDISKIQYNRDVGSAILESYSRTLESLAYTVVSRIEDVLHADSLTQDPKKGDSLRMPSLATDDTDTVVQDAKDEMGRLGRMEPVNTTLFDYVGPRDGTIETMILESQDPQGKRLSKVSQIGTKRYSYLDKLETNLGGTRSPISRH >SECCE4Rv1G0284350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:839419094:839424075:-1 gene:SECCE4Rv1G0284350 transcript:SECCE4Rv1G0284350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAPVTAATGALGPVIAKLDALLGSQYKLRLRTRKDVKFIRSKLRSMHSILWATWEKEDLDAESKDLKKEALDLTADMYDAIDDFIQTMECNRRNKRLIQRKSEASPFQDFKRRAGDVSGRYHSKCKWKKKNKYEHICSLFPRKNAKSNAPSKQPLPRAPFVHKDASELIGMGRWKDDLIGYLVGEGEEGEGGGESTMVQPQLKMASIVGMAGVGKTTLAHLVYEEIKNKFQSWAFVSVTPTPNMKEVLTDILQQVRPEPLAGIEESTEEDIIHAISNFLEDKRYLVIIDDIWHRGEWEIIRKSFPENNLGSRIVMTTRIDYVPGNDFDNNMLCNRMNPQWCVLPTETPEWDFFTKRSETKYRWLYGPDKEDVAAQMKPGMVGDGFDCDHPLVQMCAGVPLALLCMFSAMVMIRKQQQLGEHVSDRDVQEIVDKHIKRSGIQNTPGFEPLVESLQLGYNDLPHHMLKTCLLYCSIYPDDYRVNMNDMVKRWVAEGFIHNKDAAKGYFKELVGRGLILPAIYRDYQLNPMMRNFLRWKSREDNFITCSSDITSAYACSIHRLCIDHYPVDDGALIGLDWSQVRSLVVFEGAERLPFDKLERVRVLDLHLKRRSALANHHVEDMCRMLRVRYLFGLEGERNLEGGNISEIPPEIGRLTYLENLQLRRTCITKLPSEIGRLKKLKSLDVSDNGLLSELPREIGDLQHLETLCMSDTYITELPWESIGRLKKLKTLDMRHNGQITELPREIGELQHLETLDLSNNTSLTELPREIGKLYNLKRLLLNSANVSKIPREIGDLRNLKTLDLSYNGGLTDLPREIGNLQNLRRLLLRCTKVVKIPREIGGLKKLEILVLEKGGSLEKETIGALPWEAGQLSELEGVPEFARQAWKNSDLVSELAREILSIQMLSRVGDSGGLIVGTKHMHIPRWIKEHFKNLESLDIRIGKLEEQDLEILREMPSLCYLTLRFEVVPRMPITISSEGFRRLVELVLDSRMPPAITFQRGAMRMLGKLEFECQFYGGPPNKDPLGIKHLRSLWCVAFRCDIWYDEEDPCISTMIEVVRKEARLHPNKIKFHFSSYQHETFPANQSANDFSSDSESSELSESGSEKGEIEEVTFAANESAKVTSSSGAGAGEIEEETFAASQGTKVSNCGTSEIDDAYGGT >SECCEUnv1G0550780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:222490643:222491791:-1 gene:SECCEUnv1G0550780 transcript:SECCEUnv1G0550780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASMETALMTDPTAKLPDDILVEIISRVPYKSTRSCKCVSTRWRDLISHPDHRKKLPRSTLAGFFYRTYDLASRPYNSHGYRSVSGNWCPIDASLSFLPKYEDLELLDCCNGLLLCRCSKPYPEEPDYLVCNPVTEKWVTFPANDWSSSSYACLGFDPAVSSHFYVFEFVPAVAFNMNLKYEYDIEEVGIYSSKAGGWTHQIEWDDPVEIHNFSGGTFLRGVLYLCSVTNLVAAVDVEGNCRFIPIPTLHDALGGHDVYKSRGQLYLANYTAAEASIWVLEDSSGEDYWALKLNVSYLQLCRAEYSSSKEYYSVISAHPEDNVLFIAVQSTLSGYRLQMKIFSYGIDSKELRFICDLGWTSRRPYLSYVPLFSESLADGH >SECCE7Rv1G0480380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:269290337:269291437:-1 gene:SECCE7Rv1G0480380 transcript:SECCE7Rv1G0480380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAARLSGFFSAAMLMVVLSPSLQSFPPAEAIRSSQFDSHVRFPGQIAGGARGLPFRRAPSFRNAADCGNVTGNVCDPSLVHIAITLDEGYLRGSVAAVHSVVQHAMCPESVFFHFLVSDPSLGDLVRAVFPQLRFKVYYFDPARVRGLISSSVRQALEEPLNYARNYLADLLEPCVRRVIYLDSDLVLVDDVAKLWRTDLGGRTVGAPEYCHANFTKYFTHRFWSEEQFAGTFEGRRPCYFNTGVMVLDLARWRHAGYTRRIERWMEIQKSPPGRIYELGSLPPFLLVFAGHVAAIEHRWNQHGLGGDNILGSCRDLHPGPVSLLHWSGSGKPWARLGGGRPCPLDALWAPFDLYGAAAVEPSR >SECCE3Rv1G0214100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:964113207:964114382:-1 gene:SECCE3Rv1G0214100 transcript:SECCE3Rv1G0214100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDLNTHLPPRKRLLAGLRTAAAASPCDAAPAPEPPLLLPDGLAARLRAMPPASTQQEIIQAAQRAADAAAAAAAAARAAAVDKAAVAARARAAARAAMEFLDSLCIAGASRNGIQLLKPKSKKKHVQVKLLYRPPSSNGRAIEGAEDAVGGDVTPRPHRRRRESDEEVARKLHRVMNSSPRISFTGPKRPRGIAAGKDGFHSEGNGGDACNGSWTHTPTEAGELVNGGSSVGKSGERISRIRALDDDGEEYPWNTAKSSRHIADNGDGVGNLSAGRKVKIKRKQLFLNHHSNGEQIEEHNKQETEQSRDPPVGYCNELKSNGAEKRPGFADDAMAAPGGGDDPAPTKITSVWKFKKFKPASASHCSSDSKMLCSSSSAAETSASVKAD >SECCE1Rv1G0014710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:117061774:117064848:-1 gene:SECCE1Rv1G0014710 transcript:SECCE1Rv1G0014710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRQASLSSSDLMLSCEGFQKHGYEFPTTHVSHRLLYTTGAESCPSDNGNATAAKDSLSNNLNLKNDDSLGNIPCYDDFLPLEDDSVDTLTHDDGIGIVHRVSPMEYIKRLLLKRSTLSISSAELGVNTKLSMIPESSRDFRHSGDLCWHEYYHMSEVSQTVLPPKRYTRCEPLTAVRCFHEPQAMLQVFSIKLKAYLQAIGSSVEVYGFVAIRDGEDYHRNYLFNRPRTDPVTINTTSDYLPLMSPVRGMSMTFECLFEVDIRIKGDIEDVTLVDGCSDLIESHCLYDTEIECTMDDTNGAAIFDFIIFRGALEATIELNFTKVPTGGMEVKMCGYTAISKSLYDFMGEQRECDRFVTSAGKHPHYFIAAVPFEDTLFVDFMEGKLSIPFKAAVHGSQEREYHFSNGAVVSVTVSWSSTYY >SECCE2Rv1G0104960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:612958322:612962646:-1 gene:SECCE2Rv1G0104960 transcript:SECCE2Rv1G0104960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEARTPRRRHGSMALADLLLREASAERADAAGGERPGVAAGQAARARKGEDYALLKQGCERHPGASFSAFAMFDGHNGAAAAVYAKERLLANVLGCVPAHLTRDEWLAALPRALVAGFVKTDKDFQTRAHSSGTTVTLVIIDGSVVTAASVGDSRCVLEAEGSIYYLSADHRFDASGEEVGRVTECGGEVGRLNIVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPFVKQIKLSSAGGRLIISSDGVWDALTAEMAFSCARGLPPEAAADQIVKAAVDSKGLRDDTTCIVIDIIPPEKPKSTIHSRKKARNGFSLLKNIFIRKRTSDTLSHADTERTSEPDLVEEVFEDGCPSLLRWLDSEYPVRNMFKLFVCAICQVELESGQGISIHEGLSKPGKVCPWDGPFLCHSCQEKKEAMEGKRPSRDSSSRNSGSSE >SECCE5Rv1G0303810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:51358160:51360397:-1 gene:SECCE5Rv1G0303810 transcript:SECCE5Rv1G0303810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPAHALPLKSGKAGLEAPQEVLLHRVRITLSSTNVKNLEKVCSDLVKRAKEQPLIVRGPVRMPTKVLNITTRKSPCGQGTNTWDRFEMWVHKRVIDIEVTISQ >SECCE6Rv1G0380360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:22186642:22190929:-1 gene:SECCE6Rv1G0380360 transcript:SECCE6Rv1G0380360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPTKPLSPRPSRGRCRLCGLCLGSALLAIVVSTLVHLLSAPPQPAPSPRFSVIIDGGSTGTRAHVFSLAPDGRPDLARSAVMRVSPGLSSFAADTARAGESLRPLMEFAKEKVGGEGDAAATEVRLMATAGLRLLEESVREAILASCRNALRASGFRFEDSWAKVIPGSDEGVYAWVAANYALGTLGGDPHKTIGIIELGGASAQLTFVSDEVLPLELSTNFTFGGTTYTLYSNSFLNFGQNAAQHSYREILKSKGSSKNATLVDPCAPKGFSLKRKVMARTSGASRSNLENQYIDNGSGSYKECRSSSLMMMEEGKEKCEYQQCHLGSNFVPELLGHFLATENFYFTSKFFGLDRYSSLSDFVVAGEQLCNKDLSTLRQKYLNHSDEDFSRYCFSSAYIVALLHDSLGVPLDDKRIEYSNQVGGTHIEWALGALIANTKDRILGASGAAATPAHKHRPLLAVLGAFLACGIFLVLRWRKPKTKIIYDLEKGRYIMTRIS >SECCE7Rv1G0524260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885585858:885593962:1 gene:SECCE7Rv1G0524260 transcript:SECCE7Rv1G0524260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALSATQWVVAKALAPVTDGLLEALRDKLLLVKATLEIAGRKEIGGPAMEELLQRLRDLAHNAEDLLDELDYFRIHDELHNTHEAANEHGQSGIQDLTRDARHTAKAIGKQLASLTAYCFGANAGHPQQEDARQHVSCCAWKHGRRRSPGDSSSAPNHNQEVSGCMPKLGKLFPVSSSRHPHVSGDEDRGNAQETPKLDFNRVDFSQRMKYIVEKLQPLCKDVNKILQGCGPRIVPDIAHHRPTTTPQSAEPKLYGRGRVMNSIIHDITEGRYCDKGLTVLPVVGPGGMGKTTLIQHIYQNQQVQNHFPVRIWICVSFNFNLDRVLEEIKRYAPAVEGEKESIRTEELIEHRLKSNRFLLVLDDIWQFTDLDDWKKLLLILGKSQEKGSIILVTTRQKEIADHVKKTEEAKELNGLEPEEFRKLFLVYVFDAEQYPRDKLHLLDTGEEIMGKLKGSPLAAKTVGRLLRTDPSLAHWRRVLNSKQWAEQTNGIMAALKLSYDFLPFHLQRCFSYSALFPEDYRFRSRELISLWMGLDILTPCGQNPTFEGIGLSILNDLVIHGFFREEDTNGNLGYVMHDLLHELALKVSSHDCLHFRLSDVGSVEIKTSTRHLSISIDNLGAYNAVSGQKLMRELEKVKTGLKLFGAMDEGFAKIFGDSLGEANALRVLYLPNMNYPLESMLHNFSGLVHLRFLHLGYWNKSKLQLPLSISKFYHLRVLDLESWHGSGGFPEDISNLAKLCHYHTPRDDELHSDICNVEKLKLLEKLKVFRVNRKVEGFEPKQLEHLTKLRELGIYNLEKIQTEDEATQVKLIEKKCLRRLALYWDSEQLSVEPCMEGVVLQSLQPHEDLQVLCIRGYGGPSCPTWLHDELDLRELRLKDMARLKEFIIGKSFCMLIKLELIGLTSLEKWVYPAELEASLDGEQLPTDAHMFPLLEVLVIRKCPKFLGVSSSKPHSLPRLVSQATRA >SECCE2Rv1G0071660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:46534993:46538476:-1 gene:SECCE2Rv1G0071660 transcript:SECCE2Rv1G0071660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2L [Source:Projected from Arabidopsis thaliana (AT1G08400) UniProtKB/Swiss-Prot;Acc:Q8GXP1] MSQPPAATLRGFLDAHFASAEDLAAAPALAELLRRECAGLESSLGRLEAQLASGSASWLARSAEARSALRRIRSRGGDIPAADRGEASAPAVELPAIVREIQRIDTIRLYAEATLQLEALVGNLEDAAYSIVRQASKLNLSSVFRRATHGVERKQEKLLQSVDAVRDIERELVRISTSRPQWTNLIVAVDSRVDKTLAILRPQALTDYRALLAALGWPPSLSSPDMEKDKYSQVPNPLILMNEANKEKYSESFLALCALQHVQANREVRQCQMPAATTPSLSDSKYFDKTACLDNGLWAIDELVHPIVSRMEYHFSKWSEQPEFIFALVYKITKDFMDGVDDILQPLIDKARLVGLSAKESWVTGMVKMLLGYLETQIFPALVTSYHRTDDKLEVHSSWMHLNDQMITFDKRMQLLADSGIQKVALVSEGLSRSLSVFSIYIGHSDWLRIWADIELNSAQNKLKSELEDEASWLCSIDPQDELGHQESTAKFILSTREDYKAPPVSEFVVKTASAMIERSHALPTKGTKIQYCRSTSVQFLNDFFVLLHERCEALQLSNTALQDESLLKASYAINAARYCEYVVREWDEDTAFMELGAHVNYVDENQEQIHKHGAQRQCSFFADEIAFLVKLGTDFLEQIMSSILIEFEDLSWDYVQSIGSSNEVSQPDDQVPDEENLEVSPGFVASLEVLRERTTKLKLCLNSKDFLDLWRSIAEGLDYFVYSSIRWGQVKFSDPAVVQLRVDTKALLRIFRPYCSRPEAFFPFVTDSLKLLTMRDTDAQYLLEALKNGKDDGKSGLRQHGLHHVDASQAVKVLRSRTSGG >SECCE2Rv1G0087320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:224233589:224234695:-1 gene:SECCE2Rv1G0087320 transcript:SECCE2Rv1G0087320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEHFLDMLNFGVSPQVPGSPVGEQEMPPTQEASASAKAKSTKGKNWSSQEDELLVAAWLHTSLDAVIGTDQSSNSYWGRIYDYYSTRKKVSWPVRVQNAITCRWSTINEQVSKFCGCYQQILNRNQSGVTIAQQQAEALVLYKSKDPKNRPFALMHCWLELQKHPKWENRVVSKGPLKKQKKTSDASPGTTSNDEDFGCTDALDTEIRPDGYKRDKERLRKVKASASDALAPKLSLETVWAQKLEKAEVKEAAKNARYERAFELQEKQIALQERQMENQERERQMVNQERERQMTIQEREMAQKQFELEEKIMSMDTSTMSGAQQQFYIDKQNEIVARR >SECCE5Rv1G0342640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633071143:633072555:1 gene:SECCE5Rv1G0342640 transcript:SECCE5Rv1G0342640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVEALPRRPRWLRPARRADDNEDSPTPPVTVLLDPLAYIDGERNDTTAAAARSNGSPIQVTFWIARPPRVSHLAVHCPGLAPDAFPELPLVVATDDDLALIHISVSRRQRFGDGRADELFVYRAGAGGRPPLLLLIPDAQYQLYHEKKIGILSCRADDKFFLAALVSDPYTTFERHTTRYINLFDSETLAWSNKLVHVDWPTDKKYKYAVTNKVITIGGRHGSIGWVDLWHGIFVYDVLRGSNNDVLRFIPLPSTPESDLQLQSMSQTYVYADGTTEHDTSDSDLEDMGPTVRDIIGGGGAIKYFSMYSPGVRTTDVSGDWQADTWRMKDPWVKWQQGRHLKASKIMVDHTTHSSLLPEEPDDEDAVEKPVLIRLRVCNPILSRHDDDVVYILTKPMHKDHKACVIAVDIRAKALRGVDEFDAGRATQRSTRCIPPYTQTSIFRRMGTEVEEEEEEEQWIGHSFYKQK >SECCE7Rv1G0454550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:3103085:3105470:-1 gene:SECCE7Rv1G0454550 transcript:SECCE7Rv1G0454550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP46 homolog [Source:Projected from Arabidopsis thaliana (AT3G46210) UniProtKB/Swiss-Prot;Acc:Q9LX74] MEGGEERAGGRKANQLRAYSCTRNPVERAHGSARWAQGDTVVLAAVYGPRPGTRKGENPEKASVEVVWKPKTGQIGRQEKEYEMTLKKTLQSICLLTVHPNTTTSVILQVIGDDGSLLPCAINACCAALVFAGIPMKHLAVAIGCGVLADGDVILDTSKAEEQQLKSFAHLVFPNSSKSVDVKESQQKDGQSERGLITSITHGVMSEDDYFNCIERGLAASARISDFMRTTLQKHTPDYL >SECCE4Rv1G0279750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:816541942:816544951:-1 gene:SECCE4Rv1G0279750 transcript:SECCE4Rv1G0279750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVATAYTMAGENEEDGSTVFRPGTAASSPVRTVVALALWLGAIHFNLLLLLASVFVFPGRIAALVLGTQLFFMFAPVSSTSRWGQNVARFICKHAVGYFPITLHVEDYDAFDPNTAYVFGYEPHCAMPLGLWVLAAPMGFMPLPKMKILASSAAFYTPFQRRIWTWLGLVPASRKNFSNYLGAGYSCAVVPGGLREMLYMDHEPDSEVAFIRSRKGFVRIAIQTGCPLVPVFCFGQDRLYNWWRPGSNLLVKIAGVLKAPAIVFWGKFGTFIPFGLPMHVFVGRPIGVTKNNQPTMDEINEVHEKFVMALQELFNKHKYKAGCPNLQLQVI >SECCE4Rv1G0252050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:611613663:611616438:1 gene:SECCE4Rv1G0252050 transcript:SECCE4Rv1G0252050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRPFRPSAPRRAAFAALLTLLLLASLAFLLSSAPAAASSRSSSASSPPSRLAAIRRHAADHAAVLAAYAAHARKLKEASAAQSLSFSALSSDLSELSSRLASRGSLPEDARKPLEKEARERIKHARLLAADSKEGFDTQSKIQKLSDTVFAVGEQLARSRRAGRMSSRIAAGSTPKSLHCLAMRLLEARLAKPSAFADDPDPSPAFEEPSLYHYAIFSDNVLAVSVVIASATRAAADPSRHVFHVVTAPMYLPAFRVWFARRPPPLGVHVQLIAYSDFQFLNASFSPVFRQIEAGKRDVALVDYLRFYLPDMFPALQRVVLLEDDVVVQKDLAALWHVDLDGKVNGAVEMCFGGFRRYRKYLNFTQAIVRDRFSPSACAWEYGVNVFDLEAWRRDGCTELFHQYMELNVDGALWDPASVLPAGLMTFYGNTKPLDKSWHVMGLGYNPSISPEVIRGAAVIHFNGNMKPWLDVAFNQYKALWTKHVDTEMEFLTLCNFGL >SECCE3Rv1G0175650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:430300809:430301498:-1 gene:SECCE3Rv1G0175650 transcript:SECCE3Rv1G0175650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKSYVQILIGSRLFLTGMAIHLSLWVAPPDLQQGGNSHISYVHVPAARMSIVIYIATAINSSLFPLTKHPLFLRSSGTGKEIGAFSTLFTLVTGGFRGRPMWGTFRVWDARLTSVFILFLIYLGALRFQKLPVEPAPISIHAGPIDIPIIKSPVHWWNTSRQPGSISRSGTSIHVPMPIQILSNFANFPFSTRILFVLETRLPIPYFPESPLTEEIEAQEGIPLKT >SECCE4Rv1G0248480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:572534161:572535618:1 gene:SECCE4Rv1G0248480 transcript:SECCE4Rv1G0248480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGATTSDSSTAPAPHVLVVPYPAQGHTIPLLDLVGLLAARGLRLTVVATPGTAPLLAPLLAAHPGGAVRALTLPFPNHPAFPAGVESAKGCPPVLFGALIVAFAGLRAPLGSWVRARSGTPDRVVAILSDFFCGWTQPLAAELGLPRVAFSSSAAYATAVLHSLLRRLPRREDESDDECPIAFPDLPGAPVYPWRQLSLLYRTYKEGDEVTEGVRRNFLWNLDCSSFVSNTFRRLEERYLEAPLADLGFRPVRAVGPLAPDADAAGNRGGETAVAAADLCAWLDKFEDRSVVYISFGSMAVLQPAHAAALATALEKTGAAFVWAVGPAVTLPDGFEERAAAGGRGTVIRGWAPQVAALRHRAVGWFVTHCGWNSVLESTAAGVTILAWPMTSDQFVSARLLVDELRAAVPLCWGGVATAPSADELVRVLESTVLGNGREWGDVGARAKELAEEAAAAVRDGGSSWREVEELARELRELGSESR >SECCE2Rv1G0134780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:903068398:903071174:-1 gene:SECCE2Rv1G0134780 transcript:SECCE2Rv1G0134780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADEAQGFRPLDEASLVAYIRATPALAASLGGRVDDLVVKEVGDGNLNFVYIVTSDAGSIVVKQALPYIRCVGDSWPMTRERAYFEASALREHGRLCPDHVPEVYHFDRAMSLIGMRYIKPPHIILRKGLIAGVEYPLLADHMADYMAKTLFFTSLLYNSTTDHKKQVARYCENVEMCRLTEQVVFSDPYMVSKYNRWNSPLLDKDAEAVRQDDGLKLEIAELKSMFIERAQALIHGDLHTGSIMVTPESTQVIDPEFAFYAPMGYDIGAFLGNLILAYFAQDGHADQTNDRKAYKQWILKTIEESWNLFQQKFLGLWNKHKDGNGEAYLPAIYNNPELLSVVQKKYMTGLLHDSLGFGSAKMIRRIVGIAHVEDFDSIEDASKRASCERRALDCAKAILKGRRQFESIEQVIAHVQSVTHDQGRS >SECCE2Rv1G0123710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:826592006:826592374:-1 gene:SECCE2Rv1G0123710 transcript:SECCE2Rv1G0123710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVSIEMPDPHTAAGHMLDAVHDETKLQRALVGGGIAKSSAALFLAFFRGPGGVFLLDRLLVAAYYVILSAVVVFGAMEVATGFWVAGDPHGRRGKGKVVIWASVVPLVLVTALGGFAVLR >SECCE1Rv1G0030070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:423480700:423483450:1 gene:SECCE1Rv1G0030070 transcript:SECCE1Rv1G0030070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLAKCGQNIVSLKRKRDSPAADHADACHTSQSHQHPTGNSAIRLYVGEDRKANIACHFNRQILQSYQNYMTSASPKRILLRQSGNWKEFPEKIVKLAQVDFRTKKTITEVGYQNQLFLLDFVHMTFIDSKSGLQRPIAWIDDNGRRYFPEVLIEDQIVYRRKDFGNGDHVYVRAEPNGTPEINDQYGASESSAESSNFESSTEEVSSSKRVRAEKSIIRKINCDHRETVGENEPHTSLPAVFSCQPQQDKLGGQSHAQGTTSVVQKMLLQGMGAAIGSKDIIGIHRTPFLNNYREDRYDLFQKQAEITKSQHGNANVRYAWLPCSKAAVDEMMLNGTLQVKKPTRCPPYGTGVLLAPANCSINCVNYSDVDENGIIYMMLCRVVMGNVEIVHHGSKQHQPSNEYFDSGVDDLKNPQHYIVWDMNLNSHIYSEFVVTIKLPSKAKDSLFTQEDCHDSSDASLVLSPSSADSVSQDMNLEASPALGGQYEAPMLGGSMAKAPSTPWMPFSMLFAAISTKLPREKMDMINNCYEEFKAKKISRIDLVKRLRLIVGDRMLVSTIIRLQDKLPPMVKREAANAPAKARGQ >SECCE5Rv1G0344490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:645514414:645516800:-1 gene:SECCE5Rv1G0344490 transcript:SECCE5Rv1G0344490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRQHTIQLGATHGAAAAATQPGDGGAMSSELYLAVCGGKREEAMALLRQRHYGGGAADDRAAGIHQVSAKRNNVVHLAAEHGHGELIHDVLSFGGKGLLSAQNSALDTPLHCAARAGHCKAVAVLVQLALDYGDESTLWCKNAAGDTALHLAARLGHGVAVEAMVSAASGLASEVNHAGVSPLYLAVMSRSVPAVRAITTRCPDASAAGPCSQNALHAAVFQGSEMVSLLLEWKPCGPSLASQADDTGSTPLHFAASDGDRSVVGAILSATPPCAVRVRDSGGLSALHVAAGMGHAHVARALVDACPDAAELQDDRGGTFVHAAARGGHSEVVRLAIKKPMLGCGGGLLNTQDGDGNTALHLAVTAREPAIAEALLWTGQVRADVTNNDGHTPLDLAARSTDFYSMVSLVVTLTAFGAQFRPQRRDQVQRWDSRNITKAIEKTSDSLAVVAVLVAGVAFTAANNLPGSYEQEGDIIKGMAVLRRKTIFKCFLILDSVALVTSILAVVLLVYGKASRSARSWKSFAAALHCIWVSLISMLLAFYAAIAAVTSAIGVYDIRVFVVNLVLVVLFIVLSAFVSPPVSHLIMCKFLWQCGFKGRQGGAVRRRISQQYPVVGAFVFNLLLFRAAHYVILFAGFIIVSTLRDGSDVT >SECCE5Rv1G0376130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871303981:871304262:1 gene:SECCE5Rv1G0376130 transcript:SECCE5Rv1G0376130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKEVLLAAMMLALVVVAPGRTLATCEVGQLTVCMPAISTGAKPSGACCANLRAQQACFCQYAKDPSLGAYIKSPHARDTLLSCGLAVPHC >SECCE3Rv1G0171710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:277097844:277098941:1 gene:SECCE3Rv1G0171710 transcript:SECCE3Rv1G0171710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFVLSYVVTGLAFWSTAFLVMRALMPKRSYEFCNRAVSTMHAVAAVCMACLSVQEWSCPVCPLNAPSSPRQMKSLAVTLSYMIYDAACCHLNGDVRLDNTVHHLVSIVGIGAGLAYQRCGTEMMACMFITEISSPLLHLREMLKELGVKDTDLNLLVDILFAATFSVGRMVGGPYLTYVTLTTDYPILIKAMAAGLQLVSAYWFLRILRMVRYKLGKKRPAAVAKLNAK >SECCE3Rv1G0152830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:50693814:50695362:-1 gene:SECCE3Rv1G0152830 transcript:SECCE3Rv1G0152830.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCRLQDLAIYGHKLCSLVFRLLPQLQGFPPLKKFCRDGPVNLALTETKTAATLPELPHDILMVIFAALEIPDLVRAGSVCSSWHSAYAELRTLGKHKPGQTPCLAYTSQSDPDDVLSLYSLAEKRSYKLTLPQPPIRSRYLIGSSHGWLVTVDDRSEMHLLNPITCEQIALPSVSTIEHVKPKFDEYGQVCKYEMSGHTGTRSSRDPPSIFALAELRGRLYWKAFVFPDTSTGSYIVVLIHNPQCQLSFARAGDDKWTWLPPDYLYDDCTYKDGILYAVNVKGEFHAFDLSGPVVTVKTVIRVPKHYVCDGRYIVQAPWGTEGVLVYRIVGDHDLEPEPGASSYWNTKEIKIFGIDALWNEIKVIDCLHDHVLFLGHNLSLCLSADEYPALKANRSYFTDDNFLWTLGHKNSHRDMGILKLDDNSREELVSPQLWSNCPAPVWITPDLRKINVMGSMSQQL >SECCE5Rv1G0327250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:490868494:490871516:-1 gene:SECCE5Rv1G0327250 transcript:SECCE5Rv1G0327250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPPPPTAGARPNPSIPSSSSSSFSTVSPRGPISLCGASRASSVSFQQRRASPLAGRSRLPGPIRCSDASGQVDAVNIEETKQPQGGSTGERNNGKDLFRRFSPKELLEQLKRYGAAGVLSYGLLNTVYYVTTFLLVWFHFSPAPGKMGYAAAVERFLKLMAMVWAGSQVTKVLRAGGALALAPLVDRGLRWFTVKFNFQSEGKAFATIVGLCFALAALMFVGLTVLWA >SECCE2Rv1G0126940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:849760459:849767857:1 gene:SECCE2Rv1G0126940 transcript:SECCE2Rv1G0126940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAAREWAASVLPPELAAAAGGDPLAALAATAAALLAGLLVLAFWLRSGGSAPPKPPPTSFRPPPVRVDADDADDGRKRVTIFFGTQTGTAEGFAKAMAEEAKARYEKVVFKVVDLDDYAAEDDEYEEKLKKETFALFFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDFNYAVFGLGNRQYEHFNKVAKEVDELLLEQGGKRLVPCGLGDDDQCIEDDFTAWKELVWPELDQLLRDEDDTTGATTPYTAAIPEYRVIFIDKSDLEFEDKSWTLANGNGVIDAQHPCRANVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYSENSIETVEQAETLLGLSPDTVFSIHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNVPKKAALTALAAHASDPTEAERLRFLASPAGKDEYAQWIVASQRSLLEVMAAFPSAKPPLGVFFAAIAPRLQPRFYSISSSPKLAPNRIHVTCALVYGPTPTERIHQGVCSTWMKNTLPLEYSEECSWAPIFVRQSNFRLPTDPSTPIIMIGPGTGLAPFRGFLQERLALKESGVELGSSVLFFGCRNREMDYIYEEELQNFLQEGALSELIVAYSREGPTKEYVQHKMVDKATEIWNVISQGGYIYVCGDAKGMAKDVHRVLHTIVQKQGSLDNSKTELYVKNLQMEGRYLRDVW >SECCE2Rv1G0110010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:685231257:685234597:1 gene:SECCE2Rv1G0110010 transcript:SECCE2Rv1G0110010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSSRGRLSPASGGGDSEPRSAGSRTRSVSATRGRKASPRPGRDVASATATVEEKKPAAVPTLLPSLSVPAGMRRQELLLRSGFSLDASCSSDASTDSFCSRASTGRIGRPVFGPRKKKTVSQADHKVSAMLEREAGSASPSDASGLKRRCAWVTANTDPCYIAFHDEEWGVPVHDDKKLFELLVLSGSLAELTWPTILNKRSIFREVFMDFDPALVSKLSERKIIAPGSPSSSLLSEQKLRGVIENARQILKVIEEFGSFDKYCWSFVNHRPILSTFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLVSCYRFAECAAAATGAKPTTESGSEANSGASNHAAEQKANGAANGLAVDIELSRTIDELSIS >SECCE4Rv1G0247020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:552210070:552210819:-1 gene:SECCE4Rv1G0247020 transcript:SECCE4Rv1G0247020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSWALLTHLHSVAGPSITLLYPLYASVCAMESPTKVDDEQWLAYWILYSFITLLEMLAEPVLYWIPVWYPVKLLFVAWLALPQFKGASFIYDKVVREQLRKYRGRNRHADADADHKVHILKAEADHGHVY >SECCE4Rv1G0246900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:550863073:550867988:1 gene:SECCE4Rv1G0246900 transcript:SECCE4Rv1G0246900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRKRPRGAGEMGRVAEIVMVLAAAGEARGGRAPTAAERALVAEARGRLAASVAAVEVRPKELYPREAVRALVEDLGLGRARDPTAMGYRPRRASIAERILLTKRKMEQVNASLVRPTTNIPQTTTSSATAIFQDGASKSTAELPRNPSAAGSFPASTPTPVTMSSSILKQSRPNETPAGVSSSKSANLPSIVSLPLVGSANIEVEKAVNCPNFRQSGATIGQTNKSAHFTATRSSQSTAQSSSAGKSHEKKAPSAQPVNRNIAIGDHVPPGAAAFVQQEPCFSNHNAIAKNVQLVLHQPANHPSWTVPSTEYMHARLDCQICKTFITDVESLLVCDACERGVHLKCLKQDGNEGLSIVDWYCPTCVTYSKGKPLPPKYGKVTRTIVASKARGVTLQRAPENSRTKGSEKVAGNENSSEPGRSVRNSDMLALDTASSKAQSASASEYQKESTKHTDTLSKQKEGHGPPSRSLVTKTMESSSQIESSGGSTYSAAGNLSEQSHKQREAIELLPCAVNSINDSTLQTTAISDGGNSEHSFIDSSEMCAIEGNSDQLLNKDEQTTSSGRTPADQTHQEDVTADIGIGCPQDDETTEVNAMSKHNNVYLVTSNMDLCAKHEVMAGPKSEPVGYSSDLGDVGWVGDALKVVGNITYYNSCIVDGITYNLQDHILIGSKDGKSAPSKLQVSFHFCIIPSTIA >SECCE4Rv1G0241840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:462531536:462538611:-1 gene:SECCE4Rv1G0241840 transcript:SECCE4Rv1G0241840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAATRIFLTLSLLPLPLPKWLRRRQPSICPARRAFAMAASGFGGGEAFRLSAAAGGGALKLHKGDITLWSVDGATDAIVNAANERMLGGGGVDGAIHQAAGPQLVQACRKVPEVKPGVRCPTGEARITPAFELPVSRVIHTVGPIYDMDRKPEVSLKNAYENSLKVAKENGIQYVAFPAISCGIFRYPPKEASNIAVSAAQRFSGDIKEVHFVLFSDELYNVWRETAQEMLTQFEK >SECCE5Rv1G0329910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:522627549:522628415:-1 gene:SECCE5Rv1G0329910 transcript:SECCE5Rv1G0329910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSASLCLVITLLAFYFLVPSNAIPLSRVQRLVPLQHAGEQVPWVEENTLKPKMDMGRVIPEDDAVNVSARMALETQDYAPSGPNNHHKPPGWN >SECCE6Rv1G0418000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:660540720:660542198:-1 gene:SECCE6Rv1G0418000 transcript:SECCE6Rv1G0418000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTDPAQKPHAVCVPYPAQGHITPMLKVAKLLHARGFHVTFVLTEFNHARLLKSRGTAAFTACPGFDFAAIPDGLPPSDPDATQDIPALCRSTMTTCLPHLSAILARLNGPASGSPPVTCVLCDGVMSWAYEAAREIGVPCAALWTASACGFMAYNHYKQLVEDGLVPLREEAQLTDGYLDTVVDGVPGLCDGFQLRDFPSFIRTTDGDDIMLNFLIRESARLTLPDAVIINTFDDLEKPALDAMRAILPPVYPLGPLLLQVRRLVPAGSPLDVGVGSNLWKEQDGLIEWLDGRPPRSVVYVNYGSITVMTNEQMLEFAWGLANSGYPFLWNVRPDLVKGDAAVLPPEFQAAIEGRGLLTTWCPQEVVIEHEAVGVFLTHSGWNSTLESLCAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEVRRAEVAAMIREAMEGDKGEEMRHRAAEWKHKAAQATLPGGPSETNLDGLIDVLLGNKTGQAGK >SECCE5Rv1G0338460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:598322542:598327049:1 gene:SECCE5Rv1G0338460 transcript:SECCE5Rv1G0338460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) LCAT3 [Source:Projected from Arabidopsis thaliana (AT3G03310) UniProtKB/Swiss-Prot;Acc:Q93V61] MLGAVLRMRLRVLRRHLRLRGRRRRCRSRSRRGGGGAAGSGGEGPREPVLLVSGMGGSVLHARRRSDPKFDLRVWVRILLADLEFKKYLWSLYNAKTGYVESLDDDVEIVVPDHDHGLFAIDVLDPSWFVELLHLTMVYHFHDMIDMLLDCGYEKGTTLFGYGYDFRQSNRIDKAMAGLRAKLETAYKASGGKKVNIISHSMGGLLVRCFMSMNRDIFSKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWAMHQLLVECPSIYEMLPNPNFEWKEKPIVQVWRKNPEKDGTVELVLYEATDCVSLFEEALQNNELNYNGKTIALPFNMSIYKWATETRRILENAELPDTVSFYSIHGTSYETPYDVCYGSESSPIGDLSEVCRTVPTYTYVDGDCTVPVESATADGFPAKERVGVRADHRGLLCDENVFKLLKKWLGVSENARGRVSKSQIMDMLPERTSDQVTLRRD >SECCE1Rv1G0027240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:380347220:380348648:-1 gene:SECCE1Rv1G0027240 transcript:SECCE1Rv1G0027240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKWMVKRWEDMDTDVLVKIFKELNLVELSPVSQVCRLWRLACSDPLIWGTLDFGLLKSNFIQTRASPYIWVDDRSDKRLAKILRVAMAISRGNVNCMIFHYNLYMKDEHLHYISQRSPHLKRLVMPAWNRISRAGICKAIERWEELESLTMPTIGHPPYIMEELAKSCKNFKELKIMGTFDLLFASAVTTYLPKLKVLSLRCSKVTMGALLCVLNSLEHLEVLNISHCLLFEMATNGRRQVIHELDNKALERASRLREFHHCQSRQCIACQRMMLDEGILRWYRYEDWFWRRDEVSSLDLKDYGRLFGAQCEMLTSVD >SECCE6Rv1G0382390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:42338638:42341680:1 gene:SECCE6Rv1G0382390 transcript:SECCE6Rv1G0382390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGKPRVVVVGGGIGGALLAKTMEPDADVFLVDPKDYLEITWAELRSTVEPSFAERSLIYHRDYLTTATIVTSSAVNITEHAVLTADGQSLAYDYLVVATGHVFASAGSRTERLTEFQRDNGKIESSESVLIIGGGPTGVELAAEIAVDYPEKKVTLVHRGSRLLEFIDQKASKKCLDWLTSKKVDVLFQQSVDLKSLSDTEKFYKTSGGETITADCHFVCIGKPLSSSWLHDTILKESLDTKGRVMVEKDLRVKGYNNIFAIGDITDIPEIKQGYLAQKHALLVAKNLKLLIKGSPPSKLATYSTGFPLALVSLGRKEGLAQLPYVTLTGCIPGMLKSRDLFVGKTRKQMGLNA >SECCE4Rv1G0267010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734190247:734191335:-1 gene:SECCE4Rv1G0267010 transcript:SECCE4Rv1G0267010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPHKLQVLVVSAFLLLLVVGCLASPLEIGFYRDRCPQAEAVVKGVMMEAISQNPGNGAAMIRMLFHDCFVEGCDASVLLDPTPFSPTPEKLSPPNNPSLRGFELIDSIKDALEAACPGVVSCADIIAFSARDASCILSAGKVDFEVPSGRRDGTFSNASEPLKFLVPPTSNLSDLVDSFVVKGLDAEDLVILSGAHTIGRSHCSAFVPGRLNISSDINGGLAAFLRDQCPADAAPGGNDPTVMQDIVTPNDMDRQYYKNVMSHTVLFTSDAALLTSEETAKMVVDNANIPGWWEDRFEKAMVKMAGIDVKTGDQGQIRKNCRAIN >SECCE6Rv1G0386520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:96959694:96965135:-1 gene:SECCE6Rv1G0386520 transcript:SECCE6Rv1G0386520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGVFLGVDVGTGSARAGLFDDKGKLLGSASSPIQIWKERDCVEQSSTDIWHAVCAAVKSTCLLANVAPEDVVGLGFTATCSLVAVGADGSPVSVSWNGDSRRDIIVWMDHRSLDQAERINSHSSPVLQFYGGSVSPEMQAPKLLWVKENLQKTWSMVYRWMDLSNWLTYRATGDETRSLSHMEQWRELNPRGMEPCGRDEVFWAEIGLGDLYEGGQAKIGHSVVFPGHPLGSGLTPTSAKELGLLPGTPVGTSLIDAYAGGLGVMESVPDAEFKADMFDEEAICHRMVLVCGTSTCHMVVSKNKLFIPGVWGPFLSAMIPELWLTECGQSATGALLDYIVENHVAAPLLANQAASQSVSIYELMNKILLSMAHEQNMPFLSALSQDIHVLPDFHGNRSPVADPKSKGVICGLTLDTSEKQLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSLYIQEHADIMACPIILPRENENVLLGAAILGGVAAKKFTGVREAMEAMNAAGKVVRPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSTMAQALH >SECCE3Rv1G0181730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:617547046:617547750:-1 gene:SECCE3Rv1G0181730 transcript:SECCE3Rv1G0181730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRGEEDGDGSDVGDGAPPAAPHRSATANGPADNMQAEARRQYHECLRNHAAAAGGHVLDGCCEFMPASPEDPLACAACGCHRSFHRRDPSPGRTHLPLLAASARAPLLIPPAASKHPHQRLPFPYGLAASGGTGTTTESSSEERRGPSPAPRKRSRTTFTREQKEQMLAFAERVGWRMQRQDEATVEHFCAQAGVRRQALKVWMHNNKQSSSGRRQQQEKQLQEHRQEQQQQ >SECCE5Rv1G0340180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:612829101:612830549:-1 gene:SECCE5Rv1G0340180 transcript:SECCE5Rv1G0340180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAHRDYLLAAVHGVLGVAVLILCLVAELFVVVFRRHAALYLLPVCAMMTHLWRSGRRADIGLVDFAYLKPPRRLRVTIPGLLEHLRLIGCFDDGSIEFMSRAVEDSGMGDETYFPPSLHYLPPSATHADAVHEAGMLFFPTLDELFAKTGVTPSAVGALVVNCSGFGPAPSLTAIIANRYRMPSDVKTFNLSGMGCTAGIVGVDVARGVLRAHAGAVDYAVVVSAEIVTIGWYRWRDRSKLLLNCFLRTGCAAALLSNTASAPAPVKYRLVALKRTTIAADDGGYNLAVREEGDEGITGFTIGRGLGRVFRAHLLAFGASILPWHEKLRYAAALMRFHRRSRSIKKLQGGEGHVVAPKPNLLAAASHFCLPSSGMPNIRRLAEGLGLGEREAEAALATFQRFGNQSASSLWYQLGYHEARGRVRRGDRVWQLGIGSGPKASSALWERVAAYGDAAAADEGPWGDCVLRYPAMAPAGAALA >SECCE6Rv1G0412410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614241189:614243830:-1 gene:SECCE6Rv1G0412410 transcript:SECCE6Rv1G0412410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNPPSIEIVQHRVGGGLGSGGGGSISFSEPWREMTPGSGSGHGSSGRSGGREPPEKRLTLFALRLAVLEKAASGLGKLDFAWATVVLLGGFASDLHIIDFWCITVILVSEGARVFSRSHELEWQHHATLTSTAGNALRSSSRFFRRLAHAIAEPQTAAALGDGSGGDTRARAALFQRQIVALMKQRTWHAPDVPLLPYTGWVFVSKKIGRLLNWLQVLSALACVALSVMRLWKRDHFAEAKNMKPALLLFYALALLEASLFLLEKAYWTWKMSVCKLLDQVSADCELGPYGLVSLKRFFYDAYSQCIDGSIFDGIKMDLVTFAEDLILSDFLDEQLIGVRILQQLATSKGSARDTLRKLGTNPRSIERAVEMLNWKRHGEEEVRKCAAEVVSKLAGKRQNALRVSGIPGAIESVMSLLYTGSGPNHPMGAVAEQPDRIYEYLQFNLLGLHILKKLARDHDNCGKIGNARGLLAKIVEFTHMSPALLLNPSASDSQVRSVKRALQVIKMLVYTTGATGKALRRDVAENVFTVSNLRGVLRHGHQRMELQKLAMDILTGMAMDERAKETIVGTGGVVKLLLSIFFNASESELGNEAGEALAMLALESEVSCAAILKRADVLDRLASALDAHDARCLNAMRVLRNLCAYSGEEHRTRLSTVTKAMPTVLGATMTGRDKILEVSVGLTTQICRFIDIEQFAAELRRAGLDERAYVERLVAILRQYRYPEIRVPRMRRFVVQQLVWLMTTSSPRRRGGGGGFVELLRELGMERLLEAIAETTSEVECYHVFSGSVPIGKHRESFSAIVETALQLLVGDDATGAGEEEEKASPESD >SECCE3Rv1G0157050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:78608913:78609833:-1 gene:SECCE3Rv1G0157050 transcript:SECCE3Rv1G0157050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRKLAGLALVLLLCMAAKAMAVKAGVESYSFPVFNATTTASLVAATNTAAVGPAALLFQPEIDSAAASMNVSEGFLLLPDTVDVWRAGAGGGLRPAREASFNTSFTVESSASPVSFVLLLDRFPLFNSRTGLRGDNGSAAAVPDANATASGLTVVEVGAVRSYEPESTDVGLNVTVTPKGNRAVAVWVEYDAAVHLLRVYVAAGGEPRPSGALIDARLSLAGRRTTQTAMVGFFAATVRDVFLGVRDWDLTVDMLDAGGKKRTSWWVILIAVLGSVAATAAIVTLVVRYFVSRRRTRSMEPKQ >SECCE6Rv1G0405840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:536789848:536790443:1 gene:SECCE6Rv1G0405840 transcript:SECCE6Rv1G0405840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVANPKWYERALVLAVQGVFFNAYFLGYIVSPKFAHRVVGYLEEEAIHSYTEFLRDLEAGRIENVPAPRIAIDYWRLPADARLKDVVTVVRADEAHHRDVNHFAADIHFQGLELNKTPAPLGYH >SECCE3Rv1G0203880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:886168027:886168377:-1 gene:SECCE3Rv1G0203880 transcript:SECCE3Rv1G0203880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAARRTSAHHSRMQMPPAPVEWKQQQQQPQRRGGSKSCQRTPPPPGCFTIQLLMVFLWVAASLAFLPLVLPPLPPPPLSLLLVPVCLLAVLAALAFVPLDAHNNVVGGGSSCL >SECCE6Rv1G0415040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:638642412:638645334:1 gene:SECCE6Rv1G0415040 transcript:SECCE6Rv1G0415040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMGGDAAAEASEHLLGPPPARGGDGSSLRMVVASTGVAVLGSFAFGVAIGYSAPAEAGISRDLQLTLSEYSVFGSVITIGAMIGAVASGQIADVAGRKWAMRASALVSIVGWLAIFSAQSASSLDFGRFCTGLGVGLFSYVVPVFIAEIAPKALRGGLTALNPLMIGTGLSVAYIVGTVVSWRMLAMAGLAPCISLIAGLFFIPESPRWLAKVGRQKEFEIALQRLRGRDADVFLEAAEIKDFVETIDKLPKAGIQDLFSGPYIRPVIIGAGLMVFQQFAGINGILFYAGETFVSAGFDSGNLGTILMACIQLPLTTLGALLMDRSGRKPLLLISTSGLLVGTLMSAVSFYLKIHGIFPKQVPIVALTSILVYIASYSLGMGSVPWVIMSEIFPINMKGIGGSFVTLVNWLASLAVSFAFNFLMSWSASGTFFFFAFVCAMAILFIVKVVPETKGKTLEEIQASINCST >SECCE4Rv1G0262100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:705965296:705966964:-1 gene:SECCE4Rv1G0262100 transcript:SECCE4Rv1G0262100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPSGILFLLVIAMPAFLALADTPHPYCDRPCQNELSLHLYLHQFVAGPNRPNRNEEFVLTPGYPLGFGTTLIHDWTLTNTVNPGDTIIARAQGTHIQASRTNANGWYISKNIVFQSGRFAGSTLQVMGTLTEQSEGQWSVIGGTGEFTKAHGTIKYKMDPASNIEDGIRELDIHLIYTPNYPQATQSGTPPLAKLLGGCHAFDNCCCKKY >SECCE1Rv1G0053700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:670967395:670968489:1 gene:SECCE1Rv1G0053700 transcript:SECCE1Rv1G0053700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESQTQRPGRAERETARACCTGTDRIALGGQVLVGWSRRGRESRGKATLFLFKNLALSFPCFISPCCPLAAPRDSRQSSMVLMAAASDDHRHHHHRRRRRHRAAKRSPTPPPPQPQPQPESPSPPPLDCFSFTWGVRRRLRCSKDVTATALPSPPQHPTTPSPDKEKPPQGDAVGSSSRPQRSRSLRPLRYPATHPASRSSDAGAGQALHSPASAPPPAPTEPQQPRKRGFAVALTKQEIARDFAAVRCKRPPRRGKKRSKAQQAKIDALCPGFFLSTVDLDNYKIDDNNNR >SECCE2Rv1G0098410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:483123431:483124381:1 gene:SECCE2Rv1G0098410 transcript:SECCE2Rv1G0098410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGSGVVTVYGSGNNGAGTQLEPKSSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIREIKRAVTIPVMAKARIGHFVEAQILESIGVDYVDESEVLTLADDAHHINKHNFRVPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDVRALRSMDDDEVFSYAKSIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPNVLAEVSSDLGEAMVGINLSDPKVERFAARSE >SECCE7Rv1G0495720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:601403927:601405008:1 gene:SECCE7Rv1G0495720 transcript:SECCE7Rv1G0495720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGPCWVALALLLCVALAATATANGNELSAGYYEKTCPNVQHVVRSVMASRVAAQPRMAPAVLRLFFHDCFINGCDASVLLDATPFSPSEKDVEPNASLTGFTVIDDIKSALEHDCPATVSCADVIALASRDAVALLGGPTWSVPLGRKDSRFAADPESTEKGFPSPHDDLGELVTMFSSLNLDARDMTALSGAHTVGMANCMHYSDRVYGTNRDEEIDPSFAQTTQQTCHGPSGKAPFDVQTPMRFDNAYYRNLIARRGLLTSDQTLYGGGGLQDNLVEMYSADGEAFARDFAKAMVKMGNVPPPKGMPLEVRLKCSMANNY >SECCE3Rv1G0200390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852971565:852979901:1 gene:SECCE3Rv1G0200390 transcript:SECCE3Rv1G0200390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALVVLKPSAGGAGGSSSSGGQGPEALVLANATDVSHFGFFQRGAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGLCVVAFMDDHYPVRSAFSLLNKVLDEYQKAFGDAWKAATADSTQEWPFLMEALTKFQDPAEADKLTKIQRDLDETKIILHKTIENVLQRGERLDSLVEKSSDLSAASQMFYKQAKKTNSCCTIL >SECCE1Rv1G0026060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:365024240:365026258:-1 gene:SECCE1Rv1G0026060 transcript:SECCE1Rv1G0026060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMDLKTLWDRASKKSKIASTSTPKPAPTGVESRSRNQGNPSPSDGLQLVPEDDESGDDESIASNAKQLQAEAEALYLSRLNTSVQCLRFILQQGLPVHGHLENEGNAGNFLELVDWLAESVEGVKRVGLKDAPRSSELLDQKAQEQLINTCAEETTRLIIEDLGDGYFAVLVSESRDVEQQEQLALCLRYVGTKGMAVERFLGIVGVEDTTPLTIKTTIQNLLTAHSLSFSRVRGLGYDGACAMKGYDNALKKIIVDESPSAYYVHCFAHQFQVNMVAVAKRNVHGGWFFYHLQYLMDFLVMCCEKIRMLGVAQAEYIIETFELEETEAEYGLDRESLGTPCDTPRGSRYENVMHVISLYPAIWEILCMIGDEHNGIKSLRAQEVSYAFETFEFVFIAHLLQTIFEYTDDLCSALQKRDQDIVNAIDLVSVTKKRLQLLREDGEWESFLQKVTSFCVKHDIELVDMDGLYDPIGRSPKFYEKGTNLHYYHVDMFLDVIDSQLRELDGMFDEVNTELLICMAAFNPADSFAAYDEEKLVKLAHFYPEDFSQCELLHLPFQLSNFVDDLRLDERFREVKTLAELSIKLVETRKDRVYNIVYRLLRLVLVLPVATASDERDLSSVNYVNNKAVNKLSEQCANDCLVTCLERETFEQVKDDAIISRFRAVNNP >SECCE4Rv1G0285160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843078368:843080140:1 gene:SECCE4Rv1G0285160 transcript:SECCE4Rv1G0285160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSRRRHPCSPAVGPLNDDDLLREILLRLPPQPSSLPRASAVCRRWRLLLSDPGFSRRFRIHHRRNPPLLGFLNEDLPFLPTLDAPDRISPGRFSLQRGDGDRFISLGCRHGLVLVFNKPKNQILVWDPVTGDQHRLDIPPGVAIHAKKTTINGAVLRADDAQHFQVVLTVADNDDKQHRRALACVYSSETGAWGDLVSTPIPSRVPMGNVGTFVSTYKPAVLIGDSLYWRLAGNFTGILEFDLEKQSLAVISVPVHMLEEGHCLFSIMRAEGGGLGLLLQTGRNIQLWKRETDCDGVASWGLGRTIELDKLLSLNSEENDIMIQGPMGENNVVFVWTHHILFTVHLESLQFNKLPGAYSLFYYHPFESVYAAVFIISVIFLILSS >SECCE6Rv1G0437030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:780696247:780697364:1 gene:SECCE6Rv1G0437030 transcript:SECCE6Rv1G0437030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLVAVLAALLALCAFVAVADAQAKAPVGPALPKNSHMIHPGRFGKRDQVLSCDDTKDGKNPCVATCDKRCPNECIVMCPGCKTYCLCDFYPGMSCGDPRFTGADGNNFYFHGKKDQDFCVVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFADHRLYIGAQKTVEWNNDIDHLEMAFDGVRIEIPANLGVTWESSIVPGLTVTRTAVTNGVRVQLQGVFDIMAKVVPITEEDSRIHNYGVTKDDSLAHLDIGFKFNNLTDNVHGVLGQTYRSNYVNKLSVSANMPIMGGATRYVSSDIFATDCEVARFGRHVGISMVTAQAN >SECCE1Rv1G0044930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:606026347:606030690:-1 gene:SECCE1Rv1G0044930 transcript:SECCE1Rv1G0044930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGPVGGQPAKDEIREVEMEIMEQPEEAAERAGLKRAWGYANAGASHGFIGVTTAEKKPTFLPHNNVKYLKNAGNGHLLRPDMPDTNALRFSCSSSGGDLGSKTRTPMGTCTFNAQGQCKKGKDCTSHEREGSAFGKLWSQEENAGSLTSVGYGKHRGSEEGFEAQCVSNLNDLHNFAASSNYGRYRTLIHSYGEDHRGLAHNSPNINISERSCRTDNLWSTKSTPPVNELVQISVQGKNHKPSLMGRHISLPSDSYLDGRGTLTRLHLDGEKLLPDLDVAKASSLSDSHVSDYWHQPFDYLQYGEKRSAYGGPTENLPHKHQKEHSSSFASYSSNSITGFRSPGHNSSAHSLGSQPVRAITHLGMPSLHQLTPGIEKFGLHKDVYFDKGCGTSRPGLRASSSCQPEHLSPIKDDPWVTSVPFVPLVEFPNSTSPSNSPYALADSMGPAKVESANILKSSNISCSISSQRTAGNGVIDGDIKKSLIYNDKLARNMSAKGSNEFAGLVARDKERSSLDGDTLVKAFERIKNGEIDEKVRNFRIHLAEHVKELLKPIWKEGKLSKDAHKLIVKKSVDKVFDSVGLHQVPATKELITDYITMSRTKIEKLVKAYVDRHGTR >SECCE6Rv1G0408980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:580079588:580088038:1 gene:SECCE6Rv1G0408980 transcript:SECCE6Rv1G0408980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGERLLVRHMWPAARVEAAAPSSARGPPPPTPSGSCALAPLTTSHPLLPTSPPATPQKQQQVARSPRPAPPDFFVKDGREIRVGDCALFRAIDLPPFVGLIRWIEKQEESNPKLRVSWLYRPADVRLNKGIQLNAAPNEVFYSFHQDETSAVSLLHPCKVAFLRKGAELSSGISSFVCRRVYDIDNKCLWWLTDRDYINERQEEVNRLLDRTRLEMHGAVRSGGHSPKRPNVLSASQQLKACSDGAQNCGSSKGKKRERGEQGIDPAKRDSDCPPKVDDSETGNVKGDNMKSEIAGMTEKDGLPHAEAVDKLVQFMQLDQIERKMDLAGRVRLADIIAATESPDCLSRFMQLRGLPVLNDWLQETHKGKSGEGGSPKETDKPTEELILALLRALAKLPISLIALQSCSIGKSVNHLRSYKNPEIQRKARYLVDSWKKRVDAEMKSTDAKPVVSGQAVSWSGKVGFPEISSAGNGRSGSSEPSPKSAGFHLSSPKALSATSGAADAVAKSNPFTSGSSKLQHMQPANAATNLKDSPCKSAAGTGGPDFPAVKEEKSCSSSHSLNNSQSCSSDPGKTVGSLKEDARSSTAVSASASKISARGHRRANNGLLGSGFQKEAALGRSSQGDRSLLQERSSQSGLACEKGADTPHINNHKLIVRYPKPSGSPGRSTSGGSCEEPSVSGSRASSPVHTDKHEQNDRRVKMKVENSQAHLGSDANAEPERSNDTKRIAGSEEDDKSPCGMLDGDCSRTAEESAKDTCASRVVRSSNMDEKDICLGETRVRNSFSPLNALIEIKYSEGSHSMQAGDDTAMNLLASVAGEVSKSELMSSASPTNLSANKHVYEGHAGPSHHLDPSDDVEKVISEKEEKNDEEQRLKNSGTYLSSHDNKGTSTTTIPPLPGIDSKAVESSVKTDSHEVEYSPHIHSSVDQKPNTRQPADAKIDAKFNISIADADTASGSQCSLVAPNRTLLPCEESSLCGADKQGQGLPKSSDQTHFHGLPGHLETIRSTDSSTAGKLDPKTSFSSLAVDIKNADGLVVCNKVLKEHEKEEQPASTSADVTKPDVSAVLPPGAANGVSVIKESKDCSSESSSQAKHRPIMSQDTEHTARHSSKKPIDEVGGKEDIVSSDEGSSMANTNSNGTAKLDFDLNELGDEGNHSEPVTSTVVCSSAIHLPGLSPFVSPILSGLPAQITVAAPAKGPFVPPENLLRVKPEAGWKGAAATSAFRPAEPRKTLGMFLTVPGSAVSDAAGRQSRQAFDIDLNIADDQVLEEDISQSSARTIGSESGNPRSRSGPVRSAGFELDLNMAGEVAENNQFISNASHRVEVTLLPSRPLPEGLTNTDASSSRNFFDLNNGPSLDEASTESAQRSLSSKGASSIPFLPQVAGLRMNNTEISNMSPWYASANPGGPVAMQSFFPAREQPYPIETAPGTQRIIAPTADGGQFGSGSCRPPVISTSPAMVFHPPAYQYAGFPFAPGVHLQTAGFPIGSVPYGSSAPAGVTYFPTIAPSFPGSTGALPAQHARQYAINLPEGSSSDGHESNWKWRRQGLDLNSGPGSIDIEGKDERVPLSLRQNLITPPQAFVEEQARMLQMAGVGIKRKEPEGSWDAERASSYKQLSWQ >SECCE4Rv1G0262980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:714011373:714012269:1 gene:SECCE4Rv1G0262980 transcript:SECCE4Rv1G0262980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSSSLLQLLAVAVAAAQFLGSEAGGISIYWGQNGGEGTLAETCATGNYKFVNIAFLSAFGNGQPPVLNLAGHCDPTNGGCANLSSDIKSCQSRGVKVILSIGGGAGGYYLSSTQDAKNVATYLWNNFLGGKSSSRPLGDAVLDGIDFDIEGGTPLHWDDLARFLKGYCNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNAPCQYALGSTTNLADAWKQWLTVPAKQIFLGLPASPQAAGSGFIPADDLKSDVLPLIKSTRKYGGIMLWSKYYDDQDGYSSSVKSDV >SECCE4Rv1G0276910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:800817265:800822112:-1 gene:SECCE4Rv1G0276910 transcript:SECCE4Rv1G0276910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVNNLPAAGEGEADRALCSQERRRRRRRRSGQVAEQREGSGAVPAAKWRGAYGAAGMLGSYREIMEDKVSLRPSFCTWADGSRLHFFAVFDGHGGPEVSSLCRDHMHVILADELARAAADYRKESQQDEEAEHRAWKAALTRSFARVDELGASGVPRGTVGGSTALVVLLVRGRILVANCGDSRAVLCRAGRAVPLSQDHRLDRPEEMARVTGAGGVVFYYGGVLRVRGILAKTRALGHTLLKPEVICEPEITITARSGDEDFMILASDGLWDVMSNQAACSAAWKFLEDKSTNVGTAVGREEEVRCIHAAFHLTDLAFRMHSRDDICVVVVDMKIRG >SECCE3Rv1G0212850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956895939:956899120:-1 gene:SECCE3Rv1G0212850 transcript:SECCE3Rv1G0212850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSSQVDAFSPSQFTASQNAAADSTPNKFRGALGTMPLTVKQIADARLAGTGEKGGPFVVDGVETANVRLVGMVSGKAERNTDVSFTLDDGTGRIDFIRWVNDAADSAETAAIQNGMYVAVIGSLKGVQDKKRATAFAVRPITDYNEVTLHFIQCVRMHVENTKSKVGSPAHTNSSVGTPFSNRLNEAATPPSVKSNLAPVASGTNGSGTDFYTQVLNVFREPANIESDHGVHVDDIAKRFRLPALKIKEAIDYHVDVGHIYSTIDDFHYKSAFVD >SECCE1Rv1G0027170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:378912902:378918434:-1 gene:SECCE1Rv1G0027170 transcript:SECCE1Rv1G0027170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSCLASPATARGGSALRLQLQPRGCRVTCLADAGGAGTGGRAKAVGGFACGLLAAWAVASAPSPVIAAGQRLPPLSTDPKRCEAAFVGNTIGQANGVYDKVLDLRFCDFTNDKDNLKGKTLSAALMSGAKFDGADLTEVVMSKAYAVGASFKGTNFTNAVIDRANFGKADLEGAIFKNTVLSGSTFDEANMKDVDFEDTLIGYVDLQKLCRNTSINEDTRLELGCR >SECCE5Rv1G0363360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:792498309:792498846:-1 gene:SECCE5Rv1G0363360 transcript:SECCE5Rv1G0363360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSATGAVSKVKKYVVGRKLGGGPRKKAVARSVKAGLQFPVGRIGRFLKKGRYAQRVGMGAPVYVAAVLEYLAAELLELAGNAAKDNKKSRIIPRHLLLAIRNDQELGKLLAGVTIAHAGVLPNINPVLLPKKTAEKEPKSPKKAAKSPKKYVLFC >SECCE6Rv1G0384040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:59793941:59794312:1 gene:SECCE6Rv1G0384040 transcript:SECCE6Rv1G0384040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLRKSLKSGSCDDLENGRRKMREEYEAGHARLLDLQAREREMRELVPAATSAIALLATPRVGDTRETYCHVCHDDFEEEDKLRAMPCAHSFHQRCIFRVLSVNRLCPVCSYELPGDYLEMP >SECCE4Rv1G0284000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:837681455:837684890:1 gene:SECCE4Rv1G0284000 transcript:SECCE4Rv1G0284000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDRRSTSRSKDSPLSSGTAAVAVKSCGDVPDTPSVPFAYRGLLYQQSGGRGRWRECTVVLGAVAMVALFATHALLPRASVLSEETRGEARAEQNIIVAAGADAGGFPWSNEMLQWQRTGFHFQPEKNYMNDPNAPMYYRGRYHFFYQYNPTGVVWGNITWGHAVSRDLVHWRHLPLAMVPDQWYDIHGVLTGSATILPNGTVIVLYTGKTDTSAQVQCLALPADPDDPLLVNWTKHPANPVILPPPGIGLQDFRDPTTAWFDKSDLTWRTIIGSKDDNGHAGIALMYKTKDFLRYELMPGVLHRVEGTGMWECVDFYPVGGSNNSSQEELYVLKASMDDERHDYYALGRYDAETNTWTPLNPELDVGIGLRYDWGKFFAATSFYDPVKRRRVMWAYVGETDSMSADAAKGWASVQTIPRTVMLDEKTRTNLLQWPVEEIETLRFNSTDFGVITIHTGSIIPLRLHQATQLDIEASFRLDASAIAIINEADVNYNCSSSGGASTMGALGPFGLLIHAAGNGGSEQLAVYFYVSRGLDGALRTHFCHDELLSSWANDVMKRVVGSTVPVLDGEALSVRVLVDHSIVESFVMGGRLTATSRVYPMEAIHTAAGVYLFNNATGSSITVEKLVVHEMASASYNQTFVADDDR >SECCE6Rv1G0395870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:346131296:346132558:-1 gene:SECCE6Rv1G0395870 transcript:SECCE6Rv1G0395870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGAASPSPSPSPSRSPSPLPIADPVTVAAVPPGHLAISIPIHKHGPSSSSGGGGGGGGREDAWSDGATSTLIDAWGERFVALGRGSLRHPQWQEVAEVVSSRDGYSKPLKSDVQCKNRIDTLKKKYKVEKAKSDSSWPFFDRLDFLLAPVQKLVGNSGGAAGNSGSSNPSNRSTAPMAPRVNFPQRTRTAFPSSGMKRRLPSPPQASASSESSDGFPPETLAVAEAVNGKRQRLEESANGAGSSDRVQGLRDLAQAIRRLGEAYERVESSKGEHELRMERNRLDAARQLEDQRVQFFLKMQMEISKANNGASLAVPLATAAMVDNSASTADDGDSPAAADGNGSRRASMATDVMASSNHHVRYRVKEDRHHHASQRPSYRYNQNNVAAADARGSGSGSDSGNKEDEEEMEEEEEESQ >SECCE6Rv1G0405190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:528558719:528561965:1 gene:SECCE6Rv1G0405190 transcript:SECCE6Rv1G0405190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCA2 [Source:Projected from Arabidopsis thaliana (AT5G62940) UniProtKB/TrEMBL;Acc:A0A178UF22] MMAGAAHPMHFCMDSDWLKGIVPEDQGGMGSSSPSEELIIACPEPMQAQQAADRRLRPQHDQPLKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRASAAKKPSAAAAIAPPISMMQQLHHGRHMTETGLHLSFSGMQPPPVSAADPLCSLGLFDWKYDHILSGSGGFESANSEAHFTGPGMMGIANGSGGGGGGAEYHALNALRYAAGLGEHLALPFGGATSRAERNSTVVEMKPQTERLLSLEWCGEASRTPTETSMSSLGGLGLWSGMISGAAHHHHGSSAAI >SECCE7Rv1G0484960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:353079738:353082642:-1 gene:SECCE7Rv1G0484960 transcript:SECCE7Rv1G0484960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDGQIRRDRLIIDTDPGIDDSMTILMAFGEPSVEIIGLTTIFGNVTTEYATRNALLLCERAGHPEVPVAEGSLEPLKGGEPRVADFVHGSDGLGNLSLPAPTTKKVEESAAEFMVNKVSQFPGEISVLALGPLTNVALAIKRDSSFASKVKKIVVLGGTFFAAGNVNPAAEANIYGDPDAADVVFTSGADIDVVGINITTQCCFTDEDLLELKNSKGVHAQFLCDMCKFYRDWHAKSDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDHGLKKWNSENPWSGYAPISVAWTVDVPKVLAYVKKLLMAP >SECCE4Rv1G0289590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:866628439:866629671:-1 gene:SECCE4Rv1G0289590 transcript:SECCE4Rv1G0289590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSSPSSPSSSPTSAHHRGYQVQEPAAAAANEGNGGQLDHGIPFPAAVDYVEAAELSPPRCEWEFRLAATVPSPSLAGASEAIGSVDFDPAGRLLATGGIARKVRVYGVAGLPSSPSPAACICVPAKLSSVRWRPEEGGGRAVGCGDYDGVVTEYDVERGVAAWERDEHAGRRVWALDYAPRGGHASMAASGSDDRTAHVWDPRAPSGSWATARAGGAVLCVEFDPSGGPQLAVGSADRRAAVYDVRALGHGAVASMDGHARAVTYVRWAPARRVVTSAADGTHRLWEWPSTPELAGPAREVRSYSGHVSGRSFVGMGLWRGAGLVASGSESNHVFVYDLRWGKPVWVHPFDVASDGSSDAEGFVSAVTWLQGDADGGGALVAGRSDGVLKMFTCQPRRGDNQQVDDL >SECCEUnv1G0539470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75844638:75845795:-1 gene:SECCEUnv1G0539470 transcript:SECCEUnv1G0539470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRERILSHLLPFSSTSSPLHRLLSASAAAGPISPTPIGFAVEEYLVDTCGLTRPQALKATTKLSHLNSPANPNAVLAFLSGVGLSGADVAPVVAKDPQLLCAKVDKTLAPVVDGLTGLGLSRSDIARLVLLNPCGFRRRAIVSRLHYYLPLFGSFQSFLRLLKRSSRLLSSDLDKLVKPNVAFLRECGLGDCDIAKLCIREPRMLSTNPERIRAMVACAERLGVPRGAGMFRQALQAVAFLNEEKIAARLEYLKNTFRWSDAQVRIAVCKAPFVLKKSKESLKRRSEFLFSVAGLEPMYIAHRSVILGLSLEGRIRPRYYVVKFLKQSRLLDRDWSFYTAVMVTEKVFMEKFICPHKEAAPYLAQDYATACKGEVPTSIIFR >SECCE7Rv1G0484080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:340018244:340019678:1 gene:SECCE7Rv1G0484080 transcript:SECCE7Rv1G0484080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSLLLGSPASIVLLLLSFFQGPVVGAITFTFANRCTDTVWPGLLSGSTSPPLETTGFALSPGQSRSLYGPTGWSGRFWGRSGCNFNGGKGTCVTGDCGSGEIECRGAGATPPATLAEFTLDGDGGKDFYDVSLVDGYNLPMLVQPSAPGCPDTGCLVDLNERCPSELRSGGGLACRSACEAFGKPEYCCNGAYGNPDTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPQSNPYSGKQNKHALRRPSHEQLEDDVWLASLKKTSGAGGGAVASWPASGGFQAALAIAVAVVLATAQGGHPRFSLL >SECCE3Rv1G0190020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:733871200:733876096:1 gene:SECCE3Rv1G0190020 transcript:SECCE3Rv1G0190020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGPSGRGSAGGTRSFDFGTDDVLCSYDDFAAPSEPKRPDPVDKDFHESRFGRPSVKVYEQDSYAKEDVLSAVEKCMKKYADNLLRSLEGITSRLSQLEIYCYKLERSIGELRADVLRDETDLRLKSLEKHLNEVHRSIQILRDKQELADTQKELAKFQLTHDESKKKEDALTPSFSEPKKPEEKRDAASQQLALALPHQVNSSSLVPRASGPVQQYNDQPVQQTTPSSHLPQQDRYAVSQAIVYYPQHQAPGNQDTQGQQVQQEVQYLPTRPQAQDVHASSQPQSANQSQPQSYTPYQQQWQGQQQQPSRSSPAPVAQQQQPFSQPFPPPVQQQQLSNAHQFPTQPAQQSQLPNVQQFPTQQAQQSQLSNAQQFPPPQLQPQQSNPHLPQTAQPQHPHVQTQMRPTTPPPNYSGGYPPHQPLNPSPETLPGSAAMQGPYNTVAPSGGSHSEVQYSYGGPGIPPSQPPPQHNMQRQQLPPPGQGSFGPPPSKGAYPPQYAPQGHPQGYNTPYGGYPPNGPSAAQAPQMPPGGAGVSHPGSSHHHIMRGHPYGEMIEKAITMGYPRDQVLNVIQRMSESGQQIDFNALLDRLNESGSGAPPRAW >SECCE4Rv1G0283760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836428872:836430083:1 gene:SECCE4Rv1G0283760 transcript:SECCE4Rv1G0283760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFAGVSVFNGDKPCSCVTSGVGAGAGASSAYHLLVVKGYSGTKKELPNGESWCTELFKVGGHEYSIEYFPNGANPSCADFISLDITRFYDEDVEEGVEAKFSFSLVDDVEKQTPTYIRATRKTRDFRRCDPCWGCDKFMRRDALERSASLKSDCFTIRCDIVVCKDNTPDAIGTGTEVLLPDIHQHFSDLLQNKVGADVTFEVGGETFAAHRCVLAARSQVFMAQLFGTSTSNVIQITDMEAKVFRALLCFIYTDSCPEMEKDSMEEDEMPPQGQVEEVVEEKMSEVAEQTQEEAVEDEMHMQWLQDLFVAADRYNLQRLKFICEKQLCEHVGVSSVASTLALAEQHRCHGLKKACLKFIRVLSPSRLQTLMATDGWGHIATTYPSVLYELIAMLASNQRK >SECCE7Rv1G0513740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:825411427:825416721:-1 gene:SECCE7Rv1G0513740 transcript:SECCE7Rv1G0513740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKPEFTKDGSVDLRGRPAVAARTGRWKACSFLVGYEAFERMAFYGVASNLVVYLTTQMRQETVPSVRSVNNWTGTVWMTPIAGAYIADAFLGRFWTFTVSSLIYLSGMVLITLAVSLKPWHPQCTAGGDCAPATRQQVAFLYAALYTMAIGAGGTKPNISTFGADQFDDLDAREREIKASFFNWWLFSSFAGGLVAVLVLVYVQEEVGWGVGYTIPTVGLALSLVLFYVGTPFYRHKPVTRSTAAGPARLIGKVLRAAYANRGCPLTGELHEHDKAWYAAAGKRLLLHTEGNFRCLDKAAIRERETCTVTEVEEVKLIAGMIVVWLVTLVPCTIWAQVNTLFVKQGTTLDRSVGGVHVPAASLGSFVTISMLVSIPIYDRVLVPLVRRRTGNPRGITLLQRLGIGCALQVLVVACAWLVEVRRMRVIRERSAHGPHDTVPMSVFWMLPQYVLLGVGDVFNSVGILEFFYDQSPEGMQSLGTTFFTSGLGVGNFLNSLLVTLVDRATTAGGSGRRKSWIGDNLNDSHLDYYYVFLLLLTMVNMALFVWVAMRYKYKREFLEDSGAMETETEMVAAGKAKADLLCLPDNTTRDRAYILE >SECCE3Rv1G0153930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:57757958:57760056:1 gene:SECCE3Rv1G0153930 transcript:SECCE3Rv1G0153930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAAGANCPRRSTRLHPRIHANVEEAEIATRRRSPRLHPQTLASKEVSGVTRRRRGTRLHPQIHGNGEGAGVTRRSSPRFHPQIQASGDGEAARVTRRRRGTSPEAAESLPASDDLLREILLRLPPQPSSLPRAAAACKRWLRVAADPRFLRGFSARHREPPLLGVFEPLDWEIDSRGLLNRRPFRRRDKWIAFRPVLDPPDRIPPQRFDLRHHGVETGIRSRAQLLGCRHGRLLIVDHAHRDFVVYAPITGEQRRLAVPMEFKMGFLNAAVLCAADELGHVHGSCHWSPFKVVLVSMYKQDNRPVACVYSSEAGVWGYLISTDARCRLVDANPGILVGNVLYWSSKSVCDGEGLWGSDRRTNDIIEFDLDTHSLAVIKGPPCLSNSLRHQIIKADEGSVGLTMVFYGRFQMWQRNIDCHGVATWLKHKSIQMHPILGISHHHLEISPRWIDVLGYDEDNGVIFLHVDASVYMVQLMSMQSKKLYRSYCPNNRCHPFTSFYAPVIPGGCDGAEMLHDT >SECCE4Rv1G0249320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:581846029:581847393:1 gene:SECCE4Rv1G0249320 transcript:SECCE4Rv1G0249320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAVAWLLKVAQWLASAGEPLHALWPIHLLMPIVLPTVAAAVYLAHRPRATYLVDYVCFRPSPNNRFPKAALLEHSRMSTFLADSTISFIARVLEHSGLSNETCVPPALSYIQPNCSLDEARAEVELVVFSVIDDLLAKTKMDLDAIDILITNCSVFSPVPSIADMIVNRYKLQGDLRVINLSGMGCSATVTAVGLARSILEVMPFGSQALVVSTETIGPNHYAGNNRSMQLVNILFRMGGAAMLLSSSRSKARFRLTHVVRTITASDDNAYRCVYQEEDEDGIKGANLSKNLMAIAGDALKANITAMGPLVLPTSELIKFLLVSLARKVLLGRRIRPYIPNFRTAFEHFCIHVGGPAVISSVQRGLNLSDEHVEPSRMTLHQFGNQSSASVWYELGYIEAKGRMLKGNRVWMIGFGAGYECNSAVWVCIRTSHGDHGPWASCIHRYPLDVQK >SECCE3Rv1G0180780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:591267397:591267900:-1 gene:SECCE3Rv1G0180780 transcript:SECCE3Rv1G0180780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKQLTLKEEKNGNFGREFVKCESKSEGHIVKICYHFEWMDDYIQRLQGLALLDSRGNAIGEFNLPHDSTPPAAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE4Rv1G0229550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:150837410:150839057:1 gene:SECCE4Rv1G0229550 transcript:SECCE4Rv1G0229550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAIVALSLILALVAIASGGASAQLSSGFYSSSCPGMLKAVRSALHPAIARERRVGASIVRLFFHDCFVQGCDASLLLDDAPGLRGEKNATPNKNSARGFEVIDAVKAAVEECCPGVVSCADILAIAAKESVVFLGGPSWEVKMGRRDSTTASFNGAENNIPPPTSGLANLTSLFAAQGLSQKDMVALSGAHTIGLARCTNFRDHIYNDTNIDAGFAKSRQSGCPRPTGSGDNNLAPLDLQTPTVFENDYYKNLVQKRGLLHSDQELFNGGAADALVQEYVGSQSAFFKDFVEGMIKMGDITPLMGSNGQIRMNCGRIN >SECCE2Rv1G0079490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:120171613:120174618:-1 gene:SECCE2Rv1G0079490 transcript:SECCE2Rv1G0079490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTMRAVKVPPNSASMGEARHRVFDFFRQACRAIPSIMEIYNLDDVVTPAQLRASISQQIRKNQGVSDPKVIDMLLFNGMEELNNITEHAKQRHHIIGQYVVGHKGLVQDLEKDQGSSEFLKKFYTSNY >SECCE5Rv1G0336770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:586436807:586439193:-1 gene:SECCE5Rv1G0336770 transcript:SECCE5Rv1G0336770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDEGYGPTWDSDDEYDNFIRKMNPPSIIIDNDTCEDATIVKVGSANEYGILLEVIQVLMDLNLVISKAYITSDGGWFMDVFNVTDKEGMKLKDKADIAQIEDYIRKSLGADSRYLPTKRRSVGVAASTDHNVIELTGTDRPGLLSEVSAVLASLKCNVVNAEIWTHNTRVAAVMRVTDEDTMLAVTNTERLEMIKERLSYLLRGNNLSRGAAVAEASGTSATHTERRLHQMMLSDGDCEESHGHVPAQPQRPNVTVRNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLRYVVYHANIEANNNQAYQEFYVRHVNGSPMNTEAERLRVIQCLEGAIERRVSEGVKLELCSNDKVGLLSEVTRIFRENSLTVTRAEVNTKGRTAVNTFYVRSSAGEIVDQKTIDSIREAIGHNIQVKGHPEAAAPQKKESPTWFLFANLFRPRSLSSLGMFVR >SECCE5Rv1G0306610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:96635685:96637297:1 gene:SECCE5Rv1G0306610 transcript:SECCE5Rv1G0306610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRREPPPPLRPAGGPMTRRRKLAESEPPPEDTISNLPDAVLGEIISLLPTKDGARTQILASRWRHLWRSAPLNLDCRGLKHGDGLSGALSRIISSHRGPCRRLCIHADLLDAPSTTVDSLLRSDALGNLQELEFSCFEQPPPASIFRFSPTLRVVTIGCCNFADSTVKGIHFPLLKQLGLEFVCISECSLHSLVASCPALESLLIHRSCGFRCFRINSLSLRSVGLDSYAVSRNNKVALQLQELIVNNAPCLERLLLLHQETGLHISVIAAPKLQTIGFLSDGYYECSQDNLYSLEFGSTVIQGLHVDNLDMVVRTVKILAIQMKDLCLDTVIELLTCFPFLEKLYINARTSESSNLWRRKHRNLINCLDIRLKMIVLKSYWGIESQVNFVRFFVLNARMLESMTLQVETSYYNEEFLVQQRRKLQLQNRVSRGAQYHFTTDRCIRTSWDIKHVSDLDVVDPFVCRC >SECCE4Rv1G0218420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:22329775:22330516:1 gene:SECCE4Rv1G0218420 transcript:SECCE4Rv1G0218420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKALLLAILGCICLCSSTVLSARELGDAAMVERHEQWIAKYNRVYKDGTKKAQRFEVFKANVAFIESFNAGNHKFWLSVNQFTDLTNDEFRATKTNKGLKRSGSRAPTGFKYNNVSTDALPPAVDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKLSTGKLVSLSEQELVDCDVHGVDQGCEGGEMDDAFKFIIKNGGLTTEANYPYTAQDG >SECCE6Rv1G0407670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:561603008:561603880:1 gene:SECCE6Rv1G0407670 transcript:SECCE6Rv1G0407670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFQSIPGLAGRLFGGAAAADIRRVQGPASRCGVFSQAASAQPEAAVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKAKRSSSSASAPSTPAATEAKSQRRGASASSSSRSNSGSGSASPTAAAEETTTTTDPPPPTPSSNSNSHTVAFASRSTNYPFAADVPPPAPIFADQAAALASLFAPPPPPPLPVFNFSSEPKMEEAIGSLLLPGQEPAQEPEEPTCTSTVGDMAPFMSLDAGIFELGDASPADYWNGGSCWTDVQDPSVYLS >SECCE5Rv1G0303600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:49915069:49922255:1 gene:SECCE5Rv1G0303600 transcript:SECCE5Rv1G0303600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQKDKARPGTSPPGAGMAGDDEDEAVLSSATKQKVEAAKQCIENHYKAQMRSLQERKERRWMLEKKLADADVSEEEQNSILKDLEKKETEYMRLRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDDEFLYLVMEYLPGGDMMTLLMRKDTLTEDESSFYVAETILAIESIHKHNYIHRDIKPDNLLLDRTGHLKLSDFGLCKPLDSSSFPNLSELDNAAGNITNASADGDKPLSSTAVPRRTQQEQLMHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEARLSPEAKDLINKLLCNVDQRLGMKGAHEIKAHPWFRSVEWEKLYQMEAAFIPEVTDELDTQNFENFEEAAPTMQTSSKAGPWRKMLSSKDMNFVGYTYKNFEIVNDPELPGIAELKKKNMKPKRPTIKSLFECAESDDQPEGSFLGPLPPQLELPETPSPHSSISSEDSQAPHR >SECCEUnv1G0543350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:112830769:112831278:1 gene:SECCEUnv1G0543350 transcript:SECCEUnv1G0543350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSSLLPTLLVLLAVAATASAAPTTFVRAAELAERLEGVGQQQCWETLLDVKSCTGEIILFFLNGEAYLGPGCCRAIRIIEQRCWAADLMLSVIGFTPEEGDMLKGYCDAGDDDNGGGERHHHGVVGSSPAPPPRRALDGVASVGTAVPMAGRKELGAPLDGKLS >SECCE3Rv1G0213070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:958189471:958191419:-1 gene:SECCE3Rv1G0213070 transcript:SECCE3Rv1G0213070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACCCFVSLSQLLIVITLIYLVMSKSKGRSGTCSLPLPPGPWSWPLVGSLPEMVLNKPAFRWIHRVMKDMGTDIACFRLGGVHVVPITCPKIAREVLKKQDKNFLSRPLSFASDAISCGYKDAVLTPFGDQWMKMRKVLTSEIICPSRHKWLHNKRVDEADNLTRYIYNLTTGGSSSTSGLANVDVRHVARHYCGNVIRRLVFGQRYFGEPQPDGGPGPMEVEHMDASFNLLGLLFSFCVSDYLPCLLGLDLDGHEKIIKAANTKVDRLHNMVIEERWRQWNSGERRDGVEDLLDVLITLADGDGEPLLSIDEVKAQCKDIILAAIDNPSNAVEWALAEMVNNPELLAKAVEEMDRVVGRERLVQESDIMQLNYLKACIREAFRLHPVAPFNVPHVAIADTIVAGYRVPKGSHVILSRLALGQNPTVWDEPLQFKPERHMGDNINVVLTESELRFISFSTGRRGCIAASLGTTMSVMLFGRLLHGFTWTKPAGVSTINLNESKHDLFIEKPLVLHAEPRLAVHLYPLMHH >SECCE4Rv1G0218360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:22112421:22115748:-1 gene:SECCE4Rv1G0218360 transcript:SECCE4Rv1G0218360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCDCIEPLWPTDELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTVAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDWEMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTIVELGRTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSSISINLPVINQVFSSNRAIIIPHTSPLARIRPLAGRYVPPEVAAVRVPLLNLSNFQINDWPELSAKSYAIMVLMLPSDSARNWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDRSLELEIRAFNLHAVFKEVMGFIRPIAAIKRLSMSVMLAPDLPLCAIGDEKRLMQTILNISGNAVKFTKEGHITLLASVLKSDSLREFRTPDFHPAASDDHFYLKVQLKDTGCGISPQDLPHVFTKFAQTQPGGNQGYSGSGLGLAICRRFVTLMGGHIWLDSEGAGRGCTATFIIRLGVSDNTDAYQQQLTPLAWPGSANAESAGPKALHEERWPPSSLKPRYQRSV >SECCE7Rv1G0514750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:836474420:836482702:-1 gene:SECCE7Rv1G0514750 transcript:SECCE7Rv1G0514750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGGAVQQPRKKNSWGWQKGTLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLVPLLKYIILVLGADDNGEGGTFALYSLMCRRSRMGLLNSLHAGHGSVSSYNQDEPCKETRSSLAIRGFFEKHHSLRVVLLLFVLMGTSMVIGDGVLTPTMSVLSAVSGLRIKFPELHENYTVLLACVVLVGLFALQHYGTRRVGFLFAPILLSWLACIGGIGIYNIFRWNPTVVRALSPYYIYNFFRKAGRDGWSSLGGIVLCITGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPDRVFWPVLFIATLATAVGSQAIISATFSIISQCRALGCFPRIKVVHTSSHVHGQIYIPEVNWTLMSLCLAVTIGFRDTEMIGNAYGLAVILVMFATTCLMFLVITTVWNRSVLWAALFAAGFGSMELLYLSACLAKVPHGGWLPLLLSLATLLVMSAWHYGTAKKQEYELQNKVCLDHFIGLSSGMGLVRVPGVGFVYSDSVAGVPPMFAHFVTNFPAFHRVLVFVSLQTLTVPKVPPEERFLVGRIGRPEHRMFRCVVRYGYKEGRWDHFNFEDQLLVKVLEFLQLQQPDGDGERCSTGSGEMSVIPAAPSQAVVDALASMSSGEIEYYAGKKVRFEELPAAWRREETVSEVRELLEEREAGVSYMIGHTCVFAHESSSAVKKLAVNVVYGFLRRNSRRPAVVLGIPHTSLIEVGMVYRV >SECCE2Rv1G0124640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833388092:833390357:-1 gene:SECCE2Rv1G0124640 transcript:SECCE2Rv1G0124640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGSWRFLYDSDSDDELLRFAEGRTAVRAERQQQQQVQAAHDDAQPAYAVAISAAEAQPVVSDQRMMADLPSASAMQLYHHQAPPMVHVHQPQPAPPMPVGHQQEPSMVHLQYPQPAPMMQFEPQQAPSMVHSQYPQPAPATPLQHQPGESPATVQLHVGQYQHMMGESSSAMPFHHQQVLVDSPAPMQLQHQQMQGLSEASPEVMSALEYQRIMADLGLTDLYVEDEEVHLPGDGGAASIPDPEPVVLESGLQNDQIQKPSQARGEGQFFAAAPQPGHNLGPSGAASIPDPEPVVLESGVQNDQIPKPSREGGEGQFFAAAPQPGHNLGQPPVTPPAVAPAQPEQESCEHCYVVREVRNHSALGPVTLSVHRATDGTYTHIILELKGTAAQGPNSGTQRIYRCLRDLTPESALEYVESCIRKMRNQAGPLEDVIGGGGAASSTMIRAPPGDVPGSPWAPERGMRQPAPPVPPPRPTEQEEMETRQYLRQAADMARKELGSLASEVRSVRNQRAPDNNRKALFSRLRELNHKIKRFEKDSAKRVGSELSKIRREVDGFVMEKRQLYDTLKELMQRATRNCHRFPPRGNDDQAGGSGAAGAAVS >SECCE6Rv1G0447490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850705412:850706973:1 gene:SECCE6Rv1G0447490 transcript:SECCE6Rv1G0447490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGHKTFKILCRAGEGYCLTVRGGEDEDAAVVMAAVDPDDEYQHWYKDMRRSTMVKDEEGYPAFALVNKATGLAIKHSLGQSHPVDLVPYEHEVLDLSVLWTQSKDVGDGFRCIRMVSNIYLNFDAFEGDPVVGDGTVVGLWEWNEGHNQCWKILPWGTDADADADADAPPPAAAYGGDDEYGGYHGGQDQETASGSYGRDDAVPQDHGQGYDGGLPPALSSDCTVRIYCSAGEEYSVAARDGAVCLAPTDPSDDLQHWVKDMRRSTSIKDEDGYPAFALVNKVTGEAIKHSRKSHPVRLVRYDPDYLDESVLWTESEDVGDGFRSVRMLNNILLNFDALDAGEGQGGVHDGTAIILWEWCGGENQRWKILPWCE >SECCE2Rv1G0102330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:576202345:576203817:1 gene:SECCE2Rv1G0102330 transcript:SECCE2Rv1G0102330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACGRLAIYYLASTTRRAGDGRMPPGPTPLPVVGNVLSLGGNLHHTLARLAHANGPVMTLKLGLTTAVVVSSRDAACEAFTKHDRRLAARAIPDAARALGFSDRSMIWLPSSDPLWKSLRGVVATNVFSPRGLAAALDIRERKVRDMVSYFHARAGTEVDVGQALYGGVLNLVSNAFCSVDVVDMGAESAQGFRKLVEDLVEVIAKPNVSDLVPFLRPLDLQGWRRWTEIRFEKIFLILDGIIDRRMAAANASTEKHGDFLDSLLELFSTGKMTRDNLTTILFDVFAAGSDTMSITVAWAMAELLRNPSVMAKVRAEIGNALGRKETIGENDAASLPYLQAVVKEAMRLHPVAPILLPHRAVEEGVEIGGYAVPKGSTVIFNAWAIMRDPEAWERPDEFMPERFLDMADQVDFRGKAFQFIPFGSGRRLCPGLPMAERVVPFILASLLHAFEWRLPDGVSAAELDVSERFTTANVMAVPLMAVPIVIT >SECCE6Rv1G0442710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:818584182:818585418:1 gene:SECCE6Rv1G0442710 transcript:SECCE6Rv1G0442710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACLLPATTTSLAHARLASRSSSSTSSSAAACLPIDSFPFLSYCEFREDMDEQWMIGRTSLSPGLNVSGPGGAPPVTRDLVEEDFMSSKKNHEVEALEAELRRVGVENRRLSDMLRALVAKYSDLQGKVSGMMAAAAAADNHHQSSTTSEGTSAASATRKRPRSDSLDTASRNPSPPLAAAGSSGRFAVGPDQAECTSLHEPCNSKRVRADEVKASRVSKLYVHADRSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPSCQVKKKVQRSAEDKTVLVATYDGDHNHAPPPKQQGSGGRKSGDAAAVRASPAPMLVQQQQKQEASTAEQVANSDRKNLVEQMAATLTRDPGFKAALVSALSGRIPVA >SECCE5Rv1G0351780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:703139819:703144366:-1 gene:SECCE5Rv1G0351780 transcript:SECCE5Rv1G0351780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPGVSRVPLAALASFLTCPLCKGYFREASAFAECGHTFCRDCIMKKIAEEGIESCPVCNAALGIAPEEKLRDDPKIQAIRDHAFPPKAEVDASEAPTITLPAKIKERSISSLVKTPMIATQPTPTGQSAARRKFMSHLFSVGKLPNKSEDHSQKTEMASAPKSTKVATSANKKKNSADISEDGKNRETIDNEELHKPLQSLVVASTKKSQQLSCLRESRKNKTTTEDSLRESREADSDDEITTPVWFSLVTSPNQAEAKRLPQLEQKFYRIKEGTMQVSSILKLIMQKLELASDFKVEILCHGKPVCPSTMLPGLLKQWLSRKPKRRVQRPVGAQANEFVMELGYRRCLASKSLCCMKKTASLPCHCTT >SECCE5Rv1G0375290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867849427:867850365:-1 gene:SECCE5Rv1G0375290 transcript:SECCE5Rv1G0375290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVMTFTGRTVTLDVESSDTIGNVKAKIHHKEDFQPFQQCLIFSGKRLEDGRTLADYGIQKGVTLYSEPRFPGHIKISVRSLNGKMMTSQVQPSDTIGAMKAMFLFEHHLIFNGKQLEETRTFADYDIQDGSTLDDFRLHAGMKININVLDNPLYVESSDTIDSVKAKINDEYGIPLAQQRLEFHRIRRTSGFHPYSILQGSRTLADYNIQNGATLDLIVCHRPRPMQIFVKNLGGKTLTFNVQSSDTIRSVKEKIQQVDGIDAASQRLIFSGWQLEDSFTLAEYNIQHESTFHLCLRLYSCAKCPGTHH >SECCE3Rv1G0168940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:229564727:229566244:-1 gene:SECCE3Rv1G0168940 transcript:SECCE3Rv1G0168940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGRRKSFSFFEEDRKARPPAAQTPVHHYARGGGGGGGGGRSPAREAAEPARMSMSSVPVAELPAMMGVGGVGGGCSPWVQSPLHSRLRFPPSPAAIYHCLAALHRLDGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPALAACGGTLVTSHSRDNHVRVWTVCAAAVCDHIRAKKAATLPAKGGLLSFAKKRPHHHRDTVSCLVLHAVAGLLYTASHDHTVKAWKLSDGSCVDSFVAHDGPINAMLVNEADGCIFTGSADGTVKMWRRVYGGTAHALIIVLRSELSPVNALTICHAASGTTRRCFLYAGSSDGYVNIWEKEASAGRPVHVGFLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRREGKGGESHTCLAVIEGHRGPVRCLAVGGGEAGEVEGSMVVYSAGLDKSVKVWRIRVVGKEEEEEEDDEDDDGEEEMDDIVTAGKGDVDAVRDEVEAEEEQVVSLGPTPVLSPVWVEKRRHTSRG >SECCE6Rv1G0398980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:429836442:429837047:1 gene:SECCE6Rv1G0398980 transcript:SECCE6Rv1G0398980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPNPESPNALGGGGGSGGGGSSGGGGASSSAGGGVTPQTPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHTASCPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPESNPFAARAVRLFLREVREHQARARGVSYEKKKRKKPTPGDASSSGSHQPPPPPPPPAGAAC >SECCE2Rv1G0066480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14450956:14451495:1 gene:SECCE2Rv1G0066480 transcript:SECCE2Rv1G0066480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRAFFLGVCAVLLAIAVANAEAASVVAGLAKCADCTRKDMKAEETFKRLRVAIKCRTAHGEYESKAMGGLDGTGAFSVPLAADLHGADCVAQLHSAASGAPCPGQEPSKIVPVAEGATFGVVAGAKTTTMPSVASPECASAALCPSTPGKKPHGGGHQPKNKKKHNPHKPETKALP >SECCE7Rv1G0476540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:206272016:206274958:-1 gene:SECCE7Rv1G0476540 transcript:SECCE7Rv1G0476540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQAAAAASQQGDLCRHGQLPRWHGDASAEPLPFYVPLRKRLSVDGKSPAPRICIWECDGEAGDITCDIVAAPFRRSCSARTMQPPVPFYRTMTPPPPRPQRVLEEAEAEEARRPGRAIRKGHRSYGLMLNLQLGISYSVGKSSALPFKKLLASDFDPREKVWTRFPPEGSKLTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPADYMLAICGNDTLRELASPGKSGSCFFITQDDRFMIKTVKKAEVKVLIRMLRSYYEHVRLHKSTLLTRFYGTHCIKQVGCPKVRFVIMGNFCCSEYKIHRRFDLKGSSHGRTIDKTERKVDETTTLKDLDLDYAFRLQRFWYEELMKQIQMDCVFLETQGIMDYSLLLGVHFRNDLSVSKIGLSQPIALPKSTGKRKSFEGGGNFCEQCFMETGCRERDLITESRRPFVQLGMNMPAQAERSSQKILDKFLLNERHLFITTPSGSGGPCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPGRISAVDPKLYSRRFQNFIRRVFVKQQQ >SECCE7Rv1G0516200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844073125:844074264:1 gene:SECCE7Rv1G0516200 transcript:SECCE7Rv1G0516200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSDYRPFNLAARVAGKRRVLILPAKLKSAVPAIALVLSAVAMIAVIAQHHRSITYFLRPLWDTPPKPFTVIPHYYAPNTSMAELCGLHGWRARASPRRVFDAVLFNNELDILEIRYRELLPYVHKLVILEANATFTGIPKPLSFAENLERFAFARSKIVYDRLPIATHSSPGRREEPFDVEARHRRALNALLRRSGVAAGDVVIMADADEIPSPETLQLLRWCDGVPAVMHLQLENYVYSFEFPVDHGSWRATAHLFSERTAYQHSRQSDLILADAGWHCSFCFREIAEFAFKMKAYSHADRVRRQSFLDPERIQRVICGGDNLFDMLPEEYTFRDLFKKMGPIPRSASAMHLPSYLIKNAHRFRFLLPGGCLRSG >SECCE4Rv1G0249690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:585764364:585767497:1 gene:SECCE4Rv1G0249690 transcript:SECCE4Rv1G0249690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALNMSLDDMIKNRSGRGRGRGRGQRVVRGRGDGQRSGRGDGQRLGRGLGRGRGAGTFRGRGVPSQRPLGVNTRSSSYAIAKSFNKTKDFAWTHDRFEDSMVAAGLPGIETGTKLYVSNLHYGVTKEDLQELFSEMGHLKNCIVHYDNNRHPTGSAEVIFTRRSEAVQALKRYNNVRLDGKEMKIEMIGANLGLAAAPAPRVNVVSGARGRGQREVVMSHPNGFGRGATESPSFLPGWKRNNGFAQRGGSVRGRGRGRGRSSFGRGRGRGVYVRKGSVKSADQLDKELDTYHSGAMNVD >SECCE7Rv1G0522970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879747821:879748042:1 gene:SECCE7Rv1G0522970 transcript:SECCE7Rv1G0522970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATVLVLVLLVTTYSAAAAPAGKLAGDDGQQTGEMVTKTEVNVLNGRSGSGDGEHNCRMSWFAPASCKPGP >SECCE1Rv1G0041870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:574947042:574948109:1 gene:SECCE1Rv1G0041870 transcript:SECCE1Rv1G0041870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFVNLLARSCNGGPRHYSLHCMNPASLFHRTQSAVRAVHRPPADAPLPPPSLSFDWPGKKGERARMNFMAFGLGRENLLAVDQIGRTFLYNHHSRLLRTGMPKMCTPHLHPISVAVGDSLYVMSGNPGPRPDQHCFQALIHSHLPASNAQDWCWYSLQPPPLFADDWVEPSCRDAPMPFEISAYTVVGDSQIWISTSGAGTYSYDTASGAWSKLGNWALPFTGRAEYIPEGNLWFGFTPNDLELCTSDITASCESRPPVLQDVWTDVNRPEDWTLRDASIVPLGSGQVCVARFFLTCPEESIEDMFGFAQDKRENFAVLEGVKVLKAGEARFRMVKHKSVRYVFGRDLVIPL >SECCE6Rv1G0387720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:121072828:121082185:1 gene:SECCE6Rv1G0387720 transcript:SECCE6Rv1G0387720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTDTPPAAARSPLAERLPLGQISEGAAAPRSPALYNRACVGLFSPKSLPPPVRTATRHSGLLGRHSLLLAADSEEEAEEEGEESVASWGLTEDCGYGYFSDHTAEEDGVCSSDSSLFRRARDLYGNGIEDEVTSQFSRRGGGLARGQSKENLRVEVRAAAAAAFAGKSSRGQDQVDCTSHDRYVDVQKFQDFGPPSAPPIAARAGEVDGILDAIADESGGFEKTEISSVADILAQEVHELPTRSTVQADGVQMPYIENNLLAQIPSFTTNVQNAWQSFVAYDACFRLCLNAWAKNCMEAPEFLRDECMVLRSAFGIQKFLLHPKHKNQDDGKHTYDKDESCSMKARKLVKRIEIEVRKIRVVPQRPKLRATSSFRNLYMQAGSEYVRQISKIVKSQVTMLTSTSSTSLPEEMFTCTLELKSSLKGQQRDSISLQYLKPGTGESQLFYLEGQGDVILVEVQDNNRVVIGRAEIQVSSFSDVHQEEFTRWWPLYLEDQECVGKIQLCLNLSMPSDNYGSAKMLQGGLAVDTIIYDMVLEAAMRAQNFNSKMLHISGSWKWLLDEFSDYYGVSDAYRKLRYLCYIMNVATPTKDCLELTYELLLPVIKARDDKTLTRQERSILLDCEDRINVLLAIVFENYKSLDEHSITGLSELFGPISDCAAPALAPAVQIFSVLHDILSNEAQSILRSYLQTAAAKRCRRHMIETDEFMSSNNDNLLTDDMTISAAYLKMKTLCINISLEIQADIKIHDQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLQHVAELLIATADFERDLDSWQVRPVHGGVLSRELFHDYIMVWIEDTRLHLLDYCKAEKLSYPATSTTSPFVEQIYEQIRESINEYGVVINRWPQYLMSLESAIADVEREVMKALEKQYMETLMPLRDGIPKILEKHVQRLTRRQLVAPYVVPNQLGTFMNTVKRMLDVLHCRVEDILKSWAAYLTIANGTTLFGEQMNSITVMLRKKYKKYLQAIVEKIVSETQANRTTRLKRILEETKETEGESEMRERMQALRAQLSDSIHNLHGVFSCRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKLLGNALQDKDLDPPQSVIDARSILC >SECCE2Rv1G0113530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:723273766:723275475:-1 gene:SECCE2Rv1G0113530 transcript:SECCE2Rv1G0113530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine triad nucleotide-binding 4 [Source:Projected from Arabidopsis thaliana (AT4G16566) TAIR;Acc:AT4G16566] MKKSFCFRRSAAKRIGRTPMADWCVFCDIARRAPASTAVLLYSDDKVVAFQDINPSAFRHYLVIPIEHIPTVKNLQRTNEDHQLVSHMVKVGKDLLSQDAPKSEEHRFGFHQPPFNSIDHLHLHCLALPFIPSWRQVKYTPLGPLGGFIDAEKLLEKIKPETEFTVNKSI >SECCEUnv1G0534170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:36158032:36161928:-1 gene:SECCEUnv1G0534170 transcript:SECCEUnv1G0534170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPMASAGDTEARRPLLARRHKDGEDEDDGDASFSGAVFNLSTTIVGAGIMALPATMKVLGLVPGLTLVVLAAVLTDASIELLMRFSNAVGAASYGEAMGDAFGALGRGLLQLCVVVNNIGVMVVYMIIIGDVLSGTSSSGKHHHGVFEGWFGPNRWNGRFAILLITTLAVFAPLTCFKRVDSLKYTSALSVALAVVFVVITAGIAMIKITRGQIPMPMLFPDVHGTWASIFKLFTAAPVLVTAFICHYNVHPIHNELKDPAQIKPIVRGSLVLCSTVYVTTSFFGFLLFGEETLDDVLANFDSDLGIPYGGVFNDAVRVSYALHLMLVFPIVFHALRLNMDGLLFPSARPLACDNRRFAALTAALLAVIFLAANFIPNIWDAFQFTGATAAVSIAYIFPAGMALRDRHGIAKKRDKVLSVFMIVIAAVSNGVAVYSDASSL >SECCE2Rv1G0111170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:698353868:698355191:1 gene:SECCE2Rv1G0111170 transcript:SECCE2Rv1G0111170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSKELLGIEPLELRFPFEFMKQISCSMELTNRTDDYLAFKVKTTSPKKYCVRPNSGIVPPRSTSSVVVTMQALKQAPPDMQCKDKFLVQSAVVTEGTAVKDITGEMFKKESGNVVDEVRLKVVYVQPPRPPSPVREGSEEGSSPRPSWSDGANINYQDATRESDEPPLSSAHKEPSELTTEVSSFEQLFKSAWIFL >SECCEUnv1G0528520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:4726112:4728498:1 gene:SECCEUnv1G0528520 transcript:SECCEUnv1G0528520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGENDENTPPESGADLIGALPDHILHHLLSFLPVQAAVQTCVLARRWRHLWRSTTGLRIVGLDGAESVEDLRMFVDHVLILREHTDLDTVEMIFDECSDEEEVYVKLWTRFAVMSKVRALTLHIQGPPYLWFDVLPLVSRHLRTLDLEGLCVQLSFLDFAGCPALEDLKMNLCDISVEKISSRSLKHLSITKCCSDCQLRVSTPGLVSLKLDDFTGTTPFLENMALLETAYVYLGDSCVDVCLNYNSGIYCGASSITCENCGLSNDNCGSDLVLLGGISSAKHLKLISEFGKFIFSRDLKYCPAFSKLKTLLLNEYWCEAPGLDPLACILKNSPVLEKLTLQLFSKGPNHKVEMKGSFSSMERSSAIPEHLNIVEVKCTVVDERILKVLKFLCAFDIRFSF >SECCE5Rv1G0323670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:438906832:438910899:1 gene:SECCE5Rv1G0323670 transcript:SECCE5Rv1G0323670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEEMVHHPPMDQLQGFEYCIDSNPSWGEAIGLGFQHYILSLGTAVMIPTMLVPLMGGNDHDKAKVVQTLLFVTGIKTLLQTLFGTRLPTVIGGSYAYLVPVLSIIHDRSLAQIADGHTRFLQTMRATQGALIVSSSIQIILGYSQLWAICSRFFSPLGMVPVVSLVGLGLFERGFPVVASCVEIGLPMLILFVVLSQYLKHVHVRHVPILERFSLLVCIALVWVYAHILTAGGAYHHTALHTQISCRTDRSNLISSALWISIPYPLQWGAPTFNADHAFGMMAAVMVSLIESTGAFKAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTATGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSILGKFGALFASIPFTIFAAIYCVMFGIIAAVGLSFLQFTNMNSMRNLFIVGFSLFLGLSIPEYFSRYMTGAQNGPAHTKAGWFNDYINTIFASPPTVALIIAVVLDNTLDVRDAAKDRGMQWWERFRTFRGDSRNEEFYTLPFNLNRFFPPS >SECCE3Rv1G0200000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:850302964:850316999:-1 gene:SECCE3Rv1G0200000 transcript:SECCE3Rv1G0200000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEASVLAVVAAVIVAFIFLVLPHLRKQSSSQDDRRRQLPPGSFGLPVVGQTVGLLRALRANTAEAWLGRWASEYGPISKLSLFGLPTALLVGPAANKFLFASTALTTKSTASFNSMVGRRNIRELAGDDHRRVRAMMVQFLKLDIVRSYVGSMDDEVRHHIRAHWNGRTTVAVMPSMKSLTFDIMCTVIFGLGRAEHAAVRRELSAEFQQLVRGIWAIPVNLPFTSFGKCLAASRRGRRTVAAIIEEKRAKLESGHSSPSDDLMTHMLAEGLADEEIIDNVMFMMVAAHDTTATLLTFLLRHLDGYRDAYGRVVAEQQEVARSKAPGEALSWDDLGKMKYTWSAAMETLRLVPPVFSMLKRAVEDVEFDGHLIPKGWQVLGAMNMTQWDPAIFPEPSRFEPARFESPVPPYSFVAFGGGATVCPGNEFTRVEALVAMHYIFKWNVADGCDGSFSRYPLPSPAQGLLIDIEPMDTATTGAAGSTE >SECCE2Rv1G0071250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:42139732:42140328:1 gene:SECCE2Rv1G0071250 transcript:SECCE2Rv1G0071250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSTSTTASAAADERDRKRKRGAGGDAGTEAEAERTPKWRTRREHEIYSTRLLDALRLVRAGAGVAHSPSPSPARQVRDAADRALAVAARGRSRWSRAILASRRARRVHRVRLHAPAPALARRASAGASSSGSSSTQAPALAKKAKALGRLVPGCRKLPFPALLSEASDYIAALEMQVRAMAALAQALSAVSPPP >SECCE6Rv1G0389170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:147110106:147112850:1 gene:SECCE6Rv1G0389170 transcript:SECCE6Rv1G0389170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLAGAGASSPLPRALLLLAVVALFSIFFLSLRSLSPAAAPSLPLDESRRLPFSSPLPASRPSVYHSPEAFAAGYAEMERSFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTEDPDKADLFFGTSYENMTMIVKDYVEGLISKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRAVCSPSYNVDFIPHKDVALPQVLQPFALPEGGNDIENRTNLGFWAGHRNSKIRVILARVWENDTELAISNNRINRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCIPVILSDYYDLPFNDVLDWRKFAVVLKERDVYELKSILKSISQQEFVALHKSLVQVQKHFVWHSPPVPYDAFHMVMYELWLRHHVIKY >SECCE1Rv1G0042130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:577207332:577207643:1 gene:SECCE1Rv1G0042130 transcript:SECCE1Rv1G0042130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE7Rv1G0496640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:614643649:614645296:1 gene:SECCE7Rv1G0496640 transcript:SECCE7Rv1G0496640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFSTKQPLRVAAPANKLRPRLPLVKMQYSSNKRASPSISLTSSAEGAGRSDPIVKMCGITSARDAEVAAKAGAKLIGMILWPKSKRSVQLSEAKEISRVAKSYGAEAVGVFVDDEEETILRVSDSCDLELIQLHGDSSRALVPALAKNNRIVYVLNADADGKLINSPPSEEYDIDWFLVDSAEGGSGKGFNWDNFQMPSVKSKNGWLLAGGLHADNVCQAASALKPNGLDVSSGICSPDGISKDPKRISSFMRSVQSLSS >SECCE7Rv1G0463480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:53921311:53922888:1 gene:SECCE7Rv1G0463480 transcript:SECCE7Rv1G0463480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQLNVLKALDAAKTQLYHFKAVVIAGMGFFTDAYDLFCIALVTKLLGRIYYTDPALNEPGHLPANVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHEAKGVMGTLCFFRFWLGFGVGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGFGILFGTIVTIIVSSAFRHAFPAPPFYIDAAASIGPEADYVWRIIVMFGTIPAALTYYWRMKMPETARYTALIAGNTKQATSDMSKVLNKEISEESAQGERATGDTWGLFSRQFMKRHGVHLLATTSTWFLLDVAFYSQNLFQKDIFTKIGWIPPAKTMNALEELYRIARAQALIALCGTVPGYWFTVAFIDIIGRFWIQLMGFTMMTIFMLAIAIPYDYLVKPGHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAATGKAGAIIGAFGFLYASQDQKKPETGYSRGIGMRNALFVLAGTNFLGLLFSLLVPESKGKSLEELSKENVGDDDAIAPSGV >SECCE1Rv1G0036860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:513857483:513865232:-1 gene:SECCE1Rv1G0036860 transcript:SECCE1Rv1G0036860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQCARVQRVFHFGKGKSEGNKAMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACEQYQAAGRALPPGLWEETLEGLRWVEEYMGARLGDPARPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGDRFAYDSYRRFLDMFGNVVMDIPHALFEEKLEAMKASKGVDNDTDLTANDLRELVDQYKNVYVEAKGEQFPSDPKRQLQLAVLAVFDSWDSPRANKYRSINQITGLRGTAVNVQSMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMRDHMPEAYAELVENCEILESHYKEMMDIEFTVQENRLWMLQCRSGKRTGAGAVKIAVDMVNEALVDRNTAIKMVEPGHLDQLLHPQFANPEAASYKGKVITTGLPASPGAAVGQIVFTAEDAEAWHAQGKSAILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSSIRVNEVEKVVAIEDKMLYEGDWISLNGSTGEVILGKQPLSPPALSADLETFMSWVDEVRQLKVMANADTPGDALAARKNGAEGIGLCRTEHMFFASDERIKTVRQMIMAPTVELRQKALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDIVRELCAETGAAEDDVLARMEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGIQVFPEIMVPLVGTPQELGHQVALIRQIANSVFTNMGKTIEYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAQGILQHDPFEVLDQRGVGELVKIATERGRKARPNLKVGICGEHGGEPSSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLV >SECCE4Rv1G0292600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883198028:883199873:-1 gene:SECCE4Rv1G0292600 transcript:SECCE4Rv1G0292600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAMALATVFFCLLLLSSAAISLLLLRLCLAALRRAPAPAARAPYAAVDPEAAARAAPPPVPQQEPPTPAAWTKPRAPEPRRLAWREVEALTGDFDEAAVVGRGGSGGAVYLSRIPVVAGGPPAAVKVHRWCGGGERRLGAFRRELELLRRVGRHPRLVALLAYSDDHEEGGALVLEYMPGGTLADRLHHAATPPLTWRHRMRALHDVAAALEHLHDGVSVVHGDVSASNVLLDGGGRARLCDLGSACEGTFSAAVAPARGAAAVGSPGYADPFFLRTGIVSKKSDVYGFGVLLLEALTGSPAAGAPGPDGCGGQYLAARVLPRVRAAGVAGLVDGRLGDDYDAVEAGDVARIAVECVAPQPGLRPTMAQVRAAVAEKAARSIAATDVGDGDLKLLDLFRMTS >SECCE7Rv1G0519770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865673321:865674851:-1 gene:SECCE7Rv1G0519770 transcript:SECCE7Rv1G0519770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTSGGRTDGNKPSILSTLPKHLPLDFLKSITDQFSEKCIIGEGAFGTVYKGTVPDGETIAVKKLAENPPLPRDKAFNNEVVNIMALQHENVVKLVGYCHESQKKVVQNNGRYIVADIVESVLCYEYLPGGSLKKNLFGDTPMDWDTRFNIIKGICEGLLFVHSIPIVHMDLKPENILLDSNMVPKIADFGLSRLFGQEQTRMNTQNVVGSYGYIAPEYLYRGEISAKSDIYSLGVLILETTTREENCRGNKPSAEQFIKKVRENWTEQHIVSEYPSLKADCLRQIKKCIEIGLQCVETDRQRRPSIEEIIDQLNGRHSS >SECCE3Rv1G0196740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:811744149:811747355:-1 gene:SECCE3Rv1G0196740 transcript:SECCE3Rv1G0196740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLAAALLALLAAAAVSLAAAAAPADQERDRIRELPGQPPNVDFAQYSGYVTVNQARGRALFYWLVEAAPAAGPVAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGKTLYLNKHSWNKAANLLFLESPAGVGFSYSNTSLDLYTAGDAKTALDAYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAKLIYEKSKGIQNPIINLKGFVVGNAVTDDYNDYLGTFEYWWSHGLISDSTYHNLKKTCLFDSSEHPSPECVKNLNLASSEEGNIDPYSLYTKPCNSSASLKLGLGGRYPWLSRAYDPCTERYSNVYYNLPEVQAALHANTTGIKYPWKTCSDIVGSYWADSPRSMLPIYHELIAAGIKIWVFSGDTDAVVPVTATRYSISALKLPTLMNWYPWYDHGKVGGWSQVYKGLTLVTVAGAGHEVPLHRPREALILFRHFLKDTPMPTQ >SECCE6Rv1G0425840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:710092830:710094605:1 gene:SECCE6Rv1G0425840 transcript:SECCE6Rv1G0425840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKELIAKCGGFPGVIAAIASTLVTKAGTWKNTLHSLNQRLMNHLEIDPEFDSLQGLFHWMHSIILNPPDFLKPCIFYLSIFPRDYYIRRRRLLRRWIAEGYSKQSADKHVEENAENFFSELVQLSIFQPVTQLARNDTRMFFYQVNSLIREYIISRQVEENLVFELSADFAPTIQSRGRHLIISESWDRDKIAFESIDFSRLRSLTVFGRWESFFISKSMKLLGVLDLEDAIDLEDSHLEKIVKLLHCLKFLSLRGCKNISHLPASLGDLRQLQTLDIRYTSIVTLPASFAELQKLQYLRAGTNFNSASTPTASSSCLPVLCKRRCQAGVKVPRGIRKLTGLHTLGVVNVAASRGKVVIKNLKKLTQLRKLGVSGINRKNSRDFFDAFIQGYAHLESLSVQLDKNNQGCLDGVTLPLENLRSLKLYGLNDKLPEWSERLNKLEKLDLEMATLTENDMEFLGKLPQLCILRVKLLQDDELHFRVLTSGFEDVSCQKVKVLQIACGCSSSSLHVRFGSETMKKLELLKIDCCHGSPLYQFSGLGNLTELKKVLLLNGTNAQTLKEQLEGQLTEHPNSVKPVVQLEAPPRSS >SECCE7Rv1G0460040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:28791452:28792947:1 gene:SECCE7Rv1G0460040 transcript:SECCE7Rv1G0460040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAAAAVPRMKLGSQGMEVSAQGLGCMGMSFAYGSPKPDADMVALIHHAVAAGVTFLDTSDVYGPHTNEILLGKALQGGGVREKVNLATKFGSFFGEAGMGVRGDPAYVREACEGSLKRLGVDCIDLYYQHRVDTTVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITSVQMEWSLWSRDSEQDIIPTCRELGIGIVAYSPLGRGFFSLGPKLTASLSDDTDLRKLLPRFQPENLEKNSLIFESVNAMATRKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNVGALSVRLTPEEMAELESYAAAGDVHGDRYPQMMSTWTDSETPPLSSWKVEC >SECCE2Rv1G0140620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932060636:932063392:1 gene:SECCE2Rv1G0140620 transcript:SECCE2Rv1G0140620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAASWSKGLPGLRSHLCAAAAASFHSTPPSPAKWKGKFDCKHEHGERKLSKKYERYVIRQKRAEGKKALKNYLLYGKSTPHIQDGSMGSFANSHDIPRFKTFRKKQDHSSTKPRQGVHHQRKDKKDKAKFYNFFYEARYVNPENIFETIFGEHHQSFTWSHASWEGFHFRDSSFGFGRRAGESRRQRVESESEDESDDEDTGETAGVGSHAHRVTLGLPPCGPLTLDDVKTAFRASALRWHPDKHPGSSQAVAEEKFKLCVNAYNSLCTVLKAA >SECCE5Rv1G0304020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:53168896:53169834:-1 gene:SECCE5Rv1G0304020 transcript:SECCE5Rv1G0304020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVGARSEPTSTATRKRPAAERVANGSGGKKARYSFGSIRDYETLEVLGEGAYGQVLKARDRRTGKKVAVKWIRAPDLAAVTREAGCHAACRGHPSIVDILDVATDADTGDMFLVMELVAGGLTLREHLYKPLSEDVARVMMRQLLDAAERMHGAGVVHRDIKPENVLVTMFGELKMSDFGSATRQKPPRVPHEECRVGTLIYTSPEQLEGNRCYGQAVDMWALGCVMAELLAGGTLFVGDTEEGMAAEVSKLRDQIASTGKMDLEWFGDLSEAGHDLLTRLLAFNPDERPTAVEALHHRWFNNGNEASA >SECCE5Rv1G0316590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:325821773:325825808:-1 gene:SECCE5Rv1G0316590 transcript:SECCE5Rv1G0316590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECILNIQTLPGEYFCPVCRTLIYPNEALQAQCTHLYCKPCLAYVAATTQACPYDGYLVTEADSKPLVDSNKSLAETIGKVTVQCLYNKSGCQWQGNLSECNTHGTACAYGNSPVVCNRCGTQIVHRQVQEHAQLCPGVQPQTQQAAGSLTQSSAATTQAVTQDPSSISSVAPAAAPTASALATGSAGVTTTSTVAVAPSAGAPTSATQGQAVAPQIQTAEQYQQQLQYHQYYQQHYPGYNPYTQQYQQYGQYQQYTQSQTQVAPQNVAQVPAQPAPYAQPQFLQPSQPQHIVPNQSQNPQLQAPAVQPQPQQNPPLHSAPQIPQMQPQGDVQPIAHTQVGNQPFAMPATQAIASQVQPYVQPHPPHHQQAVAQQQPQMQYPPQQQHLQSQMQHQHPQVQQQSYPQPHVYHQPHPVAQSQNPSVHAVAGHQSYSQPQPAHQMPHGAAIQHPAHASHQQLVGPQHPALVHPPQSQFPLQGQQPSMLAPQGTQHTPQHQQHGHQAQRPPMHPSIPSQAPPQGFPLNTPVPSQTGQSYQQGMHSSQQQMHPQPFQTHGPQYMQQQHVPTSTSRSTSYVATPHQFQESGKSESAANATGNAEVGDNTNGGGEYSGIKPESLGDKNVNGEQNDFSNIRKNAVQTGIALGVADGLDRGKGKDESGGQESNSQSEASNISNDLEKGGSLQQASQKSQGALGSYVPPGMGRQRPSGPDTMLTQHMLHPGPVPSTQAQTNQMRPPSHSFPENVRPTVQQQPYGVYQSEMAPRGLAPNLPRPAPTRPDDGMIRPPMAGPLPGLHDTSMPPFAPENVGRPHPVGMRNGVGGEQLGNSRAFHEEGFNSSREHFRSLGPPYPGRYNLNPKDIEENMKQFPGPTHLDDDSFQRGPRPFDGFDSLPGRPPFPNKPGPYPIGFPEDLSRKPHSIVGHPDFVSPGAEFGHHRVDGMPRNPGSFVQGMTAGPGGLRKDQLGPGNLPGSRQHDFDNLGFPHTHFHPADIFLPRNLHGSEPLGHGQLHGIEPSGHRLQGHIHPDDPNFDDYSRHGFPQESGRFSSGGFFSSGDVGWCRVCMFNCGSAEDLGLHVHTREHQQHAMDIVLKMKHDVAKRQKMNPGGPKSLNKKVAMKGNFHGNRR >SECCE1Rv1G0037850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:531281421:531284967:-1 gene:SECCE1Rv1G0037850 transcript:SECCE1Rv1G0037850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLAHLVCILLLLLLLLSALPLSAAASTPRSSPPSAPPTPLVPALFVIGDSTSDVGTNNYLGTFARADREPYGRDFDTHRPTGRFSNGRIPVDYLAEKLGLPFVPPYLEQSMRMGGGSVGLSNIGGMIQGVNYASAAAGILSSSGSELGMHVSLTQQVQQVEDTYEQLALALGEAATVDLFKRSVFFVSIGSNDFIHYYLRNVSGVQMHYLPWEFNQLLVNAVRQEIKNLYNINVRKVVLMGLPPVGCAPHFLSDYGSQNGECIDYINNVVIEFNYGLRYMSSEFIRQYPDSMISYCDTFEGSVDILENRDRYGFLTTTDACCGLGKYGGLFICVLPQMACSDASSHVWWDEFHPTDAVNRILAENVWSGEHTRMCYPVNLQEMVKLKQ >SECCE4Rv1G0292450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882604187:882609478:-1 gene:SECCE4Rv1G0292450 transcript:SECCE4Rv1G0292450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLGKAVLDGALGYAKSKAAEEIALQLGVERDVDFIKDELQMMQSFLMTADEERSQNKVLTTWVKQVGVLAYKVEDSLMDFGLHSEKKPFFWCIPRNPWDRRRIAKEVKELRAKVEDVSSRNLRYRLIRESSGSKPTAAEEQASIATAAMFGINEARLATLEHQKSSEVDLHQLITSKDVDLRVIAMWGTSGDIGKTSAIQEVYDDPKVLKMFGFYAWVRLIHPFSPQEFLRSLVRQFYESSHDGVGKAEQVTSVGANVLAKMEMMNQSDLVSVFNKVLCSNSYLIVIEDLSTIVEWHCIKKYFPDNKKQSRIVVSTQQAEIASLCTEKPYQVSELKHLSCDQTIYLFHKKNSEHQISMDDVRVAMYDTSNEARLAAVEEKPEAMHASCSAKPVSDSNTVTTSEKNTSLPISEIQEKDQEPHNAGEQNVLNSTTRKKFDRSRTLSLADELLCGRETEKSFLIKLVGQPDVNQGRKVISVWGMGGLGKTTLVRSIYHNQELGGWKRAWATALRPFNPEVLLRDLALQLRNTVQEDPAGATGTGLQKKNIALMNLQELKQELARLLALKKCLIVLDDISSTYEWELVKQCLDNAERIIITTREKYIAKHCSREDKNMYSLQVLKFDAALDLFIKKVFKDNIVKNDLAPAMMEQARVTLQKCGGLPLAITTIGGFLATKPKTAIEWRKMNDCISTELEINPEFITIKSILMRTYDGLPYHLKSAFLYLSIFPEDHKIRWGRLMRRWIAEGYSRGMQGITAAELCRRYFDELLDRSMILPGEGIYCQKINSCQLHDMIREICISKAREENLIFTLEEGCCLSDTQGAIRHLVIGSNWKRDKDVLESMLDLSHVRSLTVFGEWRSFFISDNMRYVRVLDLEDTLGLRDHHLRQIGQLRHLKYLSIRGCRDILCLPNSFQNLRHLETLDVRDTTISEFPTIITDLWKLQHLRADGRDPFDLEGRGEIVHKYNAYVDHIYMHRGGGVFYSRRPHSYIGRKRHSCRGLISRGHVFLRPQVLDANLNRYDIFNLYRFRKGPLDGVILPKGIGKLKALHALGFVDVSGRNGNATIKEFGELTQLRKLKVGGLSYRNINELWSAIAGHNQLQSLSVKTDNLGEDLLDGCLGEDLLPPSSLESLTLDGKLVNVAEWIHKLQNLSKLVLRYSRLEQDDVIQALGVLPNLAVLRLDYDSFRGTQLHFQRSSFPSLMALELMYLSELQSLLFEEDVMPKLELLKIDACDELKDISGLPALKSLKEIRLHGSENMKEEVERQVAEHMKHVRLN >SECCE3Rv1G0144620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:4965086:4969048:-1 gene:SECCE3Rv1G0144620 transcript:SECCE3Rv1G0144620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPGHWDLYAKDVDGATERIFYVIRLERVICFDGWNGFGASAVLRSVAAVLPCRRTTPELCFDKIIFVYCSKWKNRRGVQRAIAEELQLDRSVMAILDKQDEDDDFQGHDESMRHEIHSVSQVIDRTLRDVKFMMIFLNGSDDEVDVGPMGIPLARYGNNVMLWTFSRSCLTMQRDRFEVAKKLRYTELFCHCSIKELTGSQFRGLLHQEAATIVARNPCMMDIDPTIVADCCLYELFLHHNFHTFTKFNWVSHASNYWICDAIIQGNRARDISNALHREINWKCDASLLDHVLKMFMKHSESPFLVIEDDNVYEEGPYRWISVTSRDGKVHGMKTIPAVASSFFLAFEISIHPPALPDGFFYHCSKLGVLVLYCCAFNFASPPFLKCHGLRFLGLDHCTDDETVGGENHAVWLCLNSLWVLDLRYTDWKEILSKEKLNLMTNIRELNIEGVRGWQYTTELQGRLPNLQRLRIMKTTCQWETIEDVDKSFTNRKYMEILDLSGNSDMKFLPASLSKASSLRLLVLDGCDELESVGGLPPSLESVRFNGYGPASQWTQTIELPPKQFHPSSTTHNKDARVSKISLAGCTQLEHLFLCWLPNLVELDLSGTSIKILDFKTMVVQIPRLKRLFLIGCKHLCAITFLRESVPDLELMCIDMRAGMVCPRPFIGKNKPSGLQVHAVIVDARLTQSLHDLIINYIQYIVHFNIHVTSSPVYDGLVQFEATSKDMIGPSDQESMQLIPAGRYSDVLGMVGAASPLMQTFPKPPTIKLDRHVEIAQGSLYVERELQQALGELMIRSESLHVHDVSVRAITPQGRLWRKLRWCCVERCPKVDTVFPRYSSEFTTLETLWVSGLLMARTILSKGSRLNKYGHTASFKILKQLQLRSCPSLQFVLPVWVTSFPSLETLHIIHCGDLNHIFILDEEYPKELSTHGVLFPKLTTIHLHDLPKLQNICEVKMVAPMQEPQDQGMLEPAPAAIRGCPCPRREEATRRD >SECCE6Rv1G0405910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:537636765:537637262:-1 gene:SECCE6Rv1G0405910 transcript:SECCE6Rv1G0405910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQIVEVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIAKIMKVRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQTEIDDGEVEIPA >SECCE6Rv1G0385550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:81469604:81470445:-1 gene:SECCE6Rv1G0385550 transcript:SECCE6Rv1G0385550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNVVWQPQVVNEMLRYYKEKIQSEGKQFVFKETHHEECAKQINAKFTIAFTQRQVYHKFHKLKGQWKIILEVKNLSGANFDDVNKIILYDETEVVRMKNNKDKRAKYINVPIANFDEMEFTFQDKHATGEFTVLQTPYDCVHARDKDFIGDTEKSVIDIEVDPATQYDSDCLPDDTNNESSSLKRPRGGKRDKGKRMKCEESVVQDMTQSLRDMSDTMRFTHVTNPNENLFKIIDDMEEYPLFV >SECCE6Rv1G0446980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846814951:846816831:1 gene:SECCE6Rv1G0446980 transcript:SECCE6Rv1G0446980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAISPSPGASAARRPTNPLRLAASSFPSCFNPPQRRRPPTGAAVAASSCAGRRAACCKAAGPSAADHVGDVEEQTWDEVVLGCKTAVLVEFWAPWCGPCRLMHPIIADLAKAYTGRLRCLRVNTDENQEVATRYGIRSIPTILIFKDGERKETVIGAIADKALVATVDRFL >SECCE6Rv1G0429850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:738423180:738424418:-1 gene:SECCE6Rv1G0429850 transcript:SECCE6Rv1G0429850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSMAEEILREILLRLPTRDVGRGRCVSRQWRGLLTDPYFIGLHAHADHVVSGAAAEALLVSKTHTLGWSPELTVFNVSTMATMSVLAGHPDGYSATNACNGFLLLAANKFIWMPVFVCNPITGDKLRVLPPLMSETDSRIHGHGYAMGYSPSTRQYKLFRLTFSAYNGLHQSYVHVLTLGGADGGMWRRHRGLYRCHRMGSWPALIDHKLHVLCMKWEHDTKPNRLLVVDVASETHRMYCLPGYNAQDAVANILDLHGRLCAAVHELGPRRGKLYFWVVRPQGPHAGCLYLSPLIWEMRYNFDMGAISFISLHVLTLSCAWLDVNDGMLYYLYGNRVYKHDTTKARRRQGCRKAWDHQLQLLLPNDRWWNFFCGYRPNLLSPHDFAIAPEKDEERFEHRVLHALRCHKS >SECCE1Rv1G0050940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:650418326:650420259:-1 gene:SECCE1Rv1G0050940 transcript:SECCE1Rv1G0050940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMLRVRLASFFAGAAAAAAGGGYFLYKDYKLAHDSTALQVKGLHDHVDARYKALDKRLADLEAQKSNESSPDADATSG >SECCE6Rv1G0435480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:771221310:771222003:-1 gene:SECCE6Rv1G0435480 transcript:SECCE6Rv1G0435480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHATVRPMSVEVPVGQQPHVNVRMNDVPGKPGTPFGLALRCGQSLCAGISLAVTATTINDSAPVPYFIFVAAVAGLLCTWSSALAILDLYALLVKRRLRRRLLDRFFATGDGVIAVTVFVAACMSAGESRFIVLNPELCETNHCARYTISIVFAYLASFVLSASFFLNFSSLGALPT >SECCE4Rv1G0235410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:333369698:333374025:-1 gene:SECCE4Rv1G0235410 transcript:SECCE4Rv1G0235410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPAARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRSYKITLQSGAKTLNSFHLKQCVKRYSSFDFLTEIVNKVPDLGGGESCGDERGLPRRRKFSNGSDPENEEQPRSSKMPIRSLNTSPRGRGRGRGRGRGRPPTKRKEIGYVQFEDESSMFAEQSEPLPGDEIVPETNRGNESIPQSSHPLVEAPSAARALVISKVEEASTNHQPDWPMLDAIGGIGVGPSSFGHLTVQVDEEEDYDNED >SECCE6Rv1G0415230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:640031641:640033228:1 gene:SECCE6Rv1G0415230 transcript:SECCE6Rv1G0415230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPNPSPAMAAAAAAATPARAWWRPEVPATAAVAAGSCFFRAGGKRFGTGLQMTGRASSILPHVKSGEAAEGSPNADAETATAVAPVADEETLRHDLETAIEEEDYGRAARLRDELRHLREDGRSSLLAANARFYDAFRDGDLAAMHAVWAKGEHVYVVHPSAGRISGYETVMRSWEMVCDADHEFPLRLDLQDVEVHVKGDIGYVTCLEMVKTRGSGGGWGKQLATNVFEKVAGEWLMCVHHASHLDDDDE >SECCE7Rv1G0476160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:198615213:198618008:-1 gene:SECCE7Rv1G0476160 transcript:SECCE7Rv1G0476160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVSMSKVLSPSSSSSTSRRKPVPAAFTRNPGCRVAPPRSLRPNTRFAGSCHTNASSGTSLAEYSGEGASAVGYQDGGPPFVNLSSSNTGRAELVLLKDESAPSPLLTTAPAPQKGNGAEGVLESTPAYPAAMKALYGACLAGNATEHLWNFTWPAAVATLHQSLLPVALLGFFTKLVVFVAGPLVGNLMSSLPLIPTYRSLTVIQTAAHLVSAAMITYAFTVPRASTAPELLLRPWFAVLVASTAVDSLSRVSLGIIAERDFVVQLAGEGRRIALAQANATLSRVDLICETAGAAVFAFLLSKNDPLTCIKLSCLISFSALPVHIFLGGAMNRLADGVFDHSEQRSLLAASSFDVRRIVVDAVATIRRGWSEYISQPVLPASLAYVLVCFNVALAPGALMTTFLIHHGVSPLVLGLFGGSSALMGILATFMTPSLVKELGILKAGAAGLLSQSVLLGAAVLVYLTGSISRRGALFAFLGLIVASRLGHMAYSVIELQVVQTGSPMGKAKLIGATEIAVASLAELGMMAVAVAAKDVSRFGAVAVLSAAAVAAAAWLYCAWLANPTQQLKTLFPS >SECCE7Rv1G0469190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:106982613:106983519:-1 gene:SECCE7Rv1G0469190 transcript:SECCE7Rv1G0469190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGMRFLQLFAAVLAFCFVPAKSDYWHQAYATFYGGADGTGTMGGACGYDNLYAAGYGLNNAALSTVLFNNGLSCGQCYLITCDTSKSNMCKPGTSITVSATNLCPPNWALANDNGGWCNPPREHFDMSQPAWENLAIYRAGIVPVLYQRVSCQRQGGLRFTINGFNFFELVLVTNVAMSGSIKNMFVKGTNTAWIQMSQNWGANWQCLAGLKGQALSFAITSSGGQYKVFQDVVPAWWLFGQSFSTWQQFDY >SECCE5Rv1G0317090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:336493917:336495779:1 gene:SECCE5Rv1G0317090 transcript:SECCE5Rv1G0317090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDGLSWGGAQRTGNRYATLATPGSEGRRAEVRGGSSAEAERTAKAQMQSKIKRRNTQGITYFGLVWKKNKSDTNAINADDVIFGSKDGVGSSMKPICCLCRKRYSPDLMYIRCERCRNWFHGDALRLDEAKIAEVISYRCCRCRRRAIPGCPHSDDYYHKRPEPEPVIQESATNIPSSEEAIGTADENTSSASFGRFEQTVEDIHADSSVHMETFVLGSNQEMNFVDGSYSSAHPFDKIEIKQVMKDARPYDSCFEWYTPGSGTCQQLDLMDPVDDVPLPVPRVETNFEKDQTAALHRAYGGFRVVAAETGSLYERIRQGEYLTNDEIMGTLDKLQKIALHQMKDIASHGVVYSEVPTQPMHNASTSTTRPSDHQSSL >SECCE3Rv1G0178850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:516307481:516311925:1 gene:SECCE3Rv1G0178850 transcript:SECCE3Rv1G0178850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEVVEDEASAAAMLANGAADVRRRRDQAKEMLSKQAVKIATKAEEHERFIFKVTHLMGVLGFGTFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRAARVTWPYVEDKSYLWTWLFVVPLAAYTLWQLMYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDKNRPLMYILLQALFTVATLAFTVPIFLSYRLHGIFQVLKVCAATWNGGSFILEVMPRQVVQKEKKRLEMKPIEQANLKEQMDDPSGNHQHASEEQSQ >SECCE7Rv1G0522540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878443522:878444518:1 gene:SECCE7Rv1G0522540 transcript:SECCE7Rv1G0522540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKIVLPSVILALRLVAMLLLAGSLALIVTNKVDVCSDLFEGGRFVLKFKDIYTYRYVLDIAATGCAYSLVQTPLGAVAGAKKKRVIGETTNIFIIAFATAAGASYWFSTDADCYLDELYPDSLTKANADFKRLRQDLDMFFVRASAAALLMLLAAKCTAAVLVISVYTLVK >SECCE6Rv1G0396280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:361837295:361841199:1 gene:SECCE6Rv1G0396280 transcript:SECCE6Rv1G0396280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGYYTNCKKTDGICEGICDGEHGSKSVLSMSRLKCALRGFDLKALLFLLIGLPIIIFVIYVHGQKVTYFLRPIWEKPPKPFTVLPHYYHENVSMANLCKLHGWKVREAPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLEKKLHFKENRQKFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLLKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDTPEILHLQLRNYLYSFQFMLDDKSWRASIHRYRAGKTRYAHYRQTDDLLADSGWHCSFCFRYINDFVFKMKAYSHVDRIRFSYFLNPKRIQHVICQGADLFDMLPEEYTFQEIIAKLGPIPSTYSAVHLPAYLLEKVDQYRYLLPGNCMRESG >SECCE6Rv1G0402860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:490255116:490262399:-1 gene:SECCE6Rv1G0402860 transcript:SECCE6Rv1G0402860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEADGRFGNKRVHNRLGPAPGAASSSSSTKVCIHWRAGRCNRFPCPFLHSELPEAATTKRPNQRDGPGGHVWRNPNSGGGGRGGGSFNKWGRGPGGADGGVRHKVPDRPCKFFLAGDCTYGEKCRYPHTYCMSNSITLLTPLQGHEKVVTGIALPTGSDKLYSGSKDGTVRLWDCQTGQCAGVLPVGGEVGCMISEGPWLFVGIPDAVKVWNMQTQAEMNLTGPTGQVYALAIGNELLFAATQDGRILAWRFSAVTNCFEPAASLTGHQLAVVSLIVGGMRLYSGSMDKTIRVWDLATLQCIQTLSDHTNVVMSLLCWDQFLLSCSLDQTIKVWAATESGNLEVTYTHKEENGALALTGMPDAQSKPVLVCSLNDNTVRLYDLPSFSDRGRIFSKQEIRAIQTGPGGLFFTGDGTGELKVWQWIIDASQT >SECCE7Rv1G0499360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:653418476:653420074:1 gene:SECCE7Rv1G0499360 transcript:SECCE7Rv1G0499360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAAAPLSKKRKSELPGSNQPEEILSHEPTAAAMEAPESDIREPPPGAGGAEDGVDHISGLPDAVLGDIITLLPTREGARTQTLASRWRHLWRSAPLNLDYHDLPAAGDVLIRLISQILSAHAGSGRRLCISARDPDTMDAWLRSAGLSNLQELEFCCAGYKPVPPAATASTFRLSSSLRLATFSRCSFPDSTIQTLHFPQLKQLALEEVSISEGSLQNVIAGCPVLESMLLNHCIGFGCIRICSPNLRTIGVRAGNAGYRGKLVKISIEDAPCLERLLQLNITVGLDISIISAPNLETMGCHRYDDPFSFKGFPTLFPMVVHTVKTLSIIVHPLCVDMVIDLMSCFPCLEKLYIVIRSSGRKNLWRRKHQSRIRCLDIRLKKVVLANYRGIMSEVNFAMLFVLNAKMLELLRFEVQGWCCEKFIERQHRLLQLGNRASRGAQFVFTRDKCLRKLEDIKHVRDLSVADPFEC >SECCE6Rv1G0387350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:112066695:112069999:1 gene:SECCE6Rv1G0387350 transcript:SECCE6Rv1G0387350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCI1 [Source:Projected from Arabidopsis thaliana (AT1G79200) UniProtKB/TrEMBL;Acc:A0A178WDT9] MGGGEGKSRKRRSSPSSGEEEERERKKRRDKKESKRSGRDGRGSDEEEEKRRRKKKSGDRGKDKERDSKERRSKEKDKSKRKDKDVPSLKQDIKEISKDDYFAKNNEFATWLKEEKGKYFSDLSSESARDIFLKFVKQWNKGKLPSHYYEGITTGPRSAHNWNIKKA >SECCE4Rv1G0225600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:96410297:96413642:-1 gene:SECCE4Rv1G0225600 transcript:SECCE4Rv1G0225600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD4 [Source:Projected from Arabidopsis thaliana (AT1G71340) UniProtKB/Swiss-Prot;Acc:F4I8H8] MRGLLGLGRQPPPLPLFPAGKRASPAASLLLRLRRLLPSSRLFRLLLLLAALSLVPPAFFHFRLRRFNRMRERSCGWIPSPPLVCAHGGDSTNAFPNSMDAFRMALDARVDCVEVDVSRSSDGTLLALHDRDLQRMSGNSTAKVGHWSTHEIKAVTTRFQLSKTVRNQEVPKAEDALELISQSVRQVILDVKVGPPSFEKGLAEDVMSIIRRTNCKNCLIWAKSDNIGRDVIKLSKDVMVGYIVMMDWSTGRRMELVRIEGAKVAGVYHPLIHEKLMKVMHRNERKVYAWTVDDSDSMKRMLYEQVDAIVTSNPSLLQQLMQEIRTECMEDGFALP >SECCE6Rv1G0443150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:820825292:820829463:1 gene:SECCE6Rv1G0443150 transcript:SECCE6Rv1G0443150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAEDKFPEGLRILVVDDDSVSLMVLEDLLHRCRYQPTTVTDAKTALRMLMAGKQQFDLVITDVRMPDMDGFKLLELIRLEMDLPVIMLSVDCDKKAVMKGIDHGASDFLVKPVHIHDLKNIWQHVQRWRNPKAISHISDHDSDVQKVQPTTADKSKYLGNNKNVRDDSSENNESTYISATHRKPRVTWTIGLHNKFLEAINLIGLDRAVPKKILELMNVDCLSRENIASHLQKYRLHLKRVKSNPSADANERWNSLYNMNNKGNFMHNQGHGRWSVSSGDTASWCTNNYGATSHLAPPMNNQSNFNMGSYLHDGRMPMYVGKQPSDARRFTSVGDPPVSLYNNIPNEIMLDEFPSSNYSNSYADLMCGKLTEISKGKTPANLQSSFANTTIGGGRSLVASQVNFPQINQLERYAMSSGRLPLQNEKAPFISNTTSVGGFTENMAPFNMASNSSSVGMMLNGSSTLDASRTSAEDTHRVNDERITSKLHNLHSDDFVSLTQLLDGGDGVSIVPMQEGTVDQQSLNDQLNEISAFSMDDMFSNMHMEDLTGDDAIMDEA >SECCE7Rv1G0454610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:3407159:3408700:1 gene:SECCE7Rv1G0454610 transcript:SECCE7Rv1G0454610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDNGGASVKKGPWTPEEDIILVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTQHEEGIIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKVKRLQQQAHPADHFFQHTSTAPNAAEQTTSMNYYNPSSSNLDSAMQAAMGYPETTTGNVTPATMAVSKLFQSWMPKAPSPEMADYKAMVAMPEFRTDGEAGAAVSMTSGDRFSSSEILAGRGEAATATTFSVLENWLLDDMAPGLAAMDGLMDISAGCCANPIMF >SECCE5Rv1G0321960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:413793711:413796489:1 gene:SECCE5Rv1G0321960 transcript:SECCE5Rv1G0321960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSKASCPSVKNILLLDSEGKRVAVKYFSDDWPNNASRLTFEKSIFTKTLKTNARSEAEITLLDGYIVVYKFVQDLHFFVTAGDDENELIIANVLQGFADSVGLLLRGDVEKRTALENLDLILLCIDEIIDGGIILETDANTIAGKVATNAADGSVPFSEQTISQALATAREHFARSLLK >SECCE4Rv1G0292810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883895783:883897096:-1 gene:SECCE4Rv1G0292810 transcript:SECCE4Rv1G0292810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTPHPPAAASKVLDEDGLLAEILLRAAKTESETLPPPPASVSKVFDDADLLAEILLRAGLPTTLVRAAAVCRGWLHRASRRDLLRRFRERQPPGLLGFYVVEVNCSAAPTVPRFVPLPPQPPELAAVVRCAASSVGAYMREHGAPSSIMDCRNGSLLMRHERHDHDQTRSTLRAHSLLCTQRGSIVFPGFPVPRLRFGSSYTYTQVLSKEEGDGVSYFYVSMQSTVDRKHTAYVYMLQDGVWVMHSLTIGQVPPPRSDLEAVFVDNKIYMPAAKSDVVVLDLTASTFSTIQLPHGVEHVARNTILSRADDAAGVYLINVKELELCIWLHKGGDWLLVDSICLRDMLAGLSMLDGSASLQIKRVGDKAEFVLLEMMCQCALYLDIKCRTLHKVYEVTKEDRYLGDIHPFMMIWPPTFPALKDYPATARFAFRFFG >SECCE5Rv1G0375010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:866004891:866006812:1 gene:SECCE5Rv1G0375010 transcript:SECCE5Rv1G0375010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRGACAPFAVAACVFLVGAVATVVYTSSSLSTVLLAVSGLQPYIVSFTTNGRGGTNVGGLLETSLHTCRKPKLPPNPVPPFYCCPPASTSSSSEPINFTLPDPAETLRVRRPVHAVGAEYMAKYERAIALMKELPHTDPRSFYQMANIHCAYCTGSYRQTGNPELDMQIHFSWFFFPFHHAYLYFFERIAAKLLGEPDFALPFWSWDVPDGMRMPPEFANSSSPLYDPVRNPRHAPPSLVDLGFVGMESNRTDEQQIQHNLRTMYKQMIGNAALPSLFHGQPFRAGQSDKPGPGTVELCPHNTVHTWTGDIALTNVENMGTYYSAGRDPLFYPHHNNIDRLWEAWREVGAARGYRGHVDFTDPDWLNSSFLFYDEESRLVRITIRDVLNTEKLRYKFDGVDMPWMDARPPTTPNVSKNKASLKSVRCPLSLHKVVTVEVRRPQVLRSTQEKEAREEVLVIGGIETDGTEMVKFDVYVNAMEHEKVEPSGRELAGSYMCLSHPRTDGTGKGMIVETSMRVALNVLLEDLDADGDETVTVTLVPRHGKVKIRNLRIVYMVE >SECCE4Rv1G0232820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:214610645:214613065:-1 gene:SECCE4Rv1G0232820 transcript:SECCE4Rv1G0232820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDKLSGDELLSDSFPYRELENGVLWEVDGHWVVQGAVDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFIAYIKRYIKNLTAKLEGEELDAFKKNVESATKYLLSKLKDLQFFVGESMHDDGSVVFAYYKEGAADPTFLYFAHGLKEVKC >SECCE1Rv1G0012500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:93198622:93200927:-1 gene:SECCE1Rv1G0012500 transcript:SECCE1Rv1G0012500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLIKRFLVPARTCFRKNMSCFGCCGDEDTQRAPDNRNQYPGSHPARNDAYRTADPTPKGPQPVKVQPIAVPTIPMDEIREVTKGFGDEALIGEGSFGRVYFGTLRNGRGAAIKKLDSSKQPDQELLAQVSMVSRLKHENVVELLGYCLDGNTRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWIQRVKIAVGAAKGLEYLHEKAQPHVIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARAAHPGAEHAGR >SECCE5Rv1G0364480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:801578142:801578645:-1 gene:SECCE5Rv1G0364480 transcript:SECCE5Rv1G0364480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRSALRHLAPAARFLSKGGGRGASGPSLTAVRRKHSTGGGVFYSTILWDEPFNLRTPVTWFAIIATAIGATYRIKVQMIDHEKQKACGHEEGKKSVNI >SECCE7Rv1G0455050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5283514:5285046:1 gene:SECCE7Rv1G0455050 transcript:SECCE7Rv1G0455050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLPKTRSMASSPEGAAVVDAYKKALATAATVSAYAMLARGMARELLPDELRAAVRWAAAFVRSRYSAPAKERHTIVIRRVLGGLGLGLGGGYNENDLFDAALTYLATKIDPQSMSRLCLARTRTKEPGGSASWSTLLSMENGGSTTDTFQGVEFRWTSIESGGDDGKSRAQESLELSFDAEHAETALNKYVPFIMSAAEDLRLRDRALKIFLNESSSWRGINHHHPATFHTLAMDPSIKQAVIADLDRFLKRKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSGVYDNSCLQRLLMDMPNRSILVIEDIDCSFDTMTRDDHNRKLPRQPTDTYTDTDEDDGDEYYDDDVRGARGYFPGRERKITLSGLLNVIDGLWSTTGEERVIVFTTNYKDRLDRALLRPGRMDMHVYMGHCGWEAFRTLARNYHLLDDHALFPEIRELLAAVEATPAEVSEMLLRSEDVDVALGVLMEFLQERRSKTVVVNHKQNGGITG >SECCE5Rv1G0377020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:875345225:875345773:1 gene:SECCE5Rv1G0377020 transcript:SECCE5Rv1G0377020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEIHSHAQIQALQARSDELGHSNEDMVLNLVSLESVRIARESYALLWPLTKEPMSWACPQLDSLSVVATLSLEIQKLEHDVLPQLVVQESKLEQGALEALLVMENSENKLLHLAKCYKKALGVLQDLVSRGAEGVSTILNDIVVHALHGNISWLQARLPVLARLVTNVLETPVRFCDSDE >SECCE5Rv1G0351760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:702963611:702964396:1 gene:SECCE5Rv1G0351760 transcript:SECCE5Rv1G0351760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSGATAASAPPAAAMYGSYAAPPASSAGYAKIPTYPPPPSSYPNPSPVPPAAPSASTPAPIQDPTAPPSSLAKAAELVTRFREQGQALFAARRPWGEVFRSPAFSKPPNVGEAVSRMRRNTAYFRANYALAVLAVVAASLFWHPGTLFALLALCAAWFFLYFARPAEGAQPLRILGTEFDDGTVLAVLSGVTVIAMLFTDVGWNVVGSAMIGVALVGAHAALRSTDDLFLTEQEAAGNGLVAAGFSAAGPILPTYVRIG >SECCE1Rv1G0034860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:492401041:492408001:1 gene:SECCE1Rv1G0034860 transcript:SECCE1Rv1G0034860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSGDVRGGMEAVGGGARGAAAAGQGQGQGGPNEAVDHFFQGQALRLYTPLELSFSASKLRNMDALSKSDPMLVVYTKMDGRLEEIGRTEVILNSLEPLWITKAMINYQFEIVQPLVFRIYDIDTKYHNTPLKMLNLAQQDFLGEASCNLSEIVTKFNHSLTLNLRNSSGHALQGTITVHAEETASSRMAVDMQFHCLNLDNKDTFSKSDPFLRVSRLSESAVAIPICKTEVIKNNLNPVWRPITLTSQQYSSKDDPLLVECFDFDASGNHELIGALQTTIAQLENLYNSKAGANFYSRKGQKKLKGQLFLDKFQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRVPQSLHYIDPSGRPNSYQQAILGVSEVLQFYDNDRRFPAWGFGAKIPRGSVSHCFNLNASTNDCEVVGVEGIMSAYSSTLYSVSLAGPTLFGPVISKAAEIASHSVQYGNNKYFVLLIITDGVITDQQETKDSIVRASDLPLSILIVGVGNADFTQMRILDADFGKRLESSTGRVATRDIVQFVPMREVQGGQVTVVQSLLEELPRQFLEYMRTRDIKPRPPQHASAPPAYPPPPQL >SECCE2Rv1G0135600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908084706:908086223:1 gene:SECCE2Rv1G0135600 transcript:SECCE2Rv1G0135600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSPSADLVALLTFLICLIHRCGAGGYDAELYHPVILIPGFTCPNLEARLTDGYTPSVPRCGELKGKGWFPLWKNTSDLVRQDYVPCFEEQMRLVYDPTVNDYRNLPGVETRVPDFGSAHGFTSKNDSSRIPTCLARVREELELLGYRDGDTLFGAPYDPRHAPPLPGQPSQVYSDYFARVKDLVERASEKNQNKPVILVAHSFGGKAILGFVNWTPMAWRKKFIKHLVLVSPTPPEGFLGVLVSLTSGPSFLVPSVPPLLLRQMWRTFASTLLSLPSPMAFGHRPIVITKRKNYSAYDYKDFLTALGLNTEVVERVLSMKLRIDPPMVPTTYLNGVGVKTPEQVVYREGNFDVAPEKVYGDGDGTMNFFSVLAFVKELSRQQEANIPFKFIEIANATHSDIVVQEHSLKKVMDVILEANR >SECCE4Rv1G0240210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:441427932:441440450:-1 gene:SECCE4Rv1G0240210 transcript:SECCE4Rv1G0240210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MGPLGDSYALRCVSDLPPPFRAVFGFRYFNSLQSECFPVCFLSDVNMVVSAPTGSGKTVLFELCILRLFSRFLTTDWRFNLIKGTLKTIYIAPMKALVQDKMRDWKLKLGSLGINCLEMTGDSEFFNKKAIHDSDLILTTPEKFDSMSRHGIRDGGLGFFSDIALVLIDEVHLLNDPRGAALEAVVSRIKMLSRLGNMKSAPLANVRFIAVSATISNAEDIAEWLLAPPEGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFERRLQSFIFDILMQHSRGKSALVFCSTRKGAQEAAQCLSQTAGPLGYSNPFMKSMQQCEHLREASLTCSDKQLQSCIVHGVGFHNGGLCLKDRSLVEGLFLKGDLQILCTTNTLAHGINLPAHTVVIKSTQFFNKEKGSYVEYERSMVLQMCGRAGRPPFDDTGTVVIMTRRETVHLYENLLSGCEMVESQLLPCAVEHLNAEIVQLTVSDITLAIEWLKCSYLYIRIKKNPEHYGIKRGIPRDLLEKQMRDICVEKIHELGEYGLIWTDGDGFSLKPLEPGRLMTKFYLKFDTMKLIVKASACCSLEDLLHIICRSAEISWIQLRRNEKKTLNDINSDKEGRLRFHVVSENGKKKKRIQTREDKIFVLMNDCLTGDPLMHDLSLNQETNSICSNGCRIAKCMKEYFIYKRSYKSAINSMLLTKCLDQKLWESSLFLLKQLPGIGIVTAKALKTVGVDSFESLASADARKLESVTGRNYPFGNQIKESLSSLPPKIDIQIVDAGNRQGKSTITVTLSRQSQAVRSSKQNYVDMIVGSEEDNMILFHEKIRAQEFSSPYSVKLLVPCPQSARVTLKVDLIFEEHVGIDIHKKHVVSREDDFHVTKAYGTEKPKPLISLPADTCLVSSRTAETSPAQAHIGRSPLSKEVCVVEDDDGANVPDKVDNMPGTRTFNNLASLEVPSFDLMLEEDNGDMQDVSFPEPAKAECRSATSNTIFDHIRKKSRDFPTLMLSKSMDSSYEPLILKKMKTSRGQFNLDQGILQANEVTPMDSEHSELRVSPTNTAEKCQRILSRTSEKSRSLFAEKVYSPFEMSKSLNRIPDENSVEFADRRGSLSEKSKSLSRIPDENSVQFAVRTDSLSKKTKILWTAADENSRQFGGKRDNPSEKSKILMRPPDDSILQFAGRRGSPSEKSNVLSRTPDENSLQFAAKRDSSSAKSNVLSKTPDVDSAQFVGRRDNPPEKNKLCCSSPFLDLQAMKQSPAAVQPLRIQDYCKDILASSKGSGTGEPFLDFKSVFSFL >SECCE5Rv1G0344590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:646262919:646264331:1 gene:SECCE5Rv1G0344590 transcript:SECCE5Rv1G0344590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIEGFSMADLPTVDGDQEEEPPIARPPMSWLPPHTPHDCGDPPPKSVLLDTLVYADDRTNAFTAEGFTSNSHAICLTFWPARPPFLSYFTVHLPTILPEGSATSPNLLPRLLRTDGDLALFRVMIGCSYSDPDHNNYIIYRAGINKLEVLPIHPARLFPDWSVALLCCPDDGRFLVANLRSTYDLGQYALDVFDSGSGTWSTRSMHTEPPHEDCYYRTPTNVIALGGDHGSIGWVDIWNGILICDLLRSGDDDDVLRFIRLPVLLAPNKMTPGCLSRDRDVSVGNDGSIKYSEVWAHPVPGSRSCATYISEDWGAAILTWLEPKKKWHIHLNLKASDIIVDETHSRLLPQHDVKATMESPTTLSRLHTGHPALSLHDDDVVYIMAKVDHMDDDSWMLAVDMRNKTLKGVAALTSKRSSCFRFMYLQSDLSSHLVAASKKTEVSNHLAATSENTEVSNLTPKSKRSSRR >SECCE3Rv1G0153890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:57628177:57629112:-1 gene:SECCE3Rv1G0153890 transcript:SECCE3Rv1G0153890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLGKGGFGRVYGGVLAGSSVRLAVKRISSESRQGLTQFTAEIMILGRLRHRNLVRLIGYCRHKDELLMVYEYMPNGSLDRYLHTHNRHTVLSWPQRLHIIKGVASGLLYLHGDWEQVILHRDVKASNVLLDGEMNGRLGDFGLARLHDHGADAHTTHVAGTRGYLAPELTRFGKATKATYVFAFGAFILEVACGRRPMGLNAHGELLVLVQWVRDVWASGSGAGLIVDSLDPRLVDYVANKAELVLKLGLLCSHPLPAARPGMRLVMRYLDGDLPLPEFSPGYLSITDVDQVLDEVPPSVVITGLSGGR >SECCE4Rv1G0257020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:662132063:662133352:1 gene:SECCE4Rv1G0257020 transcript:SECCE4Rv1G0257020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVEVLSSELVVPAEQTPGGSIWLSNLDLAGRRGYTPTVYFFRPNGDPGFFAADAMKDSLARALVAFYPLAGRLGLDASGRVQVDYTGEGVVFVTARSEHYALEELMNDFVPCDEMRDLLVPPTPAPNPPCALLFVQITRLRCGGVVLGQAMHHSIVDARGAAHFFETWASISRGGGAPTVPPCFDHTLLAARPPQSRAVLYDHPEYKPEPEPVDPVSASTYASAIVTMTKGQVGALKARCLGASTFRAVVALVWQCVCRARALPPTAETRLYSMVDMRARLDPPLPAGYFGNAVIRTSVSATVEEVVSSPLVHAARLARTATSQGDDHARSLVDYLEGVDTMNLPRSGISRGHLRAISWMGMSLSDADFGWGAPAFMGPALMYYSGFVYVMNAPGKDGALALVLSLEPESMPAFRKVFADELALLEL >SECCE2Rv1G0098900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:500655478:500664753:-1 gene:SECCE2Rv1G0098900 transcript:SECCE2Rv1G0098900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAARATAALLLAALLALASSHAAAATGVFQVHRKFRRAAGEEGGNITAHLAHDVSRRGRLLDAVDVPLGGLGLPTDTGLYYTKIEIGTPPKPFHVQVDTGSDILWVNCISCDKCPHKSGLGIDLMLYDPKGSSSGSTVSCDQAFCAATYGGKLPGCTASLPCEYSVMYGDGSTTTGYFVTDSLQYNQVSGDGQTRHGNGSVTFGCGAQQGGDLGNTNQALDGIIGFGQSNTSMLSQLAAAGKVKKIFSHCLDTITGGGIFAIGEVVQPKIKSTPLIPNMPHYNVNLKSIDVGGTALQLPSHIFETGEKKGTIIDSGTTLTYLPELVYKEVMAKIFAKHQDMTFRSIQDFLCFQYHESVDDGFPKIIFHFENDLGLNVYPHDYFFQNGDNLYCVGFQNGGLQSKDGKDMVLLGDLVLSNKVVVYDLENQVVGWADYNCSSSMKVKDDKTGAAYTVDAHDISSGWRRQWQKLLILLVTMVCSYLIF >SECCE1Rv1G0029040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:409076680:409077450:-1 gene:SECCE1Rv1G0029040 transcript:SECCE1Rv1G0029040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHMKGVSYEYIEQDLFNKSELLLKSNPVEKKVPVLIHDGKTILDSSTIVQYIDEVWAAMGPSILPVDPYERATARFWAAYVDDKLFSAYVGVNKATKEVERAEKVSETLAVLEQLEDAFAKNSKGKAFFAGDSIGYLDLAVGCHLLWLKAQCKMFGVVFLDAGKTPLLAAWAKRFDETHMVKEVVPDTDVVMEYAKKRQAYRATIAAAATSAK >SECCE6Rv1G0406500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:545472930:545474077:-1 gene:SECCE6Rv1G0406500 transcript:SECCE6Rv1G0406500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITKVDLRGLEPGCPGWGEARDAVTASMVAHGLVVVAHDALGPELRQALFGRAMPEIFALPLEAKQRNVAALGPFTGYISNIPGMNWESLRLSDANDAGRVRDFADLLWPQGNPAFCETIVSAAKNVFELQRTVERMILEGLGVQEEHIDAHFDALAHAARLSRYGVPPDTGTSMSMQAHCDDSVITTIVQHEVEGLEVQAKDGSWLAVPPDPATLAFVAGDMFAVVTNGRVPPCVHRVRTPSNRERLAALFGCRGKHGVVLSAMDELVDKDHPLVYRPCKNDEYTKFKHSEEGRKFGDPLKAFCGVEKDGGSPME >SECCE7Rv1G0511830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:805177604:805179811:1 gene:SECCE7Rv1G0511830 transcript:SECCE7Rv1G0511830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQLHNKTYSKALQMHSLGLALVLLVFCLPSPTSSCTEKEEDSLLRFIAELSQDGGLSASWRNGTDCCKWQGITCRQDGTVIDVSLPSKGLEGHISQSVGTLAGLQYLDLSGNSLSGGLPLELLSSSSIVLLDVSFNQLNGTLHELPSSTPVRPLQVLNISSNLFAGQFPSATWKAMENLVTLNASNNSFTGQIPTQFCNNSSPSLALLDLRFNKFSGGIPPGLGDCSKLRELKAGHNNLSGTLPDELFNATLLEYLSFANNDLHGILDTANIVNFKNLVTLDLGGNRFSGKIPDYIGQLKRLEEFHLNNNNMSGELPYALSNCTNLVTIDLKRNKLSGELTNVNFSNLPNLKNLDLWSNKFTGTVPESMYSCSNLTALRLSRNYLHGQLSSRIGNLKHLSFLSLGSNNFTNIANALQILQSSKNLTMLLLGYNFRGEILSQDETIDGFGNLQILNIQGCQFSGRIPIWISRAANLQVLILSDNHLTGPIPGWITSLSHLFYMDVSSNRLTGEIPLTMMEMPMLKSTDNATHINPKVFELIVSGGPSRPSLQYRLVTSIPTVLNLSNNYLTGVIPPQIGQLKVLAVLDFSLNKLSGEIPQSICNLTNLQVLDLSSNNLAGAIPVALNALHFLSAFNISNNNLVGPIPSGGQFNTFQNSSFDGNPKLCGSALTQKCNSAEAHHPVILSAKQTEYKVAFAIAFSAFFGVGVLYDQLVLSKYFAKSYLASHICSVH >SECCE6Rv1G0399710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:443011429:443034234:1 gene:SECCE6Rv1G0399710 transcript:SECCE6Rv1G0399710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49970) UniProtKB/Swiss-Prot;Acc:Q9LTX3] MLTAACKFRNKSGLASTTRVMPFFVSTSATATATPLCPPPSPYPSPHFRFTSLPRRGLAFLAAAAPLRTLPPTPRQAMASLATSTAVAAAAEVTHLSQRDAADIDEQLMGPLGFSVDQLMELAGLSVATAVAEVYKLSEHTRVLIICGPGNNGGDGLVAARHLYHFGYKPFVCYPKRTAKPLYSGLVTELESLAIPFVPVEDLPQDLSEQYDIVIDAMFGFSFHGTPRPPFDDLIQMLASLSVVGDSAKRPPIVSVDIPSGWHVEEGDVSGGGLKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPISSKYGLELPPYPGTSMCVRIGKAPSVDISSLRENYISPELLENQVMPNPFDQFRRWFDEAVTAGLREPNAMALTTVNKAGKPSSRMVLLKGVDKQGFVWYTNYGSQKAHDLSENSNAALLFYWNEMNRQVRVEGSVQKVSEEESEKYFHSRPRGSQLGAIVSKQSTVISGREVLQQAYKELEQKYSDGSFIPKPDYWGGYRLTPNLFEFWQGQQSRLHDRLQYSQREVGGSTEWHIQRLSP >SECCE5Rv1G0330040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:524015567:524016209:-1 gene:SECCE5Rv1G0330040 transcript:SECCE5Rv1G0330040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKHFKSVIICALILGLVPGQVPVVEGKSCCRGTSSRNCYNVCRLRYPSSSCSRMCGCVLTRDSTCPSEYPNLNLLPSSADAIEYCKLGCRLSVCNNVNSAELGEDMKVGMERCGDACGRFCNDANIASVDA >SECCE4Rv1G0264780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:722451613:722454726:1 gene:SECCE4Rv1G0264780 transcript:SECCE4Rv1G0264780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLVTTVTLLLLLLAASAEISQARAAAVPPPGKYAVILDAGSTGTRLHVFRFDKRMDLVKIGDDIEVFAKVNPGLSSYAGRPKEATKSILPLLQKANSVVPQRLMKTTPVKLGATAGLRLIGDKQAKQILDAVRGAVHTNTKFQYNPKWINVLEGSQEGSYLWVALNYLLDKLGGDYSKTVGVIDLGGGSVQMAYSISPAAADAAPRVPHGKDPYVTKEYLKGRDYNVYVHSYLRYGALASRVEIFKAKEGPFSYCMLRGFSGKFTYNGEEYNATASTGGAQYGKCRGDVVKALKLDVPCQAKKCTFDGVWNGGDGPGQANLYVASSFYYMASQVGLIDSDAPSGASTPVAFRAIAQKICRMSLKEVKSKYPKVRDIPYVCMDLVYQYSLLVDGFGLEPTKNITLVEKVKHGEYFIEAAWPLGEAIEAVGPKKGT >SECCE2Rv1G0075280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:83335292:83338179:-1 gene:SECCE2Rv1G0075280 transcript:SECCE2Rv1G0075280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRISTRRWGPYRRAGQASSSGAIAVDRLSALPDALLHHIMSFLKAWEVVPTCVLARRWRHLWASAPCIDLRVRHSSFRDANLPEEFCDFVDSLFLHRDVSASVDTLRLRSSDDYVGFDEKDTNMWIRTAISRKARVIHLVGHRKGVASLDRVSFVSCHLKILKLSYARLDDRILGQLSSSCKSLEEMDLKDCLVTGPGIVSTSLKTLIMLKCTFNWDFSVTAPNLVLLRLITPSVRVPSFTNFESLVKGTIILDDSLLSDDFGYISDEDNFDETTDDDNHNDDKRENYKIHDDCSLSDDDIGYINDDNFGYISDDNPGYISDDGDFDKFGFGYGFPEGRYGHSRYKDNYDYGSDIDSDDNTYEYSVIANDAKYGYKGAKLSSEDGKYGGNSGRNYSKILGGHNMLESLSTATSLELLTDAGEVVFSRELRRCPTFSTLKTLSLGEWCMAADFDALIFLLQHSPNIKRLFLQLKLNFGMRKALETGIKLERRSFTCKDLRMVKIKCSKDDGRVHTLAHMFSANGIPLQNIYVRRSGNAHLRGQKFMRELAKQELDECGDDWM >SECCE1Rv1G0056270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:686005580:686008453:1 gene:SECCE1Rv1G0056270 transcript:SECCE1Rv1G0056270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex component RRP41 homolog [Source:Projected from Arabidopsis thaliana (AT3G61620) UniProtKB/Swiss-Prot;Acc:Q9SP08] MEYVNPLTGFRVDGRRPNEMRQLKGEVGVVSRADGSALFEMGNTRVIAAVYGPREIQNRSQQQNSKEALVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPHSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLCSTPLLDLNYIEDSAGGADVTVGILAKMDKVTLLQMDAKLPMDTFETVMDLATEGCKAIATYIREVLLENTKQLECQRG >SECCE4Rv1G0243010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:493826584:493829954:1 gene:SECCE4Rv1G0243010 transcript:SECCE4Rv1G0243010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRALTLLLLLALAVSAPLAASAAGDQFQGAHDAAAGNATTTRRLDRRTKMFVHTARGVIGGGGDAGVEQESELGLFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSRGSQNKEIEEVQEKLEAGQGKSTFRRIFSRLCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAVGVAIGATLGHTICTSFAVVGGSMLASRISQGTVATIGGLLFLGFSVSSYFYPPL >SECCE4Rv1G0251450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:602332720:602334127:-1 gene:SECCE4Rv1G0251450 transcript:SECCE4Rv1G0251450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIQVVTHSHCSFLKNFLTGAVLTLPLVYIVLYSTPSFLSYTNLAAFQAHRTSTSPPQALQQCDYTTGKWVWDGSVTGPRYDSENCDMKSTEKCVINGKPDNGYLNWRWQPAGCNLSALDPAEFLWAVRGKLLAFVGDSTARNQAEALVCFLSTVSRPETTHRYQEPVGHKFWRWVFPAPHNITISTYWSPFLVRAEGRSVDYALTQDTLFLDALTEPWTADVEAMDVMVISAAHWFNHPAIYYEDGQIAGVFARPDVNETDIGGGYIGVYRKVIRRVLEYVQEKSSGDKLVVVSTMAPAHFDAKYAWNHRDACSRPNPYDEGEVPEDANTAEMRKAVLEEAAAAAAKRQRRGLRFDVLDVTRLASMRPDGHPGAYIVKDRYGAGKPVPETVNNDCLHWCAPGPVDTFNDILVQMVAASG >SECCE3Rv1G0178010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:487706641:487710347:-1 gene:SECCE3Rv1G0178010 transcript:SECCE3Rv1G0178010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQRKNSKKVKNTDASSPKKDKDSRGKNDFFDRAKGGFGALAGSIKSAKNDAEERAEKVQEDVNAGIEAILETGSGILKKAKEDVGGHSETSRSKELGQGSEEEEEEGEKDMDALSSMLDKAKDKVKSNPDVMEKVNSVLDKVKNHPEVMEKVAEVLHLGKRESKEKEPEAEEKTKEGETSADNTQDSNILDQAVEEIQAVVAAVQQQETSGDETQVPVEAAAETDAPAEGDKPEEVKREVEKDNPKNRIDFVAFFAMIFERFCSPADKKKD >SECCE4Rv1G0267480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736277628:736278142:1 gene:SECCE4Rv1G0267480 transcript:SECCE4Rv1G0267480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTLAYLDQRLAVAKRCSREAAMAGAKATSVATVAAAVPTLASVRMLPWARAHLNPTGQALIISTVTGMAYFIVGEKTILSMARNHSFDDAPDHLKNTSFH >SECCE2Rv1G0103290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:588550361:588552987:1 gene:SECCE2Rv1G0103290 transcript:SECCE2Rv1G0103290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDQSTVVFGLHLWELVGIGVGAAFVLLLVLLSLLCLLANRRRRRRRAPAAPVLHLATVAPSAHPKNPTKPPKDIQEVPSRGAQAPAAAPKVPLAQILQASPQESIQIETGKEHRITFPEQPPPHHQRSGGPSSRGASGESRGGGSEPGVPEVSHLGWGHWYTLKELEAATAMFADEKVIGEGGYGIVYHGILEDGTQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEFVNNGTLEQWIHGDVGPVSPLTWDIRMKIILGSAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSSRNSEGVLDPKMTEKPTSRALKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPYRDDRRAGRAPGQAKLAETPSGEPGDSSGNDTPRDTPKGQSKADNSRWRNQET >SECCE1Rv1G0057140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692557103:692561109:-1 gene:SECCE1Rv1G0057140 transcript:SECCE1Rv1G0057140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAARSKRSHTVHGRRTHQTPAAAAAHKQKRAAAAGGGGGGGSSSAAPRSRNLPSNWDRFEEEPEADDAAEWAGEVAPRSKGADFAFLLEQARAQPLEERGLGAAGRVVSQDSAFDFMQASTSMLEAKGEGIMSWFEDDNFILEDDLAPDFEVPFLSMDLHALATKLSKIKLSQRLFMEEDLLPEDMAVASEDEDDEILIQLGTTLEADAKGSLVQHNFKDIKPGKDAVSPEHACNIHSDDPMKTHHQSECFAEEEATTSFKVIPRPMHSDTEAYTEITGTVPNAGHREQSKPGMVAPEEELDMLLNSLDGTHLSNSNLDESFGSSSTLEGMKINESNEKVTSSSTTSKSLALSPVDDDLDALLSETSLPVQNKGSAASSLSSPPTFDSKSNIDFRYAKQIDVTSIDDSVDDLLADTPFCQSDQKQTTPVQGQQNTSNANAPPPSGSSNVSADFDSWFDSL >SECCE3Rv1G0192680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:761913081:761917586:1 gene:SECCE3Rv1G0192680 transcript:SECCE3Rv1G0192680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAASGTVAASFTDYIPDSVKSFVSDLYRSIRGGDVVGTDKLYDGGFRRLSARVYRDAPWPSAKAVATYCDDDHVFLLLYSELTSRHAHAHFPGLTLAHRADSWDTYCSLFTIVLQNVLTMQLPNQWLWDIVDGFVSQFQRFCQYRTKLEDKTEEEIGLLKRFNQAWSVYGVLNYLKALVDNSMIREILEREKAGLEQFTVINGYEHKQGGSNVLKMLGYYSMIGLLRLHCLIGDYHTGLKCLLPIDIGQQGVYTNVIGSYISTIYYYGFANFMMRRYADVIHQFNKILLYILKYKQYHENSPQYDFLLKKNEQMYALLAICLSLCPQNNLIAEDVSIELKGKYGTEMGKMLRGDGQACYDELFSLACPKFIAAWPPVLEEPFTNYNQDVYRLQLKLFLDEVKQQQFLGDIRSFLKVFSVITVGKLAQHMRLDENTLRTILLAYTRKTHAVDNRGKITSSADFDFYIDEETIHTTESKPSKLHHDYFLTHISKLEEVIGELSEVQLAESAISPGEEACLLPSYGRRTADG >SECCE3Rv1G0149370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:24561230:24562276:1 gene:SECCE3Rv1G0149370 transcript:SECCE3Rv1G0149370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSEATTTPAVRRRVDRWDDWDQRTTATGVDSSNSAMGRRFKQLDMIGAGGFGVVYRARDRHTGEIVAIKYLRTNKYGHDDSGDRYLSAFAGEVSALEKCSGHPSIVQLRASGQHDGEAFIAMEFVGPTLRHVMKRLRFGRRHTEMEVCLMMRQLLAGVMRMNRLGLMHRDLKPGNVLVDDRGNLKICDLGLSCSMADGPPYSNTIGTRGYRAPELLLGSTNYDERIDSWALGVMMAELLAGHHPFYGKTDMEHLSEILDLLGTADIKEWPGYDGRRLPGGWALQSSLRSMFPCPAEARRRRRPQLSEAGFEVLSGLLRCNPAKRLTARAALRHRWFKETNFRASKS >SECCE5Rv1G0364550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802055547:802056386:-1 gene:SECCE5Rv1G0364550 transcript:SECCE5Rv1G0364550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPRFVASFDVESSDKYTSFIAGIRNRLANTKHYSHNVPVLPPADTPPLRWFHVVLRTRTSTLTLAIRADNLYLEGFQGSNGAWWELTPRIIAGATHLGFGGTYRDLLGDTDKLAGVALGPQQMTEAVNALAARTAADAGSGAKQQQAKEAVVALLLMVNEAARFHTVSGFVAALMHPRAAKNKGTITGEMKAQVNGWQNLSEALLKTDKYEEDKSHKQDKKKPEKKGPETFPTFEKMGVRSADQAAKTLGILLFVAVEGGMTRDKALQLFRGTPNY >SECCE3Rv1G0143540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:1013614:1015200:-1 gene:SECCE3Rv1G0143540 transcript:SECCE3Rv1G0143540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSRSLQWDLDAAVDDDDGKQHSADDGDGKRGLRRPSRLFYLALLYTVFWALVFYHHFSTSVQQSGSAAGAAPTVLQLKPSAFFSASRFFRRDPCAGRYVYMYDLPPRFNADLVRQCRRISASTDVCKDVSNDGFGPPITGGGEGGSLPERDAYDTDQFMLSIIFHARMRQHECLTADPAAAAVVYIPFYAGLDAAMHLGNKDLAVRDALSRDLWDWLARRPEWRAMGGRDHLLVSGRGTWDFLRSPDSTGWGNTLMTYDLAIRNATFLNTEASTRHGNDFAVPFPSHFHPSSDAEVTRWQDRMRRLDRAWLWCFAGWPRARGGGMGPERAVLIEQCGNSSRCSLLGKLNHYVPGHAMRLLESAEFCMQPRGDGYTRKSTFDSILAGCIPVFFHPVSAYLQYTWHLPRDYRSYSVFIPHRDVVDRNASIEEVLRKIPPEKVARMRERVIQLIPTVMYRHPAAKGVTFKDAFDVALERVVERVAKRRRAAAEGREYVDGVDGADSWKYDLLEDGQTKVGPHEFDQYL >SECCE2Rv1G0118870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:786109968:786113510:1 gene:SECCE2Rv1G0118870 transcript:SECCE2Rv1G0118870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVPSMELNRSPPLLSGGRGKTCLQKPFIVRAKRSGGLEKANTRSRGTQASEKLKKREPLTRGTVSAPLPVPGHIPRPPYVGSNKMPEISSERQMQDKETIVHMKAACELAARVLENAGKLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRELKDGDIINIDVTVYLNGFHGDTSKTFLCGEVDEASKRLVKVTEECMLRGISACKHGVSFKKIGRRISEHAEKHGFGVVEQFVGHGVGRVFHSQPIIYHQRNNMPGQMVEGQTFTIEPILTMGGSSSSIECDMWEDGWTAVTTDGSLAAQFEHTILITRTGAEILTKC >SECCE7Rv1G0519940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866298562:866306208:-1 gene:SECCE7Rv1G0519940 transcript:SECCE7Rv1G0519940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATGAMGTLLPKLLEVLREEYKLQSSVREGIKSLEKEMKSMHLALCKVAEVPREQLDGQVKHWAGEVRDLSFDMEDVVDKFLVHLHEGSETATNSNKLKRLTKKMASLFTKGKARHEIKDAIEEINRQVQEVAKRHGRYNIDSIVASLPSVTSVDPRLGAMYAEVTGLVGIAGTRDQELMKLLSEGDDMSNKMLKIVSVVGFGGLGKTTLVKTVYDKIKGDFNCSAFVSVGRNAEARKVFTDILLDRNMKKIRDDLGINESQFILSDERQLIELLQEGLADKRYLIVIDDIWDDKLWEVIKYAFSSSDNFGSRLITTTRIVSVSESCCSSINDSIYTMEPLSHDDSKRLFYKRIFSQESGCPPDLEEVSGAILKKCGGVPLAIITIASLLASGQHAKPKNEWHVLLESIGRGLTEDRSAKEMMRILSFSYYDLLPHLRTCLLYLSMFPEDRKIMKDQLIWMWIAESFVPCGKGETSLFEVGESYFNELMNKSLIQPTYDEYGFVDGCRVHDSVLDLICSLSGEEKFVTIVSGTGDTISSEGFVRRLSLQNATRIEGQTRLLRYESIGKVRSVVTFAPAFDLMPPFSSFVVLRVLDLEFYGYDDTKEDHLNLQELGHLLHLRYLRLSGHGIAELPEEIGKLKFLQVLIMPGSTRLPSTVSKLTTLMCLHSDGGKFQLPDGVENLRLMEVLYKIKVGSISIVKELGNMHRLRELNIQFESSEPLEAFVESLGKMQKIQRVEISAKCEHEVSMDLLGEGWTPPATASLREFVMRKGVRLCTLPAWNPYHLSQLSKLVISVGDVRQEDLEFLGRLSALRILWLLSNNQRPLVVGAEGFRCLEKVALHCKSPSQILFQRGALPKAEEVFLDIGLLAAKEEAAGSGGDWFELGIGNLPSLREVEVMFFRSGVTVGEAKQAEAALEKALRIHRGNRLRRGHTRAAVRVVRHFRSDARDEDVYVKGEESD >SECCE7Rv1G0464120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59161617:59162117:1 gene:SECCE7Rv1G0464120 transcript:SECCE7Rv1G0464120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGCFVAKECTLAVSTERMWKAAFSGEDTTILPKACPGFIDAVDVEGDGGPGSVSTMTLSPAAAELAGSGVTRSRMVARDNTARVIKMEVLEGGKVSGQLKSQVVELKLEAVGEGACVVKLRVEYEKLDGGGVLSAEDQATLAAGYLDLFKMVEAYLVAHPAEYA >SECCE7Rv1G0504370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722377589:722378566:1 gene:SECCE7Rv1G0504370 transcript:SECCE7Rv1G0504370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWLKLSVALTCALLLSSSACHGLQVGYYKKTCPRVEAIVRDEVKRFVYKNAGIGAGLIRMFFHDCFVQGCDASVLLDPTPANPQPEKLSPPNFPSLRGFEVIDAAKDAVEKACPGVVSCADIVAFAGRDAAYFLSRMTMKINMPAGRLDGRASNSTEALDNLPPPFFNLDQLIASFAAKGLTAEDMVVLSGAHTIGVSHCSSFVSDRLAVPSDINTGFANVLRRQCPANPSPANDPTVNQDVVTPNALDNQYYKNVLAHKVLFTSDAALLATPATTQMVRDSANIPGQWESKFNKAMVKMGAIEVKTGYQGEIRRNCRVVNH >SECCE1Rv1G0040290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:557412666:557415949:1 gene:SECCE1Rv1G0040290 transcript:SECCE1Rv1G0040290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53900) UniProtKB/Swiss-Prot;Acc:Q9M336] MTSPATATAAAAGAPLHRRPCAPRRLVQASYCSLASRALVEPARHAAPAPLLVRRPRPSLAVARAASPDAATGSSRSPASGGQMLVFVPPHPLIKHWVSVLRNEQTPCAVFRSAMAELGRLLIYEACRDWLPTITGEIQTPVAVSSVEFIDPREPVMVVPILRAGLALAENASSILPATKTYHLGLRRDEETLQPSVYLNNLPEKIPEGTRVLVIDPMLATGGTIVAAIDLLVDRGVTSKQIKVVSAVAAPPALQKLSNKFPGLHVYAGMIDSEVDERGYIVPGLGDAGDRSFNT >SECCE3Rv1G0143480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:920648:920917:-1 gene:SECCE3Rv1G0143480 transcript:SECCE3Rv1G0143480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSARASLMLAVVLACALLAATRHADAAPGGPVCNPLQDKTCKPGDPKAPENIPGHGKMDRDGDEDDELPSFSTHKTILGHWEEALML >SECCE5Rv1G0351600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:701278665:701279216:1 gene:SECCE5Rv1G0351600 transcript:SECCE5Rv1G0351600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVIFCVVIAAAVLAVVLLATVSPLPHRSTARPRGLRDITVYIHPAAPGAATQQRLHQGAAHGGERAASALVFRHRMTAGPAITSRTVGAASGFVLPGERGSAMSAFDTVHLAFDAPGLSGSLCVEVARGKEALRVVGGTGAFAFARGHGAVLRPERGRRPLDDGGAATALRLELSVASDG >SECCE6Rv1G0451030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:870822852:870824066:-1 gene:SECCE6Rv1G0451030 transcript:SECCE6Rv1G0451030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELDEEIYMEQPDGFVVPDQEGKVCKLLKSLYGLKQAPKQWHEKFERTLTSEGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLDLIKEVKDFLSRCFEMKDLGVADVILNIKLLRDDNGGITLLQSHYVEKIKATSGYLFTLGGGAVSWKSCKQTILTRSTMEAELAALDTTTVEAEWLRELLMDLPVVEKPIPAILMNCDNQTVIIKVNSSKDNMKSSRHVKRRLKSVRKLKNSGVITVDYIQTTMNLADPFTKGLSRNVIDNASREMGLRPMV >SECCE6Rv1G0401560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:469261512:469263612:-1 gene:SECCE6Rv1G0401560 transcript:SECCE6Rv1G0401560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLRIYPSELKMPFELRKSNSGCIELVNKTDQRVAFKVKTTNPKKYAVRPTSGIVPPGGSCGITITMQAPKEIPQDYQCKDKFLIQSVVVQEGITHKDIVPEMFGRVPGRVVEEFKLRVIYIAANPPSPVPEGDEEEIADMEVDHEVFRQSMIDAASRQEYASGPQASHDEAASTIKSEIVKYVAENKQLQQELEKLSERRQSFGGFSRMFMLFVFLLSVLVGYWMTGRKV >SECCE7Rv1G0475060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:182059198:182059737:-1 gene:SECCE7Rv1G0475060 transcript:SECCE7Rv1G0475060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRPTLSSHSSGFFSRSASFSRPASFSPRTTSPPASPAAQAPPPVLSAPFARPATFSRSASFSASAVAAPGRGASFCFYDVLGLDASASGGEIKAAYRRLARAVHPDVSPHPAASADDFIRVHAAYSTLSDPSKRADYDRHMIMIPSAVGRRSAPNLARSPSFPGCRRRTWETDQCW >SECCE7Rv1G0509780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:783310464:783316465:-1 gene:SECCE7Rv1G0509780 transcript:SECCE7Rv1G0509780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELRRDDMAEEAGTIRDAVAFRLVEHVLQSVRMEPFLVAPYDQEQYGQMLNTLDTSKRKSADDEALYVTYLKALSEAVSKLDIMYHHSLLNNIFSVRIWYLQRDTMVALLDLITRLAAVADQYLRECLQMLVNNFTPPIIGGRNEVPPWAVSRKKEIFSHLCESLKTISDTVPLAPRMLRDIIDRSMPKLFDNKAKMISFVECMLGLDTDRMGDLIGAVLLAKVVDLITELDVNITWEDILQEEHDKGIFEMELEDLDGDEDGDGFGQAGTKVHFGGNACAEKLDGLMVVVCEHLKSLDRQCLYKEFVTLKTIFRASLLRVHRSKFAQFIMFYACSLDPLILGEEFAIFLTDIVKKKEEDPISRMSAVSYVGSYLSRARFIPADTVVTILKKLVAWCVDYCDYQSGRDATAIPNHQLFYATCQAVMYVLCFRLRSIMDYPNLKSDLFHMPFGFLFRHRLEPLKVCLPSIVNEFLRQAKDAGLVAAFVDPAAEDAIESDLSRTFGGINRLDMFFPFDPYLLKESDRYIRPNFEFWSMVKTSYGDDTDDDDDELEDLDAPEMNVGSLDDHVEIDINSDDDDLEYSMNKMSITPHHSFLHQMAMDSDGGLSMPARIRPSTSPPSR >SECCE3Rv1G0205720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:901020026:901021153:1 gene:SECCE3Rv1G0205720 transcript:SECCE3Rv1G0205720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFSKKLLVASMVAAVLAVAAVELCGAIPLEDKDLESEEALWDLYERWQTAHRVPRHHAEKHRRFGTFKSNAHFVHSHNKRGDRPYRLHLNRFGDMDQAEFRATFVGDLRRDKPATPPSVPGFMYAAVNVSDLPPSVDWRQKGAVTGVKDQGKCGSCWAFSTVVSVEGINAIRTGSLVSLSEQELVDCDTADNEGCQGGLMDNAFEYIKSNGGLITEAAYPYRAAQGTCNVARAAQSSPVVVRIDGHQDVPANSEEALAKAVANQPVSVAVEASGKAFMFYSEGVFTGSCGTELDHGVAVVGYGVAENGKAYWTVKNSWGPSWGEQGYIRVEKDSGAAGGLCGIAMEASYPVKTDSKPKPTPAPRRALGARESQ >SECCE3Rv1G0184790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:666238245:666242431:-1 gene:SECCE3Rv1G0184790 transcript:SECCE3Rv1G0184790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPTEFTSYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIASAPPRVAGHGAGPSGLTPPALQNDSQSGAAEGRISGWSAMDRRRAPPPIASVGTSNKQKAPVGNDAPISKDPAISSSNFLGRSSGSSRRAAVSSSRDAVASDTFEPSRSRTTDASPGAFRRTSGAQRSPPVDSAEPQRSSSARHSSNPKNYESALKGIEGLNFDGDERPQY >SECCE5Rv1G0357360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:746069804:746070425:-1 gene:SECCE5Rv1G0357360 transcript:SECCE5Rv1G0357360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNHMLVVAIAVVALLPGLAVATEHVVGDDKGWALYFNYTAWAETKQFVVGDTLVFEYGISSHNVVEVGGPDFLACNQPENAVVWATGEDRIALDKAGPRWFFCGMDQHCEYGMKLKITVLETAPPVPQPKPPLVAPPPSSPAGKLEACFAEAAAMITVLAVAMLVL >SECCE2Rv1G0073290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:67058391:67059858:1 gene:SECCE2Rv1G0073290 transcript:SECCE2Rv1G0073290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMASSLSVMLLLCLAASSSAQLSPRFYARSCPRALAIIRRGVAAAVRSERRMGASLLRLHFHDCFVQGCDASILLSDTATFTGEQGAAPNAGSIRGMNVVDNIKAQVEAVCAQTVSCADILAVAARDSVVALGGPSWPVPLGRRDSTTASLSLANSDLPPPSFDVANLTANFAAKGLSVTDMVALSGAHTIGQAQCQNFRDRLYNETNIDTAFATSLKANCPRTTGSGDSSLAPLDTTTPNAFDNAYYRNLMSQKGLLHSDQVLINDGGTAGLVRTYSSASAQFNRDFKAAMVRMGNISPLTGTQGQVRLSCSKVN >SECCEUnv1G0536880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:66753756:66754777:-1 gene:SECCEUnv1G0536880 transcript:SECCEUnv1G0536880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVPTWLELLLATQFFAICTNHLSSTRNECNLFCIDCEESKAAFCYYCRSRHHSTHRVIQIRRSSYHDVVRVAELKDVLDISDVQTYVINSATVVFLNERPQQRGCGVSAVKASSSSYNCESCNRALLDPFRFCSLGCNLKGIKEDMRTSIPTRDIIEYTRKDDDTDCSNTSGNTGNNEESCSDADYCKENPSPPRVIRHRRKGVPQRAPFY >SECCE5Rv1G0341380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:623638327:623641595:1 gene:SECCE5Rv1G0341380 transcript:SECCE5Rv1G0341380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPPVVVVFLLLLGLGLCSCANVHIVYMGELHPELHPELVRDSHHGMLAAVLGSKQAAADAILYSYRHGFSGFAAVLTNAQAAQLSDCPGVVRVVRNRVLDLHTTRSWDFMRVNPSPAGGSGILSGSRFGEDSIIGVLDTGIWPESASFRDDGIGEVPRRWKGQCVAGERFNASNCNRKIIGAKWFVKGYQAEYGKMNTTDIHEYMSARDAVGHGTHTASTAAGALVPDASFRGLASGVARGGAPRARLAVYKVCWATGDCTSADILAAFDAAIHDGVDVLSVSLGQAPPLPAYVDDVLAIGSFHAVVRGITVVCSAGNSGPYSETVINSAPWVLTVAAGTIDRTFLAKITLGNNSTYVGQTMYSGKHAATSMRIVYAEDVSSDNADDTDARSCTAGSLNATLVKGNVVLCFQTRGQRASQVAVETVKKARGVGVIFAQFLTKDIASAFDIPLIQVDYQVGTAILAYTTSMRNPTVQFSSAKTILGELIGPEVAYFSSRGPSSLTPSILKPDITAPGVNILASWSPSVALSSAMGSVNFKIDSGTSMSCPHISGVAALLKSMHPNWSPAAVKSAMVTTANVHDEYGFEMVSEAAPYKQANPFDYGGGHVDPNRAAHPGLVYDMRPSDYVRFLCSMGYNNSAIASMVQQHTPCQHSPKSQLNLNVPSITIPELRGKLSVSRTVTNVGPVTSKYRARVEAPPGVNVTVSPSLLTFNSTVNRLTFKVTFQAKLKVQGRYTFGSLTWEDGTHTVRIPLVVRTMINRFYVNA >SECCE5Rv1G0319570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:376935172:376937366:-1 gene:SECCE5Rv1G0319570 transcript:SECCE5Rv1G0319570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSREGGGKELEETEGWFPWKHDDFLQEQLAGAAGVSMHTKQGWKGVNQDAMAACQDFAGHKGQIFCGVFDGHGPLGRDVARHVRDSLPKKLSASLAPRAEDDAPSSNSNADVDSFDKSDCTSSSDTSDEHQLLTSWKSLMVKAFEDVDEELRQHSGIDCLCSGTTAVTVVRQSDHLIIANLGDSRAVLCARDSKGRPVSVQMTTDLKPDLPGEAERILSCKGRIFAMDDEPDVPRLWLPDQDAPGLAMARAFGDFCLKNHGLICTPEVYYRKLSEKDDFLVLATDGIWDVLSNKEVVKIVSSASDRSKAAKQLVDRAVRAWRRKFPTSMVDDCAAVCLFLKPAAISSEEDSTAKPPHAPVLSFTGSFRKALSGGEAEEGTAVWRALEGVARVNSVIRLPRMLSRRWRSASVDENNDQESSKVD >SECCE5Rv1G0324080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:442522346:442523876:1 gene:SECCE5Rv1G0324080 transcript:SECCE5Rv1G0324080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEGNGGGSGLVVTELSHIKELVKQLDVHLGGSPDLCKLLAQQIFAVTERSIGMIRSAHFKRSAAGAGLDSPPLSATPSPVSGVSNTPFKPNKKRKTSEKGRRQIRVSSAGGGADGPADDGHSWRKYGQKDILGAQHPRAYYRCTYQKTQGCAATKQVQRADEDPALFDVIYHGEHTCVHKAAAAMVQPAGQNPGAQSLLQSLSSSLTVKTEGLAAAATQGWSATTPFSFSSPAVSGMTPPEHHPFSTPSTPENCFMSMPTSLEPSPATSGSNHMGMNPFQAQSELQTMVFALAEATSMPAADAEEAAFSYWSFGDPAALDVNNIDDDLNNFDISAFFA >SECCE5Rv1G0370620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:843038285:843038803:1 gene:SECCE5Rv1G0370620 transcript:SECCE5Rv1G0370620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAQMSSGAFQLLGMADMGLLPAVFSRRAARTGTPWVAIVVSTAVTIAVSFLYFDDVVATANFLYSLGTLLEFASFLWLRVKYPALKRPYRVPLSLPALVAMCAVPSSFLAYVCVVAGWRVFTLAAGLTTLGVGWHCVMRVCKAKKLLKFNNAVAGNPQQYARDGYHLVLG >SECCE6Rv1G0439930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:800280630:800281811:-1 gene:SECCE6Rv1G0439930 transcript:SECCE6Rv1G0439930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSHVDGKGSYTAAERVRRAMARSRHRLASFMDVTASVHWNTSQYIAEYLIGNPPQRAEALIDTGSDLIWTQCSTCSQCVKQGLPLYDASKSATFHPVSCNDTLCLANQEHSCRRDGSCAFGAFYGAGDARGTINTEVFAFQNGSARLTFGCVDSLMITPGSLDGASGLIGLGRGPLSLVSQAGASKFSYCHTPYLRSNATVGASSHLFVGASASLSGDSPVTSMSFVQGPKEYPFYYVPLVGISVGQTRLSIPPTVFALKQNGTGGGVIVDSGTPTTGLVDEAYGPLSEELRRQLNGSLVPPPADSEMDLCVAVAQEKTVPSMVFHFSGGADMVLPPENYWMPLDNSTSCMVMVTSSDISIIGNFQLQNMHMLYDLAKEELSFQTADCSSL >SECCE5Rv1G0307720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:118919679:118924671:1 gene:SECCE5Rv1G0307720 transcript:SECCE5Rv1G0307720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADYGAPTELAGPLQQRRALYQPRLPPCLQGATVRVEYGEATTTIDPAGAHAVAQAFPRTYGQRLVTFLAPDDTAGAEKAVDERPPIRVGVVFSGRQSPGGHNVVWGLHDALRAYNPRSVLYGFIGGTEGLFANKTLEITDDVLASYKNQGGFDLLGRSIDQIRSSKQVSAAMTTCHDLNLDGLVIVGGVTSNSDAAQLAETLVQKNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKYYYFVRLMGRKASHVALECALQSHPNMLIMGEEVALSKLTLMEVINKICDGIQARAELEKHHGVLLIPEGLIESIPEMYALIQEISILHNNNVHVTEIPTQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMIKRTKEGRYKGKKFSSVCHFFGYQARGSLPSNFDCDYAYVLGHISLHMIAAGLTGYMATVANLKDPVHKWRCAAAPLTAMMSVRRHLRGPGAIPIGKPAIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQFEGPGSDAKPITLTIEDQDYMGDIEMLKLYLDKVKTIVKPGCSRDTLKAAISSMISVTHVLTVMSHPLNAELPLYHFN >SECCE7Rv1G0475180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:182996638:182997444:1 gene:SECCE7Rv1G0475180 transcript:SECCE7Rv1G0475180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPFALSRLSPAVRLPIRAWRAARPAPVWTGRTRPLSVASAAQENRDNSVDVQVSQAQNAGNQQGNAVQRRPRRAGFDISPFGLVDPMSPMRTMRQMLDTMDRLFDDTVGFPTARRSPAAASEIPRMPWDIMEDDKEVKMRFDMPGLSREEVKVMVEGDALVIRGEHKKEAGEGQVEGGDGWWKERSVSSYDMRLALPDECDKSQVRAELKNGMLLVSVPKRETERKVIDVQVQ >SECCE6Rv1G0407600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:559843691:559846993:1 gene:SECCE6Rv1G0407600 transcript:SECCE6Rv1G0407600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTRNLNPNPNTNNPDPPFDMGILFGTSPNPAPTAAPMFPAAAGPPSPFCPYSHPSATSPFHGGTYLHHTQDLHPPNPRPAISFPMPRPAVSFPMPRPAISFPMPRPAISLPMPRPAISFPIPDLNANPSAALPGSYLHYLQDPRYLIPCAVNSYMRNRNLSVNLRAALGRLQDRQSPMASSGNSIHTLNPNTNLNLSAASHGRYLQNAQDIRHSMPHPVISSAMPNRSDNPRTAAGAKPEQNKGAPNGRQNNSNDVIHLSDSDSDSDDFFEEEAPPTHSKSNGKASSDSLKTGGKASSFSNGEGSKGGKAFSAGKGRKGSASNAKPAMSDAELKLQLDMPPNSILLSNCQAAEMLQKISGHMAILAEDPKIKIPESFDKAFQYAKEGNHFTSAELVKEILEPLKDYGVNDGEICMIANIGPETIEEVYALIPSLKATRSINEGKIVEALAALANIKASK >SECCE1Rv1G0012140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:87094996:87096538:-1 gene:SECCE1Rv1G0012140 transcript:SECCE1Rv1G0012140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFAQEEEDLIVELHAVLGNRWSQIAAQMPGRTDNEIKNLWNSSLKKKLRQKGIDPNTHKPLAEVDHSGAAPTISTERTSGSSDVNLSSAGALGNFGHLLSETAQSSMLLPVYDKKRPETPSLARPKVPAKELFLDQLTAGHESPSSCRSSGPTLYFPFQQQLGYSNECGSGDGANMNSLWFNQNDFNCSTISTVMPTVSPSALSTPMGLNLPPDNHRHGGTGIGSAPFYWDGVNPSSSGSTGSSGSNSMGFEPQSTNSILENSVFPWTDIGVGQEKDARVQLVEELKWPDLLHGTFAEATTAMQNQSQSLYDDVIKAESQFNMEGICASWFQNQQPQQQLQAASDMYDKDLQRMPLSFEHI >SECCE7Rv1G0475820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:193330451:193331928:1 gene:SECCE7Rv1G0475820 transcript:SECCE7Rv1G0475820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEEMQVERVHEDAEHGGADADKLNYEIFSILESKFLFGYTDPHQLWLPKPPPPAQASQAAMAMAATGKAAQRGKVCVLCVDGGGGGLRALLAGRALAHLEAALQRASGSPDARVADFFDLAAGTGAGGVFAAMLFSTHSRGAPLFRAEDTWRLVADHAPRLFRRPAGSTSLFCRAKKRPLAAPTAALSVAMKAAFGEELTLRDTIKPVLISCYDLRSSAPLLFSRADALESESYDFRLSDVGRAAWSEPGRFEPAEVASVDGVTSCAAVDGGPTMGSPAAAAITHVLHNKHEFPFVRGVEDLLVLSIGGCSGAGGSGATADADIMRMRRWGPKEWARPIARIAADGAADLVDHAVARAFGQCHSSNYLRIQAKRESMPPCGPDGEYDPTQANVQALLAAADEAMKQRNVESVLFEGRRIGEQTNAEKLEWFANELVAEHRGRGSRIAPTVAFKQSPALG >SECCE7Rv1G0495710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:601370308:601371365:1 gene:SECCE7Rv1G0495710 transcript:SECCE7Rv1G0495710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSPSWVTLALLLFITLAATANGDELSAGYYEKTCPNVQHVVRSVMASSFAAQPRMAPAVLRLFFHDCFINGCDASVLLDATPFSPSEKDVEPNASLTGYTVIDDIKSALEHDCPATVSCADVIALASRDAVALLGGPTWSVPLGRKDSRFAADPESTQNGLPSPHDNLGELVTMFSRLNLDARDMTALSGAHTVGMANCMHYSDRVYGADRNEEIDQSFAHTMQQTCQSPSGKAPFDVQTPMRFDNAYYKNLITRRGLLTSDQTLYGGGGLQDNLVEMYSTDGEAFARDFAKAMVKMGNVPPPKGMPVEVRLKCSVVNY >SECCE1Rv1G0011540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:79042907:79044484:1 gene:SECCE1Rv1G0011540 transcript:SECCE1Rv1G0011540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDLSSRRRRLPLRRPRDEDRLNALSDDLILQILGRLDTRSALGAAALCRRLAHLPRELPFLDLKVSDALPPRYRRWLDLLNEAVRSDALRGCSRRLRPIVGRYERRAMRAMVSSFRSLRARRHRRACSRLSLEFFAFSTSAIINRLVVDAVDSWGVEDLEVVAKSTEPITHLRPVYTFPRGRISRKPGESRLRSLKLVNCLPPPLEGFTALTTLLLRDLPCSTPAAVYEGVVAACPQLRVLHLVSCSFDKDTTRWLVFDAPMSEIRELVADGPLMTIKLRSLPKLESLTAVDARVLLCSDANVPCLAHVSLVFSIGPLDNHSIVNHLIALFMLSLKDAAISMRNLILRFTGPEMWILPNLKNQFSLMPNLKKLLVADVPSSWDVSWPRMLIQAAPLLEILHVHVSQSHCQHEEEPDRQNISSYLQMQQPSSSCQRHGHLKELVVIGFQSTSRIHHQLIYLVRFAVDTSTALRRVAVFKHGHVEDKGGPWDWEMVSKQSTWSNEEKLAVLDCCSTPQIEVLLG >SECCE1Rv1G0061680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:716811295:716812920:1 gene:SECCE1Rv1G0061680 transcript:SECCE1Rv1G0061680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALPVPEPKKKSNFKYACTCALSASMATVVLGYDVGVMSGASLYIKEDLRLTDVQVEIMMGILSVYALLGSFAGARTSDWIGRRYTVIIAAAIFFAGSLIMGFAVDYVMFMFGRFVCGMGVGFAIMVAPVYTAEVAPASTRGLLTSFTEVFINVGILLGYVSNFAFARLPPHINWRVMLGIGAVPSALLALMVLGMPESPRWLVMKGRLADARVVLEKTSDTPEEAVERLDQIKAAAGIPRDLDGDVVTVPKRKGGNEKQVWKDLIFSPTPVMRRILLAALGVHFFQQATGSDSVVLYSPRVFKSAGITGDNHLLGVTCAMGVTKTLFILLATFQIDRVGRRPLLLTSTAGMLVCLVGLGTGLTVVGQHPDTKIPWAIGLCIASTLAYVSFFSMGLGPITSVYVSEVFPLRVRALGFALGVACNRVTSAAISMTFLSLSKAITIGGSFFLYAGLAAVGWLFFYAFVPETRGQPLEDIGKLFGMKDAAVEDDDDTNKDKQQVKAAVEMN >SECCE5Rv1G0302460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:39024127:39025143:1 gene:SECCE5Rv1G0302460 transcript:SECCE5Rv1G0302460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPLRELEKATNKFHPSRKLGGGGHGTVYKGILSDLHVVAIKRSNIVVKSEINEFINEVSILSQINHRNIVKLFGCCLETEVPLLAYEFISNGTLCDYLHKKPLRSLPWQDILRVATEIGNALSYLHSGISVPVIHRDIKSTNILLDDALTAIVSDFGASRYIPRDKTTIPTKVQGTLGYLDPMYFYCGRLTEKSDVYSFGVILVELLTRKMPITYRSSTGDGLVAQFVELHAEGKLVEILDPHVMEEGGSQVEVVASLAMSCTKLRAEERPTMRSAEMTLEALQGPKRHSRVRDATYEGSYSATRYTSPIRTASLEDTSRQYSQEEEFVLSASYPR >SECCE7Rv1G0465930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:75528315:75531580:-1 gene:SECCE7Rv1G0465930 transcript:SECCE7Rv1G0465930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQAEEPRGTETVPAPLKRHDSLWGDAEKVSHSNHHGSRVSWVRTLSLAFQSVGIIYGDIGTSPLYVYSSTFPDGIKHNDDLLGVLSLIIYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPDQQAEDAAVSNYRIEAPNSQLRRAQWAKQKLESSKAAKIALFTLTILGTSMVIGDGTLTPAISVLSAVSGIREKAPSLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPVISVWFLFIAGIGLYNLVVHDVGVLRAFNPIYIVQYFKRNGKEGWVSLGGVILCVTGTEGMFADLGHFNIRAVQISFNGILFPAVGLCYIGQAAYLRKFPENVANTFYRSIPAPMFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCMPRVQVIHTSHKYEGQVYIPEVNFIMGLASIVVTVAFRTTTSIGHAYGICVVTTFIITTHLMTVVMLLIWKKHVAFIALFYVVFGSIEMIYLSSILSKFIEGGYLPICFALVVMSLMAAWHYVQVKRYWYELDHIVPTSELTVLLEKNDVRRIPGVGLLYTELVQGIPPVFPRLIERIPSVHSIFMFMSIKHLPISRVLPAERFLFRQVGPREQRMFRCVARYGYTDTLEEPKEFVSFLMDGLKMFIQEESAFAHNKVAEIAAGGEVSEDQTSMASGQSTRNAVHSEEMVQARVSSHSSGRIGSFHSNRSVEEEKQLIDKEVEHGMVYLMGEANVTAKANSSILKKIVVNYVYTFLRKNLTEGHKALAIPKDQLLKVGITYEI >SECCE2Rv1G0089720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:261177641:261178880:1 gene:SECCE2Rv1G0089720 transcript:SECCE2Rv1G0089720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLVVHKTDEAESNMSSKGKECKVDKNARAKAINWPIAISEFLLDWYIEKKIEMPPKAVFKKMHHTACTTAINKKYGCSYTVEQVHRHFRRHKETWGVVARYNNESGSGFDDVNKQVMLSQSTLDRPSANDRGILCKPIQFYDKLKELFSGSSDDGSFMQDSFSAAETDNDDNAKADMMNDMSTYDEAKGPTGHDSDKLDIDSDDCEEVAALAGTNSQVSSSNVGALKPNKKSFKKTAKASTLPPPQNDKAKKSKARLSQASQDDTDMDVLLTSTLIGIRETLASPVQTVAPMDPNAPLWDMLKKIPLPPDERMSVGMHLCKPEFEIHRGFLVSMGQEYLERWVYTYLSNNDPTGKRGGDGPANNLGDDGPAGDLGNDVVVNSSPF >SECCE5Rv1G0317290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:340181661:340188859:-1 gene:SECCE5Rv1G0317290 transcript:SECCE5Rv1G0317290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSPTKRLARRVMRRPLEKAGMAGLAVVATATAALLLLVCAASLRCSAAVDYALTAPRRLWSGGVSIAAEASPSPSSERGRGAGKKTAAAMVVGEECDLFDGSWVWDDTYPLYESKDCPFLDVGFRCSENGRPDASYVKWRWQPSRCDLPRFDAKFMLEKLRNRRVVFVGDSIGRNQWESLLCMLSSAVPNKKSIYEINGSPITKHMGFLIFKFSDYNCTVEYYRSPFIVLQGRAPAGAPKVVKYTVRVDAMDWMSGRGKWSNADILIFNTGHWWNYEKTIRGGAYFQEGSEVKMEMTVIDAYQKSIRTLSNWLHKEVNTSKTQVIFRTYAPVHFRGGDWRSGGSCHLETLPDTTPVKSLEQWADILQPVHNVLGSSIRPKLPGLAILNVTQMTSQRKDGHLSVYLSPSGPVPLHRQDCSHWCLPGVPDTWNELLYAIFMKRQTMMDQNVSRGGSTTLNTG >SECCE5Rv1G0299920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:20743459:20744429:-1 gene:SECCE5Rv1G0299920 transcript:SECCE5Rv1G0299920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASATITAAPPALSATRLLAIPPPRGGFASISLPTPIGGGGNRGSGRSAGGGGGGGGDGGGDSGAGAAAAMALVEAGRSDGSDVILLHVGGMACEGCAAKVKRILESQPEVASATVDFAKATAVVRTTPEAMAAKDWRKELGEKLADHLRSCGFESHMLDETEQS >SECCE5Rv1G0307490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:113709065:113711926:-1 gene:SECCE5Rv1G0307490 transcript:SECCE5Rv1G0307490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACDDPSSHSYPLFFTPSSQPTNNQTSNYGVDLPVLLSFKSFITSDPTGELFSWSWDLVGNGTSMASLPDYCECAGVACSNRRHPGRVTTIRLQGIGLAGTICLQLGNLTHLRVLDLSANNLEGELPGSLGGCTSLHALDLGVNYLSGSMPASLGLLSKLTFLNVTHNNLTGDIPMSFSNLSALSNLTQNDLTGHVPPDLGKMTNLVKFDIHDNKLEGPFPPPMFNISSITLLDIGFNQLSGSLPLDIGFKLPKINVLATHVNQFKGPIPASLSNASSLKYLLLRGNQYRGLIPRDIGIHGRFRSFSLGQNVLQATEPRDWDFLTSLTNCSNLGILDLEQNNLEGVMPVTIANLSKELKWIALGRNKIAGTIPAGLVAFQKLTMLILADSLFTGTLPLDIGQLPSLQYLDLSHNRFDGKIPQSLSNITQLINLSLSNNFLDGSIPTSLGNLTKLTSLDLSSNSLKGGIPQEILSIPSLTVLLNLSNNDLSGSIPTKIGNLNNLSTIDLSTNKLSGEIPDAVSSCVQLNSLYLQENLLEGQIPKGFSSLRGLEKLDLSNNNLAGAIPDFLQSFELLTYLNLSFNNLSGPVANTRIFGNATILSLAGNSMLCGGPPFLQLPSCPSHQANSPHRVRAIIFCMVGTLIFCVCSRAAACYFMKTRTKANDVDQRIIFHNERISYAEIDAATDSFSPANLIGSRSSGNVYVSNLLLNESLATVAIKVLNLARRGASRSFLRECDVLRRIRHRNLVKVITVCSGVDHNGDEFKALVLEFICNGNLDEWLHPNTTTKSTRAFRRLSLMERLCIALDVAEALEYLHHQIEPSIVHCDIKPCNILLDDDIVAHVTDFGLAKIMRTKECKQSGGGTESSSFVIKGTIGYVAPGQPFLKLEPLLMHLNNFFANLCLIHYIAISHNIFVYMFVRVWLRI >SECCE4Rv1G0282960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:832007026:832007336:-1 gene:SECCE4Rv1G0282960 transcript:SECCE4Rv1G0282960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVFNVVASILILVFLVSCDVVQSTCHEYTSKVTCRDSSTCLTWCKYHGAADGHCNKGSCVCMDCGWRVMGST >SECCE2Rv1G0085940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:198183650:198185332:1 gene:SECCE2Rv1G0085940 transcript:SECCE2Rv1G0085940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGLLEAVAPKKKSNIKYASTCAVVASMASIVLGYDIGVMSGASLYIQKDLEITDVQVEILMGILSIYSIIGTIAAGRTSDWIGRRFTVIFAAAFFFAGALLMGFASGYTMLMLGRFVAGIGVGYAIVIAPVYTAEIAPAWARGFLVSFSEVFINLGILLGYVSNYAFARLPLHLGWRFMLGIGAVPSVLLAVLVSGMPESPRWLVMKGRLADARVVLEKIADTPEEAKERLADIKAAAGIPDDLDGDVVVVPRKRGGEEKQVWRELIMSPNPSMRRILVAALGIFLFQQLTGSDSVVLYSPRVFESAGITGDDQLLAATCAMGLAKTLVILVAMFLLDRVGRRPLLLCSTGGMVVSLVGLATGLTVVDQNPDARIPWAVGLCVVSVLAYVSFFSVGLGPVLGVYTTEILPLRVRALGFAVGAAGNRVVSGVMSMTFLSLSSAITLGGTFFLYAGMAVLAWLFFFTCLPETRGRTLEEMGSLFGMTDTGAEAEDAAPGTQDASCRARLLGASPGPRVD >SECCE6Rv1G0408130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:568455554:568461765:1 gene:SECCE6Rv1G0408130 transcript:SECCE6Rv1G0408130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPVDGDMEGADVDMAATGDDAAKELDEMKRRLKEMEEEAAALRDMQAKVAKEMQGGDANASTAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVSPKRTNVPGMKQRPPRGFNPYHAYPYRSYGSPYFPPYGYGRVPRFRRPTRYRPYF >SECCE2Rv1G0141420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935679568:935683709:-1 gene:SECCE2Rv1G0141420 transcript:SECCE2Rv1G0141420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAKRDLVDSLRRYRETLRWETEFLRSEATSRGLETIAGRKEYLKEHTARGSLSPELPQLKEQLSGQKIASLPLVSLPYLVPRHEEALDLLLHEAHKLGYRIQCLRELAAPISLETARLLRLVSERCAKMSRIIARKGSPNSGKSCADAAIAAYSERRESWESIWGSPVLRSGGFNDITTLSPMYFTPFAPAIIPYTGFATRALQIYSFEISDLNDCLKWPLYVYGVVAARDVVDGNRNLLFSRSRPNCQVLTEKDPFLHLTGPSRVILAEYPVDFEVELRIRDGTESQDKALMSSANHHSFASADSAVFFSCLCSANLSLRTLNSAVQATILSIRLVGGESSFEYGGQVSCSSYTERVNADACREVVLIDCDEKFLEDGLDGYVSLSRNVVTVDFEGSLKITIKEYTKSRLVANSAQLDIPAQHCQVSKGECVLGGHNVVVRIAWSLLVRDKLDNLVMG >SECCE1Rv1G0047020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:621228112:621228750:-1 gene:SECCE1Rv1G0047020 transcript:SECCE1Rv1G0047020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMSTRALALGAAAVLAFAAATAQAQRCGEQGSNMECPNNLCCSQYGYCGMGGDYCGKGCQNGACYTSKRCGSQAGGKTCPNNHCCSQHGHCGFGSEYCGAGCQGGPCRADIKCGSQAGGKLCPNNLCCSQWGYCGLGSEFCGEGCQNGACSTDKPCGKDGGGRVCTNNYCCSKWGSCGIGPGYCGAGCQSGGCDAVFADAITANSTLLAE >SECCE3Rv1G0167120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:198239250:198244256:-1 gene:SECCE3Rv1G0167120 transcript:SECCE3Rv1G0167120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQNALRCAKVALEGKAPELNGHRANPNYVNSSGYFPLHLAAEMFSADMIKLLFRYGASANLRTTGPEVTVGLLPLHVAVENACRHKFLEENPLPDKENTDYVYRLIQLLCLPEMKIFLDAVRLIAERTDDLLAELWKYIKDGKLAETAVLLLAAQKKIRTGSSFKENGNSKTDGFSIISNSCTEDAVAIDSQITQNRVRKKQQLKADKKLRRMALLLVIVICEAGEALDAYIRAHPEVPYAMQVAHDEVLKCVSSILNNHGFCPTGECINIENLCPYDQQSSCLSDKMLPNKHDEYATDEKAARKKQPRGWELEHARRSFVPHWKAVLFSQLEARACPSPTIELKTIREMDEILNKAAEEGPSPIPHSNLGLSGRIPRLTSNHQSRRLFGTAASTVLKLLKHAT >SECCEUnv1G0527220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:688367:691241:1 gene:SECCEUnv1G0527220 transcript:SECCEUnv1G0527220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGVLISILLVLLHTPPSLVAADDFCDNVKAVAAILSKNASASPVHFASATYGQAPDAVSALALCGGDILDGSACAGCITSWFAKQAVNLTQCARAGSNYRDCIVAYGGAADSILAAPSNATGGSGDNTPPFEDWSVRNVTASGAAPLVVGLTRELLAATAEKAAGVSPSRYATGVMDFQSVATYPRVYSQARCTPDLPADECSACLRRLLGMVNSTMSLRMGGQMGVTRCYFRYDAFQFYSGQPLLSLPAPAPAAAPSLNNKRRRSMLWVIPVVVVPLTAAAFLLFICYYRRRKGSRYARRKVQEKNSEFSLFDFAELVEATSNFSEENKLGEGGFGTVYKGQLPDGLEIAVKRLASLSRQGFIEFQNELQLIAKLQHMNLVRLLGCCSQEEEKILVYEYLPNKSLDYFIFDENKRALLDWSKLVTIIEGIAHGLLYLHKHSRLLVIHRDLKPSNILLDNEMNPKISDFGLAKIFSSNDTEANTTRRVVGTYGYMAPEYASKGIFSIKSDVFSFGVIILEILSGKQNSGQQQCGEFINLLGHAWQLWEHGKWFDLVDSSFVPHSHSAKMMRCINIALLCVQENAADRPTMGDVVSMLSSETMILDEPKQPAYINVRVGNEDTSTAPVSDSIEDVTISITTPR >SECCE5Rv1G0366840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:816044682:816046339:1 gene:SECCE5Rv1G0366840 transcript:SECCE5Rv1G0366840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLHAPLPEGFISLPVVDFSCSRDEISRAIVDAGKDIGFFQVINHGVPEQVRRDMEAVCEEFFAMPAADREAFYSDDNNKPNRFFSGSTYKTGGTKFWFDCLRLSSTFPIGDSKNEWPEKPQRLREVFERFAVLTRGMGMELLQLLSEGMGLRPDYFEGPLGGGNMTMNLNHYPPCPDPNSIGLPPHCDRNLLSLLLPSTVPGLQFSYKGSWFNVETMPNAYVVNFGLPLQVVTNGVLKSIEHKVMTNSTHARRSVGVFITPTWECLITPAEEFLSNENPAVYKAVTFREFYDMHSVVKDGLSSVLTINQKSTEETTH >SECCE6Rv1G0390210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:179181262:179181741:-1 gene:SECCE6Rv1G0390210 transcript:SECCE6Rv1G0390210.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGRLGYSGCYTPPAAGRLWRQRSSPAAVVAAFLPCGGNGGQARRKIRSARLGERVGHRNGDDGEEAKLGPAALPGGMGFARALWMRIVTKVMSRMGSSVKEQYAQEDYEQNFDEGDAAGEPENLPRSFSARYASRRPSGMAFSDVVHRRHLHPHCG >SECCE6Rv1G0405850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:536855342:536857665:-1 gene:SECCE6Rv1G0405850 transcript:SECCE6Rv1G0405850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGMERAGYGVGAGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRMGKQSKKDTGFETNRGAFAAQGISFSSSVPPNVPSAGSNNMGETPLADALRYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQTILEKAQKNLSYDASGDATLETTRSQLTDFNLALSGFMDDATQACHQNDGELAKALSEDGLGAGNLGFQLYHGVQDGDDVKCATEEDLLLLDLNIKGGGYDHRLSSHGMRRGAADLAVGQHRR >SECCE3Rv1G0206580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:909791955:909795080:1 gene:SECCE3Rv1G0206580 transcript:SECCE3Rv1G0206580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEMGAGGALLEGGTGARRKPLGWKCMPFIIATETFEKVGSVGVAANLTVYLVNRYNIGQLAAANITNIFYGTLNFAPLLGAFISDTYLGRFRTLAYGSFFSLLGMLGLTLSASVPALKPPGCSHIARLGGHCNSPSTLQLSVLYISLAFLTIGGGAIRPCSLPFGVDQFDMTEEKSRKGLNSYYNWYYGTTTAALVFSMTILIYIQNSISWPIGFGIPTFFMLMSIIILFMGTRLYVHVPPEGSIFTGIAQVLVASFKKRRLKLPHPDNINQQELLLFSPPIGGHRIFRLPLTSQFRLLNKGAIVRDGDINDDGSARNSWELCSIQQIEEVKCLLRIVPICISGIICFVALAQQFTYIILQTLTMDCHIGTHFEIPAGSVISISFIALTAFLPIYDRILVPIARRFTRVESGITLLQRQGIGLVISPISMVVAGLVEHKRRNSALSNGGKSPMSVMWLAPQLILMGIAEAFNAVGQIEFYNKQFPEQMLTLAGSLFFITLAGANYLSTALANITRKVTTRDGHTSWLTDDINLGKLDYFFYFIALIGVLNLFYFLICSHYYQYKSMSLHAEESIKAHTKEEAEAEVEANTDAPKK >SECCE3Rv1G0147590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15359460:15360555:1 gene:SECCE3Rv1G0147590 transcript:SECCE3Rv1G0147590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAGVVEGRSRGSPSAMDKTDECAKKARLDLPDGHSVKQELVAHDAAGGGGAIVAAAEHSPRAELAVKIDMCVLHCPLCTLPFKPPVFQCKGGHLACGGCVAQQPSGQCGACADGCGFFDPCPALDAVVSSTRVECPNAGCQRYVTYHEADEHRSACAHAPCRCAEPGCAFVGAAPLLAAHLNAVHAVPVRAVHYGKVSRFQVPVSTPRLLLVGEDDGRVFVLTVGALGAAATALSVVCARGSAATRPRFTCKMWVNLAASAAANGGKADIVLVEMQMRSSTSPGAVVAAGEPTFLAVPPVYLVPGADGGDGAAAMEVPLNVRIDKVAPWSD >SECCE5Rv1G0323080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:429873874:429875352:1 gene:SECCE5Rv1G0323080 transcript:SECCE5Rv1G0323080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPPAQALPPAPKPRAKRHLLLLLTAPSLLALVLAVLFATSSNPLPRLVHLLLRTRSSLLSPPEPVPVADTSTDAGRPPCVLWMAPFASGGGYCSEAWSYVASLDENVAAGVGANFTLSIAHHGDLESPEFWQGLPEQSKNLAYRLTTARCELSRAVVVCHSEPGAWYPPMYESLPCPPTGYDEPAFVIGRTMFETDRVSPEHVRRCNQMDAVWVPTDFHVSTFVKSGVDPSKVVKVVQAVDVTFFDPAKHVAFPLPIGFSVMAPDDSTSNPVSSKGKSFVFLSVFKWEQRKGWDVLLTAFLQEFSGADDVVLYLLTNAYHSDTDFGGKIHRFVNNSSIEEPVLGWAEVRVVDEHVPQSDLPRLYRAADAFVLPSRGEGWGRPVVEAMAMELPVIVTNWSGPTEYLTQENGYPLDVDRLTEVTEGPFKGHFCAEPSVDHLRALMRRVFGDQEEARRKGRKAREDMVERFSPEVVARIVADQIQQVVVSTR >SECCE2Rv1G0129900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:871566053:871568548:-1 gene:SECCE2Rv1G0129900 transcript:SECCE2Rv1G0129900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAIAIHGGAGVDPNLPEHRQEEAKRVLARCLQVGVDLLRAGAAALDVVEAVVRELETDPCFNSGRGSALTRAGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARRVMDKSPHSYLAFGGAEDFAREQGLEVVDNSYFITEENVGMLKLAKEANSILFDYRIPLAGADTCSALAAAVENHGSNGMVMNGLPISIYAPETVGCTVVDSNGFTAAATSTGGLMNKMTGRIGDSPLIGAGTYACSHCAVSCTGEGEAIIRSTLARDVAAVMEYKGLPLQEAVDFCVKERLDEGFAGLIAVSGTGEVAYGFNCTGMFRGCATEDGFMEVGIWE >SECCE3Rv1G0145940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8861591:8864864:1 gene:SECCE3Rv1G0145940 transcript:SECCE3Rv1G0145940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPVRIPIITFFLLALSAFSSPSPNGSNTDLAALLAFKAQLADPLRVLADNWTGTSFCHWVGVSCSRRRQRVTALSLSATPLYGSLVPHLGNLSFLSVLNISGANLTGSIPPQLGRLSRLKYFFLRRNQLSGAIPAALGNLTRLEFLILSYNKLSAPIPPHLLIHMPKLKAISVVANDLSGQIPPYLFNNTPSLQQVYFGTNSLSGPIPQAIFNMSMLRFMGFYSNNFTGTIPSNQSFNLPMLQILDLSINKLSGQISPGLASCHYLERLFLNGNSFSDVVPLWLTQLQHLKSLFIGGNDIVGFIPPTLSNITSLARLGLSECNLKGHIPPELGLMDKLSYLHLGSNQLTGAIPASLGNLTNMSVLALEVNQLSGSVPPTLGNIAALETLVLQSNNLEGNIDFLSTLSNCRNLQLISIEVNSFTGSPSDSMGNLTSRLVTFSASYNNLIGGLAAEISNISSLNFLDLANNMLTKPIPESIAMMKNLALLDLSGNDILGSIPTEMGMLGSLQRLFLQRNKFFGSIPSTFGNLSRLEKIDISNNQLSAVIPASLFRLDKLIELNLSYNSFDGALPADVSGLTQTYQMDFSSNLLVGSIPKSIGQLKMLTYLNLSHNSFEGPMGEPLQKLTNLASLDLSFNNISGTIPMFLANFAYLITLNLSFNMLEGQIPEGGVFSNLSLQSLIGNAGLCGAQRLGFLPCVNKSHSSNRHLLKILLPISTVAFGSIVIFLYLWTKKKHKNEADKRNFVDSNDGIGHRIVSYRDLIRATNNFGDDNILGSGSFGKVFKGELSGLVVAIKVLDMQLEQAMRSFDAECRVLRMARHRNLIRILNTCSNLDFRALVLPYMPNGSLEKLLHQSQSRVHLGFLERLDVMLDVSMAMEYLHHDHYEVILHCDLKPSNVLFDEDMTAHVADFGIAKLLLGDDDSMICASMPGTVGYMAPEYGSLGKASRKSDMFSYGIMLLEVFTGRRPTDAMFGGDLTLRQWVHHAFLADLVHVVDDQLLQGPASPSSYNLNDEFLTPIFELGLLCSSDSPDQRTTMSDVVVRLKKIKAEYSKMTAAAS >SECCE1Rv1G0007900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42988800:42989179:1 gene:SECCE1Rv1G0007900 transcript:SECCE1Rv1G0007900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRVTASALLLLLLLVATEMGATTTKVAEARDCLSQSHKFKGVCLSSSNCAAVCRTEHFPEGECHTPHFARKCLCKRPC >SECCE2Rv1G0072550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:56804610:56809209:-1 gene:SECCE2Rv1G0072550 transcript:SECCE2Rv1G0072550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTITAALRESKLIASFFLAGSKQFSSGAVSRVSPTEIIRAGYNFGREPFGPAYGIDVPKGSDGLPKIVNWLVVPGVVSQSKNQRHCGGCYIVAGLGSISSLNYLMNKSSIRLSAQHILDANTVTNHGCEGGWPENVFDFIIRNGGVVSEADYPYHAIRGPCKTVEAAGVTIANWAAVEPTEEAVKYAVAHQPVVSSIYTDRKFMDYQQDFLIVPKNHGPVNHSVLLVGYDECPRRGECWIGMNSFDGQWGMTCLHYNNIFWIPRNTFHVRGAVGVLSDVVLPLGASMVPKVKTRSESCPLFQVGGHT >SECCE6Rv1G0388580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:140089583:140117511:-1 gene:SECCE6Rv1G0388580 transcript:SECCE6Rv1G0388580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPHLDIDLNEAPSPPPSPPAAVPQSPPREFAAPIFALPPPPPPPPPQPQPPANAQAQLLLAHQAREIALAYHRGESWRLAAATAIAAATAGSSVEVPPPAQHPGVAGWGNPPPPCASCGLPELPGATIICDACERGFHESCVHVWGPLMRQPPPPPLPTPPGVRRPPVAVNEDWMCPACEIGGAHSKRWKLRAVPLDINAAPREDPVAVTVGDITRVSVADGAHLTELATPRFEGLQLNNATPFDGNHFMPAFGLPHCFSLRQQFPPVDQNFIADGNIEQRSNHTRRRRRDFPQTSALPKFSEKHEFGSSSILMEPSFFMKATAPSPTEERNPLKPPKFLAENCNRQPHHRCVGLPVQYQDFFITSLGEIDKQASYHNCHQIWPVGFTSYWHDRVTGSLFECEVCDGGSFGPLFKVRRLPCSVFPLPKASTILSQNGARKADIIETKESSSFIGDAANDMDDDLYMILDVPSETNQDFLSCLTNDTEDKGTSLGCNDVQSSNMMSQILPSNSENVPPSKEANINDQIGEFTFEGTSSSSVWRMISCAMVEACEKMYKEHGHLVFSCTHSSGNHLLNKGSGCQNFDGPYAPLTRFCSSNGPSIPRVIEKKNDVESTYTLLKKWLYNDRIGLDLDFVQEIVESLPRSRSCINYQFLCNRAEFHSSMTVASGLLISVHKDGQSNGDMSYDRHGTVTGLHDHAQPSGSSIRELPPGRPISHKLPPESAGDVFQIWEFLGRFAEIIGLKEVPSYEQLEDELVDPWPVCGNQKETLLKGIQQCRDYSSPMNSPANVSISHSNSESGLSNNEEIVSVFIPVETSSMKEAGQDKLAAQTLGRCTGVVLPGVHLALFKVLFGELLSKVAIFVDPNIDPKESKPRRGRKKDTESLISTKEFNFDMLTANKLTWPELARRYMLAISSINGCMDVSDISSREGVKLFRCLQGDGGILCGAVPGVAGMEKDALLLLEAENLICSSLSSEGNKVFMMDYKYTDMIDSAEVPIADNRTLPDWAEPLEPVRKLPTNVGTRIRKCVYEALDRKPPEWARKILEHSISKEVYKGNASGPTKKAVLSVLSEACRVIVPQKPEIPRNERKTISISEVILKKCRIALRHAISSDESKLFGNLLGTTLMNSNENEDEGILGFSGMVPRPLDFRTIDTRLAMGAYCGSWETFFEDVQEVIRNLHTAFADRADVVEMVAELSQSLESLYKTEVLDLVQKFDCHLSNENTDSEIHEELHDILTAATKLPKAPWEEGVCKVCGIDRDDESVLLCDECDSEYHTYCLNPPLARIPQGDWFCPSCMLKPKKKSRLDQGAQDSKRQRKGAESHAFHDKPSCMSGQKKSHLDQGVQDLKRQRKGVFQDILIKLTAAMEQKEYWELSTQERINMLKFLCDEMLNTVLVREHIEKCPDKLNDLQQKFRALNFELKDLKYKEEMRTSYARQSRWSKTEQHVSNCSGLAENQQNEVAIASDHLEESEQGNTAVNLNHPAEGTTPGQLNVGKPCKSDNGMSMVEENKSLGLSEQPSGTGSDRIEGNIMNEGSRDNFNLVDTPIAEREPVATPLSTPGGELPDENASASQDNLKMSTARSLEQAADNGEMDTLPDEISKVQESIGTVESQLNMSSLRRDCLGKDSLGRLYWVLGRSGKRPLLVADGSMLICKERDINMVNSYPPSTSDCKGWNSASVVIYESDDEIRSLVDWLKEHDPREKELKDAIQQWQRNLYHLGNFVLSDPPISKGSNSEQQLMDLPSTKAGAILEKTCRCDCLEPIWPARHHCVACHETYSTSTEYEEHAGKCNGDAKEKDSVDSSSTAESRKLKSCPYDFEEICRKFTTNDSNKEIVKDIGLIGSNGVPSFVPSPASYLEPPVILNKNKKDDIPNDWVSSLEECQAMSAQKLGQEGSNSGQNCPGSTGDENVSKTKKPASDSTSCEEASSVTAKPTRLLAVNGGLVPESSLRPVIGRNSHILRQQKINLLDIDAALPEEALRASKSQQIRRRSWRAFVKNAESISEMVLATSVLESMIKSEFLKNDWWYWSSFTVAMKTSTVSSLALRIYTLDDCIMYTKEPNTVPADSTKVVNKGKRRKDPEPSAS >SECCE1Rv1G0042910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:585313964:585314325:-1 gene:SECCE1Rv1G0042910 transcript:SECCE1Rv1G0042910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMIVILFIGCFLMVGQCRPEPESTYEDGLSNATMTGSSLNETKVTLKFCVVRDCKTKGEYWGLKGCYCCLNVPSVPCFDHRLACQQNCPPV >SECCE2Rv1G0132860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894100223:894102541:1 gene:SECCE2Rv1G0132860 transcript:SECCE2Rv1G0132860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLSSISTNSFRAPSRKPRAPLAALAAATERVREGTLSREDAHHLFDELLGQAAAVPERGLNNFLAALARAPPSAACSDGPALAIALFNRMSPGAGARVVSPTLCTYSILMDCCCRAGRPDLVVAFFGRLLRLGLRLNAISFSNLLKGLCQAKRTNEAVDLLLHRMPELDCAPGVFSYNIVINGCLKQGEVDKACNLFHRMIQLGVQPDVVTYTSIIDALSKSGAMDKAEVVLRQMVDQGIGPNIRTYTSLIHGYSASGQWKAAVRAFKEMVSVGVLPNAVTLNSFMDSLCKHRRTKEARDIFDSMAAKGQKPDIFSYSTVLNGYAKEGCFVDMTDLFNSMVRNGIVPNHHVFNILIKAYAKRGLMDEAMHMFEVMREQGVNPGVFDYLVIMDSLCKMGRMDAALHKFNQMVNQGVSPNKAVYQCLVLGSCSHGHFVKAKELISEAVNRGLCSNSVFFYPVINDLCKEGKVKEAQDMFDFILGIGQLPDVIMYTSLMDGYCLVGKVEEALRVLDAMKSAGFQPDAITYVILLNGYCKIGRIADGLNLFREMSLSGVKPTTIMYTIILDGLFRSGRTVCAKEKFCTMSESGIPVGIDTYNIVLSGLCKNNCTGDAIELFKKLRAMNVKIDVITLNIMISAMFKTRRIEEAKDLFATIPATGLVPSVVTYSLMMTNFIKEGLLAEADDIFLTMEKASCAPDSRLLNHVVRVLLEKGAVVRAATYLAKLDAKQLSLEVSTISLIVSLFSMKGKLREHVKLLPVKYQPPEISD >SECCE1Rv1G0001230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4301155:4303677:1 gene:SECCE1Rv1G0001230 transcript:SECCE1Rv1G0001230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHYIILLGLFLLPTSCCSSSAPANDTLMAARVLTVGDKLVSRNGKFALGFFQPAASTICKSSNNSWYLGIWFNKIPVFTTVWVANREEPIAHPNINSTQLKFSSDSNLVIVVKHDDAGTESLVWSTHIVNRTQNSSINTTTTTTTSAAVLLNTGNLAVKVTDSPSSDQPLWQSFDYPADVVLPGAKIGWNKVTGLNRHIISKKSLIDLGLGSYSLGLDTTGLAILERRKNPAVVFWHWASSKTLSMSIIPMLKTILDMDPRTRGLINPTYVDNDQEEYYMYTSPDESPSSTFVSLDISGLIKVNVWSQSNQSWQTIYTEPTHPCLPPATCGPFTVCSGNPHPSCDCMQNFSQKSPQDWKFEDRTGGCIRNTPLHCTSDRNITSSTDMFQPISQVTLPYNPQSIAAATQSQCEEACLRTCSCTAYSYNSSRCSVWNGDLLSVSLDDGIVFTSKDILYLRLSAKDFLPSLRKNKRKPNVGTVAAASITSIGLLMFMLLLLIWRIKFKSCGFLPIYYGNQHSAGGIIAFRYIDLVRATKNFSEKLGGGGFGSVYKGVLSDPKTTIAVKRLDGAHQGEKQFRAEVSSVGLIQHINLVKLIGFCCEGDHRLLVYEHMLNGSLDGHLFKKTNDAAVVLNWNTRYEIALGVAKGVSYLHQSCHKCIIHCDIKPENILLDASFAPKVADFGLAAFVGRDFSRVLTTIRGTAGYLAPEWLSGVAITPKIDVYSFGMVLLEIISGRRNSSLETSYYTSSSSSYHNAQYFPMQAISKLHGGDVKSLVDPQLHGDFNLEEAERVCKVACWCIQDNEFDRPTMGEVVRVLEGLQKIDMPPMLRQLAALTER >SECCE7Rv1G0523360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:881871745:881872908:-1 gene:SECCE7Rv1G0523360 transcript:SECCE7Rv1G0523360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSGRRLAAQDEAGRTPVPRRRSRARPDLLAGFPDEILQQEILPRLPAKSVIRCRAVCRSWRSLASDPAFLLEHHRRQPALPLIRSCRISDGSGREFCLNAIDLRSAKLGPSFQFPFGGSFSIVASCDGLFVVGNYIICNPATLQWATLRQDAKPVENLFALFRHQPSGEFRVMYWRNNSRELICRQEYYILTVGSNNSWRVDCPLTEVLAEEPSIFGAPVLLNGSLHIHWRRRSGVRYHRIRVFDTVAETSRQMRPPPVNPRHVMHLLDLDGKLAASISKDGMTGMSIFVLQDPEHDVWAFQYRIKLPVMEIRRFQEQGDWWAKVVSEEGAVLVSCYGHLLQYDKKGNLVAKFEYDDDMPVVIPHRLKESLIQHTFFQKAKNKN >SECCE6Rv1G0452930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879695638:879698715:-1 gene:SECCE6Rv1G0452930 transcript:SECCE6Rv1G0452930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLALLLRATALLLCLLISQAASTFHGRASVSESCLSSERDALLSFKASLFDPAGRLSSWRRGHDCCQWKGVRCSNRTGRVIKLNLRNIDTDSYPLKMSRSLSLSAGEMSSSLATLQHLRYLDLSYNDFNGTSIPAFMGSFGNLRYLNLSWAFFSGMIPSQLGNISKLQYLDVSGYYNFLQVVDLAWLPRLSLLTHLDMSFVDLSSTRDWVHMFNMLPSLKVLRLSECGLNSTVSASNLHSKSNLTHLEVLDMSENFFDTSFKHNWFWNLTSLKELNLFACGWNGSIPNDLRNMKSLQVLNLIGNNLEGLLPTNLEDLCDLKVLMLSGNNVNASMDEFMDRLPRCSWNTLQELSVYYTNMIGNLPVWIGNMTNLSVLEASNNMLTGPLPVGVGALGNLKTLDLSYNNFNGVLMKEHFTSLGNLESLGLKYNNFSGVLLKEHFASLGNLEFLDLSYNNFSDVLFKKHSASLGNLKVLDLSYNKLNNVLTEEDFAGLLNLEHLDLSYNSLKLAINQKWVPPFRLKVAGFRACDLGPHFPEWLKWQTDIDVLVLGNANLDDVIPDWFWVTFSRASFLHASGNKLHGSLPENLQHMAAHRIYLGSNKLTGQVPLLPINISRLNLSSNSFSGSLPSNLKAPLLEEFLLANNQMRGMIPSSLCQLTSLKRLDLSGNKLSGDVMQCFKDSGANSANQFGSNMYSLALNNNNLSGEFPKFLQSASQLKFLDLSYNRFSGGLPKWLPGKMPQLQILRLRSNMFSGHIPNDLTSIVSLHYLDISGNNISGSMPWSLSNLKAMMEVISEDTEDYNFEESIPVITKDQKRDYNFQIYKLLVNLDLSSNSLTGKIPEEISLLIGLTNLNLSSNQLMGGIPNQIGNLKHLESLDLSYNEFSGAIPSSLSDLTSLSHLNLSYNNLSGAIPSGPQLQILDNQMYIYSGNPGLCGPPLSRNCSANDAQQGGLEHMNHMSSVYLGMSIGFVVGLWIVFCTMLMKRTWRAAYFQFIDIIYDKVYVQVVVRWARLMKNAQDNAP >SECCE4Rv1G0287420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:854736397:854740027:1 gene:SECCE4Rv1G0287420 transcript:SECCE4Rv1G0287420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:Projected from Arabidopsis thaliana (AT5G17290) UniProtKB/Swiss-Prot;Acc:Q9FFI2] MAAAPRRDEEAAAAWSEEAARLVWGGAVPLQVHLHDADVTALPPPPPFLTLGPRIGYFPLLVSTIKAHFSSSLPPGVDTVWFEYKGLPLKWYIPIGVLFDLLCAEPERPWNLTVHFRGYPADILSTCEGEDSVKWNYNNSLKEAAFIITGNSKNVMNMSQADQLAMWESVRKGDLGTYMNISTKLKLGPFEEDFLVWTSSLEPRQGSDEPESPGSVKTCRVPVRLYVRRVQEDLEYLEDAKPVSDWESVSYINRPFEIRKEGGRSYIALEHALETLLPEFFSSKPTARAADPEPAATTPDSEPDDSDTSPGTPHDEKPAPSGPEETDVAKKTKLKLVRVQGIELDMDIPFLWVANNLKNPECFLHVCVYIGA >SECCE7Rv1G0457120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14333966:14335109:-1 gene:SECCE7Rv1G0457120 transcript:SECCE7Rv1G0457120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAGPSGMGMNTVTTVMAFSVSAFFVLFVFTRLFCARIHLRAAAAEHAAAAQASDAASFPAIHVERGIRGMEQAVVTTFPTAKFVAGDDSQRPPAQEESQCTVCLEEYEAKDVVRLLPYCGHAFHAACIDTWLRQHPTCPICRSTTKHRAAAGTMPPLYYAVAMATPFQEPTSSSDQSALHQADAATAAAGAEHMDVTSTRLEILISDESASSGATCPMATLAPPFKVPTSSLDHDALREANPAAASASATAGTDHMDVMSKRLEIVISDEPASSDSPCPSADPAPSASEEYSCAETRRQSSQGNGTEHCHC >SECCE4Rv1G0254060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:632518971:632520479:1 gene:SECCE4Rv1G0254060 transcript:SECCE4Rv1G0254060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACQGAPNGAAADDVGSAAKAHFVFVPLMYQGHVIPAVDTALLLATHGALASVVATPSNAARIRPTLDLARRSGLPIRLVELPLDCAAEGLPEGADDVDKIPLGLEVNYFRALTLLAEPLERHLRAHPPYPTCIVSDFCHAWTVQVAATLKVPRLCFFSMCAFCVLCQHNVERYNAYDAVADDNEPVVVPGVERRIEVTRAQAPGFFRAPGFEKLADEIELAQAECDGVVMNSFLEMEPEYVAGYAAARNMKLWTIGPVSLYHQHAATLAKRGNTTTAVDADECLRWLQGKEPRTVVYVSFGSIVHAEPKQVVELGLGLEASGHPFVWVLKNPDQYGEDVREFLRDLEERVAGRGMLVRGWSPQVLILNHAAVGGFVTHCGWNSTLEAIAAGLPLVTWPHFSDQFLNEKLAVEVLGIGVSVGIKEPLLWVGKKGVVVVREVVEAAVRRIMDGEEEGEERRRKALALSEKARAAVQKGGSSFDNLLDLIKHFEVDAGGCTAD >SECCE7Rv1G0481030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:278470148:278470861:1 gene:SECCE7Rv1G0481030 transcript:SECCE7Rv1G0481030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MASEARKTAAAARPPRRDFLAHLEAYLARRDGVDKLLKISRYAARLALAAGPLPPPASARLKSFESSLGLSRKAFRLGKFVQDVNALRAHPGPLPPPFVLLAYGGEGVYYFIEQFVWLAKAGLLPAHLLPRLQRLSAWAELLGYVGSITIKLEEVTKMESSIKMRLAEGCGEKTEAVRTMRGKLLLKRLSVVQDVADAFMALGDVTNGKGLLGSSTLTASAGLLSALISTHKNWNSC >SECCE4Rv1G0263000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:714324302:714325198:1 gene:SECCE4Rv1G0263000 transcript:SECCE4Rv1G0263000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSSSLLQLLAVAVAAAQFLGSEAGVISIYWGQNGGEGTLAETCATGNYKFVNIAFLSAFGNGQPPVLNLAGHCDPTNGGCVNLSSDIKSCQSHGVKVILSIGGGAGGYYLSSTQDAKNVATYLWNNFLGGKSSSRPLGDAVLDGIDFDIEGDTPLHWDDLARFLKGYSNSGRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNAPCQYTSGSSSNLADAWKQWLMVPAKQIFLGLPASPQAAGSGFIPADDLKSDVLPLIKSTGKYGGIMLWSKYYDDQDGYSSSVKSDV >SECCE2Rv1G0105550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:621497781:621500136:-1 gene:SECCE2Rv1G0105550 transcript:SECCE2Rv1G0105550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGGEGEGRGRPVVLVTGCSEGGIGHAMARAFAAEGCAVVATARSRASMRGLEGDPRYLLLELDVRSDESARRAVEDALRELGRVDVLVNNAGVHLVAPVAEVPMESFHQVFDTNVYGTMRMIQAVIPHMMERKEGTIVNVGSITALAPGPWAGAYSASKAALHALSDTLRVELRNFGINVMIVAPGGTKSNIGSNSADKYDQINDWKYYKKYEKSLRARTDISQGAGCVAAEDLAKRVVKLVLKKNPPAWFAYGQFTAILTILYYAPLWFRDYFYRLVMKM >SECCE7Rv1G0479480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:254134610:254137876:1 gene:SECCE7Rv1G0479480 transcript:SECCE7Rv1G0479480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APRATAXIN-like [Source:Projected from Arabidopsis thaliana (AT5G01310) TAIR;Acc:AT5G01310] MDPGEGGSPAAPSTPAAAPGAGADTREIAGKQVVVILVGPPGSGKSTFAEAVMAGAAVAGRPWARVCQDTIGKGKAGTKIQCLKAAADALKEGKSVLIDRCNLEREQRSDFVKLGCTVQADVHAVFLDLPAKVCISRSVSRTSHEGNVQGGMAAMVVNRMLKNKQAPLLTEGFSRITFCTDDDDIKKAVDMYSALGPSHSLASGVFGQKSKGPVQTGIMKFLKKADTSSVNNSSGTMVTSSERKTGQQNTALKQENLEAGGACSMQVEKKLDNLKEKEEQSKEKVSSDTSLCTLAFPSISTADFQFDLEKASEVIVDAATDFVQKHDNVRLVLVDLSQKSRILSLVKDKAAKKSFDSSRFFTFVGDITQLHSKGGLQCNVIANAANWRLKPGGGGVNAAIFNAAGEALQQATKECADTLRPGNSVAVPLPSTSPLHQQEGVTHVIHVLGPNMNPMRPDCLKNDYTKGCKVLREAYNSLFENFASIARSYPGKQNDETSSRKSASGVISPNDSKMKREGSDDSERTKKCKLLPSILTSSQHHERKGTNTLSYHDNSMGSSDAPNQAREEDKKKNGAVTNKTWGSWAQSLYEVAMHPEKYKNADSILEISDEFVVLKDLYPKAKRHVLVISRTDGLDSLADVKKVHLPLLRSLHSAGLKWAHKFLEEDASLTFRLGYHSVPSMRQLHLHVISQDFNSPSLKNKKHWNSFTSAFFLDSVDVMEEVDQHGSATISPDEKLLAMELRCHRCRSAHPNIPKLKSHIAACKSPFPSHLLKKDKLLSASTVRSGCS >SECCE4Rv1G0268740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744804282:744806315:-1 gene:SECCE4Rv1G0268740 transcript:SECCE4Rv1G0268740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLLLILLLLSRDGEVASSQLDDAQFAYQGFAGASLTLDGLAAVMPGGLLALTNFTQQTKAHAFHPAPLRFLGGSASTTNATVARSFSTSFIFAIVSGYDGLSDHGLAFVVAPTTNFTTANSGQYLGLLNATNGTASAPILAVELDTILSPEFRDINSNHVGIDVNSLVSRQAQPAGYYGDDGAFRDLKLNSRNPMQVWMDYNGQSKQLKVTLAPVKVPKPRRPLISEAIDLSTLMADAMYVGFSASSGVISGHHYLLGWSFSLDGPAPPLDFSKLPALPRLGPKPRSKVLAIVLPLASALLVAAALATVFFFLWRRRRFAEVREGWEDEFGPHRYAYKDLHRATDGFTERNLLGVGGFGRVYKGLLSASNLEIAVKRVSHESRQGLREFVAEVASIGRLRHRNLVQLLGYCRRKDELILVYDYMSNGSLDKYLHDPNMPAVFWSERFSIIKGVASGLLYLHEDWEKVVIHRDIKASNVLLDEQMNGCLGDFGLARLYDHGTVSQTTHVVGTMGYLAPELVRTGKATPLTDVFAFGVFLLEVACGRRPIERGDRNNPVVMIDWVLERHRSGSLLKAVDQRLAGKFDIEEVTLVLQLGLLCSHPLADARPSMRKVMQYLDRGQSVPDLSPTYMSYSMLALMQVEGFDSYIMSYPPSATSSAAVSYGSSATVLAEGR >SECCE2Rv1G0118360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:782007205:782008715:1 gene:SECCE2Rv1G0118360 transcript:SECCE2Rv1G0118360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPKLTTPPCRSPPPPSPLKPQLGLPSHAAGAGGRLHGSGPAQAHLNLPLLLSASQQEAPTAKSAETRNRAASTGGGGGGDPRRSDFYLNLGAAVRALRDDLPAVFLREPNYDIYREDITFVDPLNTFHGIDNYKTIFWALRFHGRLLFSEIGLDVSRIWQLTETSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRPTVKVGSITNLVVAAYPPSPNPTFWDVVGTGDGCSWTKLYRAVLETVEREGDIPAGICMEGLLTCS >SECCE3Rv1G0177920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:486388094:486391411:-1 gene:SECCE3Rv1G0177920 transcript:SECCE3Rv1G0177920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALVPPVPPAPTTLFLTRRRSHLDSASYRTLSRLFSHCLHHRPSQLAAPAHLEVEPAAANPICDESPQGCSAPPEDAESERGKDLGEEEAAVRTSVIENPLPAVVDAATGNPIADPDLAPQRSVEHQVAGVQRAEGVKDMVVGGNICPETVALVEELGEEDVLRSLNDCLEGEVDELAEPDAVVVNDDEHLLLDTMMTNFSGLIADASGGTTSMLNYGVSGGEPHNDVNIAEGVKELGAETEEDRPVGDSDQHSVDGGVVEEGEIEGDMQARHVDESDDSELEDADDEDLEEDFANRVLGENESSGQNIRCLNLLSTPKIKGTSVLVLNKEGYIKDDALKHVTRAQAVSYDEIVEWNETPLPDAEASKPGKRKRHLTEERKAKKTKNKRVKRAQQRIADGVKRLKLAPVIKPKPVKLCHFYMHGKCQQGNACKFSHDTTPLTKSKPCTHFARGSCLKGDDCPYDHELSKYPCHNFVENGTCFRGDKCKFSHVVPTVDGPSKPDAKKSDASLVSEKPGREQTSSQKASMVHDGERVTSAPTKHYSILQNLAGISVNALKASSSTPKGVQFRPSSKDRSNSSMLHQDALPIEKHMYTNGSKHQNFGGPQASEGDKNFIPNKQRSAPLFDEKNSTKEASSHPSSNPKRSSLPTDSTTVLGSLSTQHEVSEASKFLQEFLFGAGS >SECCE3Rv1G0211910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953765114:953766937:1 gene:SECCE3Rv1G0211910 transcript:SECCE3Rv1G0211910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMIGVSRRDMLAVLEREGVDPETLDVGTNLLLDVVYEYLPDPPVSPTATLSLAGASWVPDGVDRISRLPDVILRDIISRLPAKDAARTAALASRWRPLWRSVPLTLVDSHLLPDGGARGQLVIGAPSPRAVTATVSRVLAAHPGPFRCVHLTRTTMDEHRGEMSRWLDTILAKGVQELVFVNRPWPIDLRLPATLFRCASLTRLYLGVWRLPDTAAVPRGARFPNLLELGLCMTVMEDRDLAFMLERSPVLEFLVIMGSQSGLRLHLVSPSLRCVQLGFTFLEDIDVVDAPRLERLFQRDNLSESERTDTAPSLSSKIKIGRAPNLRVLGYLQPGEQQLTAGNTVPSVQILAIEVQFGVRNAVKKMPGLLRCFPNLEILHVHSSVDEESTGKVNIKFWQEGGPIKCVVQSMKKVFFYEFRGSRSEVVFLKFIAGRGRALEQMVVVVASECFSSGGNVSAKLKPLVSAKWSSKACELELFKSPRDVVEGPIHCHELASDFEFADPFDLKYYYDAERIPVS >SECCE7Rv1G0476150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:198612278:198613990:1 gene:SECCE7Rv1G0476150 transcript:SECCE7Rv1G0476150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQEARENHVKKKVEEALRSKMKQKALKECDVLCSKYAECARGRTFSVVWTCRKQAKELNNCLHQFTNDAILEDMKKAYMAEEERKEKNK >SECCE3Rv1G0163700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:150677333:150678842:1 gene:SECCE3Rv1G0163700 transcript:SECCE3Rv1G0163700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSHLSKDPRYFDFRAARRVPETHAWPRLHDHPVVDGSGAGGAPDAVPVVDMRDPFAAEAVALAAQDWGAFLLEGHGVPLELLARVEAAIAGMFALPASEKMRAVRRPGDSCGYGSPPISSFFSKCMWSEGYTFSPANLRSELRKLWPKAGHDYRHFCAVMEEFHGEMRALADKLLELFLVALGLTGEQVAAVESEHKIAETMTATMHLNWYPKCPDPKRALGLIAHTDSGFFTFVLQSLVPGLQLFRHGPDRWVTVPAVPGAMIVNVGDLFQILTNGRFHSVYHRAVVNRDSDRISLGYFLGPPAHVKVAPLREALAGMPAAYRAVTWPEYMGVRKKAFTTGASALKMVAISTDDAANNTDDLISS >SECCE4Rv1G0236880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:354093300:354096044:-1 gene:SECCE4Rv1G0236880 transcript:SECCE4Rv1G0236880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGTDPAASSKKKKRHSKKRKAIKVTVDASFPGPAAPVVGYFPTGYDPLAAAEPPRARLFRHGKHHNRVELVVGSPGGGPDFVGRSYAGEAAARQLCGYALGVLGKATGTLKIVPIASNKILRLEPHFEVQQPAHSQQSAAEAGSSVADTELNRQDLTQAYGTKKDKDKDNKWKSLKEQRNDPSAYLDLDLGESKTTADATDSQASVEVRNIPPYDPAADTSEKAYLFDEIIPKNIRPHLLGIVGHFESGEFGLKGYGSFVSNRVNKLQELQGEDQERLAWILSYITHLLSLLARNSSMSKQKRKEKDQTIRGPMIPQAVHRKLLLMFTEPGSSSLSTEKHELLINYILVLTLFADDFRSEPTDICVDLKMNRQMLKPYYDQLGCKSVSTGHFKPFFMTLPAPLEFPQQVTRRRRQK >SECCE4Rv1G0264820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:722736376:722737014:-1 gene:SECCE4Rv1G0264820 transcript:SECCE4Rv1G0264820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIKLRLPDAAAHGRLSSFLAPRLLRTDAQRNVFFDAPARPLAAATAALRVRLYGPDDRAPSRAVLALKRRPRIDAGVSRVEEVEEPLDPALALACVDDPARLGAVDSPIVRLVSDEYGVGGDAAPFVCLGGFRNTRGVYELGEGEGQGLVLELDETHFDFGTNYELECETAEPDQAKEVLERLLTVAGVPYEYSRSNKFACFMAGKLLP >SECCE7Rv1G0505570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:738140289:738140516:-1 gene:SECCE7Rv1G0505570 transcript:SECCE7Rv1G0505570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSNTVMVFMLLLSTAFMQLPVPADARRLEVKAPIVSVHPPCTGRGTLEAPADQVESTTPGHSPSIGHNSPPN >SECCEUnv1G0533840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:33665500:33670134:1 gene:SECCEUnv1G0533840 transcript:SECCEUnv1G0533840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELAHGAGAPRKRGESWGAVLLLAYQSLGVVYGDVATSPLYVFKSAFAGDDITHTAGNEEIYGVLSFVFWTLTLISLLKYVLLVLRANDGGEGGTFALYSLICRHVRAGLLPGGGTSDDLMADDKDAAADRSRARTVLERYRVLQRLLLFFALLGTCMVIGDGVLTPAVSVSSAVSGLELSMEKAQHKYVGLPVTCAILICLFALQHYGTHRVGFIFAPIVCVWLLCISMIGLYNIIHWNHHVYRALSPYYMYQFLKKTGKGGWMSLGGILLCVTGSEAMYADLGHFSQRSIQIAFISVVYPALVLAYMGQAAYISQHHSFENSYHIGFYVSVPERLRWPVLVIAILASVVGSQAIITGTFSVIKQCSALSCFPGVKIVHTSSTVHGQIYIPEINWILMLLCLAVTIGFNNTKHLANAQGLAVITVMLVTTCLMSLVIVLVWNRSIFIALGFLIFFGSIEVMYFSASLVKFHEGAWVPITLSFIFMVVMSVWHYGTIKKYEFDVQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVEPEERFLVGRIGPKEYRLYRVIVRYGYRDVQQDDLEFEKELINSIAEFIRSGGADQNGLVDGSEKLSSISSGAIPLWEEDGDGEVDGPASPNKEINQQTLTPQRKKARFVLPKSAQVDAEVRSELQDLMDAREAGMSFILGHSHMKAKSGSSFVKRIVINFFYEFLRRNSRGPSYAANIPHASTLEVGMVYQV >SECCE7Rv1G0490030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:471163651:471168555:-1 gene:SECCE7Rv1G0490030 transcript:SECCE7Rv1G0490030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHKDKAQKAFEAMGRLGFSKKQVEPVLRHLYNLFDKNWEPIEDESYRILADTILDSQKNQPMPATTRHLSGQESEPHDSTSVTPDPDDQHPSAARYDMDVSDNGTSLINRPMDVSENPQDALFLQGPKPEPQIDVPAAPLANDLNIGSSESRAVHSEAQNNDGSLQEQVLETYALFVKPIACKHAVDGNGNAAVGSSSEPSFQNWVVAYQPQEALFKQRPLHDVADITKGEERFRIPIVNEFGSDSCPPLFYYIRKNLIFQSAYVNTSLARIGDEDCCADCSGNCLLESHPCACARSTGGEIAYTPEGLVRADLIDECIAVNHFPEKDNKFYCKACPLEIYKTKPSPDPCKGHLARNFIKECWSKCGCGMQCGNRVIQRGITCNLQVFFTNEGKGWGLRTLDGLPKGAFICELVGEVLTSSEIHERKAKKSKHVDQVLLDASWGSEGVLRDEEALCLDPTFYGNVGRFVNHRCYDANLVIIPVEVETPDRHYYHLALFTAKKIEAFEELTRDYGIDFDGTDDLNKAFDCMCGSKYCRGVPKNSRKRTRASTSRN >SECCE7Rv1G0478560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:239137017:239137757:1 gene:SECCE7Rv1G0478560 transcript:SECCE7Rv1G0478560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALMPSCFRRRARVPSPEEAREIERRKAKEAYDQLIASLRPGPRTWRDRRRDRREALANEAAKREWIDEQKRLIAEAKQRSGVPHPCWNDDARDRRRMRAVVEELSAAFEAKKSQWMAAHQRSGLEEAPRSSTAVDQEEREQAEPDDAKIERAAPANGPGSYAEFCESAIEQNHLRGGGGGGCRRSDEYYAMRRQVLRRSRAALDEITEPQPARATRKKEDRIRSFCSRLAAATRSTSQTGDG >SECCEUnv1G0534490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:41661594:41662465:-1 gene:SECCEUnv1G0534490 transcript:SECCEUnv1G0534490.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARIASLLLLLGVVWSRAQPGAEAAGTTVFTLRNNCTITIWPATLSGNSAVAVGGGGFELAPGANVSFAGPTGWSGRLWARTGCVAAASGTSLACATGDCGGAVRCTLGGAPPVTLAEFTLAGADGKDFYDVSLVDGYNVGIGVAATGARVNSSTCGYAGCVGDVNALCPAELQVAGKEGDQEGKTVACRSACEAFGTAEYCCTGAHGGPDSCGPTEYSRLFKAACPAAYSYAYDDPTSTFTCGAGAQYLVTFCPAQQ >SECCE6Rv1G0433450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:759486028:759486831:1 gene:SECCE6Rv1G0433450 transcript:SECCE6Rv1G0433450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRRLAALIITKASSRTRADARTRAHPAVADLHDSTNALLGWTEEPVAASCTWVGDGVEHLVRVLKGLVELPQHPQVTASSWTEQLLNDLLILADAHECFGEVLQSLKQLLAEAQAAQRHHDGARLADAVHARRGSDRIFSRIASTLRAFSRRSYSSTVSTSDSGEATVAEAIAAATCAVAAGSAIIFTWIASSSASSTLRALTSLTASSVTKGMEQLRSLEECIMVTENGCEQVYRALINARTSLLNMLSADYSTSTNLTRFKM >SECCE4Rv1G0261050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700115930:700117019:-1 gene:SECCE4Rv1G0261050 transcript:SECCE4Rv1G0261050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFGVFVCLLIVLPQILAISSPDNEIAPLKTCQFPCMTEVNLHLFLHQFIDGPNNPNRNEETLSVTSFPFGFGTTVVHDWTLTETTNSRDTVVARVQGVHVQAGLTKPNRWYMTHNIEFQQGRFAGSTLQVMGITAGLESGQWSIVGGTGQFIMAQGIISFTNHPASTFEDGIKELNIRVRFTRDITQAA >SECCE3Rv1G0209830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:940918420:940919803:1 gene:SECCE3Rv1G0209830 transcript:SECCE3Rv1G0209830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSDATSEDSVMNISSSCDGIIKLPATMHDPNFNGTDADVNVLCEHGEPAERFVAFEGMHTGRRFLGCAKKEGINCGVVQWIDFEWPDSMEKALAKLWDMYEEIKSARTNDNLESSFAIHNLTEEKKKLQKNYDSLYADVNAILDAQQQRGVELTNQKEQKQYLVLKIAELESVVGNLKAELSKKEEEKNKLQENYDSLNAQQQRGVELNNQKEQKQYVDVKIVELETIVGNLKAELSKTEEEKKKMLQKYDTLKNLTGAQANVIRNLKFNHLKEKKRLTEERHKLQHHISELQQSEEKIKLKLQGVKAILDE >SECCE1Rv1G0044480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:603234731:603237419:1 gene:SECCE1Rv1G0044480 transcript:SECCE1Rv1G0044480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWNGLWTGRAAIAGGNAYRDMPVIVKMENPNWSISEINGGGDNGEDFLARVGGQRRRVKNTKQITWVFRLKAQRAVGCLAWLTSAAVALGSAARRRVAAGRTDSDATDGECEDVEERAPASRQSRFYTLIKACLMMSIFLLGADLAAYSNGRRNLDVFINSFYMSWIRFRAAYVAPPLQLLADACVVLFLVQSADRLFQSLGCFYILVKRIKPKPLSPSLADAEDPDAGYYPMVLVQIPMCNEKEVYRQSIAAVCNLDWPRSNFLVQVLDDSDDVATQALIKDEVEKWRHSGAHIVYHHRVLREGYKAGNLKSAMSCSYVKDYEYVAIFDADFQPYPDFLKRTVPHFKDNENLGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFINFFGFNGTAGVWRIKAVEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCKIVFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPVLMSFLNIAPAPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSTYEWVVTKKSGRSLEGDLISLAPKGLKQLKYGSVPAINVAIKEQSKAKKESKKYNRIYKKELAMSLLLLSAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGQDIK >SECCE4Rv1G0268620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744118358:744118806:1 gene:SECCE4Rv1G0268620 transcript:SECCE4Rv1G0268620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSLVQATMIFFIGCLAMCAQCALDNKQTVVNPDGHAAKTSTNATIVNSTSVGVSKITLQFCGRFYSCHFKNGHHGTCYCCENKDGPCYRTLSECRDICPTCNPKCPPSSGSRG >SECCE2Rv1G0129340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:867811268:867815483:-1 gene:SECCE2Rv1G0129340 transcript:SECCE2Rv1G0129340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSLAACAVAFHLCLLVSSSASLRWLSDKPAAVTTAGGVRTRSAYHFQPAKNWQNDPNGPMYHNGVYHFFYQYNPDGVTWGNGNLSWGHSVSVDLVNWFALDAALQPSRSFDANGCWSGSATILPDGRPVMLYTGIDARGDQVQNVAFPKNASDPLLVDWVKPEYNPVIPVPADIKRDDFRDPSTAWLGGDGLWRIAVAARVNDVGGATLIYRSKDFLRWERNADPLYLAHAAGMVECPDLFPVSEPGVEVGLPASGAGTRHVLKMSVMDTVQDYYVVGRYDDTADTFVPEDDDDCRSWRRLDYGHVYASKSFFDPSKNRRVLWGWANESDSVSDDLVRGWSGVQTVPRKIWLDEDGKQLRQWPVEEIETLRSKRVNLLIPEVNAGGVNEIIGIVGEQADVEVVFEIPALEHADVLEPNWLLDPQSLCGEKGASVPGSVGPFGLLVMASGDMQEHTAVFFRVFRQNDKYKVLMCTDLSKSSTRDGVYKPPYGAFVDMDIEAHGGIISLRTLVDHSVVESFGGGGRTCITARVYPDHVVNGNSHLYVFNNGTGAVKVSSLDAWEMATATVNVLPDGLIAASSVSRAQAY >SECCE1Rv1G0016390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:138214109:138215811:1 gene:SECCE1Rv1G0016390 transcript:SECCE1Rv1G0016390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFSHCVHLVSWEKENVSSEALEAARIACNKYMTKHAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKPNNAIHATEALRRAKFKFPGRQKIIESRKWGFTKFNRNDYLKFKSEGRILPDGVNAKLLGCHGRLANRAPGQAFLSAA >SECCE2Rv1G0138120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920765549:920767148:-1 gene:SECCE2Rv1G0138120 transcript:SECCE2Rv1G0138120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVFIVGAGPAGLATAACLSQFAIPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKNLFVKYLDEYVERFNIQPQYLTSVESSTYDNHEKCWSIVANDMSKCTTVKFTAKFLVVASGENSAENIPMIPGLENFLGDAIHSSSYKSGKSYSGKNVLVVGSGNSGMEIAYDLATHGANTSIVIRSPIHVMTKELIRLGMSLAQYLPLNLVDKLLLMAADLIFGDLSRHGITRPKMGPMTLKSETGRSAVIDVGTVGLIKKGIIKVERSISKIKGNIVEFQCSKNISFDAIVFATGYKSTTNIWLKNGESMLNGNGLPIRKYLNHWKGENRLYCAGLARRGLAGIAADAKNIANDIKSVIYSMSS >SECCE2Rv1G0093640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:382720326:382733441:-1 gene:SECCE2Rv1G0093640 transcript:SECCE2Rv1G0093640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 1 homolog [Source:Projected from Arabidopsis thaliana (AT5G47010) UniProtKB/Swiss-Prot;Acc:Q9FJR0] MATQPPSSAAAADLYETASQPDPSASAAGDAYTFLEFNTQGDDFEYPDFPELSQPARSAPPPPAPVTSSASSSWPAPPLPPDALPDADLAPQDSTPPASSSSPSPRSSASKARAAAAVPDVLAAGVAALSFEEPPSAGTGDDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAENVVVLLCREPCLNVNALKDMNWDLSQWTPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQPVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGGTSHPAWQSVGHVIKLTAQEEVALELRASQGVPVELNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPVSLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDNSEKSEMHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSEFPSNCFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGVEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVVLGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMHGSAFGSVGSVDKRSGRGKGHPFVPFGPPNGAHKPGVHPSGYPLPRMPYPPFPGSPHSQPYAIPTRGSLHGPIGAVPAVPQPGNRNFGAPRANTGGPIGGHLAAHQQNSQQAMGSVGPTYNFAGDPSSQPSGGGLMSQSGLMTQMPVQGLSQTFRDGFPVGGMSQDFFGDDFKSQGSHMAYNIADFSTQASQGGYAVEYTQGPQSGYPGNYLNQSAHPGYPHMGATNDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHYGMGAPGHLQSQSMMNPMYSQSYAHYNTQPQSLQPPPQ >SECCE1Rv1G0018550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:199324518:199325531:-1 gene:SECCE1Rv1G0018550 transcript:SECCE1Rv1G0018550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMDQDNGSARAPAPSPRRQPSYSCSRGSVETAHDRKLSHSDSFWTPAESLRSSSASSSSPPLSSSSSCESIPLPDLDRHSSLSSTSSYQSLYQIEAAADHDRSSSSDEFMPATLPPAVQTMMAQGQPAGYDPKRLPSSIFRTQSTCPARWSATSNDSLFSIQLENSGRVGPLYVVGDLYYDAAGVFHRLSSVARLPAVPEMSTGNSSWSLCVRDDCAGCSTSMNKKSVRFATTDAVAGTRSLPATLEETEASASETAAAAKAGWCCWPSMWWPSCGCRGCDCGCGDCRWS >SECCE7Rv1G0458260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:21005044:21005906:-1 gene:SECCE7Rv1G0458260 transcript:SECCE7Rv1G0458260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKITARQSTGGKAPTKQLRAAARKTAPATGGVKKPRRYRPGTVALREIRKYQKGTELLIRKMPFQRLVREIAQFSKSDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMSKDIQLARRIRGERM >SECCE3Rv1G0200760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:857276497:857282364:1 gene:SECCE3Rv1G0200760 transcript:SECCE3Rv1G0200760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQPQTAPAPRRKGAPASSQEWLVVPAAGVQHAGEFGRHRIMEMTGLPARDLRMLDPQLSYPSTILGRDRAIVVNLEHVKAIVTAAEVLVRDPGNPRLGPFLQELRARLALPDASTTNPATDDIELGGDQGNVPVRGSAKIQPFEFKVLEVCLEHTCKCMESETLALEKEAYPALDKLTSKVSTLNLEHVRQIKSRLVELSGRVQKVRDDIEQLVDDDTDMSEMYLTRKLAFQGINESSIKVESNKHASPDHEDEKEEEDSGDDIEASSHESSACVKPDVEELEMLLEAYFVQFDGTLNKLCHLRDYVDDTEDYINMMLDEKQNQLLQMGVMLTTATVVITAGIVVVSLFGMNIHIELTLDPETPEMARIKNRKFWETTWATVAGCAAIYLLAIYAGK >SECCE3Rv1G0163630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:149646743:149653314:-1 gene:SECCE3Rv1G0163630 transcript:SECCE3Rv1G0163630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVRMECGPQMQTKRSYDEIAYRGAGYVEKVAESGSPARVGLEDYNAPKRKCISLNSDGFDVKREIFVPSKMSSSERRYLRKRFRAELDSVRELLKKPEFLSIMPVSKTPAFSSSAAPRAKKVQRGSHVVRGAKGRFLPTKPRPETSTVLPEATILKQCEAILKKLMTQKCSHIFNDPVDVVKLNLPDYNDIIKHPMDLGTIKKKLDSGSYASPSDFAADVRLTFNNAITYNPRGHVVHDLAIQLNKMFESRWRTVEKKLATAATKPHIEVDKADSERRKTPPVDRSNLSVTKSHVEDKADSERRKTPPVDRSNLSIDRVRPTEIVKPKMTSKERESFGDCLTSLAEGPEELPGHIIDLLQQCMDNNTEQHGDGEIEIDIHALSDDVLFELKKHVDTYLQERDQQTKSQPSENEAANVSGLSHSSTNPCKGAEPVEEDVDICGNASPILIEKDPQIRTDKCGSPSSSSSDSGSSSSDSDSGSDIESEPEKVVSPAKLVKGPEQPAEQVKSDDVISPVDANQTAADVELREQDNESRAAPEGENAKPDRQVSPDKLLRAAVLRGRYADVIVKARGILSQGGDKQEELEKLQKEEKERLLAEGNAALEARRAEAEAESKRKLDLEREKARQALQEMERTVEINDIVHPKDLEMLGTVTTEHIVSSVDETSPEHSQNGMPSFLPGSGSMLEKLGLFMKVDEEEEEEEPCIKGAEEGEIN >SECCE7Rv1G0490740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:503239362:503244252:-1 gene:SECCE7Rv1G0490740 transcript:SECCE7Rv1G0490740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IMP4 [Source:Projected from Arabidopsis thaliana (AT1G63780) UniProtKB/TrEMBL;Acc:A0A178WDJ3] MLRRNTRLRREYLYRKSLEGKERQHYEKKRRVREALEEGKPIPTELRNEELALRREIDLDDQDRAVPRSIIDDEYAGATLREPKILLTTSRNPSAPLTQFVKELKVVFPNSQRMNRGGQVISEIVESCRSHEITDLILVHEHRGQPDGLIVCHLPLGPTAYFGLLNVVTRHDIKDRKAMGKMSEAYPHLILDNFITKTGERTANIMKHLFPVPKPDSKRLITFANRDDYISFRHHIYEKHGGPKSIDLKEVGPRFELRLYQIKRGTVDQSEAQNEFVLRPYMNTAKKQKSLGV >SECCE7Rv1G0497410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:625385240:625387072:1 gene:SECCE7Rv1G0497410 transcript:SECCE7Rv1G0497410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVELRVGMSCEGCVGAVKRVLGKMEGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTAFWEAEPSVASAVSS >SECCE4Rv1G0251640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:606130544:606137472:-1 gene:SECCE4Rv1G0251640 transcript:SECCE4Rv1G0251640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAAPPCTAGRCLPSSPPPRVGGRHFFRSLSSAAPTPLRKSAVCTKAISNSDGTPGTSDSPHVVCFGELLIDFVPTVSGVSLADAPAFKKAPGGAPANVAVGITRLGGSAAFIGKVGDDEFGYMLSDMLKENNVNNQGLLFDTHARTALAFVTLRSDGEREFMFYRNPSADMLLEEKELDLDLIRKAKIFHHGSISLITEPCKTAHIAASKAAKDAGVLISYDPNLRLPLWTSADDARDGILSIWDTADLIKVSAEEISFLTNGEDPYDDSVVKKLIHPNTKLLLVTEGPDGCRYYSKEFSGKVGGLKVTAVDTTGAGDAFVAGILSQLAADFSLLQDEARLREALKFANICGALTVTERGAIPALPTRQQVVDALTNVVA >SECCE5Rv1G0358370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755516828:755517704:-1 gene:SECCE5Rv1G0358370 transcript:SECCE5Rv1G0358370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGAMGAAGAMVLVLAVAVAVLPAAVSGQAGVATSCTASLISTFTPCLNFVTGSTNGGGSPTLQCCRAVAGVVRTGADCACLILTGNVPFGLPINRTLAISLPRVCKSLSVPLVCRDTATQIPAPGPIAFAPALPPLPALPPESSVDETATSPAVEAPPVMQGQRPVVVPSSAGRGATAPFILLLVVASILVLIT >SECCE6Rv1G0405410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:530340761:530344081:1 gene:SECCE6Rv1G0405410 transcript:SECCE6Rv1G0405410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAADSNAGHNRHPTRAKSGYEPSDTETEWHDSPWNDAILKSQRTRLPKDPGREPQAGARRQNTSPNRVRDYPDDKTSSLRNNRTPPRVTEQRRHTSPYAGGNNEPRRKSSRTPPRFRASMEKFSRSSIKERISRSRSISTPKLRPHEKEHPSRVPAFRGTPVSAQVERDSIDVMKGGSHAENCSLEINELAANSKGTSSKHNEYTCTSTESAGDIFFSRDCRAPLAKTLVKNNSVDKSFTTDSNVDDADVTQANSNNLGRTSQFVSVRTGFSRTTNTSHTNGRHSQVSSGTTLNRRLNSDRFSGDSGKFSDFTGKLVGGVMKFTSGRQKTQNDAWFPCVTGKSCHKPGPPNHTKTDESESTFIRKALVVEKTRLFWADKYRPRTLSGFTCHREQVQQLKQLVSPEFCPHIILKGPSGSGKRSLCRAVLTEIFGDSSLNVSHYLKSCNGQGPASAPILVPLSSSDHHVELNMRSQSKNARYALTALANEMSSKHKITEIAGTKNFKVLVLYDVDKVSENNQRLIKWIIDSSSDACKIIMTCQDGPSLLDSITSRCKLISIGVPNTREMLLPIC >SECCE7Rv1G0504160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:720203662:720210979:-1 gene:SECCE7Rv1G0504160 transcript:SECCE7Rv1G0504160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASAPAPALDVEGGVGGGNSQNALKSEDDGERSVAPGGGSVPGEFRSDDPATAVCENGINSSDDPALAVDVRGKGDGGKENLGKVRVKSEPEHCDGEGAVLAPCSRPAGADFVGIASSSYKLPVASPEDSTDERGGDSTECSSSFADSFCETGDEAGNGDLEVNSPFSAHADGGQASAMPRKKKVTAEWRNAVRPMLWRCEWLELRMKDLLSQVSKYDSQLALIEQEKESQRAISKANGCRQESGKNCRDHENISMERRKRKRHEDTVDTSLYLKKHKILSYFFDKQNKGADADGLLIDDDSHGQVGDDTRRRLEIVGLPASKEYDMVSNQFVLQKVLMKIGGIQSRVHRLQERLSKARSKQAKLASFMDHDQVKVAEKRQRIQKRAFSPENDRYAKPQKKKKLNILLEQEDRPTLSVKPTLSERATDSLTEDPQGNSEEKALERSQAHKKDITADLLLAVESSLPNGHLGDLCKENTDDILIDNRGAKDGYQPFENAKHPLEKPPVLTENVAKTAPSEVGNTSEPVEAEKSSVPLVKQEVTIGKLPVLKHVYSGKKRGRTPKTEDMGSEAASKNHNKEASETPLAKEKAQSTPCAANENAGSSKHKTVDIRSPSKKSNSGNSCSAAEKLKIGNSSSAAKAEKTGNPSSAAKTPKTWNSPSDGNKQAAGNSSSAAKKPKVGNSSSDGKKQAAGNSFTAAKPKTGKSSPDGKKRAAGNSSAPARQEMSENIHTNLRIEKAILVEVNSRRSQRVRKPKVY >SECCE7Rv1G0473420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:157486931:157494911:-1 gene:SECCE7Rv1G0473420 transcript:SECCE7Rv1G0473420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVQTERSAKKKKAGGGGSDASTLFQMLQLVQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQLLKNKVEDVAAARGKKGLGDGTVGMFSWLVIAAVAGSINVLLTIPIWVLVTRMQTHTQAERKVIESKRELLLKEISRANPMDAHILMDRLAKLDSEKPRPYGTLQAIREVYRESGISGFWKGLIPTLIMVCNPSIQFMIYETLSKRLKSKRSGKRFPKKNITAMEVFLIGAIAKLGATLVTYPLLVVKSRLQAKQEIGRNAASRYTGTLDAILKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELVKFVVLLVARSRTLLLTRSNKR >SECCE2Rv1G0077760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:101731322:101733927:-1 gene:SECCE2Rv1G0077760 transcript:SECCE2Rv1G0077760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRWVAAAAAMVALLLLSAASHGGRGGPSFNSNNRAFVFNYTLAKTIVEYASAVYMTDLTALYTWTCSRCNDLTKGFEIRCIIVDIQNCLQAFIGVDHNLNAVIVAIRGTQENSVQNWIKDLVWKQVDLNYPNMPDAKVHTGFYSAYNNTLLRPAITNAVLKARKLYGDISVIVTGHSMGGAMASFCALDLAISLGSDSVHLMTFGQPRIGNGAFASYFEQYVPSAIRVTHEHDIVPHLPPYFFFLPHLTYHHFPREVWEHDVDGNTTFRVCDGSGEDPDCCRSVFALFLSASDHLTYMGVEIAADDWSTCRIVMAQSVERLQFYLASNVIMSKNPVDIIIVDHSMQTDPSSSS >SECCE3Rv1G0178650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:509924933:509926156:-1 gene:SECCE3Rv1G0178650 transcript:SECCE3Rv1G0178650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPASNVWEDAPDNILLRVAACLPFRLDRLRMSLVNPHWRRALCGRGQGRPPQLPLLPPLPPVLPWLAFPNTEEPSLFCAIDRMSYPLRLPRDVRNARFCGSCDGGWLVLALDTRPTQYALYNLYFGQRIPLPGGLTIPDGAGNLPLVLRAATFTSSPTSPGPNGYMIGAIVLVCAKWCAAFWREGLPNWITSESDDVWMRRPPQDVKFAGGVFFFVTADEKIVMYIPTLAGDENQYIMRRFDCDMLQRDDYADDLQGNASIARYLVDSRGALHMVLRYIYNNQGTTRLRVFKFQVLPQVADGVPPTGTWLSVSELDGQMLFLGQGCSRSIEVAQFEGFEGSTIYFPDDRFIPDPEPTVDNRRSYSFIDMGKYSLQDEQGGVQPWPPVDRRPARSDKAPPTWWLH >SECCE2Rv1G0068600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:23990712:23994769:1 gene:SECCE2Rv1G0068600 transcript:SECCE2Rv1G0068600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPARNQFVYGGAGALLRRDQAGAGALLHVAVGRSPEKTLPLLRWAFRRFACARVALVHVHQPSPVIPTLLGKIPAAQATEELVLSHRRSERDERNRILLAYLAFCQRAQVQATVLVTENEQINDGIVALVRNHGVTKLVMGSIPDNCFKLKAGHNKEYFMAKNAPAFCEIWFVWRGRHIWTREASAAIGNSISVYNQDDIMMTRKRTRFSPNSNDAESMLDGGYITCGTSTVADLHEGTVSDNGRVNGYGALGADAKHFCNMRISNLQDAESAFNSTLWSDSSVLGGAQLHSKEMLDANLKQVMVEAEGSRKEAFLELLKRKEIESKVEGAFIRVKVAESSKKREMKIREELEGLFQATRRQHEDLARSKEKAAAVLDSSLRRLDILDDRAKNMSLRLDAAVAELGVIQSSINILKQERTKAHKLEDRHTNHVEEGCTYSHSKFSNHSSIALGDDPYTFKQLTLLDVQAATCKFSESFKLRPQGHGCIYKGKVMNRSVMIHKLHSHSIKSSMQFQREVYILNKVRHPHLVALVGVCPEALCLAYEYPKNGSLHDHLFGELNSTPPLPWKIRARIVAEISGALLFLHSCKPQMMVHGGLNLENVLLDSDFHCKIADFGTLAEDDSKDHPALDSGLLAHKSDINSFGTVILQLLTGKQPGSPGLASEVRRALSCRKLSSILDPTAGEWPMEAARRLAEFGLECIGDRPELSLDTVRELEQLHLIRGRPVPSFFLCPILKEIMDDPQVAADGVTYEGRAIRGWIKDGRAVADLKLKHLGLTPNHALRFAIQDWLSEPQW >SECCE7Rv1G0457230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14820616:14821125:1 gene:SECCE7Rv1G0457230 transcript:SECCE7Rv1G0457230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLTVLFFLALAMLPGLIASTSSVINTTCSKIPEMSYDYCVGVLSAEPTGASAIDTRGLAVAATNLTVHNVTSTLHMLSDLALELNTCIGYYKLMVDSIDAAVDDLHKGRDAELIYDKLYQASYTPLDCDIALFEGAQKNPVQDENLEIQALARAASDIVFSMWHGRS >SECCE3Rv1G0147200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:14219581:14220943:-1 gene:SECCE3Rv1G0147200 transcript:SECCE3Rv1G0147200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQVSADTTVERRRGLLLTMEKDDRSAKKARVELSASDQVKQVGEVTIKMDMSLLNCSVCSRPIKPPVFECNAGHLACYKCLIGLPYRLCQKCEHGSGFGHIRSLDAIVSSLTIKCHHDGCGSYIPYYELDDHQSVCPHVPCFCTELGCGFVGAPQALLSHLIALHAIPVQKVNYGQVYQLRLSVPRPRCLLHGQEDDSVFLLVMGALGVVSVVCIRAEASSWPQYAVKLQANGPSPPSSTEGSILLAMKPVTSSTRPGEVAVEELPSFLMVPPTYLVGSGASKEVSLDVRIDKM >SECCE4Rv1G0250800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:596417304:596418384:1 gene:SECCE4Rv1G0250800 transcript:SECCE4Rv1G0250800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSLLLPLALLALAASSAAVANLEIDFYSKTCPDAEKIVREEMAKIIAAAPSLTGPLLRLHFHDCFVRGCDASVLLESTDVNVAEKDAKPNKSLRGFGSVERVKAKLEAACPGIVSCADVLTLMSRDAVVLAKGPFWPVALGRRDGRVSSATEASNELPPASGDVPLLAKIFASKGLDLKDLVVLSGAHTLGTAHCPSFADRLYNTTSENGTSGVVDPSLDSKYADKLRLKCKSVDDRAMLSEMDPGSFKTFDTSYYRHVAKRRGLFRSDAALLFDDTTRDYVQRIATGKFDGEFFKDFSESMIKMGDVGVLTGAEGEIRKKCYAPN >SECCE2Rv1G0138570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:922126002:922127132:1 gene:SECCE2Rv1G0138570 transcript:SECCE2Rv1G0138570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKLEVLLVSAKGLDDSDFFNSMDPYVILTCRSHEQKSTVASGAGSEPEWNETFVFAVSGNAPELRVKIMDSDALSADDLVGEACIPLEAVLQEGSLPPAVHRVVKEEEYRGEIKIALTFTPAEENEEEETYGGWNHST >SECCE7Rv1G0526800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:898716806:898720615:1 gene:SECCE7Rv1G0526800 transcript:SECCE7Rv1G0526800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEKEAPVEQGASRGADWEVVTLTASTYAAAPSGPEGAAEGKGLGDGNDSRGSSSTLLMSDHFVFPPSEHENLPIETALLEPPQESTSVDDAGFKNVGGGYDDGSEAVKYYDEGKSLSVHDAEMMMGDVPEFHAEDDGRGYVVHDDDDDSQDKSDVPPQDSSSKGRGSGAPCQCWLKKHMSCLYDQAKDTNHLWGAVVVAALVGLVILWRKDKLHMSCLKWRSRSAVS >SECCE6Rv1G0438610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:791175651:791178126:1 gene:SECCE6Rv1G0438610 transcript:SECCE6Rv1G0438610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKAAAAAAKQPHHAAQNGHALPSRLARYLDPDASLDKDQLLDAVHWIRQAVGLACGLLWGAVPLVGAFWIALFFTISTVIVHLYYAHLLKVDEEDFGGHAALLQEGLFASFTLFLLSWTLVYSLAHF >SECCE7Rv1G0492090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:543611496:543615169:1 gene:SECCE7Rv1G0492090 transcript:SECCE7Rv1G0492090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGGKQQQQQPEASVAPAPRKGAWRDGAVTYFHLLFYIAISGGQIFFNKWVLSSKEINFPYPVALTLLHMVFSSIVCFAATKIFKVIKIDEGMTTDVYVSSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGTAFGLEEMNCKMLAIMSIISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVRLNLISMMYYVSPCSAFCLFIPWLFLEKPKMDASISWNFPPVTLFLNCMCTFVLNLSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTKLTFINIVGYAIAIVGVVAYNNHKLKPQANQQQGADSKVSPGSPRHIEIPLNSTKESS >SECCE6Rv1G0438740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:792092656:792094045:-1 gene:SECCE6Rv1G0438740 transcript:SECCE6Rv1G0438740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVFGIPISVQTVIATGEYKEPITQKDVADYTMKNINAGGKDLEAQKFVDNLKERYGNGISVKCLMYNATGTTLSLAVYHDWHGHIYDTPYPSDIQNGQWGAFLHVHPTGASAGSAGAVVYRSKIPSSSSSCDWLFSWTVPYIGGNGVYTEIREEGHYPSVGSWEYIYNVKLANSNLSSTDKYYGYVSKTDIGEGTTMNARAVFQLPY >SECCE1Rv1G0025820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:360453662:360460323:-1 gene:SECCE1Rv1G0025820 transcript:SECCE1Rv1G0025820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDDVAGSHGGRGGGCPCLPFCFWGSRVPASPQRRRRRRRRLRLRLSLSWLSWPWLRKSRGGKSSEAGGKNKGKRRRKLLLLLLRSLQVKKALASLSSGSSFLPKLQVSGSGGAKKQKRSKPWRMVDDDAAPTTSCAKETAPAQPETISPPFPRSADGVWRAPSSRFHSLQLDRGGAEPSPGGLWTAATTLGVIVFFGRVTAVLFLCSCMYGARWFGPARADTRGGIAGGGSSRRLGDRVALDYLCAEEHKKKVVMCGLLDRAGGKRPSSRFCI >SECCE6Rv1G0398650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:424183998:424184624:-1 gene:SECCE6Rv1G0398650 transcript:SECCE6Rv1G0398650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEDSKSARVTDDLNSALTIHQLTEEKNKLDADYDKLVKDVHQLVDMQQDRVVDFSYLQSNLTYQHQCRVELVAGMKAEMAKKDADREKLNQKYELMCNLTSAQATVIQNLKLKNLKEKELLSEARQNLELKNAEFTKFEEKLTQQKLELKFQVADLLKGKEKLDEEKYMLHLKIGELMKAEEKLKEKIKGIQAILQN >SECCE3Rv1G0150200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31315899:31316515:-1 gene:SECCE3Rv1G0150200 transcript:SECCE3Rv1G0150200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKRCIVPSILLMLALHAALLVAGDVGAIFLPSEGEDEAAMTAKERPWKCCDKAFCTRSKPPICRCMDQVFECPSTCKTCGPSMADPSRRVCQDQYIGDPGPICRPWECCDSPVCTRSNPPTCRCADEVDKCAPTCKTCLPSRPRPSRRVCINSYFGPFPSACTPPPEAVAAGGN >SECCE7Rv1G0516500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:846533914:846535636:1 gene:SECCE7Rv1G0516500 transcript:SECCE7Rv1G0516500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKDIGGAIGLMAAALVLLGTWPVVLAVLERRGRLPQHTYLDYSITNFLAAVLIALTFGQIGGDTPETPNFLTQLTRPQDYWPSIMFALAGGVVITLGTVATQYGWAYVGLSVTEVMASSLKVVIGTTLNYFLDGRINRAEVLFPGVGCFLIAACLGSLVHSSNAADNQEKLSNSRNYSTANTAKEDLTQHLLEEEEPKDCEEAKPAVPNKAVEKAEAGTADFLIDLEDKRSIKVLGSNTLVGLAIVTFAGVCYSLFAPAFNLATNDQWHTLRDGVPHLVVYTAYFYFCLSSLVVGVALNVWCLYRPVAGVPRSTLRAYAADREGRGLALLAGLVSGLGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTYTLLGSMLFMFVVAMAVLMASSAHRKPL >SECCE4Rv1G0294290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:889836177:889837172:1 gene:SECCE4Rv1G0294290 transcript:SECCE4Rv1G0294290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFTKMRRQGLNPDVASYGTVIDLLSRIGRMDDAMSQFNQMITEGLAPNIIVFTPLISGFCSYGKWEKVDELFSEMLDRGICPNTVFFNTIMDRLCKNERVMEAQDLFDLMVHMGVKPDVRTYNTLIGGYLFDGKMDEVRKLLDNMVSIGLKPDVITYTILIDGYSKNGRIDDALVVSREMLSGKVKPCVITFNIMIGALLKCGRTEEAKDLFDGIWANGLAPDVVTYSLMIQKLIEEGSLQEFDDLFISMEKNGCAADSHMLNAIVRSLLQKGEVPRAGTYLSKIDEGSFTLEASTAGLLTVLASGGKCQEYNGLLPEKYHSFLEQGTD >SECCE2Rv1G0112140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:706757936:706760791:-1 gene:SECCE2Rv1G0112140 transcript:SECCE2Rv1G0112140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSTPDNDGAGGARRRQGSVGDVVVFLPGLRAPMGVDLSQALAGRLDKVAVERLSALRARVVDMAMQESAAALKPRRKAAARHGSSTANLLQALEDYLPVLLGLVKEGSELRHSVEFVWTNQEDKAEETAMADAWYEVLSVLHLMAMVCLLQANSLLLPRAYGDGYGPRVSEESRRATVDVFLKASGYLDCAIRQVLPQIPSELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQVQESIPDLAVSDGWGKKHLLFVKWKYVEAKSAAYYFHGLILDEGNSEKSHGMAIAALEASEEFLKESKRASAAFHATPPTSRSPTPFGTAKYLFDKIPKEASSKVRINQDLYTPERVIGAPPPLPDFSLALTPEDYDLPPLDPLWNKEDGHQ >SECCE5Rv1G0361810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:781042510:781043829:-1 gene:SECCE5Rv1G0361810 transcript:SECCE5Rv1G0361810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPFPNWLMLERFVFRRDNKSSFPDDTKAPIRASGTTSWNAGFHIALCLAEPPLPSRLYARLPRFPDPRKHIPLAILAAHRHLLLLRVGTNIPGLGLVQDFLIYSAYDTSSLKALPPCTEPYTDYTRTGDRLPRGPPLEKGKHRLLTVKSMGLLCRGEGEGEQEFAVVELCVFKSIHCKVYADVCLLRSSTSAGPVLGGEWSSMRLPVLGIDNVNDPWQLCCWDTDAIVPFNRSLCWIDYHRGMLICDVFGDPIPTVSFLALPLDEFPSAHTHYQIKPSSRLYRGVSAIDDGRVLKFVNVTRHDGIRHGELTSGSGFTVTCHTLALGSMVWELDYKVTSAELWSSNPHLPRTILMFPQVNVDRPHVVHFLISEFEYVVRKMWLVSIDMSTRTVESIFQYINGKEGLETDDADFTRRRSSAPTSFLPCEFSRFLRLSR >SECCE6Rv1G0400080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:446905063:446905836:1 gene:SECCE6Rv1G0400080 transcript:SECCE6Rv1G0400080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGILAAGLVLLAAVAPAYAADYTVGESSGWASGVDYSTWASDKTFTVGDTLVFQYGPSHNVAEVGSSDYSACSATNSIQSYSDQDTKITLTKPGTRYFICGVSGHCAGGMKLAVKVAPAATTTPTPATSPDAPSATPSTPSETPSTSTTPAGATTAPSSSKSADSVGGASGVEARSVMGSLVGAAGLVGLALMG >SECCE6Rv1G0425320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:706223373:706225337:-1 gene:SECCE6Rv1G0425320 transcript:SECCE6Rv1G0425320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTYPRRPAARSTAKPSAKGWLLEEYGHDWLSNLPDDILLNIVERLDIADVARTSVLSRRWMKVPAMLSKIVITVDSFKRKLRKSNLPRFDAHPNSTMIDATNSILGNRSRTNLFTIRLLRMEFYLGDDCVFIGQAVTNTLITQNVGLAEFTVMTKKEVRQCTDKDLLYYGRKFMSFFYTCPNAFNGLTGLKLQNLRLAESELPEIVSICKRLQFLRLLNCDVGILSFLELEHPQLSELEIVDSEFERVDLKWLPKLTVLTFSDWITQHDPLSFGYVPLLKSVSISNTARSYHKMLKLSEFLGKVTIRDLHLNFKSEKIWIKPEAPRELFNVFHKLQIVSLLNISEECDLTWTMFILRGAPSLKELCIRVWDHFCDIILDEEDRKKYAYSEEPKDSGLECVGSASGFKHHSLAVLRIFGFQTEEKFMSYITNVMEAAVNLENIYLHNRPVCKICQHMVRKPSRYPWTSKQKISVRNKINNEMCSAVEIHFPKYTTSQC >SECCE7Rv1G0468620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:101279105:101279557:1 gene:SECCE7Rv1G0468620 transcript:SECCE7Rv1G0468620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSTTTTPGDGAKRALRRLPHVYSKVLELPLPADADVRAFEGSTALHFFAVCDAMGGVRARLVKIYPGVVKVVVVHSGTGDGEDGDDMELDRWRYRLPEDCCPELAMAGYIDGQLVVTVPKDLGEGGDGAWRCCNGAAGEVGGKLLAVQ >SECCE3Rv1G0197690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:822184788:822188395:-1 gene:SECCE3Rv1G0197690 transcript:SECCE3Rv1G0197690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTKFHDLLTAYGSHSCGDNGASMQKVSDDVEALLNLPSASTVSPLDGVTIEGGTALHVVATYGESDGFLRSAGIIHSKANHLLFARNKNGDTPLHCAARAGMSRMVRHLITLARDESTGVNRVKELLEVENSVKETALHQAVRIGNNDIVKLLMEENSELASFPKDGTSPLYLAILLEEDIIVETLYNASHMKLSYCGKNGQNALHAAVLRRTELTKKLLEWNNDFTAQRDENGSTPLHFAAALAQQSQRGSICWQVLEANSAALYQSDCNGLFPIHVAASVGESGTITMFLNKSPSSAGLQDSMGRTFLHVAAEKRKVRIVSSACRNRSLLWILNIQDNDGNTALHLAIQARSLRMFCALLGNRQTHLDLSNNKGQTPLDISLYGVSLGFFDENSEAKIHFALTVVNARSGGSRRDHFEENYNRQLKYDGTEQLEKLKESTQTLCIGVALIATATFTVTFALPGGYKADEHINGGTPTLAGRYAFDAFIIASTFSFGLSAMSMVGLMYSGYSILNPETRRIYLIAALYFGSTSGTCFITTFALGLYVVLARVAHKSAVAICVISPLAVLCKQMDHWLKWALLAQPLCTRIGPTRALLMVTTRILFSLLMEFWPIIFIFVWATYTSNQF >SECCE6Rv1G0377970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:4318695:4319084:-1 gene:SECCE6Rv1G0377970 transcript:SECCE6Rv1G0377970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSFLLQVVRYVSSLPSQFMGATARALPVSREGAGGAIRPSFAAPAPQRPGAPAEGAGGQGGIIHEASPVPLEQMQVAARAAPPMQGAGGDRTHPPTAGLMGPQRPGAPKEGAGGRGGIIHAASS >SECCE5Rv1G0332310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:546585747:546588529:-1 gene:SECCE5Rv1G0332310 transcript:SECCE5Rv1G0332310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 17 [Source:Projected from Arabidopsis thaliana (AT2G22420) UniProtKB/Swiss-Prot;Acc:Q9SJZ2] MAPPRVGLLLALAACLAFAGADAAVKELRVGYYAQTCPRAEEIVRRVMARALAREARSVASVMRLQFHDCFVNGCDGSVLMDATPTMAGEKEALSNINSLRSFEVVDEVKSALEEQCPGVVSCADIIIMAARDAVVLTGGPNWDVRLGREDSLTASQEDSDNIMPSPRANASALIRLFAGYKLTVTDLVALSGSHSVGEARCFSIVFRLYNQSGSGRPDPHMDPAYRQALDALCPLTGDQNVTGGLDATPVVFDNQYFKDLVHLRGFLNSDQTLFSDNDGTRRLVTQFSQNQDAFFRAFIEGMVKMGELQNPRKGEIRRNCRVVNGGRPPLEKQVAPFRVLDF >SECCE5Rv1G0363840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:796660005:796660637:1 gene:SECCE5Rv1G0363840 transcript:SECCE5Rv1G0363840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTTGPVVDARFCAPHATEFTVSKNQVRRPDRDMTITDADGAAVMRVEGPALCWPRRALLLDAASCHTVVTVKRCPSLLPVTRRWEAFRGGIVSPDELLFSTVSQPWVFSRGVVHVHLAGGGNHRRGSERRVDYVVVCGGQDGRECTVSVGSRSNGPAVAKIERTTEPSDEEPEYSVSVNPGVDRAFILALTVILEEIRVDESRRHRN >SECCE5Rv1G0298270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10817615:10818031:1 gene:SECCE5Rv1G0298270 transcript:SECCE5Rv1G0298270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAAAASSSRCAPFARRRAAEQRSRGLDHAAAPVPGAGQGCGRPLGRAAGVVGGGIASAFFASLERCACVEVRTTDDLSDCTSGATEAAPLMGDGGSSGRSSTHSSTARKKSKGKAVAGKGRRGGHGGFGCCESIN >SECCE5Rv1G0307180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:106824437:106826540:-1 gene:SECCE5Rv1G0307180 transcript:SECCE5Rv1G0307180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAVHKEAVVLGGGGGGAGEDHLLRALAARTVTDSLRAAVARSSSAEKAARLEECARSLEAEKAKMEVFRRELPISVHLIADVIEWLKDEVAQHRRPAPDQLLAPAPLPLPSPSPAPPAKRKAEGVKTEADASDKRSWMSSAQLWTCGSHSSTSTSNGDSVKKQAQKVSNAFMPLNVLPTLAKSSERPEAVAMAVPELSLSSPAIDAPCPAAPSADSSAVTDAGAQREQQSAQRKARRCWSPELHRLFVAALQRLGGPQVATPKQIREMMKVDGLTNDEVKSHLQKYRLHTRRASDGEQQQSASAGQWPRTEQYTTSQHSSSQSGSPQGPLQLTVSSRGMSVTVGDSCDGGEENEEEDGKSASYSWEMQQNGTKASSSS >SECCE1Rv1G0026310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:369010101:369015971:1 gene:SECCE1Rv1G0026310 transcript:SECCE1Rv1G0026310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRAYKLQEFVAHASDVTCAKFGKRTSRTLITGGEDQKVNLWAVGKPSAVLSLSGLRSPVESLSFDSSEVMIGAGAVTGTIKIWDIEEAKVVRTFTGHRSNCASLDSHPFGEFFASGSSDTNMKIWDMRKKRCIRTYQGHTGRIDVLRFSPDGRWIVSGGADSSVKIWDLTAGKLLHDFRLHEGPINCLDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPENCREYYVPASVVRSMTFNSDGKALFCGLHESLKVLSWEPIICHDTVDVGWSTLADLNVQEGKLLGCSYNQSCVGIWVLDLMKIDPYTVGNAKAFWNGSGNGPLQADNSISSMLGSLSISRCPEANETPSSTLLKRPMSASKETSVRASSAVRKRLSKAPGINNLRLTRAESAPLLSPRVRLKPNSTDDQKRHMANVRFKVDLSTSARMIASNSQASAAPTYIPRSNIFACGSEGSAFVPGVVPRHVSKVDAGSSVSEAAAADLPAMKPEHLPKGHLAVDHDKDVHHCVFQSKPITSKTPPRKFIRETSSDGNINCFGPLCTDSVQSNKVDDWYDVCGFEEKESAVGRNPQFVNVNRTVVFGSSQLVESSESSIMQNRGTGHLCASYERSEYAPTLDGLRQSSFARERSASANDDDLIADLMQNHQEFIHGIKSRLTKLELVHRCWQNNDIKGSVSAMRRMSDHAVTADIINVLIMEKSTKYITLDICTSVLPLASNLLKSGYDRHLNVALAMILKLIKSFGASIFSTLSSAPAVGVDLEAEHRLERCNSCFQELKKINASLISLTWREGKVGRSARELGLLLQDIFKTPSSV >SECCE7Rv1G0526510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:895904182:895908666:1 gene:SECCE7Rv1G0526510 transcript:SECCE7Rv1G0526510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAIGAARRVVDMALSPVDDGLLEAWATGKNSGLSIEAPSMDALLGAAQWAVGKALSPVADGLLEAWAGTKNLGFNIEALRMELLRVKATLEIASHKELRGEALKELLGALWNSVDSANNLLGELDYFRIHDMLHGSYNAADEQAKGGVHDLALNARHTAKAVGKLACLPRARGCLPKLGKLLPCSSSPQVHGDDYGQPPTLRRVPRRETPMLAFNRADLSERMKHIIEQLQPVRTEVTMIQQSCGRMTVPDIVQTRPITTSESIEPTLYGRDHIMDTIIHDMTKGKYLGTRLSVLPIVGLGGIGKTTLVQHIYHSKQVQGHFQVMIWVCVSLDFNLHRLFEEIKTCIPRVEGEREGTPEELIEQRLKSKRFLLVLDDIWMISADDQERLLLPLKTSEENGSMVLVTTRFPSTARMIGTTNRSVQLEGLETEEFTKLFFTLVFGNEQCARDHSFLLATGDKIMNKLRGSPLAAKTVGRLLRNNLNLDRWKKVLENREWENQTGFNDIMPALKLSHDYLPFHLQLCFYYSALFPEGFRFSSSQLINLWIGLDILQHGAPNQTFEDIGLRYLNDLVACGIFREEESNGHPCYVMHDLLYDLALKVASHECLTLRRSNAGLVDIHPSIRHLSIIIDDDNAVFGETFKTELKKLKTRLKVQHLQTLMIFGEMDEIFTNMFGDLFREANALCVLHLPEMSYPVESMLHNFSALIHLRYLCLGTKNKGLMHRFLCPGTEYESQMHLPLAISRFYHLRILDIGSWYGHCDFPGYMSNLTKLCHFVTQEDELHSDICNVGKLKLLEELKVFRVNKECEGFETKQLEPLTKLMELGIYNLEKIRTEEEAAQAKLMKKRYLRRLTLEWDSERSSVEPGVEAVVLESLQPHGDLQVLHIRGHGGSSCPTWLGDEFAVEALGSLYLDGVSWEVFPSLGKARDLHEIRLEDIARPKEFIIEECFCRLTQLIIIGLGSFEKWVYTGEQESSLDGALLPPDAHMFPLLQVLIIRDCPKLLGLPFSNHIGSSDWFPKLQELEIQKCPELLSCPLPWTETLCSVSIRGVRLLEKLVYSNSSHGVRLDIVGKDDLHSLDQVLAFINLTELEELALVKCPPLELSHLVMLTSLKTLTAESSDALVEALGGQGDVEWQLPVEHIEVQELCGNSGRDLTELLTHLPGLSKLKITDCGKITHLNVGVDLQQKISAASEVEKDEMPSPAAAAAEAEQEKEDGLLLFPAHLSNKLSELVISHCPELVLLPDGGGWLQSLRCLQRLKLKKTPKLLSAYLFSPPSRCLFPSSLQFLELNGVEGMGTVEPLSNLTSLTVLELRNCGEGLRCKGLEPLLTMGGQLSALIVYGNPRFFAGWDPNPSSSSKLQKLWTDDVAGFLAMPVCSLLSSSLTQLQLSGTHEMESFSNEQEEALHLLTSLLKLEFFGFNKLQCLPAGLHKLTCLMELQVDSCPFVCSLPKDGLPRSLQKLDVWDCGHEELIQQCRKLVRTNPELRLEF >SECCE6Rv1G0431020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745397753:745398850:1 gene:SECCE6Rv1G0431020 transcript:SECCE6Rv1G0431020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEKLRASTSPSATTLASTNHPSSSPYFLPELIPLVASRLTTLQDFFALCAACRTYRDLLPPSPANLASQAPLLLFPHKASASGALFHAPLRRILRFRLPCTPLGRRDPSFTEFHSFGCRVATQDRDGYDTRRELRIRHLLTGERARLPDPRRPRDSEQVVFSGDLVVTFRKCCRVLYYCHIGDAHWRAASFDEGHLLYNLVFVKGTLYALIYYYQHYRVAVVELDKNSAVLSFLGDKVIEPVGNNCARPQYWLAECRGELLIIAMEHYPRECHVFYLQSGERKWARTTSLGGCSLFFNSYVFAGCLGPDHPAVRRDCLYFTGCSGQWSEYSLVDGSYHEFVTDYPGRTEDYGSPVWVLPSNC >SECCE4Rv1G0279680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:816097336:816101451:1 gene:SECCE4Rv1G0279680 transcript:SECCE4Rv1G0279680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPWHYHSQTQLLLPLLLIVLAAAAPLVILGAAAEKQGQPITLPGCPDKCGDISLPFPFGLASKPGCFLEGFEVACNDSFHPPRAFLAYPGLSQTVTRYSYIYTANITGRVRDMNITDAVAPFELVGVSSAKGEVQVYGAVASVCSTNADDFVKTRQATYLVDTLGITGEGPSPFLLSMTRNVLVGVGWNVEATVSSSIGGEVGNSYLLTCESDLRGNLHDATNGSCSRRGCCQASFPEAAPLDRFYLNVDGPYNNTLWETNPCSYAMVVESSWYNFSTPDLYGDEVQRKILPRGVPYVLDFAIRSGSCPPEGQPSPVCASADSYCANATRGPGFVCKCLQHYEGNPYITGGCKDIDECGHPDLYPCSGTCINKPGGYDCPCKKGKKGDGKTGTCADKFPLLLTAVFGAIGGISFISIVTLVILLRKEKIKMREFFEKNGGPTLQKAKLIKLFKKEELKPILKSRNFIGKGGFGEVYKGKLLDIGLVAVKKPISGSVLENNQFANEVIIQSQVIHKNIVRLIGCCLEVDIPMLVYEFLSRGSLDDILHNNNVAPLDLDVRLRIVAEAADGLAYMHSKAHSRILHGDVKPANILLDDNFMPKISDFGISRLIVRDKQHTNTVIGDRSYMDPEYLRSGLLTEKSDVYSFGVVILELISRQQATHSDGSGLVANFLAAHKENRAGALFDKAFTRPRDLELLGYLAGIAIQCLEDDVNQRPSMVEVAERILAIYQSHITLISE >SECCE7Rv1G0455450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6418589:6419143:1 gene:SECCE7Rv1G0455450 transcript:SECCE7Rv1G0455450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSFLMLLALLDASRATNFEVGGDAEWVLPQAGDSQTYNHWASKNHFHVGDIVHFKYNVDSVMEVTEEEYNKCESSHPIFFSNNGNTEVRLDRPGPFYFISGVTGHCQGGQRMVVKVTGKGTPPSGPPSSAAPAGFRSVGTIAVFMAAVILHVLVMNDI >SECCE6Rv1G0403040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:495328227:495334271:1 gene:SECCE6Rv1G0403040 transcript:SECCE6Rv1G0403040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLFDGGGGGGGGMQFPYAGAFSSSPALSLGLDNAGGRDGGIGGRMFADGAGGAMARDAEAQNDSRSGSDHLDAISGVGDDDDDAEPSGSNPRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRAELSKRLSLDARQVKFWFQNRRTQMKTQLERHENALLKQENEKLRTENLTIREAMRTPMCGGCGSPAMLGEVSLEEQHLRIENARLKDELNRVCTLATKFLGKPVSLLSPLQLQPHLSMPLPNSSLELAVGGIGGIGSMQPSMHGMMSEYAGGASSSMGTVITPARATGSALASMVDIDRSVFLELAISAMDELVKMAQTDDPLWVTGLPGFPDKESLNFEEYLHSSQHCIGMKPAGFVSEASRESGLVIIDNSVALVETLMDERRWSDMFSCMIAKATILEEVSNGIGGSRNGALLLMKAELQVLSPLVPIREVIFLRFCKQLAEGAWAVVDVSIDGLLSNQNSATTSAGANLKCRRLPSGCVMQDTPNGYCKVTWVEHTEYDEASVHQFYRPLLRSGLAFGASRWLATLQRQCECLAILMSSATVSPNEQTAISQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGATGSIGEDVRVMARKSVSEPGEPPGVVLSAATSVWVPIAPEKLFDFLRDEQLRAEWDILSNGGPMQEMARIAKGHQNGNSVSLLRASAMSANQSSMLILQETCTDASGSIVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPSIGSDHKTGGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTIKKIKTALQCATPEC >SECCE5Rv1G0335240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:571737757:571739086:1 gene:SECCE5Rv1G0335240 transcript:SECCE5Rv1G0335240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPLVLSPGRLALAAATRVGAAPPASSPPARVCLLAPARTPRLAARSTTVGPLRAHARLRFKERAPADDGAAAKEACPPAPADKEGVTEPDPYAKLWPWGEYFPDEDELRPEDFATMQERFSRESTEAAAALKGMIAGVFRPLLDNFHHFRSLKTVYDTEDYHIGMPFGALIACIGCYQLWKMDPSMFVNTVLGFAFYKLSVVSLELRKQGFANDLITRLKFVIMVLIMAVTYNNRYSPLAAIRAPVFMLYALTFACEVTGIKKQFKYVVPIILFMLRHPEGRRELRSMLPEVELELVSECGIVVL >SECCE3Rv1G0163990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:154802279:154803862:1 gene:SECCE3Rv1G0163990 transcript:SECCE3Rv1G0163990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWEELHFVLVPLVAQGHIIPMVDVARLLAARGPQVTVVTTPVNAARNRATVDGARRAGLPVEFVELPFPCAQLGLPEGVETIDQMAGLEPAMYLRFFHAIWKIAEPLEEYLLALPRRPVCLVTDACNPWTAPVCERLGIPRVVMHCPSAYFQLAVHRLSAHGVYDRVGDDEMAPFEVPDFPVRAVGSKATLRGFFQYPGVEKEYREALDAEATADGLLFNTFRGVEGVFVDAYAAALGKRTWAVGPTCASSSMVNDADAKAGRGNRADVDAGHIVSWLDARPPASVLYVSFGSISQLTAKQLAGLARGLEASGRPFVWAIKEARADAAVRALLDDEGFEARVEDRGLLVRGWAPQVTILSHPAVGGFLTHCGWNATLEAVSYGVPALTWPTIADQFCSEQLLVDVLGVGVRSGAKIPTMYLLKEAEGVQVTSGDVEKAIAELMGGGSEAEARRSRANEIAAEARAAMEEGGSSHCELTDMIRYVTELSKQRSHERSASSTALPSELGDKNEQDALLPVQMARVSI >SECCEUnv1G0538930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74653008:74653244:1 gene:SECCEUnv1G0538930 transcript:SECCEUnv1G0538930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLKNAYKEKLRRMDQAAALDGAKSSKEEEAAGAAREKKAAGGASSRGGFFGLIKKKVHPKAATSS >SECCEUnv1G0561640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:356592810:356597464:-1 gene:SECCEUnv1G0561640 transcript:SECCEUnv1G0561640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKLAAGDGSYDFHLRSLAAASRDSAAAADPASDPNLLQSVRMVFEMCKEANDEMVARAFPVMNKLFQRCAAPPTLSTASTGVLLLTILQFFLDFGEAVLHDADGSLKTLFRSCLSREFSDPIVAERTLEFLIANKTKILDCFPTLVPQFFPLLLKLMASNGDRLDKKFSEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSLATIQKSAAPEMLLALMDEAYTGSSIEDQSGNSGSDDSGRLDLADPMFLDLLKDENDGIAAKHWTSPTISSTLQAALNSPQSDRLKQSLKMAPRFLTVYFATALRDVNNCEEKLSDFLLAAFQRSPDIIALLKKPITDRLGEAHGNPAKMELALHLCWAIGEHGAGGIKHKDVARELFENLELLLYENLATSRLGLSQDPGFDSMGASSRKSSQARLLCFVVTAIAKLATCHNELLPRARVSLAKVARSRTSDRRVWQRACDYLGLMNEPAICLSVLGPSTAQGNGPGTVNWSEGGTKMVAHIPFYLLAEQKGPPSHDFSYADLLPAE >SECCE5Rv1G0299300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:15969056:15969537:1 gene:SECCE5Rv1G0299300 transcript:SECCE5Rv1G0299300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSNIGNLGLAAAVAVVSTSIILISFHLIRRFKDDTKLNNITAQEQQSKTIKKEMQFMEDVLADLSSDDEEFPSWLEGMTVTGGLNQSPLRRVPRFDNLADALN >SECCE4Rv1G0243430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:502270971:502280905:-1 gene:SECCE4Rv1G0243430 transcript:SECCE4Rv1G0243430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDDAPRRAAPGASTSPPPAPSSAGGSGDRKRGRSSPVLPPPPPGPPPPAAQNKRHRTEGGGFDRRRLGGGQDDRRFGNDHGGQGRHNNRAPDWHDSGRGGWNEGSGNSRREGLMSYKQFMQELEDDVSPVEAQSRYEEYKSEYITTQKKAYFDLHKNEDWLRNKYHPTNLESVMERRNEIARATANEFFQDLQSGNFDTGPGLTSSAANKSGNNDMNVNGKKGKLGKDPDDLYYSAPKAHPVSSEPRRISVDIEQAQALICKLDSEKGIENNVLSSSDDDKAGSGSHGSMGPIVIIRGASTVKGLEGVELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADSRTYDGPSSNTAEWEEKLDSFWQDRIQGQDPLEILKAKDKIDAAASEVLDPHVRKIRDEKYGWKYGCGAKGCTKLFHASEFVTKHLKLKHTDFVVELTSKVRDDIYFENYMNDPKAPGGTPIMQQSAPREKGRQRPPIESRLRDERGRRFDRNVDSSNPDGSSENPDDPMYDSYGDPAVHGAFPPDIPAPPMLMPVPGAGPLGPFIPAPPEVAMRMLREQGGPPPFEPNAGPRPRKAGRGGGPPMGGPSPILNAPLPIMHDPRMQDPRKIRSYQDLDAPGDEVTVLDYRSL >SECCE2Rv1G0102620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:580043896:580044968:1 gene:SECCE2Rv1G0102620 transcript:SECCE2Rv1G0102620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLHPPHLHFRKLKKRARAAIGGGSGSHRPATKARKGLAAILHKLRDVHRPPSSPPSPSPSPSTPHHRQLCYPPRPSTWPWPSCRHPRTSSFRARPEDAAAVVYRTANTVYDTPSEHFQRRPSLDEASCSGRNSVALSGQAALDREPEAEERKELQLRETAVVCGVRSERLFFEPAGAEFFSPTQAKTPGKAEDIITTDAAAEDEEATIAPASKTEQKPADYSELKAGAVVVSVESEDPYGDFRASMAEMVAAHGLRDWEGLEELLAWYLKLNATGVHGVIVGAFVDLLVSLATSPSPSLPSQSPSSSCITFEDYSSATFDDEEKC >SECCE3Rv1G0193620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:772850731:772851255:1 gene:SECCE3Rv1G0193620 transcript:SECCE3Rv1G0193620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVLRGAASLGGGHGQGGAGGGGGGGEAHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPVEAALAYDRAARTLRGAKAKTNFPDHAHHHHHRPHLQQQPVPFGGVDLNFPSPWHFVYFSPPAAAPAPRLPQEASPAVSVPPSTALELGMAPGPAGLPFDLNEPPSLLFGS >SECCE2Rv1G0109280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:674011664:674013152:1 gene:SECCE2Rv1G0109280 transcript:SECCE2Rv1G0109280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNGALFLLVCAAARAAASGGDGPLLNGNFEYAPNRSQMNGSRVMGEYAIPYWKVTGFVEYFESGTKQDDMVLTVPEGRHAVRLGTESSVQQQLSVTRGKYYSITFSAARTCAQSEKLSVSIVPGDAPGAELPVQTVYTSSGWDSYAWAFRAKQGVVSLIIHHGDDQLDDPACGPIVDAVAIRMLHPPHATHQNMLINGDFEEGPYMTPGSPWGVLVPPMDEDATSPLPGWKVMSGTKVVKYIDAAHFRVPHGARAVELVAGVEVALVQEVATVPGRSYRLQFSVGDAGNRCAASPMSVQVATAYGGKRVSYESRGTGGFVRDKLDFKAEGNSTRVVFYSTGYHTASDSTGTLCGPVVDDVSLVSISHPHARRLLR >SECCE4Rv1G0279340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:814004325:814018163:-1 gene:SECCE4Rv1G0279340 transcript:SECCE4Rv1G0279340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGATGSVLTKVGELLQGGYIRQKGVQKDVESLQKELLFMRAALCKVAVVPAEQLDEQVKAWASNVKDLSYDMEDAVDSFTVRVTASKDHGRSKILGMDKWDRFTARRELADMIAEMKDLTMQVADLRDRYKLDDISVMNTIEQVQEVKEVVSRNFQLWTWWRQCISYRIQEVSAGWHRGVSGLILAVNGSQENPSPGFGVANVEDPLGLRDDIKVLEVWLNNGKKSGVLYIIGDEGVGKTTVAQYLYNKFGHLFDRRAIVMASSSLPGDKAIVDEITIQLGSGLQTKEDQTSLRHLLLIDGVESEETWKKIKAGELVPIGCHSKTIVTTRHSAVASTWNEAEGDLKHTQTVGTKEEAKFIFMQALRESTRGDEAEDNIPPRVWEMCAKQPVAIIAMAGYAACNRGKSRDAWEEVCDKLLPESVKELTRDDIPRVLSHCYHDMSAELETCFLYLSMFKKGSSVSRKHLARRWIAEGFVGEKPGRSVEEVADDYFDQLVGRKLVQPVDTSKTGKVTNCQVYGMVHDFIVSKSSQENLVTVGGGDSPSPPPNGKVRRLSLSIPASPDEEDSGAGDGEIPYSSKNMPHVRSLAVFTARKPPSTLPVPPAQRLPSALLGSPIMQVLDLEGCTGVKVTTRHLKVICKMLLLKYLSVRRTNIEEIGKNIERLNNLETLDVRETKVKWLPQTIWKLQKLVNILGGDKKKFEPLKLELPPDAKAKDHPRKPDSATMKALRVLSGIEMTPELAGCLERLTNLRKLVIYKVTNTNSDKSKLELFRLGCLRTLVIIAGGKGNMSDLFSGHIQASSLTSLATLELCGKLEQVPTWIQQLTALRNLTLPITVLRSNPELLGELANLKKLFSLTFSTPTSPPPAPEGTSSTTENIESPLPIDKEIIFNSNGFANLKLLRFRAPLIQPLSFLDNAMPQLERIHLHFTNFQGLHGIHNLQMLKEVHIEVKSDAGDFTKSMLRNLLESAMQDDVKAPRIIRDWF >SECCE7Rv1G0504030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:718555965:718556393:-1 gene:SECCE7Rv1G0504030 transcript:SECCE7Rv1G0504030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAALVELKRLEIGRTAGLIHENVAVPMSILWQIPQYCFAGAAEVFTAIGQVEFVYGQAPDAMRSLCAALALVTVTVGSYLSSIILTLVSYLTTQGGDAGWIPDNLNEGHLDRFFWLMAGISFVNLLVYIGCAMRYKYKNV >SECCE7Rv1G0479850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:260042777:260043517:-1 gene:SECCE7Rv1G0479850 transcript:SECCE7Rv1G0479850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALMPSCFRRRARVPSPEEAREIERRKAKEAYDQLIDSLRPRPRTRRDRRRDRREALANEAAKREWIDEQKRLIAEAKQRSGVPHPCWNDDARDRRRMRAVVEELSAAFEAKKSQWMAAHQRSGLEEAPRSSTAVDQEEREQAEPDDAKIERAAPANGPGSYAEFCESAIEQNHLRGGGGGGCRRSDEYYAMRRQVLRRSRAALDEIIEPQPARATRKKEDRIRSFCSRLAAATRSTSQTGDG >SECCE2Rv1G0073420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:67548022:67549011:-1 gene:SECCE2Rv1G0073420 transcript:SECCE2Rv1G0073420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERKKAAALQEKMQILRSITHSHALSNPSIVMDASEYIKGLKQKIARLNQEIAREEDTHSHKQNSFPTVSVEALGHGFLVNVSSDKSCPGLLVAVLEAFEELGLTVLQATASCADTFRLEAVGGGENQAGSVDENVIRRAVQQAITNCGAEQGDQ >SECCE4Rv1G0214920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:2120788:2121165:-1 gene:SECCE4Rv1G0214920 transcript:SECCE4Rv1G0214920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAALNFDMEAGEHTAAARNGRRWVECMVCAVGLLTACMSAASAIYKAPAGIFVGHRWAYYASVVVAGVIGLAEACTAVVWLSDGRWQVQQQQQRFARRSLLCASLLPLAFMAGIGGVRILVKY >SECCE2Rv1G0078390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:107751434:107759305:1 gene:SECCE2Rv1G0078390 transcript:SECCE2Rv1G0078390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSCKRHKHDTGHDSSPGTQSPSSIINHIRSVRLRFLERFDELKYGSATEDYKATERKKHQLISTLEKLQQVPIKLPCVSTALETSDAGLHGAAQSGKSISSDDIIDLDKCDVGDHTHGNNKDNIGAQKTVILLDSDDEDMVKSSGDGNLSGSKQNTDLTQEGMPAEQPCQDQDIIMRNDENINSEAQLVVDPGKHSMGINNEPKGIALSDGHDTLEPQQLNKQGHDHINIYNESRDEEKETREGEGEDVQSKGHMENNNISAVDSYETSCEVKQSESVEEGNYNHIDTIDNPVDELDDLWKDMSVALAMSRTIGSDHSIVPSEKNSSEVVDDCHHDFLMKDDLGIVCRVCGLIQQRIENIFDLQWKKRNRSYRTYLQEPRNCNDLEVTTNPSGDILQVAPGTLSIHPQHSERMKPHQVEGFNFLIKNLADENNPGGCILAHAPGSGKTFMLISFVQSFLARYPAGRPLIILPKGILATWRTEFVRWQIKDMPIPLYDFYSSKADSRSEQLNVLNLWEEKRSILLLGYQQFACIVSDQTYKAEAVMCQEKLLKVPSLVILDEGHTPRNEQTDLLNALGSIRTPRKVVLSGTLFQNHVKEVFNILNLVRPKFLKTEQSRAIVKRVLSKVDMLGKNARSRNILVEENLQKDANDKMRAMIIESLRELTANVLHYYQGELSEELPGLLDLTVFLKMSTEQEEILRGLVGLGKFSKSAKCSAVSLHPCLKDIQNIKDKNRDIVVEKIGSIMRGIDIKVGAKAKFIYNLLCLSEAAGEKVLVFSRYVRFLIFLEMLVVNEKGWIPEVHIFSMTGESTPDQRDKAVERFNQSPDAKVFFGSIKACGEGISLVGASRVVILDVHENPSVMRQAIGRAFRPGQSKMVYCYRLVAADSPEEDYHNTAFRKEWVSKMWFESNDLCGNDDFELASVDVSESGDRFLDDEALRQDIKSLYKR >SECCE6Rv1G0388250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:131830820:131833206:-1 gene:SECCE6Rv1G0388250 transcript:SECCE6Rv1G0388250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYVTIQGAPRRREEKEERAAERRGAAAMATTPSLSSPLFLAAPPRARHVVSAGPSWSTANLPCKGYFAGMRRHGRKQQRSTPIVSLFGRKTAKKTTRETVVPDPDYRLPIAILGISGVFAYADNLLAAAPVGLLGLLLLFQTTRVRFVFDDDSLEVKVGNQLQESGENVFVGGKNRWKYSTFVNWELWWPQFPILVYFKETQTKPEGQIHFFPVIFNGRQLYDIMVERAGPSETSGPGP >SECCEUnv1G0568360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:425379076:425379708:-1 gene:SECCEUnv1G0568360 transcript:SECCEUnv1G0568360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNIYSLALVVVALVAAPMAAVAGDPDILTDFIAPEPMLGMPMNITGDYFTYTGFHPFPLPLATFSLTKASMAEFPALNGQSVSYARLVFPPGTVNPTHTHPRASELLLVLDGALSVGFVDTADKLYTKDLVAGDMFVFPKGLVHYQYNQGTTTAVALSAFGSANAGTVSVPITVFGTGVDDAVLAKSFKTDIPTIQKLKAALTPPKK >SECCE1Rv1G0032400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:455319035:455320370:-1 gene:SECCE1Rv1G0032400 transcript:SECCE1Rv1G0032400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKMNKRKRMVRGAAVFVTLAAIAVIVRAMIRKRRARITYGPMHERDRIRYDYLDQKIWQSDVLCKNMLRFERAAFFRLCGIMRDRKLLEDSPHLSVEQQLAMFLHTIGHNLRNRVISANFCRSNVTTSIYFRKVLHAIGELRNDYITPPSLETPTKIAGNHRFDPYFKDCIGAIDGTHVRAGVTKDVEHSFRGRKAFTTQNVMAAVDFDLRFTYVLAGWEGSAHDATVLADALTRERGLQVPPGKFYLVDAGYGAKPGFLPPFRGVRYHLNEWGNNPVQNDRELFNLRHSSLRVTVERAFGSLKRRFKILDDAKPFFTFPVQVDIVIACCVLHNYALSQGIDEFIIPEVTWTTQPIRTSRQQASDVRAVVDRRIQMAAQMWEDRQLMYANL >SECCE4Rv1G0273850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:780011967:780013877:1 gene:SECCE4Rv1G0273850 transcript:SECCE4Rv1G0273850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGRLVLAAFVAVALAILLPLAEAASLRGGGPFDSIFSFGDSFTDTGNNPIVFGWYNVFDVVMRPPYGMTSFGGRPTGRNCNGRLIIDFIAQGLGLPLVPPYLSHKGSFQQGANFAVGGATALNSSFFHIGDPPGANPFPLNTSLEVQLGWFEELKPSLCQTDQECKDFFGRSLFFVGEFGINDYHYSFGKKSMQEIRAFVPDLIQTIAMGAERVIEHGAKTLVVPGMIPSGCAPPILVTFEDADASEYDTTTGCLKEPNEIVKLHNSMLRDAIQKLRAEHPDVTIIHTDLFNHVMEIVKSPEKFGINKDDVLKVCCGGRGRYHYNLSVACGDEAATTCEDPSTHLFWDGVHLTEAAYHHIAEDWLNTIVSSLPTTASS >SECCE5Rv1G0309970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:162785835:162788674:-1 gene:SECCE5Rv1G0309970 transcript:SECCE5Rv1G0309970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASSSSSSYDSTDSSGSSSSSGSDRRVRRRHSRRKDAAPSTSSTSALKVRKDRKSRHKRRRRERRKSRSDDDSYSSTSSYDSDREASGRSRKRKKSSRSRKSRERERSKDRHHKRDKSKHREKKESERSSGPVQLSKFLGREKEESGKRSVISGKKIMMKLEKSKEDKAAESKRNELLKFLNASYD >SECCE3Rv1G0201140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:860255966:860263529:-1 gene:SECCE3Rv1G0201140 transcript:SECCE3Rv1G0201140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEPVDIEGVQVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFIMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAEEEEKLREEFEREGRKLPPKLQSQTCDSNVITPGTEFMAVLSVALQYYIHRRLNYDPGWKHIKVILSDANVPGEGEHKIMSYIRGNRNLRGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDEIIPKKPYQFLNIWTLREYLEYEFRMPNPAFKIDIERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPNMGGYLTDACTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIKRDKAQAKRGDDLDPHVRGDLIVPVARFQGSRLASGAVASPYEHNGRSTEKGSQAKKARVSSSDSSLSAAVVEAEISVEAQARENKEDLKSMLKVALRDKSDLFNSENPEEDKIKLGEPGWRERYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGQLNITFELGSPFKPFDQLMGVFPAASSHALPVQYRQLMTDPSSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDEARLLSEIKKVEHTLTPEEARRNSTMYNMLFVNGAHPISPYIYSLSSKFGHLPDNERNEIKEELNPEASGGMNGYISLCGGDPSPPVFRSPVDGLEDIMDNQVICSIYKLPDPHKHIARPPPGVIIPKKIVEAGDLKPPPVLWHEDNGRRPYDNNRKHCDNSSRQNPAGSIQGRQLGEAAHRLVANSLNVRGGGQYPPARPYQTIMNGMHYPNGMPPRMEQPAGRSGWHVPSDNLPNGQAPAYAQPSGHQYTRDNRGRQQPYARDSHSDSRGAGRHPSGYHQNSSNAYSSHTAPPSSGFGRYGQPPSYAGGYGGGAYQPAPYAGAQQWQQQPPHSSYSGGGAPAARPNSRPQQSQNRYSNLDRTSNRRPPGQGRY >SECCE5Rv1G0329950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:523239800:523240486:1 gene:SECCE5Rv1G0329950 transcript:SECCE5Rv1G0329950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCSANSIQHAATILISQASKKHQGNKSRGSRQRKAETETMDMEMAASSSAPGASYFIFNFSFAPAVVVISINVILVWLSALVKSASSSSSSSSPASRRSPAPAPAPEQEPAQPAPASGASKVDLDVVLGVMGAGGAASVGFEEAAALFEDEEATVEEAAAAFGVFDRNGDGFVDAGELRSVLTSLGFTAGVAAAECQRMIDAYDENKDGRMDFQEFLNFMERSSA >SECCE4Rv1G0222260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55544972:55545990:-1 gene:SECCE4Rv1G0222260 transcript:SECCE4Rv1G0222260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQAPAPAPSGYPAHGGQAARPQSTAVAVTAASNGAGNPYVQVTPASASPSTCQAIMKALGRYGKLLEDGTRKAADTTGNIWNHLRTAPNMADAAVARLAQGTKVYAEGGHERVFHQVFGGVPGEQLRKAYACYLSTSSGPVIGTLYLSTARLAFCSDSPVSYHAPSPTQPPEPMYYKVVLPLNQVRAVSPSASMWRPSERYIQVATTDNHDFWFMGFVSYDKALKHLSDALQRRP >SECCE6Rv1G0429680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737182885:737184479:-1 gene:SECCE6Rv1G0429680 transcript:SECCE6Rv1G0429680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDVASMFAVALFIGALAAAPTSVQSIGVCYGVIGNNLPSRSDVVQLYRSKGINGMRIYFADGQALSALRNSGIGLILDIGNDQLANIAASTSNAASWVQNNVRPYYPAVNIKYIAAGNEVLGGATQSIVPAMRNLNAALSAAGLGAIKVSTSIRFDAVANSFPPSAGVFAQSYMTDVARLLASTGAPLLANVYPYFAYRDNPRDISLNYATFQPGTTVRDQNNGLTYTCLFDAMVDAVYAALEKAGAPGVKVVISESGWPSAGGFAASSDNARTYNQGLINHVGGGTPKKREALETYIFAMFNENQKTGDPTERSFGLFNPDKSPAYAIQF >SECCE1Rv1G0031570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:444071978:444080516:-1 gene:SECCE1Rv1G0031570 transcript:SECCE1Rv1G0031570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAKQGAEEEAERLLAAAKLNPNDGGPFRSLGHHYARAGDAQRAARCYQRAVALDPDDAKAGEALCDLLDAEGKESLELAVCKEAAGKSARAFWAFRRLGYLQVHQKKWSDAIQSLQHSIRGYPTCADLWEALGLAYHRLGMFTAAVKSYGRAIELDSSRVFALIESGNIQLMLGYFRKGVEQFRSAVEMAPHNHSAYFGLASALLAWSKHCVTTGAFTWAANLLKEASETAKVCTSLAGNLSCVWKLHADTQLALARCFPWEDRHIKRGMDEQNFKASILQWRNTCLSAANSAKLSYQRALHLTPWEANIHIDTAICLDLICTMEENNSADPIAWELPEKMSLGGLMLEPINKDFWVTLGSVSSNQALKQHSLIRALQLDTSLSEAWAYLGKIYRQSGHKQLARQAFDRARSIDPSLALPWAGMSAENDHQSRAGAVNESYESCLRAVQILPLPEFQIGLGTIAARSGELLSPQVLMAVRQAVQRAPHYPESHNINGLVSEVRSDFQSAITSYQHAKFALDMMHRSKLDNRYPFVDVSLNLARSLCKAGLATDAVRECEELKTQGLLNVDGLQVYALALWKLGRHDEALSISRNLAENLSNMKQESATGALGFICTLAYNIAGKDSAASVIHTLPGQPSYNRELKFIISALDALQLSKRFQLPHLSTPPRLTSYDVMSEVHSNIALGKAIGGELNNCLGVEGGLSYLKKVLHMYPDCSLVRNHLGSLLLSSRDWTASHKAVRVTSLSHGHTSNRGLRSPHQIQACATMSCYATCTSYPKFSFPTCEDQYLSQYNGICNLQRLVHQEPWNQDARYLLVLAIFQKAREEKYPKHICTTLKRLVLQIMSSSSNSQEHKLILYEKYLLLLLSSEVSLQSDDYENSIAQVTDALRVAPPSVDTFFAHLQLCRAYAVQGDLSNSRKEYMKCLQNRTNTELGWVMLKQLESLCSVNSGSDEIEINLKECIERNGSNPSKWASLFNLACAQCFMRDEDFASAEKALAQACAEKDADSCILFLNGAMHMEIARRYAAPQYITRAASSLRKAQQKSQAAVPVISLLLAQAEGSLGSKAKWEKNLRLEWFSWPPELRPAELYFQMHLLASQSTAVAAGPQQKKLQLVETMQSPGAWLLRAIHQNPSCSRYWKALLQLVCV >SECCEUnv1G0554990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:295319749:295320384:-1 gene:SECCEUnv1G0554990 transcript:SECCEUnv1G0554990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEFITQGSLHNNFHSNNNKVALNLDAHLSIAAQLADGLAYMHSKVNIRILHGDVKPANMLLDDNFVPKISNFGISRLITRDKQHTGSVIGNMKYMDPVYLQEGLLTEKSDVYSFGVVILELISSRMAIRSENNSLVKSFLEAHKKQKKATEFFDKEIAITEDLELLDSLAVMAVECLSLDVDQRPTMTEVAERLHILSRSCKVQDVSH >SECCE7Rv1G0454370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2357496:2357876:-1 gene:SECCE7Rv1G0454370 transcript:SECCE7Rv1G0454370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRILILAAILLALASVQARGQSMPPSPAMAPAPATRGGNCTLNDAVALGVCLKLQPGRTSPADKNRCCHRIQGMQSPVDCLCCELQLGGGLVGGIPDRVNFVLGVCGMARIPNLVCLVGSVTV >SECCE7Rv1G0497920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:633508193:633508735:1 gene:SECCE7Rv1G0497920 transcript:SECCE7Rv1G0497920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMVTGFMSYGQQTIRATRYIGQSFITTLSHTNRLPITIHYPYEKSITPERFRGRIHFEFNKCIACEVCVRVCPIGLPVVDWRFEKDIKRKQLLNYSIDFGVCKFCGNCVEYCPTSCLSMTKEYELSTYDHHELNYNQIALSRLPISIMGDYTIQTIRNSSESKINKEKSSNSRTITDY >SECCE4Rv1G0255180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:644067018:644070253:1 gene:SECCE4Rv1G0255180 transcript:SECCE4Rv1G0255180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSTEKKTAAEVVAALELHRHPHGGFYLETFRDPSLALPKSALPPQYKVDRAVSSAIYFLLPAGEIVRLHRIPCAEAWHYYMGEPLTVFEVHDDGQVKMTVVGPDLRKGQRPQYTVPPNVWFGAFLTHDIESFTDDGSVFVKTPGRDPDLHYSLVGVTCAPAYQFEDDEMATRDGMKTLSPNAEAFINYLVPA >SECCE5Rv1G0343220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:636934102:636938760:-1 gene:SECCE5Rv1G0343220 transcript:SECCE5Rv1G0343220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNANAAAADQGNDGGEASGDQRAGTRHYRRRHSVEQIKILEAAYQEGRHPDEKRRLELSRTTALSPVQVQIWFQNRRNLGKSKAQKKETQEYQEENGRLQAEKQALLSAMQNKICITCRGEDTPERQRLYAENVMLKDAHMRVADFLKSVSGGRLQVINHTVVDTHAPLTLTDPNPAMVPDQGIARDNGETNGNAVMIQHVACAMEELKVLVGLGAPLWSLAEGGEVEVINYKEYLKMMFPGMYESYDMEICADASRKTGIISCTAADIVGILMDADWWSHTFPGIVASATTSKIITPGDSGHGQVQLMSAELRVLSPRVPVRKINFIRHCQRLEDNIWVVVDVSVDGIPGQDGAPSTYTACKLLPSGCHIHELDNGHCQVTWIVNMVHDEATIPPLHHPLFRSGWALGACRWIASLQRRCEYNTSLHTNPVLTLNNRGGAAAITPEGRKGVLDVAHRMTLKFYEAICGPGAQPWRRVHEWSGSCGVGAERYEVAVRVVTFPVGSGATVLRATSTVWLPGTPAQRVFNYLCDGDRRAEWDIAAKGATIRQEGCFGTGELHGNSVSLLRIIASNGANKKLILQESCIDASCMVLAYAPIDDQSVKDVMNGTHTPFSLLPSGVVVLPDGNAEPGAPPTSAMCSSSSSSSHRSNSGSLVSTMYQTLLSGQAPEHLTKAVAENVGNLLCQSIHKLKSAVHADVVIPA >SECCE1Rv1G0033260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:465043331:465046615:1 gene:SECCE1Rv1G0033260 transcript:SECCE1Rv1G0033260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKRASKEIDSIGDDLNSVSSSIGGGAKWMVNKIKGKLQKALPDLLKEYDMPAGLFPRDTTNYEFNEETKKLTVYIPSACDVGYKDSSVVRFFTCVTGYLEKGKLSDIEGMKTKVLVWTKVTTIKTEGSKVHFTAGMKKTRSRDAYEVVRDGIIIDKF >SECCE2Rv1G0136250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910863847:910865463:1 gene:SECCE2Rv1G0136250 transcript:SECCE2Rv1G0136250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKVGLKKGPWTPEEDEKLLAHIEEHGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLSRRTDNEIKNYWNTHLKKRLAKMGIDPVTHKAASGAPLGTAADVRSAKAAASLSHMAQWESARLEAEGRLARESTMRTAASTPTSIPLHPIHLPEPTTPPCLGMLHAWAWQGDPKLDSESPTSTLTFTNSVSLGISESNSAPWKRRSDEPEREAREFLREQQVQGVEGAERGDEHIIGCEEPWFQGTVGVGAGFADVLHDTLDCWGESSNGHAELSSQVSGDGESSSSYWSGILGMVVGSELPSSHPHPPPFL >SECCE7Rv1G0468910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104303055:104305824:1 gene:SECCE7Rv1G0468910 transcript:SECCE7Rv1G0468910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKKATVSTGGSAALAALHGNTTAQDSEESAAKHRTKKARTVEADTSLGGDMAHDHEPAKKPDTAKNFQKSDPDETVLVHAKEENEEVKEAELLIQRLNDLGIGEHVSDDDFNAYFDQLPSIPRIYPGAVELTGEEIDKQVVRFALYRFRSYRHKVKEEGKDDTLGLKDVSDDECDRQFLKKWKYFRQIEENWTLDWYFHPVYCEDPSLSDYQRLVLRNYGGTEYARWSDYHEFLCSHVVEEEYANYCDELFKQLQWMEVYLSARRPSYKWDCISSRGAFQAMKIAATTFPKISASLAYYGYYECIASMGYDNFWYKELDGVYFEIWRRVTQRMMSFREALEEVYNLDRFPLRQHRMKVALKDNYFMRDMKSEYHACTAALTPELKEDGAKALIAEGIRKQIKKPKYYLEYIRKKIHIARVIGIIPPL >SECCE4Rv1G0219980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:34311604:34320853:-1 gene:SECCE4Rv1G0219980 transcript:SECCE4Rv1G0219980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2754 [Source:Projected from Arabidopsis thaliana (AT4G36630) UniProtKB/TrEMBL;Acc:A0A178UXB5] MVHSAYDAVELVSGVPGRIEAVASHAGKLLVAASDCSLRIYSPPAPADGEIRRDGPYALERQEQRLWRRAPSAMEASASRDLLLSLSEWVALHRLPGLETVAVVSKTKGANVFAWDDRRGLLAAGRQKRLTVFRLDGGREFVEVKEFSVPDIVKSMAWCGDNICLGIRRDYMIINSVTGALTEVFSSGRIAPPLVVPLPTGELLLGKDNIGVFVDQNGKLIHDGRIIWSDTPASVVIHKPYAVARLPRHVEIRSLRAPSALVQTVVLRDVQKLVQTDNYILASLSNSVYGLLPVPIGAQIVQLTASGEFEDALALCKLLPPEDSNLRAAKESSIHMRYGHFLFDNESYEEAMEQFSDAHVDITYVLSLYPFLVLPQTHIIGDHDKLQDLQELARESSDATDEMEAYSLQLHDSDDKSPLENKKMSHNALIALVKYLQKKRNGIIDRATSEVTEEVVSGAVHHSLNLSEPYKAKKPNKKRPQTHRSSVAREMANVLDTSLLQALILTRQSPGAIELLKGLNYCDLKICEEFLKEKSDYMVLLELYRSNDMHREALQLLNRLVEESKSAMANADFSKKFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSDTIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSETGINPNLQNELVQLYLSEVLDWYKILKDEGNWTDKTYSPTRNKLISTLESNSGYNTDTLLKRLPQDALFEERAIMYGKMNQHLRALSLFVHKLHMPERAVAYCDRVYEEGAQQPSKSNIYFNLLQIYLNPKKAEKEIEQKIIPVASQYPGIQRVNSTTKLRGGRMGRKVVEIEGAEDTRFSPSGPDSGRSDGDGDDVSDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVSFLEPLLRNSSEHRRNYMVIKNLILRANLQVKEDLYKRRQAVVKIDGDSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGANSVKRR >SECCE5Rv1G0353790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:719725037:719730510:-1 gene:SECCE5Rv1G0353790 transcript:SECCE5Rv1G0353790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRPPSTPMSKIERAPTLTPGGSSKSKEEKIFVTVRVRPLSKKELAMKDQVVWEYADGQTILYKGPPQDRAAPTSYTFDKVFGQSCQTDMVYEDGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVSDIYRHIENTPEREFTIKISAMEIYNEDVKDLLRPGSGSLRLLDDPEKGPIVDKLDEQVAKDKEHLRHLISICEEQRQVGETALNDASSRSHQIIKLTVESRLREVSGCVKSFVASLNFVDLAGSERAAQTQAIGARLKEGSHINRSLLTLTKVIRKLSSEKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALTYVEQSRNTLFFATCAKEVTNTAKVNMVISDKQLVKQLQMEVARLQAGQRTTDHASPSEILIMEKDSKIRQMEIEMEELRKERDNARSQLEELRKKMGDKQGWNSFDSPQTARKCLTFSGSLQPSRMIKIRNSIRQSSTAPFMLKHEIRKLEQLQRQLEVEASRAIEVLHKEVECHKHGNQDAAETIAKLQAEIREMQSVRSENRDVEMITDEGNGSDLKDEITRLHMQGSDIAKLEAKLENVQRSIDKLVMSLPNAGTQCTEPSTKSNASKKKRRMLLPLGVSNLNRPNLARPPCSPDSSTRTLEPEVENRAPDSHTASHEDSGKATQAKSEDAGDISSRDEAPRNRGSGSVNMKKMQRMFQNAAEENVRNIRDYVTELKERVAKLQYQKQLLVCQVLELESNEGKPNDMEEDPQENAGCLQDGPESWERLFKEQMQHIIQLWDMCYVSIVHRTQFYRLFRGDTADQIYIEVEVRRLLWLQEHLAEVGDASPAAPGDELAVSRASSIKALRNEREFLARRMGSKMTEEERERLFIKWRVPLEAKQRKLQMASKLWTDPDDKAHVEESADIVARLVGFCEGGNVSKEMFELNFASPATKKPWLTAGWHPISNMIREKAQLW >SECCE2Rv1G0080450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:130019481:130019948:-1 gene:SECCE2Rv1G0080450 transcript:SECCE2Rv1G0080450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEENLDRVQDKEAHEIEVEKLKKELDSLANQYNQLVDDVSKLFDYRDGQKSHDMDYTSQAINELKEKKHQLDEQAKIEVQMEKLKLKKEQRCILQSQADIIQNTRKAMKEIQVERDLLKQEKKKLEHVISELVKVGHGCKKKLDKIKEVVMEE >SECCE1Rv1G0037860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:531554331:531558491:-1 gene:SECCE1Rv1G0037860 transcript:SECCE1Rv1G0037860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHGEEEAATLEFTPTWIVALVCSIIVLISLLAERCLHYLGKTFKRKNQKPLYEAILKVKEELMLLGFISLLLTVCQGKIQNICIRPSWTLHMLPCQGEDEVRAGEGAPTKEHLVTAQIIGRIGRRLLSGGAAEADVCRSKGKAPLMSLEAIHQLHIFIFVLAITHVVFSVLTMLLGGAKIHQWKLWEDSIQKDNAGNGPKKVNNVHNFEFIREHFKGIGKDSRILSWLHSFFKQFYGSVSKTDYTTMRLGFIMTHCRGNLKFDFHKYMLRVLESDFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFIPLILLLAVGTKLEHVIAQLARDVAEKHSAVEGDLIVKPSDDHFWFGRPRIVLFLIHFILFQNAFEIAFFFWILTTYGFNSCIMGQVGFIVPRLVIGLIIQLLCSYSTLPLYAIVTQMGSFYKKEIFNEHVQQGVLGWAQKAKMKKGFKKSNGAAESTSTAGSAGPSAKVEMTKRPAREGNDAGESIE >SECCE1Rv1G0050810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:649481293:649481703:1 gene:SECCE1Rv1G0050810 transcript:SECCE1Rv1G0050810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE6Rv1G0381980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:36930917:36937986:1 gene:SECCE6Rv1G0381980 transcript:SECCE6Rv1G0381980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAPLLVLGSEGHRGRGKLAEGKEHATAASNKKMTSWRRRCRCSACSGTLTASTFCSWWSARWGAVGNGVAGSLMLVVFGDAINSFGESTTSTVLPAVTKVVLNFVYLGIGIAVASFLQMSCWTMSGERQSARIRSLYLKSVLRQDIAFFDTEMTTGEAVSRMSSDTVIIQDALGEKGGKLVQAVSAFFGGFIIAFTKGWLLTLVMLTSLPLVAIAGAVSAQLLTRVSSKRLTSYSDAADTVEQTIGSIRTVVSFNGEKKAIEMYNKFIKKAYKTAVEEGLVNGIGMGSVFCIIFSSYGLGFWYGGKLIIDKGYTGGKIITVLFAVLTGATSLGSATPSISAIAEGQSAAYRLFKTIERKPEIDSDDMSGMVLENIKGDVELKDVYFRYPARPGQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGVNIKNLSLDWIRGKIGLVSQEPLLFMTSIKDNIIYGKEDATLEEIKRSAELANAANFIDKLPNGYDTLVGQRGTLLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEAINRILVERTTLVVAHRLSTVRNVDCITVVHQGKIVEQGPHHALVKDPNGAYSQLIRLQDTHGDERRKIQDSEVPNSLSKSTSLSVRRSMTNVSFGNSNRHSFKNTLGLSVELHEDAITGEQNNEDLPDGKTLQKEAVRRLFYLNKPEVPFLLLGAIAASVHGVILPLYGLLMSGSIKSFYEPPDKLRKDSSFWALIFVVLGIASFIAITAEYLLFGIAGGKLIERVRTLSFQNIVHQEVAWFDNPSNSSGALGTRLSVDALNVRRLVGDNLGLIVQSTASLMAGFAIAFTADWRLALIITCVIPLLVAQGYAQAKFLKGFGEEAKEMYEDASQVATDAVGSIRTIASFCAEKRVVTTYNKKCEALRKQGIQSGIVGGLGFGFSFLVLYFTFALCFYVGAQFVRQGKATFADVFKVFFALVFATVGVSNASALASNATKAKDSAISVFSILDRKSKINTSSDEGMMLENVTGDIEFSNVCFKYPSRPDVQIFSDFTLHIPSRKTIALVGESGSGKSTIISLLERFYDPDSGSISVDGVEIKSLRISWLRDQMGLVGQEPVLFNDTIRANITYGKHGEVTEEEVTGVAKAANAHEFISSLPQGYDTLVGEKGVQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERIVQDALDRVMVSRTTIVVAHRLSTIKGADAIAVLKEGKIAEKGNHKALMRIKDGVYASLVELRSNSK >SECCE7Rv1G0485010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:354529624:354530232:1 gene:SECCE7Rv1G0485010 transcript:SECCE7Rv1G0485010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGNQIQTSSMGSAPSRSSPRQWSWGSALAGAVTTAAAAGVLVCRPRDPSFELISMNLSTFHFRPPAALDIGLTLTVHATNPNVVPVRYGASNVSILYGGALLGTARLDAGQQPATSCRLLHLPARLDAMELAHHAGAILADATRRHMELDAVVEIAGEAAVLLWSRRFSVRIDSHIVVDPVFLEVVEQDNRSEMQLYLT >SECCE4Rv1G0256040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:653643833:653644066:-1 gene:SECCE4Rv1G0256040 transcript:SECCE4Rv1G0256040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHHEIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE6Rv1G0421810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:686817097:686818457:-1 gene:SECCE6Rv1G0421810 transcript:SECCE6Rv1G0421810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAASSWQVALLVVALCVLPALPAASAARAFFVFGDSLVDNGNNNYLMTSARADSWPYGIDTPDHRATGRFSNGKNVVDLISEQIGSVPVLPYLSPELDGENLLVGANFASAGIGILNDTGIQFANIIRISKQLTYFEQYKHRLAKLYGPERAARVVGGALTLITLGGNDFVNNYYLVPYSARSREFSLPDYIKYILSEYKQVLRRIHGLGARRVLVTGVGPIGCVPAELAMHSLDGSCDPELQRASEAYNPQMEAMLNQLNAEVGGAGGNGGGAVFVAVNTRRMHDDFIADPKAYGFVTSKEACCGQGRFNGIGICTMVSSLCANRDEYVFWDAFHPTERANRLIAQNYLSGSTDYISPMNLSTIIHLDRHLHD >SECCE7Rv1G0483000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:317014373:317017240:1 gene:SECCE7Rv1G0483000 transcript:SECCE7Rv1G0483000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTGSPSPSPPPPAATVLRSTPPSCRAANHVMFRQKLSFMVAFQTQHLKYAPRLIKSVVKGIRSNITDGDNGTAEPARELMEWLLAKTQSLDTGASHDSELSMSIEVPKSEFKGALSILKKKQKDLRNAEKRVSDDQIREEAIHRAYVRQQGIEKALKKARQDKTIASSQALHSQKVIEVENLKRDMFKKNEEADLMRSKIRSKEQLLVPANEAVAQQEATLRELQSEIKRKTIDIARSNESRKTNEEKLKVAEQELEKQSLGWLAAQQELKELAQLAFKDTDDINGIITGFKCVRSLLDVVRCELISSKDAFASSRKQIEDQAVQLQKQALELEDQRVLLMSHTHDLEAAQLEIQEKTQELKYAQSRGHELESQLLQEMEKVEQSLEHRTEEVGFLQKELVQKENECTKSQELAKVKEFELSEARQEVQDMKLKVESIQLAVLENDSELFDTQNRLTEVSSEVVQLQQLLNSKKDQLVHARTELHDKEQHIETLESELDIIRLRCSHAESLVQRMVELTGDLASSLKSGEMDTYALLDDEISSTCTTLEECLRHKDMDLRAAHEALDVKDQELKALLKKWDVKERELHESEELPDPSATNELAGFSSKTTEGGIVGEMELSELQIEAAEVEALAAMTALRKLSDMTKNFFKHGEADSGIDLVASKSQKISKCDPKMEVHRKMDVILEAEKEIASLFLLTKQMVTDDIINNVEE >SECCE4Rv1G0238180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:369778641:369820602:-1 gene:SECCE4Rv1G0238180 transcript:SECCE4Rv1G0238180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPVPGRAFDRFVQRGSAVAVPASSGNTVFKSGHLFISSKGLGWKSWKKRWFILTRTSLVFFKSDPNSLPQRSGEVGATLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKAALEEALAQAPNAALVMGHNGIFRNDTCDAYEITAPNWREKRPVKSLVVGRPILLALEDIDGSPSFLEKALRYLEKHGIKVEGILRQAADVEEVDRRICEYEQGRTEFAPGEDAHIVGDCVKHVLRELPSSPVPASCCTTLLEAFRLETKEARINAMRSAISETFPEPNRHLLQRILKMMYIVASHTMENRMTASAVAACMAPLLLRPLLAGECEMDEIFDMDGDDSAQLLAAANAANSAQGIVATLLEEYEIIFDDDRLVRSSPLPGSQIEDSGSEASTDDVNTDTKDNGFHDAENDVDQEMDNDNGAERLLSGKLSESSGYAGSDLYDYKVHADDSDADCSVDDKGLEEKTDLSKVPKIHSSENGSKNMEMPLSEKNPSNPTSGHETPLSMGEILSSLDPGITLPSNSLEYSADRHSNKTNGSHSHVKRSNFWGRNSARKSQHSESVDSSGEEELVIQRLEIAKNDLQNRIAKEARGNAVLQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRSALEVGLSMSSGQFSSPRAMDSKTRAELEEIALAEADVARLKQKVAELHLLLNQQRQQQYGPSLVADDRYHCNPGHFSQQNFVQPGFDMNLAFCNQEKHRNEESSVDASQWRNIKQHVLPYGSSRPLTRKLSLNASSSDSRGMEASTSMSSESTSVVINIPKLAEGVGYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYKPPSPWNSPR >SECCEUnv1G0529350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7433032:7433526:1 gene:SECCEUnv1G0529350 transcript:SECCEUnv1G0529350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVALALLLLFTLLTTTHRFADGQSFCRSQISLANEACSLRNFPGSRPYVPRQLLNETSTPSTTPANKDYELRSRDDDDDDDEGGEHEGRHHRRQRHRHSTEMDPLDTACCRRLMAIDNSCICQASARLPVFMTSVWHVIKLTPVEGCEVSFECPGALSPQG >SECCE3Rv1G0159920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:100481145:100481924:1 gene:SECCE3Rv1G0159920 transcript:SECCE3Rv1G0159920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILESPLMGEFIGYLKANWDRNSRVSERRRRLRQLVAMVRGVADAAEARVAVRGSSLDRWLHQLRKDALRGQEVLDATTDPSAVVGSAKNFLAGLKSLFVCSAEVDRLTDAVDALERLAGPGADLDIFLKVLQLDVAMDVDDDAAPAPAPVSAAHYVDQGSYFVATAPGAKRKRAGSSSVDQAGDGDGDVDGETASHSHGRGAVDRAYRHKRRALACKRHTTSSGHPPVAVAMAMARVRRRIRTPNLGQLPFSRISLQ >SECCE5Rv1G0367170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819577830:819582990:1 gene:SECCE5Rv1G0367170 transcript:SECCE5Rv1G0367170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLTRPLVRDSEANLHAKLAPSGSGSGLRQACKKLRDLTTVDRAVLVAFVASIGNLLQGWDNASIAGAMFYIKEEFKLDSMPMIEGCIMAMALFGATIITTLSGMLSDKFGRWVMLLTSAVLSFVSAVLVIFWSQHVYMLLFARLIQGFSIGLAVTLVPLYIVETAPPDMRGKLSTFPQLSGSVGMFLSYCMVFWMSMLPKVSWRIMLGIQLIPSLIYSMLIIFYLPETPSWLVSQGRVDEAKMVLQKLRKKEDVSGEMASLLEGTKVGDTPSMEEYLISTNENMLREKMIGNDEIIKLYGLPEDLHCIAYPLKRTNTEESAIGHSVSRGASFYDPVVNIIGSMHGLPEAAHGIFNELEQQGPIEGDEENQEETKDHELEHNRDDTYDSEHDYLIQPKPTNINDFVVCRKSGHIGGGWQLAWKMSSGYRLDGQMEGGMERVYLHEGGVPSFENLLDAPIDGNLIQATALVNKSVFHKSGHNIGIHSPNKDYRSTKWKDLLEPGVKRALVVGVGIQVLQQFAGINGILYYTPQILEQAGVGVLLSKFGISASSVSILMSALTTLLMLPFICMAMWLMDRTGRRRILLVTIPILVVSLVVLVTVNIVNLSAELHALLSTMSVGIYFCIFVMGFGPIPNIFCSEIFPNKVRAICLAICSLIFWICDIIVTYTLPVLLRYIGLAGVFGVYAIVCVLAFVFVCLKVPETKNIPIEVIAEFYALGGSGTQIIQERQKENSEKLLVCQLGY >SECCE6Rv1G0432320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:750999303:751001227:-1 gene:SECCE6Rv1G0432320 transcript:SECCE6Rv1G0432320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECSEDRCWEDLTRDALGLIFCKLSLQEILTVVSVVCKPWSKVVSGSDCWQDIDIQEWSQQSEPDQITSMVHMLLTRSAGSCHRLSVSRLPNDSLFAFIADNAQSLKTLEIPRSKINDFIVEDVAQRLTKLTFLDVSSCTKIGARALEAFGKNCKSLVRLRRVMHPMDVAGKVCHNDEARAIACNMPKLCHLEIGYMIIVTTAVIEIASQCQDLKFLDLRGCWDVDGKSLQAKYPGLKILGPAVDDCYENNFWDECSDDDPIGAWDEFVDDDYFTIGSDDEAIWDDDHALE >SECCE4Rv1G0234150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:268185139:268200244:1 gene:SECCE4Rv1G0234150 transcript:SECCE4Rv1G0234150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAESVPETSVHEFTVKDCNGKEVCLDTYKGKVLLIVNVASKCGFTETNYTQLTELYQKYREKDFEILAFPCNQFLRQEPGSDQQIQDFACTRFKAEYPVFQKVRVNGPDAAPLYKFLKASKPGLFGSRIKWNFTKFLVDKNGKVINRYATATTPFSFEKDIEKALEEEPSDSQK >SECCE2Rv1G0107930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:656224499:656227300:1 gene:SECCE2Rv1G0107930 transcript:SECCE2Rv1G0107930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSGGEMEAVEKAMRDKRMEEREKREEVMEERMAKKEKEEEAEKAKKAAMIKAAKEFVEATGRKILEKRGEPQDPWDQFRGFWTSVWGEGGYFGKFEDETTIPPMRFTFSGDGSTKNTLQFFSVKVAEIDQSLQWPLDVYGFFSVRDVVDHKRNMIFSCDRDNCQTISQEDPYLTLTGPTRAVVVSSDPSYFEIELKVKGTAESEDKYLSRLVMTYRTGFVDRSFTSELSTLEMAFKEIIRSVEATISVKVVGGSWPDGFRGVFCASIDDDIAGLKVKLLECGDDRLPLDADGNIKLRCNVVSVGLEGLLRISVMAHCINGYQVVESRCREDVKSPEVVFEPRRSGTSSNTELKIGSCRMEITVNWSLFSYQL >SECCE6Rv1G0424390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:700283489:700287088:1 gene:SECCE6Rv1G0424390 transcript:SECCE6Rv1G0424390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRESTATSGPNYSPFYVQHRGMGPPGGVPGGLHGPPAAGYRQQQLDAVSGGYAFHQPPFGAPAQIGQQGYHQNHVEASQHMAQQHSQHMTQHSVGGGGGGGSQHMAQHSAGSGGGAGGSQHMAQHSAGGGGSQHMLQHNTGGGADGGMDIGMGVVAVSGDAKGDQGGEAGQDEQVKKKRGRPRKYKPDGSVTLGLSPSPSTPHSSSPGMGTMVTTPGSGFGPGTGSGGSGSGALTEKRGRGRPPGSGKMQQLASLGKWFLGSVGTGFTPHVIIISAGEDVAARIMSFSQQGPRAICIISATGAVSTATLHQDSDSGAVTYEGRFEILCLSGSYLVLEEGGTRTRSGGLCIALCGPDHRVIGGSVSGVLTAAGTVQVIVGSFMYGGGSKKNKGKADQDVENEEQNGGGGGEDTPTLALPEHPHNMPPHPMSGWPPGLMNQMDPRSSPMYGGSSNQQNKTKAEQEMEDEERNGGGGGEEPLAMAHPEHSMDPEHNMNMPPPRQMGGWQPGLMRQMDSRSSSIDINSIRE >SECCE7Rv1G0494350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:581732707:581736946:-1 gene:SECCE7Rv1G0494350 transcript:SECCE7Rv1G0494350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCGLIPAGDEEEGAALVPPQEDGEAPRKVVDGKAADPAVEPSSPSTATTTKRWWKTSLLSPTKGAVGLVIGGLLLLALLAGARWIDLDASFLRGNGSGSGGTSRRWRHPPRRQRSSPPVPIPFSCGNGTSSSSTPLCRRPRGGMPPPAASPSPAAPERPVPPPPSCPDYFRHIHSDLAPWREKGISREAVERGQPNAAFRLTVVSGRAYVETYHRVFQTRDLFTQWGIAQLLARYPGRVPDLDLMFNCEDMPELRAADYRDTSAAPPLFRYCKDGTSLEVLFPDWSFWGWPEVNIRPWGPLMKEIAKENARLPWPDREPYAFWKGNPYVSDARRDLFRCSNDSAAGKDWNARLFALDWGAANRNGFKGSNLAEQCRYRYKIYVQGRSWSVSEKYILACDSPMLAIDTPFEDFFSRGLVAGRHYWPIDPKDKCRAVKFAVDWGNAHPALARRMGEEGSGFAREEMSMDYVYDYMLHVFTQYAALLRYKPTVPENAVELCPETMACSAQGRDREFMMESREMYVAGYEPCTLPPPFTAKEEREMAAREEDVRRKVVKLEGR >SECCE2Rv1G0078050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:104145065:104145979:1 gene:SECCE2Rv1G0078050 transcript:SECCE2Rv1G0078050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVLQLRSADGKVLIAPAWDYRPAAAQARPLEMRVPSRALERVLQYWTKHSLAKATGESRESLARWDADFQRRLEEDGLAKEAAAAAQELRRHGVDHGGRPHRHAATAASDVAAPVKAARADPVRAWCPIVHHLKGVNHGERSHAPAMTGAFHVSDIAAACPGPATTLVAAAGAEPVGVRCAIRARGRQMAEDEESACHHRKRPASKAPLCLPATAVAPVKKVSRPIASKVTPAVVSRPVTPLPVSDVAPVVKKMTPAVSTLRARRGMRELSCKVPKQIHVTAAAPKKQPIPWLRPVVLRPC >SECCE4Rv1G0283900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:837017676:837020757:1 gene:SECCE4Rv1G0283900 transcript:SECCE4Rv1G0283900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGKPPLPYAYKPLPSGAAADADGERTGGCTRWRVCATLLTASAMVVVVVGATLLAGFRVDQAVDEEAAAGGFPWSNEMLQWQRSGYHFQTAKNYMSDPNGLMYYRGWYHMFFQYNPVGTDWDDGMEWGHAVSRNLVQWRTLPIAMVADQWYDILGVLSGSMTVLPNGTVIMIYTGATNASAVEVQCIATPADPNDPLLRRWTKHPANPVIWSPPGVGTKDFRDPMTAWYDESDETWRTLLGSKDDHNGHHDGIAMMYKTKDFLNYELIPGILHRVERTGEWECIDFYPVGHRTSDNSSEMLHVLKASMDDERHDYYSLGTYDSAANTWTPIDPELDLGIGLRYDWGKFYASTSFYDPAKKRRVLMGYVGEVDSKRADVVKGWASIQSVPRTITLDEKTRTNLLLWPVEEIETLRLNATELSDVTLNTGSVIHIPLRQGTQLDIEATFHLDASAVAALNEADVGYNCSSSGGAVNRGALGPFGLLVLAAGDRRGEQTAIYFYVSRGLDGGLHTSFCQDELRSSRAKDVTKRVIGSTVPVLDGEAFSMRVLVDHSIVQGFAMGGRTTMTSRVYPMEAYQEARVYLFNNATGASVTAERLVVHEMDSAHNQLSNMDDHSYVQ >SECCE3Rv1G0200430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:853659756:853660538:1 gene:SECCE3Rv1G0200430 transcript:SECCE3Rv1G0200430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRRRRRQPSSPSPAAEHPLEDDDLLREILLRLPPQPPYLLRASLVAKRWRHIAIDPKFLRRFRVHHRKPPLLGDFSYEDGKFSFRSTLDLPYRIPPDHFSLQVHGREPWRLVDCRHGRVLLINRERRQVIVWDPVTGDSPLLSVPPEFEDMHTAAVLCAAGEQGHAHGACHSSPFKVVLVDSYAHDDEAVVFVSVYSSETGIWSDLLSTPLASKGIAVGNRSTLVGNTLHWLLLMSDSILEFDLDRQTLAVIDRFG >SECCE7Rv1G0517520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:854738356:854739105:1 gene:SECCE7Rv1G0517520 transcript:SECCE7Rv1G0517520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGTVDDLRPPGPPRRLGVRAFYLRLSSSSSYPSTSPPPAELTLVYRPAIGGAALELAGRALPPACPAEATLHLVRGVADGADDAPAYASADRVSAAEGARFEVYAGKELAAEGAFFARRLDGGGWRVECRRPAGSHLRVAEVLVLAEGGVLIRARAKAARRVGCATPLEGIPEEDASSWGSCECGDEWQMVGDSSSDDDDDGDKLKEEEVEAETMRWALEMGAWAVCVGVGLLATARRFSRRRAALR >SECCE5Rv1G0327300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:491167438:491169633:-1 gene:SECCE5Rv1G0327300 transcript:SECCE5Rv1G0327300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNASFVLLILACLWLCPRRGSGLSWNIFSSSSSSAAGDRPPMMELDGAVADFSMDVTNNPRGVKLLENARNKLAGPRNCWQEAYRKLFASCGEIMADKERQARLAWHLSSCYQEDSGRPSFPSCGAGSKMVHCRKKLGDSESKVFLEFFLETNTLCHQLQAEAFKHSTERLVNDLTRSSKSAEEKLEVIEARSEEIIKESGKVQDALSSMEAQADRLAETSKGVGAQIDDVLAHSRAISDQSKEIAASQAELKEGQAEMRKKIEAGMERIQESYDQLGDGMGKLTEEAVGIQKEIGTVGDLMSSKMLVLQGTADEIGSVAGKSLENQMQLLDGQSKAMDGLTSLHAFQSQALEESRETLEKFALFGQRQQEELLSKQEQIRQAHDHLIQNSHSILDAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGQLYFGLCITLLLEMGLIKYAAGDFDNQFWVLSKVFLVRLLFLAVATIQILHSIFTFRDYEVLNHRLLQTLVEKVRTLEENAGDRMLPYGSESEESLRNYSWVIDELTDEADSTADPTYALPEQTSRRYNNALPEEVAENSITASGSRRYNLRQRSKQ >SECCE5Rv1G0364600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802230378:802231211:1 gene:SECCE5Rv1G0364600 transcript:SECCE5Rv1G0364600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVDKPLFTATFNVQSSSADYVTFITGIRNKLGNPRHFSHNRPVLPPIEPKVPPSRWFHIVLKTSPASAGLTLATRADNLYWEGFKSSDGTWWELTPGLIPGATYLGFGGTYRDLLGDTDKLTNVALGRQQMADAVTALYGRTKADKSSGPKQQHAREAVTTLLLMVHEATRFQSVSAFAAGLLHPKAVEKKSGKISNELKAQVNGWQDLSEALLKTDAKPPAGKPPAKFTPIEKMGVRTAEQAAATLGILLFVQVPGGMTAAQARELFHASGGK >SECCE4Rv1G0248400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:571242839:571245841:-1 gene:SECCE4Rv1G0248400 transcript:SECCE4Rv1G0248400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRSLRPAEASAGAAAPGEVRGNVDRVLFKDLVDMVPLVESLMDRRTNPSYSRRASLVYTPAPAKKGGDLKSAKTPQTVSAKKRRDPGDAGNKSTPDSNGDNGSVAPMTQSGAENKPKDKDEIGLLREQVDELQKQLVEKEDALRSAESTVSEMSAVYSTVDGLKRQVAEKEAIIKYANSQLQNAKVLLADKQASLEKLEWEVKTSNKKVEDLQGDVSSMEFEISSFVTLFEKISENVSDDCHDGSIPSYDLEALQSVSEIDKIEVEKIEQERVTYAEALAAARANPNEEHLSSVAEARSRLQVLVVQ >SECCE2Rv1G0087990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:236616384:236617290:-1 gene:SECCE2Rv1G0087990 transcript:SECCE2Rv1G0087990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G54270) UniProtKB/Swiss-Prot;Acc:Q9S7M0] MASTIMAAASRAVVAKTPFLSGQGRAASASPLRNIAAAANGRITMGNDLWYGPDRVKYLGPFSAQTPSYLNGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCVFPEVLQKWVGVEFKEPVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAVLGFQVLLMGLVEGFRINGLDGVGEGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLFDHLDDPVANNAWVFATKFAPGS >SECCE4Rv1G0263810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718136549:718138586:1 gene:SECCE4Rv1G0263810 transcript:SECCE4Rv1G0263810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSRERRAAAGDGGQAAARPAAGPPHYEPDEERRLAEEVLYLHSLWRSGPPAPAPAPAIALAPVQPAGGSRATRASAGGPRPTRARPNRRKRRRTERPAAEPEGPGADWPLAPSAPASQSPASWTDATPAPRPPPPQQQPSPASLAQRDALRAAEEFFSGRGASGDDESEGSETEDGEDSAAGFFLGLFERDAALRGYYERSHEEGEFRCMGCVGRKGKSQSRRFRDCVSLVHHARDARRCGRPLAHRALVAAVCRVLGWDINRLPSIVIDPRGTLGQALLAREGERAAAATAEEAKDNVDAGENGHKDAAKEDVGLEKNGSLTDDKKEDVDSIMNDAPLSDGNAAKDDVAAGKISSPSKDNGGEVHEQENDDTGTVEKEGAIGNKQEHPKSADDMGGTCNVRLENNSSKEEADTENKEEHTDGVVDSGDDIGNLGNSLMDSSKVYSCTHDIWTSR >SECCE2Rv1G0136680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:913358066:913359869:1 gene:SECCE2Rv1G0136680 transcript:SECCE2Rv1G0136680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAPMEKLGANPANSCPLTPLGFLERAATVYGDCPSLVYGDTVFTWSQTYRRCLRLASALSALGVSRRHVVSVLLPNVPAMYEAHFGVPMSGAVLNTINTRLDARTVAVLLRHSGSRLVFVDPALLPLLDDALRLLPPEHPAPRVVLVDDPHEKDQFPPAMATDLTYERLLEMGDPEFKWVRPTSEWDPMVLNYTSGTTAAPKGVVHCHRGIFLVTMVSLVDWAVQPRPTFLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVDAKNVYAAITDHGVTHLCGAPVVLSMLANAPEGVRNSLQRKIRIMTAGSPPPAAVLHRVEALGFEVSHGYGLTETGGHAVSCAWKGEWNKLPASERARLKARQGVRTPGMAEVDIVDAETGRSVPRDGATMGEIVLRGGCVMLGYLNDSEATKAAIRDDGWFYTGDVGVMHPDGYLEIRDRSKDVIISGGENMSSVEVESMLYGHPAVNEVAVVARPDEFWGETPCAFVSLKEGAAGAVTTAEVIAWSRERMTGYMVPKTVVFSTELPKTSTGKVQKYVLRKLAREMGPTRRGSSSSSKM >SECCEUnv1G0561280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:353303872:353304450:-1 gene:SECCEUnv1G0561280 transcript:SECCEUnv1G0561280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSTDMKDRNMLFAAIPSICASSPKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNEVIPEESNEQQRLLRISLRICSTVVESLPTARCAPKCEKTVQALLCRNLNVKSATLLNATSSRRIRLQDDIVTGFHFSVSERFVSGSTFKASTIDLIREGLIVLRKVRVGGSI >SECCE2Rv1G0085880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:197485694:197487046:1 gene:SECCE2Rv1G0085880 transcript:SECCE2Rv1G0085880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTLPHLPDDVLVEILVRLPARYIAGCRAVCRAWRSAISHPIFDRVHSSRPTAVAEITAEHKIERHGVEGDWIKCPSVNRVIVFDFIGVGRQRTPFPRVLCFTSALLTSVMGSWDGVVCLQRSEWHRRPYFFNGIEWPPHPSYGNGFHIHQYVLWNPLTMACATVGPPSHEGCIIGGYAHPETRRFHVLHASGETDHGPCHIIRPTIFRILRVGDAVWRELRLQEETSADADAAPPRIIMNRSPRCVRLHDNLHWLVESIGLGTSTVRLLVLDTTREKFWSMETPERHGRPFYLVTTRISVLPGGKLCLFSVEQFSSTMEAWLEVWVLEDYPCGPRGSCRERWRLKERISLVTWEGFDLRTFFEANQIEMVADGEEGEEVLRLKQGNGRIDAYNFGRKAWRTVVWATFVRKDLVMHRESVLQGQASFGSATRPLWKYVDWYGQRFYCLE >SECCE5Rv1G0338950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604304747:604306444:-1 gene:SECCE5Rv1G0338950 transcript:SECCE5Rv1G0338950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRRAGAAAHLLRSARSGPAKHARMALEQMPPEHAAFPCRARADHLLGGMRGQWLCTATGPTGGPYLAVDQHFTVVPGPGPDVSEAAGLTGAHDLAMDQDFTVVPGPGLDVSKEAERVCRVLSTVPEPRVASALDALGASVSPQLVAEVLKNLSNAGILALAFFRWAERQQGFVYTAESFHNLIEALGKIKQFRLVWSLVEAMRCRGLLCKDTFRLIVRRYARARKVKEAVETFEKMAGFGLKADLSDYNWLIDVLSKSKQVKKAQAIFKEMKRNGKFVPDLKTYTVLMEGWGHEKDLLMLKSVYQEMLDAGLKPDVVAYGMLISSFCKSGKCDEAIKVFCEMEANGCMPSPHVYCMLINGLGSEERLDEALKYFELSKASGFSMEVPTCNAVVGAYCKASKFQHAFKMVDEMRKSGIGPNSRTYDIILHHLIKSQKIEDAYNVFQGMGKGGCEPQLNTYTMMVSMFCSNERVDVALKVWNQMKEKGVLPCMHMFSALINGLCFENRLEEACVYFQEMLDKGIRPPGQLFSNLKEALIEGGRISLAQEMSFKLDGLRKTPMRR >SECCE2Rv1G0120880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:803035660:803036673:-1 gene:SECCE2Rv1G0120880 transcript:SECCE2Rv1G0120880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLTHDFEMTNCHLFDTGVRRYVRSSRFSVGGQNWFIGLRPNGLNHASANLFCVDPTQDVRARFILNMLEKEGTASLITNHGAIEHVFSPKSDCRGYFKFVEKSKLEPSAGDSSGHSHSLTVRCDLTVITEPDVVVDRKNNLCLLPRPDMAGHLHQMWKGERGADVMFIVRSESFRAHRSLLAARSPVFDAELFGPATEEKAAQIIMVEDVEPPIFEALLHFVYTDSMPDSLHYSREGNIEKLIQLRDAADRYKLDRLRVLCERKLCECIDVRTVATMLVLAGRHRCNDLHEACLEFMAPRKVLRDVMATDGFKDLVAASPSVIKDILDKVSQSE >SECCE7Rv1G0514480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:834365250:834367803:1 gene:SECCE7Rv1G0514480 transcript:SECCE7Rv1G0514480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIVCACAGKPLRENSRSSRCGCNAMIRLLRSNDKGWYIAEHKDDHNHPLTLTCGQKMHWKSHKHIDRYTKDLVKQLRDNNVGLGKVFSIVGSFFGTVDNVPFTKRCLKTLCQKLNKEQSDMDAVKTMAILAEMKANDPDFNYTVQVDDESRVKTLMWVTSRGFDQYRCFGDAITFDTTYRTNLYDMPFGLFVGVNNHFQSIIFGGAMMRDEKEDTFKWIFKEFIRMVGGKHPQTILTDQARAMELAIEAELPNTKAKESMGVLWSKNSEFKLEFHKLVHHMIMEEEFEAGWHEMLEKYSLKKHPFLTQIYEVRHKWAKPYFRGVFCAKMTSTQRSESANHMLKGYVPPGCPMHLFLKQFQKLQFDRESEQSFQEKRTLLSGVSLRLNLPIERHASKVYTRAMFEKFGEELYKCGAYVLDEIVARKVYKSTHVDAAAREKWSKVEFTIEVDDEESFFRCECGMFEHSGLVCCHSLQVMVHFRLSKIPEKHIMKRWTRDANDVLPAHLVRYQKDRGPPSSDTFRHHTMYTKALECVLLGDSNVTCYDVFMTMMKEVQATLLPLSAEKDGMGLAEREQQNQVLVQKQPDRLQVQGCSTRPACDGESSCSAIAGQQNKRPRGRPTTSREKAPYEQHLKRSRFCTICRGQGHKCTTCPERGDVPKMPRKLPKCTNCGVLGHRRNACGTRKSGPFEPNFL >SECCE7Rv1G0494910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:592037719:592043854:1 gene:SECCE7Rv1G0494910 transcript:SECCE7Rv1G0494910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEREDRYIRFQDWRSEQSVNSESTVSPHRHSVFRSLKERTGGFFAFLGNFFHFETLKRSMLEDRKSMQNVFHPQGPFLQRWNKIFVLSCIFAVSVDPLFLYIPVINDKNTCWYLDRKLEITTSVLRSFTDIFYILHIIFQFRTGFITSSSTNFGRGVLVEDRYAIAKRYLSTYFLIDVCAVLPLPQVVILIILPRLQASEFMKAKNILMLIVICQYVPRLIRIRPLYLQITRSAGIIIETAWAGAAFNLIIYMLASHVLGAVWYLLSIQRKDACWRQQCSLTTGCKPAYLYCGNSDPNAGNAFLQNACIPNTSTGNLPDALFGIYVPAINNVSQSTNFFAKLFYCVWWGLQNLSSLGQNLKTSTNASENLFAVFVSISGLVLFSLLIGNVQTYLQSATLRIEETRVKSRDTDQWMSYRLLPDNLKERIRRYEQYRWQETSGVDEEHLLMNLPKDLRRAIKRHLCLSLLKRVPMFEKMDDQLLNALCDCLKPVLYTEGGCIVREGDPVNEMFFITRGNLMSMTTNGGKTGFFNSDVLKSGDFCGEELLTWALDPNSATSLPISTRTVKSMSEVEAFALMAEDLKFVATQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWHRRCRKKMEDALREKEERLQLAIVNDGSTSLSFGAAIYASRFARNMMRILRRNATRKARLQERVPARLLQKPAEPNFSSEEQ >SECCE3Rv1G0200640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:855488958:855491553:-1 gene:SECCE3Rv1G0200640 transcript:SECCE3Rv1G0200640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYELADQRGPHGSGRGGGRAVKGEGSSGAAAAGMTMASPADLMSGYYQAQEMSTMVSALSRVVAEDDPWAPSGSGAGAEGWGWEEQAMHAAGGGGGGYAHELGGFPSSEFAGSDQSSDTQSASAATMEEHRSRSPASSNAEAAEAPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDDAALRFRGSRAKLNFPEDARLHPATVAPAAAPARAPIAAASASTPAMYTGAGQASDYLRYQMLLQGRGAAANQGNLLSFYGGGTGGGAMSSSYGGGGMSSSYGGGGGDGGTMSGFLGSYYSFPTSSVSVATVPSSSSASSAPGHYYSSPHDSQQHQQQQGDAAAEWSWESALAPYPGTTAAASWPDSHSSQQQYHPPHTQ >SECCE2Rv1G0101140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:554676150:554676554:-1 gene:SECCE2Rv1G0101140 transcript:SECCE2Rv1G0101140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAEQAWYMPAMPVALAAETAAERVERLASESAVVVFSVSSCCMCHAVKSLFCGMGVHPTVHELDLDPRGLELERALADLLGCTGPGGAAPVVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >SECCE6Rv1G0419710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:672264525:672267842:-1 gene:SECCE6Rv1G0419710 transcript:SECCE6Rv1G0419710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:decapping 2 [Source:Projected from Arabidopsis thaliana (AT5G13570) TAIR;Acc:AT5G13570] MAMAGGGGLNRSSSRGQLPPQELLDDLCSRFVLNVPKEDLESFERILFLLEQAHWFYEDNSVEHNPSLKSLSFKDFTSLMFNSCAALRPYRAHLDDIYKDFTHYKFRVPVSGAIILDDNYDRCLLVKGWKSSASWSFPRGKRSKDEEDHTCAVREVLEETGCDVSKLLKMDDHIEVSIGQQRVRLYIITGVKEDTVFAPQTKKEISEISWHKIDDLLPATDDAISRGVNGMKLYMVAPFLTGLKSWIGTHRSQVYQKSDTSARGTVWKAKNPSGVFVPVENPVITRAGSDMQNPVITRAGSGTQHVDNRPGKSFRSFRFDTASILQSMDASFLHT >SECCE5Rv1G0309190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:148603718:148609960:-1 gene:SECCE5Rv1G0309190 transcript:SECCE5Rv1G0309190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGEELLKKIRQLEVGQAQLKQEMSKFALPPTGGGGERRRSQSVSPSRGAQPHPAPAPAPSRRLSGGFDGGPRAWGRGSASFSHSSPLQREGRAAAEGGATGAGLAERQYRRVLQSLGQSVHILDLDGRIIYWNRSAENLYGYPASEVLGQDALMLLVDSRDLSVVNDMFRRISLGESWTGKFPVKNKAGDRFLAVGTNTPFYDEDGSLVGIICVSSDSRALEEILSGPSTSARSHSESPNPSCCDGSCSNSNRKPSLLNRNPFDPQHPLQTTIASKITNLATKVTNKVRSRVKMDENGMVREGGSGESQCSDRDNREGPSSGPSTPRGEAPHGTFVTEENSPGKSTKTNGDDSEAKIGLHKILSSKAEALLNKKGITWPWKGRENDGPDCRNQVTWPSLHGEQENGQNHPKISDTQVAEYNQPNKNEASGSWSSFNNNSSSSASSTGSTNSSALYKIDHEADCLDYEILWEDLVIGEQVGQGSCGTVYHALWYGSDVGVKVFSRQEYSEEVIQAFRQEVSLMKKLRHPNILLFMGAVTSPHRLCIVTEFLPRGSLFRLLQRSTTKLDWRRRVHMALDVARGMNYLHHYSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNQRLEIPSETDPYWTSLILSCWETDPQSRPSFQELLEKLRELQRKYAVQNQVQRNASAAAKNSIIEE >SECCE1Rv1G0045750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:611678970:611679923:1 gene:SECCE1Rv1G0045750 transcript:SECCE1Rv1G0045750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSTCSASGERVYCPECHRATEVVLDHATGDTICTECALVLDAHYIDEVSEWRNFADDGGGEDRDPSRVGASGDPFLAAKLSTVIDCTSKPKRSSANGVAANAPPRMSVPDAEAASDKTLVDGFRGIADMADRLGLVATIRDLAKETFKKLDEAKGCPRGRKRDSVYAACLYIACRNLGMPRTYKELASVTAGGVAAKKDVGKMTTHIKKLLGEEDGQVMDIGVVSASDYLRRFCSRLGLGNQEVRDAQEAVRRVEEGLDVRRNPESVAAAIIYMVVQRAGASRSAKDVSVATGVAEGTIKEAHKDLAPHAQLLFG >SECCE2Rv1G0079390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:118313928:118315762:-1 gene:SECCE2Rv1G0079390 transcript:SECCE2Rv1G0079390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEQQQVVFRSTLPDIAIPDHLPLHDYVFERLAERRDRACLIDGATGETLTVGDVHRLSRRVAAGLYSLGVRHGSTVMLLLPNCVEFALAFLAASRLGAATTTANPLHTPPEIAKQAAASRATLVVTEPAFVAKVRGLAGVVIVATGDGAEGCASFADLTAADDSALPEVPIDVANDVVALPYSSGTTGLPKGVMLSHRGLVTSVAQLVDGDNPNLHLREEDVVLCVLPMFHVYSLHSILLCGLRAGAALVVMKRFDTVKMMELVERHGITIAPLVPPIVVEMAKGDAMDRHDLSSVRMVISGAAPMGKELQDIIHAKLPNAVLGQGYGMTEAGPVLSMCMAFAKEPSPVKSGACGTVVRNAELKIVDPETGLSLGRNQPGEICIRGRQIMKGYLDNPEATAETVDKDGWLHTGDIGFVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHPGIADAAVVPMKDDASGEVPVAFVVPSSDGADITEDEIKQYVAKQVVFYKRLHKVFFVSSIPKAPSGKILRKDLRAKLAVGSC >SECCE4Rv1G0221010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:44068287:44072021:1 gene:SECCE4Rv1G0221010 transcript:SECCE4Rv1G0221010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose transporter (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G13650) UniProtKB/TrEMBL;Acc:A0A1B0VP09] MRIQQLGNHVDPGTPTGRKSPEITTTSPLVNGGKSKLWDEIGGQSALASPMRREIGNRSLMRSFSVDDVDLEDVEASKERDRPSHFFRLPNIQNQSLLSGLAYCIASCSMILVNKFVLSGYGFNAGIFLMLYQNIVSVTIVSTLSLSGVIPTEPLTWKLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHDRQVWVSLMLMIISAIAGGVTDLSFHAVGYTWQILNCFLTASYSLTLRHVMDSAKEATRSGNLNELSMVLLNNVLSLPLGVILVLGFNEVEYLLETPLLRMPTFWLVITASGVLGLAISFTSMWFLRQTSATTYSLVGSLNKIPLSIAGILLFKVRTSMENSISILFGLLAGVFFARAKLRENSQS >SECCE2Rv1G0097470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:460095803:460099833:-1 gene:SECCE2Rv1G0097470 transcript:SECCE2Rv1G0097470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGGGFNPHFRHAAPLPSPPLPPPPPRPQAGLTAGFPQQSLPPPPPLAQYPQPPAMRPPPGQYQHGMPPHQNQAYPYAHLGQMHHMPMLSQQRGFGHMQMPGPPQAMYQPPPQYPMPVPLPPPPPRPPSIAPDDIPPPPPPPSSPPLMPPSPPAAPATAQSCAETEGNEGAPDGGREGKSEKEATELIVSDDSDMDMGGDEDSPSRVHLSVFNSSPAAAECSGDNSTVNVPKAVNDMSNLGSDLAPGSSGKTKTGNVSVEPGSQLQLIQQDYASDDSEDEEEHTRASSNPLLPEDNGPNQSSDINTEIGHHQVTNAEENVSAAPCLQQNYEPRMHQLKDERSSINHNSDEPGLPVTESLSGSESAGMQRSERHGGIQTKRICSQSPIARRSCSPSGENKRSPSRSSSHERQSRSPLAKRANLHQSKSPHHVSSLPGQPLVASSEPQMQFQPNDVAPSNDFLQNQIRTYPAPDLSHPRPLDFHPHAPQPVLPSQQQPAAFHNDEFKSPFSIDNAPVLLPDGRPEFSAGVGLSYSSHQSSYNQQQPPPGSLASGANIAYPSFQIFPSNLPGSSDLGHVPISDIVLPKSSIKPHYNPFASTFETDPTLDISPIQSPNAVESASAKAVELMSTTSPFGQSVPGSGTRFNESSAEVVPSRQKQPCPGFTPMGPYDPLLDSIEPSSNSINKMDLGQDANLSATGSRNASKLVNIEVESKNMHGLGLVAESEVVELGEVAADIETGVVENVSPEPLGAKDWSSDIPGDNDNDQSLDVSKKSKDSRSMKLFKVAIADFVKEVLKPSWRQGNMSREAFKMIVKKTVDKVSSSVPGNHIPKTPAKIRQYVQSSQRKVTKLVMGYVDKYVKL >SECCE6Rv1G0431870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:748514038:748514340:1 gene:SECCE6Rv1G0431870 transcript:SECCE6Rv1G0431870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKASCISLVILALVLAGPDAKSAAGYSVDQAAMSSSSMRLEDGVAPELRAVTAMDLDVLHSISPQYVQDPSRPACVEGCGGRGERYTGRDCKKKYQCG >SECCE7Rv1G0493080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:564005500:564007076:-1 gene:SECCE7Rv1G0493080 transcript:SECCE7Rv1G0493080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSRGLVATVAVLHLLLFAPSMAAAFNYADALAKSIIFFEGQRSGKLPPGNRMPWRADSGLTDGAQYNVDLVGGYYDAGDNLKFGLPMAFSTTMLAWSVADFGKFMGAELPHARAAVRWGADYLLKAATATPGALYVQVGEPGRDHACWERPEDMDTPRSVYRVDANKPGSDVAGETAAALAASSIAFRRSDPAYASRLLHAAMEVFDFADRHRGSYSDSLSSEVCPFYCSYSGYHDELLWAASWLHRASNNASYMTYVEAYGMQLGAGDDDYSFSWDDKRVGTKVLLSRGFLRRKLQGLQLYKAHSDSYICSLVPGTSSFQAGQYTPGGLMYKEGESNMQYVTTATFLLLAYSKYLKSSGATVSCGGGVVSPADLVALAKRQVDYILGKNPAGRSYMVGFGARYPERAHHRGASMPSVRAHPGRIGCDAGFQYLHAGGANPNVLVGAVLGGPDSRDGFDDDRGNYAQSEPATYINAPLVGALAFFAGTTKK >SECCE2Rv1G0112230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:707491533:707492141:1 gene:SECCE2Rv1G0112230 transcript:SECCE2Rv1G0112230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Alpha-amylase/subtilisin inhibitor (RASI) [Source: Projected from Oryza sativa (Os04g0526600)] MSSRGVRFLLLSLLATTLLCGADPPPVHDTDGNELRADANYYVVPANRAHGGGLTMAPGHGRRCPLFVSQEADGQHDGLPMRIAPHAGAPSDKIIRLSTDVRISFRAYTTCVQSTEWHIDSELVYGRRHVITGPVKDPSPSGRENAFRIEKYSGAEVHEYKLMACGDSCQDLGVFRDLKGGAWFLGATEPYHVVVFKKALSA >SECCE7Rv1G0463650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:55671514:55672086:-1 gene:SECCE7Rv1G0463650 transcript:SECCE7Rv1G0463650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGVTTTGSPCGACKFLRRRCAAECVFAPYFCAEDGASQFAAIHKVFGASNAAKLLQQVAPGDRSEAAATVTYEAQARLRDPVYGCVAHIFALQQQVVALQAQVAHARTQAHLGAAATAMHPLLQQQLQQQAWQVAAAAAAEQHDHQSMTSTQSSSGCYSGAHQRSDGSSLHGAEMYCGFGEQEEGSY >SECCE6Rv1G0433480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:759758175:759760992:-1 gene:SECCE6Rv1G0433480 transcript:SECCE6Rv1G0433480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSRVLPFLSPPSAAPACLRAPSSGRLRRAAAAGQAGFFTRLGRLIKEKAKSDVDKLFSGFSKTRENLSVVDELLTYWNLADTDRVLDDLEEALLVSDFGPKISFRIVDTLRDQIRDGKLKSGTEIKASLKRCILELLTTKGSKTELQLGFRKPAVIMIVGVNGGGKTTSLGKLAYRFKNEGAKVLMAAGDTFRAAARDQLEIWAERTGSEIVIDNDKKAQAPSVLSQAVKRGKREGFDVVLCDTSGRLHTNYGLMEELVSCKKVIAKALPGAPNEILLVLDGTTGLNMLQQAKEFNDVVGITGFILTKLDGTARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVEAIFP >SECCE7Rv1G0513100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:818200416:818202824:-1 gene:SECCE7Rv1G0513100 transcript:SECCE7Rv1G0513100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLITATFMALACLCFAPAWSAAATVSARRPLRGNDTLVSAQGMFELGLFSPAGSSDGRFYLGIWYKNIPGQTVVWVGNRASPLSGVASAELHVSPDNGNLELVSPTGASASPVVVWSSNLSSSLSPGSNNTAEIHDNGNLVLVDGANSSNVLWQSFDHPTDTYLPGAWLGENKLTGEYQALTSWRNAQDPAPGMFYDTLDPNGTSEFFMLWNRSRMYWRGGVWTGGRWKGDVGAATGRGTNLYNTTFVDTPAFRGTTTVLADNATVTRLVLDLNGQKKQFVWVPASQSWQLFWAAPTVQCDAYALCGAFGVCNQRSQLPCRCPPGFAPVSERDWTLSDWSAGCRRSSPLTCARNGSTTDGFLALPDVKLPDEPLAMTAAQSKAECESTCQKNCSCQAYAFSAGCTVWHGDIRNLEQLFADSSSPGSDFYLRLSQTALQDLHSVHGKKEGTKSWLVFGITLAGVAALGAAVILAWRILLARRRLAVCMENENGSSLAVYSYGDLRAATNNFSERLGGGGFGSVYRGVLKQHKGANTTQVQVAVKKLESLARQGDKQFRAEVSTLGLIQHVNLVRLLGFCSSGDQKMLVYEYMPRGSLNASFFGGGACPSWRDRYCVMLGVAKGLAYLHDGCRERIIHCDIKPENILLDEDMSPRIADFGMAKLMGRDFSRALTTMRGTMGYLAPEWISGQPISAKADVYSFGMVLFELISGRRNSERYAGTFFPVWAAVKVVEGEVDAVADPRLHGEVMPEELERACKVACWCIQDEEAQRPTMAQVVQALEGAIHVHVPPVPRALQRLVT >SECCEUnv1G0539960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78049902:78053129:1 gene:SECCEUnv1G0539960 transcript:SECCEUnv1G0539960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLHGTLHATILEADKLTHPDRATGGAPEILRKLVEGFEETIGRGKGSTRLYATIHLGRARVGRTRVLAGDPVNPRWYEDFHIYCAHFAADVVFTVKAAQPIGATLIGRAYLPLRELLDADGDEIERRLDILDATKKKLPHGPTIQVRLRFCDVTINRREWGAGIGSARNPGVPYTFFSQRPGCRVTLYQDAHTPDAFVPRIPLSGNRSYEQGRCWEDVFDAISDARHLVYITGWSVYTEITLIRDAARPRPGGDATLGELLKRKASEGVRVLMLVWDDRTSVESLGMTWGLMHTHDAETADYFRDTDVQCRASAVMGLETAYTISHHQKIIVVDHDMPVTGSSSRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHQKDFHQISIADASIDKGGPRQPWHDIHAKIEGPAAWDILYNFEQRWRKQGGDHDLLVDLNASASLIIPPSAVTFPEDQEEWNVQVFRSIDGGASFGFPSTPEEAARSGLVSGKNNTIDRSIQDAYIHAIRRAKHFIYIENQYFLGSSFAWKADGIKPEDIEALHLIPRELSLKIVSKIEAGEHFVVYVVVPMWPEGDPEHESMQAILDWQRKTMDMMYYDIAIALEANGIDANPKDYLTFFCLGNREVKRSGEYEPADRPLPGSPYERAQKARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNAKGQVARGQIHGFRMSLWYEHLGMLHDDFLNPGSLECVQRVNKMADKYWDLYASDELKDDLPGHLLTYPVAVTKDGTVTGLPGAKCFPDTEAPLLGAKAKFLLPILTT >SECCE6Rv1G0411410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606874884:606883191:-1 gene:SECCE6Rv1G0411410 transcript:SECCE6Rv1G0411410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQERDDIPMLLRNIELPRFPRSTSMCMPVRDEDYEEDTYVPHTGPLSSQTPSQVAAAGNPFVVSRRTPDNKPPRHPQVKQVSKPQAVMPTEAGGNRWSHGGDIPKNEHLMMSGPLGQCDNPDCVHCPPVCKNKRHFQRAPNPFDNKLHNILYGHHRGWKKSIERFLSYIPIMNPHAKVVQQWNQFFVISCLVAIFIDPLFFFLLSVEQDKKCIVFNWKLATGLAVVRSVSDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKIAVHYLRGYFLLDFFVVLPLPQVIILAIIPRSFGLSTTADDAKNYLRVIILLQYVPRIIRFVPLLGGRQSATGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLQNACSLSNIPSCKVFRDCGLNFNTGGQNEQISQQWVNDQASTACFDTGNDTNFQYGIYEQAVLLSTKRSAVTRYVYSLFWGFQQISTLAGNLIPSYFEGEVLFTMAIVGLGLLLFALLIGNMQNFLQALGSRKLEMQLRRRDVEQWMSHRRLPEDLRRRVRHAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQGGPVDKMIFIVRGKLESISADGSRAPLQDGDVCGEELLTWYLEHSSTNKDGGKSRFHGMRLVAIRTVRCLTNVEAFVLRASDLEQVTVQFSRFLRNPRVQGAIRYESPYWRTIAAARIQVAWRYRKRRLKRAERSRPSEEPDRIAGTCSRYAFQPGQRG >SECCE6Rv1G0400870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:459336035:459338038:1 gene:SECCE6Rv1G0400870 transcript:SECCE6Rv1G0400870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLHLLLFLLLHVLPLASPAVDADQFTFDGFAGANLSLDGTAMVTPDGLLMLTNGTTQLKGHAFYPVPVRFHEAANGNASSRSFSTAFVFGIVSEYDDFSSPGLAFVVSKSKDFSTALQSQYMGLANAANNGNSSNHFLAVELDTIVNAEFGDMSGNHVGIDVNGLSSIVADNAGYYEDGTGAFMNMSLLNRTAAQVWVDFDARTSLVSVTMAPLELPKPKKPLLSATVNLSSVIQDKAYIGFSSSTGVVSSRHYVLAWSFKMDGGQAPPLNVQKLPPLPFTTPKRRSKTLYVVLPIASAALVLALAIAVVLIDRRRRRYAEVKEDWETEFGPHRFSYKDLFHATKGFSDERLLGIGGFGRVYKGVLPTSGAEVAVKKVSHESRQGMKEFISEVVSIGQIRHRNLVRLLGYCRRKRELLLVYDYMPNGSLDKLLYDHSTVVLSWSQRLGIINDVASSILYLHEEWEQVVLHRDVKASNVLLDADMNGRLGDFGLARLYDHGTDPHTTHVVGTMGYLAPELGHTGRASKASDIFAFGVFMLEVACGRRPVSQDEHGDHLLLADRVIEHWRQGAITDAVDPRLRGDFPVEEASFILKLCMLCSHPLASARPGIRQIMQFLSGDTPLPEVSAEHLGVNVLALMQNRVAYSHSSLPSTDAGNISDIPGAR >SECCE7Rv1G0491950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:541483413:541487533:1 gene:SECCE7Rv1G0491950 transcript:SECCE7Rv1G0491950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPSDGGDDWFLDCGILEDLPAAACGAFPWDASVSSSNPSAEVGSYVNNSDVPKDSGSNKRLRSGPCGRPTSKACREKVRRDKLNDRFLELGTTLDPGKPVKADKAAILSDATRVVTQLRAEAQQLKDTNGSLEDKIKELKAEKDELRDEKQKLKLEKETLEHQMKLLTATPAYMPHPTMMPSPFAQAPMAPFHAPGQALGQKLMMPFVGYPGYPMWQLMPPSEVDTSKDSEACPPVA >SECCE5Rv1G0327030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:488957302:488958273:-1 gene:SECCE5Rv1G0327030 transcript:SECCE5Rv1G0327030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRGHGKSTHPPPLAPPRRARPERERASGSGASFSASLLDTIYRSLDEGGVDADAARRSEEKALAPAPPQFWWAGKPKQAAGADRRRRETGPARPRHSGYASSATSSSDSTSSYSFSCSSASTTDTESTCRRRSPPPPRRQLEDFVAPDSVDVPVPVTPCEKTKKKGRPCFPVARHRPRDAAPSSPGPPPPPSPGTFACVLKALFTSGRLPRKPRTPTRAPPPQTLPELPQTSCVSAATAASMAERRCVRFCSDAEASVVRRRVEELVRSLGELEEDDEGSDSSSDLFELESLAGANGDELPVYGTTSLVANRAIAHATVF >SECCE7Rv1G0526710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:898236605:898292853:1 gene:SECCE7Rv1G0526710 transcript:SECCE7Rv1G0526710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIISQRNTLVDILLDPTLNARHLPLTLFEDITDNFSYERLIGTGGFGQVYKGLLPNGIVVAVKRLDTSAMVDDKSFHAELECMTKLRNKNIVRLLGYCVYTLYKDSPYEGKIYPKVERQERILCMEFLTNGPIQKDGPSIGKWPSLYQTIKGICNGLDYIHHEGYIHFDLKPDNILLDDNKIPKILDFGISRFFGDKNTCMTKTSIGTLGYLPPEYIHDQKMSTKADIYSLGVIIFELLTKSKGPLVQEDTQVENESSVQEELIRWSRILEPDHKERELHLAYQQVETCLRIALSCKDPNRNRRHTAKQISHIVDLALDPDILEKQNKMATMVVKHISSVQDMDLHPSRPWILLSLWSGHIEIINYVRKVSLGTFPLGDKPVLSAKFVEGDGWNIVAGDDSGFLHVCNFEGYEVEPGKVKEPTEVKTIKATRGNGIRYLAVQQSKRYLLISTGSSIMIWNAEDWTCIMMHHGPNYFKTVKHASFNTNDDSGFGIGCCDGTVKLWSHTSLTSTRRPYLELCGHSEQVCSIDYFTCDSETFIVTGSMDKTAKVWKYDRKRSLDYGLASIKYADATLEHEDGVTATCYIPEFKIILTGTDDGVVSVWCSETYRLMRYLCFGLGRIFKLACLKGRRVFIGHEHGLVLVEVDPRQIEMDGMVPDPQFEKRYYY >SECCE2Rv1G0116730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:766671515:766671748:1 gene:SECCE2Rv1G0116730 transcript:SECCE2Rv1G0116730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLQAHREIEDATTHGRLRDDLVEHHWQLDGRRIGP >SECCE6Rv1G0388780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:142054250:142055263:-1 gene:SECCE6Rv1G0388780 transcript:SECCE6Rv1G0388780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSDGEGFCADPFEDDSDGGDSWNYHCCYDDDDEGEWSCGAPCDEDEDDSNGEEICRDPCDNVLVVDDDEEGEVEDALCHDDDEGGSDGSLSDEDAEGEQLSDDDECEGKGGEFGDDRCDHEDEDEDEPDGESEDFCEDPSNHDEDVGPVVLSGGGGGGGFRLGELFVSRFLFSDHSDSEVEEAGGFNRGGEGLTPSGFDQTPSPGAFRMAVGVEHSDSDTDSADSDYEDDYEFLKRTASRQAVEGLPEMMLSEEEATCGCAVCKDVFASGQRVVFLPCKHYFHGDCILPWLAMRSTCPVCRYQLPTDDTRSGQGQAHQLRVLSPVNRQGASQQV >SECCE2Rv1G0127880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:856962895:856963465:1 gene:SECCE2Rv1G0127880 transcript:SECCE2Rv1G0127880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLTVVCVSSLLLATLADDASSRSSLQHPSVDSLQGRVLGRKGGEEAAAYHHYRREGEHEEHHEAVHMEAETADEGKKGGWRSEDEEDEVATEGLISSADYSGVAMHGHSSPPAHKKHPKPKP >SECCE5Rv1G0302680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:41199409:41202686:1 gene:SECCE5Rv1G0302680 transcript:SECCE5Rv1G0302680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNITQILKDAQDSDNNIRLVAECKLKQLQECNRPNFLLSLSAELSSEASPPECRCLAGIMLKNSVEGKYSEDSSILIQQWIKLDQRIKSQIKESLLITLGSLAPQARHASSQIIGRLAYFEIPSQRFQDLIGRLLHNMAQQGASPAPLKQATLEALEYVFEEKTLRFEKDTINGVLDAVIRAVNHQAEKSFQVRVAAVKALQNVHKFADFANDDDCRNRIMTAISDAAKSDEAAEVKHAAFGCLTAIASKYYMELEPYMETTLSLTTEALGLEGGVDETVALQCIEFWSTICGKEIKLREKRKRFPRVILTADCHFLENPLCSLVPLLLQTLSLHQERDVDELNIFMSAMTCLGLVARTIGDAVVPLARQFIEGNIKVADWRSRKTAISVLGVILEGPSIEKLAPVVGLLMDKMEDPHMEIRSTATCTLGQVFELLHAPALDKRFFTNEDFPCIMAALSKRGKDVPEVSKEVCEAIYFLARGYDVPISSEVDHSKKNISSELSPFLSGVIDAILSASELDKKTPFGLPASASAYGALIEVVRVSNICDFKAVIAIVDLMPRIMRRLNTALDAKAISSDDKTNKQNLQALLCDVLHAIIKKLGNSLHADHVRESAQSMLLQFCRVLTCDCSTARDKAALAIGALARAVGPKFLDHMPIFFQYCSVKLFSPIYLEVIGTIFHVLGDEILPCCDHMMDVLYEGLSKPKLKPQILACFGEIALAIGKHFEEYHLQAVRKKLKEAANPRYYADVSDEDKVDYSNQLRQGICKAYSGILRGIKDRKSGLKVAADLVEFIEAVSEDESRGTSVTYAAADVLDQFVFMAKSWKEGLISELWR >SECCE7Rv1G0511090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:801004730:801005644:-1 gene:SECCE7Rv1G0511090 transcript:SECCE7Rv1G0511090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAPRRPACLLALLSVVAALFLTPPAIAAGGKTGQVTVFWGRNKAEGSLREACDSGMYTMVTMSFLDVFGSNGKYHLDLSGHDLSSVGADIKHCQFKGVPVSLSIGGYGTGYSLPSNRSALDLFDHLWDSYFGGSKPGVPRPFGDAWLDGVDLFLEHGTPADRYDVLALELAKHNIRGGPGKPLHLTATVRCGYPPAAHVGRALATGIFERVHVRTYESDKGCNQNIGWEGSWDKWTAAYPATRFYVGLTADDKSNQWVHPKNVYYSVAPVAQKKDNYGGVMLWDRYFDKQTNYSSLIKYYA >SECCE5Rv1G0330870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:534342866:534344434:1 gene:SECCE5Rv1G0330870 transcript:SECCE5Rv1G0330870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTGSRLASAVVRLPGRSRVSASPSPRRRSLSPSPPRRQRRRDRSPIPSRDRRGERSPVPSRDRRRDRSPSPYRDRRRDRSPSPFRDRRRQWSPYHNERGRDMDRVRDVEPPARRGGGGGGEWSASDDDDEDLKGLTYFEYRRVKRQKLRKSLKKCIWKVTPSPPRRDDEADEYQYSEEEEEKKESPKKDSSEKSDEEENKDSSESESDESGSLSDSSESDYSRKKKKGRKGRRSSSKRSRRSHRRHRKSDVESESDSKVDEDSEGSYDSEDSRDKKRSKRSRRHKKSKRRGRSSRRKKSKSLDVPSDVSSEEVEVLGSSPISTDSKKMGKSSRKKSSSQSDSEDSIPSDAVIDEKEIVETDEPEIDPEAIKFKEMLEAQKKAALENEMPVGPMPLPRADGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSADEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVSRTIGQETGPSHDPFATTDD >SECCE2Rv1G0137210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:917203688:917205097:-1 gene:SECCE2Rv1G0137210 transcript:SECCE2Rv1G0137210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 2 [Source:Projected from Arabidopsis thaliana (AT3G20015) UniProtKB/Swiss-Prot;Acc:Q9LHE3] MSTTLLRLALIFVSTVIMSGALASSPSSLRFHYIDPRNFTMAAATSPSTSSSQRRDGRPSLALLHRDAVAGRTYPSTRHAMLGLVARDGVRAEYLQRRLSPTTMTTEVGSEVVSGISEGSGEYFVRVGVGSPPTEQYLVVDSGSDVIWVQCRPCAECYQQADPLFDPAGSASFAVVPCGSGVCRTLPGGSSGCADSGACRYQVSYGDGSYTQGVLAMETLTFGDSTPVQGVAIGCGHRNRGLFVGAAGLLGLGWGPMSLVGQLGGAAGGAFSYCLASRGADAGAGSLMFGRDDAMPVGAVWVPLLRNAQEPSFYYVGLTGVGVGGERLPLQDGLFDLTEDGGGGVVLDTGTAVTRLPPDAYAALRDAFADAVGGGLPRAPGVSLLDTCYDLSGYASVRVPTVALYFGRDGAALTLPARNLLVEMGGGVYCLAFAASASGLSILGNIQQQGIQITVDSATGYVGFGPTTC >SECCE3Rv1G0160200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:103608679:103610436:1 gene:SECCE3Rv1G0160200 transcript:SECCE3Rv1G0160200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRRYAELLSRCRGGADARPIARIQAALVTSGLLRRSVELHDALIRALASSGRPHAALPLYAHLIRAGLLPTPHTLPSLLKSLALSPAVPGARRLALAVHAHAVRLGLTGFLLVNNALIRVHAGLLGRLSDAHLLLRTSAAVDASTFNTLITAHARAGRVADARWLFDEMPERNVVSWSAMVNGYVQAGDGREALGVFSQMQAQGVRPDDTVLVGVLAACAQLGALEQGKWVHGYLRANNIRITVFLGTALVDMYAKCGEVQLGMEVFEGMKDKNVLAWTTMIKGLAMHGRGSDSLVLFSQMESSGVKPDDIAFIGALCACTHTGLVDKGRQLFNSMVNNYGIKPKIEHYGCMVDLLARNGLLNEARDMVEKMPMKPDALIWGALMAGCRFHKNVELAEYVIKHWIELEPDKSGAYVLLGNIYSASGRHASAREIRHLMREKGVEKTPGCSNVEINGVIHQFIVGDLSHPRIKDILTKWYEIDSRIRLEEGYVPDKKEVLLDIEEEEMEDALSRHSEKLAIAFALISTNDNIPIRIVKNLRVCQDCHHVTKLISKVYGREIVVRDRTRFHLFKDGTCSCKDYW >SECCE7Rv1G0505430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:735539032:735540653:-1 gene:SECCE7Rv1G0505430 transcript:SECCE7Rv1G0505430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDARGRAVNPACPNAANPFHRCAEYCPVAAPAGKSPPPPGRAAQNGTGPRGDGAGGARQQAVNPDCPNAENPFHRCADYCPVAPPAVKSPPLPPGLGGQNGRTHSDGDLQPRTRRRDRAGGSGGGLPLYVFLREGSSDGEGKKVDPRCPNAPNPFHVCTNHCLAKIVEGGRSSEGGKSPISLFSRHSGRSTSSSEDGSVKSGGSKKADPKCPNAGNPFHECGEHCTIKMKELEKQKKADKKSPRKKGGKDGAVVQNWKVDPRCPNAGNPFHICAQYCFDHLNQAPSTPTSKPDSRKGKAVMKSEPTGEINPDCVNASNPYHKCGEYCKRNGNR >SECCE7Rv1G0461470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:37862606:37863289:-1 gene:SECCE7Rv1G0461470 transcript:SECCE7Rv1G0461470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKPGTEPATGVPVGGAPGAPTAWASTGAPVGGAPGAPAAWSSTGAPVGGAPGAPTAWSSGLFDCFDDCGLCCVTCWCPCITFGKVAEIVDRGATSCGTSGALYVLLASLTGCHWIYSCTYRSKMRAQYALPDEPCCDCCVHYCCEPCALVQEYKELKARGYDPEIGWHLNVERRNGGAGAGGVNPPGMQQMGR >SECCE3Rv1G0196620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:810235378:810237243:1 gene:SECCE3Rv1G0196620 transcript:SECCE3Rv1G0196620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKPTLAATAASFLAVLAAVHLAVAATGVDDAPLPRLPNHDIPAVFAFGDSTLDTGNNNVLPTMVRADHAPYGREFPGGAPTGRFSDGKLLTDYIVEALGIKELLPAYRSGAANLTVAELATGVCFASAGSGLDDATATNAGVATFGSQLADFKQLLGKIGRRKARKVAKKSVFLVSAATNDMMMNYYMLPSGRSKYTLEQYHDLLIGNLRSYIQAMYDLGARRMLVAGLPPVGCLPLQLTMAELRQPPRPQGCIAEQNAAAESYNAKLRRMLAEFQSRSPAARAVYADIYSPLKDMVDHPDKYGFVEASKGCCGTGLLEMGPLCTDMVPTCATPSQYLFWDSVHPTQATYKAVAEHFERTNIIQFDN >SECCE3Rv1G0179820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:552147258:552148807:1 gene:SECCE3Rv1G0179820 transcript:SECCE3Rv1G0179820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAMESYKKAVTTAASLAASAMLVRGVVNELVPYEVRDLLFSGMGYLRSHMSSQHTIIIEETEGWANNQLYDAARAYLATRINTDMQRLRVSRVDETKSIMFSMEEGEEMADVHEGTEFKWRLVCRDNSSASSSNGNGRGGSSNFKLEVRSFEMSFHRKHKDKALTSYLPHILAMAKKIKEQNRTLKIYMNEGESWFAIDLHHPSTFSTLAMDHKLKESVMDDLERFVKRKEYYKKIGKAWKRGYLLYGPPGTGKSSMIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCTVELQQRDEGQEGTKSNPSEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHSIDHHATYPEIEEMIKEVMVTPAEVAEVLMRNEETDVALQGLIQFLKRKKDGAGKPENVDQLAKEEEQEKEMMTKSDVPDNQDQQDGSKE >SECCE1Rv1G0035960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:503505431:503512486:1 gene:SECCE1Rv1G0035960 transcript:SECCE1Rv1G0035960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGDDAGEKRVTDRYLKREVLGEGTYGVVFKAIDTKTGETVAIKRIRLGKYKEGVNFTALREIKLLKELKDPNIIELIDAFPYKGNLHLVFEFMETDLEAVIRDRNIVLSPADIKSYIQMMLKGLAFCHKKWVLHRDMKPNNLLIGAEGQLKLGDFGLARIFGSPERNFTHQVFARWYRAPELLFGTKQYGSAVDVWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKSSQWPDMVCLPDYVEYQFVSAPPLRSLFPMASDDALDLLSRMFTYDPKARITAQQALEHRYFSSVPAPTKPNLLPRPKLKGDPGNDKIPDLNLQGGPVVLSPPRKLRRVSAPDGMEINAHRAEKAEEHPTTGMRRSEGMSSQSSRIPMSVDVGVVFGTRPAPRPTLNSADKSRLKRKLDMDPEFEYAE >SECCE5Rv1G0327470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:492816198:492818480:-1 gene:SECCE5Rv1G0327470 transcript:SECCE5Rv1G0327470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQLASFLGVVLATVLFFKAVLRRRRQYNLPPGPKPWPIIGNLNLIGTLPHRSIHALSKQYGPLMQLQFGSFPVVVGSSVEMAKFFLKTHDVVFTDRPKTAAGRYTTYNYSDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRREEVLALLGDLYRGGAGAGRAVVLKDYLSTVSLNVITRMVMGKKYLEKEVRDEAGAVITTPEEFKWMIDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLSNMFDRFLEHVVDEHSERRRREGESFAVKDMVDVLLQFASDPGLEVKLNREGVKAFTQDLIAGGTESSAVTVEWALSELLKKPQVLAKATEELDRVVGRGRWVTEKDMPSLPYVDAIVKETMRLHPVAPMLVPRLSREDTSINGYDIPAGTRVLVMVWSIGRDPELWEAPEEFMPERFLNSRLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFTWRLPEGVELNMEEIFGLSTPRKFPLEAVLEPKLPAHLYAEA >SECCE3Rv1G0158490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:88612116:88619409:-1 gene:SECCE3Rv1G0158490 transcript:SECCE3Rv1G0158490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNELSMKLLIDTKSQKVCFAEAGSDVAEFLSGLLSLPLGTVSMGSITVTTLLTKERMVGSIDNVVGSMEKLDANYKSKELRLGPEVGPATLSRLQQLLSAQLTNGNDSLFTLRSGAYNEHSSQYVSVMLAAARANGNVAPAAAATGTATVLPASTYTVTDDLSVTPASFFTTIPMLGITRFAQCGVKDFSVMQEKTVKIGKEEALGILVASLKSKTVLTDVFLPKKNARCKREPPEAGHNGVELKSNITYFNTTLLMWRIFNEE >SECCE6Rv1G0389260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:148165362:148167638:-1 gene:SECCE6Rv1G0389260 transcript:SECCE6Rv1G0389260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSDSDSAPEELTAVQGVEKHEEISKVEKDSAVRATQEEKDRRKRWAQRKTSSKPNKKKPLKVEDEDTEVDEETHAMPGTLPKSVIEMLAAREKQTFSSDSEEENVNQKVQKKKKRLKTTTTGPETILLKDVRSTEHIKKALEFLNHRKNQVPRSNAVLKNPNAMRLFNKPNFTS >SECCEUnv1G0565640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:395561890:395562621:-1 gene:SECCEUnv1G0565640 transcript:SECCEUnv1G0565640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKTIACLFSVLLLTPAIMAADPDPLQDFCVADLDDNAIRVNGYPCVPLSEAGDDFLFSSKLARGGNTSTPNGSAVTRLDVTEFPGENTQGISMNRVDFAPGGTNPPHVHPRATEIGLVVKGELLVGIIGSNESGNRLYSRVVRAGENFLIPRGLMHFQFNVGDTEATMFVSFNSQNPGIVFVPLTLLGSDPPIPTPVLTRALRVDAQIVELLKSRFAVDL >SECCE4Rv1G0219030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:27550607:27559453:-1 gene:SECCE4Rv1G0219030 transcript:SECCE4Rv1G0219030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRAQTWAGVGKTAQAAAAHAALFCFTLLLALRVDGRTDSSWWIIFIPLWLFHGVAARGRFSMPAPSLPHGRHWAPCHSVVAAPLLIAFELLLCIYLESLRVKNHPAVDMKIVFLPLLTFEVIILVDNFRMCKALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHRPPTHGEASSSSSAIRYRDWESGLVLPSLEDHEQERICGLPDIGGHLMKIPLVVFQVLLCMRLEGTPPSARYIPIFALFSPLFILQGAGVLFSIGRLVEKVVLLLRNGPVSPNYLTVSSKVRDCFAFLHHGSRLLGWWSIDEGSKEEQARLFYTESNGYNTFSGYPPEVVKKMPKKDLAEEVWRLQAALGEQSEITKSTQQEYERLQNEKVLCRICYEGEICMVILPCRHRTLCKSCAEKCKRCPICRNPIEERMAVYDV >SECCEUnv1G0540170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78547539:78548096:1 gene:SECCEUnv1G0540170 transcript:SECCEUnv1G0540170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTTTMPALAAAVLSALALILGARTVAAAVGDTCKAAAASDVRVNMELCMSKLGSHRDSIEAADAWGLAKVASLVGVDNANLAAADVKALEADDPNVRMKPALAKCATLYKDVGACFAGAYDQINGRAYAAGKQKLDEALAQTQLCNAAFAVAGVTLPQPLALHTVDCIQIAIIAGAITNLIK >SECCE6Rv1G0386290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:93949755:93961742:-1 gene:SECCE6Rv1G0386290 transcript:SECCE6Rv1G0386290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to RAD23 protein, isoform II [Source: Projected from Oryza sativa (Os02g0179300)] MKLTVKTLKGTHFEIRVQHNDTIMAVKKNIEEIQGKDSYPWGQQLLIHNGKVLKDESTLDENQVSEDGFLVVMLSKSKASASSGASSAQPSSTPVTSQAPSVAQPQAPQPQIPSSTVDHAASDLLSGSNLDTMINQIMEMGGGSWDRDKVQRALRAAYNNPERAIDYLYSGIPVTAEVAVPVVGQGANTDAAPGETGLSGIPNTAPLDLFPQGASHAGGAAGGGSLDFLRNNQQFQALREMVHTNPQILQPMLQELSKQNPQLLRLIQENNDEFLQLLNETFEGGDGDFLDQADQDEMPHAISVTPEEQEAIGRLEAMGFERARVIEAFFACDRNEQLAANYLLEHAGDEE >SECCE2Rv1G0124330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:832073965:832079235:-1 gene:SECCE2Rv1G0124330 transcript:SECCE2Rv1G0124330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGGEEGGGGEGQGEADGGGIADCSPGTIVWVRRRNGSWWPGRIVGQDELAASQVVTPRTGTPVKLLGREDASIDWYNLEKSKRVKEFRCGEFDACIEKAMACQRTPLKRREKYARREDAILHALELEREQLALKYQNQGFRADDSCSILFADTGREFDDFASEYYSRNNVQEPQLHLQSSASQQRIDLSTTRYKSKKSKKQKGDNSVLLGKTKESEEKFIHAGLKRNLSGSLALEASGNTLSNYVNGFSCSGHMQEGSNVESGEKNTALKKRRLEEAVFEASVVKKHDRCRPLAQSSVRFPLSFQCNDDSGTVVVEGGKDPLPAVSQAKRSGATYPSSDSGDAHSRDFIPVKQTILTEAHRETESYLKQEDTLLEEQTFPDFVEKHESDSSTSLCSDTETEDDAELLQRYAKVQSPESDACDPNSLQASNKSRHANDIDDDDEMNFSTHIPQQNVLLDEDGSPELGVSQWHMKGKRNQRTAVKRPFGKADENLSLDSSSGFMKGLLKMANKGDSKVETISASSHHPFGQSFPENQEGLDCDHDEADLVDKAAGHSGLNGYYGKDYPLCSEPTGDIGQSYTSFNDSEISCKTSLLNKKGNQITSLGQKACGEGSSLYQQNHGSRLGYMGPVLFNVDLKVQANYQGEHVPLVSLMSRLDGKAIVGHPIQVGILEEGSMDRLISGSDLVLEKSTAAAPAWPTGKRTATPRVPRLNSSRATLNGDAGGGLWEMKATDGQGVKHAKKSTASVRRPLSQKSQKKPSGFKKASSQSQRTRALSSISIGRRPLREGGQAKAHKRSDVLGGLLKSDGAIPLVTCVPAKVVFSRIMEAVGRPSHALAHRGRKASPAVRDPP >SECCE2Rv1G0064610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4513491:4513907:1 gene:SECCE2Rv1G0064610 transcript:SECCE2Rv1G0064610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVAEKAEKTTAAKKTKAEKRPPASKEGGEKKGKKKSKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE4Rv1G0281840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826989704:826990513:1 gene:SECCE4Rv1G0281840 transcript:SECCE4Rv1G0281840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPNTNNMLSFSCIASAAVLVLALGSRTCSCLQFTYPNFNTINRDDFSFSPGSTIADGALQITPSTGNMRHQSGRVVYARETLKLWNNKRAAPTSFRTDFLLNILPGQNGAGEGMAFVLTNNPSLPSNSSGQWLGICNDTTDGTKTDGVVAVEFDTRKSYEDDLDGNHVGIDINSIKSIRQYPLSNVSIVLSSGSDVWVSIRYHGTSKLFQVFLVQNSIRGRYVYQGDIYIDLPQLLQDKIYLVFAGSTGNFTQLNQIKSWNFTMIDE >SECCE6Rv1G0406170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:541607053:541610010:1 gene:SECCE6Rv1G0406170 transcript:SECCE6Rv1G0406170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDPNPFDEGADDSAYSNGGGRGGAGGGGGGGGKSQFQFRPTEPVGFGGGGNGDAAVDIPLDNMNGSNGKESELSQWQADLKRREADIKRREEALKSAGVPMEDKNWPPFFPIIHHDIANEIPANAQRLQYLAFASWLGIVLCLVWNFIAVTVCWIRGGDSKLFFLATIYGMLGVPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYMLHIGFCIIAAIAPPIVFRGKSLTGILAAIDTFSDHALVGIFYFVGFALFSLETVVSIWVLQRVYMYFRGHK >SECCE3Rv1G0152240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:45660046:45666103:1 gene:SECCE3Rv1G0152240 transcript:SECCE3Rv1G0152240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRVGVYRRSAALLAAAPRGDSPAPPAALPLPRRLHDAADHRPKPGGPLALYRDLVSRGKLRHDVYQENVASELDSLLGRLERYEMEMEDYHTRLSVWDGTREKERRRLLLEEAEDKQHDGVWIDEKRGFLDKLMSRKRRANIEPGVGRWVSYLNRERKLDNLVGQKPVAPVAPKGLYLYGNVGSGKTMLMDMFYGATEGVIKHRRRFHFHEAMLEIHDHMHDVWKRRDDDKSMESSAFSWISGLPFDAKIKEWLIGEEKYKQDKHQKHILLAVADKFLVDRQANKCGASILCFDEIQTIDVFAIVALSGILSRLLSTGTVLVATSNKAPEDLNQDGMQREIFLELLSKLDETCNKILVGTEKDYRRLIPTDGSTQIHYYWPTNPDTRSMFEAMWHDITNQTGGNITAVTIPVMFGRSIEIPQSCSGVARFDFEYLCGRPVGAADYIAIAKNYHTIFISDIPAMSMKIRDKARRFITLVDEMYNHHCRLICLATLPIDNLFQGTEEGPLFDLESFQFETEAEGSKLRRDVSAEGNVGVGPSTRGLVSMLSGQEEMFAFRRAISRLIEMQTPLYLERVQHVHSSALQQQQGTPVLAKQSTISQSAPL >SECCEUnv1G0527140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:321711:323870:-1 gene:SECCEUnv1G0527140 transcript:SECCEUnv1G0527140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAMARRLLSPSASTSAAAARLLLSRHLSSAPSFPRGGAGSRALMDMLRPAAVAPSSSLHQLIRNAGISTTRSLLAADDAMVPVSSPLSSPLGAAEETDEKGAVVKRLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTLYQVIHSARANAAHNHGLDADKLIVEEAFVGKGLYLKRLSYHAKGRCGVRERPRCRLTVVVREATAEEEAKIAKLRVMNYKKLTRKEKQLMPHRLIEVSPKWARKRKEEEEEAGASA >SECCEUnv1G0533230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:27506639:27507031:-1 gene:SECCEUnv1G0533230 transcript:SECCEUnv1G0533230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAAPQLPRRDARKLARCPRLQLDAKTVTAVEQSTGTPVADAAAGAATTSPGGGMRVKIVLSKQQLKQVAAAVAAGGAFALPPALEQLVSVIKRQHAKKQAAPTNAAATRRPGRWSPALHSIPEDIFS >SECCE1Rv1G0008840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:50199338:50200611:-1 gene:SECCE1Rv1G0008840 transcript:SECCE1Rv1G0008840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQEDRALLPTTAAGGSADAASAASARLRTAGLVAAWYASNIGVLLLNKYLLSIYGFRYPVFLTACHMSASALLSSAFAAATTTSGSSPRRPLSRGQAARVAVLGAVFCGSVVAGNVSLRYLPVSFNQAVGATTPFFTALIAYAVAGRREARATYAALLPVVAGVVIATGGEPSFHLFGFIMCVGATAGRALKTVLQGILLSSEEEKLNSMDLLRYMAPVAVVLLVPATLTMEPDALGAAAALAREDPSFVWMLLGNSSLAYLVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFQNPVTVMGMVGYGVTIAGVVLYGEAKKRSR >SECCE3Rv1G0169750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:241623434:241626522:1 gene:SECCE3Rv1G0169750 transcript:SECCE3Rv1G0169750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLPLLLLAVAANAASLVAPSLGLNQDGLYLLDAKRALTASALADWNPRDATPCGWTGVSCVDGAVTEVSLPNANLSGSFPAALCRLPRLQVIDLNGNYIGPDIPKAVAGCKALVRLDLSMNLLVGPLPEALAALPELVYLSLEGNNFSGAIPESFGTFQKLQSLSLVNNLLGGKVPAFLGGVATLRELNMSYNTFAPGPVPAELGDLTALRVLWLASCNLAGSIPASLGRLANLTDLDLSLNALTGPIPPELAGLTSAVQIELYNNSLSGSIPKGFGKLAELRSVDISMNRLVGAIPDDLFEAPKLESLHLYMNSLTGPVPESAAKASSLVELRLFSNQLNGTLPADLGKNTPLVCLDVSDNSISGEIPRGICDRGELEELLMLNNALTGRIPEGLGRCHRLRRVRLSKNRLDGDVPGAVWGLPHLALLELNDNQLAGEISPVIAGAANLSKLVISNNRLTGSIPSEIGSVSKLYELSADGNMLSGPLPSSLGSLAELGRLVLHNNSLSGQLLRGIRSWKQLSELNLADNGFTGAIPPELGDLPVLNYLDLSGNRLTGQVPAQLENLKLNQFNVSNNQLSGQLPPQYATEAYRSSFLGNPGLCGDIAGLCSASQGSSGNNSGIVWMMRSIFIFAAVVLVAGVAWFYWRYRSFNKAKLKAERSKWTLTSFHKVSFSEHDILDCLDEDNVIGSGASGKVYKAVLGNGEVVAVKKLWGGAAKKDIENAGEGSAADNSFEAEVRTLGKIRHKNIVKLLCCCTHNDSKMLVYEYMPNGSLGDVLHSSKAGLLDWPTRYKIALDAAEGLSYLHQDCVPAIVHRDVKSNNILLDAEFSACVADFGVAKVVEMAGRAPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTIDQKGVEPVLDSRLDMAFKEEISRVLNIGLICASSLPINRPAMRRVVKMLQEVRADARPRLDKDGKLSPYYYDDTSDQGSSV >SECCE1Rv1G0010780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:65709190:65715076:-1 gene:SECCE1Rv1G0010780 transcript:SECCE1Rv1G0010780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAAAAAAEGEEKAAEAGGRELLYCGTVRFDIMGRMAKGGVQGRGNLVSPTRLRPLVGVDIRFVASGCAACHCVALSADGRCFSWGRNETGQLGHGDTLLRNLPTVVSQLSKYNIIAAGVGRKHTVVVTDEGKSFAFGDNKHGQLGTGSLKSGTVVSPVPCLVTEATNAVCGADFTVWLSSVEGSSILTAGLPQYGQLGHGSDNEYNAKVSSVSLVYEPQPHPRAIAALSGNTVVKVACGTNHTVAADSSGFVYTWGFGGYGRLGHNEQKDEWQPRLVKIFQKSNILGPNDIISAGSASSACTAGGRGQLYMWGKVKNSGDEWMYPKPVMDLSGWNIRCMASGNMHHTVGADDSCISWGHSEYGELGYGPTQKSSVNPKKVDILEGMHVTSVGCGVGMSLIVVDRANVGGKLDQLDTFDGDADALFQENTKELYFPPRRNGKGKPNTSQHPAIDETEEETSEAEQVTKAASHTKNSRPNKRKKALEHAEPEEEAECGSHGRGQSTRRGRGRGRGAKSATPEPMRRGRGRPRKGSPGAAPSEAGSSARGGKKSGKRGRPRK >SECCE4Rv1G0250950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:597742587:597743504:-1 gene:SECCE4Rv1G0250950 transcript:SECCE4Rv1G0250950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLAYSGAGLGLLALAALEALQPRLPRLPLLPRRLSAPPHHRGLLAALLSALCLLSALLSAHHLALPTLAASALFLLHSLAPFAFAPLAAPPPPPLLDLLLAAAFGQELLLFAHRRPSTAAGIENRYFDLFLVPVAVCLGATLLAAHRPGAAAPRLARAAGLALQGTWMLQMGFSFFTDAIAGGCALHAQSRADYTIKCRTHEDYHRARSVATLQFNGHLALLVLAGAATYAAVISRGNGSPPSGYRMLDKEVQMEGMPLSSQFTLDSDEEKEDEEITTTVPPVANGVNSHQQISVQTPDDPK >SECCE7Rv1G0467060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:83174831:83175202:1 gene:SECCE7Rv1G0467060 transcript:SECCE7Rv1G0467060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLQAVLVATLVLAAMAATLPAGTDAAWAPIPARDLNSKVVQKVGRFALLVHDIRRQTTLVFVKVERGETERPADGGAGTNYRLVLTAAKVPGGGRGQYECVVWGVPGSRTSTWKLLGFKAL >SECCE4Rv1G0234190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:270296721:270303827:-1 gene:SECCE4Rv1G0234190 transcript:SECCE4Rv1G0234190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLPADQRPMEVAALPGKGVGGRRRGEEAPGRIAGIGTGNVACLFTRQGKKGTNQDAMVAWENFNGRSDTVFCGVFDGHGPHGHLVARKVRDTLPLKLCDLIYDDYGESPTSNSDGSVLEESLSPYPDAQDKSPTFTAQKEEHLEFFDSMKESFRKAFRVMDKELKLHRNIDSICSGTTAVTLIKQGQDLIVGNLGDSRAVLGTRDQNGHLVAHQLTVDLKPDHPREARRIKRCNGRVFAHQDEPDVARLWLPNCNSPGLAMARAFGDFCLKDFGLISVPEVTYRRIMEKDQFIVLATDGVWDVLSNQEVVEVVASCSGHSGAARAVVDLANQTWKFKYPTSKTDDCAVICLFLSKDVAAGGLSGLSVASKGIGSSPGMPPRLRTPQHFSKRVIPDDADDECDPNISGDERSLEGFTRLNTLLTLPKFGDTSPTKK >SECCE4Rv1G0286360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849235830:849237623:1 gene:SECCE4Rv1G0286360 transcript:SECCE4Rv1G0286360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAPPLDDDNLLFEILHRLPALPSTLPRASLVCKRWRLLVSDPGFIRRFREHHRHSPPLLGYFIHDRRGISYEPTMDPPDRVPAGRFSLRLEGSYGFTLLGCRQGLVLISHELRRQILVWDPVTGDQHHIAFPPWFDGMTNQIQGAVLRAAAEADHFQVVLVQATIWDEQHLQVLACVYSSEAGGWGNLVSTPIPAPAYCRGMSAVLVGNSFYWCLAGCFCAVLEFDLERQSLAVIQVPVGEEDSFTVMRAEGGGLGLLSVSGFTAQLWKRKTDSDGIASWGMGRTIQLDKLLFPNLDETAQLFIIGFSDENNVVFLTASYGVIMVQLESLQSKELCEIDSFDEHHHAFESVYAAGIGIGGEHDGAELVDNP >SECCE7Rv1G0519460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864753151:864754663:1 gene:SECCE7Rv1G0519460 transcript:SECCE7Rv1G0519460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLVCAASLFFLVLLSSSKPAISSPSGGHRYKSIFSFGDSFTDTGNNPVVFGWYDVFNHVTRPPYGTTFFGRPTGRNGDGRLIIDFIAENLGLPYVPPTLAHNGSFRQGADFAVGAATTLDARFFHERDIPGGSSKFPFNTSLGVQLEWFESMKPSLCRTARECKKFFGRSLFFVGEFGVNDYHLSFQRKTVQEVRSFVPDVVATISTAIERLIKHGARSLVVPGMIPSGCSPPILTKFADAPPAAYDSKTGCLTAHNELGLYHNALLKAALSRLRAKHCNVKIIYADFFGPIMEMVESPHKFGFEEDVLMVCCGGPGRYRFNSTFRCGDAAATTCRDPSARLYWDGAHLTEAANRHVAEVWLGEINSSTGLSRKQQGAEGHVD >SECCE5Rv1G0324100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:442594436:442597486:-1 gene:SECCE5Rv1G0324100 transcript:SECCE5Rv1G0324100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSQTPTVAAAMSSHHFEIMVFCFCSLLSSIHVPSATSLSFNFNFSDPGSYCAPDADIACAGDAFFHAPFMELTKNDISEGNNHSIGRVWYPQPVPLWNKATGEVTSFNTSFSFQIRPVNTDFSADGMTFFLGYYPSGIPLGSYGENLGLFNGSNNKNATGSDRIVAVEFDTFRNMEWDDDDNHVGIDVNSIVSVVSTSPDKKLTLGTTMTAEISYENSTEKLSVILWINETSYRINTSIDMKICLPEEVAIGFSAATGSSIEVHRVLSWSFNSTLAGKSSSTLLPGAVPVPPETVSSKSQGKVQATIAFSVAIFSVLVCSFMGFLLRKQRVWKKANQTSDGEFEDENDKAEFEKGVGPRRYHYSELAAATGNFAEEKKLGRGGFGHVYQGCLQIDDKDRHVAIKKLSSESSVQGRKEFEAEIKIISRLRHRNLVQLIGWCDSCKGLLIVYELVSEGSLDKHIYNRGGLLKWPERYNIIIGLGSALRYLHSEWEQSIVHGDIKPSNIMLDASYNTKLGDFGLARLVDHGAKSETTKVVMGTAGYIDPELVNTRRPSTESDVYSFGIVLLEVVSGRHPVTESEDKFFVLLRWVWDLHIKNTILEAVDKKLRGRDEMDERQVERVLVVGLWCAHPDRSERPSMAQAMHVLQSEDAKLPELRPQMYRAEPVLAMGEHGYTDLSVWTSSSGRTAVGSDAEPSN >SECCEUnv1G0563020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:370894866:370896272:1 gene:SECCEUnv1G0563020 transcript:SECCEUnv1G0563020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASSLLPVPSKPDRSAPAIEVQVLSSKLVKPAASTDAGVDAGPEYVPLSAFDRVTYQIQMAIIYVFAPPAPSTAALEKGLAVALAQYRVFAGQLVVCGEAPGGGPAVLLNDHGARVVEASVDADLVDVAPPKPKPELLLLHPNLEEGMDEVVVVQLTRFRCGSLAVGFTANHAVTDGRGTSNFLVAWGRATRGLDMGLPPVYNNDELFMPRSVSRVEFDHRTREYYLPPLATNIGSKAADDVKKKSIVIHKAHFTKDWITLLRASASEGLDRPFSRFETILAHLWRAMTRARGLGHNETTTIRLSVDGRDRLGMPAEYAGNLVLWAFPQATAGDLLNRPLKHAAQVIHDEVARVADADYFRSFVDFASSGAVEEEGLAPSAALNLRQVLCPDLEVHSWLTFPFYDLDFGTGMPSYVMPSYFPTEGLIFLMPSYIGDGSVDAFVPVFQRHLEAFKQCVYCISMNDME >SECCE6Rv1G0437510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:784466004:784467965:-1 gene:SECCE6Rv1G0437510 transcript:SECCE6Rv1G0437510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACCCFVSQLLIVITLIYLVMTKSKVHSGTCSSATVSFPLPPGPWSWPLVGSLPHMVLNKPVFRWIHRVMKDMGTDIACFRLGGVHVIPITCPKIAREVLKKQDKNFSSRPLTFASDTISSGYKDAVLAPFGEQWMKMHKVLTSEIICPSRHKWLHNKRADEADNLTRYIYNLTAEGSSSTPGIANVDVRHVTRHYCGNVIRRLVFGQRYFGAPQPDGGPGPMEVEHMDTSLTLLGITFSFCVSDYLPCLLGLDLDGHEKIIKEANTKVDRLHNMVIEERWRQWKSDERQDGVQDFLDILITLVDGDGKPLLNIDEVKAQCKTMILAAIDNPSNAVEWALAEMVNNPELLAKAVEEMDRVVGRERLVQESDIMHLNYLKACIREAFRLHPVAPFNLPHVAIADTIVAGYHVPKGSHVILSRLALGQNPTVWDEPLHFKPERHLEDNINVVLTESELRFISFSTGRRGCIAASLGTTMTVMLFGRLLQGFTWTKPAGLSAINLRESKHDLFIEKPLVLHAKPRLAVHLYPLMHH >SECCE4Rv1G0226330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:108997439:108999461:1 gene:SECCE4Rv1G0226330 transcript:SECCE4Rv1G0226330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWSPIIGLLLLLLTLVPSSCSAMTYQLDGNVYPVGHYYVTMKIGKPQKAYFLDIDTGSNLTWLECQHPQLGCQHCTRYPKHPYYSPQPSKLKMECQHKYCRALRKDLAGSNPHQCPNEKTHQCHYEIQYLDGTSDGVISIDTFSLGTHEELIAFGCGYNQKPQKETSPVDGVLGLGMGTVGFVPQLMLHKMITKNIIGHCLGKDGGGYLSFGEQFHPRGITWAPMRKYELFYSPGQATLHLNGQQIYKHGVNVVFDSGSTYTYIPARIYNPFVRKVQDIIGSSHRQVHDDDLPHCWKFKSIDEVKRLFKPLSLQFDNRIAMHIPAMNYLVHTRSNNWCLAILNGTQVGEDQRIIIGDATMRDMLVIYDNEQLRLGWVNQQCNRSPPASRL >SECCE7Rv1G0471010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:125951706:125952752:-1 gene:SECCE7Rv1G0471010 transcript:SECCE7Rv1G0471010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRRSDDEGGPRSMRSHSRRHYLYIVVDDWTKGYSIYKVDVADLDGESGADLDSRASRLPEPPVFRLEFPHDCSSSFAAIGGRIVAMRDNQEENAAPVVLYDTATGGLALGPRTPVRVRYVSHLVSACGRLYVMGASDLYKGEDHFEVLAADEKGVWAWSAVPMAPFTISQVTCHAAHPDGHTIFFSAFNHGTYSFDTETCRWKRHGDWMLPFQGQAYYDGEVDAWVGLHPDSGIVCSCDVVSAADDQGLPAPAWKLAKEKMVCEEMERTKTVTLARMGRGRFCLVEHRSRNGVPSDVLDSRYLFYATTFKLRYDKNGGLRATACRTRSYTIPRQSNGLNWWVFGM >SECCE1Rv1G0015400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:124469976:124471952:1 gene:SECCE1Rv1G0015400 transcript:SECCE1Rv1G0015400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVATSPACSRLIPSSPLSASAYTAAPSLVRLVGSSRRLRRALRVSAAAEPADALPGAGSGELDGVVPAGLLEELPEGLAFQGDVGGGFAPGGSGGGGDDGNKMLDRGINAAIVLGASTYALTKLLTVDQDYWHGWTIFEILRYMPEHNWSAYEEALKANPVLAKMMISGIVYSLGDWIAQCYEGKPIFEFDRTRMFRSGLVGFTLHGSLSHYYYHFCESLFPFKDWWAVPVKVAFDQTAWSALWNSIYFVALGFLRWESPSTIFSELKATFFPMLTAGWKLWPFAHLITYGVVPIEQRLLWVDCVELIWVTILSTYSNEKSEARILDDSSTTDTQDNSR >SECCE4Rv1G0214860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:2032060:2035666:-1 gene:SECCE4Rv1G0214860 transcript:SECCE4Rv1G0214860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSTEALKEAITQVVADAKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHVPRPKMRVCMLGDAQHVGEADKIGLDSMDVEALKKMNKNKKLVKRLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVSHQESLEAKVNETKATIKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPVRVF >SECCE2Rv1G0072040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:49187844:49189949:1 gene:SECCE2Rv1G0072040 transcript:SECCE2Rv1G0072040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGPLDLGKFLPVRILVLVSFGLQVVLFVCAGVRRHEATGVWRLRRLLLWQAYIMADYMAIYALGHLSLRSKARDHQLDAFWAPFLLLHLGGPDNITAYALQDNQLWLRHLQTLVLQVGGAAYVIYKNSAGSVAPKLIFAVGLVKYVERTWALKCGNLDSIRISVKTQPPAMHKHSHRQDEVPSLKKNGDQFDEESHLRRAHSLFHICKRAMVDCSVIEKDPDGRQDTRKMMAGKVELWRLMETELSLMYDILYTKAAVIHTWHGYCIRLISPIATFVAVVLFRLSGNDKDDGRRRADVIITYVLFGGALFMETTSLLNALVSSWTFAFLSTTRWRRFRYEALCSERWDRLRRKVISLHHLVGGGSRYESRRWSGNMGQYNMMHFCTRPDTPMTSPLLGRLAKAVRLMETWNKKHYSGTVNISDHPLIRERISERMGQLYKDVGLNSLGMLRKKWGEEPLARRDLYQGFLQRSLGVEFQEGIIIWHIGTDVFLSKSERAKAETASPRPPGLVKAIKVLSNYMMFLLVERPYMLPGNSQSRLYQRTCENLGDLRKRSTLGRRRKMSICAMVKSMFRLCDGPGSTSSRSSDGEELAKHLYREHVNGRTKFTHDCPRLTYAARLAKELLEMEKRKPDDSLQLVLEVWMDILVYAGNKCSKESHSKKLNDGGELTTIIWLMAEHLHQLSVEEDSYQSLLREDGV >SECCEUnv1G0556260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310670427:310670648:-1 gene:SECCEUnv1G0556260 transcript:SECCEUnv1G0556260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTVEDSSKPRPKRTGAGSLLKPLNSEYGKVAPGWGTTPFMGVAMALFAIFLSIILEIYNSSILLDGILTN >SECCE2Rv1G0100300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:538867429:538869262:-1 gene:SECCE2Rv1G0100300 transcript:SECCE2Rv1G0100300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRQCFKHVFPAEGSSSSILHGPGPRAAASRSRTALNFHRCCVRASLWRTDHLHISTARSSEIKVHTLLQVPDVFNSINSWSKLQLVTVTGLAACVVLLVPSAGATDALKTCTCLLKECRIELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENSVVDQFNECAVSRKKCVPKKSDVGEFPVPDPSALVKNFNMADFSGRWYISSGLNPTFDTFDCQVHEFHLEGDRLVANLAWRIPTPDTGFFTRGAVQRFVQDPSQPAILYNHDNEYLHYQDDWYILSSKIENKDDDYIFVYYRGRNDAWDGYGGAVVYTRSKELPETIVPELERAAKSVGRDFSTFIRTDNTCGAEPPLADRIEKTVERGEKLIVDEVKEIEGEIEGEVKELEREEETLVKRLADGIMEVKQDVMNFFQGLSKEEMEILDQLNLEATEVEELFSRSLPIRKLR >SECCE6Rv1G0437800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786327179:786327451:-1 gene:SECCE6Rv1G0437800 transcript:SECCE6Rv1G0437800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTRMLYFQTHHPHANFQDNHASVTKKEAPVGASHVQAPKKQVRFQDNHANPIKKDDVNADVDLVASDFIKRKHMSWALQKSTTMYPSS >SECCE3Rv1G0157610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:82983001:82984768:1 gene:SECCE3Rv1G0157610 transcript:SECCE3Rv1G0157610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLLLAALLSVLLLLLALYLLGGKRRRRSYPPVAGSMLQQLLNWGRLPEYMTELSRRYGTFRMLTLTCSSWVYTVDPANVEYILRTNFANYGKGPMTHGVLEDLLGDGIFNVDGAMWRHQRKVASFEFTTRALREYSSGVFRDMAAELAGIVAAAAAAGERLDMENLFMRSTLDSIFAVGFGVNLGALSGSNKKGAAFAKAFDDASEQVLYRFLDPLWKAKRLLGVLSEAAMKRSVRTINDFVYAVIDKKIKQMGTDGHEFAKKEDILSRFLLERENDNKYLRDIILNFVIAGRDTTAGTLSWFLYVLCRDQRIQDKVAREVREATTGDRQDACGVQEFVARLTEDAIGSMHYLHAALTETLRLYPAVPVDVKCCFSDDTLPDGHAVRRGDMVNYQPFAMGRMKFLWGDDAEEFRPERWLDHDGVFVPESPYKFTAFQAGPRICLGKEFAYRQMKIFAATLLYLFRFEMWQRDSTVGYRPMLTLKMDGPLYVRASPRRSTGN >SECCE7Rv1G0503230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:708608727:708611087:1 gene:SECCE7Rv1G0503230 transcript:SECCE7Rv1G0503230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSKFVKIIVPARRLSGSISGTTVGSSKKVYDAEKFALLVQSCADVRSLKKLHARVLAHGLGWDVVLGSKILGLYAHLGALPDSRLVFQSIVNGDLALWNSAMVDYFRAGYLEEVILLYRRLKLHQFYLNEKSITFGLKSCTQLRNLFLGKGMHVDSLKLGLSGDKFVGSSLVGLYSKLGKIDDSQRAFEEIFDKDIVAYTSMISGYSDVVDSSAWNAFEIASEMIRNNLEVNRVTLVSLLQVAGNLEAFQLGKSMHCYAIRRGIGVSDEVLETSLVDMYARCGAYQLAYALLNNSKGTVASWNAVLSGLTRTQESRDAIQYFSVMLRHHKVTPDSVTFANVLSACAELCYCDYAASIHAYLIRRTIALDLVLATALIEVYSKCKRVVRSRHLFDQLTVKDAVSYNVMIHGYLQNGLADEATTLLNHMMKECIAPNSATVVCLLAAFADQRDLVRGRWIHGFAIRHGFSSDVDIANQILHMYSICREIVATKNVFDSLEKKNLFSWTAMMKGCLSVGRADEVVRLCQLMQQHGEKPDSVTLMYAVQAVSELGHLKGVKEIQCFVYHASMEKDTITANSLITAYAKCGRLDLSEALFFSMEHKNLDSWNAVISAYGMHGFYLKVLEMFQQMEEEKIKPDELTFTSVLSACSHAGLVKEGWCIFQSMISLYSVHPQEEHYGCIVDLLGRAGHLEEGYKFIKLLSLTDKSSMYCALLSACRTYGNTLLGHIISKELLEHGPQDPGTYALISEVYAQEGQWNESANLRASANESDSKKLPGSSLMESV >SECCE2Rv1G0063980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:777369:778676:1 gene:SECCE2Rv1G0063980 transcript:SECCE2Rv1G0063980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFRAWADLPPELVCRIADGLDDLKCYASARGTCMTWRSTLMPPSPSLLVDHDDDPDRRCSTTTIKRRTTAVSILARRSFGLNSIPSGQLCPAARRLFELTTTPSVRTCVGCCSGWLALSVCIDAIRNLLSLFHPVTGAEILLPPLIYESRLVSKMVFAPNPTTDDFLAVVICDINRLAYVTAGARRWAVLDPMRLAAGDQIADLLYHRNGRVYCLTRFGDVHVLCLPERRRREPIILESHTSTYPVVHNKRIFQMHGTGPDLNGPATVETLLSAVGSNQLFDTATSFDPPYNTISTFTSANNLVLCDGNLYQIWRNAAGTVNLQLLGGGRCRVAHDEIFVLRFDPQRRPCWYIVADLRGYSVFVGRNNSMSIYAEDIPGLKGDCVYWIGGRGREQGMVYDMRTGTSTPCTPFMDGVFLGSPQSTICWYFLTDK >SECCE1Rv1G0058520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701060369:701060635:1 gene:SECCE1Rv1G0058520 transcript:SECCE1Rv1G0058520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSSSRSTSPSSDSEWSKKENKMFEEALAYYGVSAPNLWEKVASAMGGTKSAEEVRRHFQLLVDDVNSIEHGRIPFPKYKTQGFWA >SECCE2Rv1G0127610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:854985175:854988714:1 gene:SECCE2Rv1G0127610 transcript:SECCE2Rv1G0127610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLPAAAGNSCDEFGYGAPNPPPPSLFPIMDHQEGAGGIHREHDHHHHHHLGGYSLEPSSLALLPPSSHATIAAHSPHDILQFYHHPTSHHYLAAAAAGGNGSPYGQFGGGGAGGGFQSYYHQQAGTGAPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSGAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSEADAADKRRTQASKAASTKGKAAGSSSKSTGTGDGMDIQVQLGSGDLSKNQDESMGLGEVVKEMQVDPKGKASMQQQQQQQGHHGHGLHLQSQHGFPFPSSSAGSCFPQSQAVSSTDNTSNIGQVQQDQPGLGFHQHSNILQLGQAMFDLDFDH >SECCE2Rv1G0095230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:415171166:415176297:1 gene:SECCE2Rv1G0095230 transcript:SECCE2Rv1G0095230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFELPRRDSSRDGDVEMGMHQPDASDNLKGFLKKVDGIESLIAKLKNLLTKLQTANEESKAVTKASAMKAIKQRMEKDIDEVGKIARMAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQVLRESIRQEYREVVERRVFTVTGNRPDEETIDDLIETGRSEQIFKDAVQQQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFLDMAVLVEAQGDMINHIETHVSNATNHIQQGVGALQNAKKLQKNSRKWMCYAIILLLVIVVIIVVAVIQPWKK >SECCE6Rv1G0398560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:422964531:422965732:-1 gene:SECCE6Rv1G0398560 transcript:SECCE6Rv1G0398560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit 3-like protein [Source:Projected from Arabidopsis thaliana (AT2G20490) UniProtKB/Swiss-Prot;Acc:Q93XX8] MYLQFYINEKGVKVYTTKKESPLGVPTQSAHPARFSPDDKYARQRYLLKKRFGLLPTQQPAQKY >SECCE7Rv1G0454900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:4824229:4825997:-1 gene:SECCE7Rv1G0454900 transcript:SECCE7Rv1G0454900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVNGALNWAAMPAMVASLLLFYPPYYLFKTCYSFLSYLFPDDLNRKAVLITGASSGIGEQLAYQYAAKGASLALVARREWSLRQVADRALELGAPDVVILPGDVADPDDCNRFVQATVDHFGRLDHLVCNAGIASVGAFQEIPDVTNYSSQLDVNFWGAVQSTFAALPHLKRTRGRIVVTASATGWNPVPRMVFYNAANAALINFFETLRSELGSEVGITIVTPGWIESEMSKGKFLKEHGGVEVDQEYRDAQIGLFPVEYAKNCARAMVQAARQGDRYLTVPSWFGTMYLWRVFAPEVIEFCYRLLYMQGHGGGDQTDAPSKKMAQGGGKQMLYPTSLRSSDVKSD >SECCE3Rv1G0203440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883684593:883686257:-1 gene:SECCE3Rv1G0203440 transcript:SECCE3Rv1G0203440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAQDFQPRTFSIKLWPPSESTRLMLVERMTKNLSSESIFSRKYGLLGKEEAHANAKRIEEMCFASADEHFNTEPDGDGSSAVQLYAKETSKLMLEVLKKGPGATAEPEAPVVDTPIEPAETVFDISGGKRAFIEADEAKELLSPLTEPGNSYSRICLSNRSFGIGAANVAGPILESIKSQLKEVDISDFVAGRPEDEALDVMRIFSKALAGSVLRYLNISDNALGEKGVRAFTELLKSQGDLEELYVMNDGISGEAARALSELIPSTEKLKVLHFHNNMTGDEGAMFIAEMVKRSPNLESFRCSATRIGSDGGVALAEALGTCTRLKKLDIRDNLFGVEAGVALSETLPKLGDLVELYLSDLNLENEGTIAIVNVLKESAPQLEILEMAGNEITVEAAKPLAECLTAMQSLKKLTLAENELKDAGAAAVAKSLQEGHPDLKELDVSTNLFQRSGARCFAQAVANKPGFVQLNMNANYIPEEGIDEVRKILKAGENSADVLGPLDENEPEGNPDDEDEEEDDDDEAKYGDDNGKDAGLGSKLQDLKVEAEED >SECCE1Rv1G0025170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:347476491:347484576:1 gene:SECCE1Rv1G0025170 transcript:SECCE1Rv1G0025170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDPWSPFMLEGEEAVNKFDGICLRNTHDSGVLIVTNFRFLFMSKGTINKLGTIPLTTVDKVFIPQSLSKGQDAKSFKIFGYDMRVLEFVLESGQEFKLCKMVEILERHAKPSHFGHLFAFALNPTPPAVANRISPDLRLMKEYQRLFHKWFPHSPTSGFEVQKLLDNKWWRVTEVNSEYSLCSTYPSRLIVPHDIRDDELNSLSTCRARCRLPVISWCNPETGAVLARSSQPKGTIGFRKDCDERLVSALRTKRVLSVEPLRKLYIVDARPSINATANAFGGGGTESASSYQESEVVYLTIENIHVMRKSLESVREYVDKYGSVSSKGPPLAGSAPGDPENRGSNFFGVTLNSTTEFSSMLGTWLNHIEHILVGASWIAAKIAHESAAVLVHCSDGWDRTTQLVGLASLLIDPYYRTFTGFQALVEKDWLAFGHQFALRMGLPTKGMGRPSVLEISPVLLQWLECIAQLLRMYPFAFEFSPIFLVDFMDAVLSCQFGNFLCNSEKERKDAEVARLCPCIWEYLADLRASGGTFHKHRNPFYEPARNTGPIVPPAPALAPTLWPEFYLRWACPLESEGVDHEALKAKSRIVELESLLAEQKSSHTDTSDAAKPGGRSIRTVGSNVKTK >SECCE4Rv1G0261660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:703098363:703099805:-1 gene:SECCE4Rv1G0261660 transcript:SECCE4Rv1G0261660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRVNQEWNPSEVEGARSIIDRLNNYYNNDGTSRDKNKKHDIVANLKAWFPRKTMRQVTDLYDDLIVEMHMLKCPEKYYNGTNSDHGVIYTVDDLVNKKIGPQEEVAMEGMGILFGHPLESMRTMEIQEEVPMVEENKVVLEDKMCIPQPVLAPHAKGFWTLEEHRLFLHGLSVCGRGKWKDISKYFVTSRTPTQISSHAQKYFMRLQSKGSGSQRYSINDVELDDADPWKMENSFNCWQALALQSTISADNQNPSFDLQTPSSPFVTMNNIV >SECCE2Rv1G0073370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:67276597:67277723:-1 gene:SECCE2Rv1G0073370 transcript:SECCE2Rv1G0073370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSLSAVLLLCLAVAASAQLSPTFYQTTCPNALSTIKAAVTAAVNKENRMGASLLRLHFHDCFVQGCDASVLLSGMEQNAIPNVMSLRGFEVIDSIKAQLEGICKQTVSCADILTLAARDSVVALGGPSWTVPLGRRDSTNANEAAANNELPPPFFDLVNLTQSFGDKGFTVTDMVALSGAHTIGQAQCLNFRDRLYNETNINSGLATSLKANCPRPTGSGDRNLANLDVSTPYSFDNSYFNNLKSQKGLLHSDQVLFTGTGGGTDNIVNNFASNPAAFSSAFASAMVKMGNLSPLTGSQGQVRISCSKVN >SECCEUnv1G0562230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:363385208:363386026:1 gene:SECCEUnv1G0562230 transcript:SECCEUnv1G0562230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVVASAVTGAIRTLLPKLTALLHKKYKLSSGVKKKITSLRHEMSSMSALLVMLAGLEELSARDKDWRDKVRELSYDIEDCIDIFTHELDRHEAKGGLRRRLKKIKARYKIGHRIEELRAQVVELSNRHNRYRPDECIDSPSSRGLVEVDPRLQALYVDPDSLVGIDAQKEKLIELLGTDVGDTQRLKLVAIAGFGGMGKTTLANQVYTKMKGQFDCTAFVSVSRNPNIAKILSDIYSATTGQTTDCNDERLIIDWLRNSLEVKRYAGSH >SECCE1Rv1G0025800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:360427615:360431110:1 gene:SECCE1Rv1G0025800 transcript:SECCE1Rv1G0025800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKRRRRSATFLFPASPSPPSRVKQTTSWELSLSLSLFSPPHPASSLPPSSPLANSCAIRPRPRGHRNTPRRTASPRRIRADRAQIRPPAAPPDVMSRPHLPKDHAFPRANGFFDGGPRRLCEIEEADAAAALAADHSWSGSPSQSTSPSSASSLPMSSCGQYMLHRVGKFDTLAGVAIKYGVEVADVKRLNSLSTDLQMFAHKTLRIPLPGRHPPSPFQQNGSYECDDRECTPRRLHDDLLESVLRTPRHKVSPAMSLLQGYYGLTPPPKRDPTQEGTEMPVYGKGKSISLVDEPWSAETPNPNTFMFEHRKPRSQTMGSLVNGESEENGDGERPIRRRPKADGELLPREENGSALLSRAGKGLALRPKSGTRPDMNKSHQNLIAMSEPSFDGGLQTVKKSSSTPEFQEPESNSGSSIWSASKWSLKPDAFALPLFDSIPKPIAAWKNKAARD >SECCE4Rv1G0282680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829804363:829806279:-1 gene:SECCE4Rv1G0282680 transcript:SECCE4Rv1G0282680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDAGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVTSKILSKVIEYCNKHVQAKPADAAAGAGAAASDAAPPAEDLKNWDAEFVKVDQATLFDLILAANFLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >SECCE1Rv1G0019530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:236196234:236247009:-1 gene:SECCE1Rv1G0019530 transcript:SECCE1Rv1G0019530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGGGAAAPGDYVYFKSVVPLHKISIGSKLWRYYDFGPKAVPPLICIPGIAGTADVYYKQIMSLSMKGYRVISIDVPQVWNHHEWIHSFEKFLDSMNIHHVHICGTSLGGFLAQIFAQYRPRRVKSLVLSNTFLETHKFAAAMPWSPLVSWTPPFLLKRYLLTGIRDGPHEPFIADSVDFVVGQVETLSREDLSSRLILNVNVASVGSLSLPDSFITIMDTNDYSAVPQQLKDQVSERYPSAKRAVLRTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRDGSSGSSNDHEDGNSFDDHHRDDGDRSSGSDGRKTTEHSESGPPNSDELVSILLGMVSSALHVSLCACS >SECCE7Rv1G0468720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:103062318:103062938:1 gene:SECCE7Rv1G0468720 transcript:SECCE7Rv1G0468720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCFSRLRRPASAAAGAPAPVQQPDEASTSAASTSTPETSPRSSSSSARFKNACLRDGGRGGDADVAVAKECPRLSSALAVDSGLSSAIASRRFFLSSPGRSNSIVDSSAAHAAAVLGVGAAGVAVPTYSPDPHADFLRSMEEMSAALRLDARRRSDRARLHELLLCYLALNDKRTHRYVVSAFTDLLLRLTATDDADDEQRGSM >SECCE5Rv1G0337560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:591968585:591969226:-1 gene:SECCE5Rv1G0337560 transcript:SECCE5Rv1G0337560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARRPQVPAFGEWNYYYHYDEPEAAWYATPEPQACSDVWFKYSPPPRKPTSPKKQQARRRRPAGADCDSVCRERQRARPSLDAAAAAKGGASRRVVRPVDADLYQVPPPEFTPNRRPMKQRRSLWVMGCLGCVA >SECCE5Rv1G0329860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:522428123:522431003:1 gene:SECCE5Rv1G0329860 transcript:SECCE5Rv1G0329860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASTSGEWLKVALQELRGRTGSALELDDGLISGLVSFCELAPPPDAADYLANIVGAEAAQDLIQEYLQRRGYIDPSKGAGSSQSSNLQPYLKSSADAANAQTKKQTRTQKDPASSSSQSSKSQSDAAETHAASKRGPKKKGGKAISLAEAAKGSIVFKQGKPCSCQARLHNLVSNCLSCGKIVCEQEGEGPCSFCGSLVLMEGSTYAGLSDVGVPLSETEVAAEAYAKRLVDYDRNSAARTKVYDDQSDYFEMEGNSWLSSKEKTSLKKVHDEAQDAAEKQKGKVTVTFDLVGRKVILNKDEAAESESDQGIMRPLEQKHQVQRIQPSPSIREQPVFVETGPVKPRTDRVKQSKKLGKNGLCLEVTGRLQHDDKDPQSFLGGKMKKGDHLAYSSFGQVREGDDYECSLDFD >SECCE2Rv1G0126240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:845103308:845103912:-1 gene:SECCE2Rv1G0126240 transcript:SECCE2Rv1G0126240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFFMASSSSSVRSRQRLQHLPLIMCPDCGEVQVETNICGKEGENEGKRFYKCPIDTGPNKCGWFKWEEAYAAILRRRQAAALRAQNAPRQHVANYVYQAQMPVVQEPLGMQAPIPVLQAPPVPLAQQNARGGPNWITVALMVNTAVNILLTMALIGRT >SECCE4Rv1G0274460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:784742664:784743059:-1 gene:SECCE4Rv1G0274460 transcript:SECCE4Rv1G0274460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLRLVALLTFLLATFFAIAHAQTSTSPPPPSPVVPDGWTVVANTSDLAIQQVGQFAVRIYALSTGKLRLGFVDVVSGMTRPSNGGFDYQLVITVSDGPAPRNNRPYKASVWGILGTMSWKLWSFTLVV >SECCE6Rv1G0383280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:51578407:51580539:-1 gene:SECCE6Rv1G0383280 transcript:SECCE6Rv1G0383280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTVPPVVLQLFLLLFLASPATPCTEQEKRNLLQFLAGLSCDGGLTMSWRNNGTDCCEWEGISCNGDGAVTGLSLESKGLEGPISPFLANLTSLLRVNLSHNSFSGGLPAELMFSGSIVVLDVSFSRLNGPLPKLPSFVTTNRPLQVLNISSNLFSSQFPSATWKVMDSLIALNASNNSFTGNIPSSLCLGSPYLALLDLCYNQLSGDIPNALGNCSKLKVLKAGNNNLSGILPVETFHATLLEYLSFPNNALQGRLDGAHIVKLSNLATLDLGGNHFSGKIPQSIGLLKRLEELHLGSNNMSGELPSSLGNCTNLRIIDLKFNNFIGDLGKVNFAALQNLTSLDLMRNNLSGMVPESIYSCSNLTALQLSDNHFRGEISSKIGNLKHLSFLSLSRNSFTNITKALHALKSCRNISALVIGANFMDEAMPQDETIDGFQNLQLLAMDWCSLTGSIPTWLSKLTNLKILLLANNRLTGPMPSWINSLKHLFLLDISNNSLTGKIPITLMEMPMLKSDKAAIYLDSNLLDLPIFYVGPSLQHRMGSAWPKVLRLGKNKLTGAIPQEIGQLKTLLYLNLSFNNLYGEIPQSISNLTNLQGLDLSNNHLTGAIPAALENLHFLSHFNISNNDLGGPIPTTGQLSTFPTSSFDGNPKLCGSLLIRDCSSVEEAPVYFISAREYSVKVIFAIAFGLFFGVGVLYDQLVLFRYFG >SECCE3Rv1G0157580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:82424457:82426263:-1 gene:SECCE3Rv1G0157580 transcript:SECCE3Rv1G0157580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRRFTAMDSPLLVALSSLLLILALYLLGGKRRRRSYPPVAGAMVQQLLNWGRLPEYMTELSRRYGTFRMLTPTCNHVYTVDPANVEYILRTNFANYGKGAMTHDALEDLLGDGIFNVDGAKWRHQRKVASFEFTTRVLRDYSSGVFRDMAAELAGIVAAAAAAGERLNMEDLFMRSTLDSIFTVGFGLSLGALSNSNQEGAAFARAFDDASEQVLYRFLDPLWKAKRLLNILSEAAMKRSVRTINDFVYAVIDKKIEQMGTDGEEFAKKQDILSRFLVEREKDPGCFDNKYLRDIILNFMIAGRDTTAGTLSWFLYVLCRNQHIQDKIAREVREATTGDHQDAGGVQEFTARLTEDAIGSMHYLHAALTETLRLYPAVPTDVKCCFSDDTLPDGYAVRSGDMVNYQPYAMGRMKFLWGDDAEEFRPERWLDDDGMFVPESPYKFTAFQAGPRICLGKEFAYRQMKIFAAVLLYLFRFEMWERGSTVGYRLMITLKMDGPLYVRVSPR >SECCE7Rv1G0475570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:189428871:189432013:-1 gene:SECCE7Rv1G0475570 transcript:SECCE7Rv1G0475570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISGIMMGFILGVALIAGWARAMARRAHKRSAKAADINALGSLNREDLRTICGENLPQWISFPEYDQVKWLNRQLSKLWPFVEEAATMVIRDSVEPILDVYRPVGISSLKFSRLSLGTVPPKIEGIRVQSFQKGQITMDIDFKWGGDPNIILAVETLVASLPIQFKNLQVFTIIRVVFQLSDEIPCISAVVIALLAEPKPRIDYILKAVGGSLTAMPGLSDMIDDTVASLITDMLQWPHRIVVPLGGVDVDISDLELKPQGKVTVTVVRAESLKNKELIGKSDPYVVLFIRPMFKEKTRVIDDNLNPEWNETFELIAEDKETQHVILEVFDEDSLKQDKRLGIAKLPLSDLEVETVQEINLQLLSSLDTTKVKDKKDRGVLTIRVFYHPYTKEEALRALELEKRTVEERLKTREATGAVSGAADAASGVASTVTNVAGTGVAAGAAVAGSGVHTAGSGVHMVGTGIDAFGKSITKAGKFVGRTVTGPFSSQRRSAASVPTVDE >SECCE3Rv1G0170610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:255982316:255983549:-1 gene:SECCE3Rv1G0170610 transcript:SECCE3Rv1G0170610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGLALFLAVAALVLAVPSLAGDPDYLQDICVADLKSDLKVNGFPCKANATADDFFTGVLAKPGATNTTAGSVVTGANVEKVPGLNTLGVSLSRIDYAPGGLNPPHTHPRATEVVFVLYGELDVGFITTANKLFAKTISQGDVFAFPRGLVHFQKNNADKPAAVISAFDSQLPGTQSLAMTLFGASPEVPDDVLAKAFQIDTVEVDKIKAKFAPKK >SECCE3Rv1G0189660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:728500331:728501074:1 gene:SECCE3Rv1G0189660 transcript:SECCE3Rv1G0189660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRLCLLAIAFLLAIAAPRVDAWGGRFFFSKMTRPGAVVEADKAADTATVATEALDTNSAPAAFSRPSSNRGYGLYGRPEENEKYPPAYFRRGVHRDAEKLTTTNVMPTAEPRHEETAVPVPEEQSSGEEEPAFPADGSGRGRPLSYMRHGGKHGRDYYGMSDTRLYENGRYYYDVETDKYGYGYESNPVRTARPEPEDNGSGYGRPGRQRRYGDAAGYENGAKDKEFDNGGVEENQNERYNP >SECCE1Rv1G0015060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:119941441:119949403:1 gene:SECCE1Rv1G0015060 transcript:SECCE1Rv1G0015060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSDAVMARWLQSAGLQHLAASSAGPAGDQRGAGVGGLGGGAGGAGMLPSLLMQGYGPQSVEEKQRLYMLLRNLNVNGESLPLSVSEPYTPNAQKFGSGTSAEGLYSPELRGELGAGLLDLHAMDDTGLLSEDVDSEPFEPSPFMPKEIDDDEDDMITGSQLGPADNYIAVTSEKEISTRENNVAKIKVVVRKRPLNRKELSRKEDDAVAVHDSSSLTVYEPKLKVDLTAYVEQHEFCFDAVLDEDVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMIRLLHQPVYRNQNFKLWLSYFEIYGGKLYDLLSDRRHLLMREDGKKQVCIVGLQEFEVSDVQIVREYIERGNAARSTGSTGANEESSRSHAILQLVVKKHIIVTETRRQRDRDANEAKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNTRKEQSTAPTIPSMRESSSAPSYPLSVEAEEVPNQIQEKRSVDISRRGPENFTSNSSMESDRNNFSMIPSYSNRGKEENGASGLYDRERVDFSSGRTGYNSKAQIIQNSANAQEEEKVTRVSPPRRKAIREDKSEKQSNYTKKDSGNELGRPGYKVQQVRQLQQQPRPTSASASQVSSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDDYVTQLSFLLSRKAAGLVSLQARLSRFQQRLKEQEILSRKKSSR >SECCE5Rv1G0308740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:137582802:137588168:-1 gene:SECCE5Rv1G0308740 transcript:SECCE5Rv1G0308740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATAAAAACPRLLVSLLLVLSCFVSPEPVHGQPDALGFISIDCGIADGTSYPDESTSGLRYVSDAGFVDAGAGANAGISPPYSDRGLAARYLTVRHFSGGAARSCYTLRGLSPGGRYLVRSSFYYGNYDALNRPPSFHLYLGVNRWAAVNVTAPDDMYIFEAVVVSPADFFQVCLVDIGQGTPFISGLDLRPLRAAMYLEATVKQSLLLLNLRRPAARFALNRYHFWRPASFYKLYRYPFDSYDRIWQSYGDVAAWTNITTAADVDVSKASSFDAPPVVLRSAATPVNGTQLDFSWSPDTSQNNDSSTAAYLLLIYFAELQQLPGNALRRFDILVDGASWNGSRSYTPKYLSADVVEQVVVQGSGEHTVSLVATPDATLPPILNAFEIYSLRQMTELATNNGDAKAMMGIRTTYMLKKNWMGDPCAPKAFAWNGLNCNYSSSGPAWITALILSSSVLTGAVDPSFGDLKSLQYLDLSNNSLSGPIPDFLAQMPSLKFLDLSSNKLSGSIPAALVRKRQNGSLILRIGNNANICDNGASTCAPNDKQKSRTLVIAIAVPIAVATLLFVAAIIILHRRRIKQDTWMANSARLNSPRDRERSNLFENRQFSYKELKLITANFKEEIGRGGFGAVFLGYLENGSPVAVKIRSKTSSQGDREFLSEAQHLSRVHHRNLVSLIGYCKDKKQLALVYEYMHGGDLEDRLRGEVSVATPLSWHRRLKIALDSAHGLEYLHKSCQPPLIHRDVKTKNILLSADLDAKISDFGLTKVFADEFMTHITTQPAGTLGYLDPEYYNTSRLSEKSDVYSFGVVLLELITGQSPAVAITDTESIHIAQWVRQKLSEGNIESIADSKMGREYDVNSVWKVTEVALQCKEQPSRERPTMTDVVAELKECLELEVSRGMGNYNSVTSGTSNLSATSADSHNDAQANDLKQQSVLELGQVGDASLTHIGPAPR >SECCE7Rv1G0484540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344087405:344088112:-1 gene:SECCE7Rv1G0484540 transcript:SECCE7Rv1G0484540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSVSLPSRPHTKVEEELHSLEASISSSSMTVETISDGLRRLGDIYSTIEEIMCLPSNQICSSQQRKMLEGETECSLELLDLCNAMHEDFTELKAIIQDLQVATRKGDDTAVQVKIQSYTRLVKKAKKHFKKAAKKVTSDKEDCRMVRLLSEAREITTSLLESTVHLLSKQIAVPKWSLVSKAFQKKNSVVCKEEQLQVLECSVGDLEAGAGILFRRLLQSRVTLLNILSS >SECCEUnv1G0538780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74224172:74226195:1 gene:SECCEUnv1G0538780 transcript:SECCEUnv1G0538780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEHGAGANGLQDHAGALEEGRGGVNQNQNQARCENSGEQALSSTSNQPMLSLHFVQKILAEIFGTYFLIFAGCAAVAVNKRTAGTVTFPGICITWGLAVMVMVYSVGHISGAHFNPAVTLAFATCGRFPWRQVPAYAAAQVIGSTAASLTLRLLFGGEPEHFFGTVPSGSDAQSLVLEFIITFYLMFVVSGVATDNRAIGELAGLAVGATVLLNVLFAGPISGASMNPARTIGPAMVLGRYTGIWVYIIGPISGAVSGAWAYNLIRFTNKPLREITRTGSFLRSTRMS >SECCE2Rv1G0125450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:841151338:841158195:-1 gene:SECCE2Rv1G0125450 transcript:SECCE2Rv1G0125450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLNGRTAELSNLDINGDALHASLGLPPALTVERARVGKLQITLPSVSNVQVEPIVVNIDKLDLVLVEKDDSENLSSPTSNASSTASTKSTGYGYADKIADGMTVQVGIVNLLLETHGGARRQGDATWSPPLANITFRNLVLYTTNEKWQVVNLKEARDFSNSTGFIYVFKKLEWQSLSVDLLPHPDMFADARFNSSSSQDNKRDDDGAKRMFFGGERFLEGISGEANITLQRTEQNNPLGLEVQLHVTEALCPALSEPGLRAFLRFMTGVSACLNRGDLDPKSQQHGEAAGSSLVSFIVDHIFLCIKDAEFQLELLMQSLFFSRASISDGESSKNLSCIKVAGLFLRDTFSRPPCTLIQPSMQAVPQEPLPIPDFGQSFCPPIYPFGNQFLEFAAGIPLFSLYCLQTTPSPSPPKFASKTVITCQPLMVTLQEQSCLRIASFLADGVVPNHSATVADSSINSVSFSLKEFDLSVPLDAEEIARCSGTKTACSQSSFSGARLHVEDLHFCESPSAKCSLLNLDKDPACFLLWEYQPVDASQRKWVSRASHLSLSLETSSTSNGQRVVRDPSPNVLKCIELDDIRFEAAMVTADGSPLCDLPPPEGVVRIGVAFQQFISNTSVEQLFFVLGLYSYFGQVGERISKVSKGNRSGKVSEPSVDNLQKKLPSDTAVSLTMNSLQLNFLEHLSAGGVHMPLVQFGGEDLYLKVSHRTLGGAFAVTTNVMWRTVSVNCLEGESATIHENGTGVMGEHNVVVCENGHPKMRPVFWVDHRSKHHAKGAQFIDINITHVMPYDMRDMECHSLSVSSKVSGVRLGGGLSYTESLLHRFGILGPDGGPGEGLLRGLKDLSSGPLGKLFTSSHVTDKEARSEDNDSNLKFDLGVPDDLDVSVELRNWLFALEGTEEVGDWSSPRSGDPISREDKCWHTTFRNLHLSGKSSDRPNLGGAEKVLDKKAFPVERFTAGIEGLQAIKPRLRNQLVGNGKLNNSKSGSGLNNSSALGDQGVDVEATMVIGEDDTGDAKWMMDNVKFSVKEPIEAVAKREELEHLATICRSEADAMGRITAGILRLLKLDKSLGQGTIEQLRNLGSGGMDNIFSPSRLSRQNSFGSVSTPRTPRTSMFNTTSNAGPKEALEATIASLQSDILESKARCASLTRTEDENRAEDIRQLTDKLESMQSLVTRLRTLI >SECCE7Rv1G0516030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:842785445:842786656:-1 gene:SECCE7Rv1G0516030 transcript:SECCE7Rv1G0516030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRTWHCLIALLLLSSAAYGQLSPSFYATSCPLLELTVRATMIAALIAERRMGASLLRLHFHDCFVQGCDGSILLDDVGSFVGEKTAFPNVNSVRGYEVIDRIKANVELLCPGVVSCADIVALAARDGTFLLGGPSWAVPLGRRDSTTASLGEANADLPGPTLTLDQLIRAFDKKQLTPRDLTALSGAHTIGFSQCQFFRDHIYNGTNIDPAFAALRRQTCPAAAPAGDANLAPFDAQTQLVFDNAYYRNLVAQRGLLHSDQELFNGGSQDALVRQYGSSPALFAADFVAAVIKMGNIAPLTGSSGQIRRNCRVVNT >SECCE6Rv1G0433260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:757394068:757395270:1 gene:SECCE6Rv1G0433260 transcript:SECCE6Rv1G0433260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAGWSSLPSDLVNRIADCLLATNDVDYYMDLRAVCSTWRRATDDPKNNPDPRFRPSRWIVIDEVRYSLPVQRSTPLLVNTATGRILRKDLPMLRGYFLVATTPGGFFVLADRNPPHAACVLNPFTGYLIRFMAPMTTHLKFKTPAAAVTGSPPTLVLFCDEDRTMHTADPHSGSFNVYGDEYPYKRLALMGMIYTGNDVGDKIFDLMASFNVHPSEMLPVYPFDDFGSLLERRHTDRCFLVESAGEVLMIFKLQQRIEIFKVDNNGRSAPEPVKSIGNRAIFLGYRQCLSVNADKFQSVETNCIYYVKCVDSCLSIYKYDLNDEKEEIISGAINSLNHVWLSDAEPPFTIIQLLSSYTLSSDESNRFEGVPDDILIPYVQDSEFFSSFLEDLEFEDD >SECCE1Rv1G0052410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:661396798:661398156:-1 gene:SECCE1Rv1G0052410 transcript:SECCE1Rv1G0052410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKQWTRVRTLGRGASGAEVFLAADDASGELFAVKSAGPACAAALRREQCVMAGLRSPRVVSCIGGRGNRDGSYQLFLEFAPGGSLADRVASNGGLEERAVRGYAADVAAGLAYLHGAGMVHGDVKSRNVVIGADGRAKLADFGCARKVGAGMPIIGGTPAFMAPEVARGVEQGPAADVWALGCTVVEMATGHAPWSGMDGDALAALHRIGYTEAVPEVPQWLSAEAKDFLRGCLVRQAGDRCTAAQLLEHPFLASAGIVDAAVESKSKWVSPKSTLDAAFWESESDTEEEAEHESTAERRMRALACPASALPDWDSDEGWIDVLAAAPDSVPVAAEETDDSITPFEEEPSSAESGVLDAVLVEYGSIVLEGEGYDDLVQQIPAISRIQFLTVSHGLLCTASKLLFCNKNTTNAIDFVPAHARTASFFHRSYSVITTRSTWISKVGGNPA >SECCE3Rv1G0193640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:773418291:773423972:1 gene:SECCE3Rv1G0193640 transcript:SECCE3Rv1G0193640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPPSAPPLLTPARHRLASPHLLAIPASPSSLLSLPHHHHSLLLPCAANAWSSRRQRRRGIAASLGQEEPGISDGPITSEGEYGEGDSELPINFDAEAGAVAVSAEPPADASLEDLENIREIKRVLELLQKNRDMTFGEVKLTIMIEDPRDVERKRLLGIEDPDELTRDDLADALVEVNEGRIPENRDTLRLLAKEMAEWPDVDVKIESQKGKGFFGRSVYAKATDTGIDPVAAAKRLNIDWDSAADIDEGQDEDDEDEVPSAVGYGALYLLTAFPVIIGISVVLILFYNSLQ >SECCE7Rv1G0479330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:251127308:251129781:-1 gene:SECCE7Rv1G0479330 transcript:SECCE7Rv1G0479330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLALSDCRGLTPLRARSRCGAIALPSPPHLAAGPRRPAAAAIHRDWALRVSAPTRLASVLEEDKRSLGGAGEAGSSSAGFNPGAPPPFGLAEIRAAIPKHCWVKDPWRSMSYVLRDVLVVLGLAAAAARADSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSSNPKLNSVVGHILHSSILVPYNGWRISHRTHHQNHGHVEKDESWHPLPQRLYNSLDNMTKKLRFSMPFPMLAFPLYLFARSPGKAGSHFDPNSDLFQPNEKKDVLTSTASWLAMIGVLAGLTFVMGPLKMLKLYAIPYVIFVMWLDFVTYLHHHGHEDKVPWYRGKEWSYLRGGLTTLDRDYGLINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVIGKYYKEPEKSAPLPFHLLQVLSRSLKKDHYVSDTGDIVYYQSESETSACAESSD >SECCE2Rv1G0082410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:150496871:150501234:-1 gene:SECCE2Rv1G0082410 transcript:SECCE2Rv1G0082410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase, Regulation of cellulose and hemicelluloses biosynthese [Source: Projected from Oryza sativa (Os07g0616800)] MGETAGERALSRIHSVRERIGDSLSAHTNELVAVFSRLVNQGKGMLQPHQITAEYNAAIPEAEREKLKDTAFEDLLRGAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELGVEELSVPEYLQFKEQLANGSTDNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSTLQSALRKAETHLSGLPADTPYSEFHHRFQELGLEKGWGDCAQRASETIHLLLDLLEAPDPSSLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLDITPKILIVTRLLPDAHGTTCGQRLEKVLGTEHTHILRVPFKTEDGIVRKWISRFEVWPYLEAYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKLGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTADLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEQQKRLTSLHTEIEELLFSDVENAEHKFVLKDKKKPIIFSMARLDRVKNMTGLVEMYGRNPRLQELVNLVVVCGDHGKVSKDKEEQAEFKKMFDLIEQYNLTGHIRWISAQMNRVRNGELYRYICDMKGAFVQPAFYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVNGVSGYHIDPYQNDKASALLVDFFGKCQEDPSHWNKISHGGLQRIEEKYTWKLYSERLMTLSGVYGFWKYVSNLDRRETRRYLEMLYALKYRKMAATVPLAVEGETSGK >SECCE3Rv1G0187450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:699709155:699710760:1 gene:SECCE3Rv1G0187450 transcript:SECCE3Rv1G0187450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAPEHDYYGRDKKGPDLFVVDDLLSLPCDDDEEEEGVGEAPFPPANAAAAPVVVKQEAGFGNASADSSTVTALDSCSNSFSGLADGDFSGGLCEPYDQLAELEWLSNYMGEGEESFATEDLHKLQLISGIPSGGFRPGNAPPAPAATAATAQPGVFLPEGPVPAKARSKRSRVAPGNWSSRLLVLPPAPASPPSPASMAISPAESGVSAQAFHVKKPSKPAKKKEVPPQAQAQAQAQSVSAPTAPTGVTAAANEGRRCLHCETDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVTSRHSNSHRKVLELRRQREMHHHHQPSQLQQHAVAGGVGRIMHMESHLLFDGPAAPPILGGGDDFLIHHRLGTTDYRQQLM >SECCE6Rv1G0412590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:615053916:615056183:1 gene:SECCE6Rv1G0412590 transcript:SECCE6Rv1G0412590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYVGMGTSSNARRLMVVSCLAVLALAAGARRPSVHHAAVPSAGAPARINGGVEAKVRGFARADGARFTVGGRPFYPNGFNAYWLMYMGSNPADRSKVLDVLDQASRLGATVIRTWAFNDGGSNRPLQITPGVYSEDVFLGLDFVIAEAKKRGLYLILSLVNNWSDFGGKRQYVQWAKDQGHNLASEDDFFTDRHTQRFYMNHIKRVLTRVNNFTGVAYKDEPTIFAWELMNEPRVPSDLSGKTMQAWVALMSSYVKSIDKKHMVAIGLEGFYGDSAPERKRFNPGGSYSAGTDFIGNNRISTVDFATIHSYPDQWVPGSTNEQQVEFMKKWMASHIEDAAAALRKPLLVAEFGWKSSGNAVTARDDYFRMVYDAIYASVKGGGPGAGGLFWQVLAPGMESWADGYEVVLERSPSTTAIVSQECARIGGITP >SECCE6Rv1G0389010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:145032594:145033598:1 gene:SECCE6Rv1G0389010 transcript:SECCE6Rv1G0389010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTGTSMLSALLQLPLAPFSGRSSAPSAVHMTARRAPTPVLAAKGYNVQIVVDENEGEDSIFRRFRREVMKAGLLQEIKRRRRHENTKDKKIRKAREAGRRNRRRRMMDDRRFPEDEGDSEAVRTRRDEDNDNWEVSGIL >SECCE2Rv1G0123840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828214839:828215998:-1 gene:SECCE2Rv1G0123840 transcript:SECCE2Rv1G0123840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCSHDAGVKKGPWTEEEDKTLLEHIQKSGGNVGSWRGLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFSNDEERLIISLHAGLGNKWSTIATHLEGRTDNEIKNYWNTHIRKKLLRMGVDPVTHQQLPPDHQSRHHLNATSIAHLPEALLWAAAAASLGSLDTGALMQAQLLQQLLQAIGSNNGTSGLIANLAAANAMLNTSCSTVPNLQLQDLQPGYLCNSSNFAQQKVVQQQLTNDTSPGTSSFAAAEHADQLCNTAASFAPQDVAPAGDWSPGEEFAGLLEPMMELPGLCSLEGDSFWKDILEDSYRL >SECCE7Rv1G0454050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:977899:979520:1 gene:SECCE7Rv1G0454050 transcript:SECCE7Rv1G0454050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDEQEPKRPCLAGGRNGGAGGAGGSDAGDDDSHGDAGGGGGDAGGSGGDVGGGGDAVDPPPPTTLSTFEDNVLCAILSGIESSRQTMRMCVLSRRWRHLWRSVPSRRWRHLKRLHLCNVHLDRDFASHISDDRCPVLEDVRLENCSYFTTRYEITSSSLEKLVVDGLYMVQHDESDDDEDEDEIFKLIIEAPALVSLRLAGEIDHIVDITEPHTMGSLADASVHLPVMTDEELNDVGENDATAHQSVLGVLFNVTTLTLSHFRLLFQHGDSVELELPVFENLRTLFLDECYIVGDDFVGLRPYLQNSPNLEKLTLRCSKVQPGYSLEWYFGHEDMDFMCENLKLTEIVYQHDDDAAPLLVAFLLSISRSLPNNKIELTRVE >SECCE4Rv1G0243870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:507341180:507341552:-1 gene:SECCE4Rv1G0243870 transcript:SECCE4Rv1G0243870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHGQALLLLLVCYAVHAQCRATGVHHTDDDTCYESDTIPSCFLCYPDKHCFGTMDDCKAHCIRPPAAAIPSYARP >SECCE1Rv1G0002140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:7760585:7761214:-1 gene:SECCE1Rv1G0002140 transcript:SECCE1Rv1G0002140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVPFVTEVIMKAVDASFKHALEGLNSSTIIDPQRHALLEAVYSLLPVSDDIARVAAIRAGLKRLISISNDMQQSHKEIKSHSHEKEEAFTVADKECMLLEEFLKGTDDKLASMEEQYAEERKHGETLKAQLEKVNTRMHQIGEGVEQLKLTRSSKQAEAKELRASLSEINAKANQALEDMKQKISTMGNEVESILEQMKNLPAAT >SECCE5Rv1G0372060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851170982:851172445:-1 gene:SECCE5Rv1G0372060 transcript:SECCE5Rv1G0372060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSDATSEDSVLNISSSCIGIIKLPATMHDPNFNCTDAYVNVLCEHGEPAERFVAFEGMHTGRRFLGCAKKEGINCGVVQWIDFEWPDSMEKALSKLWDMYEEIKSARTNDNLKSSFAIHNLTEEKKKLQENYDSLYADVNAPLDAQQQRGVELTNQKEQKQYLVLKIAELESVVGNLKAELSKKEEEKNKLQENYDSLNADANSLLDAQQQRGVELNNQKEQKEYVDVKIVELETVVGNLKAELSNTDEEKKKMLQKYDTLKNLTGAQANVIRNLKFNHLKEKERLTEERHKLQHHISELQKSEEKIKLKLQGVNAILDE >SECCE4Rv1G0274290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783653898:783654857:1 gene:SECCE4Rv1G0274290 transcript:SECCE4Rv1G0274290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMAVSMARSMPTGAITVAASAAAAEVGLLMGVRKDIWFIKDELETMQAFLEVAEKMKMEDVLLKVWAKQVRDLSYEIEDCLNEFTMHVGSQSLCRRLMKLKDRHRIAIQIRDLKTRVEEASIGNTRYNLIKTEASNNIAEVDYYTEDVRNHSASNIDEAELVGFSKPKGELINLMDVNAKDGIAKVAFVVGMGGLGKTTLARKVYENTKDIVNNFSYRAWITVSQTFSKIEMLKD >SECCE2Rv1G0068380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22983917:22985155:1 gene:SECCE2Rv1G0068380 transcript:SECCE2Rv1G0068380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRSPWSDLNSELLVSIAAVDGVSLRDYTCLRAVCTAWRSSLAPLSYPCLLSLAESHDSHSVSVFLLSMRRSFHVHTGSSVVVDSQTSFYGRVRVVGSGNGRFAIAIDKEPRITSSNPSSFVSCSTRRIFLIDPRADKKVQLVSQTGDQKSVRKIVFTPNPNPSPPSHNDDWTAVALYDCNNRVAYIDTGSSSSSSTKDKTWTTIDVAEGNCYHDMAFHAGDDKVYFLDTSGAVDVLRMPRGGEPALIEPFATLMQDPNPTAAYAPPYDVVYPKMVTKHIFFCHGSLYQVWKNTSAAINLASGCFRISAHEIFVLRCDPGRWPCWDAVKDLGGCSVFLGKSSSPVVVRPAAPELRADCVYWIDWRGVPMVCDIATGASQPWVLSYGTFKGDCWYFSHDDTTSIDGVGRN >SECCEUnv1G0547600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:172196956:172200035:1 gene:SECCEUnv1G0547600 transcript:SECCEUnv1G0547600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNATELPILERRRHSSPRYLPRRHGPQEMASLPIPDELLPEIFLRLSTTCDLVRASAACVSFRRVVADRSFLRRYRKLHAPPLLGFLDFRRVFHPAEPPHPSASAAKAVALAADFTMSFLPAPASDWTISDIRGGLVLLDRRDCAELVVCDPLHRRHLLLPPMPEFDEWVRSVDKPRRFGCHILLVGGDEEASEEAFFRVIYVAFTRHKEAIFVFSSSTGQWRATPSIPQLASSRWHQYVYGCLYGVTGCGEKIQVLDTRSMEFSHMDLPPEARAGGSSHVHIAFVEAGEGTIGMFVLSDQTSDLSYFVRPNNGGSSSHWQLEKTISLDSSQYSFPESSAGTNLFLHHSGSPSPDAGLFSMDVKTFQLERVFGSNYNMLYPWAYNNFPPWLSTPAISSGTREGEEMLEQGAKTLQAEEAMDSPHDERTDNDADVGDQASVSRTGDGAD >SECCE2Rv1G0142470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:940811750:940812964:-1 gene:SECCE2Rv1G0142470 transcript:SECCE2Rv1G0142470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNRSKAAGAADLPPDMLANIHARLSPLDRLAFAAAFPASRGAFKPEAPWLVLPGGDDPDTATLFSLSDRSAATLRAPEPALRGHVVLGSSSGGWLVTADDRARMRLINPVTGDHRALPAITTIPSLLEKWGGFSFMMLQPKDFLRGPPYHGGGDRPTQGTFTIGAELMRRFFYRKVVLSDSAAMLITGPRFGVPAFATAEGGVWRLAPSREGVEDAVHYNGKFHSITYTGAVEAWEQDADTGGVFTSTVVAPRPRLPNVDGDSSLCRRKYLMAAPGGRLMVVLKETKEETTDEYSRPRRTCSFKVQVLDGERWKETEDIGDAALFVGVNSSLCVSTREHPELRAGCVYYTENDTELCKDGHNADEDNRVGVYSLEVGWTGKIEGLGQHRSWPPPAWFIPAIP >SECCE6Rv1G0437210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782830055:782836474:1 gene:SECCE6Rv1G0437210 transcript:SECCE6Rv1G0437210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MSSAGDREDGGGGGAVAAADTVVLEITDATASPSSAPPPPPIPVSALAGPLPSPTVLAVRADRSRLIDSSSYFRALLGGNFSESGSGYVRISCDLGAAVQVLRYLFEPPGSFAISHHNFLPLLEGALFLAVESLLVDCERWFRTVRSRNPSMVVPLDFIIEAWYFAQKHGVTFVEDVCPGYLAQNFVQVISSRSFAHIPYDLLSSTIECPHLTVDSEKQLCEAILSWGSAISQPCEESVSSSANNQLSLLSKVRVCLLPLGFAAGTKRNCFEFGNNAVCMILNLLKDSLQTLLYTVTDDNLDSYRIRLTEYSKKIVLSGCPQLTTQFLYISALPTDLDAVFKRTIVSDISDGSLNHYNGLVKKAKTLSFRNVRILDLSKCPNVHFGAAISWMKWAFSELRTFIASYCLLFQFEDLQYLLLRCPWINEIDLSIDTSVILSKYSIISSRSEVRRDANRNLSSYYMQSGLYGTPVFSNISKLILEGRNDITDMNLLEISMLKSSLCYINIKHCTLLTDDGISTLLLNCRKMHSMVLSYTSFGNHSIQTLCSPDPSDNFPYHKDERAHVMAFRLQELHLEGCEGISCAAMSQLVSSMNIVKSLCLRETSLADGALCNFVGSSLEYLDISETVVSMASLAPVIRRNSNLRCLKTAGCRNLLFEQGEVQSTSGTKYGRFLQEITSTCYLEDVEMGWAFCPILIDDLIPSFSKVRRMTVGLGTTLPENILNALPEICPFLESLVLRFQVISDRVVRNLLESSTNLQVLCLHYCLGSLTSFSFQTKALALRVLRLQWVTPWITNADLTILTQNCNLIEISLSGCKLLDPSSQEIISSGWPNLTCLHLEECGQITVDGVSSILECKALEDVLIRHTGRGMGRTIITDAIRELPLLRKLALDLCDASEGGYDTPSVPEGKMMRSVRMSRCKKSAAAGRSCFGEAPLSSSTAKPVQHRETIVLEWSSRQLTTTVVEERL >SECCE7Rv1G0477640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:223693906:223697419:1 gene:SECCE7Rv1G0477640 transcript:SECCE7Rv1G0477640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRTSSEMESCGGSHVAEMPRVPKSARGKRSVRKKESQGQAMCAFDLLATVAGKLLDEGEGSLGNMSAGSPVLLAASAKDVCVKQEQCDEEGKHLKNDAMDQDSCNESALISHIASQRLADHHSRKGEDLNEVPKAKSEAVDKEPSMISCTKADLGSNFGAIADRWSPESVESGAFTGDAAANVMALAAAAFNKNVPDMYNLLDPMDVDVKPPPLVSSDSTGEMPLYGGKIHKSVSFPRGPKGGAEYSVDRENDDDDDKSSGCTHSSTATNRGFRPNCTADQSRVKKFLACKYRKVAPARMHKGDLSYSDADRKPSFRNKKMYYTRQRTQRSTFKRRKMLDRHSTLVSEEFAKSNAKRTTKVNEREPHAASLEANKGSNSMPFHGSCKSNDCHVKLKIKSFKVPELLIEIPETASVGSLKKTVLEAVNAMLGGGLRVGVLHHGKKIRDDSKTLMQAGIGHDDMLDNIGFSLEPNCTRHSSQLAAPEDIEFLETVETTEPLARIAPADSSSKHGEVDASQELAFTPLTANYQGNDHDSVHSPGGISSPEKASANSRAIVPVTPVDSSAGAIVPANKAKRSAEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQDLLDRVLAAQSYWSQQQAKLQPKTPPLAEARLLT >SECCE3Rv1G0192410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:758208923:758218255:1 gene:SECCE3Rv1G0192410 transcript:SECCE3Rv1G0192410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAGNVEFIRARSDKREYRRLLLPNALECLLISDADTDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEQDYTKYISEHGGSSNAFTSSETTNFYFDVNADNFEEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDGWRMHQLQKHLAPKDHPYHKFSTGSWETLETKPKERGLDIRLELLKFYEKYSANLMHLVVYGKESLDCIQSLVESLFSHVKNTDQRSFKCPSQPLSAEHLQLLVKAIPIIEGDYLKISWPVTPNIQFYKEGPCRYLSHLIGHEGEGSIFHIIKELGWAMDLVAGAGSDSTEYSFFSVGMRLTDAGHDHMEDIIGLVFKYLHLLKEDGIHEWIFDELASINETEFHYQDKVHPISYVTDIVSSMRLFPPEEWLVGESLPSKYAPNRINMILDELSPERVRILCESKKFEGSTNCAEPWYNTSYSIENVTPYMIQQWIQKAPTEKLYLPKPNIFVPKDLSLKEVQDKVTFPTILRRTPLSRLWYKPDMLFFTPKVNIVIDFHCPLSSHSPEAAVSTSLFVDLLVDYLNAYAYDAQIAGLFYSIYLTSTGFQVSVGGYNDKMRVLLHAIMKQIVNFVVKPNRFSALKETSLKDYQNFNFSQPYSQASYYLSLILEEKKWPLVEKLQALSKLESDSLAKFVPHLLSKTYLECYVQGNIEPGEAESIVQEIEDTIFNTPNSVFKPMSPSQYLVKRVIMLENEIKCLYQIEGLNQKNENSSIVQYIQVHQDDAISNIKLELFSLISSQPAFNQLRTVEQLGYITYLSLRSDRGVWALEVVIQSTVKDASYLDSRIDEFFKTFESKIHELSDKDFKRNVKSLIDSKLEKFKNLWEESGFYWGEIEAGTLKFDRVESEVALLRELKKEEFIEFFDEYIKVDAPQRRTVSVQVFSGNHSEEFKKAIAETDRPKTCRITDIFGFKRSRPLHRSLKGGPGRITMD >SECCE4Rv1G0244620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:518401946:518403548:1 gene:SECCE4Rv1G0244620 transcript:SECCE4Rv1G0244620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKGGAPRKEEVVTREYTVNLHKRLHGCTFKKKAPNAIKELRKFAHKAMGTTDVRIDVKLNKLIWSSGIRSVPRRVRVRIARRRNDEEDAKEELYSLVTVAEVPAEGLKGLGTKVVDDTE >SECCE5Rv1G0337140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588624131:588628260:-1 gene:SECCE5Rv1G0337140 transcript:SECCE5Rv1G0337140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMALNRRMSTQQEQQRNHVRIEADNGWNASSLSKRNSPTCQRDHSHGGKKARYLGPDLPEDIWCHIHSLLPMKDAARTACVSHSFLCSWRSHPNLTLTNETMCPKKNLRTVEPNVIRDHNNKIGRVLMNHSGAGMKTFRLEYFVPCDAESYHRLDSWLQIAVTPMIEELDLAVWPVDATFSSQKRKEGATFNFPCTLLSDMCRDSIWNLRLVNCALHPSFQLGLRSLKRLDLRNVHITGDELGSVLSSSFALEWLKLVYCDDIVRLEIPCLQRFRFLEVFACSGLEVIENKAPNMSRFWFTGKEVQIALGESLKVKNLKLDHNCSISYAINTLPSSVPNLEKLTIFSSREVVSAPMASIKFLHLKVLSINFLGSYFHRDYDYLSFVSFFDASPSLETFRLFVGRQDTYDSFEGDSSSLRRITERCHGKLKSVKITGFCPQKSMLELTCHILENAVSLRRLTLDASPVDYRCFGNVSRGKCFPMETTYIREAHKSILAVRTYIEGRVPSKVKFNVLEPCSRCHAL >SECCE7Rv1G0492010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:542201270:542209658:-1 gene:SECCE7Rv1G0492010 transcript:SECCE7Rv1G0492010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGPGQGLGCEAAVGSLVPSRSREYKLCSKHTEGKRPLYAIGFNFIDARYYDVFATVGGNRVTTYRGLPDGNLAVLQAYIDADDAQSFYTLSWACDLDGTPLLVAAGSNAVIRVINCATEKLFKSFLGHGDSINEIRTQPLKPSLFISASKDESVRLWNVHTGICILIFAGGGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEKSFTWTDLPSKFPTKFVQFPLMTSVVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKIKEQGPGEGSIDVLQKYPVPDCDIWFIKFSCDFHFNQLAIGNREGKIYVWEVQTSPPVLITRLSSPQCKMPIRQTAVSFDGSTILACGEDGSIYRWDEVEHQAAKN >SECCE7Rv1G0496040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:606918815:606919051:1 gene:SECCE7Rv1G0496040 transcript:SECCE7Rv1G0496040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFNGSFIFPECILIFGLILLLMIDFTSDQKDRPWFYFICSTSLVISITALLRQ >SECCE6Rv1G0435840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772975745:772979426:-1 gene:SECCE6Rv1G0435840 transcript:SECCE6Rv1G0435840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDENHGLSCGRQGGNEVSVDDAQRLSDDSEDQITLTSRLSIKHVVEVVQKFDDYKRWLVTEIGFEGMLNLPLLGKLDLKMSAWVMRKVKVKSRTIVIDTDRVIMFTPDDFHKIFGVPCGNRAVRGRDGEIKTAAVEFMKQTIGMNTSPAHNLKAAEDFLCRDISEESSKIEKDCFQISFVIFVMGYVLSPGTKYEHMTIDFWGAMANPEVISQFNWCEYALDNLMAGVMKLQSEYHNKAQTVHLTGCHLFFQIFLLDNIDLGIFNMVHSVFPRVKCFQQKRLNQMIIMARCKQRGMITYVPGALRPPDSVCYIRVLAGSPVSRGCTLSVSSSKEPVSRKIDTPNCDALKRPSRVCTTPVNLFSSPMSANRSIGPSDFSRHLREICKDDPVLEELSLMLKQHNAKCTLTTTLMRNQLQYDMLAFAEKMVAFVKDRCRCCANRGLPKCTSLDNDDKGENGLKRRRVFEGGRRLDMSDVAGTSSAMDEGMQNRMNRPCVEENAGQGDIRVAHAGDEADGPLYNNPVTEEKSIDNNNMFADRIIIYARTIAEMVKSLYDTADVDCANAVLFDSIEPKLPRRRYAMSASFCVDPWTRGCLPQPPPIPLTNKFNAWIAGDKDFDLNSVWLLHTVPRLLRVNAVCVQQQILGSNALDHEVATLVLRRFHQLDIGVGGAATYMLWREVLEPDFATHALAGEEVMNIKAVQCLIARAIHDITACRMFFAPVVLDQGWAAYMWDMLRKEIHVLDPLCSQLMGASHRRLMHEEAVSKIHTSLFSCLNEYFAKWHCTSDKWKRKFPKITNDMFSRDESGICMIHAIRNYDGVKMMWPLTKSNIASFREVVAFEVFRLRDEHGNFVADNVLRVAFDEPEE >SECCE5Rv1G0305990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:80571828:80574926:-1 gene:SECCE5Rv1G0305990 transcript:SECCE5Rv1G0305990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAACSLPHRCLLPLHLVLLAFMLAAAPAAEAWTGEIRGRVVCDVCADSAIGPEDHALEGAEVAVLCITKSGEVINYQAFTNSEGIYSVAETMPESDRWDSCLARPISSFHHHCTRRGDAHSGVKFTYNKQSGNSHNVKAFLYKPANAPLYCS >SECCE4Rv1G0227840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:129057268:129059631:-1 gene:SECCE4Rv1G0227840 transcript:SECCE4Rv1G0227840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPQLFLALLVPMMLLLLRPGAASTLATFAMAKAEETTIVCGLLPSAASPALLDLNCTAAGGDHERQETYPSTHPFSALAGGEDFLCAVGPSSARADAVAMRWWDLSKNAGRSKRVYLGPPLRALASSGYRVCGVLSSGELHCWRWRGLAIPTGLRFVAVAVGKGFVCGIVARPPTGIRCFGNKTEDIEAVTAAPQGGSYDVVVACGQHACALSTGGGLSCWGSGAPTLDGENTTAGYAALALGETGVCGLRTNGTIRCFGDGVAAPPEPLAGLQYIDVQAQGGAFCGVLMANYSLVCWGGHEFNATNRLVFDRVLPGPCVPMSACKCGVLPGSANLCAGGRCICVDCAFELNIAIPKAANPGKAGGGRRRKIIIWAAVAAAALLVLVVALQFALLLWCRRRRRRNNNPDELAVMQSLMPPRLGSSRSKGPGSVVEHFTLDTLRAATEGFDDSRRIGSGSFGSVYRGTLPDGREVAIKRAEDHAKSSSSAARPARRRDRETAFNSELIALARANHKNIVCLLGCCAESGERVLVYEFMMNGTLHDQLHDRSPMAAPVLSWRGRLTIALGAARGIEYMHVYAVPPIIHRDIKSANILLDDSWTAKIADFGLSSVLDPAGDCEDNGGDNPQQQQQRTIYTGGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESVTPKNVVDFTVPHILADDVARVLDPRLPAPTPHEAEALAYVGYLAADCVGPVGCERPSMTEVVDALERALAACSTAPVSRSGTARRVLSRSGTDQFDLTDTD >SECCE7Rv1G0496470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:612924318:612929539:-1 gene:SECCE7Rv1G0496470 transcript:SECCE7Rv1G0496470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGQGARRPILGLLSRAQRQAAPGYTSATFETHLSSNHFAQNGMIRRRFSSEVPASEQMNLIKQLRERTCAPIKDVKASLVTCNWDIEDAQKDLRKRGVISAAKKSSRTAAEGLLAMAQDEKRAVVVELNCETDFVARNDVFQYLASSLAKMALSAQDPGKSVFPFAPAYLENMSINLDHPKLSGETTVQNAVTEVAAMVGENVKLRRGFMLSTTAHGVASSYLHTCPQPGLGRIAGLITLEAEDSSASLDALKRVGSSIAMHIVAAKPLFLSKELVSAAAVENERDVLRTQAESSGKPQMAIERMVEGRLRKYFEDVVLLEQKYVVNDSTDIKTLLKDLSKEVGSKVTIGNFIRMEVGEGIERPEETARREAMACAA >SECCE5Rv1G0328730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509588599:509589117:1 gene:SECCE5Rv1G0328730 transcript:SECCE5Rv1G0328730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVTMSSFAGAAVLPRGSASHFGARSLPALGRRALVVRAQTEGPSAPPPNKPKASTSIWDAMAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYTVAVLSMASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEIISGAPFINV >SECCE5Rv1G0338160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:596855193:596859849:-1 gene:SECCE5Rv1G0338160 transcript:SECCE5Rv1G0338160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSRACASLPSPSSSPLPAFSPRSPQPKVTERMFTRGGSGRSSSSSASGANRSASLREIDEEAAILHDADDDEGGGKLYVAVGKDVKDGRSNLVWAARNLLAGDPKLVLLHVHQPADRIMIGLCKVPASQVEEKELKAYRKIEKEEMNTLLNQYLNFCRVSLKMQAETLVIDKNSPANGIIELIDQNRITKLVMGTSSFSAKRKVPKSKVAASVHLQAKPYCQIFYICKEALGCSREATELCAKVQSPRSSCASTISDQPEFTPRSLSLPPGHPGLLGSTGQQPLPRRSNSVGYPLSGLIAESVENMSAARRQSIDSLLGSTDQQALPRRSNSVSYSLSGSIAGSVEIMSPARRQSIDMTPTGSSPSSSQQSTGGSSLGLRDLDSMDGSPVPVSVASSEEHQHSMVEIHEMFEKLHLVRNQLERSKKEAFEGRQKAERDLFESSMMFKARESSLRKEKKEVEERLTKEKADLEKEHFHVCNELQKANEQRAELESKLLQTNAIMEELQQLQAELQREKDHAVKEAEEMRQTNGNSAFGSTSAVALTEFSYTEIEEATDNFDDSKKIGSGGCGTVYKGFLRHTTVAIKKFNREGTTGDKEFNDEVETLSRMRHPNLVTLIGVCREAKALVFEFLSNGSLEDCLQCENQREPLSWQMRVRIAADICTGLIFLHSNKPKGIAHGDLKPDNVLLDNSFVCKLADFGISRPLDLTNTTVTPYHRTNQIKGTMGYMDPGYIASGEITAQYDVYSFGVVLMRLLTGKSPLGLPNEVEAALSNDMLQDIIDTSAGEWPPEYTEELARLALRCCRYERKERPNLANEAWGILQAMMNCPDDKCKPPTFFICPMTQEIMRDPYIAADGFTYEGEAIKDWLQKGHKMSPMTYLSFPHHELIPNTALRFAIQEWQMQQQP >SECCEUnv1G0563220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:373166427:373167420:1 gene:SECCEUnv1G0563220 transcript:SECCEUnv1G0563220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRCDVLFLIVVAVSFWLPVPGTPMLLPEAIADLVRKGIEDAPGVQRYPAFPSISLCGAWTGMCTASLAAPTGIFFHEAQLRPGSTMAMSFPAEAEPPILPHHLADKVPFPNLSAVLATFHIPAGSAEASHVAATLSLCQSLPHAGEVRVCATSLESTVRSAMSMLIGRSTGGDHGMWMATSVLPPSARGGLPRQLYEVQAVTKLRGDYFVGCHKMPFPYRVYQCHMTAGLTDKGYVVSLRGVGAGGPTAELLAFCHFETSKWSPAHPAFVVLGTHPGTPVCHFMPYANPVFGNKATKP >SECCE4Rv1G0274180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783065144:783066388:-1 gene:SECCE4Rv1G0274180 transcript:SECCE4Rv1G0274180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHSKLCVSALTTLSGLQEWADLPDGLLHTILPLLGSVRDLLAFASTCRSWRAAFLSYPSKSTLCTLSPPLLIQTNIRVDAPHVPSKDSHCKLRTCKVIDPSNQNITLRCQIDEKILQKMYCVGPSYGHLIFFSSRGYCLVVDPFSGSKVSPPRLPISGCERVSPTKIHAQHLDIAELYFFGVLTAPITSPNSHLLVGTRFSLFDWPVGSDSWSELKLPSGAQITQIVEFKGQFIAMDYHRRIYSFKLAPQLGLQEVITEWSPYSVRTPYETSWLVVCGDMLLMVIAVDHWSRRIKDGGILKKVCTLHLDMSSKPAKWVVKKKLGSWAVFAGCDTKNMPLSNMNPERWGGRSNSLYYAESSPPWRVNELDSAHDPYDASIRQCIIYWGDHMKPLWVYPSMLYSDGASDHRVEQ >SECCEUnv1G0529250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6995996:6998653:-1 gene:SECCEUnv1G0529250 transcript:SECCEUnv1G0529250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMSSAAHHWLSFSLSNNYHHGLLEALSSSSSAHHIAGEEGTVDEAPKMEDFLGGAGTASTAVVDHGELGSIAAGFLHRYPAHDGTLDQNSGAVTVAAATMEVAESDQARRPAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDMAALKYWGPTTTTNFPVANYEKELEEMKSMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVESILNSDLPIGAGAAARASKFQPDALSLPAPNVASPDMLPPAEKDYWSLLAMHYQQQQQQQQHLQQYPASAFDAYGSGVNVDFTMGMSGTNNNPSGGGATVWGATGHGGDGSRQSNSYSGNIPYASMVSGSAAASAGGGYEGSTGNNGTWVTTSNPAGTTAPQYYNYLFGIE >SECCE7Rv1G0519270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864302449:864302846:1 gene:SECCE7Rv1G0519270 transcript:SECCE7Rv1G0519270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCTKNIGKGLLAATKVLICLCLVTMLVLSSEEMKSDACDKDWSLTWDNSSCILRGTCNKPCRRENFERGICKKLFHCMCYRHCTTESI >SECCE4Rv1G0230240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:160913872:160916873:-1 gene:SECCE4Rv1G0230240 transcript:SECCE4Rv1G0230240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFARVQGLVDADLASKIMGMLLTQDKSEEDMIRLAFGPEHLLQSVVADLAACSKPPSPPAPAWRMAPGASGGDVEGGLPFAAASEAFYPEEEYGCWSPASGTHHRRSFSLSDAEGGWKPCQYFARGFCKNGSGCRFLHGLPEDVAEQEMAVMRAKALAAARSQMMAPAFAFSPSPPKGLGFLLQQQQQHQSESQRATDMLLAGGDDMHRFPLRSPRMDRGDLAINHGARQIYLTFPADSTFTEEDVSSYFSMYGPVQDVRIPHQPKRMFGFVSFVYPETVRLVLAKGNPHFVCDARVLVKPYKEKGKVPDRFRHGGGGGHCLSPHHREFASVGSAMPPVGLLDSRDPFDLQQPQIGQRMLYGSMAGHEAAFLRRRLEEQQEAAELQHAIELQGRRLMGLQFLDLKSRGHHLLGSPVGSPSDGNGGCFSGNGNGVHPDDAISTIQDNSNLSSGLFMGPYTAASVISKEGKQEYQEEGGDGNGNGNGSPKQAVNSGEEGRRESGPGAAAASNVVCEYQESGMEHSHHLPGSPFASPTKEASIAAAAAAAAEQQTAHTGAIGSSSSPHLVASSLFPPAKPLYSSCFSQVPRLSSGQGAIGL >SECCE5Rv1G0340590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:615858873:615859610:-1 gene:SECCE5Rv1G0340590 transcript:SECCE5Rv1G0340590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGPERNWNSPASPPSSLEQGMASSPASPTPKRPAGRTKFKETRHPVFHGVRRRGSNGRWVCEVRVPGKRGERLWLGTHVTAEAAARAHDAAMIALYGRTPASRLNFPDSAWLLAVPSSLSDLADVRRAAIGAVVDFLRRQETGAGVVAEGAPVDGVAAAPSATGNASSSSSSAAAHSQPVCANAEFELPDSLCHDMFDLHTSGEMDAGTYYADLAQGLLLEPPPPPSSRASSEHGDDAALWNH >SECCE6Rv1G0444570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:829338582:829341506:1 gene:SECCE6Rv1G0444570 transcript:SECCE6Rv1G0444570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICYDMVRRSAPVWSCGSCFSIFHLPCIRKWARSPASVSDASAPASDAWRCPGCQSVQDVRARDIAYTCFCRRRRDPPNDLFLTPHSCGEPCSKPLGKPDPATKGGQDDDVATRCPHVCVLQCHPGPCPPCKAFAPERPCPCGKQSITRRCADRSTPVTCGQPCEKLLPCRRHRCDKVCHTGPCGDCQVNFPAQCFCGKKTETLLCGEMALKGKLSDRDGVFSCSEVCGHNLACGNHACQDVCHPGPCGECELVPGKVTTCHCGKTRLQEKRVSCLDPIPTCDKVCDKRLPCGVHRCKVTCHEGECPPCLVRVEQRCRCGSSGQMVECYKVSKEEFRCNKPCGRKKNCGRHRCSECCCPLSKPFAQHQGDSMDPHFCQIPCGKKLRCGQHGCQHLCHSGHCDPCRETIFHDLTCACGRTSIPPPQPCGTPTPSCPHQCMVPQPCGHPASHQCHFGDCPPCVVPVTRECVGGHVMLRNIPCGSKDIRCNQPCGKNRQCGLHACARTCHPAPCDPPPANGEASSSSGGRVSCGQLCGVPRRECKHTCNAPCHPSSPCPDVRCEHRVTITCSCGRITATVPCSAGGAYNGDSAFDISVMQQLPMPLQPVESNGKRVPLGQRKLCCDEECAKMERKRVLAEAFDITPPNLDALHFGENPNASDLLSDLFRREPKWVLAIEERCKFLVLGKTKGNSSSNIKFHVFCHMMKDKRDAIRLIADRWKLSVQAVGWEPKRFITVHVTPKSKVPARVLGSKPGVPVSASHPYFDPMVDMDPRLVVAMLDLPRDADVSSLVLRFGGECELVWLNDKNAVAVFSDPARAATALRRLDYGSAYQGAAMFCPSSITQASVSSNVWVGAQRDGGLAAKNSANAWKASSTEPDPSGDWTVLSHASGSVWKRGDTPAQVMGSSNRWNALESDAATSSGPTDRHKPPLRTETGSSSSAPRVGAGSSAEPSAGQSVSKLQPDVEVEDWEESCE >SECCE2Rv1G0074870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:80353350:80354297:-1 gene:SECCE2Rv1G0074870 transcript:SECCE2Rv1G0074870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADAVLPSPPPPPPPARVVAVRFALTTMLALGRVLCVAGVALACLCLATAWVTSAAAAAKVVARRARGEGSAPVLFLEALMYGALKVCVCSFLVLLALAVLLGCVAYVIAVLSGSASGFKKGAFGAITRESVAGFFRLLRPVVLGFVADVAFFLLVVAGLLVAMMSPHVEGSISQGEMVGSVIEDVGMFGMHATACVVIPALVLSLWREYQAVRKAPWQVC >SECCE2Rv1G0089280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:255150997:255154135:-1 gene:SECCE2Rv1G0089280 transcript:SECCE2Rv1G0089280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGHRVLANLTAVLLVPIFFAWLAAAQPQVCGDSGDYSANSTYQSNLGRLSVTLPKNASASPTLFAVGSVGSVPDIVYALALCRGDTNASACEACVTTAFQDAQQLCALNKDASVFYDLCLLHFSNQNFLPDTDGRGNQFIFQTDARNVSAPAVVFDNAVGVLLNATADYAASNSSKRFATGEELFDRGGIPAIYALVQCTPDLAPAACRSCLGDIIQRMPKYLSGGQSGRSFWIRCNYRYELYPFFSGSQGCRYRHLLERRRHRWTFHRRHQWTFHRRRIKEGTLPDQQEVAVKRLSQTSREGLNQLHNEVQVLAQLQHMKLVRLLGYCSHQNEMMLVYEFVKNGSLDNFLFDERKGRKLNWDRRYNIIIGIAKGILYLHEDSSIRIVHRDLKSNNILLDENMNPKIADFGLARLLGGGHTQTNTANVAGTYGYMAPEYAIFGNVSPKIDIFSFGVLILEIITRRKNNSSDDFNNTVNLLSDVYNCWTKDMTLQIVDKSLNVYSKSKVLRCIHIGLLCIQEDPDDRPNISSVVLMLTRDRVKLQPPQPAFFFGRDSSSVFEHARHGNYIYDKSDVIVEDNFSVNEVTNTDPYPR >SECCE7Rv1G0461990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:41745121:41752176:1 gene:SECCE7Rv1G0461990 transcript:SECCE7Rv1G0461990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGSDQISEFCRALGGDSPIRSVLVANNGMAAVKFMRSIRAWASETFGTDKAVLLVAMATPEDLRANAEHVRIADQFLEVPGGTNNNNYANVQLIVEIAERTRVSAVWPGWGHASEDPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKVPQETCHAIPEEIYKKACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFVMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPLEKVRELEQAARRLAKCVQYQGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAGVNLPASQVAVGMGIPLYNIPEIRRFYGMEHGGGYHSWKEISTTAAKFDLDKAQSVRPTSHCVAVRVTSEDPDDGFKPTGGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENRIHTGWLDSRIAMRVRAERPPWYLSVVAGALYEASTRSSSVVTDYVGYLSKGQIPPRHISLVNLTVTLNIEGIKYTVKTVRGGPGSYKLRINESEIEAEMHSLRDGGLLMQLDGNSHVIYAETEGAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVVADTPYAEVEVMKMCMPLLLPASGIIHFVMPEGQAMQASDLIARLDLDDPSSVRKAEPFHGTFPKLGPSAAISGKVHQKFAASVNSAHMILAGYEHNISHVVQDLLNCLDSPELPFLRWQELMSVLATRLPKDLRNELDAVHKEYELNADFRKGKDFPAKLIREAIEANLAYCSEKDMITNERLIEPLMSLVKSYEGGRESHACVVVKSLFEEYLSVEELFSDDIQSDVIERLRLQHAKDLEKVVYIVFSHQGVKNKNQLMLQLMDGLVYANPSAYRDQLIRFSALNHTAYSGLALKASQLLEQTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVCAPVAVEDALVALFDHSDPTLQRRVVETYIRRLYQHYLVRGSVRIQWHRSGLIALWEFSEDHIGQSATLVKQQVQDPRGRRWGVMVVIKSLQCLSTAIEAALKETSHYRAGVGSVSNGNPLNSNRGNILHIALVGISNQMSTLQDSGDEDQAQERINKLSKILKDKTVTSHLNGAGVRVVSCIIQRDEGRPPMRHSFKWSFDKLYYEEDPMLRHVEPPLSTFLELHKVNLEGYSDTKYTPSRDRQWHIYTLVKNNKDPRSNDQRMFLRTIVRQPSVTNSFSFGNRFNEVCRAQASSSFISNSILRSLMAALEEVELHAHNEAVRSTHSHMYLCILREQRLFDLIPVSRKTDEVAQDEETACTLLKHMATNIHEHVGVRMHRLSVCQWEMKLWLDCDGQASGAWRVVVTIVTGHSCTVDIYREVEDSNTHELFYGSATPAAGPLHGIPLHEPYKPLDALDQKRNTARKNETTYCYDFPLVFEKALKESWKSGISHVAEANGHNQRYAQVTELMFTDSTGSWGTPLVPVERPPGMNDIGTVAWNMKLSTPEFPGGREIIVVANDVTFKAGSFGPREDAFFDAVTNLACERKIPLIYLAATSGARLGVAQEIKACFHVGWSDDESPERGFHYIYLMEQDYSRLRSSVIAHELKLESGEIRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYRETFTLTFVTGKAVGIGAYLARIGMRCIQRLDQPIILTGFSPLNKLLGREVYSSQLQLGGPKIMAANGVAHLTVSDDLEGVSAILKWLSYVPAYVGGPLPILTPLDPPERPVTYFPENSCDARAAICGIQDTEGGKWLGGMFDRESFVETLGGWAKTVITGRAKLGGIPVGVIAVETETMMQVTPADPGQLDSAERVVPQAGQVWFPDSAAKTAQALLDFNHEELPLFILANWRGFSGGQRDLFEGILQAGSMIVENLRTYKQPAFVYIPKAGELRGGAWVVVDSKVNPEHIEMYAERSAKGNVLEPKALIEIKFKPNEVEESMLRLDPQLVRLNARLLQEIKTGTSEAESIRIRSMMAARTKQLMPIYTQVATRFAELHDTTSRMVAKGVISKVVDWEESRAFFYRRLRRRVAEDSLAKQVREAAGEQMVPTHGSALERIKEWYMASQGQGDGDTWNDDEAFFAWKDDCSNYEKYLEELKDSRVSRLLSQLAESSDVKALPNGLSLLLGKMNPSKREQVIDGLRQLLG >SECCE3Rv1G0184900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:667804793:667805873:-1 gene:SECCE3Rv1G0184900 transcript:SECCE3Rv1G0184900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDSLVWELVRKNNCFLIKQFGNSNAKVQFSKEPNNLYNVHSYKYSGLANKKTVTVQPAAEKEMTVVLSTTKSKKQNKPAAFTHKTVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSAVYRSLQVAKSGVKKKNRQ >SECCE2Rv1G0084840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:181768370:181768894:1 gene:SECCE2Rv1G0084840 transcript:SECCE2Rv1G0084840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRALLELMAAVDASPVAAEDDGANLVSRRRASYGRGRQTVPVVAPQTPPASLTETDASFEFSAVISNSSASPASMVFSDGQLRAHQFPAVRSLAGCSQVASPVLSPSMGGTTGSKKRVSFAADGAGKTAAKSSGAQKKGGGMLGCIGSACGLSRDEVVEPTINANRKVVCV >SECCE4Rv1G0266540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:732196132:732199403:1 gene:SECCE4Rv1G0266540 transcript:SECCE4Rv1G0266540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPALLSRQYARPSPPLPRQLPAPSPVAAASPAARLRVRRGPSPAQAKFGKFDAADAPTEAAPAAPATSEADGAAGQAVLEDDSCLPSDLQGAIWQSGKASADFVNSGGMRGIAELLIPQLEFLNQEGAQAEVWALSRILLDTLAEETGQIVKAIFPDAGVAALLKHQWKDAKFKCASLSDRKPVDTDDGVVVMIIPDHQMLEAVERIASQLADDPIRPLVMWNPRLVSGDVGVGYNVRNLRRNFLSTFTTVYSMRPLPTGAIFRCYPEKWKVFYDDPKRPNRYLLARESSSRPDATDIEIIFGGGGASEQPEEEPSMMTNVMAAFSSVSRFMRVISK >SECCE6Rv1G0408890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:577750081:577750413:1 gene:SECCE6Rv1G0408890 transcript:SECCE6Rv1G0408890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTASLLVLAALVVAAACAGSATARDVPAAKEDAVKRPETFQEGTVLIPGIGRYELGSHYRPDIGGLDHSIPAAARAQFIPGADDTWVPNPGFEVPNPFRPAATTESP >SECCE2Rv1G0086620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:214193529:214195432:1 gene:SECCE2Rv1G0086620 transcript:SECCE2Rv1G0086620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFRYCLSMFPLVVLLFHLATWLVHGEVANGGHRDLPFLLSFKAYNPNNVTALETWVGPDPCSGAWLGVRCSRGRVAGVFLDDASLVGSVAPLLGLTQIRVLAVRRNSLSGPLPPLDNSTSPRLRHLLVSHNNLSGGLNLSLPSLVTLRAEHNGFHGGLQALRLPMVRRLNVSSNELVGEIPSSLSGFPSSSFGSNVDLCSKPLPRCIHAYNAVEDSASNATTGVAQSPAAATNTTSGSLSSSSDSRFSQLGVTAVVATGIGNAVLIAISLAISVAMFIYMRRKLRPSKEDSKSSAALCFEEEDKIRSGEDKCQKSGALVCFDGGEELRLESLLKASAEVLGKGVSGSTYKAVLEDGIVAAVKRLSALQFPGRSKAFDRHMRLVGRLRHRHVVSLRGYCNSNGERLLVYDYLPNGSLQSLLQGNGGGGRTRSLDWATKKGILFGAAQGLNYIHTFPARPALVHGNVKPSNILLDERGAACVSECGLMRYAASIQQSGPQPPDLFLDRATAAVSSRGGWRGYAAPELTASGARATQESDVYSFGMVLLAVVTAKGAADGGEEEGEGEETMGMVKIGVLCTTEAPEERPGMAQVLTMMSEFM >SECCE6Rv1G0421520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:684182108:684183455:1 gene:SECCE6Rv1G0421520 transcript:SECCE6Rv1G0421520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSCLSAARTAAALRLPFQADHAASAFPRPARSSSSRPHAHRLIAAAPAVPVPRRSRLVTAFASQEEATAVEEAQEEEVQEASGQLEEYEPAGEVEEQQPEEEQQQGAAAEAISDGGEESSDDGSTGTGSSATKLYFGNLPYNCDSALLAGIVQDHAAPEMVEVLYDRTTGRSRGFAFVTMSSLEDCERVIKNLDGTLYSGRTMRVNMADRPKPKAPLYPETEHKLFVGNLSWTVTPEMLTDAFQRCGNVVGARVLYDGETGRSRGYGFVCYSTKEEMDQAIETLNGTEIEGREIRVNLALGKRY >SECCE4Rv1G0253850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:630523945:630525099:1 gene:SECCE4Rv1G0253850 transcript:SECCE4Rv1G0253850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKCRAAAAGGGTAAPAVVEHRAPVALGVRTRSRAAAFDAKRRRQQSTTSTAARAVEDALLGRDGGDAAAGCYLHLRSRRLFMPAAAVAVHQLRGQGADEEASTARLAHSGPSVEAAVVAGVSRCSSTASTAVDGAARERSGDEAEACESRDVESSVSDSECGGRDRREATPSSRPPVDLSDFESSQAADEQKHKRRRYRAATTATAAPFHLDSEARARMPPAAEIDEFFAAAEKAQAERFAAKYNFDVARGVPLNAGRFEWTPVATV >SECCE2Rv1G0131150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:883253647:883255411:1 gene:SECCE2Rv1G0131150 transcript:SECCE2Rv1G0131150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCHLNELELEPLEIKDVLRCILHTIFFHRTLTLVRPKDVDCDLFEITYVQCGLAELEKEVDEKINQFIAWAEKHPNRKSQVCLSFFDEKSKHPGWFSSKTERVYWEQWFINLHVTSPKGQGKSRGSKAPANTKGQALEETSSRRDALTLLIQEVLFQIINYANEKKDHIPPISDRIFNHEILVPSSSDSVFGWNADVLRRALSSGHSYSLN >SECCE6Rv1G0446530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:844851763:844853026:-1 gene:SECCE6Rv1G0446530 transcript:SECCE6Rv1G0446530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRSSSSSMALALLPLLVAAAFFIPSMASSASGTLDHGLDGEALLMLGRFHGWMAAHGRSYATVEEKLRRFEVYRSNMEFIEAANRDSRMTYRLGETPFTDLTHDEFMAMYSSNESPWESEEMTVITTRAGPVHEGTATVEEPPRRTNLTAVVPPSVDWREKGVVTAAKYQGDSCSSCWAFTSVATMESAHAISTGGSPPVLSEQQLVDCRINGCGNSWMDKAFEWVIQNGGITTEAAYPYTGKVGKCQRAKPVAVRLRGYKKISPPGDEAALMAAVAQQPVAASFDYSDPCFQHYIRGVYNAGCSRSGVYTKGACKTAQNHALALVGYGTKPDGTKYWIGKNSWTDQWGDKGFVYFLRDSPPLGLCGIAMYPLYPII >SECCE7Rv1G0501150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:680093044:680095844:1 gene:SECCE7Rv1G0501150 transcript:SECCE7Rv1G0501150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHATFENAERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVPTDEGKAFAERESLYFMETSALESTNVEDAFAEVLKQIYRIVSKRAVEAGEDSAAGPGKGEKINMKDDVSAVKKGGCCSS >SECCE2Rv1G0105140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:614637077:614638653:1 gene:SECCE2Rv1G0105140 transcript:SECCE2Rv1G0105140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLISSVASKALALYTPEISRHRRSAVSFASAHPPRILAMKFWGANHSLPIRGATRIPAAVPGPENLPRGNLPMSNMPSWMTLVVGAVLVAIPIYRKIRALEDKVEKTAEVAVEVIDTVAEAAEKVAGEVAEEFPENEGLKEAASRIKTVADAIEEDAEKAEALIHKVDEITKEVDSVVGPIIDVAMKEKGERGVDEEPKRKEKDNI >SECCE5Rv1G0339840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611374820:611381070:1 gene:SECCE5Rv1G0339840 transcript:SECCE5Rv1G0339840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDDVIDLVSEDDTASYEETSSEDTEYVKVCDVCGNVGEEKKLAVCSRCNVGAVHIYCMLVMLQKVPEGWLCDECQAEVEIEIEKKKLEKSQVNFVMVSMENKVDAEKGKDASNVACCNKRLNRQSEPDFLEEIKDVKVCDICGDVGEVEKLTVCGRCNGAEHVYCMQVMMEKVPEVMWLCEACQTEVEFAEKRMELEKPQVMVGACKLESFGGQTNKPADDANRSYFEDEMEAEHVSSKTSNTRNQSNGMAAKRIEDDAAITLLIGKYLSESGGVSIEGDSAKRVPLSHKNSLKLDTEKGKEPARPMPTSLTSNALKNQAPPLCGPLPKSISFNNSNVPKVKQLAIKVPQNPKKLKEPLSLITKQNGPVSTLAKSTSVKKPNSRDPVSKGMSSILLDVEEPRMMNSAMSRNVANKRGTSISGYPSVAASMLVPVPSKAESAAQHLNKRNKMDNVGIAYGQDGRNFPAPSEPKRQLVAKVPGSLTLISAEASSGMLCSGAQMKGIQIPDTSLIDKIKNPPSLKPGTSSSSCTMHCQQCDEVGHSTQLCPSGRFSLFVTKPLSEQTSDRTARSNRTSEATTLTATEDILEPAYQSEPIPKRRRYHNPLYKPINVLCTSISHEGSSEEDVRNGMPTPSTTASVDCPELKCKEHQAASAMGGRFVDSSSTTLNEPTDKSPIFSPSDDRITSSLPELAYIWQGSFEIWKTGRSPKFCKGLQGHLSCSASHKVLEIAKKFPSKIRLEQLPRRNICPPQFHGNGLSYDSIGLFFFARDIQSYERHYSKLVEWMLKGDLALRGSIETAELLIFPSNILPESFQRWNMSYYLWGVFRVRRKDPNLPYHVPTRKHRNFNGNLLDVGRRTHAHASSGPSYYYSPTCEDSPSIMPLEANHEGCPNGESSLGKESTTVNHDDAKHMWGPGLVDLQWRPRSVCR >SECCE7Rv1G0518200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857945087:857950779:-1 gene:SECCE7Rv1G0518200 transcript:SECCE7Rv1G0518200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGVWQEPPSQAELFAAEVAAVRAVLGAALPEAHVLAALSRCGGNAERAINALLDDDADDAAERAIDALLDAEKVRVKAERDAAAAAAPVPTPVVRVKAEAVDVPKKERPVRPPPAKVAPLPPPRRVKEEKRQEEEEEVTSGLPRPRAGGCGISLVPRPVKMDCDDDEVEVIDAAPRPKKRVREEEGVVDLTATHPLPYLNPRPIRAIPPEEAAQMYDPQPIRAVPPREAAKMHNPPQRQRQRPARATAALPKSDWKMVVAAPEAEVGDFPPEPNWFLVDKSYVAGLSTHSGRRMLDAGEIVHFSFPSYDRVNSGIKMSAKKAASLLQIVRFSTKRSGEIGKLSPEWTKCLVPLVNSSKVKIQGKIVFQTMELRLMQDILLYVSFYIHKSVFTEGDNSSLSQLAPANVDYSDNPLHALFKLLKLRASVKADFTLDELTRKRPWNLRGDANGDDESTPIVGLETRRTAGQTFPEQAADEQAISEAALNKIIGTAEIYDLKEAEPPHTLVSVLKPYQKEALFWMSELEKGCIDDDESKNAIDPCFSAYTIADKRAPAVYINVFSGEATTKFPSLSKTTRGGILADAMGLGKTVMTIALILSNPRGEQSNYIERDITRPVRGRDTRTRTSTPNIRGGTLIVCPMALLGQWKDELEAHSTPGAISVFVYYGGDRTGDLKLMAEHTVVLTTYGVLQAAHKADGSSAFHRIDWYRIVLDEAHTIKSPRTKAAQAAYMLSSQCRWCLTGTPLQNNLEDLYSLLCFLRVEPWCNSNWWQKLIQRPYENGDERGLKLVKAILRPLMLRRTKETKDKMGKPILVLPPANIEVVECEQSLEERDFYEALFRRSKVQFDKFVAQGNVLNNYANILELLLRLRQCCDHPFLVISKADTKKYTDLDELAERFLKGARNDPGCRAIVPSRAFVEDVVEEIRQGAAAECPICLESTSDDPVITPCAHRMCRECLLSSWSTPAGGPCPLCRSPITKADLIMLPVQCRYEVDAKNNWKDSCKVVRLLATLEDLGKKGEKSIVFSQFTSFFDLLEIPLNQKGIKFLRFDGKVTQKHREKVLNEFSQSKDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRAVQVRRFIVRDTVEERMQQVQARKQRMIAGALTDEEVRSSRIEELKMLFK >SECCE1Rv1G0041680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:573230809:573232760:1 gene:SECCE1Rv1G0041680 transcript:SECCE1Rv1G0041680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLAGAAAAPLRRCLCTGASRPPWALTYRMAALGASGAPSPGARASLDLHEPPCVSQLSVPTHLADGMDLAAASIQAASSDGLLLLDFADTGNWPEAIRDCGGSSTAIPGLAACDAAVDPGVRRFVCNPLSGQLFRLPVPSMDAALTTTPFGLLTQSDGSHGPPDRFVVAQLCLRERDGQRVVRRFRSETGEWDEPPLFVPSAAPAWRPMPNHEVVAFGDRLWWVDPFFGVFSVDPFSDRPEHGFVPLPRPLPNFDINAPLMLFRLLGVSEGKLRYVEMTTKQPFMLYSFSLDDEGSSWKLTHEKRLNLFLSDNSIPRECEMPWISAIDPFNANIFYFTHGDLVCELDIDKGETGSSSFPDSITSGSYSSAFFVPVMLPTWLESYNIPCAGTLSIKATNGTRKTLADMLVRVDC >SECCE1Rv1G0003630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14824571:14824795:1 gene:SECCE1Rv1G0003630 transcript:SECCE1Rv1G0003630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAPKAPAGEEKKTSWPEVVGKSIEEAKEIILKDMPDADIDVLPAGSAMTLDFRTNRVRIIVDTVATTPSIG >SECCE7Rv1G0510270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:788291697:788296360:1 gene:SECCE7Rv1G0510270 transcript:SECCE7Rv1G0510270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWSRIRDYPHRWTASSTSLGRSQQFPLPAAAAHSPFPPRTDAAMAELRHATVAAAAARASGSPAKRDAEAASASSPFLSSPRGGGDGGKDGALRPSPPLHQRHPLPAAVRALLALEDPRSPSSPASYRILLAVLACVALGALVSAPSVWSRLNAPYLCRKDGIRLQCPGVSNSLWENPHAAAMSWKPCAERRSDEISDLVSENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIRRTVKNIPKYAPAQFYVDNVLPRIKEKKIMSIKPFVDRLGYDNVPMKINRLRCRVNYHALKFLPGIEEMADKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMAEYRQKQWPRRFKNGSHLWSLALEKRKEGRCPLEPGEIGFILRAMGYTKETQIYVASGQVYGGNNRMAPLRNMFPNLVTKEDLASKEEIEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWANFVEDVMITHQTRTGLPEATFPHYDLWENPLSHCMCRA >SECCE1Rv1G0056280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:686010224:686012241:-1 gene:SECCE1Rv1G0056280 transcript:SECCE1Rv1G0056280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NINJA [Source:Projected from Arabidopsis thaliana (AT4G28910) UniProtKB/TrEMBL;Acc:A0A178UVV4] MEDGLELSLGLSLGGGSSGKSKPRDASLEPKAEPQVEESSSKGVSQPPDAPFAHYYQATAENQEHSSKQRHSPATPPFGNFWGQHGGSSAPVADGSSELIAHQSQLPQYQEGRTPNNIGNNSEENMPVSSKRKLLSEETSFQKKHHSAADQPDAFSKSSEGGVKNAPISISTDDGSTGENEDVADSEAEGSNSWLVAQREDSAKGSVVNRGSDIRRSSDDATGGFQGKRQPSFSGSESSSGKLPHGNPLQGSNVVTAQYQGQTQVSASLGITNVPNFPPMYTVQLRPPVNNGPAVQTMGGASQVSFGYPTGQLPILETSSSWAFGTPPQAISSFAAKQAERAGAKQADDGKKPQEAGASSSALLEDGKVVEKVLPLMGSGSGIRPGIAPNVKFGGSGSYPDLPWVSTTGSGPNGRTISGVTYNFGRNEVKIVCACHGTHMTPEEFTRHASVDATGQENNATMSAFPVGNQAASAQN >SECCE3Rv1G0160360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:104442263:104443336:-1 gene:SECCE3Rv1G0160360 transcript:SECCE3Rv1G0160360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMCTARKSIGGKAPTKHLRAFHAAARKTAPATRGVKKPRRYCPGTVALREIRKYQKGTELFIRKLPFQRLVREIAQVFKIDLRFQSHAALALQEAAEAYLVGLFEDTNLCAIHAKRVTIMSKDVHMARRISGERL >SECCE7Rv1G0526020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893650555:893653431:1 gene:SECCE7Rv1G0526020 transcript:SECCE7Rv1G0526020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSSPEVTAMALAAPPPQSKKVPSEYILRKYLLMLATLVATVTYGAWFNPPGGIWQDSVDGHLAGEPIVQNTNNLRYLVFFYCNSFALASSVLVIVLFLLLSIDLVDKKEVWVRIKPLRVLMVLDLLSFMGAYAAGSCRDMLTTVYTWVLMVAGVCTYLAVQVTLAWGSGRPLSPPLPGPADQGEITVSLEERLRKMLMLLATFALSITYVSGLNSPGGFWDSAGGGHHPGDAILNDGHHKARLATFFICNTTVFMASLLIIMLLLGRKLHERTTVRSREMLGCVLVALVGLVGAYAAGSSRDPAATACVVILVVAATLACVLLQSWCRQQAQDNYSSRQPPPSTEIANTVHSVELQLQGRRSRSLLLLLATFATAITYPAGLDPPGGVWQQNGYGHLAGDPILVTTNAIRYRIFFYCNSVAFISSFVTIILFMLRGYVLRAHVVETVMILELFGLTGAYAAGACREVSTSIYVMALGGAVLFYVVIHVIFFTLEQKGMVSYVEQAEVEKKRKLLYLLATLSTAMSYQAGLAPPGGFWLQQDDKGSGDPVLLYNHPRRYNAFFYCNSLSFMLSVTTMILLVSPVLYRPAIQSHALTLCTAAGLSCLLGAYAAGSTQQLSTSIGIFVLVAAAFMILLALLLILLVRDSRLRRAAKLVRWSEPHEDGAIPMINAQGGDLILNSEEQEKKDQQHAQRKHMMLLGILVAGVTYQAGLDPPGGVWQQDDDAGGPVMLDNRRHRYLAFFYGNSTSFLASIVIILLLLLQWLTRLKMKQRLHFWVMLSVLLLDLLALLVAYAAGSCRDWKSSVVVAVLIIPAMACVVIKVVFTLP >SECCE1Rv1G0014090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:110025820:110026746:1 gene:SECCE1Rv1G0014090 transcript:SECCE1Rv1G0014090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGAERAETMAQIADGCENWGFFQLVNHGIPLELLDRVKKVCSESYRLREAAFRSSEPVQTLERLVEAERRGEAVAPVDDMDWEDIFYLHDDNQWPSDPPAFKETMREYRAELKKLAERVMEAMDENLGLDKGRMKAAFTGDGLHAPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDKVGGLEVLKDGEWLDVQPLPDAIVVNTGDQVEVLSNGRYRSAWHRVLPMRNGNRRSIASFYNPAFEAAISPAVGEGGAAAYPDYVFGDYMDVYNKQKFEAKEPRFEAVKTPKAA >SECCE2Rv1G0136690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:913441885:913443536:-1 gene:SECCE2Rv1G0136690 transcript:SECCE2Rv1G0136690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLLLVLLFSVGGANAALFFPTSQEFNINETGSSIQTPGLFQGLAEDFVYYAYHHLEAGGSAGYYGVSVTMDVYALSLSKDQNSLAAVQISNGKDSVIRMAWEVYPRLYGGDSRSHLSAMWTNDGYRKTTCVNTECPVGFQPEAGAPLVLGDIIDQSSGIRQSLTIKLIKDGASGDWLLHCGLNQRDPTLIGRFPKSFFTGGLADRATTIDVGGIAADRTTELPPMGSGYLPTNDTMATATAASFSNIQIFHENGQVSLLPDNVPTYLSSASYSASPVINGKFFYGGPF >SECCE7Rv1G0484910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:351351611:351353122:-1 gene:SECCE7Rv1G0484910 transcript:SECCE7Rv1G0484910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVGSYPTSTTPTPLLLLLGSLMVAVSLFVLIGRSSHVRGKAGLPPGPPALLFLAKFVALHRSIFDLGPLLVDLHARHGPVISVRLFRTLVFVADRNLAHRALVQDGATFADRPPPVDPVRLFTSGGRDISSSSYGPYWRLLRRNLAGEALSPARVGLFAPARRWACDGLVSSLLSKRQDAVTLRPLMRRAMFDLLVYMCFGARLGQEALDEVEELQHEVLLSLTTFPVFAFFPQVTKRLFGRRWAACLAVRRRQVEVFVPLIHAKRGDGDPPCYADSLLAVRVADEGGRQLTDAEMVALCSEFINGGTDTTVTLLEWIMAELVQHPDVQAKVYEEVKASPELNNLQAMPYLKAVVLEGLRLHPPGHFLLPHGVQSSEAEIGGYAVPKGAEVNFLLADIARDETVWTAAREFRPERFLEGGEGHGVDITGSREIKMMPFGAGRRMCPGYTLGMHHAEYFVARMVSELEWRPAAGGAKVDMAETLDFTTVMKHPLRAVIVSRS >SECCE6Rv1G0378160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:6737898:6741973:1 gene:SECCE6Rv1G0378160 transcript:SECCE6Rv1G0378160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGERDEIGREAHHGGAVQSGGEHKDSTAGDEKDGEFQVQPRWRKFLAHVGPGALVAIGFLDPSNLETDMQAGADFKYELLWVILVGMIFALFMQTLSANLGVKTGRHLAELCREEYPPFVNICLWIIAELAVISDDIPEVLGTAFAFNILFKIPVWAGVILTVFSTLLLLGVQRFGARKLEFIIAAFMFTMAGCFFGELSYLSPSAREVTKGMFIPSLRGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSDKSIRVACRYFLIECSLAFIVAFLINVAVVVVAGSICSANNLSPADAGACGDLTLQSAPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIAPSLIVSIVSGPSGAGKLIILSSMILSFEMPFALIPLLKFCNSSKKVGPLKESIYTVIIAWALSVSLIIVNTYFLVWTYIDWLGHNHLPRYANALVSVVVFALMAAYLVAVVYLTFRKDMVVTYVPVAERVQGQVEAGRAPVLASGADGDQPAPYRKDLADASM >SECCE4Rv1G0281690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826731880:826733454:-1 gene:SECCE4Rv1G0281690 transcript:SECCE4Rv1G0281690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVDRLSSLSNDLLRRILHFAPLKEAASTTALSRRWREPLWLSSGAVNLEAGVVEKKKYRRRQVHGHDNANAHARFFSGSDNLVSAATGALDAADVPVTRLSLITRFPDYDEVASCYKDLVGVVLSHRAARHVEELLLVAKDPSGSPYDRPVHNCTVTLDSLQLKTLRILELTNCRGVQVCHREAVLPRLSSLRLSHCTLQLGSLQRVIDAAPSLAAVRLESVFIKHEEAIEATWRHVRCPATTVLVLDNCSWEVKVQAPGCGWCYLKPVDRLKIDAMRMRSFSYKGPLRSYSFSPQRLEFEQVDLEFFEQGYRVKDPNRDLETFWQFAGNFTGTKEMRLSVNHLEEIAVISEPRQVELLPAFSRLKRLEFQGAHWTKDKTAAVTTILNLLHCCPVLSDLRINLTAKYEEEDASNKQGGHKQKGTTRKAILMAAMVGPRYIFQCLQSSLRRVDLQFQLEKKDCLGVKLIKTFAENAMVLEEIRIDSADDKLCEHMKPRIAKWNSSRKESGATSFLVLPLKR >SECCE7Rv1G0468390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:97477568:97479703:-1 gene:SECCE7Rv1G0468390 transcript:SECCE7Rv1G0468390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLLPVRVKEEWPPPLPEEEEELERRGLAPRPMEGLHETGPPPFLTKTFDLVADPATDGVVSWGRAGNSFVVWDPHLFAAVLLPRFFKHSNFSSFVRQLNTYGFRKIDPDRWEFANEGFIRGQRQLLKMIKRRRPLSYLPSSQHQALGSCLEVGQFGFDEEIEVLKRDKNALLAEVVKLRQEQQSSRADMRAMEERLHHVEQKQLQMMGFLARAIQNPDFFLQLIQQQDKLKDLEDGYPAKRRRSIDVTPFLGPEGSSQSEPLESTFIFEDREFSELENLAMNIQGIRKGMEGDRGGRNHGCGEAELTDDFWEELLSEGMRDEAEMLELERRRSRYVDA >SECCE1Rv1G0052910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:665154332:665155529:-1 gene:SECCE1Rv1G0052910 transcript:SECCE1Rv1G0052910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGSGVECYDQLRPTKRNFHDLCTMLRERCGLRDSVYVTVEEKVAMFLLVVGHSIKMRMLRGTYKRSLWTISTHFSAVLNAILSLHGEFIKLPSSTAQAPDDYKWKWFGNALGALDGCHIDVLVDVADQGRYRNRKQGISTNMLGVVDWNMKFLYVLPGWEGSVSDSRVLRDAMRANRQDAFVVPKGKYYLVDAGYTNGEGFLAPFRSTRYHLKEWAASAQQPHTSKELYNLRHSRARNVVERTFGLFKKKWAILRSQTFFDIKDRIRIISACCVLHNFSTDRQHVMDDLLLPEVDNELANAAIDDPDDDNFIRSVQVTTEWSNFRQQLADDMFAEYLVAQGQQEME >SECCE3Rv1G0189730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:729895122:729899832:-1 gene:SECCE3Rv1G0189730 transcript:SECCE3Rv1G0189730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta [Source:Projected from Arabidopsis thaliana (AT5G44740) UniProtKB/Swiss-Prot;Acc:Q8H2D5] MPVARPEPQEPRVIAHLDLDCFYVQVEQRRNPALRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDDAKNVCPGINLVQVPVSRDKADLNVYRNAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELSESIFEEATKSNILDLPSDVGDREENVKAWLCRADANYQDKLLACGAILVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSSVQDFLASLPVKKMKLLGGKLGSSLQDDLGVETVGDLLGFTEEKLQEYYGVNTGTWLWKTARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNSSVKSWLDKLCEELSERIQSDLSCNKRVAQTLTLHARASKENEGNSMRKFPSKSCPLRYGTGKIQEDAMKLFESGLNDFWGSRNAGWSITSLSVTASKIFDIPSGTNSILKYIKGRSSDASSAILDSSSTPELTPFVDNKLYMTPVHEERCEPSSIKEDCDDSNSAKQCRSVEGKGVPKKLSKVQETGSILKFLSQIQPGLHKKRNFDGLICSHQGPESSSGASEAEQHGRDNTNTAVDHSSGNTWMLNVEDIDPAVVGELPLEIQREIQGWIRPSKQATPKKRGSTISSYFSPARR >SECCE5Rv1G0376570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872909641:872909997:1 gene:SECCE5Rv1G0376570 transcript:SECCE5Rv1G0376570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDIGYFSDGAYNTTFPEGSYMEMGGRVLNTRPGGKHTRTPMGNGMPACAGSRFAATIFEYLGVDAEGELFLDTPDRTVTTTPGCYGANLIGYSAGAPGYVVAYGGPGGIYCDKPCC >SECCE2Rv1G0073800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:71369862:71370665:-1 gene:SECCE2Rv1G0073800 transcript:SECCE2Rv1G0073800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWMPPYAQVDPYPSWDRYDTRAHSPSYFRPSHQYYAAPRRSTFEQSHVKDRFDHKESVRSSKEKKKVIKQVYRVKRDGRKSATSDLISNEKEPIKVLTLATKGNEMKQPIIDSQSAKSEEKKLRVHKAKKGLPLAKTKSQLGCPLGLSYWQKKKLQKLSAQELEERNMAWVPKGSDQNKNYVQASITRSAEKVKKEKSENYEGRSRRFQHLRSTHYPYSSTMTLTPMSWNSSSGMIGNPQWAYFNPWMPYNFLHHERVLPSHYTFD >SECCE7Rv1G0504730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:726351647:726355421:-1 gene:SECCE7Rv1G0504730 transcript:SECCE7Rv1G0504730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSLWGALGQASTMAQLVGVDALGLVSMVVQAALVARRHRDACLRLAQHVDLVGGLLRELELAELMRREATRRPLEQLGDALRRCYALVTACQDCGYLRRLLLGARMADELRAAQHEIDMFIRLIPLVALVDNSDSRRAKQPDEGVLSVVTASSNRHIRFSAKPLEFTKIHIQGATELCDVGEQPFLGKVDPREQNIVDIEELVELCTRMEEACTGFTRFGFCQIMDATDNFSDNMIVGFGGFGRVYKGQLPGGLNVAIKRADEHAAMLDVNSELQLAKLQHANVIRLLGWCIHGKERILVYEFMQNGSLDPYICDRTKGPLLNWSKRFKIITGLTEGIVYLHKHSMFWLVHRDLKPHNVLLDCNMVPKIADFGSARALSSDVAEERTSRVVGTSGYKAPEYASRGVYSMKTDVFSFGVLVLVIISGRKNTILDKQGDTVGDLVRDAWRMWKEQRLHELVDPLLGDGYEVAEIMKCAQVALVCVQEDPADRPTMTDVAAMLNSESIVSLPMEPKQPTALIHGCAGRDMTSTYMGQSSRTIDITITSSAPMSTRVRIILDPEV >SECCE6Rv1G0398700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:424887522:424889033:1 gene:SECCE6Rv1G0398700 transcript:SECCE6Rv1G0398700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCAASLAPLLGTAAANATDYLCNQFADTTTAIDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKHFFGLRDVPQVGFDYSFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGPLLFKSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRAVALRGHSASLVVLGTFLLWFGWYGFNPGSFLTILKSYGPPGSIHGQWSAVGRAAVTTTLAGSTAALTTLFGKRLQTGHWNVLDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNKLAARFKFDDPLEAAQLHGGCGAWGVIFTALFARREYVEQIYGAPGRPYGLFMGGGGRLLGAHVVLILVIAAWVSCTMGPLFLALNKLGLLRISAEDEMAGMDQTRHGGFAYAYTDEDSSSRPGRGAGGSVGGFMLKSAQTSQVAADATSPSGSV >SECCE4Rv1G0266250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:730375806:730379313:1 gene:SECCE4Rv1G0266250 transcript:SECCE4Rv1G0266250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRAGSSSNPAPTKRRLTVPLHERPSATPPPAYPGKWRTRPAFSWTVENIYKDFSGHRSALVRALTADQDKLYDLLCEKGKEPMCLYGYPNGRWELTQPEEMLPPGLPEPTRGINCRPAYVNRSDYLTSVAYHSDSWLMSVTFFLTTHLDANQKIRLFDMVNEMPTVHDELYRSYGVPWLWTFVQYNANRDSKAPTQENMSNQDVDSITPAEENVQPSQDSMQDLSAPNTDSRKPAEDKEKDNEVTDFCGSCGAPYHANAFWIGCDTCYQWFHGKCVNITASEAKHIEEYKCPDCIREVTGE >SECCE2Rv1G0092150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:343613486:343647832:1 gene:SECCE2Rv1G0092150 transcript:SECCE2Rv1G0092150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAAASPTVVASPTRALADTTAPSPASPPRRLASAPPGVDASAVSSPVSAHSGDLSACDVSSPLLASRSEEYRLMFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQDVTDVRKAKTAAIFPNAIEIVAGAKRHFFGSFLVRDEAYRIIVDGWEQHVSDARLLIERQDAKSAGSSDENGHVLLEEGKESKQDEGLSPLNRSANLTAIIGGSTDCGDRDVSTSKRFLKAPEDGTEDNPASLNPFNLQPFDDDAPNVPESYTLITESKFQVPVEVLFNVLLSDSALGFLDDLHKKCGDKEFRCSNWRLDEQGGLVRDVSFLHPIKIYLGAKFGTCQEVQKLRLYKNSHVVIQTSQEIGDAPYGDHFIVEGIWDVEQDSLDENSCHLRVYTNVAFSKRTIFRGKIEQSTKDECREVFGLWIKLAHDILKQDSIPRKGASITMNADVQSGPSLNIEGPLENAVEYMASAPYDSGLSSLVPPIEHRQQSVGTLASTSQELWGSLASYMRSRQFGPVLAVMLVAIVILMQVTIIVLLSRSPKVLMVSPEASASSLGSYSKESIEWLQKRVSLLSEEMHMAEAHMEKMRHEFAWLKSHLERLERLRSSSS >SECCE4Rv1G0278880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811294468:811296861:-1 gene:SECCE4Rv1G0278880 transcript:SECCE4Rv1G0278880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTEEPTITIETCGDDVSDPTMETIGVLSDVSMVSRRLPVSANFKVTRGFGGIAENAKVNIDRLYLRQILTGWDANQSDVIQPNAVTGLGKTAVNNWGVYDGAGSKAKLVAKAHGMHTLAGKWSNWFTLAFVDGRFEASTLQVMGANDEDEADNDWAIVGGTGEFAMARGIIQRRVYSITNSTLTHALTVEFFCHMTEVVPAPTKRGTVGGNRGSLTWEMEGKSQRLENVTIYHIGAVEGFQFSYVDEDGIVRTTATWGRAHPDPLRKTEIKFGPSEFVKKINGAQRGGEGWLSKFEIVTTHKTYGPFGSDNGTPQFSYTVPEDETVVGFFAKTDNVFVTSIGVYTI >SECCE1Rv1G0015770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:129300113:129304236:1 gene:SECCE1Rv1G0015770 transcript:SECCE1Rv1G0015770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAEA homolog [Source:Projected from Arabidopsis thaliana (AT3G55070) UniProtKB/Swiss-Prot;Acc:Q9M2V9] MEMAIDTPSPSPAVSPAPSAAAGRQTRAAESVRLEHQLVRVPLEALRATARTNHRLAEKEIAAVLSSASSAAAAPGESGSAAAVDHLTSLVSRLHGLKRKMEEGARAEELQVQRCRARLDRLAAASAGDDAEWEDIRLKRILVDYMLRMSYYDTATKLAETSGIQDLVDIDVFLDAKRVIDSLRNKEIAPALAWCAENKSRLKKSKSKLEFLLRLQEFVELVKAKNFLQAISYARKYLAPWGSTHMKELQRVTATLVFRSSTNCAQYKVLFEQNQWDSLVNQFKQEFYKLYGMTLEPLLNIYLQAGLTALKTPFCFEGNCPKEDPLSLDGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPLVLPNGYVYSTKALDEMAKKNGGKIICPRTGEECNYTELVKAYIS >SECCEUnv1G0560590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:348271563:348272141:-1 gene:SECCEUnv1G0560590 transcript:SECCEUnv1G0560590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGSSKARTTRSGSKRAAKAAVSGGEEYVLTRRHHAPMEKSALRSCTTKRKAEQSVRITRSKAAAAKGDEEEVPAKKKKGRLPQEEIYRIIARDQDRDRLPIGIVDLKRRNPDLIPSPEEEMDEEMMDLYVEARVTYQVRERFPKFQAWVRSEYCKKGYVEVDNDILAGLEETKAWEEELKADFDAGRI >SECCE3Rv1G0210580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944127475:944130202:1 gene:SECCE3Rv1G0210580 transcript:SECCE3Rv1G0210580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRADENGDIVLEMASPGADGGTNGGGDAARRTLSFSQAYKMRHRTPQAFTVAQTLLLSFQSLGIVYGDLGTSPLYVFPSVVLPGAGERDFLGILSLILWTLTLMSLVKYVLIVLRADDHGEGGTFALYSLLRQHVKFRGGTPAQVTRLPSDLQLRFHGKKRGPEPSRVQRFLEGSAAAQSVLTYVVLVGTSMVMGDGALTPAISVLSAVQGIQSRSPKIEQKHVVMLSVVILLLLFLFQRMGTSRVSFSFSPIMLVWFASIAMIGLYNIIVYYPPVLKAVNPYYIYCYFARNGAAGWEQLGAVILCITGAEAMFADLGHFNKRSIQVAFSTVVYPSLILAYSGQAAYLIKNPADLSTAFYSSIPGGLFWPMFVVSTLAAIVASQSLISASFSIIRQSIVLDYFPRATVRHTSDKYEGQVYCPEVNYLLMVFCILITIGFQGGPEIGHAFGVAVIWVMLITTALMTVVMVVIWDVHPALAAAFFAVYLAVEGVYMSSLMNKMAQGGWVPFAITAFFLVITVSWTYGRKKKSEYEAGHMISGNELAAVVARSARVPGVCFFFTDLMNGIPPIVRHYAEHTGCLRELLLFVTVRRLPVTSVLPEERFLVAAEEEVPPGVYRSVVQYGYMDKQDMEGEEFLESVLAALKEIARTAEEAAMMDRACRSGVSVVIGRTILTASGGKPLHGWFRRFVVNHMYRFLQKNFNSGVSNLKLDHDKTMQVGMNYKIRLD >SECCE1Rv1G0032810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:459510081:459515264:-1 gene:SECCE1Rv1G0032810 transcript:SECCE1Rv1G0032810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSPAVSLLLVLVVAASAALAPVASAVPFIVLHGIGDQCGNDGIASFTDELAEWSGSKGYCMEIGRGSWDSWLMPLQDQANVVCKKVKEMEELREGYSIIGLSQGNLIGRAVIEYCDGGPPVKNFISIGGPHAGTASVPLCGSGILCVLVDVLIQLEIYSNYVQAHLAPSGYLKIPTDMTDYLKGCKFLPKLNNEIPSERNITYKERFSSLDNLVLIMFEDDAVLIPRETAWFGYYPDGAFNPVLPPQETKLYTEDWIGLRTLDEAGRVKFVSVPGGHLRISRSDMKKYIVPYLTPSCGSSKQSIRRILSYRG >SECCE2Rv1G0113040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:718508872:718512263:1 gene:SECCE2Rv1G0113040 transcript:SECCE2Rv1G0113040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLCLLAAVLLSTASAEYARELLRRAEGDRDWIIGVRRRIHAHPELAFQEHRTSALVREELERLGITARAVAGTGVVADVGSGLPPIVALRADMDALPIQELVEWEHKSRVDGVMHACGHDAHTAMLLGAAKLLHERKDQLKGTVRLLFQPAEEGGAGASHMVKEGVLDGVEAIFAMHVDCQRPTGAIAAHAGPTHAAVCFYIVKIEGKTGKAETPHLNVDPIVAAAFTILSLQQLTSREDDPLHSQVLSVTYIKSGNSTDATPSVVEFGGTLRSLTTEGLHRLEKRLKEVVEGQAAVHRCKGVAEILGAPSYPMYPAVVNDERLHRHVENVGRSLLGPDNVMPGEKIMAGEDFAFYQQLVPGIIFGIGIRNEKVGSVHCYHNPHFFVDEDVLPIGAALHTATAEMYISGCSTRNEVGSLYSQQEQL >SECCEUnv1G0530280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:12170572:12172094:1 gene:SECCEUnv1G0530280 transcript:SECCEUnv1G0530280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKEAAAAAPPEAAAAESDGAAEKRARSGDCDDTADDFISSLPDAILGTIVSLLPTKDGGRTRVLSRRWRHLWRSAPLNLEVSTQPPDADAAGPTRSRVPPSAVSEIISEHPGPGRRFSLHCRGDDDLCPQAESWLRSRALANLQELDICYADPPLLTSVLRSASNILVAKISHCDFEPAMINFPLLKKLSLFRVTISADLFPTLLSGCHALESLSMTKVRAVGCLRLSSPTIRTIIFRHSYGDTVELVIQDAPRLVRLLLPYCERDDAVTVRVIRAPNLEILGPLFVLVSKLPLFQGISPVSSTNPMRTLKVLALKSSGQKLNAVLNILRWFPCLEKLCVIFHTNHERHDENEPQYDPLHPIECLETRLKKVVFKSFEGYGKQVDFAKFFVLNAKVLDKIEFEVRNQYSSETVAYEHRLLQVENRASREARVEFRTTSY >SECCE4Rv1G0285350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:844085110:844085610:-1 gene:SECCE4Rv1G0285350 transcript:SECCE4Rv1G0285350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPAEKEPATEKEPAEKDPAMEKEPAEKEPATEKSPATAGKKPEAEKRLPAEEEPAEKKGKRRKETYKVHIYRVLKQIHKNEVGITSKAMAAMNSFVNDMFDRLAAEAGKLARYNKKSTIGTREIRTSVRLLLPGDLSKMAFKDGDAAVDRFKKYTTINVSDSP >SECCE5Rv1G0298460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11258129:11261829:-1 gene:SECCE5Rv1G0298460 transcript:SECCE5Rv1G0298460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKDGRRRSPDTEMEARKKKKKTKRSLAVAEDRTVMFASFESDEKAKLDRVYDADVAESSSEESSYPPSPLLHRPYIPDHLAHRPDIRAAFKHAKAKYQADIARRFVFTLDRCSSVSCMSDQPHLLHIREPAKDAVLFAANSIITLSSYLDDEPMNRCCGLWIQQDNKKNSAVVLTSAHLIRAKDPGQWMGEWTGEYHREAEVIVHLLDDTTAVASLLYLQEHYEFALYEVVVNKPVQLSTFNDNVHSGQDVFRLGRDENLDLRITHGRVEYMIPTPYERCHYMYFSNNEHSLRDDGGPVIDLEGKVVGMVNNQINETFLPSSILHKCLDSWRKLKCIPRPHLGMTFTSIKLLDPICIERMRRKHNIESGLIVEEVSKESNAEKLGIRKGDIIERFNGEYISSTVELEKMLLDIGNDHFEQAKRLNAEIDVQIQIFRATKLCRRTRNLIVIISDCGEDIIEGTYPITTSLPR >SECCE5Rv1G0344190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644279767:644280369:1 gene:SECCE5Rv1G0344190 transcript:SECCE5Rv1G0344190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFHRRLATGGALAVDDDEPHICYAIMVGMVSMLIFCVLLTVVSPARACAITSLIDFLFGFVGLLALIVRAHRNESGLGVRQPAPTVRLVHRYTGGLTDAAISTLPTFAYKNKGGGDEPRGSCQLLCTVCLEEVQGGEMVRQLPPCKHLFHVDCIDMWLHTHRTCPLCRCELQSRNVAARAAAAAATGSSADVLASPPE >SECCE2Rv1G0082460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:151351425:151355857:1 gene:SECCE2Rv1G0082460 transcript:SECCE2Rv1G0082460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPLCAWLVAAGAHADFGADEHHRQTQCLDAGSGAMFGLDRRPLGARRRGSARSGMAMSVALQPERVIVEKKRPDVKQRRVVVTGMGVVTPLGHDPDVFYNNLLDGQSGISEIETFDCSKFPTRIAGEIKSFSTEGWVVPKLSKRMDKFMLYLITAGKKALENGGLTEEVRNDLDKTRCGVLIGSAMGGMKVFNDAIEALRVSYRKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDMDRDGFVMGEGAGVLVLEELEHAKQRGATIYAEFLGGSFTCDAYHMTEPHPEGTGITLCIEKALADSGVAREEINYVNAHATSTQSGDLKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVACIQAIRTGWVHPNLNLENPEKVVDVGVLVGAEKERCEVKVALSNSFGFGGHNSSILFAPFK >SECCE7Rv1G0494290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:581023463:581024062:1 gene:SECCE7Rv1G0494290 transcript:SECCE7Rv1G0494290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPQLQPRVRARAFLHAAVKLNPRRNPFLLRRPAASAGGTVAGVQLTVSDSELSSRGFAVRRTAEGLDVAALNEVFARVGFPRRQEERLRRALEHSEVAWLASEATGRPVAFARAAGDGVFNAVVWDVVVEPSCQGLGLGRAVMERLVAELRRKGVGNIVLYAEPRVVGFYRPLGFAMDPDGIRGMAYYRSKQNKKQQ >SECCE7Rv1G0514330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:832203960:832204641:1 gene:SECCE7Rv1G0514330 transcript:SECCE7Rv1G0514330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVSTRPSSPKLGERDDVNGLESWDDPIPRRSQWTVQDLCDAFVAGSKHQLSLIPQPPPREERARLRQERERSFLLNVALRKYATQHNIQHGELQLVEVKARNYVFECPRSYLHYNILVRCPDGTHAMFFAEVDPERAGEEDVHLCTPLQDTANNGPCFSCKHRARGLMHPTDAGYLGGHMETNFLDFELPDSSDDEYI >SECCE5Rv1G0376610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873360227:873360517:1 gene:SECCE5Rv1G0376610 transcript:SECCE5Rv1G0376610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMRKQQVVAALMLALVVLAATPGGARAACQASQLAVCASAILRGAKPSGECCGNLRAQQGCFCQYAKDPNYGQYIRSPHARDTLTSCGLAVPHC >SECCE6Rv1G0413120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:621362496:621369443:1 gene:SECCE6Rv1G0413120 transcript:SECCE6Rv1G0413120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARRRSPSSVPVAALLLLLLAAGAVAAADDGRTLLEIKKSFRDADNALDDWSGDGASPGYCSWRGVLCDNVTFQVAALNLSGFNLGGEISPAIGDLKGVVSIDFQSSGLSGQIPDEIGDCSSLKMLNLSSNNLEGDIPFSISKLKHLENLILKNNQLVGVIPSTLSQLPNLKILDLAQNKLSGEIPRLIYWSEVLQYLGLRSNRLEGSLSPDMCQLTGLWYFDVKNNSLMGTIPDTIGNCTSFQVLDLSYNHLTGEIPFNIGFLQVATLSLQRNNFSGPVPTVIGLMQALAVLDLSLNQLSGPIPSILGNLTYTEKLYLQGNKLSGPIPPELGNLSALNYLDLNDNKLTGLIPSELGKLTALYDLNLANNELEGMIPDNISSCTSLVSFNAYGNKLNGTIPRSLHKLQSMTYLNLSSNYLNGAIPAELARMINLDVLDLSCNKIAGSIPSAVGSLEHLLRLNLSKNNLVGHIPAEFANLRSITEIDLSYNHINGFIPRELGMLQNLILLKLESNNMTGDVSSLTNCFSLNVLNISYNNLAGVVPTDNNFSRFSPDSFLGNPGLCGSWRGSSCPSSSHAKRFSVSRAVILGIAIGGLAILLLILAAACWPHSPAVSTDFSVSKQEIHAVLSSNVPPKLVILHMNMALHVYDDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSVKEFETELETIGSIKHRNLVSLQAYSLSPAGNLLFYEYMESGSLWDVLHAASSKKAKLDWEARLQIALGTAQGLAYLHHDCSPRIIHRDVKSKNILLDKDCVAHLADFGIAKSVCISKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKATDNTVMEMVDPDITATCKDLGEVKRMFQLALLCSKRQPSDRPTMHDVVHVLSCLVCPEAPPKPAQPPASPQSSTAPSYVNEYVSLRGSSALSCANSSSASDAELFLKFGEAISQNTE >SECCE3Rv1G0193150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:766462184:766463457:1 gene:SECCE3Rv1G0193150 transcript:SECCE3Rv1G0193150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQQILACLALAVSVCMPVASAFVFKAGGTGEWRVPGNGNAASYNTWAEHTRFRVGDAIAFTYQPGSDSVLIVDKKAYDACDTGSPVDHFSDGNTVFTFTASGPFYFISGNKDNCNRGEKLVVVVMGPRAATNGTSTHAGALAPSPAADNGGQFSPPSPPPPFGMNISPTGNPDQQNAAATKAAGIAGTAALIIGTMFYSLV >SECCE2Rv1G0073510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:68608543:68609300:1 gene:SECCE2Rv1G0073510 transcript:SECCE2Rv1G0073510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF071 [Source:Projected from Arabidopsis thaliana (AT2G47520) UniProtKB/Swiss-Prot;Acc:O22259] MCGGAIISDFTPERDHHGGSKRSLCTADFWPNAADADADAAFDDPTDHHDFYLADLTGACSFPPHHHAAPAAAEEPSRKRERKTMYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEGAARAYDRAARRIRGTKAKVNFPNEDPPLDLDDYDVVHGAGEPVDAYGINVASFTRPPYMADAAPVTMAPESARMMACVHHLPVPQQHDAGMELWSFDVPM >SECCE2Rv1G0096880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:448243310:448259783:1 gene:SECCE2Rv1G0096880 transcript:SECCE2Rv1G0096880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRTGEREVNRWHTGPEEARERDSGSSSPGAILLFALIGATVTTAAVGQLRRTFGWFYTQLSRSEPYVYWEDIPRGPNRCGDAWRYYRRMRETNEDQRKRVERIMHMQDMFKKERSKCRDYRTRNGHNSTYNQHSQTEDWYEDAETFYANQRTNFRSRPREAIRYSMSHHYSVLGLNRSRLEPFSDAEIKNAFRRKVMEYHPDQNQNNKAVAEEKFKEVMDSYEAIKLERQNANS >SECCEUnv1G0554970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:295290989:295292439:-1 gene:SECCEUnv1G0554970 transcript:SECCEUnv1G0554970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGELILDKPRIKALLMVSVSAAYDDKDKKLDIPAIIFSHAIPLFGMISCALAEHEQDSLLSYLYRQAGMQFWEKKLVSAEGGDYECFSVETVVETTGKTTKYLDEVVIMQSTRLILETVKGAWAVIKPCSIGEVQCTLRTCKEEVNILAVNSSGSTSAVLSFVCDYLDAVQLIVEIWWFIQLDDSHAFGPTSLDILLEKLDTSVRRMKCCYAGLNRELEVQVLEFALLAILCRLSEFGTCSKLVLDKLHWIINHIDGLCADGSYELSDFSKEVKKVFDGNFIDCTRIVNICTFLEIFDLKPARDFEMLNATTAVLQVRDTDSENPLSYVYGLPVGVTFDMSLCNTSSHDRIWLRMVAGQSVQHFFLDLSCFEGNDKMKSCSRVIPFYATPMACSFVLRACLVIECPFGSIGTHQEGHGGPRDCGVVQLCDELDVYFVSADTEQRQWSK >SECCE5Rv1G0307520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:114461539:114497463:1 gene:SECCE5Rv1G0307520 transcript:SECCE5Rv1G0307520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAAAAAAAADSAATEEAHKEDPEIVARWYQLEALERAMSGNTVAFLDTGAGKTLIAGLLLRAYAHRVRKPARDFAVFLVPTRVLVEQQARVIEAHTDLRVSKFTGDMGVDFWNAAIWRRVVDDAEVLVMTPQILLDNLRHSFFCLQDIALLIFDECHRAKGNSPYACILKEFYHPVMNSRPRGPLPRIFGMTASPTDSKNLLQESYSKRIVELENLLNSKVYTVDNESALSEYIPFATTRIVQYDDYIIPSDSHNHINSCLDRLQEKHLEVLKANLHGSSRKNAKKGISKLHKAFLYCATNLGVWLAAKAAEIHSTTNEQFLSFWGEELDKNVEGFVRSYSEEVYRELSCFSKRGHIGEDFVADLQDGLLTPKVHCLVQFLLEYRHMQDLRCIVFVERVVTSIVLESLLSTINQMPGWIVKHIAGNRPMFHNQSRNKQTEIVDAFKGGKVHIIVTTQVLEEGLNVPGCHLVIRFDPPTTGRSFIQSRGRARMPNSDYVLLVRRGDAGALSKTVKFLASGQIMREASLKLASNMCQPLEDTLLQEEYYRVESTGATVTMNSSVQLIYFFCSKLPSDEYFKPLPRFIIDKELRTCTLYLPNSSPVQAVNTEGEVSALKKAVCLKACRELHAVGALTDYLLPEFGFPSEEEPDIVVEKYQHEQPEYFPEEFVCNWLSFSRLGIYYCYKISVEGCLKTTYCPNDILLAVKCDLGPDFVSTSLQLFGEQDNASVAMKYVGIVHLNQEQVVMARRFQTTILSLLINKDHSEVSNAVKYSHEMQVSIGIVYLLLPLVSGKVDWCSIKFSTSQVYDASNKDIRHCHSCKEVDLLQTKDGPLCRCMLQNSIVCTPHNSKFYAVNGFLDLNSKSLLHLRDGSALPYINYFKTRHGLSLTHENQPLLAARNPVEVRNFLQKRHYKNKKESRTSHGVELPPELCRLVMSPVSNNTLYSFSIIPSVMYRIQCLLLSAKLKDQLGPRMQQFAIPALKILEAVTTKECQEEFSQESLETLGDSFLKYVATQHLYGKYKLQHEGTLTKMKKNLISNAALCQLACNNNLVGYIQGEEFNPKGWIIPGLGYDMCGNSKISFLSSNDMHTLRKMSVRSKRIADTVEALIGAYLGAAGEQAAFVFLKSLGLDIEFHSNIPLERNIVLKSEKFINVRSLEMILGYEFKDTSLLVEALTHGSYQTAGATACYQRLEFLGDAVLDHLFTIYFYNQYPECTPELLTDLRSASVNNNCYAHAAAKAGLNKHILHSSLQLHGRMACYFEKFKQPFTGPSHGWEAGIGLPKVLGDVIESLAGAIYLDSKYDKEVVWKCMKQLLEPLATPETVERDPVKLLQEFCARRFYSSSYTKAHKDGVSSVVAEVQVEGTTYSATETGPDKIVAKKLAAKSLLNNLKAIVP >SECCEUnv1G0536250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63205562:63207142:1 gene:SECCEUnv1G0536250 transcript:SECCEUnv1G0536250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVALVLTICFLGFSPSLAWSSKSNHTDFLSCLSTNIPSQLLLTPSSRSFKPLLVSSIRNARLVAPATASPPLCIVTPTHASHVQAVVRCGRSHRVRVRVRSGGHDNEGLSYRSATPNGEAFAVIDLFKLNAVRVDARAATAWVGSGTSLGELYYRVATAAPGLGFPAGVCPTVGVGGLISGGGMGLMMRKNGLSADNVLDATMVDAEGNLLANKKAMGEDLFWAIRGGGGGNFGIVLSWKLRLVPVPRKVAFFNVTKTMDQGAVAAVTKWQTIAPALPEDLSVRVVIQKRQATFQSLYLGNCSAVVATMRSRFPELGLTRRHCKEMSWLQHKAYLYFGDASNNAPLEALLLNRSMTIGPFVKNKSDYVKKALTRAAWEKIFLWPDGGAVGQLILEPHGGMMNRIADDYTPFPYRSGVLYNIQYVEFWNGTRAHGMPKWLSGLYDFMASRVSKSPRGTYVNYRDLDIGVNKVVGGVTSYESAKVWGERYYGLANFKRLAKIKRKVDASDYFRNEQSVPPLLLIRE >SECCE7Rv1G0511190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:802041540:802043427:1 gene:SECCE7Rv1G0511190 transcript:SECCE7Rv1G0511190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGYDGLNDEQLHVYADLSYAHQPFYGSNLVEVFAVRVLDAAASSRGNRLPPCGIVSVGGAYCSESVVFGRSRHDDPITPQPCDRQGNLVLTGPVRAISADGPIGFSIYLHDNSQDISLEEIWNKSVHLHLDETATLDRPLLVTANTPYGPVDVIYAILSQGVECEVAVRLTHRDVKDPISLFGRIVARSELFDIGCVLFYNEDVKGICARSGELIPLARHLLAVPLYKVLVIELDLHSDCGDEIMRGTLEFHPLPEGDQTARLVSKSGTQIEVNIFISQYFR >SECCE6Rv1G0437070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782345405:782345995:1 gene:SECCE6Rv1G0437070 transcript:SECCE6Rv1G0437070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSFQFAMAAFHLLFLVLALSFSGNSAVAAMVEDQPVPQQDICMASSSSSCPEAAVDAEPLKEEASVMYKEKVSSFFRHDGRTIKVDLVLPARNSDVAVGDEELQLRQEAMAQAITVLSRYSPERTDEQTLKRAMGVVNLEALRWKPIFRAVNRVVESGADDRTKEEAFAQAKAELNRDLGQEGPNAIKLDFGYM >SECCE3Rv1G0168370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:219475632:219478664:-1 gene:SECCE3Rv1G0168370 transcript:SECCE3Rv1G0168370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPPIGPKNDQKPVLCWEDYKWSPGPEVRTAASKIVEEFWWRFKCDPSEQEKADGVLEENLTRKVKQMLHEEKAAAIRRLKKKGQLPTELTEMDENGNRWPTKEALISAKRVDFGTDVGWRLLCEHWSSTEFRGLSLTNKMNRLANGDVVFHCSGARNVVATRQFLKLKTGKDPGISGAWLHTHKLHRGTDEEQICSQRTADRWEDFDKAMKNAHGENWEEEHPDLDGQIIYEAAGRMPHGRLGIANELFSKAEKAKFKSKRVMASQPVQSAKEERLERENKHLKREIKCLRGIELVVQSLAEKGGVDFDGIMQSAADDLSPSYSEGGFQRGRGDVPQHQTEKGMGSGTGGSTSHGNDDEDDYYRNGGYDNYGEGDLYGDEHYDHYGDGVYDDYRDGDDYGYGDGDGDGDGDGDDDDDWL >SECCE4Rv1G0251310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:601042396:601043963:-1 gene:SECCE4Rv1G0251310 transcript:SECCE4Rv1G0251310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEEATVVVQHPGGGRVERLYWATSAAEVMRANPGHYVALVTLRVAEEEGRQRGGAVRLTRVKLLKPRDTLQLGHAYRLIAVDEVTRAVQARKEEKTRRARRQRQQAGGGPASAGDDHSLMDEGLDQLEQQDRDGHPSSSTKGSRHRQWRPSLHSIAEVSN >SECCE2Rv1G0133470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:896828502:896830630:-1 gene:SECCE2Rv1G0133470 transcript:SECCE2Rv1G0133470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSQLLLLLFLACILLLPIQAPAADKLQKGQNLTDSDGDTLVSAGGSFTLGFFSPGVSTKRYLGIWFSVSNDTVYWVANRDQPLVDKSGMLVFNDAATLVLLDGARRTVWSSDFTGRSSASVAQLLDSGNLVLRNGSSVAYPWQSFDYPSDTLLPGMKLGKNFWTGTEWQLTSWRSADDPSPGEYRRTLETKGLPELILWRGNVKTHRSGPYNGLYFNGVPEASTYMNMYPLHVTGLTARPTEITYGYTAEPGAPLTRVVVNHTGVAERLVWNTDTGAWISFFSRGARDVTCDAYGKCGAFGLCDPEAALSGFCGCVPGFRPISTSAWQMKQYAGGCQRDAVLECRAGKTTDRFKVVSGVKLPDTQNATVDIDVATLEECEARCYANCSCLGYAAADIRGGGNRSGCVMWADAIVDLRFIDSKQDLYLRLSKSEFGGPSVSMFPAWIVVGVSVASIIILVLLVFWIFTRKRQQIPGKCSTKHI >SECCE7Rv1G0492170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:544653908:544655983:1 gene:SECCE7Rv1G0492170 transcript:SECCE7Rv1G0492170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTKAEKKIAYDQKLCQLLEEYTKVLIAVADNVGSKQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHSEKTGNKDFLELSNLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEITIPVELIKKGDKVGSSESALLAKLGIRPFSYGLVICNVYDSGSVFSPEVLDLTEEDLMDKFASGVSMVASLSLAISYPTMAAAPHMFLNAYKNVLAVALETDYSYDHADKIKEYLKDPSKFAVAAPAAAASGGAAAAAPKEEEKKDEPEEESDGEMGFSLFDD >SECCE7Rv1G0471160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:128316406:128317611:1 gene:SECCE7Rv1G0471160 transcript:SECCE7Rv1G0471160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRPAAAAVLAAGHATTTQGSPTRCKRSRINNIRSSAEYEEQTCLGKGGFGCVLLARHRATGKIVAIKYLNWADGSAEAPDPAELLREAGFLEACDGNPYVVGFEGLVRNPANGAYGLVMEYVAAPTLHEFLWNRRGGPPLPESTVRAIMWKLFTGAKKMHDRHVVHRDIKPENILLGQDGELVKICDFGLAISLSELPPYTQAGTAFYLAPEMLLGKEDYDALVDTWSLGCVMAEMLTGKTLFLGDDDDDDDDDDDDDTNNEIIQLWSIFRLLGTPDERTWPEFTSLPHTAKALRLLPPGHKQNRLRELFPQEKLSEEGFQVLQGLLTCNPGKRLTAAAALKHRWFAAPRPAPAAAKVGGLSFTVKKAPRIKFIPPAMPQKNLLKIPLGVWNAAQQA >SECCE5Rv1G0339250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:606225527:606230224:-1 gene:SECCE5Rv1G0339250 transcript:SECCE5Rv1G0339250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFSKACSLVVSSLPRCSSSAPAIRGQPSLIPRVSRKWLGKPLMYGIGTLLVMPLRTIHGVGRMFGAARYLCNMTSVSSSLQIELVPCLQDNYAYILHDVDTGTVGVVDPSEAVPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSEKDKDRIPGIDITLSEGDTWMFAGHQVLVMETPGHTSGHVSFYFPGSGAIFTGDTLFSLSCGKLFEGTPEQMYSSLQKIVALPDVTKVYCGHEYTLSNSRFALSIEPGNEELQEYAASTADLRNKNTPTVPTTIAREKQCNPFLRTSSPEIKKRLSIPDHFDDARVLEVIRRAKDNF >SECCE1Rv1G0024840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:333852449:333854056:-1 gene:SECCE1Rv1G0024840 transcript:SECCE1Rv1G0024840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSRGRPNQGQSQKSQGAGAGHVVPSPVAQTTTFKWRIDGFSSLLDKDGGWTYSTVFEIMGLNWYLKLNPRDRKSGDKNEYVSLRLELARKSVKSDSVVEASFKFLIYDQRYGKHHENQVTHSFQTASTSSGTSCMIPLSTLKKHSSGFVVNNSCVFGVEFIKIVTSKANDMSETLFVQKMNNSFSDPEVYTWDIKDFFALKSLDKSPEFEIGGHKCSIIIYPSGSGNNGNYLSLYLNMSTPHQNSAILVELSMRIKDQETGKHRKITGRCQFSKNSAKWGWDKFISLEDFKDSSNGYLVKTKCCIEVEVGIIGSSKME >SECCE4Rv1G0257340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:666170754:666172940:1 gene:SECCE4Rv1G0257340 transcript:SECCE4Rv1G0257340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVRSAVGWWDEWQLRILVLGSLGIQWFLLVAAPMRKYTVPRYLRLCIRLAYISSDALAIYALATLFNRHARATSGSSSCDGIAHHSKAKILEVLWAPVLLIHLGGQQELSAYTVEDNELWARHTVTLVSQVAVAMYAFYKSWPNYSDWKLLASAILLFVIGVVSFSEKPRALKKASSNRLASVSAPIQGTKKKRTRLAVYLDDLFFSDWYNCSTTKPGENCFSFTESATKKQPELAEDNVGLSDRDKIYMVLSDMSLSAAADDLVQRGGARNVRDVLRPLSTKAEEEVRRWLRAAYGLIYTRGNLVFTRTYLVYHVLVPILHVAALTLFATGDKDGYNRTDVKITYVLLCLTAALDVFAVFVRQLLYCAMSAKGVPALGETVPGYNLVDAVLRRRHKDIGWLVTRMGCKEECQGGRQDKTLYKNVLQMVLADLVDSQGRDLASYRVFTVPHESGAAVAVLQVEVEDGGAGAEIMQQQSSPGAANWALSEELQKVCGPMVRGALRASFDRSVLLWHIATDLCFRMEGPPPADGEEDSHWLRIKCTEAISSYMARLLNSHPDMLLTGSRQQLVSEARDEFESLLDRAMVGKSGKPLSKDDLTKIIDDGPEGERFHMRMPIGIDNTKAQHKEPVMFHIPKACSLAKELLKIEPPSTRWRVMYRVWLGMLFYSASMCRGYLHAKSLGEGGEFLSYVWLVLLFSGARTLADKLQMLEGDDEEPTLAALEII >SECCE7Rv1G0456180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9581578:9582642:-1 gene:SECCE7Rv1G0456180 transcript:SECCE7Rv1G0456180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAICGPYIVVKSHECLSNLLVRKSSWITCRPNFQIFRDTVILVLIAYVILVDISLSFVWLAIFPVIALVFIRALQLKFNRRTGGSSRKVSQGSSNVTETKMRTMEVGIIVMMTLGALLLMDQLPDDAAAQFAISQFLLFLSCTVAALTRMMMKLPTGASPGIALASEMLRKTLLLLLLATAHTGAAEWLGEDAVLLCLPEVIPVLLWFCLHLDRKPGSSSIISVNKLKPHRNWLIFLGAIVLAPLFAYLAKSMNEVGLSSWSTTFLVSCGVSGILTYYLVFMINHWPKQKVAAAGKDGGASGMLKLWAYTLLIAAAASLLLKCLVSARLGLQLPLHATVIYVSNFLGFNSSN >SECCE4Rv1G0227180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:117678199:117679377:1 gene:SECCE4Rv1G0227180 transcript:SECCE4Rv1G0227180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLQMQPLPLPRAAPHPTTATLSYSLHRRLALSASASASASTTPFSTEEYLIATCGLTRAQALKASKKLCHLKSASNPDAVLALLAGVGLSRPDIAAVVAADPLLLRSRVDNVAPRLTALRDRLGLSAPEIASFLLVGATALRSCDITPKLEFWIPFFGSFGKLLQTMKRNRSILTTDLEKVAKPNIALLEQCGLSVCDIVKLSTPCSRLLVFNPERVKAFVLRAEKLGVPRSSYIFKYAVGVACSITEDKVAARMEFLRSTLGCSMDKVRVAVRNKPHILGISEEKLRLKIEFMVNEVGLDPEYIVERPMLFTYSLEKRMKPRYYVAKILQAQGLMKKSVGFRRLVGYGEDNFIATYIDSHKDTVPGLVDAYAAARAGEMLLMSSFECRH >SECCE5Rv1G0341430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:624102152:624103415:-1 gene:SECCE5Rv1G0341430 transcript:SECCE5Rv1G0341430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTRPLNPAAPPFVPVASAPPKLCPPASSSLPVGFPLPPQCLLPPPSLPPPCGLSGPPLPWVQVPPPPPPCQVTVYCRPLSCPVTAYCITPPPQSPVLSGKSCNITETVDGASDKSLKAKVENGPSPRSVLSPIRSPGSVSPREPRPRAAPRPMGTKPAFDSRSAKTSLMICNIPNNFSKRRVMAILDQHCADENDKLRRRGGGKFVMSEYDFLYVPIDFSTKYNKGYAFVNMTTAAAARRLHAFLHGHSWAVTGSGKVCEVVHANIQGANALAKHFSGSKFPCGNGNEEFLPVRFGPPRNGPQPTAERVIGHAVVRRPRC >SECCE3Rv1G0183970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:657555012:657559541:-1 gene:SECCE3Rv1G0183970 transcript:SECCE3Rv1G0183970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KC1 [Source:Projected from Arabidopsis thaliana (AT4G32650) UniProtKB/TrEMBL;Acc:A0A178UVX9] MAGVGSQRGSSSSRMLLPRNISLSTGHLPEALGGAPPPLRPNVINPYGRPYRYWQLFLIVLVAYSAWASPFELALEKSASRAHLVVDLVVDVFFCADIVVSFFVAYRDRSTDLLVDDRSKIAARYLTRPGFVLDVASTIPLQIVYQLVRGKKNGPCGFLILLRLWRLRRASKLFTRLEKDTRLSYFWTRFIKLLCVALFALHCASCVYLWLAFHYRDKEQTWIGSLRSDFKERSVWFAYTYAVYWSMTTMATVGYGDLHAANTGEKLFSIIFMLCNMGIACYVIGNMTNLVVHGATTTFRMVARYGKANRLPAWMREQMVESVQLRFQMAELLLPDEVLSELPKAARSAVAQHLYMATVEDCYLFRGVSDKLVVQLVSEMKAEFFPPKMDIVLENEIPTDCYIIASGQVDVLRTGKDDGLDKFVMRIGPHGMAGEIGVMLNIPQPFTIRSRTLTQVIRISRSHLQNTVRPATADGDTIFSNFAQYLESLKVRHGEELTFASDVGHDTVPFQNGDPIRVVIHGQIPHGSGMAGSRAAGKLVCLPGSLEELMKLGQDKFGMAARNVLTADGAEVDDVRALRDGDHLFLS >SECCE5Rv1G0376100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871115651:871120531:-1 gene:SECCE5Rv1G0376100 transcript:SECCE5Rv1G0376100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCGGGAHHGGTTTTTYPAPTTTARGRAPPMPHPPLAAAAAAASLRRRLLLSSSLASVSSSRARRFLPRAMESSTTTAAAAAEHAGGAAAGEYEEVLARLSSLITQKVRADTGNRGNQWDLMHRYLQILELEEPIARIKVIHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVRERFRLDGVDISEDKFLKYFWCCWNKLKEKTDDDIPMPAYFRFMALLAFKIFSAEQVDVALLEVGLGGKFDATNVVKSPVVCGISSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMVALKRRASELGISLQVVDPLKPQQLKGQHLGLQGEHQYGNAGLAVALASTWLEKQGHVDRMPLNHTDPLPDQFIRGLSSASLQGRAQIVPDSQVNSEEKDRDSSLVFYLDGAHSPESMEICARWFSHATKEQSQTCSKSRKILLFNCMSVRDPMRLLPHLVDTATQNGVHFDLALFVPNQSQHNKLGSKASAPAEPEQIDLSWQLSLQAVWEKLPHDDNEGSNSTESGETSLVFESLPLAIEWLRKNAVEDPSTSYQVLVTGSLHLIGDVLRLLKK >SECCE4Rv1G0234950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:308599250:308602138:-1 gene:SECCE4Rv1G0234950 transcript:SECCE4Rv1G0234950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDERQDPELPAMEKELSADAVACDYCSGPQAVVYCRADSARLCLPCDRHVHAANAVCSRHLRAPLCAACRATGAVFRHGAGPEFLCSNCDFGRSRDGELPLHDRCTVQGYTGRPSAPELAAVLGVPYFDKPSAAKADDGWWAIWEEPQVFSLEDLIVPTTSCHGFQPLVTPSSPKIQNSPDGKTNDEVIGQLRELAEVDMGGSQITPREEAEQSTHQLPSWTQSQHTTGNGDFGTDNRHEVAAMPTPGYENGGWNNNDYHTQNDAYKTEYEQEQAPASSAEACLSSFVQMSELCPSMSNGSMMDDSQQANPGISMPMQGFPKRSCFDLVAGPHRDIVISRYKEKRRTRRFDKQVRYESRKARADSRLRIKGRFAKKEEH >SECCE1Rv1G0017680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:160023001:160026073:-1 gene:SECCE1Rv1G0017680 transcript:SECCE1Rv1G0017680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVEDAYGEDRATEEQLVTPWSFSVASGHSLLRDPRHNKGLAFSEAERDAHYLRGLLPPAIVSQEHQEKKIMHNLRQYTVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPVVGEACQKYGSIYRRPQGLYISLKDKGKVLEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNETLLNDEYYIGLRQRRATGEEYHELLQEFMNAVKQNYGEKVLVQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLAALKVIGGGLVDQTYLFLGAGEAGTGIAELIALEMSKHTDLPVDDCRKKIWLVDSKGLLVESRKESLQHFKKPFAHEHEELKTLLEAVQSIKPTVLIGTSGVGKTFTQEVIEAMASFNEKPVIFSLSNPTSHSECTAEEAYTWSKGTAVFASGSPFDPVEYEGKTYVPGQSNNAYVFPGFGLGVVISGAIRVHDDMLLAASEALAEQVTQENFDKGLIFPPFTNIRKISANIAAKVAAKAYDLGLASRLPRPDDLVKYAESCMYTPLYRSYR >SECCE7Rv1G0513120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:818541512:818544340:1 gene:SECCE7Rv1G0513120 transcript:SECCE7Rv1G0513120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAIYDSRLGTWVMVSDGETPTSAVLDGLLSHASRLFQALESAICVGAGFGLCWAAWRYYERNSYMRSYGRDMTGSKQAASPVVGRDSEIDRVVSILCRKTKNCAALVGAAGVGKTAIAEGLAQRIAAGKVPAALAGARVLEVDLTAMVSGTVLRGMFEERIKSVIKQAEDSGGKIVLFIDEMHMLLGAGGGTRGCQDAANMLKPALARGRIRCVGATTFDDYRKYIEKDAALERRFQKVHVEEPSTQATVAMLRALKQQYEQHHGLEIQDAALVAAAQLAGRYITGRQFPDKAIDLIDEACATAAKTMMPVGDQEENVNTMQSSSKNAVKVAILCPNHVAQVVSQWTGIPVTTLGQEEKDRLIHLARRLRERVVGQDKAVNLVAQAVLRSRAGFDQPGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKMLVRFDMSEYVDRGSVLRLIGAPPSYEGHEDGGQLTEKIRRRPYSVILFDEVEKADPSVLNLFIQLLDDGVLTDGKGRTVDFKNTIIIMTSNLGAEHLTAGMAGKITMEAARDLVMQQVQKHFKPELRNRMSEIVIFEPLSRDNLKEIVKIQMKSIVASVASRGISLSVSDAALDVVLSESYNPMYGARPVKRWLLKNVMTDLSEMLVRGQIGEGSSVSIDADAANDKGLKFEL >SECCE7Rv1G0515700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:840520873:840522764:-1 gene:SECCE7Rv1G0515700 transcript:SECCE7Rv1G0515700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HISTIDINE TRIAD NUCLEOTIDE-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT1G31160) UniProtKB/TrEMBL;Acc:Q8GYJ9] MAATAAAAKLAAATSSLLRRSPLLRPHGLRVSRHLAPRRFARHIASSTNEEAAAKTAAGTADTGGPTIFDKIIAKEIPSSIVYEDEKVLAFRDINPQAPVHVLVIPKLRDGLTGLDKAEPRHAEILGQLLYAAKVVAEKEGVADGFRVVINNGAEGCQSVYHLHVHVLGGRQMKWPPG >SECCE4Rv1G0293950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887830985:887831857:-1 gene:SECCE4Rv1G0293950 transcript:SECCE4Rv1G0293950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDSSASGALVPSDSAAMAATTAAGKLPFPLLTRTNYAAWAMRMKYLLRANGAWGAVDREASSSEVDKGKEEMAMTIISQSIDDSTLLRVAEKETAADVWAALRSMHVGVERVREARVQSLRSEFDGLKMGDAESVDDFAARFTTLVGHIRELGDPMEEKYVVKKLLRAVSNKFIHVASSIALFGDTNKMAMEEAIGSLKAHEELVKGRETAREEEQLLMVRGHDSSRGRGRGGRGRGRGHGRGGGHRDKSEVQCYNCDDFGHFAWECPEKKKDEEKALLGVEDEPALL >SECCE3Rv1G0189090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721239126:721242023:1 gene:SECCE3Rv1G0189090 transcript:SECCE3Rv1G0189090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARLALLSASPRTYSAAAAAGASPTPPAPYGGVPPPAAGSKAAEFVVSKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGAARYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >SECCE3Rv1G0154050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:58468716:58469970:-1 gene:SECCE3Rv1G0154050 transcript:SECCE3Rv1G0154050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSDAHSRNRCAACYRQFNRMEHLVEHMRSSYHSHHEPRCGVCGKHCRSLDALRDHLGFGAALPSKPACATTFQTRGCALCLAVFPTSAALRAHYAACKLSRAPIPSSVQSLTRTMSRMGVRGGGGAVALGCKMVGGGSDGTLDVCARVCVIDENEAILYENFVKPLIPVTHYRYETTGIRPEHLRDAPTVKQTMRRVQDILLNGEESYYSSRGVARLLVGHGLEHDLDALGMDYPAHLKRDTAAYPPLMKTSARLMSNSLRYLTRSCLGYDIQTGGHHHPYDDCVAAMRLYKRMRAMSHLHLHGRPKNDDDESTAKPFPAWRQRELERMSPEELLAMSKPDYQCWCLDDDRRC >SECCE6Rv1G0419370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:669172236:669176121:-1 gene:SECCE6Rv1G0419370 transcript:SECCE6Rv1G0419370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVANLETMELEILGMNFGCVLSALADAKIPEKECLLPLVSKLLGYCIVAASTTVKLPQILKILKHGSVRGLSVASFELELIGYTIALAYCIHKGLPFSAYGELAFLLIQAIILIGIIYYYSPPMGSKTWMKALLYCGLAPIVLAGKIDPALFEILYASQHAIFFCARVPQIWKNFTNKSTGELSFLTSLMNFAGSLVRVFTSIQEKTPLSVLMGSVIGIVTNGTILGQIAMYQKPVPKKGKKEE >SECCE5Rv1G0325900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:470605016:470607224:-1 gene:SECCE5Rv1G0325900 transcript:SECCE5Rv1G0325900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEFGSGKNMVAQEHNNGSLSRANPKRLRSKAWEDFTPIYVGGKVAKAECMHCHLVFSSNSTNGTSNLLKHQAICGPRAQKRPTQRKTTASPGSDPTQKKLSFFPTSQKKCLGTADASPEKKDLVLLRNDTNRKSQDGNQNGSDEELGSPEQNDLALPDVPTDTETKNQQVDQNGSHDELGAAGQENDTFPDNPIDKNVNNRSHEELALPEHKAIPTATKQKNQDVGQDGSHGELVRKLALHGYLPSMMDHGGLKKSVDFLNSMVKMPPYADLISTFLDLFDNEKAKLKERFTALCSRVCLSVYVWHHDPLSAFLCLSVHYIDDEWERQQKIITFHAMDTICNAEELGDAILLAIRDWGLCGKVFSIILDDAFIDDSVASRVKAQLMKENSTCANQSLFVVRYGTHLLDQVIQVGLDELEKVMEKSANHLRNLNCSKPMMGPNSSAVRYPNYKCAPSQEDWGEARKMCETMEEFHQYKEMIQHLRGPVHLFNRIKDVKRDLRRGLEYYQDGPFSNMLKKMQQKFQKHWKLCCLHLCMQIVMDPSDGLKHIKSKSYINDVHDTLLNLFYEYSGQVEDPGCTSGSEITKETVVNEDDMPLACCGHYGDKCSKGRPMTELDQYFHEPQYSRGQASVLQWWKEHNLTYPTIARMARDILAIPYISDHEVATMTAGLAICESGHKHWVEQLVCTQDWLGPNRSASKVSASDLFD >SECCE6Rv1G0378720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9963451:9966345:-1 gene:SECCE6Rv1G0378720 transcript:SECCE6Rv1G0378720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGPRSAPTDPAGSGAPPPPTAEELSRRAAGSGGGGDAEACDAAPAAQLGDLRVGILESSDQAQGRVHPCNKKDMPQFSAEGGATKCEYRAPSLPRTGALLQLEPACLTLGRASDAVLAESLHDANPLARGKENIRADLQLKADAKHSENRVSDAPLGLDLNKVGSSDAAEVNPFFPYKKLGQSKVSDPSECGSTTGATGESESHRKWREMKQNGFLSSTHGTAVAPKPRGRPPKRKRDDELKRSTSNQNEQTKFTKVAAPSGLLSGLNPGIINHVRNSKQVYSIIKAMVRSEELENASQPGLSDQIGERGKEVIERIQDHKYGDSLMKCHLMMEGNNAMFHHQLPSSSKFLGEDGDNLKLQLSSTITMASDRTCSTLDHESQHDYMTVLSVKAASVASQWLELLQQDIRGRLAALKRSRKRVRNALQTELPHLISTEFSSSQENEPSIAHSSEAGPTGKTASEEHVARWRSLFLQMERTLQEEGRHLEIRLKEVQGMLQNCDKGLKQVTCEAPLLGPMAELWKLKNPEISESEWAVQAAAASIYSTCNLVMKTENVSCF >SECCE2Rv1G0110950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:697055702:697062822:-1 gene:SECCE2Rv1G0110950 transcript:SECCE2Rv1G0110950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALASACPIASVSGRSSPANHRAVRCWSARRGRRRRSAGLRARCAGGQPSAVKPGPGSPADDRSVGDEEQPHPQFDLNLAVVLAGFAFEAYSTPPADAGWRETDAADCQTVFLSDVFLREVYDGQLVVRLRKGNNLPAMDPWGTSDPYVVLQLNGQTAKSQIKWGTKEPTWNQDFTFNIKTSLENLLQVEAWDANLITPHKRMGNAGLYLETLCDGNNHDITVELEGLGADGGGTIDLEVKYKSYDDIERDKQWWRTPFVSDFLEKSSLGSALRTVLGSETVNASQFVQSAFGQLSSLTDTNLLKPSSSHSEAEVSERPEESVDNSIGSDELQQQKIDSIAFGENSDSQIEPVDTAAVVNSEGKTSTDMKEPDEYFWSAFTKTLNQNVLKNFGYSLPEAKQLDGFDLLSSLGSKSRELAEQVYLESGLATADTPTSDGNETTPEHTVSVDNEDGTMPAKEAVQTSFPDINEVSRDVLSQTENVLGALVILSKNFSSQGKDSVDEANRKDNSNAEEQGAADSVDEDAAAAASTEVAINTQKTDDTRQLFASAETAVEAWAMLATSMGRSSFIKSDFEKICFLDNVSTDTQVAIWRDSSRRRLVVAFRGTEQTRWKDLVTDLMLVPAGLNPERLGGDFKQEVQVHSGFLSAYDSVRNRIMALVRHAIGYMDEEDAETIPRWHIYVTGHSLGGALATLLALELSSSQMAKNGVIFVTVYNFGSPRVGNRRFADVYNAKVIDSWRVVNHRDIIPTVPRLMGYCHVETPIYLKCGDLTDALAKEIVDEDQGDEIGEYTPDVLVSEFMKGETQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLETVRSRYQLVDDASQE >SECCE5Rv1G0353550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718097302:718098754:1 gene:SECCE5Rv1G0353550 transcript:SECCE5Rv1G0353550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVLVLPMPCQGHVVPLMELSHRLVDHGFEVTFVNTDVDHALVLAAMASDGGGEALPHGIHLASIPDGLADDEDRKDLNKLIDAYSRHMPGYLERLVGEMEAAGRPRVKWLVGDVNMGWSFEVAKRLGIRVASFWPASAACLAIMLKIPELIQNGVLNDKGWPEREETLQLAPGMPPLHTSLLPWNNAGAADGQHIIFQLVCRNNQFNDHAEMIVCNSFHEAEAGAFKLFPNILPIGPLFADKELQRSVGNFLPEDMSCLKWLDARPDSSVVYVAFGSMAIFDPRQFQELAEGLELTGRPFLWVVRPDFTAGLSKEWLDEFQQRVAGTGMIVSWCSQQQVLAHPAVACFVSHCGWNSTMEAVRNGVPVLCWPYFCEQFLDRSYVTDVWRTGLAVSPGEDGIVTKEEVRSKVESVIGDADFRKRARRLKDTSWRCISEGGSSHKNFTRFVDLLSECV >SECCE3Rv1G0183010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:641727260:641731196:1 gene:SECCE3Rv1G0183010 transcript:SECCE3Rv1G0183010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTDVSKQIQQMVRFIRQEAEEKAGEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVDVRKKIEYSMQLNASRIKVLQAQDDLVNKMKEDAMKELLNISSNHHEYRNLLKELVVQGLLRLKEPAVLLRCRKEDHHNVESVLHSAKNEYASKADVHEPEILVDHSVYLPPSPSNDDKHGQICHGGVVLASRDGKIVFENTVDARLEVVFRKKLPEIRKLLVAA >SECCE3Rv1G0145500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:7398517:7401345:-1 gene:SECCE3Rv1G0145500 transcript:SECCE3Rv1G0145500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLQATPLKTLSFSGRRSAGAAARPRPPMATFRCSAAARSYSITLLPGDGIGPEVVAVAKDVLSVAGAKEGVELRFREMLMGGAALDAVGVPLPDETLAAARASDAILLGAIGGYKWDSNEKHLKPETGLLNIRAGLGVFANLRPATVLPQLVDASTLKKEVAEGVDIMVVRELTGGIYFGQPRGFGTNDKGEEIGFNTEIYSASEIDRIARVAFEVARKRGGKLCSVDKANVLEASMLWRKRVTAIASEFPDVELSHMYVDNASMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGAENAAKRIETAVTETLDNGFRTGDIYSPGTTLVGCKRMGEEVLKALESQK >SECCE4Rv1G0277020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:801333503:801336098:1 gene:SECCE4Rv1G0277020 transcript:SECCE4Rv1G0277020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIGAAAVASAALGWATWTLLDEFIYHRRPSDTNYDQHLGIKSLDATGCLRTLDAATTDPVIGRDDEIDRLICILCRRTKNCAALVGAAGVGKTAIVEGLAQRIAARNVPHTLVGARIVEVDMGAMMAGTHWRGMFEQRIKDAIKEAEEAEGKVILFIDEMHMVVGAGGDKGGPIDAANILKPALARGRIRCVGATTSEEYQKYVQTDAALERRFQKVVVEEPSVHATVAILRGLKQRYQEHHGLKIQDDAIVAAAHLAARYITGRKFPDKAIDLMDEACATTKMHADKQTSMEIVVSPGHVARVVSRWTKIPLATLEQEDNDKLIHLAKKLHERVIGQDEAVNLVAQAVLRSRVSFGQIGQPISAFLFLGPIGVGKTELAKALAEKIFDNEKALIQFDMSEYTDNGSVSRLTGGSQSYGQLIEKVKKLPYSVILFDQVDKANASVFKVFYQLLDDGMLTDGKGQVVDFKNTIVIMTSNIGAEHLASRITGENTITSERDLLMNQVKKRFKPELINRLSKVVIFEPLSHNELRKIVKIQMNNVISTVAKKGVSVLATDAALDVILSESHDPVDGARPIRRWIQNHVTSILSDMLVNREACAGSTISIDAAADDERGLTFEVLKKQQELADQ >SECCE5Rv1G0307250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:108812821:108813334:-1 gene:SECCE5Rv1G0307250 transcript:SECCE5Rv1G0307250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCHHFEWMDDYIQRLQGLGWLVSRGNAIRGFNMPHESAAPAAAARPEYPTVVDVELKTELKKVNKNFKQLIELKKQSNLIAVGILALGIFYLMAISR >SECCE3Rv1G0157300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80738925:80743328:-1 gene:SECCE3Rv1G0157300 transcript:SECCE3Rv1G0157300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MEEEDDDQRLLHSLGVTSANIDDIERKILSQAKTDPKKHDAEAPGPAVGDQEPKLTTPQDDAQAKLHQKLRSVQLEIDAVASTLGGAKQAAGKKSGAGSSGSADAEDKKKKKKEKVKEENADEDAPRGGALQQALAAERLRSLKRAKVQIQREILQSGPGPSGSGNQKDKMLAMLVDDEPRRKKSLKPPGGPKKKSPTRRTKTVTYDDDDDFDAVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVERPGTSRGQNHSAEQTEETMEASSIAKVAQAMQNMAQSRPTTKLLGAEFLPKLDAPTAPFQRIGVPLKRPGLPSSDERKNKRLKSKTKRPLPGKKWMKANSKKESLLDVADEDVGDAAASASVSENEDEVIEGSDGVPPVILEGGLRIPGSVYTQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGALHDSGMYKPSIVICPVTLLQQWRREANKWYPKFKVEILHDSANSSSKKGKRYSDSESDVSWDSDQEEVTRTKPAQKWDDLISRVVNSGSGLLLTTYEQLRIIREKLLDIEWGYAVLDEGHRIRNPNAEVTLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFETEFSVPITVGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEQVLFCSLTQEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREQAAQNPDYGNIERSGKMKVVEQVLKVWKDQGHRVLLFAQTQQMLDILENFLTARDYQYRRMDGLTPPKQRMALIDEFNNTDEIFIFILTTKVGGLGTNLTGANRVIIFDPDWNPSTDMQARERAWRIGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKNPQQRRFFKARDMKDLFTLQDDDKNGSTETSNIFGQLSEDVNIGAPDGEERGERSSTLPTSTEAEPSVDEDGKSDLRSDQADEESNILKSLFDGQGVHSAINHDAIMSANDDQKVRLEAEASQVAQRAAEALRQSRMLRSRDDFAVPTWTGRAGAAGAPTSVRRKFGSTLNTQLVSSSQPSEGSSSSSRVQSLQVGALHGKALSSAELLAKMRGTREGAASDALEHQLSLGSASNQRPGSTENGRTSNSNSSRNMIVQPEVLIRQLCTFIQQNGGSSSSTSLTEHFKNRIQPKDMLVFKNLLKEIATLQRGAGGATWVLKPEYG >SECCE5Rv1G0343910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:642652010:642652966:-1 gene:SECCE5Rv1G0343910 transcript:SECCE5Rv1G0343910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRRRRRRRKNSRWVPEREVDIDAVPGELLELVFLRLPSPAHLIRAASTCRRWRNVIAGDGGGFLRRFGSLHGAASDHVVGHYRVDERYGHPRPPGLYPVFVPSSSSSSPWADAVANRNLSLDFLPRAEFGDCGWELADIRGGLLLLFHSELAPGLLVCDPLRRCYREIPRSAWFHGCHMLGAFLLEGEGEGEEDAGISLSNFRVTCALFRFGDRNARACAFLSAGGGWTSGAGRSSTPVCHDRELAPIYFTGSSKRAAYWTAGDNVILALHKENAEIFSGIVLGDAQYALLRDKRHAREYAYQLPWPPTIEACVT >SECCE5Rv1G0355640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:733254102:733258277:1 gene:SECCE5Rv1G0355640 transcript:SECCE5Rv1G0355640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNITLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMYSENKREYNRKVREVVEQSWTAD >SECCE1Rv1G0015670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:127725807:127730104:1 gene:SECCE1Rv1G0015670 transcript:SECCE1Rv1G0015670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OsGA2ox1 [Source: Projected from Oryza sativa (Os05g0158600)] MVVPSTTPARQETAALLLAPPPPCGGAIPTVDMSAPRGREALSRQVARACAEQGFFRAVNHGVPPAGPAARLDAATSAFFALAAHDKQRAGPPSPLGYGCRSIGFNGDVGELEYLLLHANPAAVAHRASSIDTNDPSRFSSVVNEYVEAVKQLACDILDLLGEGLGLEDPRLFSKLITETDSDSLLRINHYPPSCTVHKLDHDDQCKLKGVARIKAGSGGNPAAGGRIGFGEHSDPQLLSLLRANDVDGLQVLLPDINGKDVWIQVPADSSAYFVNVGDLLQALTNGRLVSVRHRVIASACRPRLSTIYFAAPPLHARISALPEMVTAGSPPRYRSFTWAEYKTAMYSLRLSHSRLDLFHVDDDESGNGSKGK >SECCE1Rv1G0047040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:621288630:621291956:1 gene:SECCE1Rv1G0047040 transcript:SECCE1Rv1G0047040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAARLAARRLLGLASASASQSAARRLSPSPISSPAAAAATGYFSRTFSSALNYHIDSPENNPNMPWEFTKANMEKVNEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMDAIAKIVGVAPIRVYEVATFYSMFNRTKVGKYHLLVCGTTPCMIRGSRDIEDALLKHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYTKGSDGYTYNYYEDLTPKRVVELVEMLRRGETPPRGTQNPERKNCGPAGGMTTLLGEPKPPPCRDLDAC >SECCE4Rv1G0287270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853426787:853428933:-1 gene:SECCE4Rv1G0287270 transcript:SECCE4Rv1G0287270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANICGVRERARVAADYRPSSPDEESGLPERNSGRINCNTSNSATTSFMASAGSDSINGGFEQGCFLEGQILEAPNLREFTFLEIQTATNNFRPDDVIGVGQFGWVYKAWVEEKTMSPTKSGAGMAVAIMQGNEEWQKFLTVHLQSDIKLLGRFSHPNLVKLLGYCWEGKELFLVYEFIAQGLSLEDHLFGGGCAPLSWEQRLKIAIGAARGLEFLHASEKKAMYRDFKASKILLDAHYNAKLSDFGLAKLGSTGNSQMTMMPTRTHGYAAPEYVITGLLYEQSDVYGFGVMMLEMLSGQRARDPNRPKGQMSIIDWAKSLVDRRKVARLMDPRLKGQYNSKQALQAVHVALSCLASELRSRPSMKVVLDALEQI >SECCE7Rv1G0496820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:617673135:617673347:1 gene:SECCE7Rv1G0496820 transcript:SECCE7Rv1G0496820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGSLAPKTKNLVVGGGLTGFVFGVYYYTMRAVGSTDELQVAIDKFEDLKKQDADTTIAANPSTRGSS >SECCE1Rv1G0036280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:508289233:508291568:-1 gene:SECCE1Rv1G0036280 transcript:SECCE1Rv1G0036280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMQLDDDVFFAELSKRISLLITDDDEADFAAAQFPAAVHLPPGFSMSMAPHVPSMLAPPAYTLFHHAASYGANNSAGDGGRTWQQQQQPQQQQQCGSKGTGVFIPRSTPGSAHPKRKGRNWSGSKAAAHKAKAQAQAADAGVAAGAPVKRRA >SECCE6Rv1G0449040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:859610220:859621812:-1 gene:SECCE6Rv1G0449040 transcript:SECCE6Rv1G0449040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MASKGPRSKPDHETRPRRKKALEAPKEPRKPKVHWDHVLGEMVWLSKEFESERKWKLSMAKKIAQRANMGVVDQATKDEKKQKEGEHRLRKVALNISKDVKKFWTKIEKLVLYKNQLEVEERKKKALDKQLDFLLGQTERYSTMLAENLVDVPHLQTQENGLLQTNVLSQEEVAGPSQTNQPSQEEVAGPSQTNQPLQEDVAGPSQTNQPLQEDVAEPPHTDQPLQEDVAVPLHTNQPAQVEVAEENINAPTPDDLDTMETDDDYDSSSLNEEQEDDERTIDEDEAQITEAERNEELAALQAEADIPIDDLLKSYLKSQVSRESSPANKETCSNSDLKNSTKDSSNQVNGCNHDSGYTSSDEGNFSEEVDDSHHYAEFVKRNHGKSNGGISGDQEDNDYVCTDEGKDDEATLSEEEELAKKDSPDPSDEIKLLQKESEIPLEELLARYPKDGYADDVTTELEDSHTHSDEEVNSDMSLDDLPADLELNNDTSENHEIAEVLGTEHVSGNALQLEIVSEPSVQECSVKEDELTDAKVMADEEASVQECSVKEVEMTEAKVMADQETIVQECPVKEDELTDAKVMADEETSVQERSVKEDEQTDAKTTADEETGDSVMADAAAAARAAQPTGNTFSTTSVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNFFHVCITTYRLVIQDSKAFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIASSDTQATLSSGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGIDMQISSSVCMVLDKGPFSQAGLSDMNLVFSQNEFNMTSWEVDEVAAAFLPGITSRDSGAEIFCSKKAGQRSNRTNIFEEIQKALQEERMKEAKERAASIAWWNRLRCQKRPVYGTNVRELLTIRHPMCDVLEKKNNPSCYMDFSSSLADLVLSSVERFNKMLGFIESFTFAIPAARAASPICWCKKRNSPVLLGPAYREQCMHEFSPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAVLLRRLKSEGHRALIFTQMTKMLDTLEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGVNLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVNIYRLISESTIEENILKKANQKRTLDDLVIQRGCYNTEFFKKLDPMEFFSGHTALNVEEQPRDRSMTAVSSNETGLALSDADVEAAIRQAEDEADYMALKRLEQEEAADNQEFSEEVAGRLEDDELVNEDDTKPDDHTSEEHKHQSSDADKDKNVGLPVNQINEEKALTLAAGDGDMDMLADVKQMAAAAAAAGQASSSFENHLRPIDRYAMRFLELWDPIIDKAAVNYQVNVAEEEWELERIEKLKEDLEAEIDEDQEPLSYESWDVDFATTAYRQQVEALAKKQLLEEQERQALEAAKELEEMNDMASSHRKKSKKKKRKAGKFKSLKKGRVSSESEAMHDETSVDTMSIDDNAPSPELMSDESPHHGSNKRKKMTPRNEEVSSSSRALKKFKKAPKSNCTPESSSHKHSFEGKQLKLMDEVNDSDPKSVRMKSDGRISMHSMPAKRVMVIKPERLKKKGLMWPRDCALDSWTTEEDAVLCGTVHEYGPIWELASDFLHSIPGGAFYRGRYRHPVHCCERFRELFCKYVLSATDNANSEKAPSGAGKAVLKVSEDQTRMLLNVISEIPNNELLLQKHFMAILSSVWRSKCAHESRRVTSVCSSATHKLVRLSENCSMTNDKPSFNLVRTALADAQAQCPRVAIPTSNQEPRRRHLDLVLDFRTDRHAYQADFPSVVNVSILEPDPIRRSVAPVDQSLLSGLPHRHAENRIRIASEACFEGEGSHWASSGFHMNDTARHKSGSKSTGKHKAASESGRPPKSKIQRTAEPQEMLALKFDFLRSPRQLLTSAAEFPITQSLSDFGIDDSELTYMEDLPLEETNTEFAPYQYDPVSLAGIEELDPLVNLTDIG >SECCE7Rv1G0508530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:773068492:773069328:1 gene:SECCE7Rv1G0508530 transcript:SECCE7Rv1G0508530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTSSPLRRWKRFFGAFDTVDAAIEAADPDMCRDELRRARGDVFEGLCNTADDGKAEKLCGVLDGLMAESLETLRLTPVTPKVLATTDLAKAVRALQKHESERVRVLARGIVSGWRASALDDLAGGNFDVPQHKETVEQQNVWATTTERSSSIEIDQQHASADLDSKKKKTVEMSSKASGLASGINTAKPKEVTAVRLHANVPADPDAKAMEAAKRKLHESYQQASDAKRQRRVQLVEAPEMVKQRRERSLAGCGSSMLKRTFSVSHHTTGQLHEV >SECCE5Rv1G0350630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691511941:691512546:-1 gene:SECCE5Rv1G0350630 transcript:SECCE5Rv1G0350630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLLQESVDRLAALAAPPAAAMARGGTSVHTETLLILAAVLCFLLCVVGLAMVARCSRLCNPSAFSVDAPGAVAAPCKGIKKKALQALPTVSWRPEQRKEVDEEKGERPECAICLAEFASGDEVRVLPTCGHGFHAACVDVWLLSSSTCPSCRRALVVAPAPSATQSPPPQTCCERADVLPAQASAAVSGPGRCRPSAQ >SECCE5Rv1G0348310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673310455:673310868:-1 gene:SECCE5Rv1G0348310 transcript:SECCE5Rv1G0348310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRLLVVLLVGIVAASTFKQAAAAGRGLTTVKKFAELEPKPEPKPEPMPKPMPHTEPQPCKPEPMPKPKPKPGQKTEPEPKPKPMPKPKPEPCSKPKPGPKPEPMPKPEPKPEPKPKPEPKPKPPPKCKPPTSHS >SECCE7Rv1G0491900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:540919073:540919519:1 gene:SECCE7Rv1G0491900 transcript:SECCE7Rv1G0491900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSFVPCGCRAGPIDDAPPADQLQQQAAATTTGAAARRRRRRARSLGGSPQWRPALGDIYEGVAVEVGKAAAGGRVVPARPGRVASRVLPRAHSDEYRHIETASSMPAFAPTAFLF >SECCE7Rv1G0495130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:594444806:594447911:-1 gene:SECCE7Rv1G0495130 transcript:SECCE7Rv1G0495130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARRGGVGWRAVAAVLAAVLQLLVVAAEPGKYNAVFNFGDSLVDAGNLVTEGIPDYLATARPPYGQSYFGYPTGRCSDGRLVIDFIAQEFGLPLLPPSKAKNASFAQGANFAITGATALDTEFFEKRGLGKSVWNSGSLFTQIQWLRDLKPSFCNNSTQECKDFFAKSLFVVGELGGNDYNAPLFAGKDLREAYNLMPHVVQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLSMYVDPKEGYGSRSGCLKRFNTFSWVHNAMLKGALEKLRAKHPGVRIVYGDYFTPVIQFLLQPEKFGFHKQPPRACCGASGKGPYNFNLTAKCGEAGATACADPKTHWSWDGIHLTEAAYGHIAKGWLHGEFADQPIIQSS >SECCE3Rv1G0148540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19231295:19234319:-1 gene:SECCE3Rv1G0148540 transcript:SECCE3Rv1G0148540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKSKWWLREFVDDDSLAPIFQSFVRTTIGFVHIYLSSTTISSHTHFSTAPRSNLSAPMATTSCWFLVFAWVVAWELPLTVAGAEERQGEGCSSSAKTCGNLTISHPFWLADKEAGRSCGPLDFEVSCSNNNISFLRSSGFTGFAIMDISYEDRNLRVIDVHKEEDFNVANGTCDFPSWNTSSKLAVPFKVNPANLNLIFYKCTKRVALVEVRCANASNVFVRAGVRFDKTGNYGGYALEGCEAIVVPVMGSSGEANASDYEQLIRDGFLLTWDLPPARGHI >SECCE7Rv1G0470130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:116411573:116414831:1 gene:SECCE7Rv1G0470130 transcript:SECCE7Rv1G0470130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTMLDGGEDFNGDISRTGSYHQTDHHGYKVRFPPAKGLFTELAEGVKETFFADDPLQEYKDQPRSKKLWLSLVHLFPVLDWARSYTFGMFKGDFVAGLTIASLCIPQDIGYAKLAFLPAHVGLYSSFIPPLIYAAMGTSRDIAIGPAAVLSLLLGTLLQEEIDPVKNPYEYSRLAFTATFFAGITQAMLGFFRLGFIIEFLSHAAIVGFMAGAAITIGLQQLKGFLGIAKFTKKSDIISVMESVWGNVHHGCNWQTILIGASFLAFLLTTKYIAKKNKKLFWVSAIAPLISVIVSTFCVFITRADKQGVAIVKDIKQGINTPSFHLIYWTGPYLVKGFRIGVVAGMVGLTEAIAIGRTFAALKDYQIDGNKEMLALGTMNIVGSMTSCYVGTGSMSRSAVNYMAGCKTAISNVVMAIVVMLTLLLITPLFKYTPNAILASIIINAVVSLVDYETAYLIWKVDKMDFVALLGAFFGVVFASVEYGLLIAVAISLGKILLQVTRPRTALLGNLPRTTIYTNVEQYPEATKVPGVMIVRVDSAIYFTNSNYVKERILRWLRDEEEQQQELKLSKTEFLIVELSPVTDIDTSGIHALEELLKALEKRKIQLILANPGPAVIQKLWSAKFTELVGEDKIFLSVGDAVKTFAPKSALNV >SECCE5Rv1G0345060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649333295:649335520:1 gene:SECCE5Rv1G0345060 transcript:SECCE5Rv1G0345060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLGQPSPAVAPAKYDPAATTITSITDDLVREILVRLPSLPSLVRAALACRTFLRAVRSSPAFRRRFQALHPPQLLGFFSNPFHTAVPAFVPLRSRDDPDLTAAVRGADFTFTRLPEDGDDRRWEVKHCSSGYVILHNEKSNQIAVYNPLTQALEIFPFPPQEACNHHYLDFRIIFSGEDRRSFRVVCVRHRRRRRRTLARFSVFSSDSREWQCFSWVETSMPQPGANGGDKTMLPSYTATPLNEFDTLVYWKHTSQPYIIVFNTETLQLSRTDLPPPLKDIDSAQFGLGPTKDGKLSMVFIDDQFKDKGVLSVWFWRADDTGDGAEKWTPHKIFPLDKFLDFTVGSEEYDVTTLVIEVIGDFLYLCIYDGSTLCCLSFCLETEKVNELLDNRVDCGVQPYFMAWPPSLVCNKEDSETKVTGDSVVNGDHVGTEETPSVLLTALQSYKEALMNGDGAKVAEIEAFLLSIEDEKKALARKITTLDAELTNARDHILKISAECNDHRRRAETDR >SECCE5Rv1G0339400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607301800:607303798:1 gene:SECCE5Rv1G0339400 transcript:SECCE5Rv1G0339400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAQEKKLVLRSSDGEEFVVEETVAMESRTIKHMVEDDCANDIIPIPNVKAEILAMVIKYCKQHVQKHGAEATDSTAKASEQDLETFDKEFIDVKQRILFDLILAANYLDITGLVDLGCQKVADIIKGMTPKEIRKTLNIKNDFTKEEEDELRRKNPWAFE >SECCE1Rv1G0033900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:473223671:473251519:1 gene:SECCE1Rv1G0033900 transcript:SECCE1Rv1G0033900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TOR [Source:Projected from Arabidopsis thaliana (AT1G50030) UniProtKB/TrEMBL;Acc:A0A178WN52] MKPSPHFPEIGKKSKDLIPKDHSFNIAAYISSGADVIAAALRKHVEEEARDLSGEAFLRFMDQLYEQISSLLQSNDVSENLLALRAIDALIDMPFGEGASKVSKFASFLRIVFEVKRDPEILVPASTVLGHLAKAGGAMTADEVERQIKTALGWLEGDRVEYRRFAAVLILKEMAENASTTFNVHVPEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLTYLKHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMEHILFVLRTPDERASGFVALGEMAGALGAELVPSLPSITPLLHEAIAPRRGRPSLEAITCVGSFAKAMGLAMERHIRGGLLDAMFSAGLSDKLVDALESISTSIPSLLPTIQERLLDCIAQALPKSSTRSGSTVNRTTRSNSMQQFVDSSGPVLVQLALRTLANFNFKGHELLELARESVILYLEDEDSSTRKAAAICCCRLVAHSLSASSTSQFSSNRSNRMGGAKRRRLVEEIVEKLLIAAVADADVGVRSSVFRALYRNPTFDDFLAQADILTSIFVALNDEEYGVRELAILVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCPRLILPYIAPIHKALVARLCEGTGPMANTVLAAGVLATVGELAKVGGFAMRQYLPELMPLVVDALLDGGSVSKREVAVATLGQVIQSTGYVIAPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHNLPGQHNLPGQHREVLRPTVETAQHIVSMEETPTDFWPSFSASEDYYSTVAISSLMRILRDPSLASYHQMVVGSLIFVFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLVSIVRQHIRKYLQDILSLVSELWTSSFSLPAPKRTIQGPQGSPVLHLVEQLCLALNDEFRVYLLHILPSCIQVLGDAERCNDYCYVPDILHTLEVFGGNLDEHMHLVAPVLVRLFKVELVDIRRRAIITLTKLIPKVQVGTHVSALVHHLKLVLDGNNDDLRKEAAEALCSLAHALGEEFTIFIPSIRKLLVKHHLRYKKWDETENRLLRRELFISDNLSVQKYTQCPPDVISDPLDDFEGVPSEEADETQRQPRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMTESSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAYAKALHYKEMEFDAVCSKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQHLEVQLKESWYEKLHRWDEALRAYTMKSSQASGPLQHSQNLDAILGRMRCLASLARWEDLSTLCREQWTGAEPPARLEMAPMAANAAWHMGEWDQMSEYVSRLDDGDENKLRLLGNTTASGDGSSNGAFFRAVLSVRCKKYEEARLYVERARRCLATELAPLVLESYERAYNNMVRVQQLSELEEVIDYCTLPMESPIADGRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKSGRISQAKSTLVKLLQFDPESSTELTLYHGHPQVVLAYLKYQYAVGDELKRKDAFSRLQDLSVQIATATNSYSGMLVSHGAISNAGVPLIARVYLTLASWKRALSPGLDDDAIQEILVSYKNATLSAKDWGKAWHSWALFNTEVMSRYTLRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQDILRLLTLWFNHGATSEVQMALEKGFTLVKIEMWLVVLPQIIARIHSNNRIVRELIQELLVRIGKGHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIDGMLAVLEPLHAMLERGAETIKENTFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLKCRKLELAVPGTYSADSPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRLMLAFAPDYDHLPLIAKVEVFQHALQNTEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMAGYLLGLGDRHPSNLMLDRFSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQVSNYGNAHTHTVVSSEEAAPSEELMQPPRGAREKELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSALSGVGGSSQHGSEHLASVDAREVEPGLSVKVQVQKLILQATSHENLCQNYVGWCPFW >SECCE5Rv1G0366700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815581800:815583443:1 gene:SECCE5Rv1G0366700 transcript:SECCE5Rv1G0366700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAGSERAAPLPGAARLWVPGMSPVAPDGAGAGASARAREIARRREEMLGMLRDLPEAEYELSLTDLVDKTAAAGANGGVVEPLPTERKEASPSPSPLGAAERSASGGQAEQQQAARTTERKGRRRGDGGSGSGSGLRSSSDGVLLNFYMPRSFTRSFTAPRPARTISFSNSGRAASAVVPEDCNKRERDGDTVRCWPLPWDRRWRKSSRRRQDPAAATSGELSAMLAAAGHSDPALPKV >SECCE7Rv1G0476990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:213323291:213323767:1 gene:SECCE7Rv1G0476990 transcript:SECCE7Rv1G0476990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDFFDPFEGFPFGSGSSNSSGSLVPRTSSDTAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNILQISGERNKEQEEKTDTWHRVERSSGKFLRRFRLPENAKAEQVKASMENGVLTVTVPKEEANKPEVKSIQISG >SECCE5Rv1G0340730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:618101218:618103143:1 gene:SECCE5Rv1G0340730 transcript:SECCE5Rv1G0340730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGSSATREGGTALGDLPENCVAEVMLRLNPTEICGMARLSRTFRGAASGDAVWEAKLPRNYARLLAAAAGDEEAAALEAEAIPKKEVYARLCRRNSLDGGTKEFWLDKAGGGTCMTIYSRALSITGIDDRRYWNFIPNDESRFRSVAYLSQIWWFEVRGEVEFCFPEGTYSLFFRIHLGRPFKRLGRRVYSAEHIHGWDVKPVRFQLSTSDGQQAQSKCYLTDPGVWIYHHVGDFVVKNSNEPLNIQFAMVQIDCTHTKGGLCVDSVVIKPKCLARKKGPGSYE >SECCE4Rv1G0241160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448315053:448315277:1 gene:SECCE4Rv1G0241160 transcript:SECCE4Rv1G0241160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIRERSHVDLTFYSLVGSGRSGEGGGHHGSSLLENPYIPYQCMESYLSSTC >SECCE5Rv1G0318130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:358156164:358160465:1 gene:SECCE5Rv1G0318130 transcript:SECCE5Rv1G0318130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESTSPSPAPAAAPAKAYYEGCPGCAMERRKESSTGTPYKELFFVGITTFASSLPITSLFPFLYFMIQDLHVAQREEDIGFYAGFLGASYMVGRGFASIFWGMVADRIGRKPVIVFSLFTVIVFNTLFGLSVKYWMAITTRLLLGALNGFLAPIKAYSIEVCQDDQQALGIATVNTAWGLGLIIGPAIGGYLAQPAKQYPHIFHDKSTFGRFPYLLPCLCISIFATFALISCIWLPETLHKHSKFEMRAETAEAGTTQESAESPKKSLWKNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSGRKYGGLSFSSKDVGQVLTVAGASLLVYQIFVYRWLNNTLGPVNSTRIASALSIPIIAAYPFMTHLSGIRLGLALYIAAMIKSVLAITRVSGTSLLQNNAVPQGQRGAANGIATTLMSLFKSVAPAGAGVLFSWAQKRQRAAFFPGDQMVFLLLNVTEVLGLVLTFKPFLAVPQQYK >SECCE3Rv1G0181720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:617514995:617519920:1 gene:SECCE3Rv1G0181720 transcript:SECCE3Rv1G0181720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNAAGAGAANPNPNKSLEVNPAPGDSVSSLSFSPKANHLIATSWDNQVRCWEVQPGGQCQAKASISHDQPVLCSAWKDDGTTVFSGGCDKVVKMWPLLSGGQATAFSGHEAPVKELAWIPQMSLLVSGGWDKTLRYWDIRQPNPAHVQQLPERCYALSLSYPLMAVGTADRNVVIFNLQNPQAEFKRIVSPLKFQTRCIAAFPDQQGFLVGSIEGRVGVHHVDDSNQSKNFTFKCHREGTDIFSVNSLNFHPVHHTFATAGSDGGFNFWDKDSKQRLKAFSKCPSPITCSTFNQDGSIFAYAVCYDWSKGAEKHNPSTAKTNIFLHSVQESDVKGKPRTGKK >SECCE3Rv1G0170290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:251052339:251053019:-1 gene:SECCE3Rv1G0170290 transcript:SECCE3Rv1G0170290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIGGSSSSSSSAAAGVRMGGAKRRGKPGGAAAPGAAGPPQTRWRSGTQERIYGRRLLDALRATRSGAAPSAHPQPRAVKAAADSALALTARGQSRWSRAILLAGAASCRRRVLVKAGGKIRRHRRPQARAAAAASKAAATASASEPPLLKERKVKDRLRVLGRLVPGCRKLQAPDLLEETADYVAALEMQVKAMRALADALAAAQLSSPPPPAAAGDDEAEMER >SECCE4Rv1G0278230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:807929848:807932397:-1 gene:SECCE4Rv1G0278230 transcript:SECCE4Rv1G0278230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGALPLALLAVLTTLTAVAGQGRPVTDNGAGGGGGASTSSKFTPKDAFYIDCGGTAAADTKDGKSFKTDAEANSLLSAKDAIKVADDKADVPSHLYRTARVFKEEAVYNFPLAAPGWHFIRLYFFPIKSGEADLAAAAFDVTTAVNVLLHGFTAEAKAVMKEYVINATENKLELKFTPKSGSVAFINAIEVVNAPDELISNTALTVSPLAETSGLSEAAYQVVCRLNVGGPAIGPVNDTLGRQWEDDTQYLIPKEAGAEVSVPTSTIKYPDTYAVSKLVAPTAVYASARHMADSGVANQNFNVSWKVDVDPAFDYLVRLFFADIISKSANDLYFNAYINGRKAISALDLSTITGDLAAPYYKDFVVNSSVNTDGHIVIGVGPLGQDTGRNDALLNGAEVLKMSNSVGSLDGEFGVDGRMVDDGSGTRKVVAAVGFAMMFGAFAGLGCMVVKWHRRPQDWDRRNSFSSWLLPIHTGQSFTNGKGSKSGYTFSSTAGLGHFFSFAEMSEATKNFDESAIIGVGGFGNVYVGEINDPDEEGSRIKVAIKRGNPSSEQGINEFNTEIQMLSKLRHRHLVSLIGYCDEGEEMILVYEFMQHGPFRDHIYGGPEGLPTLSWKQRLEICIGAARGLHYLHTGTAHGIIHRDVKTTNILLDEKFVAKVADFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLETLCARAPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPNLNGKVNPESLAKFAETAEKCLCEFGSDRLSMGDVLWNLEYALQLQEANPPEGATDADDADASIVSSASGVSTVPDQSTTSANELFAQLADMKGR >SECCE3Rv1G0195980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:804519315:804520838:1 gene:SECCE3Rv1G0195980 transcript:SECCE3Rv1G0195980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDERRTILMGRYEIGKQLGQGTFAKVYYARNLATGQAVAIKMINKDKVTKVGLMEQIKREISVMRLVKHPNVLQLFEVMATKSRIYFVLEYAKGGELFNKIVKEGKLNEDAARRYFHQLISAIDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALADCARQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADVWSSGVILYVLVAGYLPFHEANLIEMYRRISKADFKCPRYFSAELKELLHKILDPDPSTRIPISRIKRSAWYRKPVEANAKKTEPEATHNTFSAEAASSGSTGCSTSEGNQGSLSLPNLNAFDIISLSTGFNLSGFFEDEYGRREERFTTRQPVAIVLTKLKELAKRLKLKIKKKENGVLKLAAPKEGKKGFLELDAEIFEVAPSFLLVELKKTNGDTMEYQKLVKEEVRPALKDIVWVWQGDQHQRSEPILQGEQHHSPSPTQQPHGELQPPLQQQEGQDQLHPPLQPQEQQYLQEQPPLPPQNGFKHQD >SECCEUnv1G0560090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:344478049:344480598:1 gene:SECCEUnv1G0560090 transcript:SECCEUnv1G0560090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSQAVAIAKFPEVVTVKPNIFHKAHTTRSWDFLGLDYNPPPQQPGLLKRAKYGEDVIVGVIDTGIWPESRSFDDNGYGPMPAQWKGKCQSGQKFNATSCNRKIIGARWYGRGISAESLKNDYKSPRDLNGHGTHVASTIAGGEVQGVSYGGLGMGVARGGAPRARLGIYKVCWVDEGCPDAAVLAAIDDAIHDGVDVLSLSIGGVGQEYSGTLHAVQRGISVVFAGGNDGPVPQTVTNAVPWVTTVAASTIDRSFPTLISLGNKEKLVGQSLNYNAAMKNSGSQALVYAGSCDPESLALGNVTGKTVLCYAPEEASRWSPQLILPYAINYTIEAGAKGLIFAQYTTNNLDSLTACEGFMPCALVDFEIAQRIYSYWDMADNPVVKVSPAVSVVGNGVLSPRVASFSSRGPSLSFPGILKPDIAAPGVNILAAVRGSYLFYSGTSMACPHVSAVTAMLKSVHPQWSPAMIKSAIITTDRNIEIPTILQKQLI >SECCE7Rv1G0463290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:51972409:51974136:-1 gene:SECCE7Rv1G0463290 transcript:SECCE7Rv1G0463290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAAPSSAAPPSPAISLAAASLAARKADPAAQGCFAASRETPCTPSVPASPPSLPTVPVLLVDASSGRPTLPSGLHCSSLSSQPQPPIMDRGLVSPPPEPCLCSKGVVVEVPETIGGSPAALPCLDGGRPPAALLSSCPLVSDADAGADFLVGLDAACVKDAAGWKLVQSRRARQTPSPLAFMPQQRPRPPAWLWNRCFRCFGKGHRATECRDPIQCNRCFRSGHRARDGCRPVQPQPSASSARPLAHSWASIVAPSSASGIHEAPSNMKTLRRDSSPYSSVASASVPIDVTLQSALGVHTKLLGSDLHGMTSSQMEEAIKPLREVADSLQGWMVRIGSFLERAEAALQRLPLAHAPVVESSVGLVDEAGVELFGSYSPRVGSSSMPLVLSDFVGEASPKVVSPVLQIMPELQVLCGEHVSPVSMEQLKLGSLQASKVDHVSAPPPVEPCQASKMDLVPTPPPMEPCEGSDNLPLNVVEHGVLDVAALPSYATVGQMMDVSGMTIEPLVMAPTPNPNALFAKELCDLLASVEVARPGLGRSIACLLTGTPIRGKQKKAGKGKNDAKSKVSMAV >SECCEUnv1G0562520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:366700367:366700938:-1 gene:SECCEUnv1G0562520 transcript:SECCEUnv1G0562520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCFLALGGDASGRSRSEKKWRKAMERLGMKAITAVSRLTIKQRKTPDVFKSPHTETYVMFGVVKMEDMDAQLLTEAAEQSMASVPSSVISKGEHSVAAAQDDEEVDETGVENKDVEVVMVQASVSRSRAVKALKAADADIVSAIMELTN >SECCE5Rv1G0357790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:749199772:749203777:-1 gene:SECCE5Rv1G0357790 transcript:SECCE5Rv1G0357790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA+ type, core domain containing protein [Source: Projected from Oryza sativa (Os03g0792600)] MLWVDKHRPKTLDKVIVHEQVAQNLKKFVAEQDCPHLLFYGPPGSGKKTLIMALIKQMFGAGVEKVKMENKTWKIDTGTRTFDLELAMLSSSHHVEMNPSDAGFQDRYVVQEVIKEMAKSRPIDAKGKRAFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRVNAPTEDQIVQVLEFIGKKENLQLPFGFAARIAAQSNRNLRRAILFFETCKVQQYPFSANQVAPPLDWEQYVSEIATEILSEQSPKRLYSVRQKFYELLVNCIPPESILKKLLTELMKKLDSDLKHEVCHWAAHYEHKMRLGSKAIFHLEAFVAKFMSIYKEFLCAAFG >SECCE5Rv1G0355530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:732039627:732041504:1 gene:SECCE5Rv1G0355530 transcript:SECCE5Rv1G0355530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAALRPVVKACIGGSLIGITISDRYFSFAAVHGGSMRPTFEGSADGREYALVKRSPLYDYSRGEVVILASPVEHQRKSIKRVIGLPGDWVSLPEKEEVRKIPDGHCWVEGDNGSVSRDSRAYGPVPLGLVQGRVTHVVWPPSKMGRIDKRVPSEGRVMPQRNL >SECCE6Rv1G0408360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:571945990:571948357:-1 gene:SECCE6Rv1G0408360 transcript:SECCE6Rv1G0408360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPPSYAQSPPVAKAGSRNPKPHATADEDDPDLPPREAPPGIPGLLHDWNRTGAAERLPEIRANLLLLPPPAAPSPAPSAAGLRALGLLSFVHLDLSSPPSSGAPRRDLVAALIANYLSIREWSYARGVGFEVSPDTFADALSLPAPRSTASVDHDPPAGVDPAAVASAATEFMKAYILTPLAATNDGKLPIYVKSAARRVKDGMAHTVDWTFLLWRLVVKEMFELRKGMRTDWACYYGAYLQRLILVKRPDLFLLPPAQAALPVLNSHSSKADGNQKLCSELESKMQESEARSQLLEAEVKAKSRQLDALAARHHHEMRNLEQDKKMQLDALAARHHHDMRNLEQDKKMLQDEVHTVKLLNHALLCKETESRDEVQRVLKELTRVSKQLAELKDDMHKVESLNLALVNKETKSDDELRRGQEEMIDVRKQLAHLQEEMRAIDLLNQALASTKEAKDNDELQRVRNELAHVRKQAGRLEEEMNILDSINKALVAKERESSVELQDIQKKMKDLSDEREGLESDNKVLITKEIRSNNELQVVRKTLIDGLQNFTNGQAHIGVKRMGDLDLKEFSKACKQDLLQEDARVDSSVLCSKWEAQIADPNWHPFEVRMNDDGKEMEVLLKDNVKLRELEEHGEEIYTLVTKALFEINEYNPSGRYPVPELWNYKDGQKATPEEAIKYILKSRKRKR >SECCE2Rv1G0124870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:835035676:835040385:1 gene:SECCE2Rv1G0124870 transcript:SECCE2Rv1G0124870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGIRAASWVVGKALSPLSGGVLEAWGASSMLGSNMEALKMQLLYAQAMLSNVRGREIHNPALGELLDKLRQLAYGAEDVLDELDYFRIQDELDGTYHAADAHAAGCVQDLALNARHTVRACVNKLKLPVCSHAAKHDEQDDGDKERCLSGLRFCGGREISSSPPSRTNQVVQAVHGGCMPKVASSAREAAHAIGKTFPCYSFSSAHNDDHNIGMLKSSNVSRNGRRFLCGAWLSPKAPEKNHATQAPKLKFDRVEMSTKIKDIIEELEPICGMVSTILSLELLGSRHTPGQEEAAMNRVKTTPEIIEPKLYGRDSQEKIVVDEINTGECCELTVLPIVGLGGIGKTTFTQHIYEKMKSNFQVPIWVCVSFDFNANRLAKDIVEKIPTIDNEEKFWSDEERIMQRIKGKRVLLVLDDVWTHHENEWGKLLNLFRKEGAKGNMVIVTTRIPEVANTVKTTKCSLQLERLCPEDFMPFFEECVFGDQKPWVDHPELSEVGKKIVDKLKGSPLAAKTVGRLLRNKLTLNHWTSVLESKEWESQINENDIMPALKLSYDYLPFHLQQCFSYCALFPEDYEFGSQELVHLWIGLDILHSCDQNRKRIEDVGLCYIDDLVNHGFFKLNKVVDGCPYYIIHDLLHELAVKVSSSECISIYSSNTRHIQIPTSVRHLSIIVDNTDVKDMMSFEDYAGNLSALDKRLKVEQLRTLMLFGEYHGSFAKTFGGLFSKATALRVIFLSEASYNLDDILHNFSKLVHLRYLRIKSSSYHRGFCLPSELFIRLYHLEVIDLQKCFGSSSSTRNMGNLVKLHHFLVPEYGLRFHLDIYGVGKLKFLQELRAFRVGKESDGFELSQLGQLTEIGGLLGIYNLENVQTKEAANETKLMHKNHLRELILQWDATRSNKDPVQEENVLGNLVPHTNLQKLHIRGHGGTRCPAWLCTNLSVKCLESLSLDGVSWKNLPPLGDMWMVNELGEEYQCCSISPPGFHNLKRLELTSISRLKKWVGNGACPFFSHLEVLIIRGCSELIELPFSHPPTCSQAQWEEKIGWFPKLRELEIADCPKLASLLPIPWRTGAPCSAQIARVGSGFEEIAYPKKYRSELSLEIEGKDGQAEVFWSGLNFSNLADLEELFMKKIPPLPLDDFRLLTSLKKIVIEGASNILPLVEGDQCHGMYSFPVEDLEFSKCDASGKELTLLLSFLPNLSKLKIMSCNNLTGLAVVEDEETVFRGQEQQTRVGEEEIITAEGLLLLPPHLHELSFWNCPKLSLHDDQTGTSTTGRRGGGIQRLHSIRLLAVSNCPEFLSFYSSPSSSSFPFPTSLQNLTLEDMKHMRTLQALSNLTSLTELRLRVLGDSRNEGLWPLLAHGRLAKLTLHTESDFFAGSDPSRPHDKVVFSRSSKLFDLSTKNNTGVLAAPICDLLSSTLTKFELRCEEEVEFLTKEQEEALHLLTNLQQLAFSGGFKLRHLPAGLHKLTNLKKLRILWCFVIQSLPSLPSSLRELVIHECRAIESLPNNLPRSLEILKISACFAIKSLPKDGLPSSLLELDVSSWSNSKELKMACRKLTGTIPIIRA >SECCEUnv1G0533160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:27000934:27003836:-1 gene:SECCEUnv1G0533160 transcript:SECCEUnv1G0533160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDQYHEQGNRGGHNISSKSFQRCEGCDAHYYWCHMDDTQKYFFKCMVGNFQDKMTIPQKFVENFKGQISEVIKLEAPDGNIFNVQATKDLNKIVLGSGWGVFISFYEVKEGYFLVFRYMGDSHFKVLIFDFGSCCEKEVFHVLMNYGPNAQEKDTRLDQSPLSERRCQNGGSSNGESRRRCEHCDVHFYWHHMDDRQKHFLRLMFGDFRQEISIPEKFVNNFRGRISKVMKLGAPDGNVYNIQVINDRNKIVLRSGWAAFASAYGLKEYDLLVFRYIGDSHFKVLIFDPSGCEKELFHIVMNHTPNLPETGISHDRSFLKETRRRDCESRDNNSRKTKKMTPLDSPSPKSGVTSPEDTLNSGGLRETTKPRYVLATGCNLSTSQKAEVDALVRKVRPVIPFYITAMNKTSMSGSLVICKDYAAKYLPDEDQFITLCHPHKSNIWIDNLKVTTDGSRMLSVGWSCFALHNKLRESDICLFEVWKSDGEVTMVVHSLEGGHHPQGKEPESQKKRRYPVKAEATEDEESDKEHAESNYYYSTHANGLTSDEQDEIFRSALIQQGNPVYVAVLGKKHVKKRNNLLFIPIKFAAKHLAARSHDILLLRPKRKEKWCVRYYYHSSVRGFSYSPWTKFVRDNKLREGDICAFELIKGVRKVTMIVHVLRKVDGRLVLLD >SECCE3Rv1G0198620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:833987592:833988392:1 gene:SECCE3Rv1G0198620 transcript:SECCE3Rv1G0198620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steroid 5-alpha-reductase DET2 [Source:Projected from Arabidopsis thaliana (AT2G38050) UniProtKB/Swiss-Prot;Acc:Q38944] MSDGGGGAAGGDALFSRCLLALYVISPVTVFLLRYVSAPYGKLSRPGWGPAIPAALAWCAMESPTLWLPLLVFPPPLLAAAAASPLAALPPALYALHYVNRTVLHPLRIFRLRRAAAPVPVLVAACAFGFNLLNAYVQARSWALHAARPASAFALARSLVGLALFAWGMRVNLAADKELLRLKEAGGGYKIPRGGWFDAVTCPNYFGEIVEWLGYCLVAWSPAAWAFFLYTCANLMPRARDHRQWYLSKFGGEYPASRKAVIPCIY >SECCE2Rv1G0078690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:110984699:110988567:1 gene:SECCE2Rv1G0078690 transcript:SECCE2Rv1G0078690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAHGKCCLPRGDGGGRREGGGVPGGRGGSTLGRAAVPGAGLALEYATLTVDGLYPDSPGRETQDAYLVATRFAGDPDLHLFAVFDGHGACGAACSGFARDALPRLLLLAAEDDGAGAGGTFLATDPAGAFRAAMIGVNEEMHAAPAVDDSMSGTTAVAALVAGGVLHVANVGDSRAVAGVWRDGRVAAEELSWDQTPFRADERARVKACGARVMSVEQVEGVRDPDAEGWLADEGDPPRVWARDGLYPGTAFTRSLGDLAAEGVGVIADPEVKTVEITPAHLFFVVASDGVFEFLSSQEVVDMVAMHQDPRDACAAIAAESYKLWLEHENRTDDITIIIVHIRDAQNSGPAGSDKENSSSTGAPVALHTVQPELPVFVPSELPVFVPSEASHLNGVAAAELRQPSFSGSPSERRLSCVTPSPTHPLLEGGRALEASRSTQIDSASTSQPVEAWHPREGGSKLEQPLQLQRAISC >SECCE4Rv1G0217510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:16989370:16991610:-1 gene:SECCE4Rv1G0217510 transcript:SECCE4Rv1G0217510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAPIPAASGADAAAATTPKKNAVAEMARHLTVDTDDAFAGLLELAADDDADGLRRALERAPPAAADEAGLWYGRRKALEQRTPLMVAATYGSLAALRLLLSVPSVDVNRRCGPDGTTALHCAASGGSSSAVEAVRLLLVAGADADATDATGCRPADVISVPPKMIDAKIALQDLLGLPKSGHGVLRVVTRATNLTSSPVSSPTAEDARSPSAAVMMLSKFPDLPRVATSEKKEYPVDPSLPDIKNSIYASDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGVCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTTDELRPLYVSTGSAVPSPRASATAAMEMAAAMGLMPGSPSSVSAVMSPFTPPRSPSGNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRSSLNARDMPMDDYSPMQDIDSQLINDLCYSRLGSSAGGNHSSRTKSLNPSNLDDLFSAEMVSSPRYNNADQGAMFSPSQKAAILNQFQQQQQLLSPINTGFSPKAVDSQQLHSRSSLLQASLGISSPGRMSPRCVESGSPMNSHLAAALAQREKQQQQQHQQHQQTMRSLSSRDLGPSAARASAAVGSPLSSSWSKWGSPSGAPDWGVNGEELGKLRRSSSFELRSGGDDPDLSWVHTLVKESPPEKQVTTAESINNSVRPSPQMHPNVSNGEGSSASTQLNRHDQAAVIGALLEQMQLDEQIGSLAT >SECCE4Rv1G0278900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811361813:811362382:-1 gene:SECCE4Rv1G0278900 transcript:SECCE4Rv1G0278900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEHSQHNNRMACLEHSSTVHNLTQQKKELHETYEKLVEDVNNLLDYKDRQAEINEKNDGDNISVSVESSMTKDAENKKLKTVVDQLKQIHVAQATVIRNLKFNHLKEKEKLSSDKRTLDICYADLKKEKDDLKKEKDQEDCCIAELMKVKEKLTVEKSTLASCIVELKTTGDSNKRKLHQIKAIFCED >SECCE2Rv1G0126780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:848733491:848734918:1 gene:SECCE2Rv1G0126780 transcript:SECCE2Rv1G0126780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSAPTESCSVAKIMSLGKLAIHQTNLCSLIFRVLPKQLGFPPSSLKKFCKVEHVELQLTKKTTMGTLPELPPDILMGIFATLEIPDLVRAGSVCSSWHSAYTSLRSLGQYNPHQTPCLLYTSESAGESVAYLYSLAEKRSYKLTLPEPPIRTRCLIGSSHGWLVTVDDRSEMHLVNPITCEQIALPSVITIKQVKPIVDEYGALHKYEFSRHTGICGGYSSPSIFALDKLRHELHYKAFVFPDTSTGSYIVVLIHNPLRRLSFARVGDDKWTWLPPYDDYSDCTYKDGLLYAACTYKGELHTFDLSGPVATRKTIISTPRKYDCEYMYVVQAPWGSLLLIWRIFEDHNVEPEPGASVFWNTTQYRIYEFDAAGSKLKEINCLRDHVLFLGHNQSLCLGAEEYPSLRANHAYFTDDNSLWACGLKNNHRDMGILNLDDNSREDLVSPQLWSNFPAPMWITPDLRRMNLASGGD >SECCE7Rv1G0467120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:83623361:83623636:-1 gene:SECCE7Rv1G0467120 transcript:SECCE7Rv1G0467120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAMLVAEEHEKRMKRGAPRVVTGAGEEASRGKVNFGAVTKVWGSWAESAAAAASGAKVHVAVLVRSDQVAAEPRSPVARAAFDGFFSA >SECCE7Rv1G0475230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:183701983:183702294:1 gene:SECCE7Rv1G0475230 transcript:SECCE7Rv1G0475230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCEUnv1G0545260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:138566027:138566425:1 gene:SECCEUnv1G0545260 transcript:SECCEUnv1G0545260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSAVLLAAGITLMLVVHILVVLWVLRRGMTARVAEHAEEDAGLTAEELGELPCHEFKEGGACECAVCLEAFLAGDRCTVLPRCEHEFHAECVASWLRKSRLCPICRAEVAGPPKEAGAVAAEVVVEVTAA >SECCE7Rv1G0496930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:618584086:618584499:-1 gene:SECCE7Rv1G0496930 transcript:SECCE7Rv1G0496930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHIMPTRYTLDVDFKDVASGGPDALATRDKKVASCKAAKARLEERFKTGKNRWFFTKLRF >SECCE4Rv1G0233510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:242160682:242206247:-1 gene:SECCE4Rv1G0233510 transcript:SECCE4Rv1G0233510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMTPAPLEQENDGMLVPHQELATADADTAQPMEVVAQTEAASTAERQPSEDPQTSRFTWTIASFTRLNGKKHYSDVFVVGGFKWRVLIFPKGNNVEHLSMYLDVADSANLPYGWSRSAQFSLSVVNQIDQKYTTRKDTQHQFSARESDWGFTSFMPLSELYDPSRGYLVNDTIVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCCDVYASFEKYVEVERLEGDNKYHAEIHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLANQWYKFDDERVTKEDTKKAFEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKKHKKKEKAEAHLYTIIKIARDEDLKEQTGKDIYFDLVDHEKVRSFRIQKQLPFSTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLNPHEETQSVGQLREISNKAQNAELKLFLEVEFGLDLQPLPPPEKSKEDILLFFKLYNPKKEVLCFVGRLFVKALGKPSDILRKLTEMAGFIPDEEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIVCFQKSPKADSGTQVRYPDVPSFLEYVHNRQVVHFRSLEKPKDDEFCLELSKLHTYDVVVERVARQLGLDDPAKIRLTSHNCYSQQPKPQPIRYQGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQFLKTLKVAFHHATKEEVVIHSIRLPKNSTIADVIIDLKTKVDLSSPTAELRVLEVFYHKIYKIFPLQEKIENINDQYWTLRAEEVPEEEENPSQHDRLIHVYHFAKDPLQNQQIQNFGDPFLIAIREGETLAEVKGRIQRKLQVANEEFSKWKFAFISMNRPDYLQDSDVVSARFQRRDVYGAWEQYLGLEHTDTAPKRAYTANQNRHMHEKPVRIYN >SECCE4Rv1G0262030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:705599582:705601276:1 gene:SECCE4Rv1G0262030 transcript:SECCE4Rv1G0262030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRRLYKSRRNAAAAVASASFLLLLLVLCLSLRTRHGGTFFFGSGAGARGRGSCEAELQALEGRAARCRYLRSSSRPPCAPTGYVDYLALFYCACGEDAGLWWSPWLGGAAIALWLLLLFYLLGDTASEYFCASLEGLSAALRLPPAVAGVTLLSLGNGAPDVLSSVVAFASGGGEAGDVGLGGALGGALFVSTVVAGVVAIVAARRGGAVIERRGFVRDACFLLVALCYLLAVLVAGTVTVWAAACFLSLYAAYVLLVSTSHCCSAAADGDSTNTMKPSDYLAAPLLLPVAVSSSKQPPRTFASGLLAALHAPLYLPRCLTIPDIAAHRWSRPCAITSTLLGPLLLAVVTFPTSPNVLLAGTLTGTLLAIAAAVTTDAAAPPHDRYARLLWLSGGFLMSILWSYLLARELVALLVSTGIIVGVPASVLGVTVLAWGNSLGDMVADVAMATQDGAAGAQTAVAGCYAGPAFNTVVGLGLSMELAAGARYPEPYKIPVDAATYVTVAFLVAGLAWALVVLPARGMRLDATLGAGLLAVYLCFLAVRVADAVGVLSLDYLLPRQ >SECCE3Rv1G0206750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:910861950:910866030:-1 gene:SECCE3Rv1G0206750 transcript:SECCE3Rv1G0206750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELLYSFFLCLTVLLGRAASAPLHLQCLDNPPDLTAGGAEAGKVVDDLAGFTAYVAGPVHSDRAIVLASDIFGFEAPLLRKAADKVAEVGYYVVVPDFFNGKPYTGDPSVNITQWIDDHSPVKAARDAKPIFATLKKEGKSVIGVGGYCWGGKFAVEIAKMDEVKAIVISHPSSIVVDDMREVKCPIEILGAQNDTTTPQKFIYQYLHALRKRSDKVPYFGKIFPGVAHGFACRYNSTDPFAVRTAEQALALMLGWFKKYLE >SECCE2Rv1G0133580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:897866602:897870372:1 gene:SECCE2Rv1G0133580 transcript:SECCE2Rv1G0133580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIFFLLFLSSLCSADDQLTQAKPLTNRDILISESGDFALGFFSPTASNKSFYLGIWYHSLPGPKTVVWIANRDDPIINPSSMMLKVTNNSRMVLSDSEGRDIWMAPSNTTTGAAGAYAVLLNSGNFILRSSDDEDIWLSFDHPTDTVLPSMRFFMSYKAQVVARLVAWKGPDDPSSGDFSCRGDPRSPDLQLVTLNKARVYCRIIVWDGVSVYGRTFLTNTSSILYQTVVNSGDEFSFMFTLSDNSSFMRLMLDYSGKLKALGWDNHSMSWTVINERPNNACDLYGSCGPFGYCDFTDAIPTCQCFDGFEPVDSSNSFKGCQRTQALKCRKQSHFTSFSGMKVPDMFLHLRNKSLDDCAAECINNCSCTAYAYANLSSGGAMADLSRCLVWSGELIDAQKTTVGENLYLRLADPVDKKTSPVKIVLPIISSLLLLACIVFVWMYTYRGKWGKKKKQKKLVLGYISTSNSLEGNNTEFPCFSYEDILSATEKFADSSLLGRGGFGKVYKGTLEGGNEVAVKRLSKSSGQGLVEFKNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLANKSLDAFLFDHARKHVLDWLTRFKIIKGIARGLLYLHQDSRLTVIHRDLKASNILLDTEMTPKISDFGMARIFGANQNHANTTRVVGTYGYMSPEYAMGGAFSEKSDTYSFGVLLLEIVSGLKISSRHLTMEFCSLISYAWILWEDGKTTELVDSFIAASCPLHEVARCIHVGLLCVQDHPNDRPPMSLVMFMLENESALLPTPKQPVYVTLGNHESGEARVSLQNSINAMSITALKGR >SECCE2Rv1G0134900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:903807498:903807767:-1 gene:SECCE2Rv1G0134900 transcript:SECCE2Rv1G0134900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLVAVASYGATMLAKMAKDKVAILTRVSGEINDLGVKLRDLKNLLADADRRDIADESMRRWVEELKHAMYDDITDILDQCGRKVME >SECCE2Rv1G0081820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:145220710:145222812:1 gene:SECCE2Rv1G0081820 transcript:SECCE2Rv1G0081820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNTATKPIRCKAAVSKVAGQPLEMEEVEVAPPRAHEVRIRILCTSLCHTDVTFWRMKDFPGQHPIILGHEAAGVVESVGEHVHEVAVGDTVVPVFSAQCGDCPDCLSDRSNICSGLPAGPGMPRDGTTRFSFAATGEPIHNFLGVSSFTEYTVVDVSNVVRIGPGVPPEKACLLSCGVSTGVGAAWKVAAVEPGSSVAVFGLGVVGLAVAQGCRMRGAKQIIGVDLNPEKWDIGKRLAITDFINPNDTGEKAVSEVVKEMTGGGADYCFECIGSTSVMAEAFQSSRKGWGKTIVLGVSGSGAPISIPPNHLLMGRSVVGSLFGGLKPKTDIPVLAQKYLDKELELDEFVTHEMGLDDINAAFDLLTQGKCLRCIIWMDGARENGVVVRVDALDHGRACKA >SECCE7Rv1G0456450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:10904192:10905714:-1 gene:SECCE7Rv1G0456450 transcript:SECCE7Rv1G0456450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFTGAARRLLSVSPPQCPVCSRAIKTLVLRFYMSDTLQLISIGGGVEDVVSRGETERLEFYILPPVPSNDNTTQLLAELEQAQWFMSFSRAFPVAFRWLTRLTLQNLAFGEDDVAGLIKACDKLKHLILISCRLDDDHSALKIDTPCSGLQELQFIDFACTCIELISVPKLREIWCWSWPCESPPLHFGHVPQLHEASLGSRAGVWQAPFALSECLSATNLSRLYLNFGCEMIWIQLEQPKHLTTIFRNLTDVYLYCIFPECDLNWTMFIVEAAPALLNFTLSRNQHPCVKPSEYSAQKTNMLWEPSKDWKHLNLKLLVMAGFEEEEKVTNYLRLFMERALGLKRIELHGKYPCDKCNAMDLELESTRSLVDKASRYRIKERLAHESSLSVKITIC >SECCE4Rv1G0271890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:764486873:764487133:1 gene:SECCE4Rv1G0271890 transcript:SECCE4Rv1G0271890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERFFTALVFCEAPLDGYGTSVLTGGAINRLVTGRGSATKPALASKEDAHKEQGLFNGKPTAQRRPAFELAFDGVNCFDTVVMH >SECCE5Rv1G0321830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:410705366:410705578:1 gene:SECCE5Rv1G0321830 transcript:SECCE5Rv1G0321830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWRIINMTIAFQLAVFALIATSSVLVISVPLVFASPDGWSNNKNVVFSGTSLWIGLVFLVAILNSLIS >SECCE6Rv1G0421430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683826814:683829194:-1 gene:SECCE6Rv1G0421430 transcript:SECCE6Rv1G0421430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFRNGEVPRFVSSDINVVQVLSEVTVPSFPEYRTSYIERDLCGSSITSFGTLCQPYMSASNFTSDLRINDGSPKGKLSFGSHVMAISGCDASLPSAHASYTGNPDYLRMVYPKVSEEINWGQGPLPGVFEYPATIDVSDQRNVIVSQQSQDIITIDHSTHLAKQKEWYTSGSTEQFLGSSGSGGSVLKAADASTTPSKYAYFHGQKDRSSSFNVDELCSDNLPYSDTTPTKSRMRWTPELHEKFVDAVDKLGGSEKATPKAVQKVMKVEGLTIYHVKSHLQKYRTVRHQSESSDAVTSVERSSHMDEVCSQNMKHMEASEALRTQIGLQKQLHAQLELQRKMQLQVEEHSKYLEMVIARQGESLRQLGALPRFQHSSTQAVDHKEAYREQTADTDSAEESHPEKE >SECCE7Rv1G0497830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:631102835:631104615:-1 gene:SECCE7Rv1G0497830 transcript:SECCE7Rv1G0497830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIQKKRHGGGGGGGGARLQGGIPFEKSKGQHILRNPALVDSIIAKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELSRRFQGHPLASRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDTLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRICFNRKNKTLGSLFKQKRVLELLEKNYKTMQSLQLAQESEMGEEKMSPDDVAVLANMVEDLSMETSDDKEDDDMEMDDTDAADGRASFKEKIMGILQQGDFAEKRSSKLSQVDFLYLLSLFNKAGIHFS >SECCE2Rv1G0131410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884406626:884410824:1 gene:SECCE2Rv1G0131410 transcript:SECCE2Rv1G0131410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLQAHRMLLSHRRTPPIPRRRFTAVSSLSSAPAKTLAPGPPASSILSIRESLLSGERTAADITSEYLSRLRRTEPSLRSFIHVADAAAAREAEELDRRIASGGQDAVGPLAGVLVGVKDNLCTASMPSTGGSRILDGFQPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSGFQVTTNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVFDTATILSVIAGHDKMDSTSSSHDVPDYRSDLASLSLLESKPLNNVRIGIIQETLGEGVDAGVISSIRAAASHLEQLGSVVEEVSMPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSADDLNELYGDSRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKKSFEEALGKYDILISPAAPSAAYKIGEKTNDPLAMYAGDIMTVNVNMAGLPALVVPCGFVEGGSAGLPVGLQMIGSPFSEGNLLRVGHIFEQTLPDLSFVPPLLADG >SECCE5Rv1G0367810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:824437002:824437508:-1 gene:SECCE5Rv1G0367810 transcript:SECCE5Rv1G0367810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKSSCSLLLLAAVMLSVLAAASASGNCVPGVAFPINLLPHCRDYVLQQTCGVFTPGSKLPEWMTYASFYSPGKPYLAKLYCCQELAEISQQCRCEALRHFIALPVPSQHVDPNTGNVGQTGLMDLPGCPREMQRDFVRLLVAPGQCNLATIHNVRYCPAVDQPQLI >SECCE4Rv1G0222920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:64328477:64334227:-1 gene:SECCE4Rv1G0222920 transcript:SECCE4Rv1G0222920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADAPDLSLHISPPSPTGKAGSGRGHEMAISAESTELCLGFDMATARQPGEVRNGHTDLEQRLHQPSQIPRFKKSSADSQAGSSGGAARSGNGGKKSSRAPRMRWTTALHAHFVQAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKGTASDRSCAAGHGQIRDMGFLSRGGEVRSFDMFSNIISNTRRQPWASPAEQLQPPFAHGQGGGGPPLPSAYLMSIHQYLMKQNEGWRGAQQQDASLNCPGQDRGQRMHVAARHDETAMIGSSTAGFARGWSSSSSLSLSPSPSTPLQMNGRNAGSAAEQACMKQQQAPSRVPSLEMSLGRQGWQNAIEQQQRQGQPSVESSASKELTLLKCL >SECCE2Rv1G0073070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:63816204:63816830:-1 gene:SECCE2Rv1G0073070 transcript:SECCE2Rv1G0073070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEDSKSTRVTDNLSSALTIHQLTEEKNKLDADYDKLVKDVHQLVDMQQDRVVDFSYLQSNLTYQHQCRVELVAGMKAEMAKKDADREKLNQKYELLCNLTSAQATVIQNLKLKNLKEKELLSEARQNLELKNAEFTNFEEKLTQQKLELKFQVADLLKGKEKLDEVKYMLHLKIGELMKAEEKLKEKIKGIQAILQN >SECCE6Rv1G0415800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:644529281:644531308:-1 gene:SECCE6Rv1G0415800 transcript:SECCE6Rv1G0415800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPIPAMKPVSFLLLKLLLSLGLAVAPPFATGGEHQFSFSGFTKANLTLDGTASVTPDGLLVLTNGTALSTGHAFYPTPLRFHNSSDETVQSFSVSFVFAIASIYNDYLSSNGMAVFIAPSKNLSTAMPIQFLGLLNHQNNGNETNHIFAVELDTFRNWEFQDINDNHIGVDINSLQSIQSHDAGFYHDENGTFQSLGLDDQKPMQVWVDYHREKIQINVTMAPLGMAKPTKPTVSANYNLSSVLTDVAYIGFSAALGKINTKHYVLGWSFGMNNPAPAINLTMLPKLPPGPPTKDRRRLPVLQIILPLATAALILSVAAVVSLLVRRHFRYAELHDDWEVEFGPHRFSYKDLFRATDGFDNKNLLGAGGFGRVYRGELPRSKLRIAVKRVSHDSRQGMKEFIAEIVSIGRLQNPNLVHLLGYCRRHGELLLVYEYMPKGSLDKYLYGEVDNYTLSWDQRFWIIRGIASALIYLHEEWEKVVVHRDIKASNVLLDEDLNARLGDFGLARLYDRGVEQETTRIVGTIGYLAPELARTGKGTPLTDVFAFGIFVLEVACGQRPIMQSTQDKQVMLVDWVLEHAQHGSLADAIDVSLEGDYNVEEAYLALKLGLLCSHPFAGARPSMRQVIQYLDGHIEPPELPAHQSFQALALMQNEGFDSYIMSYPSSTSSSGGR >SECCE4Rv1G0260340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:694900345:694917492:1 gene:SECCE4Rv1G0260340 transcript:SECCE4Rv1G0260340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIGEAAGGEPWLRTTNAHAGRQVWEFDPTASAAAYNDVDAARRKFSSRRHELKHSADLLVRSQFAELNPSKLDIPGIKLGLLGEHQDVTEETVLASLKRAIGRHVAIQAHDGHWPGDLAGPMFLLPGLVVALHSIGVLNTVLSSEHQKEIRRYLYNHQNNDGGWGLHIESPSTMFGSVLTYVTLRLLGEGPHDGDGTMEMARNWILDHGGATFTATWGKFWLSVLGVFDWCGNNPLLPELWLLPYCLPFHPGRTWSHCRMVYLPMSYIYGKRFICPVTPVVLDLRNEIYTVPYEEIDWDKARNQCAKEDLYCPHPLGQDILWDTLHKFVEPVLSHWPGSKLRKKALKNVMQHIHYEDENTQYICSGAVGKVLNMLCCWIEDPNSLKFKLHIPRIYDYLWIAEDGMKMQGYNGSQLWDTTFTVEALVATELIEEFGPTLKLAHNYIKNSQVLRDCHGDLSDWYRHISKGAWTFSTADQGWPVSDCTALGLKTSLLLSKISPKIVGESLESERLYDAVNCLLSWMNRNGGFAPYELTRSYAWLEFLNPSEVFEDIMIDRPVVECTSEVLQALTSFKKHYPAHRSEEIDRCIHKAGDFIQSLQRSDGSWYGSWAVCFTHGTYYGVRGLVAAGRTFESSPAIRKACEFLLSKELPSGGWGESYLSCKDKVYTELEGRRPHVVNTSWAMLALIDAGQAERDPTPLHRAAKVLINLQLEDGEFPQQEIIGVFARNCTITYSNYRNIFPIWALGEYRGRVLGAQSPGERGNAS >SECCE4Rv1G0242580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:483383647:483387356:1 gene:SECCE4Rv1G0242580 transcript:SECCE4Rv1G0242580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRSRVRGFLRNRWLVFVAAMWMQSVAGVGYLFGSLSPAIKSSLGYNQRQLASLGVAKDLGDSVGFLAGTLCAVLPLWAALLIGAAQNLVGYGWVWLAVTHRVPVPPLWAMCMLIFVGNNGETYFNTAALVSCVQNFPKNRGPIVGILKGFAGLSGAILTQVYAIIHSPDDAALIFMVAVGPTMVVIALMFIVRPVNGHRQVRPSDGTSFTFVYSVCLVLAAYLMGVMLLEDLVGLSHSLTILCTIILMVLLLVPIVIPVMLSFFSNDDESAYTALLTSPRREEASGSVSSEEQEVILSEVEEQKPKEIDLLPASERQKRIAELQAKLFQAAAVGAVRVQRRKGPRRGEDFTLLQAMIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGFEDSHIFVSMISIWNFLGRISGGFFSEIIVKDYAYPRAIALATAQVFMAIGHFIFAMGWPGTMYIGTLLIGLGYGAHWAIVPAAASELFGVKNFGALYNFLTVANPAGSLVFSGIIASGIYDYEARKQANHNHSTLLGMVSDVAPVLKCEGSICFFISSLIMSGFCIIAAALSLILVRRTKIVYTNLYGKPRT >SECCE4Rv1G0220960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:43370616:43376127:1 gene:SECCE4Rv1G0220960 transcript:SECCE4Rv1G0220960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGCVGTEGGAEPEDAAAAAAVAAEEAAAGAATAPARARELVVGYALTSKKAKSFLQPKLRGLARKKGIQFVSIDQKLPLSDQGPFDVILHKLTGKEWQRRLEEYRETHPEVTVLDPPGAIEHLLNRQSMLQEVSKLDLADCHGKVGVPKQLFVNTDPLSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDTASLTKLEPPLVLQEFVNHGGVLFKVYIVGDAIRVVRRFSLPNVDEGDLSNAGVFRFPRVSCAAATAEDADLDPHVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLDQQKEYKRRPGYTSGEG >SECCE1Rv1G0038300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:537403664:537409698:1 gene:SECCE1Rv1G0038300 transcript:SECCE1Rv1G0038300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGAARQDEARKERQAPPPVPFPRENVERDLKLPSRVVSLFFGGDISTTAQSFEKWVSLVRLRSGTFRPSGFPRRSSKIEVMPSGSFSLFGSADLREEVVKAEAASREKNPTLDQPPEISLWERLGNASALDIESSGFSWNMLSSLHHTEHSSSSEHSEDDMTKALEMTVNSGGVVFFALFSAGNNGLPKEEAAVIKFAASKMATQAELLGYEFARLLGVQTPQARIVHNSSLEWQGIKHAAEKARAIAVSNNDEIGEMTCSELLEALELSRCLLLMSYFHGSPLLESPKAFSSRQAACVTSSSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRSSLPSMDRFEESKGTLENSNQLFSNILQKEKQFHSANGRLDSPEVDLMSGKSDALKSVQENAESASGTFHIVAIDTGVPRRPPAGRRVKDHERYPKVVELILNNSDHSSNILYEISGGKLGIPGPDEAITSTDSCCSLSDEDNAAAIHEFRVAFRAALRDLEGFHLFLLQLYQKLDGVLRVFSSIITKSSEESDHNDIAISDFPSPGSSYSTPCPPNKHVNNEPHSDSGTQKNATKTSSAGSRGSSDSPMSRDSWSGKHLKGSADAPRSRMTMRLRDFYKTPKVDVDPELLKEIEQWNEAFKTDVIRFCQENNFHSGFFDGTENNMAADAYELKVRLEHIIERTSLVSDAANTERPSLVVNNLFIGGALAARSKYTLQHLGITHILCLCSNEIGQSDTQFPDLFQYKNFSISDDDDANISDLFEEASDFIDQVDRVGGKVLIHCFEGKSRSATVVLAYLMLRKGFTLAKAWNLLKKVHRRAQPNDGFAKALLALDRKLHGKASMDWQQKRPEMKVCPICGKNVGLSTSSLKLHLQKAHKRLSQGSVDSAMTMEIQKSIESLRISRGGSLSPTQKLAKAFADELTF >SECCE3Rv1G0192630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:761356356:761357681:-1 gene:SECCE3Rv1G0192630 transcript:SECCE3Rv1G0192630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVEVLSSELVVPAGKTPGGSIWLSNLDLAGRRGYSPMVYFFRPNGDPGFFAADAMKDSLARALVTFYPLAGRLGLDDSGRIQVDCTGEGVVFLTARSEHYALEELMNEFVPCGEMRDLLVPPTPAPNPPCALLFVQITRLRCGGVVLGQAMHHSIVDARGAVHFFETWASISRGGGAPAVPPCFDHTLLAARPAQSRAVLYDHPEYKPEPEPVDPVSASTYASAILTMTKGQVGALKARCLGSSTFRAVVALVWQCVCRARTLPPAAETWLYSMVDMRARLDPPLPAGYFGNAVIRTSVSATVGEVVSSPLAHAARLVRTATSQSDDHARSLVDYLEGVNTMNLPRRGISRAHLRAISWMGMSLSDADFRWGAPAFVGPALMYYGGFVYVMNAPGKDGALVLVLSLEPESMPAFSKVFADELTRLDTSAEKPCRGLSKM >SECCE5Rv1G0323790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:440224564:440228257:-1 gene:SECCE5Rv1G0323790 transcript:SECCE5Rv1G0323790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHANCDAHEHVINVPHGETASTSTSHLDLHSDTDEPHQEDRPSTSTRTPSPQSSASTSPTAYSSRNLSFPRRDSFYGRGTSLWNSGLWISFEFVMYVAQITAAIVILILSRHELPHAPLVAWIIGYTVGCTASLPLVYWRFVHRNRPSEEEPEQPPTTYPTLTSSSSEGRNQRTSGTVLHLGCITIACPRPSILAYHSKTAVDCFFAIWFVVGNVWIFGGRGTSSDAHDAPNMYRLCLAFLALSCVGYAIPFIMCAAICCCFPCLISVLRLQEDLGQSRGATQELIDALPTYKFKPKRSKNWVLDHASSSENLSEGGILGPGTKKERVVSAEDAVCCICLTKYGDDDELRELPCNHLFHVQCVDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGRGVASSRFSV >SECCE3Rv1G0144680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5137814:5139949:1 gene:SECCE3Rv1G0144680 transcript:SECCE3Rv1G0144680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTRSHDTSPPALLLPLCAAPLFTSRQPTNQRFTPSRVVTFSHSQQQHQHHHHRSKRAASVSTMPVAASSTRFLLLGLLLLLSSPAVATVRARVTALIVFGDSTVDAGNNNAVPTAVRSNFPPYGRDFPGGRATGRFCNGRVATDFYSEALGLRPFVPAYLDPAYGIRDFAVGVCFASAGSGLDVATARVFSVIPLSKQVDYFRDYKARLAEHLGAAEARAVVADAVFAISIGTNDFIENYFALTTARFLEFTVGDYTDFLIGLARSFLVELYGLGARKIGFTGLGAMGCLPIERGRRVMLCIEEYNAAARSFNAKLRAMVDELTGSLPGAQFRVAEVYDFFTGILQDPLRYGFVKTSRGCCGTGLYEMGYTCSEWDALTCADADRYVFWDAVHPTERANQIIAAYLMNTTFSHFV >SECCE3Rv1G0179930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:555872536:555873504:-1 gene:SECCE3Rv1G0179930 transcript:SECCE3Rv1G0179930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYIELLLILAAVAPRPSSARHVVTFIPSRDVSPSSLAWDPTAQHFVVAGGGDAVLSVSDAGVTESIASPGASAIAVDDRRRRLIAASAGSVSAFDLRTPRPHSLLFSTTLPDPAAPGGVAVDPQSGVAFLTLGAQIYSLSPDGDLTALPASSVYGSEPLFSLTAHVSRGFLLVGRPSTGHLLRVDMEDGSARAVSGAFTTPTPVAVAVRSDGTVAVGGAATLRLVGSNDGWVSCAEHDVGEPDGAVAAVAVRERKRVYALVEAEVEGKREWRIEEVEWKKEGEGEMVALLILVGAALAIFMFWRFQMRQLAGNMNKKIR >SECCE5Rv1G0327430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:492306255:492308724:1 gene:SECCE5Rv1G0327430 transcript:SECCE5Rv1G0327430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILAFSILFLSCIHGASSDSGFFTAMYSLGDSYIDAGNFVIMAAALVPPFPSWHDRLPYGMTFFGHPTGRLSDGRNTIDFIAQEFGLPLLGPSLLNNSDASKGVNFAVGGAPAIDTDYFEKNNIVPFKLLNNSLSVQLGWFEELRPAICNKTEAAGCVDCFSKALFFVGEFGVNDYNFLWFAGKSEDEVRSLVPTVVQNIATAVEGLIKGGALYVVVPGNPPLGCSPTMLTSRAGLNTTEYDSMGCLTDVNRVATYHNSRLRVAVVSLRGKYPRATIIFADFYSPIIRILRNPTHFGVAEADALRACCGAGAPYNWNGSAICGMPGATACNNPSAFVNWDGVHYTEATNGYIANWWLHGPFADPPIMSVVRY >SECCE2Rv1G0086780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:215931073:215934394:-1 gene:SECCE2Rv1G0086780 transcript:SECCE2Rv1G0086780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREPSVSDEDDDLETLVPQNHTKPPSPSSRSRASFSISGFRPALPSSATSLGRVLWSRRYLLICVCLPVLFIVLFFSLGGASSLPASIRLPSASPAADLASSRMREAELHALYLLRSQRSGLLSLFNRTAAPEPTNSSAAAPVSLSDLQAALLSQIKINREIQEALLSTHHSGVGNATEDALDLDLPGPGCRRRELPYNRRTIEWNPKKNRYLFAICLSGQMSNHLICLEKHMFFAALLGRILVVPSQKVDYQYDRVLDINHINDCIGRKVVITYEEFVDKRKKVSIDQFICYVASPPCYMDEDHIKKLKAVGISMGKIEAAWPEDGKLKVPKKRYVADITAKFTTDAEVLAVGELFYADAEEEWLMQPGGPLAHKCKTLIQPSRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAGCILRIIEKANAPVIYLSTDAAGSETNLLQSLIVFNDRQVPLVRRPEHEGSEKWDALLYRKHMGGDEQVDAMLDKTICALSNVFIGSSGSTFTEDIFRLRRGWGSMSYCDEYLCQGELPNYIAELE >SECCE3Rv1G0205680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900903797:900910117:1 gene:SECCE3Rv1G0205680 transcript:SECCE3Rv1G0205680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone lysine demethylase, Stress-responsive H3K27me3(histon H3 lysine 27 trimethylation) demethylatio [Source: Projected from Oryza sativa (Os01g0907400)] MPSSPPPPGESGDAAPPWLRALPLAPEFHPTAAEFADPVAYLLRIEPAAAPFGICKVVPPCARPPRKATLANVARSLAANDKAHDDADAGAPPSFPVRHQYLGLCPRRPRPALQTVWLSARRYTLPQFEARAAAARGPLLARLGVPAARHLQGDRLSPLDHEALFWRAASADRPVTVDYASDMPGSGFPPCAARPGQCQQPAHVGETAWNMRAAARGPGSLLRFMRDEVPGVTTPMLYVGMLFSWFAWHVEDHDLHSLNYMHLGAAKTWYGVPRDAALAFEDVVRVHGYGGEVNSLEAFATLGQKTTLLSPELLVGSGVPCCRLVQNAGEFVVTFPGSYHCGFSHGFNCGEASNIATPEWLRVAKEAAVRRASINQPPMLSHYQLLYELALAMCIRDPSIGAMEPRSSRLKEKKKGEGGQLVKKLFVQNVIQDNELLNCLLNDGSSCIILPLNAHDGPVLSALRSRYQLIPKSKLSDGLCSNGENLEALARCTHGSHNAAGDKADVISAAGLLDQGLLSCVCCGILSFSCVAVIKPRECASKYLMSSDYNSIINDQLVGSGGRYLANATGSEGTNGGILRPGFEKHGNEIPPDAGPVTRNSAPDLLASAYGNQPDTDEDNRNKKMKVSHDSSELDGTKISLSSIKCEQRPSSQSSQCIGGSGISNGPRGVRTRNKYQLKMALSQGFQLKNNCWTMEQKVQPEPSGPKETVKEPLDASGADNDARCNSTAIPVGDPRISTTTIDNLNKPIVKIDKDSSRMHVFCLEHAVEVEKQLQAIGGAHVILLCRPEYLKIEAEARSLAAEIEVENDWKDIHFREANMEDRKMIEELLQDEESIPTSSDWAVKLGTNLYYSANLAKSPLHNKQIPYNRVIYRAFGRSSPDNSPVKLENCEGSQDRQKKIVFAGRWCGKAWMSNQVHPFLAQRTESSELEETDKSSGVEASKRKSSTVTDVPKSSKKRENMAVEETTDTKRPRLAEGHSSKPLKGIAEVSHPSPAAVVPRVSSRIAGRANKAKIEMTEEDDDPACRPKPKATSHSRTRPPKKIEVEAKKQVRMSKGDKMMPPTAPKDDEEHPSAAKGGPSVGPATKLELSQRKHRTRTKPMKQLKKATGEERTPRDHPMHVKGYACSIEGCSMSFDTRNELSLHELDICPVEGCGKKFFTHKFLLQHHKIHTETTKQLKKATGEERPPVPRDHPMRVEGYTCTMEGCSMSFDTKNELSLHERDICPVEGCGKKFFTHKYLLQHRKVHTDDRPLKCPWEGCDVAFKWAWARTEHLRVHTGDRPYVCREPGCAETFRFVSDFSRHKRETGHSTKQTKTKT >SECCE2Rv1G0110480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:690167352:690167639:-1 gene:SECCE2Rv1G0110480 transcript:SECCE2Rv1G0110480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFAAVPTEFKLRNNTGCSWKVTVKLKNGKVTLDQGWATYAAVHQIKIGYMVTFKLLTPDTLKVIIFDNDGIEVVNKCEKHNEVFAAKD >SECCE7Rv1G0525580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:891581260:891583294:1 gene:SECCE7Rv1G0525580 transcript:SECCE7Rv1G0525580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAPPALPFPVEDDDDFYWDAEAEAELQAIEAAHAAESAKRRRLPDWSKTPAAPAPVRPRPNPVPAAASASSPSWVLSPPTCQGSVKARYQQVAFSGKIVYCRTATEVEKATREIVRKIESMKASGPVSLGFDLEWKPFPRRGEPQCKVALMQLCMDKTHCYLMHIIHSGVPPILKSLLEDSSSVKVGVCIDNDARKMFNDYEVRVQPLMDLSTVANVKLAGPYKRWSLAALTEMITCKELPKPGNIRMGNWESFVLSKKQLEYAATDAYISWYLYEVLRSLPDYTPDIETEVV >SECCE2Rv1G0141550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935866373:935869040:1 gene:SECCE2Rv1G0141550 transcript:SECCE2Rv1G0141550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRAMTVRRPSFSSFLFLCSHVLLAAFHTTSDAAKSSHPVLQVNCSTSGNYTSASTYAANLNQFLAALPEKAVSKNGGFFNGTVGEGTDTVYGLAMCSADYSRSDCGDCLAATASSDPNGLPNLCPGSTTVLAWFEPCLVRYSDTNFFGTAQIDSIYTSNGPRASTAALQQYTADVQRNLKEATGGAVASPQRFAASSTDPYTLVQCTWDLSPDRCKQCLDVLSANASGKWSSMTEYGQRRSYSCAVRYSNTSFMVVPLGGAAGAPPPQPVDQDTTSATQSSGATGKGSLTIGVVGSVLGVILFACLAGLIWYVRYGRNQPNTIGRAHKFPYQLLAAAARDFVVDRKLGEGAFGAVYKGTLMLQGKEVGVAIKKNTHTTSDQEKAAFHKEVEIMSPLSHRNIIRLVGWCDERNNLLLVYELVEDRNLQARLYGHGACVDAELSGARAPGSALDLDWLKRYNILHGIASGLEYLHNNCAKAVMHRDIKPGNVMLDRDSNAKLCDFGLVTQLTHAITSRSTNNVIGTQGYMDPAYQSTGQVTKGSDVYSFGVLLLEVVCGVAPNLIGNPPKNSLIEKVRECWERNAILDAADQRLRGNFDEEIKGVLLIGLRCVETSRGDRPSIRIVLAGLVSIAAKSTSHNRCTSAVVGAEV >SECCE5Rv1G0299240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:15596895:15597395:1 gene:SECCE5Rv1G0299240 transcript:SECCE5Rv1G0299240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGEKDRFTVAAEEEDEALVTATTSPVRREEEAPLPPNPKVSSQEKEAVNMPERHGRQKRFPKAGSRGGPRPPAKNMMSEEEVRSILSWKRSAPFDRRKNKDAGFFNKLRDENFRYQREIRDEFEEKGYVEIPDNFRENNKRINHEAYRRAYLKVYGTEPPVKL >SECCE1Rv1G0048250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:633265629:633270327:1 gene:SECCE1Rv1G0048250 transcript:SECCE1Rv1G0048250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAAGNFAALGPAGGGRRRSGSFGSRRMPRVMTVPGTLSELDDEDDERAATSSVASDVPSSAICERLIVVANQLPVVARRRPDGRGWVFSWDDDSLLLRLRDGVPDEMEVLFIGTLRADVPAAEQDEVSQTLIDGFRCAPVFLPADLYDRFYQNFCKGYLWPLFHYMLPFAAAQSPNDNGASAGGRFERASWEAYVLANKHFFEKIVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRSLPVREEILRTMLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYYGRTVGIKIMPVGIHMGQLQSVLRLPEMQQKVAELRQQFEGKTVLLGVDDTDIFKGINLKLLAFEDMLKTHPKWRGRAVLVQIANPARGKGKDIESIRAEIQDSCERINREFGQSGYSPIVLIDRNVPSVEKLAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGIPSSESAPEVSGPRKSMLVVSEFIGCSPSLSGAIRINPWNVESTAESLNEAISMSERDKELRHEKHYRYVSTHDVAYWSRSFIQDLERACKDHFRKPCWGIGLGFGFRVVALDPNFSKLSFDSIIMSYGRSKSRAIFLDYDGTLVPQASLYQKPSEELVTIINTLCSDKNNIVFIVSGRSKNSLGSMFSSCPILGIAAEHGYFLRWTRDEEWQTSTQSPDIGWMQMAEPVMNLYTEATDGSYIETKETALVWHHRDADQGFASSQAKEMLDHLESVLANEAVSVKSGQFIVEVKPQGVSKGLIAEKILASMKEKGQQADFVLCIGDDRSDEDMFENIADAMKKGIVAPKTPLFACTVGQKPSKAKFYLDDTYEVVSMLSALAEVSEPDPTAGLTDDLATSVSSLDIGDEQIQFSNTRIEGS >SECCE7Rv1G0477520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:220973103:220974443:1 gene:SECCE7Rv1G0477520 transcript:SECCE7Rv1G0477520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSFIALLFLFLAAAEAASHGGREGKAGGAVLLPLRLQVVAPPPRAPANRLRFRHNVSLTVSVAVGTPPQNVTMVLDTGSELSWLLCNGSSVSPAAPFNASASLTYGPVACSSPACVWRGRDLPVRPFCDTPPSASCRVSISYADASSADGLLVADTFILGAQAVTALFGCITSYSSSTGTNGNATDPSEVPTGLLGMNRGSLSFVTQTGTLRFAYCIAPGQGPGILLLGGDGGAAPPLNYTPLIEISQPLPYFDRVAYSVQLEGIRVGRALLAIPKSVLTPDHTGAGQTMVDSGTQFTFLLADAYAALKGEFLNQTRSLLAPLGEPGFVFQGAFDACFRGPEERVSAASRLLPEVGLVLRGAEVAVAGEKLLYSVPGERRGEEGEEAVWCLTFGNSDMAGMSAYVIGHHHQQDVWVEYDLQNGRVGFAPARCELATQRLGAQV >SECCE2Rv1G0122300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:815105704:815107233:1 gene:SECCE2Rv1G0122300 transcript:SECCE2Rv1G0122300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKSPAGETMTLEVEGCAAVDTVKAKIHDKEGILPDEQRLIFAGKQLDDGRTLADYSIHKESTLHLALRLVGGGKGGVYPSRMEPNLRVLALKYRQHRLVCRKCYARLPLRSTNCRKKKCGHSNDIRPKEKLRPH >SECCEUnv1G0531270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16629263:16634215:-1 gene:SECCEUnv1G0531270 transcript:SECCEUnv1G0531270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVVRPLVSMLMNKASSYLLEQYKVMDGMEEQHETLRRKLPAILDIIEDAEEKGAYRPGVSAWLEALKKVAYEANDVFDEFKYEALRRDAKQKGHYRKLGFDIVSLFPAHNPIVFRYRMGKKLCRIVHFIEVLVAEMNAFGFKHMQQPPPSKLWRNTDSIIIDSERDIVSRSRDEEKKKIMSILVDQAGDRDLLVLPIVGMGGLGKTTFAQLVYNEPEIKEYFQLQRWCCVSDDFDIAKIANNICQTNEKDREKALQNLQKEVSGKRYLIVLDDVWNEVADKWEKLKTCLKHGAKGSAILTTTRKAQVAQIMKMCIDDSHNLEKLDKVFLKEIFENRAFCLQKPNAVELNDVVEMILNRCGGSPLAAKAFGSMLSNKASMKEWMDILTRSNICNEDTGIFAILKLSYDDLALHLKQCFAFCAVFPKDYEINVETLIQLWMAHDFIPLKKGDNLEKVGREIFDELTWRSFFQDVKRGLGRREDLRFRTVCSIHDLMHDIALSIMEKDCLTIVDRAPNQKELFSAGPTRHLFLPYYTIETLLDDYLKKHSAALQTLLYSNTEGSAQHLSKYNHLRALQLPRSRKLPLQPRHLEHLRYLDLSNNWMIKELPKEISLLYNLQTLNLSNCYKLGQLPKDMKYLTNLHHLYTNGCRSLRFMPPDLGQLTSLETLTYFVVGATPSCSTIRELQDLNLGGELQLSHLQYVTEEHAKACCLGNKDKLTHLSLKWSDDSSDELEQQRNVLDALKPHAVLEYLRIHSYRGTAFPTWVTSLTFLQHLTELHLDGCTLCEEFPQFGQFKALEFLGLIRLNKLQSLCGYDPSAAFPALKDLRLENLEIFERWVAVEQEELTFPLLEKVQIKNCPKLKTLPEAPKLRVIELKEDMPQLSFSLFRSRYMSSLSELVLSVNDTEVTPTPELDWEPEISLSELMLYGCNFLFPSSLLHPTVGVWKLFRQLMRLRIDSCDMLIYWPEEEFRSLVSLKNFTIYDCSKLKGYRTRGRDQLLPNLKELVIANCGSLTELFVLPPSLTSILIFDCNSLESILGQDHRELENLPHFDTASSPECSNFLVSTSLPEQSPSPRINPLPCLESIRIYGCQKLHFMPAHLDTLLSLYIIDCNGLESPDCLGDLPLLETLRIESCKLLTALPGGHGNYSSLRKLIIRFCPSINMKPLYRRLQQRLDSLEFKDLSDACSCDPKEGPKLWEPKSWKYAISRRRA >SECCE5Rv1G0358980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:758607862:758610822:1 gene:SECCE5Rv1G0358980 transcript:SECCE5Rv1G0358980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcriptioon factor, High-temperature stress tolerance, Tolerance to environmental stresse [Source: Projected from Oryza sativa (Os03g0795900)] MSHRMMNPVKVEGRPSPAPAAAAGGGGAPRPMDGLGDAGPTPFLAKTYDMVDDPTTDAVVSWSATNNSFVVWDPHLFGTVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLRNIKRRKPTHGSQNQQSLGSYLEVGNFGHDVEIDHLKRDRQLLMAEVVKLRQEQQNTRSDLQAMEKRLQGTEQKQQQMMSFLARVMQNPMFIRQLISQSEMRKELEDVISNKRRRRIDQGPEVVDSMGNGSTLEQGSHIIFEQQEPVDSLVNGVISDLESSSVDTKGAEVQQSVASSRSEQLRGRPSGELNDDFWEDLLHEGGLGEETSNLVVPDDMNLLAQKMD >SECCE5Rv1G0374860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:865115298:865116452:-1 gene:SECCE5Rv1G0374860 transcript:SECCE5Rv1G0374860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMQIRANNAISHLMALAVVVVMLLQGRSAEAQPSPGYYPSSKVSSTPFSQWYSTLWGPQHQSLSPDQSALTLWMDRSSGSGFKSKRAYRNGYFGVSMKVQPGYTAGVNTAFYLSNNEVYPGYHDEIDVELLGTVPGEPYTLQTNVYVRGTGDAHPIVGREMRFHLWFDPAAAFHHYAVLWNPDEIVFLVDDVPVRRYQKKVEATFPEREMWAYGSVWDASDWATDGGRYRSDYRYQPFVSGFKDFKVAGCEVGAPASCRPVPAGPGGGLSAQQSAAMSWAQQRAMVYYYCQDGSKDRSNYPEC >SECCE3Rv1G0185130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:671203897:671209755:-1 gene:SECCE3Rv1G0185130 transcript:SECCE3Rv1G0185130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVALSNGSGGDSKAEFAEIYSRLKEEMLEDPAFEFTDESLQWIDRMLDYNVLGGKCNRGLSVIDSYKTLKGVDFLSKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFRGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNLNVHRRIVQYKTAYYSFYLPVACALLLSGENLDNFGDVKNILLEMGTYFQVQDDYLDCFGDPESIGKIGTDIEDYKCSWLVVQALEQVNESQKRILLENYGKSDPESVAKVKDLYKELDLETAFHKYERESYNKLIADIEAQPSKAVQKVLMSFLEKIYKRQK >SECCEUnv1G0528150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3348199:3351560:1 gene:SECCEUnv1G0528150 transcript:SECCEUnv1G0528150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRAIAPFSLPVARNGGRSVRNRGLFRPSPVIYPGPQPVSHELSDDFDFQQSISNVQALLHQHPKSNEGMLSTVDHLKRLCIDHYFQDEIDNIMDSSVDLLHSDDLLEATLSLRMMREVGYYVSADDVLQKFTNDNGNFNLNHSKDLRGLLSLHDMSHLNMGEASLYKAKEFSSKHLKFAIKYLEPNHARYVMKSLDHPYHVSLRQYKARHHLSYLQNLPSRHTAIEKLALAEFQMKKLQHQSEMQEVKRWWVDLGLSQEIPAARDQVLKWYMWSMTILEGFSFSRYRVEATKVISMVYIVDDIFDLVATQEELSLFNEAIKMWDLAAAESLPSYMISCYKVLYTITNDIADMVRKEHGFNPTNHLKQAWATLFDGFMIEGKWLSTNQVPSSEDYLRNGVITSGAPLLFMHLLFMLGHDLTEDNNDHILRVISCPAKIVRLWDDMGSAKDELQEGLDGSYKDLYQRENPDADVEKHMLDMIAGEWEDLNREGFSQTKSTLPPSFIGASLNFARMVSIMYGYDDEQRLPALEDYTRMFLF >SECCEUnv1G0534430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:41431182:41432493:1 gene:SECCEUnv1G0534430 transcript:SECCEUnv1G0534430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQEESGEDIVIVGAGLAGLAAALGLHRKGVRSVVLESSPALRASGFAFATWPNAFRALDALGVGDQIRKLHLHIEGLRVMSASTGEIAREVDFREEFRCVRRDVLLQVLAAELPTGTIRYSSRIVSIDEHGDGAKVLHLADGSTLRAKVLVGCDGINSVVARWLGLAKPSHSGRSATRGLARYPDGHGFAPKFLQLFGNGFRFGFVPCNDNDVYWFYTWSPSQDDGGVHESGAKMKQYVLTKLRSSKVPAEALELVERSELSDDAPAAPLRFRRPLSLLFAGISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGEAILGGGGAGGTGGTEKERIESGLRRYVGQRRWRSIELIGTAYVVGFLQQSGNRIISFLREKVLAGVLAGRLIKMSHYNCGTLSS >SECCE5Rv1G0338720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:602526318:602529077:1 gene:SECCE5Rv1G0338720 transcript:SECCE5Rv1G0338720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHGGGGGAGDIVEAGGERVVPHSGPLVGKRSATRKSARFADSVSAPLRAGGRGNHHQAHDDDDDYVEVTIDVRDDSVAVHSVKPAAGGEEDPDVTLLARALETRSSSYAGGHGGGVLRNASTRIKQVSQELRRIASVKRRPSRIDRSKSAAAHALKGLKFISRPDGWPAVEKRFGELAENGGLLHRSMFGKCIGMKEGAFAGELFDALARRRDISGDSISKAELLEFWDQISDTSFDSRLQTFFDMVDKDADGRITEAEVGEIIRLSAKANDLQNIIARVGEYARLIMEELDPENLGYIELCNLETLLLQAPAPQPTRGGSGTTSSRNLSQMLSQHLKPTTEPNPLRRWYRRASYFLEDNWRRCWVIILWFSICAGLFAWKFLQYRRRAVFEVMGYCVCVAKGGAETLKFNMALVLLPVCRNTITWLRNRTAAGRVVPFDDNLNFHKVIAAGITVGAGMHIISHLACDFPRLLHATEEDYEPMKPFFGDVKPPNYWWFIKGTEGWTGLVMLALMAVAFTLATPWFRRGKVSLPGRLSRLTGFNAFWYTHHLFIIVYALLIVHGHFLYLTKKWQKKSTWMYLAAPMVMYACERLARALRSSVRPVKILKVAVYPGKVLSLRFSKPQGFRCKSGQYIFVNCAAVSPFQWHPFSITSAPHDDYISVHIRTLGDWTQELKSVFEKVCRPPTDGKSGLLRAEYAGDGGAAMPSPSSFPTVLIDGPYGAPAQDYKQYDVVLLVGLGIGATPMISIIKDIINNMKRLDGDIESGSPSDRSVSAASFRTRRAYFYWVTREEGSFDWFRGVMDEVAESDKKGIIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRKVFKDIAIAHVGQRVGVFYCGAPVLIKELRQLAQDFSRKTSTKFEFHKENF >SECCE3Rv1G0179780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:550820272:550821039:-1 gene:SECCE3Rv1G0179780 transcript:SECCE3Rv1G0179780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRHQKLKTRSRRKEWSDLVATPRNMQSHRPAPEDHAREESRSARAQPTTRARAAATRTTATRARRSAFMSVPSDAGQETPPSATEGGRARLQDEQLGQLRELFLRFDLDGDGSLTKLEIAALLRSLGLRPAAGDEIHTLIASMDADGNGTVEFDELTSSLSQLLLGPGRSSVAVDHEQLAEAFRAFDRDGNGYISAAELARSMAQMGHPICYAELTDMMREADTDGDGSISFEEFTAIMAKSAVEFLGLAAL >SECCE4Rv1G0282740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:830091089:830092797:1 gene:SECCE4Rv1G0282740 transcript:SECCE4Rv1G0282740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMEIPEEVTVKVSAKMITVTGPRGTLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRKTMAAIRTAISHVQNLITGVTKGFRYKMRFVYAHFPINASITAANRGIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGAIKEE >SECCE1Rv1G0006590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:34918316:34919233:-1 gene:SECCE1Rv1G0006590 transcript:SECCE1Rv1G0006590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHQDVVHDIAMDYYGKRIATASSDNTIKIIGVSGTSHQQLATLSGHEGPVWQIAWAHPKYGSMLASCSYDGRVIIWKEGSKPDEWAQAHTFNEHKSSVNSIAWAPHELGLCLACGSSDGNISVFMARSDGGWETTRIDQAHPVGVTSVSWAPSMAPGALISPGPSGQFEYVQKLASGGCDNTVKVWKLTNGSWRMDCFPALQMHRDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTAPKEGEQWEGMVLNDFRTPVWRLSWSLTGNILAVSDGNNNVTLWKEAVDGEWQQVTTVEP >SECCE2Rv1G0140400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931389722:931396961:-1 gene:SECCE2Rv1G0140400 transcript:SECCE2Rv1G0140400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKRGSKAKGSPAPAAAAAASAAAAGGEFPGCLRLMPPSTVAISVHAKPGSKMATITEIGEEAVGVQIDAPARDGEANAALVDFISSVLGVKKREVSIGSGSKSREKVVLVQDATLKGVFEALKKACGP >SECCE7Rv1G0474910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:178788000:178790027:1 gene:SECCE7Rv1G0474910 transcript:SECCE7Rv1G0474910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNRGRSRSPVEVKDDHSKGSEGYGRKENVRDLQNDSHARPGRGHEFVRHSDRHSYGASRESRRHDDYRRYHDKRADDERSHPRTSRPDRESRADTYYDPSRRDGTSDRSHGDWRNADSRYGGKSVKREQRSKNQEKQESPCEYHRHDVTEYEKDADLRKEAHSSRRYPEEGESKNKEKFKQDEALKKRSGKEIEKSSCAAEPELETRQKRRSLFSSVGPDVENEQHMEMDTSGGIKEETMNDLNAAKLAAMKAAELVNKNIVGFGVGTGRLSTDQKKKLLWGNKKNNPPETSTHWDSNLFSDRERQEKFNKLMGVKSNAPASVQESKASNKEEGPAEVKTQEELDTDLEKLYVAGLRRRDGRTVGLGL >SECCE4Rv1G0247510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:560105332:560111984:-1 gene:SECCE4Rv1G0247510 transcript:SECCE4Rv1G0247510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKERRLAAKAASGRRVKLDLFLDPSPGEASMKEGVGEENRGQQTGVPTSPSSSDKKENPLALLGQYSDDEEEDEVATDQPIGETKGSPTDASAEVIHDQGDVSGDNGDADSELPVSVSVQQEVSQADDVKNITENVAQEFTVAPEPTLENECVTATEAVPDSSGMQIVGDIGGNWKAIMHEQSSQCYYWNTVTGETSWEIPNGLASVVAADGVTSACVPTHMGYSVEAQAHVLPHSNVEAYPSDVSVGNGTATYTAMGTYTHDPYAYTGAVASHETVDIDPLQLAKYGEDLLQRLKLLERPHVAIDSLELIKREIEIRIADCNALSSYGSSLLPLWLHAEVHLKQLELSVSKFEASYTTKHGYLETVDAGHKAPNEAEVMAPFEGEHLKVDVSTLGIGTGDENIKFEEPCTTSSVQNSQGVAAAILRAESDSDEDMDVEMEVDEEGVGEQGGSTSMPNKEHPSSEQVRSPALSSLEDSVPPPQDNDIPPPPPPPEEEWIPPPPPENEPAPPPPEPEEPAVSFVHADSLPQPYGDQANLGYTLPGMEYYPAAGTDGTNANYYMQASDSHILQSQQHSYYAPLSASGVSIPVETTSNPPVPGSYYSYPSVTMAATEVAAESSRYYASSTSAISSGELDNKTSSASLVANSNVNTVESDKVISKEPTVASLSQSVGAVSASGPTVHGSSTQASTSTTNQTKVPRTKKRPVAVASSLRSNKKVSSLVDKWKAAKEELRDEEEEEPEDALEYLERKRRKEIDGWRKQQIASGEAKENANFVPLGGDWRDRVKRKRAEAKKEAKTESIRAAAEQHKGEPDLSELSKGLPSGWQAYIDESTKQVYYGNNLTSETTWDRPSK >SECCE1Rv1G0033510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:468305212:468305610:1 gene:SECCE1Rv1G0033510 transcript:SECCE1Rv1G0033510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQERSQLDRKAREGETVVPGGTGGKSLEAQENLAEGRSRGGQTRREQMGEEGYSEMGRKGGLSTMDESGGERAAREGIDIDESKFKTKS >SECCEUnv1G0529390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7485612:7488847:1 gene:SECCEUnv1G0529390 transcript:SECCEUnv1G0529390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C4 [Source:Projected from Arabidopsis thaliana (AT5G20500) UniProtKB/Swiss-Prot;Acc:Q8LFQ6] MASRTVRRFGVAAAFIALAALGSASASKTAFVKSTVKAHDVVIFSKSYCPYCKRAKAVFKELELKKDPYVVELDQREDGGEIQDALSDMVGRRTVPQVFIRGKHLGGSDDTVDAYESGELAKLLNISVKDDL >SECCE7Rv1G0473750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:160503782:160504213:-1 gene:SECCE7Rv1G0473750 transcript:SECCE7Rv1G0473750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSKQTALFLLAALLMCLLCTRGQAARPTPGSSHHKSQGTGSAISHEKITAGTGMEMEQEDQEVMRGCEGGEGAEECLMRRTLVAHTDYIYTQGKHN >SECCE4Rv1G0221270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:47199172:47202080:-1 gene:SECCE4Rv1G0221270 transcript:SECCE4Rv1G0221270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAATFSSFSALMRRPPPPTRRLLVAAAARAHSTTGASRARGGLPRFHSPSLPSSKGEVVRIQGDEFWHMTRVLRLGINDRVELFDGAGGLVEGSIQKVDKNGSDVELLENARLIAPQGIQWHVFAAFGTLKGGRADWLIEKCTELGASSVTPLLTERCHTIAENRVDRLQRLVLAAVKQCQRVHDMSLKPPIQIHDLPLTVSQSKLAFLASAEAPPVLSVLPKCSGEEENGLLIIGPEGDFTEEEVKVLEAAGAVPVGLGPCRLRVETATISLLSALMLWSDAAQHQEAQRQRG >SECCE7Rv1G0492430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:551180832:551184268:1 gene:SECCE7Rv1G0492430 transcript:SECCE7Rv1G0492430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATAAAPAVFAPALVSPLSRRAFFPLPRRAGQPAIPRSLRLLASASPRRCGVAVNAAAAGAADFSDDESSYEILGITPLDSFDNMKLAYKRKRKEAEETGDEDFLAKLEKAYDTVMMQQLQYRKKGVTYGSVQVSKDIKYADNQPIVPWGPRPSKSAVKDVRINMAISAAIVVCIAIIGNADWKPLQFLCFAFFYRILQKLRVTEPPITPIYNEYGEVEGRGVRMAKRVFRALGLIFGCVFAASLGYTIALNMVELSWQQTPRIVYYYQELIVTAAASVLLCITASYYR >SECCE4Rv1G0230770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:173352337:173357349:1 gene:SECCE4Rv1G0230770 transcript:SECCE4Rv1G0230770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYCAPRDRRYVLEVLLNGLRRLEYRGYDSSGIALDADLPPPGSAAAYAGAPPLVFRQEGKIDNLVRSVYSEVDEKGVNLDATFSVHAGIAHTRWATHGVPAPKNSHPQSSGAGDEFLVVHNGIITNYEILKETLVRHGFTFESDTDTEVIPKLAKFVFDQACDGEGDMTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNEVSDQNSAKSFQNVKSLATNGSPREFFFSSDLCAIVEHTKNYLAIEDNEIIHIKDGSVSILKFDKEKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRLKDSGVVLGGLKEHLKTLRRSRRVIFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALEYALEKGALCVGVTNTVGSTLSRKTHCGIHINAGCEIGVASTKAYTSQIVVMAMTALAIGSDQLSTQPRRESIITGLSSLPSHVSEVLKLDAEMKELASSLIESESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDSCFSKQKSVIQQLLSRKGRLIIMCSEGDISAVGPSASCRVIQVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >SECCE5Rv1G0352070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:706481507:706483024:1 gene:SECCE5Rv1G0352070 transcript:SECCE5Rv1G0352070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLTGEQMVAFQEAFSLFDKNGDGCISLEELAAVTHSLGLDPTKQELNDMMREVDTDGNGTIDFQEFLSLIARKMQDGDGDEELKEAFEVLDKDRNGFISPDELKTVMINLGEKMTDEVVEQMIREADTDGDGQVNYDEFVLMMKNAERKITG >SECCE1Rv1G0056890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689758268:689761362:-1 gene:SECCE1Rv1G0056890 transcript:SECCE1Rv1G0056890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYVVVYLCVALVSLLIVLAGRRRGDGAADGLRLPPGPWQLPVIGSLHHLLLAGQLPHRAMRDLARRHGPAMLLRLGEVPTLVVSSREGAREVMRTHDTAFATRPLSATMRVLTNGGRDIIFAPYGDYWRQLRKIAVAELLTARRVLSFRAIREEEVAAALRGVAEAAAAARPVEMRALLAALVADSTVRAVLGDRCRERDAFLRALDRSMQLAAGFNPADLWPSSRLAARLGSAVRRAQECRDTVYGILDGVVQEHLERMEGGGGHDEDLLYVLLRIQKEGALQFPLDMDVIKSVIFDIFGAGSETAATTLEWAMAELIKNPRAMQKATAEVRQAFHAHRTVAEEALSELTYLHLVIRETLRLHTPLPLLLPRECQEPCQVLGYDVPRGTQVIVNAWALGRDERYWPEAPEEFRPERFEGESAAADFGGGDFAFLPFGAGRRMCPGMAFGLANVELALASLLFHFDWKWSGPGSAELDMTEAFGLTARRKDKLLLRPVLQVHVPKSS >SECCE7Rv1G0494040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:578362686:578363870:-1 gene:SECCE7Rv1G0494040 transcript:SECCE7Rv1G0494040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVGGPHLPEEIVTWEILIRLPPKPLIRCRAVCRSWHRRLTSDAKFLLAHHRLQPSLPLVTTADTHERRIDALDHSTGERRPVARKARTAADEHFDVLASCDGLLIIVAYGGVYICNPATRQHAPLPLLHVYCIAGLYFHSPSRSYRVLCCLKTTEDGQFRAVYQVYTLGSGELRCIGEPLEPWASGDFARAAPMMVFLHPHVLAGGRLYWQPIKLPGAGSNGKVNNMLMFDTIAESFQHMISPVEGPCLEPFEMNDTLGLYDYHGSTADLWVLEDHESWAWSLKHRIKLQVMAFSLVPDIQGGVLLLSEKGKLGLLWQYLQHVSGADGSISTRYEWSAFLKLRRHRLRESLVRHSFFSMEGDNDGGVDGKPLFDGLSTVKVLTADIPEQH >SECCE3Rv1G0211840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953586531:953588538:-1 gene:SECCE3Rv1G0211840 transcript:SECCE3Rv1G0211840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPQVLIGRSGGVSVSDMRASMERNGEDPRTLDLGYNMMLHFVYGYLPDPPVSPAAPLSLAGASWVPDGVDRISRLPDVVLVNILSRLPAKDAARTAALASRWRPLWRTAPLALVDSHMLPDGGASGPQILGAPSPRAVTAAVSSALAAHPGPFRCVHLTRSTMDQHRGEIARWLDTLVAKGVQDLVFVNRPWPLDLRLPATFFSCSTLTRLYLGLWRLPDVAAVPRGVSFPNLRELGLCCCVMEDRDLAFMLERSPVLEFLAIMGSQTGVRVRLASRSLRCVQLGHTFLEDVDVVDAPCLERLFQWEATPTGEIAAPNQRSSIRSCRIKIGHAPKLRVVGYLHPGGQELGISNMFMVAGSKENIVLSVQILAIEVQFGVRNAVKKVPGFLRCFPNLKTLHVQSSKISEESTGKVNLKFWQEGGPTKCVTQSLKKVLFYEFQGSKSEVAFLKFIAERARVLEKMVVVVASECLSPLGNVDAKLKPLVSAKWSSKACKLQLIKSSYEEVGCPVYCHELACDFGFADPFDLKYYDETKRIFVR >SECCE5Rv1G0321030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:396014688:396024383:1 gene:SECCE5Rv1G0321030 transcript:SECCE5Rv1G0321030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSAATRLTHSSLFLLSRAAAAATRRTNLPSSQRDYSKMASSVGSNGHSDVTRVLFCGHYWPASTIYTKEYVQNYPFIQVDEVGLEHVPDVIQNYHICVVKNKCIDSDIIAKATKMKIIMQYGVGLEGVDINAATEQKIKVARIPGSTTGNAIACAEMAIYLTLGVLRKQKEMDTAVIQKDLGLPVGETIFGKIILILGFGAIGMEIAKRLRPFGVKILATKRNWSHTVPCDLDGLVDKKGGPEDMYELAREADIVITCMTLNNESVGIVDNKFLSALKKGSYLINIARGRLLDYTAVFNHLESGHLGGLGIDVAWTEPFDPEDPILKFPNVIITPHVAGITEYSYRTMAKVVGDVALKLHAGEPFTEIEFVN >SECCE7Rv1G0458860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23204318:23205610:-1 gene:SECCE7Rv1G0458860 transcript:SECCE7Rv1G0458860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWLLLLFWVFLLPAASAMPCHPDDLHALQGFAGELTGGGFHLRTAWSGASCCSWEGVGCDNVSGRVTVLRLPWRGLAGHVPGASLAGLVWLEELFLGSNSFVGVLSDALFGLTRLRKLSLASNQLTGHLSSRLGELTHLTLLDLSANRFSAPLPDVFGDLTSLEHLAAHSNGFSGFLPPSLSSLSSLHELNLRNNSISGQIDRVSFSGMPLLSSVDLSSNYLTGWLPTSLTGCGELKSLNLANNIFVGTIPSWIAEFDHLWYLNLANNSFVGEVPESLLWLKGLTAAGRSSGMIFINMPLYVNHERRALDEQPNTITGTNNIVISGCNNTISGNDNTVMSGDNNVVSGSFNTLVCGNNNILSGDHHVVSGSNHIVTDSFNKVTGCTNNVSGSNHTVSGSNNTVSGSNNTVSGSNHVVSGSNKVVTGG >SECCE6Rv1G0419730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:672610833:672615115:1 gene:SECCE6Rv1G0419730 transcript:SECCE6Rv1G0419730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPALLSLPRSSSPSVSPSPLCPGRRSAAVQRQRPSIPSKPAAGICYASQAVELLPSLYPGTGVVVRDAKLEDCWEVADTHCSSFFPGYKFPLDLVLRLDRYIALLSGFSVPPGCTRSCLVAVNPTAANSAISIECGDLRDADFHGKYGLSKGSVAGILTVDTVADFLPRRGRLKQRRTGIAYIANVAVRKEERRKGIAKMLVAEAEARARSWGCRSVALHCDVSNLAALRLYKSQGYKTIRVPEDAKWPAPRIDQTVQYEFMMKLVPKS >SECCE4Rv1G0254560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:639147864:639154685:-1 gene:SECCE4Rv1G0254560 transcript:SECCE4Rv1G0254560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYFMEPILFVVVTLLMFGLADPFHEVKRKRDKKRESVGQKNSAETTAQGEHGTQRTKPHTQRVEIDQRRAHNHGQPYGPSREFRVVRDNRHGAVENGAEQHKVSTYTQGSDRSGVVVQSDRNRPPPTTSEGQITNQSAKSSYNSDMHHMKRDAQGTAQRHARPFLKNSQNEHQYPNSDLHHASSNYKATGGFVRANRREAEVVNAPRQYSGRPGSQFHGPNDSYHANIQRGNFASAGPSVRRPSFIPRNTQPNHRPAVDTVTRGRSVGRPFGNQNHSRYHQGPVSNQKVIQPAKEWKPKLTNKSCTNDADNSGTNATSPLDSKAENANVLDANSSCDKSSHANVHEMEHVIIPEHLRVPEYEQTRLRFGSFTPGFDSPEHEQTRIRFGSFSPGFDSDQLPTSTSPEPEQPEHVQEPVQLVVQEDEFDTEHDEVDEQVGSQLTTISTSAAESSLPPSEDSEQMNGQEVEDDDGLGLVQSDTPLGAADDHNIQSTSSLTAFSAYGHEDPNMHPNNEAQLYGLVEPNVHQQVLASSSQGYTSVNPGADNAVQVFRMPESGVHSQVLPSASEALSSQLASSSPIAMSTQQQHMSQQQQAAQMYPPMHVQHYPNFMPYRHPLYSPVYVPPMAMPNYSANVPYPANGNNYLQMAGGGSHLTAGQVKYGVSQYKPVPAGNPSGYGNYTHPAGYTISSPGVIGAGVGVDDVNRMKYKDNNIYAPTPQVETSDIWIQNREIPTLQCPPYYNLSGQATPGAYMPNPGNASFSATAQSSHAQFPGMYHPQQPPSIVSPHPMVHQQVPSAIGPNVGVGVAAPGPQVGAYQQQQLGHMNWRQPNF >SECCE1Rv1G0001190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4263914:4264657:1 gene:SECCE1Rv1G0001190 transcript:SECCE1Rv1G0001190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASASLVSLRASAATNHSCFSCRQRATSRSRMLPAMVSRSGAKLVASGCKTCRGKGAVECEGCKGTGRNKKNGNIFERWKCFDCQGFGMRKCPTCGKGGLTPEQRGER >SECCEUnv1G0565510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:394545552:394548281:-1 gene:SECCEUnv1G0565510 transcript:SECCEUnv1G0565510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVALLIAKLGFALAKEAATFGASLLCKEASTLKCLFSEIREAKEELESMQAYLQGADRFKDIDETTGIYINKIRGFAFQIEDVVDEFTYKLEDKHGGFAKKMKKRVKHIKVWRRLAVKLQDIKGRLQDADRRKVRYEMRGIEREGLNSGHSRSADHLLHLSREGDLVGIKKNKDKLWHWLTGDLEQGSNIATIWGMGGVGKTTLVHHVYKALKMDFAISAWVTVSSSYQVEDLLKKMASELGIPIGVANENRSLVEVIRNHLEGSNYLLILDDVWHVDVWFKIRNAFPAQSVGRFVITTRVQEVALLATKNCTVELAPLERHYAWQLFCNEAFWNNENKTCPQELEDLAQMFLDKCGGLPIAIACVGRLLSCRHPTYYQWGSLYKDLESQLSNNVILDINTVLKVSLEELPTDLKNCFLHCTIFPEGYLIQRKQVIRHWIAAGFIKELGRKTLEEVAEDYLNELVNRSLLQVVERNLCGRIRRFRMHDIIRVLALAKAEEEFFCQVYNGLRPFSAENTRRLSIQSTNMEELTPLVCATSLRSLHVFQSHLRIDFLEAFLKPFNLLSTLDLQGVQIKTLPKMIFNLFNLRFLGLRQTHIEYLPEEIGRLQNLEVLDAYNAMLSALPVEVATLRKLKYLYVVTFPAGADKSVLAFHGFQVPKGIGNLIDLLDLLFIEASSEVLYQLGCLTKLRTFAITKVRSGHCADLCEAIMKMVHLVHVTIVALDEREVLQLEALCLPPTVLKLDIVAQLDQRILPQFVSSSSKLINLTDLQLYWSKLYEDSFAFLLGFHGLVTLVLNKAYDGKELHFCTMSLPKLKSLKIWHAPKLNKVTIEQGAMENIVELYLQDCLELKNLPHGIEHLRTLEYLWMRDISEELTRKLQINEESKECNEDWMKISHVRRVVVI >SECCEUnv1G0558870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334753768:334754445:-1 gene:SECCEUnv1G0558870 transcript:SECCEUnv1G0558870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPLKHCSGFLGSDLERVIKPNVNLLAECGLGACDIAKLFIRAPRILCAKPGLVLTMVARAQGIGVPRGSGMFRQALHAVSYFSQHKIAAKLDYLNKTLRWSYTEVGIAVSKAPVLLTRSHDVLQRMSEFLISEVGLEPTYIAHQPTILTLSLEGRLRPRYYVMRFLKENGLLKRNQSYCTIVKWTEKQFLENFICPHKEAAPYLAQDYAAACKGQVPARFGFT >SECCE2Rv1G0090500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:279794280:279814597:-1 gene:SECCE2Rv1G0090500 transcript:SECCE2Rv1G0090500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint serine/threonine-protein kinase BUB1 [Source:Projected from Arabidopsis thaliana (AT2G20635) UniProtKB/Swiss-Prot;Acc:F4IVI0] MVLIERTPCAGAAPFSPLQRSTPRASPPSDPILPYLRSIKKAIDELREHPECYHAALEQLKIYVTECIDKYGDDYQYSTDPRLLKIWILYGDAVSDFDKVYTHLEEKRMFLEDALLYESYALFLCAQGRALEADKVYGIGISRKAEPLDHLKKMHINFLKQLESIVEESDEDAQPKPSKIQKREFSVVDPWSDSTMSNLLTKINGGLKKLTGYHKSNKIYSGKVPLTSSHNALKNKVVELGGRKYQIKGSSGTGAFAKLYKASVDGNTEEIVALKVQKPAFPWEFYIYRQLDLRISDIQRPSYGYAHEVHVFADVSVLVCNFLPYGTLLDAMNSHLVVGQHMDEVLCIYYTVEMLHMLETLHSVGIIHGDFKPDNMLVCYPSEEITGDTFRSETRSGQNQGLCLIDWGRGIDVNLFPAGTQFLGDCGTSGFSCIEMQEERSWTYQVDTFGLCVVAHMMLHGEEMSIAKVPGTGGSCMYQPKLAFKRYWNVALWKQLFSTLLNPGSNGNHVGDLRSLRRSFQDYMCSNYQLVVKLNQLLVKQKSSLCSS >SECCE4Rv1G0290790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874153036:874154088:1 gene:SECCE4Rv1G0290790 transcript:SECCE4Rv1G0290790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFPPEKRASFHDDTSSDEVALPIIDLYHGALSDSRLRRRVAAEIVQAGKDFGFFQVVNHGVGEDVVQRFHEVVAEFFAMPAEEKLVYCSDDQSKPFRVTSKTPWDRNDTRYWRDYLKLICHPIDDELVCHWPTKPVSFRSSLTAYSMALHGLTQTLLQLIAEGLGLDADFFGGDLSGGNTQMNVNFYPGGAYDQRRTNWGEVIVYFPSVPRPVRHHGPPPALRPQLPHCALRGKRRWAAGEAQWAVAPRPSRPRHARRQLRSPDRDRHQWGPGQRRALRHHQLRYREDISCHAGAPHDDCRIGPTPEMVSEANPAKYREFVFSEFFEAFDAAAANREDVLRSFRIHRD >SECCE2Rv1G0120010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:796083722:796086796:1 gene:SECCE2Rv1G0120010 transcript:SECCE2Rv1G0120010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSLPTVRLPLRPSHAAPAAPLPRRGAPVRSLAPAIALKPLVSKATASGSYRSALLLHRRRYALPETAAVTAVPEPTPKVTKKYQDWDSLTAKLAGSANLPFLLLQLPQIFLNYRNLVDGNKTALYAVPWLGMLTGLLGNLSLVSYFAKKRETEAVIVQTLGVISTYVVIVQLAMAESMPMPQFVATSAVVAAGLLLNLLNYIGWLPGTLWLLWEDFTTIGGLTVLPQVMWSTFVPVIPSSILPGIICGSLAVAAVAMARMGKLSEGGTKFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIEGLSAFSMLLSMIGNALMIPRSVFIRDLMWFTGSIWACALQGWGNLACMYCCNSISREFFFATTFGLFLWLGFTFGRDTDAFGNSSPMASLKELIFGK >SECCE3Rv1G0150480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:32129986:32133901:-1 gene:SECCE3Rv1G0150480 transcript:SECCE3Rv1G0150480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERFLGACFLLLAAAAALADGEGEEGKRGVRLLDAGRLEKFVDELPDMPVLRGYGVAEGGNLVAGEIAVGMYDTTWKFHRDLPATRVFTYGASRETATVPGPTIVAMKGVPTRVTWTNHLPPHHILPWDRSLATERLGNATGIPTVAHLHGGVQQSNSDGHSMAWFTSGLAATGPHFSSPAYEYPNQQPPGNLWYHDHAMGLTRVNILAGLLGAYRVVDPAAEAPLGLPFGMEFDRNLVLFDRDFRTDGALFMNATGNNPDVHPQWQPEYFGAVVVANGKAWPFLRVRRRRYRFRILNASNARFFRLSLSGGLRFVHVGSDSVYLARPVPTKDFLLAPSEIADVVVDFSGAKHDAVTLRSDAPAPYPGDPGEKADTVAVMKFVVASKPEHDSSSVPATLMPLYPKPEVREAVVTRHIAMYEYTKNGTDEPTHLYLNGAAYTDPVTETPREGTSELWEVINLTDDNHPLHVHLAVFTVLKQRSLRRVDEFRDCMRGSASGGAGGRNDALACGMQRHLAGGRRHVVPRQERGWKNVFKVRPSTVATLLVRFKPLEESSATASGKSGRFPFDVTAGPGYVYHCHILDHEDNEMMRPMKIVR >SECCE7Rv1G0489080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:451934219:451939590:-1 gene:SECCE7Rv1G0489080 transcript:SECCE7Rv1G0489080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSGTKEGIEGSIGFKHGIDVNPSSVSTQTGGTGSDLMIRVCSCTGRSSSLHNPNTRNIMMTCKSCGGESTVDRGGSLCSGNLNTSGLEFPRPIDPEVRWKTVNRRQRAARRARTFSGGDRLKYEIRSFYACGNATSLEVAQEEASASESEKLGVSILGRRFGDPMENVPIKKRRVHMDCSPSPPSTPLLVDPYEKIPSRSGGGISSYGKHRKVKTLGGKHMEEKRRPLEAVDFSGISILAAAACESEMDVAMLNGECSKSARSLDERKPEIVSGSSLLDPLHEIRGDKLNIPDRALKLSETAPDMKPLFPTTLNSPESAPDMKPLFPTTLNGPENLVASAAALEGNTALHSLLSSANKTDVFSSVSDAKSSDVTMSTNSSNPGKSVGCFGDAVVHIKHSNAAHDSRLHWDLNIAMEAWDTHCGDDDNHDMVGPDSVASVSDCNDAQKEMNKSQACQDLSQSTVAEVILRHSVDKIHVADAAEDGNTNGESDFPGDGSFHPLCSRSPQKVQLLESECLNGNDSRLDQQKSSFVSKVELHIGSNPDLSSLSLTTEHFAFAANVEKLDVLHTSPLDCEGFSHLTAEDAHAGGSSIQTSVLGSRVKPMTSRLVSEESTNIATVSSKSFTDAGWSDDKLGQASLQSISEFKNHELLDVDSGTSKIDQPVNEKAEHDTHVLCVAKKAADADNDSDLPDSHPEDNLGTSDCVMSYAHIEGGVDATIDHRNRLLTCANATSAGTCYITSDIHAHSLNSECTKQAATDMDNILDSKSRAQSQPSGYKHDLQKVTSNNCLEHCYRTDTSCFSKDLSMTGKVDGEEDDSQYEDGELRESGGRYWADDIYEEVKCANYQVSDCKDEKAAPDIHHVPVGSVSNNMFIPVANYNGTLSRKEDCNVSPVSSKRSWSSNCLDGGSGVMCAASARSIHVNMKNETRMYDNLDLIIARSAGTVSQSERGGDGLGEDPLNIRSKPVGWDMLPEDQRYSRQDSRDRVDSSNLCVLGTLEAAEACESFQQMGLPNRDVQSRLDRPRSFDRPHRNEHCRSDDGSGSGSKAERSDGRGGASQHIQASIRGAQWAKNSNSSRSTQRRSPDYNNYGPAGPRNAAEAAVAKMESSGFVVAADGTLVRAVDAANTSTMSRRMRNKSSFYHPLSRRRSPVDRDGSRGLSREPAHAREAPPGRCFGASGNRSGRYGPQMDKDHAIDENLSSVHSSLSNRHRRFPAHRASLDLSHAHSRSPSGSRSRSPHAWTSNGGSSIQRHSRSPNYMTEVRIGRLTSPQRQHRFNDRVMRGSPSRNPTYSQHDSSWVEGRSCSALDISYHKKRYSRRSPPPRITSRNDMFDLMDSQGRSSSREFHRPTRDFKRGNKHDGNGDDKRCNDRYGTVKPYERSGAVKQFRNHAGDKFHPHISAPRSPEPQRGSPRRF >SECCE3Rv1G0196170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:806544151:806548156:1 gene:SECCE3Rv1G0196170 transcript:SECCE3Rv1G0196170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRGHRRPPPSHLHHLLLLLAALCSAALKTAAAAPRTAGGTGERGGGIASVTDSIMTWAAWPPKCARLSLPTSGKSHSYTLVSKYHKIWKDTKDVISPKDISRKEPVSGVIALKESMKFFDADFFNDSKLREMENGAKEFNVPAFRENRRLVALKNGGLHDPSVLVFKSSWSSKSKVKKSETFDYPQTSTIHRPSNDEDIAFMSIIELGELIRTKKITSRELTDVFLRRLKRYGAVLESVITYTEELAYKQAKEADDLLEQGKYLGPLHGIPYGLKDIIAVPQYITTWGSKTFKNQVIDMEAFVYKRLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPTASTSAGLVPFAIGSETAGSITYPAARCGVTALRPSFGTVARTGVMSLSESLDKLGPFCRSAADCAIVLDAIRGADPGDPSSREIALEDPFHVDITKLTVGYLDSAEMEVVKVLSAKGAKLVPFKLNYTVESVQSILNITMDVDMLAHFDSWQREGHDDDYEAQDQWPVELRRARLVPAVDYVQAQRARGRLIREVQESFTVDAFIGNVTDWELVCLGNLVGMPIVVVPTGFKSIEHPPKGNTRRRTTVTTGIYAPPDSDHIALALAMAYQSVTDHHKQRPPIDAN >SECCE7Rv1G0506120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:745890445:745890912:-1 gene:SECCE7Rv1G0506120 transcript:SECCE7Rv1G0506120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEQNLGRAQDNEAHEIEVAKLKKELDSLANRYSQLVNDVSKLFDYQEGMKYHDMHCTSQPINELKDKKKQLEEQAKIELQMEKLELKKEQMCILQSQADIIQNTRKAMKEIEVDRYLLKEEKKKLVNVIAELLKVGHGCKEKVDKIKEVVMEE >SECCE4Rv1G0292120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:880474997:880475326:-1 gene:SECCE4Rv1G0292120 transcript:SECCE4Rv1G0292120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFEGGIVRRADSEAPGAGGAARPGKVLVHVPSGEVVTSYEVLERRLRELGWERYLNDPCLLQFHQRSTVHLISVPRDFARLKLVHMHDVVVKTRNVFQVRDADA >SECCE4Rv1G0220350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:38325921:38347487:1 gene:SECCE4Rv1G0220350 transcript:SECCE4Rv1G0220350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MVAQDAAPEEALRAAAAEVSTPSATRRLRLFRDTLPPLLAKATESSSDTTLLVDLIFQTLPLYDDRASRKAVDDMVIRALSESTFMKTFAATLVQSMEKNLKVTSPLACFKLLRWSCYLLKWTQFATLSKGGFSRLANAQAVLSQVLMNGSFRQRRTCKQLFIRLFSESVGIYKMYMEEVKDLRIATKDSPAFINLILDFTVTSSSLFSEYKPVFLDLYVKTILSSKDRPSEAASEAFKPLFVDIGHEDFKNVILPSCIKMLKRNPEIVLKSIGHLLLTVRLDLSNYAMEFMPVILHQARHSDEERRNNALNIVGTLSDKSSDPDTLPSMFNAIKAILGGSEGKLSLPYQRIGMLNALEQLSRFPPKQISRLAPSVSSFLLTCYKGDGIEEVKLATLSALGSWASVSSEAVQPDVVSFITAGLKEKDTLRKGHLKLIRVICRKSDSLTKVTSLLDHLIQLSKTGFSKATQRLDGIYALYAVSRLAAIDAKADGSIVKEKLWTLIAQSEPSLISVQLLSKLTDEDCLTCVDLLQSLLVDHPFRIQEYFSIQSLLQVLIYLVCHPSWAVRKIAYDATKNVLSSSGALAEDLLFLFTSWLSLVGERVLILKQSDMDSSGDSQLPFIPSTEVLVKCLFLIAPYAIDHSRRSYARLILCSHHPCISSSGSPAGVWKRLQRRLKQQNISFTDLIFPNITVICKELLSQDGLFSSNKQEQRAALCSLATLMSISPNDTFVEFEKHFIELPDRTLHDGFSENDIKIFFTSEGQLSTEQGVYVAEAVASKNTKLAKGRFRAYDGQDADPVKSDKRESSSIGKRETGKSTKKTAPVDKSKTAKEEARELQLKEEASVREKVGHVQENLALMLDALGELAIANPVFTHGQLPHLVNYIEPLLSSPIVSDAAFCAMLRLARCTAPPLCNWATEIAAAIYVMSVEDFEAVLDLMPVIIMEEDSKKRSPSGLFEKIVTGLTAACRMGPLPADSFTFVFPIMERILLSSKKTSLHDDVLQILSMHMDPILPLPRPRMLSVLYHVLSAIPAYHPSVGPMLNELCLGLKRDDLAQALIGVYAKEVHVRLACLTAIKCVPSHSVQRDLQVSTSLWIAVHDPEKAVAELAEELWDRFRFDVCADYSGIFDALSHKNYNVRAAAAEALTAALDENPDKIQDTLSTLFSLYIQDLGPGVEFGDTHWLGRQGIALALHSVADVLGSKDLPVVMTFLISRALADPNLDVRGRMINAGILIIDKHGKENVPLLFPIFESYLNKRASNEETYDLVREGVVIFTGALAKHLSKDDPKVHSVVEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEAQSLVSRLLDRMMKCEKYGERRGAAFGLAGVVKGFRITSLKKYGIAATLQQGLEDRASAKSREGALLGFECLCEKLGRLFEPYVIKMLPLLLVSFSDQVLAVREAAECAARAMMSQLTGHGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQAAGQTALQQVGSVIKNPEISALVPILLSALTDPNDHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVDTKKKAAQIVGNMSSLVTEPMDMIPYIGLLLPEVKKVLVDPIPEVRGVAARALGSLIVGMGEQIFPDLVPWLLETLKSDNSNVERSGAAQGLSEVLAALGKDYFDQILPDIIRNCSHQKASVRDGHLTLFRYLPRSMGAIFQNHLQAVLPAILDGLADENESVRDAALSAGHIFVEYYATTSLPLLLPAIEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAQGRAIVEVLGRAKRNEVLAAVYMVRSDVSLTVRQAAVHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSLERRQVAGRALGELVRKLGERVLPSIIPILSQGLKDPNASRRQGVCIGLSEVMGSAGKHQLLSFMGELIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRAMEDDKTSATALDGLKQILSVRTAAILPHILPKLVQPPLSSFNAHALGALAEVAGPGLSSHMGTILPTLILAMDDEDVDVQSTAKKAAETIVLVIDDEGVETLIPELLRGVNDNQASMRRGAAYLIGFLFKNSKLYLADEAPDMMSTLITLLSDTDNATVLAAWEAFSRVVGSVPKEQLPTHIKLVRDAVSTARDKERRRRKGVPVLLPGLCLPKALQPFLPIFQQGLISGSAETKEQAAEGLGELIDVTSEKTLREVVVPITGPLIRILGDRFPWQVKSAILSTLTIIIAKGGLALKPFLPQLQTTFVKCLQDSNRSVRTRAASALGKLSALSTRIDPLVSDLLSMLQSGDDAVKESVLSALKGVVRHAGKSVSSAIRSRGCTLLKDLLQADDDDVRSSAAKAIGTLSQYMDETETTDLVQTLLSMGTLPDWCTRHGALLTFSSISRHCPTKLCNSTSFPSIVDLLKDSLKDDKFPVREASTKTLGRLLCYQLQFGGSTLQLVQLLILALRDSSTEVRRRSLSCIKAAAKINHSALATHISILGPAIGDTLKDSSSPVRVAAERCAVHVFQLTKGADYVTTAQKHLANMTGLEVRRLAKLPVESDDSESSDDERRT >SECCE5Rv1G0298710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:13437046:13440885:1 gene:SECCE5Rv1G0298710 transcript:SECCE5Rv1G0298710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSFSAPAHAVKGVAKTLAHRRQAVVGFLFALIVILVLHTTVVFGPSRSTDDIVVLQSTSGEQNAMSPSPPASPVSSAPNNFTQAAVHVIENGQKDDVAKNGGQSQTVKNDASDKMQEELIRQQLDGDVKHGAPGKPICDLSDPRYDICDITGDARAIGANRTVLYVPPVEQRGTDGPEWAIKDQSRKNLGDIVEVNVKTLSAAQSLVAPECTSRHAVPAVVFAMNGIIGNPWHDLSDVLIPLFITTRAYDGEVQFLVTELQPWFVDKYRLVLTNLSRYDIVDFNKDAGVRCYPHIIVGLRSHGDLDIDPARTPRNYTLLDFRLYIREVFSLPSDDRGIPYKEASKKNAADDNGTAVTENPKPRLLLINRGESRKFVNLPEVSAAVQAAGFEVVVMEPHRDMRLEEFARAVDSCDVLMGVHGAALTNFFFLRTNAALLQVVGLGLEREAMHYYGDQAKAVMVQHVEYFISAEESTLYEKYGKDHPAVSDPDSVHKQGWQGAKRYFWAEQDIRLNVTRFAPTLHQLLGTLRE >SECCE7Rv1G0493650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:572599743:572604148:1 gene:SECCE7Rv1G0493650 transcript:SECCE7Rv1G0493650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47840) UniProtKB/Swiss-Prot;Acc:Q9FIJ7] MASSTAAAAAFSALSPPPVGAERPVPQGRLLFRASRAGSRPLRLNPARHHGLSPAPRAAKAVEAAKADPLNVMIAGAPASGKGTQCELIKAKYGLVHISAGDLLRAEIATGTDNGKQAKEFMEKGQLVPDEIVVNMVKERLLQPDAQEKGWLLDGYPRSYSQAMALETLGIRPDIFILLDVPDELLVERVVGRRLDPETGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLQTHYQNIESLVSIYEDVIVKVKGDTTVEDVFGEVDKLLTSSLDKKTEMVAT >SECCE7Rv1G0508470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:772418475:772421337:-1 gene:SECCE7Rv1G0508470 transcript:SECCE7Rv1G0508470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPIFFTLLFFLRAPASSAATDTIVAGQALGINDKLVSKNGAVSKFTSAWVANRDTPIKNTTALELTISQDGNLVILNHFTKSIIWSTQANITTNSTTAVLLSSGNLILTDSSNSSEVLWQSFDHPTDTLFPGAKLGRDKLTGLNRHLVSWKNMNDPATGVYSDRLDPGGANQFLLTPLNSSVPYWSTGVWGGTDYVSIPWMSDGSPTFTLTFIDNDQETYMTHNILGERIISRYLIDVSGRLQMLIWLEVSQDWIMVYAQPKLQCDVYAICGPFTVCNDNVLPYCNCMDGFSITSPKDWELEDITGGCSRDTPLDCISNKSTTHTTDKFYSVPCVELPKNASKLEAAASVRECAQLCLSNCSCTAYSFNDERCSIWHNELLNIRALECSGTSSSTGETLYLRVSAKDFHRLKNNRKEIVTGVAISVSALGLFALVLLVKIWRNRSKSSSHILDDVQGCDRIIVFRYTDLQRATTKFTHKLGAGSFGSVFKGFLNESIAIAVKRLDGAYQGEKQFRAEVSSIGAVQHINLVKLVGFCCEGSKRLLVYEHMPNRSLDVHLFRNKSTVLNWTVRYHIALGVAKGLAYLHESCRDCIIHCDIKPENILLDASFVPKVADFGMAKLFRRDCSRVQTTVRGTVGYLAPEWITGVAITPKVDVYSYGMMLLEIISGKRNSCAPCTSGGNLDIFFPVYAAHKLVEGEIGSLVDEMLHGDVNLDEAELACKVACWCIQDDEFNRPTMGQVVQILEGLVEVRMPPIPRLLEAMTGSSHSACSESFCGGEDGDYLNLRRGNLHN >SECCE5Rv1G0338700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:602267438:602267920:-1 gene:SECCE5Rv1G0338700 transcript:SECCE5Rv1G0338700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARALRRLPFHLAPALARPFCAVSPAAAAPAPAAASAKVADRIVRVLAIDLDGGRREVVGLAGQTLLRALVNAGLIEAASHRLDDIDACSAECEVHIAQEWLEKMPAASYEERYVLTRASRNRELNKHARLGCQVVLGKEHQGMVVALPEPKPWDIP >SECCE4Rv1G0272880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:772771393:772772376:1 gene:SECCE4Rv1G0272880 transcript:SECCE4Rv1G0272880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium-protoporphyrin IX methyltransferase [Source:Projected from Arabidopsis thaliana (AT4G25080) TAIR;Acc:AT4G25080] MARAAVSTAPLSRLHSPTPSVPCHSLSHAHSQLRIHQQRKPLTVASALPEVADLPGLSLPAAAAAAAALAAAVSLSDPERRRKAQAEAVGGGDKEAVRAYFNSTGFERWRRIYDPATDDVNRVQLDIREGHAQTVAATLAMLRDAPDVPLSGATVCDAGCGTGSLSIPLAAAGASVLASDISAAMVSEAQRQAAQAAGSSPEFRMPRFEVRDLESLEGRYDVVVCLDVLIHYPREEAQKMIRHLASLAEKRLLISFAPKTLYFDVLKRVGELFPGPSKATRAYLHSEKDIEEALRAAGWRVKNKGFISTQFYFAKLYEAVPVAAGSS >SECCE4Rv1G0273790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:779921639:779923051:-1 gene:SECCE4Rv1G0273790 transcript:SECCE4Rv1G0273790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSVVRVLSTRTVAPPPRPRERIPLTCWDAAMLSANYIQKGLLFHKPASSAVNVVDHLATALGDALLDYYPVAGRLATEQHRDELTGAVVGCSVHVDCHGQGVEVLHAVADGVTMADVAPPDADVPRDLMAHFFPLTDALNYGGREQPLFAVQVTDLADGVFVGFAYNHALSDGAAFWDFVNYWASLARARLGLAPAPSTPKPSFERWSADGGVAEPAVLPCADVSELIERAPPPRLRERMLHFSADSLAALKERARAELLAAGDPAGAAALTRFQALSSLLWRSITRARRLAPEQETMCRAAINNRARLRPQLPQEYFGNTIYAIGTEPARAGDLLARGHGWAVAAVGRAVAAHTDAAIRARVAEWMAKPMVYTHRFFDSTGTMIGSSPRFDMYGCDFGWGRPLAVRSGRANKFDGKTSLYPGREGGGSIDAELTLMPENMVALERDDEFWAAVTPDAPVPTPQKKA >SECCE4Rv1G0284280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:839172705:839182223:-1 gene:SECCE4Rv1G0284280 transcript:SECCE4Rv1G0284280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MTTATGMATGVAMAPFLSPAAAAAHSHIPSALATPRPPPTRRFRPRPRRLLVSAPAAHSGSDGAPPSTLSSADSSPSGSGNKGGGALTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSVRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQGVDHFKKIQYTEGITYGELFLENEKEMSAYYLEHANVDHIQKHFDDFEEEARSLLSLGLPIPAYDQVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCSQLWLKTREEIGYPLGTYQEANLVYPHISEKLSRKEVLGQAQAFVLEIGTEELPPHDVVEATEQLEKSLVQILGKRRLSHGKVHSYGTPRRLAVVVENLSMKQMEEEIELRGPPVTKAFGQDGKPTKAAEGFCRKNNVPLDSLYRKIDGKTEYIYARVKESARYADEVLSEDLPTIISGISFPKSMRWNSNIVFSRPVRWIMALHGDLVVPFSFAGISSGSQSCGLRNSSLANFKVETAESYLQTVEKAGIVIDMQERRAKILDDSSTLAKGVDGDFIAPDSLLQEVVNLVEAPVPILGRYDDSFLELPKDVLTTVMQKHQRYFPVTSKSTGDLLPYFITVANGSISEEVVRKGNEAVLRARYEDAKFFYKMDTQKNLSEFRGQLKSILFHEKLGTMLDKMVRVENVVAELTLVLGINEGMIPLVKDAAALAMSDLATSIVTEFTSLAGIMARHYALRDGLPEEIAESLFEITLPRFSGDVLPKTDVGIVLAVADRLDSLVGLFGAGCQPSSSNDPFGLRRISYGLVQILVENKKNFDLTKALTLVAQVQPIRIDNDVINEVVQFVTRRLEQLLVDEGINYEIVRSVLMERANCPYLASQTAAEMEAFSRTEDFPKIVEAYSRPVRIIRGKQIESAWEVDVSVFEKDEEKVLWSVYLEAVDKIHPGVDVKTFVEASMLLIQPLEDFFNNVFVMAEDEKVRNNRLALLQKVASLTKGIADLSVLPGF >SECCE5Rv1G0299560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:18991794:18996371:1 gene:SECCE5Rv1G0299560 transcript:SECCE5Rv1G0299560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGDHAAEELVSVEMPAPEGWTKKFTPQSRGRSEIVFVSPTGEEIKNKRQLNSYLKANPGGPTSSEFDWSTGDTPRRSARISEKVKVFDSPEGEKIPKRSRNSSGRKGKQEKKEDPETEEDKEAEAGKEVPSEDAAKSTDVEMTVAEAIDAAKSTDVEMKPAEVNDAAKSADVEMKVAEEVKAAPSEDAGKAEDSTPAPAEHKEDVEPAESDAAPAPAAEDKKEDVKPAESDAPPAPTVEDKNEDVKPAEADAPPAPAVEDKEDVKPAEADAPPAPVVEGKEDAKPAEADAPPAPVVEDKEDAKPAEADAAPAPAVEDKKEDFKPSEADAAPPVSSEEMKTEEAHPVSSEEVKTEEAPLVSSEEVKIEEAPPVSLEGAKIEEVAPPASKPTENSVAAPSEPAIAPAPAAVSETKSDAAAVDSQPGAATNESPSAVNNGQLSPGASTVKCT >SECCE3Rv1G0160570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:105549851:105550354:1 gene:SECCE3Rv1G0160570 transcript:SECCE3Rv1G0160570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCCHFEWMGDYIQRLQGLGLLDSRGDAIGEFNLPHDSAAPAVVARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISH >SECCE5Rv1G0317820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:354280501:354283436:-1 gene:SECCE5Rv1G0317820 transcript:SECCE5Rv1G0317820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPYGGAGGGGGGYGAPPPYGSSPAPSAPPYGDKPPKEGKASSPYYASPAQTYGASGGGGYGAPPSSQPQSYGGGYGAPPSSQPQSYGGGGYGAPPSGQPQPYGGGGGGGYGAPPAGQPYGAPPPSSGSYGAPPPAAAPYGAAGGYGSPFAALVPSAFPPGTDPNVVACFQAADRDGSGTIDDKELQSALSGYNQSFSLRTVHLLMYLFTNTNVRRIGPKEFTSVFYSLQNWRSIFERFDRDRSGKIDASELRDALLSLGYSVSPTVLDLLVSKFDKTGGMSKAVEYDNFIECCLTVKGLTEKFKEKDTAYSGSATFSYEAFMLTVLPFIIA >SECCE2Rv1G0100140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:534694984:534696161:1 gene:SECCE2Rv1G0100140 transcript:SECCE2Rv1G0100140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQKEGAKTEVARSRDNYMSWSDDCTKYMLEWYIEKQRDKPPTFKWKAQHHLQCANDLNDKFGITATTKQVDRHFRSFKEKWKWIKLAKGRSGYGFDKVLNKFNIDKSEKSPSKLGKIKFNYLTHSIKFYHLLEELFSDSSHADGSIAIDVNDASENVESDGSSETSNHTSTAEQGLSDSDMLAPNSPPEGTSSNLKRKHVKAPHKKKPKVKARRASMLDDDVAASIVSLAETVKSAAPIQPIAVPDPNANLWKHIESLTIPANEKIELATYLAKPEQEIFRGFLNCASVQTFNAWVLDYFARKYDGNDRVAADP >SECCE3Rv1G0197790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:823640848:823641516:-1 gene:SECCE3Rv1G0197790 transcript:SECCE3Rv1G0197790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEEGWPLGLGTLNPGAGAVRSVGSSSSTAAFTPSHCVSSVASSDLDTESAWSLPRAGGGGGGITLAALIGLVDAMESRRSRRRGERASRSGRLRALLLSLCLRSHLENGGGAAPSLGQFLEMERRASGSSGHLHRMLPWNRA >SECCE6Rv1G0439220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795627711:795629214:-1 gene:SECCE6Rv1G0439220 transcript:SECCE6Rv1G0439220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type domain containing protein [Source: Projected from Oryza sativa (Os01g0974400)] MGHGLSCSRDGDEHDFFRAAQAGDVDALHALLAADPALARRATIYDRLTALHVAAANGCLPAVDMLLARGVRPDVVDRRKRTPLMLAATHGHIDCALALLRAGANILMFDSVNARTCLHHAAYYGHADCLRAILAAARTTPVADSWGFVRFVNVRDEHGATPLHVAARQGRPECVHLLLESGAIVSAPTGSYGFPGSTALHLAARGGSLECVRELLAWGADRVHRDSAGRIAYSVAMKRGHGACAALLNPSAAEPMVWPSPLKFIGELKADARALLEAALAEANREREKKILKGTNYSSDSSSPALSDAGAGDDEVDDQEDEEVCSICFEQACSIEVEDCGHRMCAACTLALCCHSKPNPATLTLQPPACPFCRSCISRLVVADSKAKAVAVAGAADDADEKPRLSRRRSRRSREGSSSFKGLSSAMESLSNKIGRGSGRLAGDGDVAFLDKPEHDLA >SECCE4Rv1G0249730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:586137646:586139189:1 gene:SECCE4Rv1G0249730 transcript:SECCE4Rv1G0249730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSATGGFPCRRRQRHEDRISALSDDLLLLILSGLDTRTALGLPDLDLRVGDILSPRYHQWVLVHQDIYGKGTHFQYGGHAMTGNIMPNIRRFERRAMRSLVRSVESFLDCAAGSEARRRVNRLRLEFFATHNTGCINRLLAKAIDAWGAVTRPIYWEQAAHAFPGHGLCEAPRASRLRSLKLGGCVLPPLHDYPALTTLVLQDMPESTPEAAYERVIASCPQLQVLRLVSCPGTDGVVVDAPGSRIRELVVDRCTFTRICMRALPGLQRLAAIGTRVLFESAAFPRLTQYNLTLCRGAGLGGYRRHFAHLLKLPFRLYFGCPPGITSLVVRFTGPARWIVPPPAPATLLPNLRRLLVADVPSSWDATWPRLLLEAAPSLQTLHVHIAASSDEPDDDEISWQPTMLRHDHLKEFVMVGFEATERQVCLVRFVVGACTALLRVALFKDGHVRDKGHWDWEMVTETQPHSWTDEEKAAMLSQIMDGAPLPPSSIAPGQLVFG >SECCE5Rv1G0301380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:30429101:30430540:1 gene:SECCE5Rv1G0301380 transcript:SECCE5Rv1G0301380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVRAPLLRKPPAREEETKGMPAVAEEGLSDLETASSTGAPNSSLSSASSAGSLARCSSLSRLSFDCTPSAAMSAACSPRPSAAFRPHRSGDVAWAAIRALSASSPATPLGPADFKLVRRVGGGDIGAVYLCRLRTSAPSESPSCMYAMKVVDRRAVAKKHKLERAAAEKRILRLLDHPFLPTLFADFDAAPRFSCVVMEFCPGGDLHSLRHRMPSRRFPLPSARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLESTSSPSLEPAVAEEEEEEPGSVSCFPIRFKRRRHRRRCAASPPRFVAEPVSARSCSFVGTHEYVAPEVAGGGAHGAAVDWWAYGVFLYELLHGRTPFAGATNEDTLRNIVRAPLTFPASSCGTGCHADVAAARDLIARLLTKDPAARLGSRHGAADVKAHPFFKSLNFALLRSSRPPVVPSTPLHRSQSCKTPASRKPDTKHFDLF >SECCE2Rv1G0130540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:877532606:877533973:1 gene:SECCE2Rv1G0130540 transcript:SECCE2Rv1G0130540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLHLRPRLQALAPRLLPSRPYMSDMRRSVFLDRLLRSLRSEISSCRAAPAPRLPPSAAPFAVDDRPGEQWVRLRRAFGEQQEEEEVRVDASLVDGAVAPTRSGVVAQDGGPQARMHISVHVEVTKPARPDFALKFECSAWPEEMDVERVFPVRRTGPTPEQQYMGRQFRELDEEMQTAVRDYLEQRGVNDELAAYLHTYMENKEQTELVGWLKNIECYLKK >SECCE1Rv1G0032590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:457615157:457617279:1 gene:SECCE1Rv1G0032590 transcript:SECCE1Rv1G0032590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTFIMIKPDGVQRGLIGEVISRFEKKGFYLKGLKLQNVEKSFAEQHYSDLSSKPFFAGLVEYIVSGPVVAMVWEGKSVVATGRKIIGATNPLASEPGTIRGDFAVDIGRNVIHGSDSVENARKEIALWFPEGIAEWRSSQHNWIYEA >SECCEUnv1G0527230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:693484:696218:1 gene:SECCEUnv1G0527230 transcript:SECCEUnv1G0527230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLLLLLLMPAPATAIGITCGSGRNHTANSTFQSSLAALAATLPANASSSPQLFATATAGAVRALALCRRDTANATACTDCLASSFKYAQKMCPGRKTGATVYYDYDEVNALQPGCILGFSGDAGFLGPASSVTGNATFFQYWNPVSLPGNGRVVAAAVRELLNETARDAAAAPRGFATAFMDSIGGAAPTLYSLAQCTPDLSARDCLVCLRRLVGTVNATNSVRMGGRIFVLRCNIRFEAFMFYGDKNMRRIPFSSPLSMAPAPAPAPTGHGMRPWVISLSVAATVTLVALCCFIVYCCRLRKRHTKKGKLKLQEKSSHQFQGDELIWEMEAELSEFSVYEFHQILEATSNFSEENKLGEGGFGPVYKGHFPEGIEIAVKRLDSDSDQGFIEFKNEVELIAKLQHRNLVRLMGCCSQGEEKVLVYEYLPNKSLDFFIFDEERKVLLDWEKRLAIIVGIAEGLLYLHKHSRLRVIHRDLKPSNILLDSTMNAKISDFGLAKIFSSNNNEGNKTRKVVGTYGYMAPEYASHGLFSVKSDVFSFGVLVLEIISGKKNSHECGAFINLIGYAWQLFEEERWAELVDAALITNGHSSEMMRSINIALLCVQEDAIDRPTMLDVVAMLSSKTMILNKPKHPAYYSISVGNKEASAAAKSCSFNDVTISTITPR >SECCE5Rv1G0350870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:693894160:693895377:-1 gene:SECCE5Rv1G0350870 transcript:SECCE5Rv1G0350870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPLRIRRLVPLKEKDPDWSTLPEELLEHVGKMLPSRGHALQFRSICPVWRAVLPFARYIGPLLMLPYNRDSPDCAVTFYTVADGGETTFTRNLPSLRGKAMLGSSRGWLALVDDEAASLTLLNPLTDTTVELPPADEHVVVASFGQAMMLDGIQTVILLADGNVIMLDELKKSSMFREKKTSAVFCQIVLSSSSPGSGDCVAMAALQGTSTVAFCRVGVDVAWSLLDTDLDCVTLLVHFHGNRFLAIDDECSGMFNVCDVAGAAATATSVPLSPAPIYGRHYMEVNGELYVIGTMFHGSTHLWQVYKSNVFAATPSWTRVKNAGDLILLMSSNFTAAGYGGAVRVSWFKRNSAYCLAFNSDRDQFEWEIIDIANGTSEFQPCSKKILGSGALCWIQPNCWT >SECCE6Rv1G0446500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:844531325:844532029:1 gene:SECCE6Rv1G0446500 transcript:SECCE6Rv1G0446500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKVNMEYIANGSTRKATFKKRGIGMKKKARELSTLCGVDVCYVMYYPEGEASQVPEVYPSIPEAMRVIDRFRSTPELDRCKKKIGGEEYIRERISKLQEQLSKARRDTHRHETKLLLHDVLADHRQSLAGLNIEQLASLGWMADNYIKKVSECIASNKSRQRADPLPCAATATNMVAEAPPQQGWAMEVVKAGPDVNSSAYCGNAGGDVTQISHLAAGFAWADPGPAFPRI >SECCE4Rv1G0225360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:91366270:91368765:1 gene:SECCE4Rv1G0225360 transcript:SECCE4Rv1G0225360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITTTTASSSFLHRRISSSPATTTTPRSHLVPFHARNRCHPRLTCRATDVSRAEPSAPPETGGGSSWLPVVPLAALPRGERRVIVQGGEEILLLWYKDEVFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPSTDSTFDLRTGEIKEWYPKNPVLRALTPVLRKLFVYPAKTDGENIYISIRGASSSGGSAEILFSGKAQPGSTASDVNIEEVRMVVDEGVGGFGFTAYNELVNGKAAIIGFLLLIDFELLTGKGLLKGTGLLDFIYAISRAFSS >SECCE5Rv1G0348120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672533298:672535572:-1 gene:SECCE5Rv1G0348120 transcript:SECCE5Rv1G0348120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase BAH1-like [Source:Projected from Arabidopsis thaliana (AT2G38920) UniProtKB/Swiss-Prot;Acc:Q8GW10] MKFGSIYEEYLRAEQDKYLAKCSHVEYKRLKKVLKRCRLDRSLQADDTNGDHQQEDRSDESSDACDCNSCTLCDQMFFTELTKEASDIAGYFSSRVQHLLNLHVPSGLQRYIWRVRQCFIDDQQIMVQEGRLLVNYVTMNAIAIRKILKKYDKVHGSVSGRDFKSKMQTEHTELLQSPWLIELGAFHLNCDSSDIDEPIGFFKNGFFKNFSCDLAGTQPVMTMAISETIKYDYGLTCPICLDTLFNPYALSCGHLFCKGCACGAASVYLFQGVRSAPPEAKCPVCREVGVFAHAVHMNELDLLIKTRCKDYWRCRLREERTEMVKQSKEYWESQTMLSMGI >SECCE5Rv1G0298510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11337436:11339262:1 gene:SECCE5Rv1G0298510 transcript:SECCE5Rv1G0298510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAAYHYLQLAGIHGTSTPAVLLTVLLLLIVRLAWVRTTTASTRFGKQQQLPPSPPGKLPIIGHLHLLGSQTHISIRDLDAKHGRNGLLLLRIGAVPTLFVSSPSAAEAVLRTHDQIFASRPPSMAADIIRYGPTDIAFAPYGEYWRQARKLLTTHMLSAKVVHSFRHGRQEEVRLVINKIRVAATRGTAVDMSELLSGYTNDVVCRAVLGESHRKAGRNRLFSELTEINVSLLGGFSLENYIPPNMVMADVLLRLVSVKAQRLNKRWDELFNEIIEEHLHPSKPSSGEQQAADFIDLLLSLKEEYGLTTDNIKAILVDMFEAGIETSYLTLEYGMAELMNNRHILKKLQEEVRSQGKKLDMITEEDLSSMAYLRATIKETLRLHPPAPFLLPHFSTADCKIDGYLIPSNTRVLVNAWALGRDPSSWERPEDFWPERFLQDQAGDVDTQMRGKDLRFLPFGFGRRICPGMNFGFATMEVMLANLMYHFDWDVPNIMGTGAGVDMAESFGLTLRRKEKLQLVPQIP >SECCE4Rv1G0216540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:11366349:11366811:1 gene:SECCE4Rv1G0216540 transcript:SECCE4Rv1G0216540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLFLLLLTLLLASTRAAAFHASAAAFAEEKGIAGIRGVIGSRPPSCAGRCRSCGHCEAVQVPISPQGLRKRKRKEKELGRHGGRAAASDGGGRAMPSSYYDHSNYKPLSWRCKCGRLILSP >SECCE4Rv1G0229940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:155754280:155760298:1 gene:SECCE4Rv1G0229940 transcript:SECCE4Rv1G0229940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAVASLRYFPSSVRNRTYPGTIGGGGAVVTFSPRRGRCATAAVAAPSREAEPASSLGDLTRVDFPILDQEFDGNKLVYFDNGATSQKPSHVMKALDDYYRYYNSNVHRGIHALSAKATDAYESARRKVANFVNAADSREIIFTRNATEAINLVAYSWGLSNLKQGDEIILTVAEHHSAIVPWQFVSQKTGAVLKYVGLTKEEVPDVEQLKGLLSSKTKIVVVHHVSNVLGSMLPIEEIVSCANKVGAKVLVDACQSVPHMPVDVQKLGADFLVASSHKMCGPTGVGFLHGKFEILSSMEPFLGGGEMIADVFEDKSTYAEPPSRFEAGTPAIGEAIGLGVAIDYLSHFGMQRIHEYEKELGSYLYESLLSVPNVRIYGPAPSASDHRAPLCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDVFIHGLKATIDFLTSQH >SECCE7Rv1G0509910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:784758037:784761891:1 gene:SECCE7Rv1G0509910 transcript:SECCE7Rv1G0509910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGRTLADVEVPAVTRKVTATMLWTEKKKPRRSVGRGWHFTGLSGRRRLRLYDDEVDFEADFRQFEADFEDSDLRVRHGGVAEKGDNNEVIEIKSFAAIKRPLSHDGLSTMIATGFDGPLERPANRKRKNQFKGIRQRPSGKWAAEIRDPSKGVRVWLGTFNSAEEAARAYDVEARRIHGKKAKVNFLDEPTVQEPKAPKQSASHEPTITTTVNNPNAFMYPSADLGSNQPLVQLDNVPFVPAMSCVSPVEAPVMNMYSDQGSNTFGCFDMGWEYNIKTQDISSIAPIYTIAEATESAVVESNIYNPMVIAEGAEFALVQSNAYNSVVPPVMENDAVDFEASMRSFMDDGVGEPIDSLLNFDLPHDVIDNMDIWNFDDMPIDGEFF >SECCE4Rv1G0285870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:846834650:846835765:-1 gene:SECCE4Rv1G0285870 transcript:SECCE4Rv1G0285870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELHPDIVDEVLVRLSRDDPAGFIRASAVCKSWLGTLTNPAFLRRYRDLHGTAPLVLGFLHNPVDRRLARFVPTTAFRPPAATDHRTTVVLDCRHGRALFYDYGSAEFVVWDPITGRERRMPDDVLDTCTNHAVLCAAGAGCDHNACNEGPFIIASAGVECHEYESVQADACFVNSETGERGGPDGLYLDSKRYRLEEGRAAVLVAGALYFVCENAALLRYDVLDLSGTPPCCGTQDLSVIEPPPGKFRGSKTIVRRAENGGLGLATLRHDVLQLWSAETRPRGDVKWAKMNRIQLRKLTPFKRPARLIGYAEDINVVFVRSDDHCIFTIELKSLLMKKLCEMDEVDDVFPYVCFYNTPTGTTSSTS >SECCE3Rv1G0199880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:849198693:849199157:1 gene:SECCE3Rv1G0199880 transcript:SECCE3Rv1G0199880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGAATVCVQASGVRCMPTFASAPAPIRTAPRPAASLKRPTTGGGRLSARFRPAAATHKVKLVGPDGEETELEVAEDAYILDAAEEAGVELPYSCRAGSCSTCAGKLASGEVDQSDGSFLDDAQMAEGYVLTCVAYPRADCVIYTHKEEEVH >SECCE7Rv1G0522200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876290863:876292473:-1 gene:SECCE7Rv1G0522200 transcript:SECCE7Rv1G0522200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTHLGLELVCYAFLCVVVVTILVKLRLRRSAGLLNLPPGPWALPVIGHMHLLAGALPHRAMHRLARRHGPVMLLRLGHVPTLVLSSAEAAREVMKVHDAAFANRPLFATADVFTYGGEDISFARHDSRHWKALRALCTVELLSPRRVRSFRPVREEEAARLVSFVAAATFPVAVNVSEMLKVMMNDVVMRVSVGDRCQQRAVYLKELDRVVELMAGFNLTDLFPASPLARALGAGSMRATWKVRERIQSIMDAMVEDHKRESAGHDKEDILTTLLRFQRDGGMGGITLTNENVSGVLFDIFCAGSETTATTTIWAMSELIRNPRIMAIAQSEVRRLLHGKTKVAEADIEGQLHYLQMVIKETFRLHPPVPLLLPRLCTEPSKIMGYDVAPGTTVFVNAYAIGRDKKSWTDASEFKPERFEGEKVDYGGTDFRFLPGGAGRRMCPGMMFGVSNIEIALASLLYHFNWRLPDGRNAEELDMTETYGITARRKTDLLLEATIPMP >SECCE6Rv1G0381180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:30214190:30215336:1 gene:SECCE6Rv1G0381180 transcript:SECCE6Rv1G0381180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTKVHKRGAISRSIDIGRFSEYGELNQALAHMFGMEGQLEDRQSIGWKCIYQDYEGDFLFIGDDPWEEFVIIVKSIWILSPQEVLQPMLPGGDLTSRL >SECCE2Rv1G0129250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:867419890:867421044:-1 gene:SECCE2Rv1G0129250 transcript:SECCE2Rv1G0129250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAPYEQVMDDLAKGQEFATQLQGLLRDSPKAGLIMDQILHTFSRAIHAAKAAAAAGASAGESEVTDGASSRGKRKPAAGGGPRKACRTRTQDSSVVTKTMKSLEDGQTWRKYGQKEIQNSKHSKAYFRCTHKYDQMCMARRQAQRCDDDPGTFRVTYIGAHTCRDPAAAAVAPHLTGTAQGGGHLISFAPAGTHGTTTSTTTTNTNLVDEDAARGSGLQLPGLKLESGDQEEVLSSRTPGSSALYGAAAAAAAWPDQGDVTSTLQYGGAGAYGGLFDGYPYLEDLLPYDLDH >SECCE6Rv1G0429860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:738537280:738538641:1 gene:SECCE6Rv1G0429860 transcript:SECCE6Rv1G0429860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRSANFAHERVPEMEPRGDTGLDMVLSMLSTTTHTNLDRRFISGGKPSIQVVPSFLRSIKKVERLFSPDIIAIGPCHRGSPHLQSMEEIKKMAANEFCCSSTVHQVRDFYLNVHKVAEKARESYAHPVRMNEDEFTAMLFLDGCFLLQFMTMVALDRGPPLHWRMPQLSENWMRRISRDILLVENQIPWVVLEALMCLKHVLVDRYVADTISNFDVQGAEPQVDFEGADKYQPFHLLDLVRHRQIGPFRSRMVAADEAPRPILNISSALELAGIGVHITASKTARFADMGVEENPLFGKLWLPPVHLGELTMSLLINMAVFELLQGGTSDADYGASSYMQVMAVLMNREEDVRQLRAKRILYPVFSDQETLDFFKSVCPYLQYGRQYDRILQRLSDYQQDRTLRVALHKFVYNNLKYLLTSGSAFGLLIPLLRAVLSLKETKENAPAAGS >SECCE5Rv1G0364000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:797993904:797994661:-1 gene:SECCE5Rv1G0364000 transcript:SECCE5Rv1G0364000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTVQQSNATESPIDQSNWPSHARRCRTDADIESGGQKKKGRGVLKGIKVAKKRFANGSSKLHIEFSKTLGGSIGENYRSFVDDVVVYMKRKAPLIGVNKWSAIDSSVKDSIVADVIAKWDLEDTYSTKGKILTIARERYRGWRSTLHSTYKAYDTDAQRRANKPEDVTPEEWDYMINYFGTDLKFQVSSM >SECCE1Rv1G0029050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:409079064:409079857:1 gene:SECCE1Rv1G0029050 transcript:SECCE1Rv1G0029050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGLKLLGLTVSPFVIRVRMALQMKGVGYEYMEQDLFTKGELLRRSNPVHMKVPVLIHGGKPICESLAIVQYVDEVWVATGPPILPADPYDRAAARFWAAYADGKLLPAWVGIMWAATEEERAEKVADTLAAIGQLEEAFGTCSKGNAFFTGDSVGYLDLVVGSQLLWFEVLEKMFGVVVVEAGRAPLLAAWVKRFGETHTAKEVVPDVDTAEYLKKLQSHRAGATVAQLLS >SECCE6Rv1G0407850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:565813363:565817726:-1 gene:SECCE6Rv1G0407850 transcript:SECCE6Rv1G0407850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGGDPQTGPLLEKLPEPSCSSSVERPVKRTGTVWTASAHIITAVVGSGVLSLAWSVAQVGWVGGPAAIVFFAGVTVVQSSLLAECYVSRGDPERGGVVRNKSYMDAVRFHLGEKRQMLSGFFLSINLFGSGVVYTLTTATSMRAIHEANRYGREGQGAPFSTAAAGGSDVYYMLLFGLAQAALSQISDFHNMAWLSAFAAVMSFFYSFVGFGLGAAKVIENGVIKGGIGGIPLVSPTQKVWRVAQSLGDIAFAYPYTLVLLEIEDTLRSPPAESKTMKKASRASIAITTFFYLGCGCFGYAAFGDGTPGNLLTGFGEPCWLIDLANLCVVLHLLGGYQLYSQPAFAVVERRFGAEAWVVEAGLPLLGRRRRVNVFRLCFRTAYVAAVTAVAVWYPYFNQVVGLIGAFTFWPLDIHFPVEMYLAQAKVAPWTTRWLAIQAFSVTCLLVAVFASVGSAVGVFGPDKS >SECCE3Rv1G0205580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900666953:900667918:-1 gene:SECCE3Rv1G0205580 transcript:SECCE3Rv1G0205580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARCSLLVTAALLLALSHGCHGHGGAGAGLSSSFYDESCPGAGDIVRRVIQKARVTDARIPASLIRLHFHDCFVQGCDGSLLLDNDLPGIMTEKEVPANDRSARGFKVVDSIKRALENACPGIVSCADILALASEISVELAGGPRWSVPLGRRDGTTTNIESANNLPSPFDPLETLQEKFKNLGLDDTDLVALQGAHTFGRAQCQFTQRNCSAGQDEETLVNLDAVTPDVFDNKYYGNLLRGRAPLPSDQVMLSDPVASATTAPIVRRFSGSQKDFFKNFAASMVKMGNISPLTGRAGEIRNNCRRVNRKPY >SECCE6Rv1G0413700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:625747463:625752299:-1 gene:SECCE6Rv1G0413700 transcript:SECCE6Rv1G0413700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 3 [Source:Projected from Arabidopsis thaliana (AT4G35740) UniProtKB/Swiss-Prot;Acc:Q9FT72] MKKALPLKGGSAGSRHGTKSPKELESVLKQYFGYSEFRGRQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALVKTGVVLVISPLIALMENQVSSLKSKGIPAEFLSSTQTTANKNKIHEDLDSGNPSLKLLYVTPELVATSGFKAKLTKLHNRGLLGLVAIDEAHCISTWGHDFRPSYRKISSLRKQFPDIPILALTATAVPKVQKDVISSLSLQNPVILKASFNRPNIFYEVRYKDLLDDVYSDISNLLKSSGNVCSIIYCLERAACDDLTMHLSQQGISCAAYHAGLNSKVRTTVLDDWLSSRTQVVVATVAFGMGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQQPSKSVLYYGLEDRRRMEFILRNSSSRKQQPSSSSTELSEKTLADFSQIVDYCESSSCRRKKIIESFGEKVQPTLCQRTCDACKHPNQVSSRLEDLRRVPNCRFNKISPVFKSSSVDPKHFDTEFWNREDDVSISDEDISDSDDEEEAVSNIAISKLPSKGGFEARLDALEHAENAYNQAKGQTKPQGGNLVDKKSISQTLRDASRKRLSGALGQAKLRLGDLRFAEEASAAHLETECFKKYQKVGKTFYNSQIAATVRWLSSSTSDQIHDRLEALTNQTTEAGAATGSPCIISDALGKAEKPAEATEAGAATSSPCIISDALGKAEKPAEATVSQELAKTEPSDEFSKTMASTENIEPSQMSPPEKSIGEEGRRDHAIGTMDLPKIPSFREFMSQKGRDRATSSSRAESQPRSIPRKAGPVISKEGTTGTPKKMKL >SECCE1Rv1G0027090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:377651659:377654097:-1 gene:SECCE1Rv1G0027090 transcript:SECCE1Rv1G0027090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFAAGRRLLHLGRGRLLQGRVRPHNPAPLLLPVRTALSPPSNSAERPPRRPPGLQSTLWPLGHPDTLLVPEIERWAEKPGNRLRPVELERIVKELRKRRRHRQALEVSEWMSAKGHVRFLPKDHAVHLDLIGQVHGIGAAEAYFNQLPDKDKTEKPYGALLNCYTRELMVDESLAHFQKMKELGFVFCSLPYNNLMGLYTNIGQHEKVPSVIAEMKRSGIMPDNFSYRICINSYGTRADFFGMENTLEDMECEPQIVVDWNTYAVAASNYIKGNLREKAISALKKAEAKIDIKDSDNYNHLISLYGQLGDKSEVKRLWALQMSNCKRHINKDYTTMLAMLVRLDEIEEAEALLKEWESSENAFDFHVPNVLLTGYRQKDMLDKAEALLDDFLKKGKMPPSTSWAVVAIGYAEKGDAAKAYELTKNALCVYAPRSGWIPRPAMIEMILKYLGDEGDLKDVETFVQLLQAAMPMNSDMTDALSRARMREEKKAGDAEKEALSSTKASG >SECCE6Rv1G0402020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:477456621:477457766:1 gene:SECCE6Rv1G0402020 transcript:SECCE6Rv1G0402020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVNPPPTPTALGLQPTWMPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPVFDIAGPSGDGDDSSGPAFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFSSLRNRLFGSGGGRGGGGGGGGDGRGDSRSQEEWEVSPSDGMDETLISKITVCKYKRGDGFVDSTDCSVCLGEFRDGESLRLLPKCSHAFHLPCIDTWLKSHSNCPLCRCNIAFVAVGMVSPEPEGRAAPREDRRGSHEFALTIDDDSSGQVCEEPQTAPGSNSGGNQEVKDRPGRSEEANGMVVEIREDGAVPPVPARAPPPMPDTPREARMSIADVLQASPEDERTVASSSRRCHGENSKDGGGRAPPDAPTKKLPPAGRSCFSGKGGGRGKDSGPPV >SECCE1Rv1G0015160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:121429028:121435318:-1 gene:SECCE1Rv1G0015160 transcript:SECCE1Rv1G0015160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRTEMEVGSDGVAVIYIANPPVNALSIDVLFSLKGHYEEALRRNDVKAIVLTGSGRSFSAGLDISAFADIRKPEQLKDHCILIEAMTDIFEDAGKPSVAAIDGPALGGGLEVSMVCQARISTPNAQLGLTELQFGVIPGFGGTQRLPRLVGLTKALEMMMLSKPIKAEEAHELGLVDAIVSPNDLLNDARRWALDICESKRPWVRALYKTDKLESPEVAREILNSARVQLQKQAANLQHPLVCIDAIEEGIVSGPRAGLQKEAMAFQELFFSDTCKSLIHVFFSQRATSKQVPGITDLGLMPRKVSKVAIVGGGLMGSGIATALILSHYPVILKEVNEEFLNAGIDRIKENLQSRVRKGKMTKEKYDKTLSLLTGVLDYEKFKSVDLAIEAVVENLKLKQQIFAELEQHCPSHCIFATNTSTIDLNLIGEKTNSQDRIVGTHFFTPAHIMPLLEIVHTPRASLQAVVTLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQAALLLVDHGMDVYKIDQACTEFGMPMGPFRMTDLVGFEVSLATGMQYLENFPERVYKSMLIPLMTEDKRTGEASQKGFYKYEGKRKASPDPEIMNYVEESRRIAAATPDPELLKLDNSVIAEMVFFPVINEACRVLGEGIALKASDLDIASIFGMGFPPYRGGIMHWADSIGARRICMMLSEWEMKYGQFFKPCSHLLERASGGLPLSASVTTTTMN >SECCE6Rv1G0414570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:634196169:634197320:-1 gene:SECCE6Rv1G0414570 transcript:SECCE6Rv1G0414570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRKSTGDVKTPPTVGRRPGRPRKNTPPEAIRRPGRPRKSEPGVQAPLARSPVSVQTLASSRSEHEEEANGGLLELARGAAAIAAAEEEEEQVRMRCDLCHGPLKPPIYQCTRVQHVACRDCGAGECRPCGGDPAAVFVHNAQLDALFGCIRVPCPFRKFGCASSVAYRDLAAHETACAWAPCACAECGYKGPPSGLLRHLTELTGRHAWTAHRITYGMDHQFAIPVPESSEQVCEDRGLLIAEDGAVFLVAVGGAGGVRRVTVVCVRGNVGTGPVYSSSVEVAGPDDARRLKLEKKVAASCSAPVEFDVLAQPECDTLPVYPEMLHGEELHLCIRIGKTKIICS >SECCE6Rv1G0402510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:484515658:484516092:-1 gene:SECCE6Rv1G0402510 transcript:SECCE6Rv1G0402510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHRRFLLSGAVLLSVLAASAALESAEDECQPGVAFPHNPLATCHTYVIIQVCHRGPGRPMLVKERCCRELAVVPGQCRCEALRILMDGVRTEEGRVVEGRLGDRRDCPREAQRAFAATLVSPAECNLPTVSEAHMVLPADG >SECCE6Rv1G0446860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846492143:846493484:1 gene:SECCE6Rv1G0446860 transcript:SECCE6Rv1G0446860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRLLPLVVLLLLVGSAVPSLHPVVLVPGNTCSQLEARLTDEYEPPPASGCGVPRQGRGWFRLWENFTALEEDPSLLRCYADQLRLVYDPHAGDYRNVPGVKTRVVAFGTTRSFRFDDPARKNVCMEGLVEALERVGYREGEDLFGAPYDFRYAPAAPGLPSRAFSGFSSRLMLLVERASRRNGNKPVVLVTHSMGGLFATVFLDRTPLRWRRRYVKHLVMLCFGVGGSPLNMWPLASKALASNPTSLQAGVLTYGNRSFASMFSLLPSPRVYGRTPLVITRDRNYSADGMEEYLAAAGFSEDEVARYRTRALPVTLNLRAPLVPMTAINGVGVPTVDKLVFWDGNFSGKPQLVNGDGDGQINLETVLALQSLVGADPDQPYFKSILIPNKTHKGMISDQSALKRVVSEILGASS >SECCEUnv1G0549780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:206446136:206448143:1 gene:SECCEUnv1G0549780 transcript:SECCEUnv1G0549780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSLSCQFLTIALCDAAEPWQLGSQDAATPMMQGIIDLHHDIFFFLILILVFVSRMLVRALWHFNEQTNPIPQRIVHGTTIEIIRTIFPSVILLFIAIPSFALLYSMDGVLLDPAITIKAIGHQWYRIKFGGRRASTQPYEYSDYNSSDEQSLTFDSYTIPEDDPELGQSRLLEVDNRVVVPAKTHLRMIVTPVDVPHSWAVPSSGVKCDAVPGRSNLTSISVQREGVYYGQCSEIRGTNHAFTPIIVEAVTLKDYADWVSNQLILQTN >SECCE6Rv1G0383580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:54022024:54031442:-1 gene:SECCE6Rv1G0383580 transcript:SECCE6Rv1G0383580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSAVCRLAVLTPAPSPLASGRRRPAAPFSAASRPRSLSAASRGRVLCLAASAPASSTDAGQDRLQKVPITNIRNFCIIAHIDHGKSTLADKLLEMTGTVQKRDMKQQFLDNMDLERERGITIKLQAARMRYVMNNEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALESNLEIIPVLNKIDLPGAEPDRIAQEIEEIIGLDCSNAIRCSAKEGIGIIEILDAIVTKVPPPKDTLKNPLRALIFDSYYDAYRGVIVYFRVIDGSIRKGDKICFMANKKEYVADEIGVLSPNQMEADELHAGEVGYLSASVRSVADARVGDTITHFAKRAESALPGYSEATPMVFCGLFPLEADQFEELREALGRLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYRVNCSNNETVECSNPSLLPEPGKRRSIEEPYVKIELLTPKDYIGPIMELGQERRGEFKEMNYITENRAKITYMLPLAEMVGDFFDQLKSRSKGYASMEYSVVGYRESDLVKLDIQINGEPVEALSTIVHREKAYTVGRALTQKLKELIPRQMFKIPIQACIGAKVIASEALSAIRKDVLSKCYGGDISRKKKLLKKQAEGKKRMKAIGRVEVPQEAFMAVLKLEKEVL >SECCE2Rv1G0091060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:306020574:306034080:1 gene:SECCE2Rv1G0091060 transcript:SECCE2Rv1G0091060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKR1 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/TrEMBL;Acc:A0A178UKW2] MKERTSLCDSAADGNWVSKFKRKRSKLTASSSNEHEATSPTSDSLMNNGSIKKRSNHDTSISTSAKKIRGHDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKQVSLKLLGNADADTSKRERTRRMRTSTISDSPFPHNKVSLNTQSPIQEKSESNEQVKLSCPGALKEGDLSVKDNEVQKKKPLIVHLKRRSTKELSAITKPLKSGFVGQSSEEKHEKHVGALKMKKHLRSMDLSPKKYKTKRQHSHKVSKRSETKRLRYLAIDVDSDSSMGPSTSPEHCELPPKRRSLDGRTPTSSAKKGKKKVKFVDKQHSEVLPVAGDTIITPQEDLQVDRILGCRLRTSQIISQPHASSEQIELTNLQLEGGVPSSSSSVPTQPLRNDTDTTLEDVCADESAHDACEDHLDGGAMQKENNGKYHEKESVNPEEATKTVSDCCTDQIITVKDSGVVGENIPSVNGEFEAVSDDPVEGTSEKGDIKLSVSEVDATVQTKQELTPESKVHGNINEITGNGHDDTVYEFLVKWAGKSNIHNSWISESELRTLAKRKLENYKAKYGMGLINICKDQWCQPQRVIALRVSLDEVEEALIKWCGLPYDECTWERLDQPIMLKYVHLVTQFKNFECQALDRDVRGNRANARNRQELTVLIEQPKELKGGMLFPHQLEALNWLRKCWCKSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSTIRQYEWHEGDATQIGKSKKSHKFNVLLTTYEMVLVDATYLRSVPWEVLIVDEGHRLKNSSSKLFNLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNELATAEKVEELKKLVAPHMLRRLKKDAMKNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNTGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLALLHSMLKVLHSDGHRVLIFSQMTKLLDILEDYLTLEFGHKAFERVDGSVSVAERQAAITRFNQDKTRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNNDEVNGKENDEASGAKHDVSDIEVKHRRKTGGLGDVYEDKCIGSSTKLIWDENAIMRLLDRSSLPTTVAESTDGDLDNDDMLGTVKSIDWNDDINDDPGAAEDIPNIDNDGCEQASETKHDAANPVEENEWDKLLRLRWEQYQIEEEASLGRGKRLRKAVSYRETFAAIANEALSEDSDDGDEPKREYTAAGLALKEKYGKLRARQKERIAQRHTIKNYADDKLEDFIKLYDSSVNEYGVNPLRIVEDPDSAQPSGAKRFSDSTAEMRHSSKKAKRYPEISRELHAKLAASATSSKHHSKATDVLNQGTPHHLLPVLGLCAPNADQVNSYKNSNCGPNMKEQKRASGEVFNKPLSPSAAEYSSELKNQGQDPIKTPGSSEALRRLSNIIPDSYFPFNPIPPISGKGLCDPVENPLPSIASFQGKMGLPNFGLEDNIPLSHMKSVPDLFPNFSLGPNKEYARNSIPELPNSSFLPSFMADIAGSSKQSRSKFMADMSALLPGLGISPVQPIHSAMPENHKKVLDNIMMRAQYATNKYMKKRSKSDYWSEDELDALWIGVRRHGRGNWDAMLRDPKLKFLNHRTSEELASRWILEEQKITEEPMPTATRSSNSTFPGLSDAMMSRALSGSNFSKLKMEPPKLQSHLTDIQLGCSDIPSRFPHIEPSSYMSEGGPSLAPWKDFKNNIGYDGDFPGSTSDKWEKADVGLVPPYMPNPFMMESIGSLRINIPNSSSIQQNEFASNSHENILLHSVTDGQADLFHEMQRRVRLGKQPIEMNLYHTKHSSPLLENAGIFGSSRSNKLPHWLQEAVRAPSKPIECELPATVLAIAQSVCLLLGEQKPAIPQFPLQGPRLSHPKDPRNTPKKRGVHKVQQAMHPKIGSRQGDQISALAPKLTEAPPTSAIDQTNDAPSLNFNAPSSSSAGSQRQDVIPPAFEETCNTLEASEAIAAACTTGSEAPETGCQRDEFSGLDGITNGSCRSPTGNAPDAGAPRRELSGPAEFSLLPVVDATGLSTTRAVGPVSSDDQEPEQENILDNDNHIGDTEKLLEKPTALDESRDSGASQSVSAQIVDEDKVDMVTDER >SECCE1Rv1G0060510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710444830:710445201:-1 gene:SECCE1Rv1G0060510 transcript:SECCE1Rv1G0060510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMSAGWTPKQNKLFEQALAVHDRDTPDRWHNIARAVGGGKSADDVRRYYELLVHDIARIEAGKVPFPAYRPPCPGPGHNASYEADRLKHLKI >SECCE4Rv1G0292850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883985736:883986245:1 gene:SECCE4Rv1G0292850 transcript:SECCE4Rv1G0292850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEHARAGRGNATATAIATNRWGPYSGAGDFAGNMAIILASLLAALALALALHAGVRCLLRRRRQRQLERQGVDGAAGVEDPEKPAVVPPPPPPPPPLLVYSASGTRLAGAAAHECAICLAEFADGDAVRAMPACGHGFHARCIERWLAVPASAARRPSCPTCRTPP >SECCE4Rv1G0295100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:895827846:895829318:1 gene:SECCE4Rv1G0295100 transcript:SECCE4Rv1G0295100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAADALLPLDASTFAAESRAVVDFLEVYYRDIESYPVRPDIEPGRLRMLLPHALPEDGEPVDVILEDIRQHIVPGLTHWQSPNFFAYYPANASTAGFAGEMLSTGLNVVPFTWAASSAATELEGVVVDWMARLMGLPERFLFSGGGGGMLHGSTCEAVVCTLAAARDRALSSLGHEAILRLVVYASDQSHYTFQKGARIVGIPPANFRVIPTSAASAYGLTADSVRDMVKADVASGLVPLYLCATVGTTGLGAVDPVRDLGEVARRYGMWLHVDAAYAGSALMCPEFKDYIDGAELADSVSMNPHKWFLTNMNCCCLWVVSPALLTSALSSNPEYLNNVGQEGTAEVVDYKDWQIALSRPFRAMKQWVVLRRYGAVGMRAYIRRHVDMAKWFERMVDADERFEVVVPRRFSLVTFRLRPRQEGHDDMEMEALNRKLLVAINTRGHSMEIATSGRKEGTLSNSSSSRCSISQATHKKSMIKRNKLETT >SECCE6Rv1G0448790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857934436:857935425:1 gene:SECCE6Rv1G0448790 transcript:SECCE6Rv1G0448790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGESIFTGGKLLGDDAAPIIHAGAASGYHLLVVEGHSRCRGSICSRSFIIGGERWCIYYYPHADGGLVTLQLCLLEGSVDPVKARFEFTFVEEKDKKEPARSRIHGEIFEFWGRYDHHQKVLKKEVSEKDDRSIIRCDVVVVGKPPSMQENFADLLLAGFGSDIVFGVGGETFAAHRCILAARSAIFRALLFSPMKEGTASTTSVAQIDDMDAAVFKAMLGFIYGDSLPGENEVLMQHLLVAADRYDIPLLMARCEEMLCKHIGVSRAATILVLADQHGCDKLKTACYGFLACPANLKAVVQTEGFEHLQRSCPHLMKDIILGMLP >SECCE3Rv1G0196320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:808191811:808193279:1 gene:SECCE3Rv1G0196320 transcript:SECCE3Rv1G0196320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPALLPLKPSAPTTGLNPTQRSHGHRGPKRLLVSSTTPPPPPPPPRRIPNPATAPKPPKPEPRAGPQNPEGATAEFPTTKPRKPRRGRRSEAAAVEDFVRDRLEQVFASIQERDPEVLQGKGDILKPKEDEERVSGEEPGEEDGEQKTVVEEEDPSWPLDADVGWGVRASEYFDKHSIKNVTVDGVEIDWEGEVDRGWVKEINCLEWESFAFHPSPLIVLVFERYNRAADNWRFLQELEKATKVYWDTKDRLPPRTVKIDLNIERDLAYALQAKECPQLLFLRGNKILYREKEIRSSDELVQMIAYFYYNAKRPSCVNPEALAPSF >SECCE4Rv1G0223130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:66642550:66642942:1 gene:SECCE4Rv1G0223130 transcript:SECCE4Rv1G0223130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEIPLHGANAMLVMNGSFTFVDPVQPSQMRDPAPAPAPAEKKKRDRGEDLLLADDSEAAGWSSAILDRSLALRRRRGPSPSSFHRGCLVVTEAQNSCDQETAPEVVAEEILDCKELTVEELVYWTVI >SECCE6Rv1G0420310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:676479119:676479460:1 gene:SECCE6Rv1G0420310 transcript:SECCE6Rv1G0420310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEDFRASHDIASLAKKMVELERHVMFPAVYRLIELALLQPVVTATVERAFSSMKIIKTELRGKMCDGWLYDLMVCYIEREIFKSIDLNKIKEDIQKESRALPLPGSSTRH >SECCE6Rv1G0407770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:564676720:564678036:1 gene:SECCE6Rv1G0407770 transcript:SECCE6Rv1G0407770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPNWMMLDRFVFRRDPAESSIEDRIYSFSASHITGSVPFTVAFRVLSPPEISRLYLKWPPLLDPMNGSSCDLVAAHDNLLLISLTSSPRTVNTYKSPVYPQEHFICRASTSPSQPSLTLHKIPMCTEPLVVRGNKDKVITTQRSFHPHTVGILCRGDEEFAVAQLSLSRPNLVADMQADLCVLRSSVHNCDHKWEVEQQLPIQCKLSESSDLIYWKTDTVIPFKTALYWVDFCSGGMLFCDVFQKRPAISYLQLPICNRNPGYEVRPFHDMHRSVCITKGGQELNFIDVVREDGKHFGPMSPGTGFTINRYALMTSSCGTLTWKKFFVKSSELDSLKTSPSQALTFPLVSMDNPNMVHFLLSKKMGDGIDNVSVVTVDMRAKEMVSSHPYIKGNEDLLGKDADMVRRKSHLLQPFISSRLPEFVNRARYVVLAT >SECCE7Rv1G0503360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:710553557:710556325:1 gene:SECCE7Rv1G0503360 transcript:SECCE7Rv1G0503360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAPHRARSTSAATVLMVVLVAAAVVVGAAASGKKKPLVPAVIVFGDSTVDTGNNNVIGTVLKSNFPPYGRDLQGGATGRFCNGRLPPDFVSEALGLPPLVPAYLDPAYGIEHFATGVVFASAGSGLDNATASVLAVIPLWKEVEYFKEYKQRLAEHAGRARARHIVANAVYIVSVGTNDFLENYYLLVTGRFLQFTVAEYQDFLVARAAEFLTAIYRLGARRVTFAGLSAIGCVPLERTLNLLGGGACNEEYNQVARDYNVKVKAMIARLRAELRGYRLAYINVYDDMVDLIEHPEKLGLENVSEGCCATGKVEMGFMCNDKAPLTCDDADKYFFWDSFHPTEKINRFFAKGTTAVSLSLLT >SECCE1Rv1G0044940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:606345234:606349619:1 gene:SECCE1Rv1G0044940 transcript:SECCE1Rv1G0044940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPHPSPDADDAHVQEEEAAAAAAAGAAGSGTEGGAANGGSAELAKDGGGDSDAGEDEEEEQKRKQQQEDEHEEGGGSGGDDEDGDDSQSSESDAADMDEFILVKLMDIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCKSRRSLRDDPNFDALILALYPDIDKYEEEELAFGEEEKTRNKKIQDSIAETFRRQTEALVKKRSNAKAIASTRKTRGSMRTKKRGRTSSPDNAPTDNDDDEREENGNDGSKESSSVDDRSPDVKMKRARRWPVPRSSPAKTIGNVDSSYEENDDLGGARDILATSPLRGEMLAWGKNGTRSQTRHGSAGGSSGRMVKGGRVAKLVDHLRNADEFDTKFNLYLALTPLDGQSMPKLEKSYLSCQPTFSVSHLCQFVALQLSRQAAEVEIYFRKKASNGSLKTEDTSAGDEAKPERLDGLERLKEENSLSELYPMLASGVGDLELLYSVKAQG >SECCE2Rv1G0084550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:176223529:176224806:-1 gene:SECCE2Rv1G0084550 transcript:SECCE2Rv1G0084550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKLLPDDVLAEILRRLPPRSLATSRCVCKAWHDMIDGGQLLRADLLPHTLGGIFIDFRYLQVSELFRPSTRTAISGDLTYSSANTAVTEHCNGLVLLSHTVVNPATRQCARLPPLPPLPSRAGMEDFYVVRGDKFLVFDPSVSPHYEVVSIPLVPFEERLGRMPRESEWPPSPFVLPVYSSETKRWEERPFVRQGDAAGTIAGMLEGDGDSLDLDQKRYGVYLRGALYVQIQSDFCCRISMADRKYRVIRPPAGMETATYPELHLGKSGKEVRCALVEDAFRFLRVWGLDESRGRCEWALRHRVDLGQALARGMCYRQEETDERWILENVNDGGAGEEEGDHAPVVERETKSDYVSFLGFHPFEDVVFLCDTLTRGVAYHLKRSSLQYMGILRPRHYGHIAGSVAGVNAYFPYTPCRMGELA >SECCE3Rv1G0200310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852301805:852309686:-1 gene:SECCE3Rv1G0200310 transcript:SECCE3Rv1G0200310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPRPAPAAPPVRRSARLGPQAPHQPPCSPPPPSPPAAEGSPGGTDPRRSVRISVRTRVRGLPSAAPSSSRIRRKSPAAPLRRTVQACAEEWGAAKAASGAPAEECVLPFLQKGAPRKVECLICSKSILPDERTRCSGHHCEVTLHKVCSENSDGSCPRHICFHCKSRTTRHRLKHVLPTCTQCSLHENRMAESVDPSKIMISWSIWPSTSKGPGPAYDIEEVFRRLPLPYADQEFNIDPIKKEELESVTKPPPYVHLKHNVYFVKKKCVGDVIEAKCSDCDPPLTCQIRCSCRSVSISCSQACKCSNKCTNRPFRREKRIGVVKTQQCGWGAIALETIEEGDFVIEFVGEVIDGTRCEERLQDMKQRRDKNFYMCKVNNNFIIDATFRGNDCRFFNHSCRPNCQLENWQVNGKTRLGVFSLQGIRVGEPLTYNYSFQQHFGPQTKCFCGAENCRGKL >SECCE3Rv1G0169920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:244263654:244264078:-1 gene:SECCE3Rv1G0169920 transcript:SECCE3Rv1G0169920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSTSRPSWTQYGPLPMERCPDCPCSAPLIRLTLKEVKNGNYGREFVKCESKPEGHIVKKCTHFEWLNDYVKRIQFNGAPTRELNLPSAPTNLVSKSAVLIVGDADLKG >SECCEUnv1G0539410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75738023:75741853:1 gene:SECCEUnv1G0539410 transcript:SECCEUnv1G0539410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEMSVRNKVDLERMLLDGSAEPTCLALSLLEDITNCFSDDQQIGSGGFAVVYKGMVGKGMVAVKKLTKTFGVHENKFYKEVQCLMMTKHKNIVRFLGYCSDTQGRTANYEGKFVMAEQRNWLLCFEYVPNGSLDNYIADASRGLEWRQRYKIIKGTCEGLLHLHEKRILHLDLKPGNILVDDHMVPKIADFGLSRCLDREQTQACTLNPCGSLGYLAPESFGGKFTLASDIYSLGVIIVEILTGEKGYPEDDDVVETWMNRLEGSNQWEIQMEQVRVCFTLGTECMDSDPKKRPVVSHINDRLDKLTSTVGTAVNTSSVEQQVSFLKEQYCQEKIAKISSEYLGKDIKEDVETEELVKYDGAPREDHWQQGREEVPGDQWSLWGAQGTNENVIPRGASIPSSSSSSGLLYKLNNMGIFNTKARRNYVRYGGLTLEDAMNIKLFKKRQLKPFLKDENLIAKDHFGEGYRGFVGGVQIIARKLSGRALVTEQFADEVIIQSQVIHRNIVRLIGCCLELDTPMLVYEFVSRGSLDDILHSNKVPLNLDLRLSIAVGSSHGLAYMHSQTGGKIRHGDFKSENILLDDKFVPKILDFGLSRMTMENYDGIIGDMSYMDPVYLQTGLVTEKSDVYSFGVVMLELLTRKRATHADNNSLVMDFLENHQQGRKSTELFDKEIAVVRDLELLDNLAGIAMECLNLDVDERPTMTDIADRLLALYRPRMQAGS >SECCE6Rv1G0379950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:17960756:17965373:-1 gene:SECCE6Rv1G0379950 transcript:SECCE6Rv1G0379950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRPPTPSTPASKIQRTPMVTPGGSSRAQEEKILVTVRVRPLSKKELAMKDPKVAWECADSQTILYKGPPQDRAAPTSYTFDKVFGPACQTDLVYEDGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVHDIYRHIENNPEREFIIKMSAMEIYNENVKDLLRPDSGPLRLLDDPEKGTIVEKLDEVITKDSQHLRHLIGICEEQRQVGETALNDASSRSHQIIRLTVESRLREASGCVKSFVANLNFVDLAGSERAAQTHAIGARLKEGGHINRSLLTLTTVIRKLSSEKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALTHAEQSRNTLFFATCAKEVTNTAKVNMVVSDKQLVKHLQTEVARLEAELRTPDRASSSSDILARKIKQMEMEMEELRKQRDSAQLALEEIQKRTGDNQPGWNPFDSPQKTRKCLTFSEPSNNKIKIRSSVRQSSTAPFMLKHEIRKLEQLQQQLEVEANRAIDVLHKEVECHKHGNQDAAETIAKLQAEIREMQSVRSENRDVDMVTDEGNGSDLKDEISRLHLQDSDIAKLEAKLENVQRSIDKLVMSLPNVETTPKSNRSKKKKRMLLPLGVSNRPNLIRAPCTPHSSSRPLESEVENRAPEGEKVSHEGSEKATPTKSEDTGDLSSRDETPRRRSSSVNMKRMQKMFQNAAEENVRSIRDYVTELKERVAKLQYQKQLLVCQVLELESNEGKPNEMDEDPVENAGSLQDGPESWEMLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDMADQIYIEVEVRRLTWLQQHFAEVGDASPAALGDDPAVSLASSMKALRNEREFLARRMGSRLTEEERERLFIKWQVPLEAKQRKLQLVSKLWADPNDKAHIEESADIVARLVGFCEGGNISKEMFELNFALPASRKPWLMGWQPISNMIKEKTRQLVSTPLQ >SECCE1Rv1G0031010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:437638384:437638851:-1 gene:SECCE1Rv1G0031010 transcript:SECCE1Rv1G0031010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNVQKESTLHLVLRLRGGTTIKVKTLTGKEIEIDIDPTDTVDRIKERVEEKEGIPPVQQRLIFAGKQLADDKTAKDYNIKGGDVLHLVLALRGGGCC >SECCE6Rv1G0438220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:788519963:788528703:-1 gene:SECCE6Rv1G0438220 transcript:SECCE6Rv1G0438220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSRDLIRGIDGGDDGEHKEKVQRLDSQTEEVDLHLTLGLALGAGAERRSGEGLLGGGAEVQPSVTPQPQGSGNSIKEEPAAQGTPSAQGRSLSLAVVSLSQGTNGVHQAEGANNTVMATASSLAPAVRAATLGSGGGQQDDEEMSKVREIPLVSTSGLLNWRRIVGLLYEYNKVDEVTILCMCHGSFLSPAEFVEHAGGGQVANPLRSIFVMPPPWL >SECCE6Rv1G0385160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:73526324:73528036:-1 gene:SECCE6Rv1G0385160 transcript:SECCE6Rv1G0385160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPTTPAATGAAAAVTPEHARPRRMVRFNPRSDRFQTLSFHHVEFWCADASSAAGRFAFALGAPLAARSDLSTGNSVHASQLLRSGNLAFLFTAPYANGCDAATASLPSFSADAARRFSADHGLAVRSIALRVADAAEAFSASVDGGARPAFSPVDLGCGFGFAEVELYGDVVLRFVSHPDGTDVPFLPGFEAVSYPGAVDYGLTRFDHVVGNVPELAPAAAYVAGFTGFHEFAEFTTEDVGTAESGLNSMVLANNSEGVLLPLNEPVHGTKRRSQIQTFLEHHGGPGVQHIAVASSDVLRTLREMRARSAMGGFDFLPPPLPKYYEGVRRIAGDVLSEAQIKECQELGVLVDRDDQGVLLQIFTKPVGDRPTLFLEMIQRIGCMEKDERGEEYQKGGCGGFGKGNFSELFKSIEDYEKSLEAKQSAAVQGS >SECCE3Rv1G0155070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:63668436:63668681:1 gene:SECCE3Rv1G0155070 transcript:SECCE3Rv1G0155070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLGPKHRGLSTYKKECLAILMAVEQWRPYLPSDEFLIRTDQRSLVHLDDQRLYTVWQQKTFTKLLGLRYKIYYRQGDH >SECCE5Rv1G0344150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:643679579:643680409:1 gene:SECCE5Rv1G0344150 transcript:SECCE5Rv1G0344150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPKKHARTRSGALASFLRSTAASFSSSTATTFGSRPAGGGKASFNHRNAFSGPMVSIVPPEARGGGGRRRGGKQGGSGSGYRTPEASSPKVSCIGQIKRSKSKTCKARKAANVAPAAACGMDGGACPMPLRPPVHSRPKKSSLVRRMFSRRSRSRPSSSSSSHKSSGAADLFKGRPGSSAAVAAAPVSGPGMAGLGQMKRFTSGRGAFQDFDWREAGRRGSDVDVDDDYVDDGFVAFSAPLVLGGGEVASEPRKEVNLWRRRPMSPPTPLELP >SECCE6Rv1G0441090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:809033584:809034615:-1 gene:SECCE6Rv1G0441090 transcript:SECCE6Rv1G0441090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFAHYVGHMLAQLAADEVGTILGVSGKIDKMGDKLRDLNNFLADADRRNITDVTVQEWVGQLKRAMYEATDILDLCQLKAMEHGSSTTLDAGCFNPLLFCMRNPSHAHEIGTRIKKLNKRLDYIKERSAAFNFVNLGSYEDHRSNVRISHHGNPSRETVGDFDRSAIVGDKIEEDTRALVAQIMQPGKDVKNDIMVVAIVGVGGIGKTTLAQKVFNDEAIQGDFSKKIWLSVNQNFNEVELLRRAIMGASGNSQLAGNAKDALHRALMRALKDQKTLLVMDDVWDNGAWEGVLKIPLANAAASGSRVLITTRDERVAQGVSAIRPYHHVDTLAPDDA >SECCE2Rv1G0115080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:746215386:746219486:-1 gene:SECCE2Rv1G0115080 transcript:SECCE2Rv1G0115080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLSFKRMDSVAETMPDALRQSRYQMKRCFQRYVSRGRRLLKNQQLMEELERSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHADDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEVDFGALDLSTPRLTLPSSIGNGMQFVSKFMSSKLNGKPESMKPLLDYLLTLNYRGEKLMVNDTIDTVNKLQTALLLAEVFVSGLPKFTPYLKFEQRFQEWGLEKGWGENAERCKETLNFLSEVLQAPDPINMEKFFSRVPTIFNIVVFSIHGYFGQEKVLGLPDTGGQVVYILDQVRSMEEELLQRIKLQGLHITPKILVLTRLIPDSKGTKCNVEFEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDASAKILDILEGKPDLIIGNYTDGNLVASLMASKLGVTQGTIAHALEKTKYEDSDTKWRELDQKYHFSCQFTADMIAMNTTDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTALHPQIEELLYSKEDTDEHIGYLADRSKPIIFSMARLDKVKNITGLVEWYGQNKKVRDLVNLVVVAGLLNAAQSKDREEIEEINKMHNLIDKYQLKGQIRWIKAQTDRVRNGELYRYIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPTNGREAGTKIADFFQKCKEDPGYWNKVSTAGLQRIYECYTWKIYATKVLNMGSMYGFWRTLNKEERLAKQRYMQMFYNLQFRNLVKTVPRVGEQPPRAATPTGAAAERNQIVARPRERKPQGRVQRMMTSLLGPKPPAYEQNGYR >SECCE2Rv1G0097270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:455966252:455977221:1 gene:SECCE2Rv1G0097270 transcript:SECCE2Rv1G0097270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS45 [Source:Projected from Arabidopsis thaliana (AT1G77140) UniProtKB/TrEMBL;Acc:A0A178WPE4] MVLIPLIRDYIDRMLQDISGMKVLILDPQTVGMVSVVYSQSDLLRKEVFLVETVDDASSSRASMAHLKAVYFLRPSSDNVQKLRRHLSAPRFAECHLFFSNILKIPQIQVLADSDEQEVVQQIQEFYADFCAIDPFHFTLNIHNNHIYMLPTVVDPPGMQSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKRIAQETTRLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLKEFANVPKDQQEVVLSAVQDDFFRVNMFENFGDLGMNVKRMVDDFQHLSKSSQNFQSIDDMAKFVSNYPEYRKTHGNVTKHVALVSELSRMVEERKLMQVSQTEQELACASGQAAAFEAVTSLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLIFQTMEGIVKGRLRDADYPLVGNHFQQGRPQDVVIFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKRFLENLGEAQRISKSSTLL >SECCE5Rv1G0342870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633984434:633984748:1 gene:SECCE5Rv1G0342870 transcript:SECCE5Rv1G0342870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRADKENLRPATAPAAAVARPHGVAARSCKLKRLGRSRRRVPLRDITNLFAVESAVARWQQALLQQPHEGSATAEPAVKNGLAGAVPVLKAGRYSLRKEFR >SECCE3Rv1G0166010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:181558196:181566151:-1 gene:SECCE3Rv1G0166010 transcript:SECCE3Rv1G0166010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFEVRNEVGLGDPGLYGGAGAGKRGPAAAASGEAEPKALLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVITTSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVPGSDWHTQLQNEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKFDNAGAGACLKRYSDPSYFKKSWDVMRADKTAHLQKERRSHKIKRKGSRLKEPYHGQATSRHRNGELQRALTAVQLTSRQCASPSMDGRSFSEHRSTSDARSNPDNISRSSSFSSKARLSFAEQASDTKPSVVPHDNGHDKLSNIDTHKLNDASSPILLSGTRADDLDDDSKQGSLSDEMNARSPSVEWHEKTAIVMTTSSVYCDDVVMDRAENAETIHIKPVQREVDHREMETLEQQGALLQKAKMLLLSSGLNHRDEVPSETDNYMDALNTLESETETEVDFQTKKQGKPVHSLNVHAPQIESVDNIISQLPDSSPAEPDTSQNSRMLHTFERTADFPSLSSADAPDISHHALSGYTDIHPNEWPSVTTIPENNAKDTAGDPPEISEPALQAYTATPPNERSPGANEIPESKAEVAPGDSPGANEIPECKAEVAPRDSPGANEIPESKAEVAPGDSPEISEPGLSTYAVFPPNKESVVYQIPDNNVEDVLEDGSNESTSCLVPEPAISFIPTSEASPAKMLPGDTTGDSFVISERNPQDYPGENRQEFGGCGMAEVSNSQTVPLNESLENGSATQHLPTHAPTSSVELSSVKLWTNAGLFGLEPSKPPVGICPGSASQSYPEANQSAIRTPDAVYSQTDRPSNSSTYFEHREHKNLNGKQASISELLESEGNAENGAETYSATDLAGRNNLHVVSASSFSSIAQRFLANTLQRRTSPKYNDLPMSSGRVNTDANGFDEATVNSTLAPKEAAFEASQFEKKTDNGIDGLPKSSIFSSGHYSEKSSPPLEHMKISFHPMSASEMSKLNLDFSDANLHENVDDMMLPTFQLLPGSSVPQPGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSELWDQEDGIGLEDHELYNESNQIGSSTTPVSSYMGFEQMNLSGEKSTISLSDIGDPNGLGSLESHPAGELPNFDTLMSTSNQQNGDALIPHNPVNLSPDEDQMPPPPPLPPMQWRTMRQTTSLEEERDSTAKDILKNASSLPPVHTPVQEQHLPPCAPPFPQGNVKEVNHQKVDAIKETSNLPNIFEIKSSLLQQIRDKPDLHKLNGHEKSKAVFNDVNSLDDRGDLLQQIRSKTFNLRRTNGSKANTSSQSTESTTNSNVVAILEKANAIRQAVASDEGGDDDNWSDIN >SECCE4Rv1G0293930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887660648:887663243:-1 gene:SECCE4Rv1G0293930 transcript:SECCE4Rv1G0293930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSPPAPAGDSPPPQQPESGGSISSMVASSASSAAAAAADFTRRGEAFGADMAAAARTAVDTAHAHAYSSAVAASDAANAAMSGALAAVPTLTQAAKEELEWVKKEYFAREQMALGKIKEGVIMAIEHPGIAAGSATVAGIVLLKRPRSYLIQRVRRVFVSKETLLSGIQAEVNHMRQTVNLMSNESQKLMDRAATAEKRFHKGWNTLREEGRAIQSELKQISDIENQAVGLKGILDQLPRAHASEFRSEISGLASQVKKEKRVLNSALTKIVNYGVPI >SECCE5Rv1G0337540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:591893208:591894520:-1 gene:SECCE5Rv1G0337540 transcript:SECCE5Rv1G0337540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLFSMAHPWASAFGILGNIISFLVFLAPTPTFLRVYRKKSTEGFSAVPYVVALFSCALWIFYALVKTNSSPLLTINAFGCVVESFYIVLYLVYAPRAARLRALAFFLLLDVAAFALIAVVTLFLVPQPSRVKVLGSVCLAFSMAVFVAPLSVIFVVIKTKSAEYMPFSLSFFLTLSAVAWFFYGLFTKDIYVTLPNVGGFFFGVAQMTLYFCYRKPDTSALVLPTGIHDVSTEKAAQQEVELPEGTHAAVAMLTVNTLPMLAELQKMEQEISSPTPRKGYIKAF >SECCE1Rv1G0056810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689264265:689264947:-1 gene:SECCE1Rv1G0056810 transcript:SECCE1Rv1G0056810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVSNPEMGMPRRSASLFALAFFALLLSTSLAGRQRPSFIVDQESLGQQGEVQDDEAMALVHSRMLKDVTTSDYGTYDPTPSMQKPHFKLIPN >SECCE5Rv1G0358390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755549375:755549932:1 gene:SECCE5Rv1G0358390 transcript:SECCE5Rv1G0358390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAGQGAQPAKKPAAEVTLRRFGLDDVDAMMAWASDPQVAAPCRWEPYESTEPLLAFIRDAVLPHPWFRAICLAGDDRPVGAISVSPTDDACRAELGYVLARTHWGKGVATAAVRRAVAAVFGEMEGLQRVEALIDVANVASQRVLEKAGFRREAMLRRYCVLKGAVKDMVIFSFISTDAVLVE >SECCE5Rv1G0326490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:480617244:480622782:1 gene:SECCE5Rv1G0326490 transcript:SECCE5Rv1G0326490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAASVGRSGEDEDGEEEHGGRAAAAEVQVYCAVGKEAGREWRANLRWVLANFPRSRHRLVLAHVHRPPHRINMMGAWVPVSQLAEHEVAAYSKLEEERASEALDVLIDMCASQRVHACKVVVSGDDAARGLVQLVDDHGVAELVMGAAADRGYTRKLRTPKSKKAVTVQRKANPSCRIWFVCKGNLIYTREVSEELIRGEPSTASSSPRSVASDYSRSKSSPPRLTLHGDGDDELCGMHHDSPDPMVAALLRRTPSKDDSDNATDHSVEDFGHEGAAEGGSSVVVQSLQDVEEDQPTPSHDGSEEASDMEDALYEKLKDAIMEAGSLRHEAYEETRRRQKAERDLGDASRMSSNHGEARRRKEMEESLARERAAMEQERRELDAILAKIREVDERSAELELQVTDSGHVMSELDFRMPESCSVLDALRRERRGEGPAADEESMPTVAGGDQSVSFLRFGLSELEEATDRFDESAVIGGARAGSRGRVYRGSLRSMSVAVKMICPDVAVHEARFARAVEAIARARHPNIVALVGACPEARALVHELVPGGSLEDRLGGAAPPLPWHARCGIAYRTCSALAFLHSTATVHGDVRPANILLEDELCSSSKLAGLGMRGLVGPPQLLSGVALAYVEPRYLATGELTPQCDVHALGVVLLHLVTGMPAFAAKKAAQKAADGSTPWHEVVDATAGGWPMERATEVALLGLKCCDAVETGGPRRPAELLEEASSVLEAATDATPGRTWSSLSASTASDSGGAPSYFLCPILKEVMRDPQIAGDGFTYEAEAMKEWLGSGHDTSPMTNLKLPTDELMPNHALRAAIQERRHTRPSTFHRYQ >SECCE2Rv1G0064720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4932056:4936084:-1 gene:SECCE2Rv1G0064720 transcript:SECCE2Rv1G0064720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPEAGQRFSGLRSARWRADLGVLPASPDVPTSELRRAAADSRRRYANLRRRLLIDPHLSKDEDGVPNLVVENPLSQNPESTWGQYFRNAELEKMLNQDLSRLYPELGEFFQTTTCQSMLERILLVWSLRYPEFGYKQGMHELLAPLFYVLHIDVQHFKQVKELHEELLGDDFDGQTFPDRFLLNRSDRTNNSEGSTSKIRSLDELDAGTRDLLLINDAYGAEGELGIILSEKFMEHDAYCMFENLMNGLINGAQGVVAITDFYSLSPATESSMGLTPVREASSAIYHLLASVDSSLHSHLVELGVEPQYFALRWLRVLFGREFTLDNLLFIWDEIFSSPNHSYCTDIKNRGDYQFKVLCSPRGALILSMAVSMMLHLRSSLLGSEHATSCLVRLLNFPQDIDLKNLIEKAKLLQSLALEANLPLSPLTGKSPLTPPNYWEETWKMLQMSGDKGSTIRIKGRGFLRRSVSNTESNVSRTKGANVENSNSTSTSQPEPIADELNAADIVPVNSVNSLPPMPTERRKDCAGQGTAEIGRSTSNSLCETGPHDGYHTTTAKIRDGIEAAREYLSRNRPPSFRRRTDHDHDTRPQEEPCVSHDARVVNEPDPLSVHNDKTDEPCQSDGKTDEAAITDQTLESVDYQPNQGHSICSDIGPSLKVADRELVGTLRSFGESMVENIQVIELHFRPNLHTASVQNGPGSTEQAKALAALEELKKISDLLCRV >SECCE4Rv1G0278180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:807711432:807711923:-1 gene:SECCE4Rv1G0278180 transcript:SECCE4Rv1G0278180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGLALKAAAVVAMLAMLVLPSFGRCPSLGPAPPPPSPPAHAPPPPEPIPPAPAPAPAPRVSCGDCYSVGSQACYSLCIAPLSETCGCLLVQGRCDKCKTDETDMCTANCTDGGCDCGAAAAEKACADTCSYNDCSWCVHGQQQGCLTSCRSACMSKCNGP >SECCE6Rv1G0430320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:741088741:741089542:-1 gene:SECCE6Rv1G0430320 transcript:SECCE6Rv1G0430320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAVRVIGAFDSPFSHRAEVALRLKGVPYELILEELHNKSELLLTSNPVHKKVPVLLHGDRAVCESLIIVEYVDETFDGPPLLPTNPYDRATARFWFRFIDDKCSKPFWLAMWTDGEAQKGFMKEIKENFALLEAQLEGKRFFGGDAIGLVDIAACGFAHWLTVCEEVSGVTLVTAEEFPRLCRWAKEYASDEKVRACLPDRAQMLAHFTANKEMFKAVAKSMLPK >SECCE7Rv1G0471530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:131564323:131566862:1 gene:SECCE7Rv1G0471530 transcript:SECCE7Rv1G0471530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKLGSFTIPRKAKKELSAIGGDISRISSTVEEKAKWVFEKLKGKPNKSLSDLMREYNLPPGLFPRNIICYEYDQTSSRLVVHLAKPCEVSFADSSMIRYATRVKATLSRGKLSAIEGMKTKVVVWVKVTTVNLESFRSDKVCFIAGVKKLRQKDAYEVPREAVSIEEF >SECCEUnv1G0528020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3122338:3124160:1 gene:SECCEUnv1G0528020 transcript:SECCEUnv1G0528020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSAAEKEMVALRSQEGVDFVVPVEEAMVSTFLLRRMEVDRDNYIVPADGDDTKYTHILLRSIRAHVLHKVLHYCKRHAAADDDRALGDWDAEFVGSVDLDTLYDLILASEKLEVRGLLGLACRALADKIKGKSPRETCHILDIQGVFDDANHDLKLVEKALAALNIVRCQDFTEYEPKLNAFKCSRYHNFENLAFFDFDKESSFQRGPPLHQLPSRQSIQRDCLNVISVKARQSDVGYPISVFGTVIARDYVDYRCVYLFRRERDDPQLISSPDDMLSLIDPCRALVPIDNVYFETDLKIKCDGGADIVFSKGITEFNWVCIPTYKVTMTVSVYSFLSDVAFLCALVYTPVEATIAINVLKGPCNISRVAASTPGNFKEHIVLYESAGSPAVIGDGDSVPLTRSVVAVSLDQKLALFLVGGDACEHLVLNLGQSNQVLVRKMGCAVLEVIVGWTYVPRRERPNMFKVIGKYTRLLL >SECCE5Rv1G0349380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:683183821:683186091:-1 gene:SECCE5Rv1G0349380 transcript:SECCE5Rv1G0349380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFLGWLAHGGAVQLPRASHPVVHPAPPPPVPDEVAPAAAAEGQGQAVAPAPAPAAAAEVEDANANANAPPPGVVMVDLMGAPGTRWGLGTRLAQAILAAAAIGFMTSTDDFNQVTAFRLLVTAETLQCLWSLTLAAVDVYALLVKRAFRSPRATTIYSIGDWVTGALTFAAASGSASITILINDDLMMCSDNHCPSFMASTSMAFFTWFAIAPSCLFNLMTAVYRVQRA >SECCE1Rv1G0012570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:93928087:93929206:-1 gene:SECCE1Rv1G0012570 transcript:SECCE1Rv1G0012570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPTLLFAWAAAVLLAVAAMSAVADASPPPSKFLKVGFYKHTCPQAEDIVRDAVRRAVARNPGLAPGLIRMHFHDCFVRGCDGSLLINSTPGNTAEKDSVANNPSMRGFEVIDEAKAALEASCPRTVSCADVLAFAARDGAYLAGGINYRVPSGRRDGRVSIADEVLNNNVPAPTDEVVELVASFKRKGLSTDDMVTLSGAHTIGRSHCSSFTQRIHNFSGEVGRTDPSIDKSYAAELRRQCPPSTDNPSDLTTVPLDPITPREFDNQYFKNVLARKVPLTSDQTLLTSPRTAGIVAFHAAVEKAWQAKFAAAMVKMGNVEVLTGHEGEIREKCFVVNHR >SECCE3Rv1G0154310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59762194:59763897:1 gene:SECCE3Rv1G0154310 transcript:SECCE3Rv1G0154310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPRTDPRDYDDDGRLKRTGTVWTATARIVTAVIGSGLLALPWASAQLGWVVYPVMVLLFACIICYTSALLSDCYRSGDPSHSERNCTYMDTVKASLGPWSTGRAHVGICQFSVYSNIFGVAVVYTIAASMSMLAIQRVSCFHHNKGHPRPCNFPVWPYMAIFGVAEIFLSQIPEYHTWWFSFLGPAMSFTYCLIGVGISIAKVVGNRVVKGSLIGIGMGAVTASPTRKVWGSLQALGVIAFAYCYSRILIEIQDTIKAPPPPESKVMKRATTIGVAVTTFFYLLFGFIGYAALGDDTPGNLLAGLGFYEPYWLVGIANAAVIVHLAGAYQVYCRPIYDFVERCAAHRWPGSSYVTREFEVLVLRSYKLNLFRATWRTAFVVTTTAVAMLLPFFHDVVALVGALGFWPLCVYIPLEMYVTQKKVLRWSPLWVCLQILSLGCLFVSLAATAGSIAGIITMELTIHKK >SECCE1Rv1G0041820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:574352155:574352718:1 gene:SECCE1Rv1G0041820 transcript:SECCE1Rv1G0041820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTPIICALAVSLGAIALLSGSTDAACAGDPSMSVADVCHKTSAWQGQRELELCQKTLHGAKDGLTASAYGVVAVRAALQSSEATEGAGKKLAQDPKISEGARAMYQSCVDMYGFARADVAAMEGALKACSPADFRRVWEGALASVDACARKLRLVDGDVLRRTVSADRERIMLAFILGGLSFPKW >SECCE3Rv1G0146620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11972393:11975343:1 gene:SECCE3Rv1G0146620 transcript:SECCE3Rv1G0146620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSPPRRLRLLRPHHLRALSNAAAAALAGPPPAPTEWTEAPVASVRAATADASLFHVSLDLSAHGPLLASHVAAGQFLPFRLPAAPYPIFLAISSPPPASSSSASPPRSFDFLVKRLPGTPSARLCDLRPGDLVPVGGSVVGRGFEVRRIADARHVLVFATGSGISPIRSLIESGFGENEKIDVNLFYGVRNLQRMAYQERFSDWESRGIKIIPVLSRPDDQWTGQRGYVQNAFSRAKKVINPSSTGAILCGHKQMTEEITRALVADGMSKDKILTNF >SECCE2Rv1G0078850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:112858878:112860431:1 gene:SECCE2Rv1G0078850 transcript:SECCE2Rv1G0078850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDDILLSILGRVDTATATRTSVLSKRWRDLPWLLPVLNLRVWNFLPHPCPEPIAAHHMDQAMSRLTKATRSFLADPNSKSTIIKRLSLQFYLTSDYAREIGPLARDAIDCGVVKKLCLAIVDEKDPRDCEHEYMLQQALAVNGFFTAYPCVHQRLTKLRLYNVRFAEWDMQHLLFDSCKQLKQLSLSHCDVGDSSVWQINAPDSKLRILEVRMSCLKRIEVLCLPKLKHLHWDEWFYFEPPLRFGSVPSLKVLVLICGATIDHQEFSLSQVLHGTRNIHTLTLDFEGERLWIQPEGKQLCHAFKQLRKLSICGIFVDFDLLWTINLLEAAPSVEIFSVQIWDHACQDDEQLRTATGYEAQRTKPSWSMPKFTSSETWQLRELEIAGFRPLEQQISFLRSVMERASNLKTVLLKEDKDPCVDCDSMNTPRGGFCPADKGEQEALVKQLTDGVGSSARIIFPNSGAS >SECCE6Rv1G0381410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:32581027:32583315:-1 gene:SECCE6Rv1G0381410 transcript:SECCE6Rv1G0381410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPKSNDNAMLHDGEMIASDKMIGSSEVVHCSEMLHGDNMVQESEIVHGDEMVISGNEMVHGSEMMIHGNEMVQVNDIIHSNEMAQVNNMVNGDEMAHGNPLVSADVSPPTSSRRRKKRSPVWEHFTIQHVPGDKRCRIACCNLCKGTFAYSSGAKVSGTSHLKRHIMQGSCPVIKNQERELALPLAEVVDNDGEGTIERPFKRRYRRNGSANATFDQERSSLYLAKLIILHDYPLHIVQQPALTALIGSLQPCFRVSDVDTVEGEVYAVYQKEKHNLLQALSTMPGRMSLTVGLWITSQTLGYVSLAGQFIDTEWRVHQRVLNFMLVASPHSQDAIAEAISRSLFDWGMSEKLFAITSDNDCPSHDIYSANLRDHLSNKNGIMLKGQLFVVRCYAHILNAAAQDVIASVHGVISSIRESIKFIKASDSRDEKFAEIALQLEIPSTNTLCLDVTTQWNTTYLMLLAALDYRQAFTILETCDDNYNEAPSAEDWKKVEAASNCLKLLYDSAHAVMAVADPTSDIFFHEAWKLQLELANAAAHEDPVVSSIARDMHESFDKYWKDCNLVLAVAVVMDPRFKMKLVEFSYSKIYGIEAAKYVKVVNDSLHELYKEYVAQPPVYVEQSAGGNNTQTTPPSTGDGLADFDMYLSEISTTQPSKSELEQYLEESLAPRIQEFDILNWWKLNALRFPILSKMARDVLAIPVSTVTTASSIFSAVTGSHMLDEYRSSLRPEIVEALVCAKDWLLHSPPASSETHCREMQ >SECCE5Rv1G0299380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:16278216:16283934:-1 gene:SECCE5Rv1G0299380 transcript:SECCE5Rv1G0299380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGQITPAEQVCYVHCNFCNTVLAVSVPGNSMLNIVTVRCGHCTNLLSVNLRGQMHSPLPAAAQVQETSLSKPNGTNGFIRDHGVYNNPEFGSSSSSSSPKFRMPTMMFSSQNDLLQEQTMHARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHFGLNPGSDGGKRLAVDDAAPAAKKIQGFCS >SECCEUnv1G0539250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75436320:75438107:-1 gene:SECCEUnv1G0539250 transcript:SECCEUnv1G0539250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g15720 [Source:Projected from Arabidopsis thaliana (AT4G15720) UniProtKB/Swiss-Prot;Acc:Q8VYH0] MAATSTTATVTPLLIHLLRGATDHVSVAATHAKLLKVGTASAISSCNHIIAAYCRCGITACAHDLFDGMPERDVISWTALMSGYSSTGRPFLAVSLLRDMSHSGVPPNAFTFSTAVSACARLADAGLGRKVHARAEVEGYASDAVVATALVDMYGKAGDVEGARAVFDAMAAPARNAVSWGSMLSVYAQNALGREAIQLFAEFRTKSNFMAPNHFMLSSVVNACAGVGRLGVGKSVHGTVLRFGHGCNSVIVVALVDMYSKCGLYEYSRKVFDRIEHPSVICYTSIIVAAAKYGLGRCALTFFNEMIDRGVQPNSVTLLGVMHACSHSGLVDTGLHLLHSMQSKYDIHPCASHYTCAVDMLGRAGRLEEAFGLANEAQVEGRDALMLWSSLLSACRTHRRLDLATRAAHRVSEFNQDVAGALVVMSNAYTSAGQTDNAAAVWSNMRRRGIQKDPGCSWIEIKDIPYVFYAGLVSPAGARAGEVMMLLDELEGKMREKGYKGGLGSTRVFDAHEEDGEDGKGEMVGVHSELLALGFGLLVIPKGMTIRVMKNLRMCCDCHDAFKLISDIMEREFVVRDLNRFHHFKMGSCSCNDYW >SECCE1Rv1G0030680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:430657128:430658003:-1 gene:SECCE1Rv1G0030680 transcript:SECCE1Rv1G0030680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASKSAAVLVLLVSLAGVATTVDARFRAMQWTPAHATFYGDEATAETMGGACGYDITAGYGADTAALSSTLFQEGYGCGTCYQIRCVKATACYRGSPVITVTATNLCPPNWAQDTNNGGWCNPPRTHFDLAIPAFKKMADWQAGIVPVMYRRVPCMRKGGIRFAFQGNPHWLLVYVTNVGGAGDVREMWVKGGGGMGWLRMSHNWGASYQAFGQLGGQALSFKLTSYTTGLTIVAADAAPASWSIGLTYQARANFK >SECCE1Rv1G0044190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:600423649:600425321:1 gene:SECCE1Rv1G0044190 transcript:SECCE1Rv1G0044190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDVKQRQIVEDRARNISHNVRCTECGSQSIEDSQADVAVLLRRLIRDEIKSGKSDKEIYRKLEDDFGETVLYAPKFDIQTAAIWLSPVIVGGVAAGVWAYQKHRQRTNVHIMALNLVRGVPLSPREKEMMIDILTPPAPTRRWWWPVK >SECCE4Rv1G0253770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:629484269:629491736:1 gene:SECCE4Rv1G0253770 transcript:SECCE4Rv1G0253770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 [Source:Projected from Arabidopsis thaliana (AT5G08740) TAIR;Acc:AT5G08740] MSCRAAPWGRPSAPRGRPFPASARLRSPFGGMSSWKNPILRNSWRIGDVPPMFGLPSGLFRCTASSGSGDAGFSRPQSIDEAPMPLYPWPDKQRPRVCILGGGFGGLYTALRLESLVWPGNNKPQVMLVDQSDRFVFKPMLYELLSGEVDVWEIAPYFAELLKNTSVQFVKDSVKLLRPSDHLRREPGVSCTGGVVHLGSGTVVEYDWLVLALGAEAKIDVVPGSAEYALPFTTLEHALKVESELKMLERRRFGKKSPPIQVAIVGLGYSGVELAATISERLKNTGTVKAINFQTTICPNAPPGNREAAMKVLESQNIQLFLGYSVNCIREVHASEDPGSMAADAKEAGGDDKKLVLELQAAQRGLQSQVVEADLVLWTVGSQSQILRLQPPDAPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGKFLPANAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRNDAAITANFIEGLTLEGPIGHAARKLVYCLRMPTDEHRVKVGVSWFAKGAVDSLASLQNAVASALSPPTTPTAAATNRGAMDPDSEIAFDFPPYLCQYKSGRVHRPGSDAFAPAGTDPLTGVVSKDIHSGPARARVYLPPDASAAPGKLPVVVYFHGGGFVVGSPARPSTHAYLNDLVARSGAIGVSVYYRLAPEHALPAAYDDGWAAVRWALTGGDGADPWLLDHADLSRVFLSGCSAGANIAHNMAVRAGALGAVPEGAAVRGLMAVHPYFTGKEAVGAEAAFGPDVREFMDRTWRFVFPGSLGLDDPNVNPFITDEARAAVGGIPCERVLVCVAEDDVLLRERGLWYARELKASGYAGEVELFESKGVGHAFHFDQLGVGEGVRLQERLVEFIKK >SECCE3Rv1G0211390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:950211052:950213482:1 gene:SECCE3Rv1G0211390 transcript:SECCE3Rv1G0211390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHLSFEDGWKVLEQGIVTCSKILEGSTGTRPTVAEYMNCYDCAYRMAVQTTSYCEEMYNGYKATLAESVRALVCPHLMHKRDGYLLRQLANMWSNYCIMVKCVSGFFNYLDRCFVEQRKLPCLEDTAATSFFSTVFSFFSHEVSDALLTLIRQERDGSNADMDILIGIMRGICRSEVKSFMKNAVVQDTYAYYSRKSSEWIVQYPLQDYLAKVQDCMEKETMRLMHYLCISEGDSTELCLKVVSAPLMQTYDSYAREKQIGGQLLLQTYKTVEDDLLARCNRLTIDSGADNSAGSYME >SECCE2Rv1G0096400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:438829506:438829987:-1 gene:SECCE2Rv1G0096400 transcript:SECCE2Rv1G0096400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLSAMTSVPQFHGLRSYSSPRSMATLPSLRRRRSQGIRCDFIGSSTNLIMVTTTTLMLFAGRFGLAPSANRKATAGLKLEARESGLQTGDPAGFTLADTLACGAFGHIMGVGIVLGLKNTGVLDQIIG >SECCE5Rv1G0330290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:527009441:527009713:-1 gene:SECCE5Rv1G0330290 transcript:SECCE5Rv1G0330290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEMVSQQGALLKVGLFVLVQALVYLILAQSSSVFSSTKTLGLPPARSLSARRMVALLSDLPLGGEPSPRALSLLEPSSPVPLAYQKKD >SECCE3Rv1G0144230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3320388:3320870:-1 gene:SECCE3Rv1G0144230 transcript:SECCE3Rv1G0144230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLLHGVAILALICCSLSCSAAAGDTVALDGRRNHWKPPPTPLPGKQPTPVTPVPTPPTPVPGRPVTPSPPVSVPPPPPTPVGRYPVTPTPSTPICGPPPPPVQREVSALSPHRKTLQQAVKNML >SECCE1Rv1G0055350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:679577531:679582847:-1 gene:SECCE1Rv1G0055350 transcript:SECCE1Rv1G0055350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPATADQAAGSPSPTITASSSLRRRAALSVNTSAAGGGGGRGMERERKEADEEERDVERAGAEPVSPAGRLFREAHFNCYIVALLGLGAPVDVAAARAGLEATLVRHPRFSSVQVSDDVKKNAKPRWVRTMVNLDDHVIFPDLDPAATSADPDRALEDYVSSLSTRPMDHSRPLWEFHVINFPTSEAAGAVAIRMHHSLGDGVSLISLLTACTRSAADPTRLPALPAPPPPRRSGGAVPPLSAGALALAAWAWSLVALAWNTLVDVALFVATSWFLRDTPTPFLGSPGVEFRRKRFLNCTLSLDDVKLVKNAMKCTVNDVLVGVTSAALSRYYFRKTGETSSDKRKPRKNIRMRSALLVNIRKTPGLHTLAQMMDPSEDNAVKWGNQIGYIVLPFHIVMHDDPLEYIRQGKKTADRKKRSLEAVFTYWSGNLVVKLLGIKAAAALCYGMFTNTTMSFSSLAGPTEKVEFYGHPIVYIATSVYGHPHALTVHFQSYMNIMKLVLAVDDEQFPDSHQLLDDFAESLRLVRQAASARS >SECCE2Rv1G0139800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929121597:929126290:-1 gene:SECCE2Rv1G0139800 transcript:SECCE2Rv1G0139800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHWAGVLLLALHCGVAALLPPCSAAPALPPPIGGDVSALLAFKRAVIEDPHAALADWTDADGDACDWRGVICSSPHGSVVSLRLSNASLKGFIAPELGQLIFLQELYLDHNLLFGTIPKQLGSLRNLRVLDLGANRLAGPIPSELSGLNSVSVINLHSNGLTGNIPPQLGKLPNLVELRLDRNRLKGSIPGGNATGFSPMADTGSTAPSGLCPSPRLSVADFSFNFLVGKIPICLKYLPRSSFQGNCFQDEYSIRQRAHQICASASTAANLKGFKRPASEHKHDKVQQPTWLLVLEIATGALLLVFVITGVVTASRSCNLKPSIRISSWSRSKSWSDEITVLIDSDMLKNLPKLSRQELEVACEDFSNIIGSTPETVVYKGTMKDGPEVSVISLCAFEGHWTSQHELFYQSKVIDLARLNHENIAKFLGYCRESDPFSRMLVFEYASNGTLYEHLHYGEAAQFSWLRRMKIAIGIAQGLRYLHTESQPPFAISELNSNSVYVTEDFTPKLVDFECWKMLFSRHEKALGHFNSKASFPSRDSSEDKYMDIQGNTFAFGVILLEIISGRLPYCKDKGYLVDWAVKYLQQPEEIGKLVDPELTNVRTEDLAVVCSVVSRCVDPDPSKRPSMQIIAGALETGIDLSAAGILKESSLAWAELALSL >SECCE5Rv1G0365140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:804733522:804736668:-1 gene:SECCE5Rv1G0365140 transcript:SECCE5Rv1G0365140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVMVSPSPSASSGSGKSADRAKSRSRSRSKSKSRSRSRSRSKSSTKSKSRSRSRSRSRSRSRSRSPRRDRLRSERSSRRSRSPPRRGRSPPRRSDRRSYRDIICKNCRRPGHIARDCPSASTCNNCNLPGHFAAECTSKTVCWNCKKSGHIATECKNEALCHTCSKTGHMARDCPASGSNAKLCNNCFKPGHIAVDCTNDRACNNCRQPGHIARECKNDPVCNLCNVSGHLARACPKTTTLASEIHGGPFRDISCRMCGQPGHISRNCMATVICDTCGGRGHMSYECPSARVFDRGVRRF >SECCE7Rv1G0521090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:872964732:872967401:-1 gene:SECCE7Rv1G0521090 transcript:SECCE7Rv1G0521090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPARRPLLGALAAAAALSCLLLLAPPPLLFPSSSRRTPTSPYAHRPKLLFLLAGQSNMAGRGAPTSPLPPPYLPHPRLLRLAADLRWVAASPPLHADIDTHKTCGLGPAMPFAHRLLLSSSSSPSSLGDESAPTSVSAPGSEDQLVLGLVPCAVGGTRIWMWARGQPLYEAAVARARAAVAGGGGALGAVLWFQGESDTIEVDDARAYGGKMERLVADLREDLGAPNLLVIQVGLASGEGNYTDIVRDAQKSINLPNVILVDAMGLPLSDDQLHLSTEAQLRLGEMLAQAYLEFESSRDRKLL >SECCE2Rv1G0069950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31906824:31907897:-1 gene:SECCE2Rv1G0069950 transcript:SECCE2Rv1G0069950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNAAPTAGAAGEKEEEAVAPTVPTTPDADLPEIMSSLPTALGFPPFQLRQYAGFWLTDHTLKFVGASHARFRPRPTDVLLASFPKTGTTWLKALAFSALNRAAHPPSAGADHPLHRNSPHDLVGFLELAGEDDDGLIYEGIPSPRLLAAHLPYSLLPHGITDGSGGRIVYVCRDPKDTLVSFWHFHEKTTATLQWMADVGGASSAMPTFEEAFELFCKGQNMSGPQWHHTLEYWEASRRSPDQVLLLRYEDMLRDPEGSLRKMAVFMGCPFSPEEEEAGVVRDIVGLCSLGTLKGLEVNRSGSTMLGLKNEAFFRSGTVGDWSSCMTAAMAARLDGIVAEALEGSMLTFGATSKD >SECCE6Rv1G0378220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:7010319:7011918:1 gene:SECCE6Rv1G0378220 transcript:SECCE6Rv1G0378220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVALPLLGFLVSLVIVLVSLVVKIHPTPPAPGKRLPPGPRQLPLVGSLHHVLLSRYGDLPHRALRELARRHGPVMLLRLGAVPTLVVSSAEAAREVLKTHDAAFASRHLTPTLAVFSRGGRDILFSPYGDLWRQLRRLCVLELLSARRVQSFRHVREEEAARLLRSVSDECARSGAVVEIGEGMSRMINDVVVRSAVGSRCPRRDEFLREIDESVKLTAGFNLADLFPTSALARWLSRGLRETERCNRHVRDILNDIIRDRTGGAHGSEDDLLGVLLRVQRDGGALTTEIITTVILEILAAGSETSSTTLEWAMSELARNPRLLRKAQAEVREACQGQRTPTEGDMGRLSYLHLVLRETLRLHAPVPFLLPRQCRERREVMGYEVPEGTKVLVNAWALGRDGAYWEDAERFKPERFEAESAAVDFKGGDFEFIPFGAGRRMCPGMALGLANMELVLASLLYHFDWEVPGGGRPEELDMSEASGITVQRKSKLVLHATQRIPLPN >SECCE5Rv1G0376290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871924699:871927738:-1 gene:SECCE5Rv1G0376290 transcript:SECCE5Rv1G0376290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASVLLPFILALLAIPISLWLLDWLRLPPGPCPWPVVGNLRQIKPVRCRCFQEWAERYGPIFSVWFGSSLTVVVSTPELAKEVLKEHDQQLANRTRNRSTQRFSRNGQDLVWADYGPHYIKVRKLCNLELFTQKRLEALRPIREDEVTAMVSSVHRAAIGPGNEGKPLVVRTHLAMVSFNNITRLVFGKRFMNANGELSKEGQEFKNIVNNGIKIGASLSIAEYVWYLRWLFPLNEELYKTHNERRDHLTKKIIEEHAKALKETGGKHHFVDALLTLREQYDLSDDTVFGLLWDIITTGMDTTVISVEWAMAELLRNPNVQRKLQEEFDSVIGRDRVMSETDFQNLPYLLAVVKESLRLHPATPLMLPHKASTSVKVGGYNIPKGADVTVNVWAIARNPDVWSNPLEYRPERFLEESIDIKGGDFRALPFGAGRRMCPGAQLGINLVASMIGHLLHHFEWSLPEGTRPEDIDMMESPGIVAFMSTPLQAVAKPRLDKEELYNRVPVEM >SECCE3Rv1G0170250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:250616262:250617164:-1 gene:SECCE3Rv1G0170250 transcript:SECCE3Rv1G0170250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIMAACGQEQVQDFDFFVVVDFEATCVKDARIFPQEIIEFPAVLVDSATGRIESVFRRYVRPKHHPLLTQFCRELTGIRQEDVDGGVDLGEALWMHDAWLKAATRGAGNLAVVTWGDWDCRTMLESECRFNGIKKPSYFDHWINLRVPFQAALGGRGRTTLQEAVRQAGLEWEGRLHCWLDDARNTARLLVELMLRGVKMTIIGSLAPPPPIQQKQLLTSPCGGSSALVPPPIQQKQRQLHMISPCGGSSATCFCYCRVPTRGGVVSVPGPMQGKCFSGCGNWTPAMGPVCPYFVWTS >SECCE3Rv1G0184440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:663491661:663492371:1 gene:SECCE3Rv1G0184440 transcript:SECCE3Rv1G0184440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRNLYSLREDLNKAAIVIWMPLFFWIPIGCAFFRLNGLPPKFSVQVTGARSPEAPQTAVPADPISSTAFNITLHAVNRRPEDRCYRRGEAVVLYSGLTVAWGRTPRFCVGAMDARDVTVVAWADDGVELPALLRDQMAAERRAGSVELEVDVRLFRGDDGSARPTWMRCKVTTGGPKPPDGVPCTVFAQQNWASDIGPYWMQTLMASGCSRLETVVHDLFKSLYSISSVGDSTR >SECCE2Rv1G0102730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:581230716:581231712:-1 gene:SECCE2Rv1G0102730 transcript:SECCE2Rv1G0102730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGKVHHDWILRRCCGSIAACILTLAVLVGFVALVIYLALHPSKPSFYLQDIQLRSIDLADPALSLDVQVTIASRNPNDRVGIYYKTLHAFTTYRDEPVTVPVSLPAIYQGHKDQSVWSPVMSGDSVPVAPYVADAMKQDIAAGYVLLHVKVDGRVKWKVGSWVSGGYHIFVNCPALLSASGGSVGGAFAMSATAGGKQAVTLKFTQPAYCTVDV >SECCE3Rv1G0208530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:932970936:932971397:1 gene:SECCE3Rv1G0208530 transcript:SECCE3Rv1G0208530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSILRSSPLAVPAASSTRRPNHGVYLLRVSTRAAPSSLSVRCEQSSKPGGGTVVDVWASRLAMMSFAAAVVAEVSTGKGLVENFGVATPAPALALVVTALVIGLAVLFIVRSGAQD >SECCE5Rv1G0328140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:500390694:500396177:1 gene:SECCE5Rv1G0328140 transcript:SECCE5Rv1G0328140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT3G43240) UniProtKB/Swiss-Prot;Acc:Q6NQ79] MSQIQSLSRSCVLLAVLCGKHADKQQRTPPGRSGPEAKRLRPSYPFPELSSAGRLEVHTLVNPTPEQFLEAQRLVQPNFFYIQGQQLEDEEIGSLVWGDADMSDPQSFVCLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRNALLSVVQSSVSHTWDSFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKVNVVTPENEMAEEEGSSSEVSPAIKIYDDEINMKFLLCGVPSALDSCLLGSLEDGLNALLNIEIRGSKLQNRISASPPPLEAASVPRGMVTMRCDMTTCSSSHVSLLVSGSAQTCFDDQLLESHIKNELIEKSQLVRALPNSEDKLSSTEPFTSMSTACGASTFEVWMTLPKWAAQVLKHLAPEISYRSLVALGVGCVNATPVASFERQDADRLLFFCTGQRKDSAGESGPYFHLPRWSASLTKDRAKTGSESKSNLLVVNGTSEDRKAPVEGPSSLTSFKGKLKPATMRPIPHSRQQQMHPFMGFPEANVHETSQAKPNLPVAPPVKHHNSAPASTAAHRKSTSGPSHAQSIIQLNPLPMKKHGCDRLPIQVCSEEDFLKDVMQFLIQRGHHRLVPHGGLAEFPDAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELSHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSIANYKKKPPPPQKVANGFANTVLPVSRNV >SECCE5Rv1G0316420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:320643536:320654905:1 gene:SECCE5Rv1G0316420 transcript:SECCE5Rv1G0316420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGVLSKTLLLLFGYAMPAFECFKTVEARPNDAHMLRFWCQYWIIVAMVIAFESLISWMPMYGEVKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLLSFYVKNFADKGTAFFMDVLRYVVSDKAEGSNLERNKKSSWSPFATKRRPPSPPQESLFDNPDAAVVAEVLRATINPKPRRAHNDKDY >SECCE5Rv1G0323760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:439719131:439721800:-1 gene:SECCE5Rv1G0323760 transcript:SECCE5Rv1G0323760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFKIKGQKNEEAANSKGGPPVKKQSPGELRLHKDIAELNLPKTTKISFPNGKDNLMNFEATLRPDEGYYVGGTFTFTFQVSPSYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLNLLFSQPNDEDPLNHEAAAVLRDNPQKFQRNVQTAMSGGYVDNTHFPRCK >SECCEUnv1G0556110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:308686723:308687946:1 gene:SECCEUnv1G0556110 transcript:SECCEUnv1G0556110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTAIPDHLLAEIFLRLPDPADLVRASAACIPFRRLATEGSFLRRFRRQHAPPFLAFLDHDRFHPALPPHPSSPAAREIAVAADFSFSFLPSHCRWTVQDTRDGRVLLRRLYKHDEQAPVFQELVVCDPLYRLYVLLPPVPDDLAASLKHPIPMAGRLRCKSFLIPLCDEEKTETAAAAEKTFRVAWLAYSRTKLAALVFSSSTGQWQTTAFKDWSGLFLGMADKAVMSQTHPLFLRRHYAYGCFYWDWVVIKRKKLLVLDTRRMEFSIADLPPGEWSAQGIAIVEAGEGRLGMFGLHGQIAPDLSYTTAQNKGKSPIQWQMEKTISLDCGFKYHIRDATERYLLLTRTEASSLENPLIEYFSIDVKTLQLQRVCAKQCRLMYGPMYGTHIYTNFPSSLSSRTI >SECCE6Rv1G0410540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:599234264:599237323:-1 gene:SECCE6Rv1G0410540 transcript:SECCE6Rv1G0410540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPLSHQALFAAVRSADADAVRRLLAGAEASGSTAALAAAQTDAGESALYVAAEAGALEVVRLLLPLYDLDAAKLRSRLDLDAFHVAAKQGHTEVVKEFLGRWPELCSVCDSSNTCPLYSAAVKDHLDVVNAILDIDDSCIKIVRRNGKTSLHTAARIGYHRIVKALIDKDREIVAIRDRKGQTALHMAVKGKNTDVVEELLIADPSILNVRDKKANTALHIATRKWRPQMVQLLLGYESLEVNAINSQNETAMDLAEKVPYAESKMEIIEWLSEAGAKNAVNVGKVDEASELRRTVSDIKHNVQAQLSENMKTNKRVTGIAKELRKLHREAVQNTINSVTLVATLIASIAFVSIFNLPGQYYQDTTDGGEIGEAKISKLTGFRVFCLLNAIALFISLAVVVVQITLVAWETGAQKQIIKIVNKLMWSACLSTCAAFVSLAYVVVGPQHAWMAFTISAVGGPIMIGTLLFLAYLLLRPRFSFGEDRQRRIKRASGSKSFSWSVREGFSDLEAVEDHEKKIYAL >SECCE4Rv1G0265400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725739076:725741357:-1 gene:SECCE4Rv1G0265400 transcript:SECCE4Rv1G0265400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAEEAEGAGRRGVPSLLAGGGAGQEEHIASDVTQLIGWTPLIELKRIAGKEGVGARIVGKIEAYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLVEPTSGNLGLGLVLIALSKGYRFVAVMPGQYSLDKQILLRYMGAELFITDPALGFPGQVEKVEQLKKELPNVHVLDQFSNPANPEAHIRWTGPEIWKDTAGKVDIFVAGSGSGGTVSGVGKYLKMQNPNVKIICVEPAESPVISGGERGKHKIQGIGPGFVPEILDSSVIDEVLTVTTEEAMVNARRLAMEEGLLVGISSGGNLAACLKVAARDENKGKMIVTMFPSGGERYMNSDLFAAVREECIAMTF >SECCE4Rv1G0286770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850649109:850655276:-1 gene:SECCE4Rv1G0286770 transcript:SECCE4Rv1G0286770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAEAAMAVVRAARPIFRGAHDGIAFAANAAFLADGYSLCAAGSAALMDRLPADKVEVGFDGWNSMFNRYKKYLLMECLVMEDFLAINALDREAQHKEPCNVQINVKDFFSGEQHKNYKYMYKNFTGFINIISSSLLVELDRNDTAAAHIPDVENNSSINSSGNVMWQYPNTRVTEPAGMIYPPVVLVGDDDTFPVPAVGFYPHSGGTGGSMHFGPNDPRFFPANPSTPLGDLGSVPPGGRYDPIGPPDVPGFEPSRFVRHSRHSSHSGGSTHPDHEFFPQGPDFF >SECCEUnv1G0565590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:395079822:395081369:-1 gene:SECCEUnv1G0565590 transcript:SECCEUnv1G0565590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPISAPAMLRLFFHDCAVMGCDASVMIIRPAGDDEWRNADDYSLKPEGFQTILDAKAAVDSDPQCRYKVSCADIIALAARESVFQSGGPNYTVELGRYDGKISTTNSVMLPHVDDNLDSLNGFFYTLGLSQIDMIALSGAHTLGAADCNFFQHKIRGKDPSMNRSFDAQLQGTCARQNFAFLDDVTPVGFDNSYFKLLQNGRGLLGSDQVLYTDERSRGTIDYYASNQGIFFYDFSVAMTKLGRVGVKTAADGEIRRDCRYPN >SECCE6Rv1G0436740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778954828:778958335:-1 gene:SECCE6Rv1G0436740 transcript:SECCE6Rv1G0436740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSSARLLRKLCEASRPMVRGEVQRAPPPLPTTTRRLSGAASAARSTSLLSPLPGLDLPPCLPDKLARLPTRITTLPNGVRVASEDVPGPSACVGVFVASGSVHESPESAGVTHMLERLAFKDTAHRSHRQIVQEVEATGGNVGASASREQMVYSYDTLKAYIPQAVEVLLDSVRNPLFVQDEVDRQLALTREEVQEVQKNPEKFLQEVLHLVGYEGAIANPLIAPEEALKIINADIIRKFYHENFTADRVVLAASGVDHQQLLDVAEPLLSDWHKGSPMETPKSTYTGGDFRHKAESDMTHVALAFEVPGGWLKERDVTIMTVIQTLMGGGGSFSSGGPGKGMHSRLYLRVLTKYPDVQSFSAFSNVYDSTGLFGIYLTTPPDFVAKAVDIAVQELITIATPGQVTDVELTRAKNSTISAVLMNLESRVIVAEDIGRQLLTYGSRKPVDHFLECMDELTLNDVTSFAKMLLSSQPTMASYGDVDKVPPYEFVSKRLQRFR >SECCE2Rv1G0067840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20246433:20247071:1 gene:SECCE2Rv1G0067840 transcript:SECCE2Rv1G0067840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAMLLAVLVSFLVLPFSALALTQDFCVADLACPDTPAGYPCKKGVGAGDFFYHGLATAGNTNNLIKAAVTPAFVGQFPGVNGLGISAARLDIAVGGVVPLHTHPAASELLFVTEGTILAGFISSSSNTVYTKTLYKGDVMVFPQGLLHYQYNGGSSSAVALVAFSGPNPGLQITDYALFANNLPSAVVETVTFLDDAQVKKLKSVLGGSG >SECCE2Rv1G0088150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:239267912:239272922:1 gene:SECCE2Rv1G0088150 transcript:SECCE2Rv1G0088150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENPCTLLVPFNKGCLYMVAEVLVDLQGSDFAAKISAMKRAILLILNGVTLPQLFFTVVRHVLPDKHPILQKLLLLYLEIVDKRDTASGEVRPEMILLLQYFRNNLQHPNEYIRGVTLRFLCRLREPELLHPLVLSILANLKHEHSFVRRHALSALSVICHLPLAHMLLPYARIGKLALSAVAFEQDAVVQRNAFLLLCDCAQDLAVKHLLANAPHVAKWPDLLQMVALDLIRKVCCSSDHPNKDVCIKIIFSILSNCRNTVKYKCAGTLLSLPSTPVSVRVAANTYCKLLTSESDTNVKLIILDRLHELYTSHRYVMVDFVMDVLCSLTNPNVDVFRKVLNLVLGLLTGRNVQEVVLYLKKVVVETQGSELQNVGEYHQMLVQAIHACAVEYPQVAGSVVHLLVDFVVESKGALAFDVILFVREIIETNPVLRVSIVQRLFDAFSHIQEPFVCTCALWIFGEYSLSVSEVESAISTIKQSLGNLPFCSVSEEGESTDSSKPAHPLRNSCTVRSTRPIFLANSTHPTEIVATDAAISATDASTQYLRSLIVLGDFHLAAVVAYTLTKLVLRLEEVHPSKVEINKASTESLLIMVALLQLGHSSCLPHPIDRDSYDRIVLCVKLLCNTSGDARKVLLLSCRQSFVKMLDEKMKANAQISHTQPDDLIEFYHLKKKGLNQLKLGDEYRDDLKAPTVEFTKHADDANRLNRLVQLTGFSDPVYAEACVTVNKYYNAVLDVTVINRTKVTLQNMCLEFQTAGEDRVIIDRSQNYTLAPRAIKQIQATFKISSANDGVIIPHIFYEASNGIEQSEVLNNIFINILDYMSSVTCTDTAFRSIWAECRYEIKLEVNTVLQDEMEFLNHIIKSTNMACLTPPSALYGDCGLIVANLYAKSVFGEDALMNISVEKQADGKLSGYIRIRSNIQGMVLNLGDIISVRQMAAASAAMTT >SECCE6Rv1G0428740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:730035209:730035815:1 gene:SECCE6Rv1G0428740 transcript:SECCE6Rv1G0428740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLAVELGWAHTSENGVTLEKLLGTMIEESDPRLPPGYIRLDEIASRAKVNSPPLGTLIHSLQKEGYAACRSHIGANAVKTNCPISSCIVVAREIRNLR >SECCE6Rv1G0433640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:760868896:760869318:1 gene:SECCE6Rv1G0433640 transcript:SECCE6Rv1G0433640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGCKEAFVSWAECVQAAEKEGSDKVDRCSQATIDLKKCMDAHADYYALVLQAEQTVSDQAEAAIVATTADTNKHKGEESAPSPDTDETKMEEPLVESAATTADEKDVVVEQEATSSTTLVNKEESIVEKAESLSLGN >SECCE6Rv1G0433170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:756774761:756774997:-1 gene:SECCE6Rv1G0433170 transcript:SECCE6Rv1G0433170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLALALLLLVVLVHCDGRELSAKDRALAGSGAGAGGVQESKASGSPGLPIVGTTPTGTSTINGPLVVIPGVPVHP >SECCE2Rv1G0106810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:639025862:639026430:-1 gene:SECCE2Rv1G0106810 transcript:SECCE2Rv1G0106810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIQLSMSCDKRRSKALTVASRAVGVTSMGITGDARDQLEVVGDGVDPVCLVCCLRKKLGHAQIIKVEEVKKPEEKKDPKPAVPEPVHPPPYYFYLPSSYYPHQYPLYF >SECCE3Rv1G0192380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:758019936:758020127:-1 gene:SECCE3Rv1G0192380 transcript:SECCE3Rv1G0192380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLKAKILAAAAVAVVVASSLVGTASAADAPAAAPTSGATGAAPAFAAVSVAAAALGYLFC >SECCEUnv1G0570370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:469851324:469851701:-1 gene:SECCEUnv1G0570370 transcript:SECCEUnv1G0570370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGIGRADDGHRGHLGPPGFGRRRGRQQSTPRAERRTSKSRSAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTR >SECCE2Rv1G0082020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:147277145:147278576:-1 gene:SECCE2Rv1G0082020 transcript:SECCE2Rv1G0082020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWQCRLAAAGALVAAVVALGAAGPRSPAPLRFAPGGRFKVALFADLHYGENAWTDWGPAQDDASDRVMDAVLDAENPDFVVYLGDLVTANNVPVANASLYWDRAISPARRRGVPWSTVFGNHDDMPFEWPPEWFSPAGVPPVHCPPAAPAMPAPGCSFRGTPRVELMTAELDRACGLSRSSVGPRELWPGVSNYVLQVLSHEKPHDPALLMYFLDSGGGSYPEVVSCAQVTWFQSQARFLNPDGRVPELVFWHIPSTAYAKVAPKAKSVIRRPCVGSLNEEDVAAQVAEWGMMDALAHRPSVKAIFVGHNHGLDWCCPYEKLWLCFARHTGHGGYGAWPRGARIVEISENKHLSVNSWIRMENGSTHSHVTLSSARFS >SECCE4Rv1G0290830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874233937:874235633:-1 gene:SECCE4Rv1G0290830 transcript:SECCE4Rv1G0290830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALTLLEAMRAPRAEGPATVLAIGTATPTNCVYQADYPEYYFRVTKSDHLTDIKQKFKKICDKSMIRKRHMLLNEEMIAKNPTLCEFNMPSLDARQDILHSEIPKLGMAAVQKAVKEWGQPLSRITHLVFCTRQAVDMPGADYQLAMMLGLHLSVRRVMLYQQGCFAGGTVLRVAKDLAENNHGARVLVVCSEITAATFRGPSATGSHLDNLVAQALFGDGAAAVVIGADPDEPAERPLFKLVSASQTILPDSEGLIGARLREVGLMVHIHKDVPKIISKNIKSALVDAFSPLGIKD >SECCE6Rv1G0405470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:531058231:531061115:1 gene:SECCE6Rv1G0405470 transcript:SECCE6Rv1G0405470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITHYVLDPFLETGSLPNLQKLVPKPPPAAPLPPEKSSEKPIPVPVAPARTSTLPALYSTPESTPLPDSPSSFPGTWSPYLINHKRRGPGLIKTPSQGDVGSEGSLPFPEGLPALPKRSEAFQVQESEFRLSQESDGVVGGNNGVEETLDGQNEWVQKGKVTLFGQDEQDQPEFEFRHESPDALMRPVNVARFVNNGMPRNGENDAFVEPQDSQSVTSNSEAEDAGGHGWWKPSSPYGTSAGTPGAEFYDAFEEISSDGATRSSRCVDDEYREMRLSMLTEIEGRKQAEEVAETWQKEWKKLSHHLSVISLSLPSPTVAENDDDTSADPGAELCQQITVSQLVAAAIARGIARVEVESEMESVIAAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQLRNKRKMRQKWFWGSVGIAVTLGTAAIAWSYMPASQSQAGESNSTNTNSD >SECCE6Rv1G0396230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:360682272:360682964:1 gene:SECCE6Rv1G0396230 transcript:SECCE6Rv1G0396230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAGGEWEIEYDEGFVFKRPRVLYPDGVEDTGTAAPSTPAPDLESARVQRRRRALLHLRAKYQPELSRWESLSSDILAPPTAPTAPTSGASSASPLPLTPTTATISSDHTILDDYLAEVEVQEEMLKRASQMCEEIVEFCNEYEAAVVDAVAALPVWGDPRELVNSLCSPDEQAAVQPVCGNPRELMNSICSPAE >SECCE2Rv1G0100760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:547679748:547680527:1 gene:SECCE2Rv1G0100760 transcript:SECCE2Rv1G0100760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSTATSPNHPHDLALRRPACDHDVVVGFRVNRLERRLRDLRRDWDEYKASRSTTMAPRLHHRRSRSDTTTAGTPSLAIVTGAGPITPDLCPILPGRSPTTPRKLISSLLQISSSGASASTEVTVDGGCKIRTYEEDASSVCSGDPAPFVALEAMAAASSRSCSCECTIPRCPCGCSCSCSSSTLCSGSTASTSLFSLGESAAPGEMRKTQGGRWATCKVGRFAAIAVAGVVVLGTMVAAVLELGMDDGHVEFLVPT >SECCE2Rv1G0070020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:32767033:32768295:1 gene:SECCE2Rv1G0070020 transcript:SECCE2Rv1G0070020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWNDEETSSKEECEVVSMDMGLMEEPETIVEPLFCGQLKLYEPKCILHQMRPFKRVAFEGTLTGRRFYGCPVQANGVNCGVVEWVDGPWPPVLQRCLSKLWEMFHDQNCGRVLDKEKFEKELAKVNSEHERELVKLKMENDKLCIDYTKLVDDVSKMWQDGRVDKRLYQKQVEEEELEKKKKELEEKAMLEVQMEKLKLAKEHRCILQSQADIIKNTRKAMKDVEVDRDVLKKEKAKLELVVAELPKEGYGSKEKLEQIKTILKS >SECCE5Rv1G0334900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:568647933:568648601:1 gene:SECCE5Rv1G0334900 transcript:SECCE5Rv1G0334900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASRSPALAEAPGSGRAVATKTAKVIGLDGSMAQYAAPVTAREALVDAARGSSFLCSSDELRFDAPARALAADEALQPGWLYFVLPVSMLRRPLSGQEMTALAVRASSALAVASGISSPTRGKKGAAVAGANGKRRKAAARVAPLADDDDVAERVGGWDQHIAYGKYGGVRKRVLADGDEAAGKAGRGDGHGGRRSSSRHRRRRAGAQRLSAILEADDF >SECCE5Rv1G0348110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672528774:672531918:1 gene:SECCE5Rv1G0348110 transcript:SECCE5Rv1G0348110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDRDHDHDSAAAGPCCSSPSAAVRWRRSVKRKLGDEKRGGGGEDEGAGAGMARVEAEEETAALREALAAAQATAAALRGEVEEERLAAASAASEAMAMMLRLQRDKAEVQMELRQFRRFADEKMALDAAEIDHLRDLVARRARHLARVRSRLHAYQQTCLRLGIPLPDGHDEAEGRGHGGGDGFLEGEGEGEDADYYPELRCYNGEYYYDDGLEGSEEDAVGADLERRICLLEHGQDGDVLGQPSLDEEEEEGAHLYADEPLLDPAGQETSGFSADDVLHEETVQQRSHLCDDDDELPESPIAGYGVEEGGSDDNSSGSGSDRVYMIDKVHQGAAAPTARVLDTYEDEALEPDIKTLYMRLEALEADRESMRQALLGMRTEKAQLMLLREIAQQLAKDAAPVGTGVGFVPVAHHLPRKQAVGVADTTVREDKKTALARTFSKPMLFKWAIALFCSRKKKPSQSRYTFGLSSNNVGLLILLDKCPRIQKTLMRRK >SECCE3Rv1G0186040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679985319:679990408:-1 gene:SECCE3Rv1G0186040 transcript:SECCE3Rv1G0186040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPHLLLLLLFLAVHVFVASHGSPNPLPSTYNDSMCLESFKCGGVDIKYPFYLSNTTQATPDYTSYFSCGYTDLKIFCQGEGQTAIAVLPLHQHNYTVRNIFYDNRTIILGDTEALGGAKCPTVSHNVSFGDEWLEYTDSLHEFTFFFDCYSTASDRPPPDSLLEKFQIDCKGFNPPAGSGDGVSFLFTSEDDNVSREYELAEHCSRMVIVPVNRDVLMRSSGQLTLPSDYGAVVKQGFELEWHMSIPEPCDLCELSGGWCSYSEEKIFLGCLCSGGRVGVQGCHASAATTAYSNSPSGGVSISYPFYLSEETKDLKGNANSYCGYPGLGIL >SECCE5Rv1G0328020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:499285470:499288545:1 gene:SECCE5Rv1G0328020 transcript:SECCE5Rv1G0328020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTPPAATDASAGAGAEMPPEDEDAAIPLRRSLFGFGSDDECEPEPESPSSSGEDSDARDSSDDDFTEEGLRARDAVVSVAKSIVALAASVDGDPPSTFACTGTVVAREGPAAWIMTSATLIRKHDSGTEVHELSSVKIEVLLPDKKVVQGQLLMYDLHYNVAIVSVECEADLPVVVLADLPDSYFLTPTPVVAVARKFDSGSLQLKRGETFRAVSKLDCDELMVSTCQMEPQRENKDNRIQYITLIFIGGLLIDLERRIVGMNFIDENRTPFLPVQVVGRCLKHFRKFGRIKQPLLGIRGRGLHMLELKDLDEICHKYRKPPSGILVEKIPEVSSANCGGIEDGDIFNELNGVVLHSPAQLTAMLLDTMEVAVNMQPVGLQATVLRPRDGTTFVANLKVVECPPGECHMLFRNRWRLPTPKEYWWGPREWDAYSD >SECCE4Rv1G0258460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677700204:677701427:1 gene:SECCE4Rv1G0258460 transcript:SECCE4Rv1G0258460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLSETFNKLVCGVLPTDGAVVDDGRLGSLGGLFSPLLFSSGRSAEQPGCLSRPSVVVGFTRETDGDAEAELPCLAFGSEDGFQVFSFAENRMRSDEDVAMRLARGRRHVPSPHGGKVFVTDMWGRHPCHLVDPFTGERTPLPDLPVPLSEEEPMPVLQSDRGEPRYRLSTDDGFAWDWSPRGVMVARGDTAFFCEAGGGEWTPVHRSSHGSAMTVNYRGGFFFLLEQRSLRTAVIDAETLDLSAKIDPPPRRGGKVHLAFLVTSDDNVLLLVSRDGHRSTFTHAYRAHRPRQDGPVQWEQVKNIGDRAVFVDGAHGFTVRAGGRVRRNCVYMPNTVELDDDGGMARVVAVSPLNDLRKVAVAEGGEALRRCKVEPVWGTSHWIICNHGSSPSLASNRNNLTLED >SECCE6Rv1G0377390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:1339367:1342716:-1 gene:SECCE6Rv1G0377390 transcript:SECCE6Rv1G0377390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 16 [Source:Projected from Arabidopsis thaliana (AT2G45690) UniProtKB/Swiss-Prot;Acc:Q8S8S1] MEAYKVWVRRNRDLVRSLESLANGVTWILPERFANSEIAPEAVYALLGIVSSVNQHIIETPTDGHLLASKEQSIPWALVVSILKDVEAVVEVAAQHFVGDDRKWGFLAVTEAVKACVRLAAFRESGYRMLLQGGELENEEEDVLEDNQGVKTNGVPVIYPVNGHSQNGHWITSDGPDGKPGIISKSLEGRAVAALNRFGQNAKMLSDPTWMSRLRPSPVPPVMEIEKPTFATIWSSKGVSGRLFMLGEAVHIFRPLVYVLLIRKFGIKSWTPWLVSLAVELTSLGIHSHATDLNHRAGKVHQLSSAERDELKRRKMMWALYVMRDPFFASYTRRHLEKAEKALSPVPLIGFITGKLVELLEGAQSRYTYTSGS >SECCE7Rv1G0508590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:773214468:773215412:-1 gene:SECCE7Rv1G0508590 transcript:SECCE7Rv1G0508590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTISRAAAAEAAIGAMIDDHAAGSRKRRRVGSTEDYELTRVLGAGGFGVVVKAHHRATGEDVALKFLIRSPDGGGGGKRRRHRAHPLHRDLLREACYLAACRGHPSVVGLHGIARDPRTGQCSLVLEHVGPSLAHVLRARGRPFTEEETRRVMRQLLSGAGRMHERGIVHRDIKPGNILVRGQGAVKICDLGLAVSLASAPPPRGRAGTRWYMAPEMLLGRPDYDELVDAWSLGCVMAELLTGEPLFPGQNAVDQLLRVSSVHRLREMFPEERLSRDGFEVLDGLLRCDPGERLPAAVALQCPWFTRTADVPA >SECCE5Rv1G0334790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:568139177:568141381:-1 gene:SECCE5Rv1G0334790 transcript:SECCE5Rv1G0334790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSPPPPRVCVTGGNGFIGSCLVKLLLSRGYAVHATVRDPRDPKNAFLTELDGAPANLRLFKADMLDYDTVTAAFAGCEGVFHVATPVPEQKMVDPQKEMMEPAVKGTMNVLKACSATKVHKLIVVSSMATNCFNPDWPRDKIKDETCWSDKEFCKQTENWYSLAKTEAEEMALEYGQKNGLHVAALCPGLVFGPLMQHVAVNTSSKALVYIIKGGPETMNNKFFPIVDVRDVADALLLLYNKAGPSERYICSLDQMDLKDLLAIMKSMYPSYTYVDKMVDVDFNVGVTSDKLKNLGWKPRKLEETLADSIESYEKAGLLGVSDDEPCQLPFFYRMPPIQE >SECCE3Rv1G0173120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:318946805:318950039:-1 gene:SECCE3Rv1G0173120 transcript:SECCE3Rv1G0173120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPARKAAGGGGRGPVAAALLLVAAGVFAFLISYSVLAMVLRGGGGNGGGGTAGVRDPVVRMPEWMRAAGGARGRRRPFHVALTATDAPYSRWQCRVMYFWYKRMQARPEGADMGGFTRVLHSGKPDGLMDEIPTFVVDPLPAGKDRGYIVLNRPWAFVQWLQQAKIEEEYILMAEPDHIFVKPLPNLAFDNDPAAFPFFYITPSEHEKIIRKYYPEERGPITNVDPIGNSPVIIKKTLLEKIAPTWMNVSIQMKEDQETDKAFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDKKLGNTFIIHFTYGCDYTLKGVLTYGKVGDWRFDKRSYQDRPPPRNLTLPPPGVPESVVTLVKRVNEATANLPRWDDGL >SECCE7Rv1G0468070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95248885:95250365:-1 gene:SECCE7Rv1G0468070 transcript:SECCE7Rv1G0468070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAVPTPLPSPAADAESLRNAVQGWGTDEKALVEILGRRTAAQRAEIRRAYASLYKESLLTRLHGELSGHFQKAMVLLATEPAERDAKLAREALGRRGDDRDAWVLIEAACAATPDHLIAVRRAYRSLHGSSLEEDVAACPAFQEPLRKLLVSLVRSYRCAEESVVDMDVAKLEAAQLAEAIRRKKQPHGGEVVRIVSTRSKPQLAATFRCYKEQHGSDIDEDMKQYSSSQFARMLRNAVWCLTSPEKHFAEVIRYSILGLGTDEDALTRAIVSRADIDMKKIKQEYRVRFKTTVTDDVVGDVSGYYMDILLALVGKE >SECCE7Rv1G0476750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:210725358:210726656:-1 gene:SECCE7Rv1G0476750 transcript:SECCE7Rv1G0476750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGARCSSLLRGFLSLFFVMFLHIGHAGCCFSPGSASQQHEEDDACAASTSSSKRRKISPLAFSPAVSSSTGVEERAGARRRARHVSSLATSLRCYIHRIFSSAGTKNAVPAGEEEEEAVTTTVSSPLAQSVTQRHASVVLSTPSSPCASPFLSPMSPQSLSVTPLVPCSPLATRQLSRSFAARGDLYPCKVCGEVLSKPQQLELHQAMKHSLSELTHLDSSMNIIRMIFLAGWGLSGPGAGDPPAVRRVLRIHHNPRALSRFEEYRDLVRARAARRCAGASGAVVEERCIADGNERLRFHCATMLCSLGAGVCGSPYCCTCTILRHGFAGKQADVDGIATFSSGWAAHASLPDEVEREFAFLQVRRAMLVCRVVAGRVVRGGDGDGDKVGYDSMVPVPPGGGERGGEDDGELLVFNPRAVLPCFVIMYGS >SECCE7Rv1G0459220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:24889065:24890531:1 gene:SECCE7Rv1G0459220 transcript:SECCE7Rv1G0459220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVLAFPWPLQGHINPMLHLASALLDAGLRVTFLHTEHNLRRFTGVPPHHPRLRLASIPDGLPDLHPRSVDGLMELVESMRTAGSAAYRALLLRMAESADDDDAVTCVVTDGVMPFAVSVAEELGVPALAFRTESACGFLAYLSVPRLLELGEMPVPSDEQVRGVPGMEGFLRRRDLPRVVPLPPGPGNGDPVPVLLTIADTAARCGESRALILNTAASMEGPAISRIAPHMRDVFAVGPLHARARPAAAEAEARDDRSVVYVNLGSLTVVSSEQLAEFLHGLVAAGYAFPGHAVEAVAGAGSHRALVVEWALQRDANHVLRHRAVGCFLTHGGWNSTMESAVEGVPAVCWPFFADQQTNSRFVGAVWKTGLDMKDVCQRAVVERMVREAMESPEIRASAQSMARQLRLDVAEGGSSSSELERLVGLITELSAVKVSWPAPALT >SECCE3Rv1G0210430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943729586:943730167:-1 gene:SECCE3Rv1G0210430 transcript:SECCE3Rv1G0210430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLSSSPCSSSSSHVHHQSPLAYTVWMKSLVFNGNGCAVYGPDGAVAFRVDNYGCRGGREVYFMDRAGNALVRIRRKGFSMFRRWEVCRCEDEEATPWFTVRRADKGGATVAIHGGAGTCYKIDGCSASKTEYKVRGVDGAAVAEVARKQTAAGVVLGEDVLTLTVAPEMDHLLALGLVVVRGLISRSL >SECCE4Rv1G0245720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:535592451:535597644:1 gene:SECCE4Rv1G0245720 transcript:SECCE4Rv1G0245720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP37 [Source:Projected from Arabidopsis thaliana (AT3G54170) UniProtKB/TrEMBL;Acc:A0A178V9T4] MADPPSPRLDEDDTFGRDFNASPSRNAAPARSGEKRQFGDLDDDEDDVFASKKGKTKVEESAPGAATGMILSLRESLQTCKENLESNQVELEAAKSEIQKWHSAFQNIPAVPAGTNPEPVSVVTYLNNLKSSEESLKEQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLAEEKEKKIKELQENVAAVNFTPSSKHGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKTQNNELRNQFDGLYKHMDGLTNDVERSNEMVSILQEELEAKDVELARLKEMLSQKEATEDDTVVEEREEAANDMNASSDPQPIKAES >SECCE2Rv1G0131490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884857170:884861972:-1 gene:SECCE2Rv1G0131490 transcript:SECCE2Rv1G0131490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLAVLLPLLLLVVASSADDGTYGRQPISRRSFPKGFVFGTASSSYQYEGGAMEGGRGSSIWDNFTHQHPDKITDGSNGDVAVDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPDGTLRGGVNREGIKFYNNLIDELLSEGVQPFVTLFHWDSPQGLEDKYGGFLSPNIINDYKDFAEVCFREFGDRVKHWITLNEPWSFSVSGYAGGVAAPGRCSPWEEANCSGGDSGREPYIVGHHQILAHAKAVRLYEQKYKSVQKGKIGIALVSYWVTPLSHTKSSVAATKRAIEFMLGWFLDPLSRGDYPQSMKVLVGNRLPQFTRQQSKLVKGAFDFIGINYYSTNYAYSLPPSNWLRKSYSTDAQANLTGVRNGVPIGPQAASPWLYVYPQGLHDLLLFLKDKYNNPTIYITENGFDEANNMSLPLNEALKDDDRIDYHHRHLDALLSAIRDGANVKGYFVWSLLDNFEWESGYTVRFGLHFVDYNHGLKRYPKRSAGWFKNFLKDDLTDNLETSDK >SECCE7Rv1G0458030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19708114:19709519:1 gene:SECCE7Rv1G0458030 transcript:SECCE7Rv1G0458030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-beta-hydroxysteroid dehydrogenase-like 5 [Source:Projected from Arabidopsis thaliana (AT4G10020) UniProtKB/Swiss-Prot;Acc:Q9T0G0] MERVLTALMDLVVPPASMVMLAFAWPTLAFLRVLEWALKALTKEDMRGKVVLVTGASSAIGEQVAYEYARRGAHLVLVARREHRLFAVRENARALGAGHVLVVAADVVREDDCSRLVADTISYFGQLDHLVNTVSLGHDFLFEEAGDTAAFPHLMDINFWGNVYPTYAALPYLRQSRGRVVVNASVDTWLPMPRMSLYSAAKAAVVDFYETLRYEVKDEVGITVATHGWIGGEPGAGTGIGTSRFPLEEGAGPAEQTQAQAQAQQWAKADTTPAPGVQAVEAYARAVVDGACRGDARVRRPGWYDVFHVFRAFAPDVLGWTFRLLLSTAPAPPTVAGTGRRALVVAPVGVPAAVLPAPPVRPLIEYPAAVAGRRPAAAQLHKLE >SECCE4Rv1G0243700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:505346021:505350571:-1 gene:SECCE4Rv1G0243700 transcript:SECCE4Rv1G0243700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGASQQQQQQQQRLRQQQQQQQQALLMQQALQQQQYQSGVLAAAAAAAMTQMEPVSNGNLPPGFDPSTCRSVYVGNVHPNVTESLLIEVFQGSGPVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHIYGQAIKVNWAYASTQREDTSGHFHIFVGDLSSEVNDATLYACFSTYPSCSDARVMWDNKTGRSRGYGFVSFRNQQEAETAITEMTGKWLGSRQIRCNWATKTNAEEKQETDNHNAVVLTNGGSSNAAMEANQDAGSKENPENNPDFTTVYVGNLGHEVNRDELHRHFYNLGVGAIEEVRVQQEKGFGFIRYSTHGEAALAIQMANGLVVRGKPIKCSWGNKPTPPGTSSKPLPPPIASYQPVAMAGVPQGFTAAELLAYQRQLALSQAAAGQIAGQHGLAGQVSAGLLAAGSQALYDGYPNQTSAQQLMYYN >SECCEUnv1G0548660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:190609266:190612115:-1 gene:SECCEUnv1G0548660 transcript:SECCEUnv1G0548660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGLAKSDGDKNYPGNMTVFVFLACLVASSGGLIFGYDIGISGGVTSMDPFLIRFFPSVYAKEQEVVDTNQYCKFDSVLLTLFTSSLYLAALVASLFASVITRKLGRRATMLGGGVVFLVGAVLNGFAINIAMLIIGRILLGIGVGFSNQAVPLYLSEMAPAKMRGMLNISFQLMITVGILAANLINYFTAKISGGWGWRISLGLAAVPAVIMAGGSLFLPDTPNSLVARGKDEEARAMLRRIRGTHDIGLEYDDLVAASEASRAIENPWKTLLERRYRPQLAMAILIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSSVISGGVNMLATFVSIATVDRLGRRKLLLEGGCQMILAQIVLGTLIWIKFGTDGVATISRSYAIGVVFCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSMVVVCNMAFTFIIAQIFLMMLCRLKFGLFYFFGACELLMTAFVYFFLPETKGIPIEEMDRIWATHWYWKRFVEGNGGRRKVQLTSSSAV >SECCE6Rv1G0407880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:565895594:565897561:-1 gene:SECCE6Rv1G0407880 transcript:SECCE6Rv1G0407880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGWIFSALLVAFLVMAPACEAFYLPGSYMHTYRQGEEIGAKVNSLTSIETELPFSYYSLPYCRPKDGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTSPLDEGDVTLLKQRSRDLYQVNMILDNLPVRRFTEQNGMTIQWTGYPVGYTPEGTSDVYIINHLKFKVLVHKYEGGKVRVVGTGEGMEVISDTDSDTDAKSGYEIVGFEVVPCSVKRDPEAMSKLTMYEKVDSVSCPVELEKSQMIREKEQITFTYEVEFVNSDIRWPSRWDAYLKMEGAKIHWFSIMNSLMVILFLAGIVFVILLRTVRRDLTRYEELDKESQAQMNEELSGWKLVVGDVFREPTSSKLFCVMIGDGVQILGMAIVTIFFATFGFMSPASRGMLLTGMIFLYMLLGILAGYAAVRLWRTVKGTSEGWRSVSWSTACFFPGIVFIVLTVLNFMLWSRNSTGALPISLFFTLLSLWFCISVPLTLLGGFLGTRAEPIEFPVRTNQIPREIPAKNYSWLLIFGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGAVAFYVFLYSINYLVFDLRSLSGPVSATLYIGYAFIVSLAIMLATGTVGFLTSFSFVHYLFSNVKID >SECCE5Rv1G0334600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566901829:566902245:1 gene:SECCE5Rv1G0334600 transcript:SECCE5Rv1G0334600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVESHRAGAEVIKGDEVCKKKSVELLEELGLPKGLFPMDDIEEIGYNSESGFVWMLQKKKNEHNFKKIDQTVSYDTEVTAFVEKGKIKKVTGVRMEDLSLVEVYVDGSSANKITFKTDTGLFDTHDAAVFALGE >SECCE7Rv1G0521190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873136275:873137516:1 gene:SECCE7Rv1G0521190 transcript:SECCE7Rv1G0521190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLRAPCATAILAVVLAAAAVAPATAQQCGSQAGGAKCANCLCCSQFGFCGTTSDYCGPRCQSQCSGCGGGGGGGGSGVASIVSRDLFDRFLLHRNDAACLARGFYTYDAFLAAAGAFPAFGTTGDLDTRKREVAAFFGQTSHETTGGWPTAPDGPFSWGYCFKQEQGSPPSYCDQSADWPCAPGKQYYGRGPIQLTHNYNYGPAGRAIGVDLLNNPDLVATDPIVAFKTAIWFWMTTQSNKPSCHDVITGRWTPTARDSAAGRVPGYGVITNVINGGIECGKGQNDKVADRIGFYKRYCDIFGIGYGNNLDCYNQLSFNIGLAAQ >SECCE3Rv1G0186290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:682864746:682866134:-1 gene:SECCE3Rv1G0186290 transcript:SECCE3Rv1G0186290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTTTTPPPPPVQPHALLVSTPFQGHINPLMRLGRRLATKGVLVTFTTALRAAVRVEEDGDGHERAGFRFERLRGGGLWEPEDPQFSNAGDMARHVEAAGPAALKELIRREAEAGRPVTCVVTNAFVPWALRVAREMGLPCGMLWIQSCALLSVYYHHVHSLAAFPEPDDDASGRSLLAIPGLPDLAIDDLLPLLIYASDQDMWRRMLVEDLGGIRERVSWVFVNTFDELEHEAVAALSKHVPVIPVGPLIEPEIGEIDGPSDDDGCIAWLNAQAPRSVVFVAFGSLVKTGDGETAEIAQALASTGRPFLWVMRDDNRAALFQGTLDSLTAATLCDRGKVVPWCKQAHVLAHGAIGCFVTHCGWNSTTEALAAGVPVVACPRWSDQSINAKFLVDVYRLGVRAPTPVTGEALRLSIEEVMSGPEAGAMGLRAASWKERARAALADDGSSDHGVQAFVDQIK >SECCE5Rv1G0357280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:744915498:744916141:-1 gene:SECCE5Rv1G0357280 transcript:SECCE5Rv1G0357280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRSRSIQVSKLRDLSGASWDENTCSIVLEAEHYAGHVADHPKDAEFLNTPIQNYNQMQHIFSFGLATGKHAMGSREPLGSPMPDFPGTPYVEVLDGPDELFMKSFDKPFDPVHDRKRPRGGVMEEETNVFCSMTEAVKEVATTIRECKPLDIHADLYGIVMTQGGFSDDALMAALSHLLDNKA >SECCE4Rv1G0267730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:737147089:737147587:-1 gene:SECCE4Rv1G0267730 transcript:SECCE4Rv1G0267730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAPSGQEMSYTEHVQKRHEEKGCIYACVFTALCCFCCYETCECCLDCLCCCCN >SECCE4Rv1G0233450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:239352051:239360439:1 gene:SECCE4Rv1G0233450 transcript:SECCE4Rv1G0233450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAKPNPPPLPSAATSTQPSTKAASSSSSSSAAADPNPKRILPTAADTAHSTPSHPNPNLNGAPNPPPLLPSPPAAHLQPLQPLPPPRPLLTVAAVEAAMASIPPPPQYGLEYLDRRTVALSDGTVRTYFALPLEPPPQLRQLPIFPAPHLGPPGPGPGLNRWVPPLMHAVPPPCPPQKRKRECQSNGGAPGESSGRQHQQHQKPEERRTAKQVKVETSELDAKTLESSFLKMVRVINENTEVKKNYRANGQISQLKCVVCNRDSMDLHALLNHSYNTRNPELRADHLGLHKAICVLMGWNYSIDPVNRKAYQTLSTADAEANQGDLILWPPTIIVENTYKSNNDGQKDAMSNKEMDGKLREMGFAGVSVKPQMGKDGAMLVTFASNLAGLKEAVRLAELLEAEGHGRAQWVHARGLTPSFVGGSNPMFVKVDEQGQPTWVLYGYLATAWDLDTLDADSRQNVVIKSRKELDLSE >SECCE1Rv1G0042380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:580161934:580164629:1 gene:SECCE1Rv1G0042380 transcript:SECCE1Rv1G0042380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVDCYVVPQTTGTGRNIFQGGSPLSSSLPLLGVQLVLIVAVTRVLYFLFKPLKQPRVVSEIMGGIILGPSLLCRNEGFKQMVFPPRGEPVLNTVATFGLMYVIFLIGVRMDPMQAIRSGKKGVTIGLSGFLIALALTAAGLSGDALSKEEEDMSTRFTFLFALAATLSLTSFAVLSPILSELSLLNSDLGRIAMSASMTTDGIAWLIMVGYVLAEAYLVSPATSLWAFVSVAALVAFILLVVRPIALLVIERTPAGSPVDEAYVFFFLLIVLLVGLYSDIIGTNSFHGALMLGLAIPDGPPLGTALGEKIEAMVTGLILPLYYTMTGLSTDMWEIHWGRLQLVLILGWFGKFAGVLASSLCLEIPPLDAVSLSLFMNSKGIVEIITFSFFVTNKLIDKHMFSALVFSSVAITAVSVPVASCLYDPARRYAVYKRRTVQHLKADADLRILACIHDESHVQGTLSLLEASHATPQTPVALCLLQLVEIAGRSAPVFIPHKLRRSASSRIGPTSSASAQSTDSDRIINAFLQYEQRHPEGAVSMQSFTTISPYSSMHDEVCRLAVDKRTSLILLHYHKRHMLAGGMRAAMGLRVVNRKVLEVAPCSVGVFVDRNAGSVGLSAFIMQDHSSSGGRPSGLGFHAAVAALFFGGGDDREALAYVTRMARHPGSKAAVIRFLPTRGIKDDPADRRVDNKAIEEVKALSARSKYMNLQVQEELVGDMEKIVEVLRGLDKAGYDLVIVGMRHRWYPVMSANGLSDWSECPELGVIGDLLASSDFDTPYSVLIMKQQDQGGLNAAVPGAQDVWHGDDGAAAPTPERTMTTAVSSRYRQ >SECCE2Rv1G0086660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:214525329:214528975:1 gene:SECCE2Rv1G0086660 transcript:SECCE2Rv1G0086660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRVKDQESYDAISQKDIESPDGRSLSSTAATSPLGTAVGSKGKNSWKQKSIVTIALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLLALYRTWNSQGVTEDNRLSTSFDEVSVYPIPAVLYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPIQGWMMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMLFNLVAICVQDFDAVMNKGFFHGYSFITLVMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAIVSVFLFGFNLSLAFFLGSTVVSISVYLHSVGKPQQQK >SECCE1Rv1G0024880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:334471759:334474722:1 gene:SECCE1Rv1G0024880 transcript:SECCE1Rv1G0024880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to carboxy-lyase [Source: Projected from Oryza sativa (Os10g0479500)] MRQSRFKRICVFCGSSQGKKRSYHDAAIELGNELVARGVDLVYGGGSIGLMGMVSQAVHDGGRHVIGVIPKTLMTPEISGETVGEVRAVADMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINTSARRIIVLAPTAEELMEKLEDYVPYHDRVASKLNWDIAAEIGHLGY >SECCE3Rv1G0206290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:906956194:906958803:1 gene:SECCE3Rv1G0206290 transcript:SECCE3Rv1G0206290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGRTPPLFSPGSGRGMPPPVVYSRKQGASTAGGPSAVQRDVGGSHLPSGTDGGHGQPASERPLQIVPANTRAGTGKNVPPAGGNGGTAVPANGRVRRTRAPKGSSSLAESRKLPGKKSDPGEPAGMHHGAQGNDPLKTVSAPRNSRERKSTASASALPSSRRPRGTRCGTAAAGAKKHTILTWLIDSGVLKENEKVSYVRGLDSTSFAAKASGAVTRAGIQCSCCDAAMAPPTFSSHAGYTDSAPWERLLLESGKSLLECVREAWHGEHLRTLRAEQETRAALEKERERSTQEKKRALLLAKQSRKEPAPALALALDGTNYGGDDDRSDDACGVCADGGQLLCCDSCPSTFHPECLAMEVPEDSWVCHYCRCFLCSAAADGHGVLSTSCQQCARKYHHHCRASLLDGHQIGPYCSQACNKIAVNLSNMVGAMISIGEEGHSWSLLKIQKGTVTSDSAALLECNAKLAVALGVLDECFNPVKDRLTGIDMLCQAVYSLPSEFKRLSYEGFYTIVLERDTEIISVALLRFHGSKLAEMPFACTLPRYHRQGMMRRLVNAIDKVLESVQVENLVISAVAEVEDTWKKLGFVPVEPQLGDEAKRLSMVTIAGTILLQKPTTKQPVLPLPITEDEMAFMEMSWPLCSFVDLLNGIAFPWPPYADPLAAAVRGAGGGGGQA >SECCE6Rv1G0448320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:855382283:855383635:-1 gene:SECCE6Rv1G0448320 transcript:SECCE6Rv1G0448320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAISVCFGGRTRRPSKGRRLVAAAAGQVARWARRLCFSFPKRPASAVIQQLDAHGDNDQDCWSGLPEDVLLTAMALMEVTDVVRAGAVCSSWRSAYAEFRRLRLPAKPNPPPCLMHATADGAAAIYSPSTGATFPCGGGGDGFIIAGSAHGWVLAADVATANPCLLNPLTGARAVLPAVATLARVKGTFFDDDGTAVYDVDHAFGNATPDMQLVTARTVRNWMFRHIVISAAGVVLLVHMPHGEASYARPGDERWTSLSSHLEPDAIAVVHNDGDGLFYVLCKGGGILFAVVVDGPAAPTAWPMSYLFTIFEPRHTHYLVLRGGELLLVTRQLLLTRPRESEKVVSTTGICIEKVGLGRSKLVTLDGIGEDYALFLGYGSPICLPVKEYPMLRRNCAYLADDSEQHFPPTTRRDVGIWDFESKSMSRFEDLHPWLDNQPPIWIAPSLY >SECCE6Rv1G0431300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746131935:746132465:-1 gene:SECCE6Rv1G0431300 transcript:SECCE6Rv1G0431300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRLHLTETEIKEEMSSLEASISSSMTIGVICDDLRRLGDIYNGVEEIICLPSSQLCSYQQRTVFDGEMKGSLELLDLCGVMQDIFAEMEAIIQELQVDLTKGDDAAAQARLQAYTCLSKKAKNHFKKTTKKISADCRMVRLLAKAREISSLLESTLSLVEANRNA >SECCE1Rv1G0036270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:507890499:507893719:-1 gene:SECCE1Rv1G0036270 transcript:SECCE1Rv1G0036270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMSSARRRGAGVGWGSGPSSGMLPLLALQVILEYGRAGASRPPVTAALIAANALVYLRPGALDSFLPPLSRVAFNPHLIIQYGDLTRFFLSAFYHLSETHFFYNMTSLLWKGIQLETSMGSVEFASMITALLGLSQGFTLLLSKGLLLLGNETAYYDQYAVGFSGVLFGMKIVLNAWSDDYVFLHGMVIPAKYAAWAELLLIQAFIPGTSFIGHLGGILAGLAYLWIKRSYSGPDPLALLISGIGNVVSWPVKFAQRLLRPARRQGGRVGRRAARESGSRGMWRCSACTFDNSASVDICEMCNSMREDRVFPNRQHLQDGGSSDLSVDEIRRRRLQRFDR >SECCE1Rv1G0011390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:76241076:76242365:-1 gene:SECCE1Rv1G0011390 transcript:SECCE1Rv1G0011390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLGVVKGRRSKRRRCPAANITDDLVVEILSRLPARSVCRFKCVSTTWRDLISHPVNRMKLPQTLSGFFTKHDSETVPWSVPRFTNVSGTGLPLVSPSLHFLPVHKRIFLLDSCNGLLLCSCCATGELDMIDYHQFVCNPATKEWVALPDHNQAEKWRCSRLGFNPTVAPHFYVFEFFKDFGPLAPTVVGVEVYSSETGGRLRKEHELDNDFSLPDRLPSVFFNGCLHYLTHKPSIAVVDTEGKACRNIPAPVPGEQEFGFIQLSQGRLQYANFVVDDEAGVVRLVVYVLEDYDRQEWTLKHTAEASYVVGSRGLYEPGWVSSINLGEKFEWVAIHTDCNMIFYTVEWDKTLMSYDMDRRQVQVICTLGEDTRETYLPYVPFFSELQALHM >SECCE6Rv1G0377640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:2778028:2779935:-1 gene:SECCE6Rv1G0377640 transcript:SECCE6Rv1G0377640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGIIITTSPCEDIAELCRSWFNGHIYHVRPLGMVHSRPLFHQRLFNSDDDCHPHLEEVSDKILGKCDDLPLAILATSGLLANTERTEHLWNHMADSIGCALETSDIVERMMKILSLCYFDLHPRLKTCLLYLSMFPKGTTIRMKDLIWRWTAEGFFHGEQGNAAHELGERCFNELLNRSLIQPVKIDQYGKVKSCQVHDTVMDYITSKSAEDNFVTLVGVPCPTIDQTQKKVRRFSLQATEEGYSFPGVNLELSHARSLKVFGLLWEIPSLDEFKHLRVVDFGGFSILGDDHLAYIGRLFQLRYLNLSWTRVCELPEEIGHLSCLEMLDLTATGVSELPSSIVNLEKLAHLLIGEFVKFPEGGIEKMQALETLKQVRAFKQPIQFLQDFGKLQNLWKLNIDLTNYQEDTEDTKECMKAIASSLYELGTHNLRSLTIWNCDYSLLMEEWCPIPVGLQKLKAWRSTFPRVPDWMGSLVNLEQLRFDLERVRYEDLCILGGLPALHTLSLVGKDMSEGKITVSGEMGFPMLRDFSHGMYPGLGIDMMFEAGSMPKLEKLTIDFTPSTNECLFRTGGLTGSGPFDFGIENLPISLRTVVCECKSFDGDCTLEATRAALERAVSTHPSCPAMEFGIY >SECCE6Rv1G0449410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:862343709:862345735:1 gene:SECCE6Rv1G0449410 transcript:SECCE6Rv1G0449410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPNIVGRPRYKPAMVGIGQKDAYVGDEAQSKRAILTFEYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVVDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMRILTERGYYFTTTAQREIVRDIKEKLAYVALDYKQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGLEAPGIHETTYNSIMKCDLDIRKDLYGNIVLSGGTTMFPGIADRMSKEITAHWENTARRMSKAHRTCKEITAYWKSKEIPAHRPCKEMCKEITAYWKSKEITTHRTFKEIAAYWKSKEIPAHAPSSMTIKVVAPPERKYSVWIGGSILASLSTFQQMCISKGEYDESGPSIVHRKCF >SECCE3Rv1G0162020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:125800533:125801021:-1 gene:SECCE3Rv1G0162020 transcript:SECCE3Rv1G0162020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMFGLETPLMTALQHLLDVPDGESGGVGNAVGEKQGPTRAYVRDARAMAATPADVKELPGAYAFVVDMPGLGSGDIKVQVEDERVLVISGERRREEKEDARYLRMERRMGKLMRKFVLPENADMDKISAACRDGVLTVSVQKLPPPEPKKPKTIQVQVA >SECCE1Rv1G0059230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704326354:704327997:-1 gene:SECCE1Rv1G0059230 transcript:SECCE1Rv1G0059230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATAACRAPALPAARPGSSYLLGRVLLPKRRAPAARRRGRSAAVRCGLLPVDPWAPGVDSQSIASQLFAVSLFPYLGFLYFMTRSKTAPGLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDLLHGTAESLLTLTNLFIVLGLRGALRNLDKAEESSPEAPQDSKEKSSV >SECCE4Rv1G0258800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679506703:679507749:-1 gene:SECCE4Rv1G0258800 transcript:SECCE4Rv1G0258800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTRGVAAATISLAVLLVFCFSPCTAAATAAVPSIDATRTRHLPLPRGLLRGPESVAFDAKGGGPYSGVSDGRVLKWNGDKLGWSTYTYNPDYSSEACTASLLRSETATEGHCGRPLGLRFHLKSGYLYIADAYKGLMRVAPGGGEATVLVTEVDGVPLRFTNGVDIDQVTGEVYFTDSSKNYNRSQHEMVTRTGDSTGRLLRYDPRTGKVVVLQADITYPNGLAISADRTHLVISSTGPCKLLRYWIKGSKAGTMELFADLPGYPDNVRPDKKGGYWVALHREKAELPFGIDSHLLALRIDAEGKIIEEMRGPKSVRPTEVVERKGGRLFMGSVELHYVSVVTRK >SECCE6Rv1G0380980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:28451920:28457174:1 gene:SECCE6Rv1G0380980 transcript:SECCE6Rv1G0380980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABC transporter 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01660) UniProtKB/Swiss-Prot;Acc:Q9SBB2] MASRDLRRLLDGAALVAREAARRSSGPDILRSALLAATDLAGLTRGTPRRPQPVPLPHESHPEGADSSRPSSSSVVYFSSDDAPPLEPSLEQQPPPRESLQPAQTPEITGAHSTAAAAAVAEPEAVAVARPKDEAVRPELSPSSTPTPTPSPSPAPSPSPSPVLVEKRRRPRERNVPSTPFTRALGFAGLGAGLAWGGLQESARRVMYGTPVGDGKQSALSPFLSDQNAERVALALCRMRGAALKVGQLLSIQDESLVPPPVLAALDIVRQGADVMPRKQLNSVLDAELGQGWSSRLRSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRRLLTYTNLIPKGLFLDRAIKVAKQELARECDYFLEASNQKRYKELLSGSEGYYVPKVTDELSSKKVLTSEFVPGVPIDKVAVLSQETRNYVGCKLLELTIKELFVFRFMQTDPNWSNFLYDDSERQFNLIDFGAAREFPKKFVDDYLRMVVACANKDRAGVLEMSRRLGFLTGEEPEVMLDAHVEAAFIVGVPFATPGGHDFRANNITHSVSNLGATMLKYRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFKVYEQYDFSDDHSEVLSNTG >SECCE3Rv1G0169150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:233009161:233013558:1 gene:SECCE3Rv1G0169150 transcript:SECCE3Rv1G0169150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDQSLKKKRGRPAGGGSPSVNKSGRDKMVMEQKMALVHRRLALLDSESDKEADVVPTATMDDRLAIVVDQQPVVIHYDDADDEDEYVPLRIVRPWSVDSEQKRLLDVSQAHNAHDTDTAKKKRGKLVNAKSNMEQKLKMSTPGLALVESSGSNSSKSDIDDDLVPMVAAVNCQNTCASVQGNFGSAMERAEEVLVKLPDEHPRFVKHMLHSHVVQGFWLGLPSDFCNKHLPKKDTPIVLEDEDGHNYDAKYLGAKQGLSAGWRGFAINHDIKVGDVVVFQLVSSAKFKVYILRANNFTTTDGALGLLCLEAGKEKIPKEESSSNVKSEEKPKVAQSDSSNLASESITDGIKFSDTAIDFDEVKDFSNFNIIIDGLVIDCKFPDHVRKTYYELCCAQKSFLHKDLLKRINLTLAEGAIIETINIAEGIRACKAHAPSRKDFVVWKRTLQALKLLGMNVDFLLKRIDGLLSLPARPRVPAEVKLERARTVAKIKEAESRISGVKDTLNEIDVEMEEIESTAKMVDEMMQLLATAPW >SECCE6Rv1G0385830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:85622670:85623332:-1 gene:SECCE6Rv1G0385830 transcript:SECCE6Rv1G0385830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLISFFLRLIREDGRRRSFIARGHHEVGGRRRRRFGRRGAGPGYHAAVRGPQRGLHVPRHGHRAAARGDHAPTVAGSAPPAHAGDEIPGPVLAAPTRIPSPPPTPSDEPRFFVFVPFPNGAGGTTQVAGPTMVAQPGDEDDTVPDEAPPSPRMAARPRLLDSASMEGRRRLFGRAITAIDHRPGRHSGLASDLPNDVSAGLVYISSSEEEGDAPASTP >SECCE3Rv1G0213610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961567172:961568174:-1 gene:SECCE3Rv1G0213610 transcript:SECCE3Rv1G0213610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDVQEIPDLGAKAKKAPKVMNWTPPMSAIMLKGLAEVAAKGVRTDKGFKEADKIKVAKALTAFVGYDVSNTQVHNHLRKWRTRWQRIVHLRGLSGALWDDDKKMIILEEQHYLGHTQDHPTDAELLNTPLEHYNYMELCFADKLATGRFSMGSGVPLGKPVDVEGKEKPIVVEGQGTSGEGFVNGPVGSEFLFVGASETNDPSPSTTKKRKRTSVMTEEDSIQVNNMSDAVREIASAINNTCHTETHPELYKAVMDLVEFDLAERLAVLDYLTEHKGKGLNFVKMDEEVRKASFKRILEKNPDLV >SECCE4Rv1G0245780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:536474907:536476963:1 gene:SECCE4Rv1G0245780 transcript:SECCE4Rv1G0245780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPVFSVLQGSNTTHSIKGQQISMIGNGHSFQQGVYVMDPSSFNQGIYAEKQSSFAERANMIQLNNFMADNSSPAGNRCQRLGCNEVVEGQTAFCKSHRLGQQCQMIGCPQIPPNGVALCMSHGGGHPGSSAVPLTESEGSMKYEGDGRFRVMENAMGSTVVPNPDGEVVMCKYEGCSKRSQGNTVYCKVHSGGSKACMVQGCTKGAHGGTPMCIAHGGGKRCSVAGCRNAACGSSQGRTDCCVRHGGGKRCKYDGCGKGAQGNTDFCIGHGGGRRCKFEGCGKSAQGRSDNCIKHGGGRRCKFEGCSASAKWGVDFCSAHRKSMLGDGDTADGAPKPKRRAKKSGTKKAEKAKRAKKTVDPAASEDVTMPAVLSADMPEMGAIHVAAPVSDRPNSPETAIALQQPQLQLQQQPPLQSPAPSGLTASAEEGLPATGSVFFGL >SECCEUnv1G0561760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:358284756:358285097:-1 gene:SECCEUnv1G0561760 transcript:SECCEUnv1G0561760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEDFRACHDIASLAKMMVELERHAMFPTVYRLVELALLLPVATTTVERSFSSMKINKTELRSKMTDGWLNDLMVCYIEQEIFKSIDLGKIKQDFQNEGRALPLPGSSRRN >SECCE7Rv1G0460600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:32274927:32275817:1 gene:SECCE7Rv1G0460600 transcript:SECCE7Rv1G0460600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRSGSDAGEAEKLHRIAEERFVAGDVAGSLRLAREAEARCGSLQGFHALAAYEVHAAAAVRNWYSVLGVGVGSRAGVTHEDMKRRYRRLCLVLHPDKNRSAAAEGAFKLLQEAWTVLSARHPPLKPRTAPMSQSPREPSTTTGARRPRSPASTAEKPGTQNPPPPAASDDNRRKPGRGAPPPRTFRSVYCGHCDREFYAADDDDDDGKQEECCVLCGERLSDPRRPTAAGTETPRRRRRKKGSFSWPGQCPRCGERGVYKPCMVSVLRWQLRCVSCHHQGPKSSRGGLYYTS >SECCE5Rv1G0307360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:109789761:109796091:-1 gene:SECCE5Rv1G0307360 transcript:SECCE5Rv1G0307360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKSRGGAAAREDADELSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIDYTLAWLETEGVEEVFVFCCAHAQQVKEHLEEAGWTGKPAALEMAVMAVESHDAISAGDALRVMYGRGLINGDFVLISGDTISNMSLKEVLQEHKDRRKKDPLAVMTMIIKHSKPSILTHQTRLGNDEIVMALASETKELLYYEDRADSSHLCVTIDKDILANNPTLQLHNNMEDCYIDICSPDILSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIHSSYAARIDNFRSYDAVSKDIIQRWTYPMVPDVLSFGNCHEMKLHRQGIYKASDVTLAHSAQIGANSVIGNATSIGEQCKISNSVIGEGCSIGKNVLIHGSYIWDNVIIEDGCKVSNSLVCDDVHLRAGAIVEPGCILSFKIKVGKNVVVPAYSKVSLLNKPSNEDSDEELEYADTNSGVTDSAPFSSTRSNADHPTIVSEDYDLGASETGTSGVLGYIWASGDTGIQEEWRQSIAPIPKEKLQELQHAVSVDGDVGSEEDFNNRPSEADRDNDSEISVIEDDDYTKFEKEVEETFQRAVDGVHQDNLILEINALRLSYSLQHADCAGAVFYSIMRAALVAAQSTNDSLLKSTADALTKWKDLLRNYTKTVDEEMEILLKFEEMCQEITKEFSPLFSKILPYLYDKEIVSEDAILRWAEEKENADEPDKVFVKQSDVFIQWLKEAEEEDEDDEEEE >SECCE5Rv1G0368490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:829689104:829689589:1 gene:SECCE5Rv1G0368490 transcript:SECCE5Rv1G0368490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g76060 [Source:Projected from Arabidopsis thaliana (AT1G76060) UniProtKB/TrEMBL;Acc:Q63Z96] MAVAARARGSPLLRALAATRGPPPARSRSIHEGPDTIDELLDRHLSKKGPTPSPTLDDDAAEALARRRLTSSRREALGLYRDILRATRLFEWPDERGVPWRDTLRANARREFEEARGERDPEVVARLLIGGRDAVEQALERVAEASRRMVQAEEAKRRGGA >SECCE6Rv1G0399450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:440034964:440061675:-1 gene:SECCE6Rv1G0399450 transcript:SECCE6Rv1G0399450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALISAALEEVCARLSYGIPVTDLWPALRGALEAAGLPLSPAVKRVLWARLLALPVIGLVVGDGDGSPVAPGDPAEKDVAEAERQGARLVSSAPLRDNFLGMYDHRFAKSELSTVQKAALELVGASRTVGVTQNDLCKKFHMKGNNFHFIVKSLVSQRLIVRQSALIKVKDNGAEGEEVSHNKQVINTNSLYLSRYAKDMNMNSQQRIEITKPELLGSNEETNIALQEDGALAVNLKNDISVHDYLPAMEAICEKLENASGKALVVSDIKVDLDYKMAYGHRAWRNVLHRLRDAQLVEEFDAKVDDKVVRCLRLLKKFDPVEFQSKSTTQDYKIGEKRQATDQVMELPLENCIYDMIKAEGSKGITLVELGKRLGHKNSRRLHKRVSSMRQRFNLTWDAEVSDKTSQYRVWTSKDFLHYKSGTALQSFEVLPGDHVNRSDSWSLVPSSGLDSHSSHGNNNELLYEAECHDEPIGHSLQSSHEACVGVSQLVKQDKLALGRKKHRCPPSTSDDRRQRRILHMLKKKKFVLKVELHKWLERLEKENGKMMDRKTLARTLNKLQQEGSCKCIKVSVPLVTNYTRNRLIDVILHSSVGDLSPELVDQIRMRQRNFDTETRSGAAAKLKQNQHKTAIPGLRISRRVKDNKPLIIEAMHANGFIGAKMIRVKLFHKFLWVYVSCLPGCCIPADYAEEGQHAKDLNQSSPLFSMAAAINEMPVELFLQVVGSAKKIDNMITKVLSELPTEEYNQLMDTHAKGRLSRLINILDKLKLLQLANELVDESGVPSDAVPTHSMELRPYIEEPIRRILPSSHVNLNHRPKIRHDFVLSKQEFVDAYWETLEYCYLTAGLTEPLSAFPGCSVPEVSHPRSWSSRRVMTIEQRRELQKRIRKISEEGRIPFKDCLVIAKELNLSLEQVLCVSYSQNRQLSFPTQKQQRASSGSICQKRKRSANKVTLRFIKQKVEASGSSGKISTKSTLDEGLPGSIYASSTGKKFVWTYESDRKLLMIYTRFCAARGPKCQWNSLSGLPAAPPTCRRRMAYLNKNINIRKAVVRICSLLREERRSKERESHSHMSNSSHENCVDSDSEIFNWDYFEDPEIKNALDEVLEFIRVENVNQTREVEPNNAKCNNDNNANEEIPSGQKEQVMQGVTSTSTAVPETGSRELAELPRQSNAPHASNSVDGPGASDENIIKVNKDETTRRYGCKSLAVANAIELLKLVFLSTSSGSEVQASLATTLQLYSQSEIVAAFSFLSEKKFTVSGHGTKPYTLSRKFFFKASHSPFPFGSGKKASEFSNWLIRQQRNTADNRISLYPDVECGEIVYLFSLVSSGELFISPSLPSEGVGDADGPNSSSPLVEDTSGINDGTHKRKADMVEQESSAAKKNKLCYRREKGFPGIQVALNQDIIQTSYLTQELHDKECLIFSLAQGMSMKDVDSQVESPDTLLYLNNSSSCRHMLSESHLESSYNGWPWDAMKIYADQLPSLSCNQNESSSSSDLFRNAFYVIYQGGEGGVNLRELSQELHPLGTQLVDVIVLTLKRFHLAMEVNAYDGFQIVDSLHKSKYHITTLAEYSHCSCSQGSGSQIMETGGTENVLKEKHAMSSKQGTVKKLGDGHTVTILSGESKSSSCHMCSQNRGDKEILSTPSQDNRESDCCHACERHIYHPILPWINGDGSINSTVYEGLTRRIIGYVMQYPGTVEEDVIHKMDVLNPQTCRTLLEKLTLDKHLYVRVFEEPVPTAPTILQSLFTRDTCTQPSKRRRRYFSNPTSTFML >SECCE7Rv1G0454880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:4692544:4693354:1 gene:SECCE7Rv1G0454880 transcript:SECCE7Rv1G0454880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVATAGSAVFPAHRFQASSSGSRRSAATACFSRPQSFPSISIRSAPATKRFLRLPCAAKQDTIDKVCGIVKKQLAVAEDTVVSGDTKFADLGADSLDTVEIVMGLEEAFSITVDESSAQEIRTVEDAAALIDKLVTDKDS >SECCE1Rv1G0060130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709214988:709215925:1 gene:SECCE1Rv1G0060130 transcript:SECCE1Rv1G0060130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKAAAKPPPRKRMDKLDTVFSCPFCNHGSSVECRIDLKNLIGEANCQICQESFSTTANALTEAIDVYSEWIDECERVNTVEDDDGA >SECCE3Rv1G0209430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:938959419:938960705:1 gene:SECCE3Rv1G0209430 transcript:SECCE3Rv1G0209430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTPTVTKSPPALIPPAEPTPRGTLSLSSIDKTAAGSGFVCLIQVFSPPSLSAARNDPGAAAAVAVAAMRDGFARALVPYYPVAGRIARSGDAVDCTGEGIWFVEAAASCALADVDGLDCCPLPIPQKLLLPRPPPGEKLDGLIFMVQATRFTCGGFAVGIIFRHAVFDGQGAAQFLTAVGELARGLKAPSVAPVWDRDAIPDPPGPLPGQVTEFRLVTQVADISAESIARVKDEFKQAAGEVCSTFDAATAIVFTCRTLALASVLPGDDTEVRMAFAASTRHLLRGVLPAVEGYYGNCVFLACITRTGKAVREAALAEVVGAVREAKEAVPARFAGWMRGVEEYDVPPLDYSTVTLSDWTRLGFDEVDYGFGAPWYVCPLNDHNNFIVQLHYVRPPAPRRGGVRVVLRCVEEPHAAAFAVELAKFA >SECCE5Rv1G0320740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:391647951:391649057:-1 gene:SECCE5Rv1G0320740 transcript:SECCE5Rv1G0320740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENNKQQAPSSSVSANAAAAAANAAAEAAAPPSSDPAGSSENAYTSFQGLLALARVTGSNSDETRGACKKCGRVGHLTFQCRNFLSVKELAMDDDIQAGMLSAAQAKAKFEEITKKASGARDADEEGSDEEDEDEIDSDSSDSDIDPELEKIIAERERAKSRGGKRPGEEDKKTSRHRSKSRGRSKHRKSRKSDSEDDSEEERTKDKKNNRRKKHRSSDEDSESDSDRKSHRKSRKDRKRRRTHRRKDDSSDDDESGGEGRRHHRHHKRRHHRRGASGSDSDGSESPHGRKRSSKKSHKRSESHVLGEDERHCPQGASRSGEKSKEHKRG >SECCE3Rv1G0184720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:665256517:665257985:-1 gene:SECCE3Rv1G0184720 transcript:SECCE3Rv1G0184720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVRRGLWSPEEDEKLVKYITAHGHGCWSSVPRQAGLQRCGKSCRLRWINYLRPDLKRGSFSQEEEALIVDLHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHSGNIPSSADLYYNILDGAGQGIAAAAGCASLNGLDNAAQAVATQPPPSSAAWLNFTSQPLLLPVHGAVPGCDLQYTVDGEFIRLCHAAANACPENGASSLLAQEGAADRSCLPVFAEPKGAGAFAADPGMGPVADFMDAILGSSSTSAASVSSVDSFSANTGMQLHWVP >SECCE2Rv1G0141370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935493920:935494845:1 gene:SECCE2Rv1G0141370 transcript:SECCE2Rv1G0141370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDEQAQKDEHDMKDGQQAEKDEKQAEKEYADFEARVKRTIYIDHLSPLVTSQVIKASLAQCANVVNTEFIENYTIQYEIPAAALVEVDNESQARAAVDLMNNFPFMIGGMPRPVRAVFAKPEMFPDRPRKPGLKIEFSWVKQGDPGYDGMNKLKGLMRRQEAENMALIKNQLEEEKELAAQQQETLGANSNKYDMLENLVNNGNITKLAQHYKVKLGDE >SECCE2Rv1G0078880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:112928116:112932383:1 gene:SECCE2Rv1G0078880 transcript:SECCE2Rv1G0078880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAGPVEVAPPAKAAALPFAGISPDLYPTEDDLPYEEEILREPFKLKGWWRYLVARASAPFAKRAVIYERALKALPGSYKLWHAYLRERLDHVRPHPISHPAYASLNNTFERALATMHKMPRVWVLYLTSLLDQRLLTRGRRNFDRALRALPVTQHDRIWPLYLRLASLPACPVETSFRVFRRYLQFDPSHAEDFIEFLVSAERWQEAADRLASVLNDDGFRSVKGKTRHQLWLELCDILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRTLYEKARDVFEEGVASVMTVQEFSVVFEAYTQFEQSMLAAKLEAAEEDGAAESDDGEKGGKKKVDKLEKELAACWLNDEDDTDLRLARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFDKDPARQVATYVEAVKTVDPMKAVGKPHTLWVAFAKMYEKHNRLDSAEDIFKKATQVNYKAVDHLATIWCEWAEMELRNQHFDKAIELMRLATAEPSVEVKRRAAAEGDEPVQLKLHKSLKLWSIYVDLEESLGSLETTRAVYERILDLRIATPQIILNYAFLLEENKYFEDAFKVYERGVKIFKYPHVKDIWVTYLTKFVTRYQRSKLERARELFTEAVEKAPPHEKKALYLQYAKLEEDYGLAKRAMNVYDEAVRAVPNTEKMSMYEIYIARAAELFGVPRTRQIYEQAIESGLPDKDVMVMCMKFAELERNLGEIDRSRAIYIHASNYADPNSHPEFWKKWNDFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNMDEAVDTLTRAGVPQDEMAALERQLASGPSPAPAAAPSTSTTPANRMMNFVSAGVEARAESSTQQAAANNEDIELPDEESDEEDDVQIAEKAVPEAVFGELGKRAAESREESSSAQENNEQQLGALERIKRRRQ >SECCE5Rv1G0357480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:746866165:746871347:-1 gene:SECCE5Rv1G0357480 transcript:SECCE5Rv1G0357480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKRPLEAAPPEREAPRSPRKRLRRTVLLMMWLERGRARAVTVRQMAQMVRQAQLDMAKLFMLLMVLVARLGSMERLLLGLPNLVQRMLEQQFDALQRSVMVSIQDTIRSTVQTEIQGQQAASLPNGINEPPRRISDGFPETGGITRVKLRFVDVDRPIDTLFTGCSVQWQNGGNAKIAIFENDRQIMQGDLSNLQIEILAVHADFFTEQGQVDFTKEEFNKQIYMHKGKELVLSTVNLTNGESSLGSFLFPESSHGKKLRLTARAKRQVLTTRVQEAITDPFVVKDRRSELNKKSYPPSKEEAVHCLEKISLKGKHCAILVEKKIITVKHLMRQYHRDESGLQKLTGMKKGDWNAMIKHANTSVPGDEIYSYWVPEDNCEILFNDFYDLVGKMTDNYVPYSVNNVNQFPQLKVNNWKKSAYKKFDERESSGGLNPDYFMNNGSPVRAVPGNNDAGPSVQATTWQYPNDMAAQHEFDYRRPLNGFSLATVLSNNGAGPSNQETLPFSQHTYEQTLHQGTPYCLAPGNFINDQGQGSFSAEPTILSHIPVPLPEDDQITFTAASLTAQQNGHFSFSTTDAPATSYPVAGVAGTSSDGVSLGTSSVNNVQTDIFSGLTPFELEELLELQERLTEEDFVQANIAEQLNSDSQLRSYEHDDGAH >SECCE2Rv1G0116030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:760216224:760218106:-1 gene:SECCE2Rv1G0116030 transcript:SECCE2Rv1G0116030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPTSPTRPLSPPGSPVNTATLSSASVLLRTAVLSIAFLAVQLVLYKNLGAFPTTQFLPAPPRCSRTWPNGEVEPGACEAGVIYVYDLPPEFNHDLVTHCESLCPWYSFCPYLTDGGLGRPAATVPAFSGVVPNASMPSWYNTDQFPLEIIIHRRLLSHRCRTVDPSLADAFYVPFYAGLDVGSHLWGLNATVADRDRAGTRLLRWLTNQTSFKNSGGSDHFITLGRITWDFRRYYDDGWGTNLAIRPEMANVTRLVIEADRLDPKDVGVPYPTGFHPRAAADVRAWQRHVLSRNRTNLFGFAGAQRSGFKDDFRDVLLEECEDAGRAHCRSVDCSGTKCNDNAAAVMELFLNSRFCLQPRGDSYTRRSLFDCMVAGAVPVLFWRRTAYDQYRWFLPVGERGKEREWSVFMDRRALRVGNVSVLDLLQGLSEQRVRRMQERVVEMIPRLVYGSSSDGLGDGMEDALDVALGGVLKRFGRRRRSVAREEDGPPAPLAGRRVNGTSTAPPASSGNSRPVIRRGRRRYVSRDRSRRAFWPHIRSVIPQAPASGRQSLQTS >SECCE1Rv1G0041850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:574423555:574425409:1 gene:SECCE1Rv1G0041850 transcript:SECCE1Rv1G0041850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLAGAAAAPLRRSICTDAAASSPPRHPPWAMLYTKPALDAWGAPSRASLDLHEDLWAQLSVPTHLVVPDAGDATGFFAGAVRAATPDGFLLLDFSDTRCRAPAGGTFRRSWLAGFDAAGGGAVEPDVARFVCNPLSGQLLRLPAPAAEFARSSTAGCSTGFGLLTHSEGSRGPPDRYVVAQLSRSRRGGADHPVVRRFLSETGEWEERRLAGQSMPASRDMRIDLNLEVVAFGDRLWWLDPTWAVCSVDPFSDQPEHCFVELPPASVLPDLIDLAGTPVLGRYRRLGVSEGKLRYVEVSNSRKPFVCSSFSLDEEGCCWTLEHKVAFNPVLPEKCKELEDHIPCIAAIDPFQANFLYLIYGRLLLVVDMAEGKSLGGRYLPERAGDQTLCPSGFLVPCTLPPWLESSYIPCAGPLSSNKTNSGRNTVAATLVRADRD >SECCE6Rv1G0405420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:530344841:530346503:-1 gene:SECCE6Rv1G0405420 transcript:SECCE6Rv1G0405420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARGMAAKGKRRPRPAAKAAAVAGDGHEETKDGPEKAAAGGGGFFCCYLLRSLCPRSKSRTYIGFTVNPRRRIRQHNGELRSGAWRTKRGRPWEMMLCIYGFPTNVAALQFEWAWQHPTESLAVRKAAAEFKSLGGIGNKVKLAYTMLNLPSWENLNLTVNFFSTKNTKFTAGCPPLPCHMKTVVSPMEDLPCYGEGLSSEEDIMEPGENEEEPDAAVGGDASGHGLQTLDLETGILGGESDTDEPFAPMERSEVFASRISEASAAQPVEEESRIAHCGVEYTIDDFGESDTDEFFAPMERSEVCGSRISESSAAQSVEEETRIADSDVEYPTDDFGYMEWSGIHERSELHVSRTPPRCSSSSCSDAAAGRSVNCVTGQASPVLKAGSDDHNLFFHEIDVVDLVTPVARFARDCSKMASNCPKIIDLTNSPIVIEL >SECCE5Rv1G0329890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:522514671:522516823:-1 gene:SECCE5Rv1G0329890 transcript:SECCE5Rv1G0329890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDHLMVNVDRLMMPEPIEITGAPKSSSSRDTTALPITGHSFFAVGDSMVPEEEPLLHITECRICQEEDDIKNLESPCACTGSVKYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPPRPHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHIMEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAMFSLFLLRAAGFLLPFYIMAWAISILQRRRHRQEAAALAATEVAFILQSGQGRGVHFTIAPDSPATPQHEPQP >SECCE1Rv1G0001730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6194160:6199979:-1 gene:SECCE1Rv1G0001730 transcript:SECCE1Rv1G0001730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MGSQPAAPSRAALEPFATLDPAALAALPASTPLTVRSAALSAPSLLYLGTGGGKLLLFSLATPSSPEFLRLLPIGATRPVAAVLPLPSVARVLVLADGMLLLADPLLARPVRRLGSLRGVAAVAAAPPASPSSCSLAVAVGKRLLVLDLALREADELEVQTREIAAGVEGISALAWVGEDSVFAGTASGYSLFSSSGGGTGQRVDIFTLPESAGAPRIRPLSGGQEAMLLVDNVGVVVDRSGQPVGSSFVFNSRPDCIVEVSPYVVVAAESKVDVYRRRNGVHLQTVPIARTGTGVLTVASDDDGSGGEVVVVATAYKVFCYRKVSAVEQIKASLRIKSYTEAISLLEEFESDGEISNDMISFVHAQLGFLLFFDLRFEDAVNHFLLSETMQPAEIFPFIMRDPNRWSDLVPRKRYWGLHPPPKPLEEVIDDGLVTLQRALFLKKAGVDTVVDEDFLSNPPTRADLLELAIRNIIRYLCVSREKSLSPAEMEGVDTLLMYLYRALDLVDDMEKLASSQNSCVVDELESLLDNSGHLRTLAFLYGSKGMCSQAVAIWRILARNYSTGLWKDRPNLSGTDSQETLADKKSGEEIAAIEASKILQATSDQDLVLEHLGWVADIDQDLATAILTSEMREKQLSSEKVIAALDSEKVGIHQRYLQWLIEDQGCEDPHYHTSYALLLSKSAMEAFHMESNSGEKNDKEIDSDIQFIYSLRERLQLFLQASDLYDPEEVLDVIAESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLDLYLDPKNGREPMFTAAVRLLHNHGKSLDPIQVLERLSSDMPLQLASDTILRMLRARVHHHRQGQIVHNLSRATNVDARLTRLEERSRHVQLTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQGDSVSVRGRNFRKDAIFKQSWLVSR >SECCE6Rv1G0380950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:28063143:28073832:-1 gene:SECCE6Rv1G0380950 transcript:SECCE6Rv1G0380950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGGADPWLRTKNAHVGRQVWEFDAAADDPDALAAVDAARREFAARRHQLKHSADLPMRIQLAKENPLKLDLPAIKLGENEDVTEEAVTTTLKRALSTFSTLQAHDGHWPGDYGGPMFLMPGLLIMLHVTGALNTVLSSEHQKEIRRYLYNHQNEDGGWGLHIEGPSTMFGSALTYVSLRLLGEGPDSGDGAMEKGRNWILDHGGATYITSWGKFWLAVLGVFEWSGNNPLPPEIWMLPYCLPVHPGRMWCHCRMVYLPMSYVYGKRFVGRITPIILELRNELFKVPYSEVDWDNARNLCAKEDLYYPHPLIQDILWATLHKFVEPVMMHWPGNKLREKSLNHVMQHVHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRIYDYLWVAEDGMKMQGYNGSQLWDTAFAVQAIAATDLIEEFAPTLKLAHDYVKNSQVVDDCPGDLSYWYRHISKGAWPFSTADHGWPISDCTAEGLKASLLLSKISPEIVGEPVEVNRLYDAVNCLMSWMNENGGFATYELQRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKKLYPGHRRKDVDNCINKAANYIESIQRSDGSWYGSWAVCFTYGTWFGVKGLVAAGRTFKNSPAIRKASDFLMSKELPFGGWGESYLSSQDQVYTNLEGKHTHAVNTGWAMLTLIDAGQAERDPTPLHRAAKVLINLQSEDGEFPQQEIMGVFNKNCMISYSQYRNIFPIWALGEYRCRVLGAAKK >SECCE6Rv1G0447210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:849462585:849463736:1 gene:SECCE6Rv1G0447210 transcript:SECCE6Rv1G0447210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTEGLLQAQLELYHLAMAYVKSAALRAAADLRIPDAIHRRGGAATLSDIATEIGVQPTKVSHFRRLMRALTILGIFLAGQGPNGEATDVYYKLTSVSRLLLEDSSCTQSPIVRVLVDPLSLTALCSIGEWFTDERASAMTLFEVAHGCTREEMTAKKGTRGMFNVGMISDSRPLMETIIKDHSNIFEGVSSLVDAGGAHGATAEAIAKAFPHIKCTVLDLPHAIAGAPAIDNVEFVAGDLFEYVPPADVVLLKWVLCLWQDEDAVKVLRRCKEAITSRGAGGKVIIIDVVVDFGMSQDDVLLRETQVLFDVQMMRVDGGERDEQQWRKIFLEAGFRDYKITPMLGFRSIIEVYP >SECCE3Rv1G0175690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:430935597:430944149:-1 gene:SECCE3Rv1G0175690 transcript:SECCE3Rv1G0175690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSQPFHPDPARHRPHPYSHDPPPPHRPRRRGRDHDDAYHTHPQSYSQPCPYTNTAPYHPRHDPLALSHLQKPIFPPPSQRAPGPPPPKRARRAPEPRCDPPVAAVPRLLPTRQPEDGDARALLSREEIERSSPSWKDGIDSALEARLRASYCAYLRCLGFRLGLPQTTVATAVVYCHRFFFHRSHACNDRFLVATAALFLASKTEETTCLLNTILRASCEVSENQEFNLLPYMSRGQNWFELYRESVIQAEQMILTTLDFELEVAHPYTSLSSALSRLGLSHSVLFNVALSLINEGLRSSLWLQFKPHHIAAGAAFLAGKFVRYDIIFHQNFWHEFKTTPHIVQDVVQQLKELL >SECCE4Rv1G0254420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:637039467:637040173:1 gene:SECCE4Rv1G0254420 transcript:SECCE4Rv1G0254420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTDQSPEEMYSVWALLPEPVRRRLLGLMAGLRAAHSGAVFEPHATVLGAMRLRRSAAIEALLAAAAGLRPYTARIASIGGYGVNLLLEPTREVMATSDHCRAHFGYQRPAPYVPHMSLLYGDHLTEEETAAARKKAGEMDRGIFGLQFEISELALYKTDPMDKSLESWELVELCHLQKK >SECCE7Rv1G0509730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:783231421:783232035:-1 gene:SECCE7Rv1G0509730 transcript:SECCE7Rv1G0509730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITDDPDRAPRDCAAARRHHSGRRRRRLLIVAASAVALLLAVAIILWFTLRPSSPQFRLLSATASINATGGMAGTVLLDAAIVAHNPNAHALALYGGLRARASYVGLQLAAAAPITSFQQAQGDVVFSASLSSTSSAAEETTAGGRSPALLTLRLEGRLRWKVVFWVSGSLTLAAECVAAAVSPSQIRAVIVQGCQCTTTIE >SECCE5Rv1G0364890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803463068:803463475:-1 gene:SECCE5Rv1G0364890 transcript:SECCE5Rv1G0364890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHQRPPADQAVSLSLSLTLGSVAGLSKKMRRASAAAGGDQFVCKTCGRSFPSFQALGGHRTSHLRGRRGLALALTAGDQYYSVKPKSTADQKSEHRCHICGQGFETGQALGGHMRRHREEAAPAPPVLLALFV >SECCE5Rv1G0333780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:561432171:561433937:1 gene:SECCE5Rv1G0333780 transcript:SECCE5Rv1G0333780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMEVEKPRQVARRFLARPQHEGAGAVVRRSIGRFELRYFDPFLVLDEFSASAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSKGLQLWVNLASKNKMVEPGYQEFQSKDIASTASADGDVTVRVIAGEAMGARSPVRTRTPTMYLDFTVRPHAAAPVRQPVPASWNAFVYVLEGEGVFGPAADQKQQAAGAHHLLLLGQGGDGVEVWNRSDKPLRFVLVAGEPIGEPVAQLGPFVMNTEEEIDATVNDFDYFINGFEKAKHWKSQAMIALELE >SECCE1Rv1G0036770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:512316309:512317484:-1 gene:SECCE1Rv1G0036770 transcript:SECCE1Rv1G0036770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASICRRRLALRIHQISGGGGGATPLEFIPGTIPLAHCHTSPAVAEVPSSEPCPDTVSYLISCGLSPAAAGAATTAQHLRIRSTDKADAVRALLKLYGFADADIVRTLRSAPDLLVVDPERILRPKLDFFASLGFETHKLATEPFLLARSLDKHLVPAIQFLRGIIGSDDDLRRAFHRVPRALAVDLDNNMRPAVEALRRGGLTEADISKLLVIRLGVLLSSPDRISEIFEELKAMGMSVLDPRFLYCFSAMSSVKRESWLRKMAFYQSFGLSEGELLQAFKTQPTIFLFTDESINKKVRFLLDELKLGISDVIARPVVLGYSLEKCILPRCAVLSVLMREGRIQRDIKLLQALLGSSRNFETRYVLRHADDVPDVVKAYQGKIKFEGFK >SECCE5Rv1G0348440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673778048:673778689:-1 gene:SECCE5Rv1G0348440 transcript:SECCE5Rv1G0348440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEGGSKSKDVDEAMERWHNRSMGHRLYDAFTVAGLRIEAVEPGRLLCSFMVPPRLTSSASNRMHGGAVASLVDLVGSAVIFAGGSPVTGVSLDITVSYLDAARANEEIEIDARVLGIGGKTGCVTVEVRRKDTGQVLAHGRHTKYLANVSSKL >SECCE4Rv1G0222360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:56562000:56576462:1 gene:SECCE4Rv1G0222360 transcript:SECCE4Rv1G0222360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVGAAPTAMDEKARRTRDLLASFYNTDPAAAGAVAASPARPSPTAATASPLESINSTSFNPDVYMDVLVQQSNLEALLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIAGMETNMEQLLSKITSVQSRSDTVNTSLFNKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKAEAYADAVRFFTGAKPIFEAYGDTSFQDCKKASEEAMDLVIQQLQAKLYSDSEPIEARAEAVVLLKQLNFPVDNLKSNLLEKLEDCLLNLQTEPTQASVGDISKTFRAYLIIFPDSESRLIELAHALFTNRYETVRGALKERIPSTDLLAMLRGLWEDATAIDEVISEAALPAFSLETTRDIIKQHIATAFLHLQTEISDVLVTHSTSNEKLEESQLQTAMEKSKIKVSQGCIDLLQEFRHLIDGNTELLVKLKDLIIDWVQEGFQDFFQKLDGHFHLLSGRSTSFSQESSTVDFVQLDKVPTVLVLMLAQLCVFIEQTTIPKVTEDLADSFSGGGARSSEYGPPFVPGEICRLYRSSGEKFLQHYINIKTQKISKLLNKRFSTPVWLKHKEPREVNMFVDLLLLEFNGVVSEVKQILPGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTNRSRSQFLENHLAKLFEQKMEIFTKLEYTQESVISTVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKSSLKEFIDDEAAISFLLKEVNNAAHERCLDPIPLEAPILDKLINAKLAKIKEQSANM >SECCE3Rv1G0180450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:579320115:579321437:-1 gene:SECCE3Rv1G0180450 transcript:SECCE3Rv1G0180450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP1-associated protein 2C [Source:Projected from Arabidopsis thaliana (AT3G15010) UniProtKB/Swiss-Prot;Acc:Q9LKA4] MDPFSKKRKPDENGAVAASPAAGAAALGLTRDDVLRLLDPLSRDQLADIAAAAALASGVALDAVRAAADRDPALRKLFVRGLGWETNSDSLRAIFSAYGDLEEAVVISDKTTGRSKGYGFVTFRHADSALLALKEPSKKIDARVTVTQLAAAGAAGGPSGGTTGAGGVPSADVSLRKIFVGNVPADMPSERLLAHFASYGEIEEGPLGFDKLTGKFRGFALFVYKTPEGAQASLVDSTKVIDGQQLLCKLAIEGKKGKQQPQQSGPAGQQQQQMLQGGPPDMTGSGLGLGGPQMGGQYGGPGNGMPSFGAFGGPNPYANLPSSMGGGGGAGLGSMGNQMPSGMGSAGAGGFGGGGLGGGSFGGSSQFGAAGMGPYGGLGMGGPSSLYRMQHSSGGLPSGYGEGGNYPHPGSGFRGQDPQGMSPGPGGRAPPMYPNVPPYF >SECCE6Rv1G0448840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:858696057:858696251:1 gene:SECCE6Rv1G0448840 transcript:SECCE6Rv1G0448840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMPNILSLTCICFNSVLYPTSFFFAKLPEAYAIFNPIVDIMPVIPLFFFLLAFVWQAAVSFR >SECCE6Rv1G0423170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:694242524:694243741:-1 gene:SECCE6Rv1G0423170 transcript:SECCE6Rv1G0423170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRFLPMAGRRIIAALSQPSAPSSRGIFFPSPATAGLRSLQTIIEASSNASTERRHDPEDNKTDTPPPPPPASVPAAAAESSFMVRDASALKISPRHDMAMIFTCKVCETRSVKMASRDSYDNGVVVARCGGCNNLHLMADRLGWFGQPGSIEDFLSEQGQDVKKGDADTFSFTLEDLAGSQVKSKEPSAEN >SECCE2Rv1G0119140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:788578355:788581051:-1 gene:SECCE2Rv1G0119140 transcript:SECCE2Rv1G0119140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIRPRTDGSAKAPLHNYDDEDPDRHKLGGMRPPNKEILEHDRRRQVELRLVELRDTLEEQGYTEGEIEERVEEARKEAELEAAAAAVVAEAGGRAGGGGPAARPGEGFTGTQSHHVAARKEKQLETMRAALGLEAEDGQKNAVVDSDPESGELVPGKESEEMDVDVQNDRKADKKHAKKGKKEKANDRKSHSRSSRKSKHGYDSEDDSESDHDERKGKKYIKKSFLDRDVDSKIVHKKAKQGKGTHHDSEADSDSDHGKKKAKHTKSNRDEGKKGPVKSSRHDSKDEKPRRSKYKDDSYSDSESDVSYSDSESDYDRKKKKSNHGSKDDKHAPKSKEKEASLVKNVDKRKRHDSDSDGSAHDRKIHLDAAVARKDHSRDKPKIDPRSDEYKNKRPVKTSRHDSEDEKPHGKVPRKEKYDESETDSERYTSEKKKPAKSSVHVSKVDKEAPKHKEKEGKTSSKNVDKRTRHDSDSDSDGWQRRFDTKVKKIVEEKKRVISSSESSFYSSSSSESDVSDESRENRKSDRVLKNGNGQNHAKRASYKNELEGRKKGQDGRRKEERMEQEKQKQREEERKELEKQKRLEEERKELERQKRLEGERKELEKQKQMAKEEETLKEKEHERRKGEHGVERDSKRKVGEDRYDPNSNRLSDDENRDRKMREDYGRQKTTDSDRHDPNSNRYSDDENRDRKRHEEYGRHRARYSDSHDSKRSRYDDSYNHSRRDYEERYSRDEHRDRRHR >SECCEUnv1G0533740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:32716012:32716671:-1 gene:SECCEUnv1G0533740 transcript:SECCEUnv1G0533740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGDSDICDGCIEACCCAFFVDSIGGKTLFRIVCALVIFAVLATGFTLLVIAFITRPVGVTVEDAALGRLALADKNATATALAYDFSLAVAVRNHNWIMRAQHTAPLDAELLFAGARFARVGLATAGGLVQPGNKEVYHAAAAADNAGVSLGSAGVAEFVRESTAGVFHLELRVVGEVRYPPRHHLHRLNAICPLELALSTATSPATFRKVKCAAFS >SECCE7Rv1G0462230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:44295645:44299065:-1 gene:SECCE7Rv1G0462230 transcript:SECCE7Rv1G0462230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFGVAPASGLRDAGGSSEVDKLPDEISNMRISDEKEVEATIINGNGTEAGHIIVTTIGGRDGQRKQTISYMAERVIGQGSFGVVFQAKCLETSETVAIKKVLQDKRYKNRELQMMRLLDHPNVVSLKHCFFSTTEKDELFLNLVLEYVPETVHRVIRHYNKMNQRMPLIYVKLYTYQICRALAYIHRTVGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLTGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPHLRSSALDALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGLPMEIAAKLVPEHARSQCPFLGL >SECCE7Rv1G0461790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:40090492:40091707:1 gene:SECCE7Rv1G0461790 transcript:SECCE7Rv1G0461790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTTFLNGELKEEIYMDQPDGFVVPGQEGKVCKLLKSLYSLKQAPKEWHEKFERTLTAAVFVVNDGDKCVYYRYGGGEGVILCLYVDDILIFGTKLDLIKEVKDFLSHCFEMKDLGVADVILNIKLLRDEKCGITLLQSHYVEKVLSRFGYSDCTPSPTPYDASVATRPDISFAVSKLSRFVSKPGDDHWHALERVMRYLKGTAIYGIHYTGYPRILEGYSDSNWISDADEIKATSGYVFTVGGGAVSWKHVKRRLKSVRKLRNSGVITLDYIQTSKNLADPFTKGLSRNVIDNASMEMGLRPTA >SECCE5Rv1G0361620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780502796:780503482:1 gene:SECCE5Rv1G0361620 transcript:SECCE5Rv1G0361620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Germin-like protein subfamily T member 1 precursor [Source: Projected from Oryza sativa (Os03g0804500)] MARSRAPIMPSPVLLLLAAVFVSACVADPEPVQDFCVAAVTNGSADHPSYPGFPCKPASAVVSDDFFFAGLARSGAADAAESPFGSSVTSGNVAAFPGLNTLGVSINRVDLAPGGVNPLHSHPRAAELVHVVTGQMLVGFVSTAGTFYSKVVREGESFVIPRGMVHFQFNTGREAARAVTVFNSQLPGVVLAAPSLFGADPEIPDAVLAKSFQVDGEIIKLLKSKFRD >SECCE4Rv1G0217480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:16731492:16734594:1 gene:SECCE4Rv1G0217480 transcript:SECCE4Rv1G0217480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRPPSFFGGLRARELSGGRGSARASAARLPYLSDLSSDPGDRGCGVISVEHSGDPAIPFAVSFCKAPQISRLLAVADEDGYVAVYDTRRRLPSRSSSVEKSAETRLSDWVAHNNAIFDVCWIKGGSQLLTASGDQTVKIWSVENKKCLGVLSGHTGSVKSLSCHSSNPDLVVSGSRDGSFALWDLRCDPKTPNGHGEACLISSAVVKEAHSPTRRNRTRSRAKGASTSITSVLYLKDDISIATSGAADNVVKLWDTRNLKAPVSNKTSQSTAQPSKEGVTHGISCLSQDSYGAYIAASCMDNRIYLYSTLHMDKGPIKAYTGSKIESFFVKSAISPDGTHILGGSSNGNVYLWQVDQPEIGPIVLKGHEGEVTSVDWCALEVGKIASSSDDSTVRVWNTKKIDCTNISSPTVIRKRVTAPNIDCPRSASHERATSSRDVAACTSAGIELPTGSHSPLRPRVLEFGTPESAKKRAFSVFREEALDTRNSPGAQMSSPSSVLNPPPSLKRKTIRDYFGSSTS >SECCE2Rv1G0135360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:906444411:906446975:1 gene:SECCE2Rv1G0135360 transcript:SECCE2Rv1G0135360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLWMRFAPFLSKRYISTCRTSSVPPSSSISVIQPWLFIGLGNPGEKYQSTRHNVGFDMIDAFAESQGISLTTHHFKALFGEGVVDGVPVLLAKPQTYINLSGESAGALAAYYKLPLHRVIVAYDDTDLPCGVLRLQPKGGYGRHNGLKSVIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIKEGCDILKMVVTKGLTEAARESNVDQKYKHLVSHDQQL >SECCE2Rv1G0073190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:65677753:65678220:-1 gene:SECCE2Rv1G0073190 transcript:SECCE2Rv1G0073190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPWFCRDVSDGGEQEQLMHGHKEATWFNTEFEEDMARAMTAFDILAFSPGSDLSGMFGVGPGTERVFVGEPAAAVLARVEDAGKKRGHRVRREGKNRVGPVYVEAVAGGIVAKVTVFRIADAVSVVEVVKGHGVEAAAFWKDCLEPAVKPRTM >SECCEUnv1G0528760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6024278:6028956:1 gene:SECCEUnv1G0528760 transcript:SECCEUnv1G0528760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYSASEAKQRKESQLANIRHSRRPAQHRASLDGGVRDEDYTAILEGDNGGELYVALVKGEEDGGRHGGGGVGKLYVAVGKDEEDGRSSLLWAARNLLTGDDKFVLLHVHQPAHRIMNGFRKVDASQLQKKELKAYRKDEKEEMTTLLNNYLDFCRDSLKMQAETLVIEKNSTANGIVKLIEQNHITNLVMGTSSFSPDVQTKYSFAMRKSKVPKSKVAAIVHQQAKPYCQIFYICNETLACSREATQSLTKVESPQSSYSSTDSERSEFHTRSQTLSPGNTCFFGSTDQKALQGQSKQPVSYTHPLSASITNNTVTIPGARGRSIHTTTSTGFSLYCSQQSFRGSTPALQDFDNMNESLVPVSVASPKEHQHYMIETDVQHEVFGQLQQLLHDDSECSKREAFEGQQKTLRGQFENPMTSKAQENSLRKEKNKLEERLAREKAGLEKERLHLYNELQKANEQRAELESRLLETNSLMEELQREKEQAEEMRQTNGGGAFAFGSTSSNAIVLTDFSLAEIKEATNNFDASKKIGEGGCGSVYKGFLRHTTVAIKKLNSDGARGDQEFNDEVETLCGMRHPNLVTLIGACREARVLVFEFLSNGSLEDCLQREHRRETLSWRMRVRIAADICTGLIFLHSNKPKAIAHGDLKPDNVLLDANFVCKLADFGISRPLNVTNTTITPYHRTNQLKGTMGYMDPAYIASGELTAQYDVYSFGVVLMRLLTSKSPLGLPHVVEAAMRKDKLQDIIDTSAGEWPPKYTKQLAKLALRCCRYERKERPDLGKEVWVVLEAMMNCPDDKRKPPKFLICPITQEIMKDPHIAADGFTYEGAAIKRWFKMGNKMSPVARVNFAHHDLIPNNALRFAIQEWKKRQQL >SECCE4Rv1G0277800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:805694532:805710235:1 gene:SECCE4Rv1G0277800 transcript:SECCE4Rv1G0277800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSTLQSLLLLIILSSSCCLSANAGSHVAEGNHHELNHFMVVATSSLKHTPEDAVCSGHKVMPSRNSTAWVPMNRPHGPCSSSSSRATTVDKGVDVDDMLLWDQLRTSYIRTQLSVDVGSAGAGVPIIARTTKVSNQDYMPASQAAVGQNSGTSKSIQKSDQTATGEHHATASQTVVVDTSSDIPWVQCLPCPIPQCHLQKDPFYDPAKSSTFAPIRCGSPACKELGSGYGNGCSASTDQCKYTVNYGDGRATSGTYVTDTLTMSPTIVVKDFRFGCSHAVRGSFSDQTAGVLALGGGGGSLLEQTAEAYGNAFSYCIPQPSSSGFLSLGGPAEASSKFARTPLIKNKHAPTFYIVHLEAITMAGKRLGVPPATFAGGAVMDSGAVVTQLPPAAYSALRAAFRSAMVAYGPPAAPVRNLDTCYDFTRFTEVKVPKVSLVFAGGATLELDPASVMLDGCLAFAATPGEESVGFIGSVQQQTYEVLYDVGGGSVGFRPAAC >SECCE5Rv1G0349830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:686819682:686824096:-1 gene:SECCE5Rv1G0349830 transcript:SECCE5Rv1G0349830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRVQDGVEMQQRRTTNRIFPDERQDQSKTPFQAGRVDRFAANRIDPKTLEKLKLMNEGNVPWHSRILDPRSSVLLTWNRVYLVACLFALFIDPFFYYLPFVRVMDEKTGVSCVAEDQRLRNTMTVLRTLADLFYVLNIAIKFHTAYVDPKSRVLGKGELVVDLKKIQRRYVRTDLCIDILAAIPLPQVTVWLIMPGIKRADYNIQNTTYALIILVQYVLRMYLVVPLSNQIIKAAGVVAKSAWGGAAYNLLLYMLASHITGAAYYLLSIERQITCWNQQCLAESSNTSCDLGFISCENTVSSSYPGWQSKTQIFNNCDATADPPKFNYGMFSSALTKHAVSTSFQEKYFYCLWWGLLQLSSSGNPLQTSAFIVENIFAIAIGAISLILFAQLIGKMQTYLQSVSKRLEEWRLRQRDMEEWMRHHRLPPDLQERVQRFVQVKWLATRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFAEMDDQLLDAICERLVSFLCPEGTYISREGDPVSEMLFIIRGKLESSTTNGGRSNFFNSILLRPGEFAGEELLTWALLPKTNIHFPLSTRTVRSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWGACFIQAAWRQHQRRKLSESLSRWESYSWWSEEHPTAEKPKPEGTSKIAAEMHKFASASRRLRADDTMIRRLQKPDEPDFSADHFD >SECCE6Rv1G0420280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:676265651:676267631:1 gene:SECCE6Rv1G0420280 transcript:SECCE6Rv1G0420280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIEMTLPPGFRFYPSDEELVCHYLHGKVANQRFTGGATGTMIEVDLHVHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATRSGYWKATGKDRVIRSPRSPSSRSGRAAIVGMRKTLVFYRGRAPNGTKTCWVMHEFRIENPHSPPKEDWVLCRVFHKKKADTEYAMDGEPELGGGALSGSNYLSSSSCHDPEQYHHSPMAPVPSIGAGGHHYELLPCDHHHPHGAAGVSLSEVDPFAGMPQLLSYDSILNFSQQLQGGRGTAAGLRDGAEDQCGGVLIDRGLQVQEEHYNYNSLM >SECCE7Rv1G0482270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:303265884:303266612:-1 gene:SECCE7Rv1G0482270 transcript:SECCE7Rv1G0482270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LIP2 [Source:Projected from Arabidopsis thaliana (AT1G04640) UniProtKB/TrEMBL;Acc:A0A178WI01] MSGGAARRVLEAWKLGVVKYGDALRLQDRLVADRRAGRVPDLVLSLQHPPTYTLGKRRTDHNLLVPESSLAGLGAELHRTERGGDVTFHGPRQAVLYPILSLRDIGLGARRYVEGLESAMIEVASLYGVKARPGGACETGVWVGDRKIGAIGVRISSGFTCHGLAFNIDPDLGYFKHIVPCGIADKEVTSLRREAAVELPPDEVIHDQLVRSLAATFRFADVKVKGDSECADMINSAATGQH >SECCEUnv1G0535350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:56143115:56144047:1 gene:SECCEUnv1G0535350 transcript:SECCEUnv1G0535350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQATRGSGDPMKAQQEQPEAIQYGHVFPVTGDLAVQAIAPRDAEAMRTAEESVQGVQVPQASGGGFSAAVAMETAAAYNQAVGAVRPGQASDAATKQGITVTQTAVPGGRIVTEFVAGQVVGQYSVADPAMMQQQQQQVEEEDTSKVTIGEAMEAAALSAGDRPLEEADAAAIRAAETQARGADTVMPGGLADQASAAASANAWTERDEDKITVSDILSDATTKLADDRPAEREDAARVLQAETYSDAGERTKAGGVGAAMTTAARLNQEDDDDA >SECCE2Rv1G0123270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:822903406:822905273:1 gene:SECCE2Rv1G0123270 transcript:SECCE2Rv1G0123270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESDGAATTKRPRLPAEDPSSHCEATEAADRISALPEAMQLHILSLLPLPSAIRTGALSRAWRDLWKRRWQDDGNAAPFLHHHIRPCSSPSSKKLLECLELRQSQGRRGRLDRYSLVADNCAMGARQFGRYLDAAARCAVEDLRVELPEKPASPDTPTKATTLRFPFPAAAGPSLARLSLRGIGVSGLHSRAARPCSALEVVRLHSVPVDDRGLARMLALCPRLRVLGLHSCSALRRIAVTAAMGRKLCSVTIAGCSWLIEVDVAAVSSLRSFRYSGGFLSSFYLPDNASFSDLYICFDAQRSCNVRICQKVFSDWFESRVCSKLTALTICSNVLFVVSSLPNGISHAESAKMGGGFFRSMTELQLLMLDMKAPELANIYVFLKNSHCCNLERLFVQLPSIPSEPLVDSFNHVGVEPPEDVLENLKVVKITNFNWNRIELQLVSFLLRKASSLHKLLLVTPSLVPLDVTGIQKEDLLFVGEAVANGKIILSKLDDAATRPFHSDVFAEI >SECCE1Rv1G0055980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:684416500:684419031:-1 gene:SECCE1Rv1G0055980 transcript:SECCE1Rv1G0055980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFD1 [Source:Projected from Arabidopsis thaliana (AT4G30930) UniProtKB/TrEMBL;Acc:A0A178UZG0] MATRRCLLRLLTRGLAPQPLAPASIAARTLTSLPRPLAPRAAAGSLRLLPPRCHFATRSSDDEGDDEEHYEDEEDGSGGEWGEDDEETPAAKPPSGKTEEEKLAEAAEIGYKVVGPLGADEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLVLNRVLMLGSQAQTIIGRPTLTDAIVHAVVEEHALDAKVIIFKKKRRKNYRRTRGHRQELTKLRITNIEGIDKSFIQQEGTDKPERAAVAA >SECCE2Rv1G0079760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:122696043:122699330:1 gene:SECCE2Rv1G0079760 transcript:SECCE2Rv1G0079760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTDGAKSHARRDLLLKIQSEAQTCWEESKVFEAEPGNGLPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFGFHCTGMPIKASADKLAREIQQYGNPPVFPAAEDDSSAEVADDSQADQAAAVAPDKFKSKKSKAAAKTGMQKFQWEIMRGFGLSNEEIAKFQDPSHWLTYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMMVIPPFPPKLKALEGRNVYLAAATLRPETMYGQTNCWVLPDGNYGAFEINETDVFIVTARSALNLAYQHLSRVPEKPTCLAELTGNDLIGLPLKSPLSFNDIIYALPMLTILTDKGTGIVTSVPSDSPDDYMALQDLITKPALRQKYGVQDEWVLPFNIIPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGVMIAGEFNGRKVQEAKPLIKNKLLGEGSAVLYSEPEKKVMSRSGDECVVALTDQWYITYGEAEWKQRAVKCLENMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHHLQNGNMYGQEIASIKPEEMTDEVWEYVFCDGPAPNSSISPALLSKMKQEFKYWYPFDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLREAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRGGSPSTYADHVFANEINIAVKETEKSYNAFMFRDALKSGFYDLQLARDEYRLSCGASGMNRELLGRFMEVQTRLITPICPHYAEHVWQKMLKKEGFAIKAGWPVAGTPDPTLRSANKYLQDSIVLMRKLLQKQESGSKKPKKGAAPPPSAENKLTVGLIYVNEHYDGWKEQCLRVLQSNFDSQARSFAPDEEINEALRNCFIDRETNFKQVQKLCMPFIRFKKDEARNVGPQALNLKLPFGEINVLEENLELIRRQLGLEHVEVLPVFDGAARAKAGKHASVLDKNPPSPGEPVAIFMSKQEFEAQN >SECCE6Rv1G0451890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873959923:873967343:1 gene:SECCE6Rv1G0451890 transcript:SECCE6Rv1G0451890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQTSTLSGEHGSPCSPRATIVAHPRRTPQKEPAPRRLSVWQRIEEGPAAATRPPPPPPPKALHISPAKSSTAGSASKGLASVISVDCKAKSAGSNEGDSTKVLQKDAGKSVRKVLSSVLVKPSPESKEKEGDVEKLPGKHDNVQENVSGSPSESLCLAGRPVAGLKKVKKIVIKKIVRRIVGKDKQISSEIVSEKRDNVDAIANPSEKEEGEIITSSLEKDTVSEHNMVSTSGTAGAGNGVNVQKGENSDSINPRKRKATSAIESKKILDSPSRSGSKHLGKEDNRSSMGRGDINAASAIKSTEALATRRSEHPGKGDRSSMDSGVRSAALVSTNDNYQEEGEIMSLSGEMSAAVASNPLRISDRRTGSSMKESKAPKDVSKKNSVCTNGITVNHGTTEISGSKDVRREENDILISTSEEDVRRVSSTPEVTICKRKGAQKGEGMILTGLGDNSISLGEGNVSVAHHVKVSNTRELDVNEDTRTKESQIPIELCQSNTLKTIHHLEAPNTSETIMSKFVGRKVGKSLMGSTEGHAATTGNSGSTPEFNLAGGFVNSHKEDLLNDGTAFNEIDAPLEVEGRDFFNLSYSRNVESMNVPPLDDDLESGATAQVAELINFHRGHMSPEIDFPLAHSRESSSVSGNSEQSVPTTLTLGSNFYFSNNRESERQLEENHELLEGQKRLDVSTVTEFDSLVKQKGVADDDSVGVGSQNWLTLPPAFNSIAMSEQFVTNDATVREDRIGLDQSVDNGTSVSQDHDTVQGLEQCGSVDAFSCQVNSIRLSVSGSDMPQSDSLTPKEISGDVEKHGEIVLSGLHSISSINVVDQHDHQMVDISVGNPTEPAIPAVESIDVMDAELVSPRVSVEPDNTYGSNKGISGDVEKHGEVVLSGLHSISSINVVDQHDHQMVDNPVGNPIEPAIPAVESTNVMDTALSPQVSVEPDNNTYDSNTEGSVVNSSTKRDLLSSWIESIVSEAKKDHQPCKSTLPSISLPALAPKEDSRRAGLDSVGNPAGKSPQMNCSSSMPPKVAPKQANLPSSSREPPRVSSNPRHKTWHRGDMTSSTSLPSSQPSGLPPKQPPRRNDKAQNSYIRKGNALIRNPATGKLSHSSSLDTQNKLNKPVMRRSMNFVRKVDSNDSAARSNFTVERPKTPPLPLHAKSINSTTNLPEQLSKTLPKQHVPETEKEDLAKQFNAGVDTPSIKSAQTPEPSDASKVVYVRPKSNQLVAAQRQHPDDPTKSSTDKVLSLQPPTASDLYLKKRKNQIILSSSSSDGQNTKEIAPAESLNSGENNVQIASSNNSNGLKARPHKALQTNNMGTSSHVWTLSGQQPPRKGSVGTSYAKVFPRILPWKRKIYYKNFRSSHTQNVSSLRIVRKLLQTKKRDMIYTVSTNGFSIRKSGVLSVGGSSLKWSRSLEKRSQKVNEEATLAVADVEKKRGEKRKRQYLHYTGRKDQYSLSVAGNQLRNNNQASSDLRRSSTCNGYMRVSKGNQLVRNPKKVIRMLANEKVRWSLHTVRSRLAKKQQYCQFFTRFGECKKPEGECRYIHDRAKVTICTKFLKGLCSDTSCKLTHKVLPERMQDCSYFLKGLCTNTACPYRHVKVNSKAPACEDFLKGYCADGDECRKKHSYACPVFEATGECPQQSTCKLHHPPKKTIKSKRSRPDTPQNSSWGRYFDTSIRHDSETSKVSSGHDDRQKQQHDVFSDGDFTDFITLDIDGEEGVDALDSIQSVDAPDSIQSVDAPDSIQLMELDSGDLGTEADDLDALIKPLRIMRTARV >SECCE4Rv1G0241850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:463579338:463594214:-1 gene:SECCE4Rv1G0241850 transcript:SECCE4Rv1G0241850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSRDDELVVKSPSDNRSYRLLRLANGLCALLIHDPEIYADGGPAPKPNEDVDMDDGDDEEDEDEEDDEEYSDEEGDDDDDEEGEEDEDGSEPKRNKDKSSSEPVIKKAAAAVCVGMGSFADPPKAQGLAHFLEHMLFMGSSIFPDENEYDSYLSKHGGSSNAFTETEYTCYHFEVNREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQSDSCRLYQLQSHTCSQGHPLNRFTWGNKKSLSNAMGSGINLREEILQMYMSNYHGGMMKLVIIGGEPLDTLEAWTMELFSEVKAGPLLEISPKTDMPFWKSGKLYKLEAVRDVHSLFLSWTLPCLHKEYMKKPEDYLAHLLGHEGKGSLLYFLKAKGWASTLSAGVGTGGTQRSSYAYIFEMSIRLSDSGLKNLFEVITAVYQYINLLKQSEPQEWIFKEIQDIGYMEFRFAEEQPPDDYVVDLAENMLFYSEKHIISGEYIYEGWEPELVKHVLSFFHPGNMRVDILSRSFDKQSQAIRCEPWFGSQYIEEDIPPSLIESWRNPVEIDGNFHLPWKNEYIPGDFSLRNASIPKSSNDDNPRCIVDEPFIKLWHKMDITFNVPRANAYFLISVKDGCSSLRNSVLTDLFANLLKDELNEVLYQAYVAKLETSLSVVGSNLELKLYGYNDKLAILLSHILAASQSFSPKIDRFEVIKEDLERAYRNTNMKPMSHSTYLRLQFLRQIFWDVNEKLKVLATLTFSDLAAFVPELLSQLHIEGLCHGNLSGEEAINISKIFQNTLSGQTLSVEARHGERIFCIPHGANFIRSVRVKNDLEENSVVEVYFPVEQDIGKDSTRLRAITDLFSNIIEEPCFDQLRTKEQLGYTVDSSPRMTYRLLAYCFRVMSSKYSPVYLQSRIDNFIDGLSALLDGLEEETFEHHKSGLIAQKLEKDPSLSYQTGDYWSQITDKRYMFDMSKLEAEELRTVGKEDVISWYNTYIRSSSPTRRRLAIHVYGCNSDIAEAAKLQEQSWTAIDDVESLKASSQFYPSL >SECCE1Rv1G0029010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:409029331:409030110:-1 gene:SECCE1Rv1G0029010 transcript:SECCE1Rv1G0029010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDELKLLGSWLSPFATRVKLALTLKGLSYESVEEDLSNKSELLLSSNPVHKKVPVLIHDGAPVCESIIILQYIDEAFADIGPSLLPSDPHQRAVARFWAAYIDDKLVIPWVRSFRGKTEEEKSEWMEQTFIAVETLEGALGECAKGKGFFGGDNVGLVDVVLGSLLTWVHATEVMSGTKMFDPAKTPLLAAWMQRFDELDAAKAVMPDVNRMVEFKKRQAQAISAAAASQRQ >SECCE3Rv1G0210390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943570492:943573517:-1 gene:SECCE3Rv1G0210390 transcript:SECCE3Rv1G0210390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSMAAGGGVPWAEGARAVGAQIRNRLRVAPVDRRWLWRRPEGRAASEAVRQWSDRLRALLHRDKQNQQGPDNQQQQQGPASPDAAAAAAAKPSSSALRFYRKKVGKEVNGVEDSVIFRSLQALAVPLIGNACHVFMHGLNSVQIYGAEKLQQALQERPKDKPLLTVSNHVAAMDDPFVIASLLPPSVMMEAQKLRWTLCATDRCFTNPVLSTFFRSVKVLPVNRGEGIYQKGMDMALSKLNNGGWVHIFPEGSRSKDGGKTIAPAKRGVGRLIMDADSLPVVVPFVHTGMQDIMPVGKRIPRTGKRVIVVVGDPINFDDLMAENSNDSQHISRGDLYDKVTERIGQRLQQLKVEVDRLAAEQKAELQNRHTNNTVNDGYKVWQQVDWESFGIGNMLEHSSAQEPPKQIQHEVLLAEQSVSPAKHAEPEVCLEEEQSVFSPISRVPHWFSRHMDASELMGFAARGLVRNGRSMQEVYRQSQEPLAFNAWWEAQTSSAMMPRWSTA >SECCE5Rv1G0339950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611522362:611524090:1 gene:SECCE5Rv1G0339950 transcript:SECCE5Rv1G0339950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRLANGSIKCDSAGVDRLGGLPDDVLGRILGFLPTPMAVRATQLSRRWRRLWPAHVLALNLSVQDCKSCGVGVRFPDLCARALARFPTFSIPSISLARDQIGVGKAKAWYAEAMERAAGSVSVTVPRGAFPLALPRFTQAEALSLTLTHTIDLKLPAAGDDPVHFGRLTELVLATMRLPAGSPPLHEFLSSSCPRLRRLRLSCVRGGEAVRALVLRSDALVALDLNNVDGMERLDVAAPNLRSLSVRSCFRFPRSGDQDTEVVVSAPRMESICWYRSYPKRLSIADGSLARVRRLSGLKLATLGRSDRFDFPYRMQLLQACSLTTQHLELDLVLPDEMTLDNWLGPKQQRCSCEDLMRYVPPLPRVTVLSLKVRWGIGGDVGPCLATLLSRVPSLETIYVEPAPYCLTVLGGAVVPRGEWRWGRCVDESSSGSQMDSLREIVVHGLRGTDGEECSLVEVLLGTVPPSIERISLGFHDATAVSVVDKIAAELRARFPFPTATAAGCWTRRACSTTLQWTKRKAKTPRQRKRQNMTRFSRKACGGTIIRNC >SECCE2Rv1G0119720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:793937668:793938300:-1 gene:SECCE2Rv1G0119720 transcript:SECCE2Rv1G0119720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNDTKLAAVADAENPAVLCGDVDDSGSSFDFAGRANWLRAAVLGANDGLVSTASLMLGVSAVKPEARAMVVSGFAGLLAGACSMAIGEYVSVCSQRDVEIAQLDQAGKRGGDEEKGLPNPAQAAAASALAFSVGALLPLLAAGFIVGYNLRVAVVVLVATLALAAFGCVGAVLGRAPVARSCARVVVGGLAAMAVTFGFMRLFRASGI >SECCE2Rv1G0106900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:640332539:640335060:-1 gene:SECCE2Rv1G0106900 transcript:SECCE2Rv1G0106900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSPVSLSQPHMAVAAAQLRGSATVARRHGAFRVPSSCRWPRDPLAGSVKLSVSTSGVGGKPFGFGAKIATKCANENTRAEELNSRSDQAGEVVAAVEDVTPQKRSAKIHDFCFGIPFGGLLFCMGLLGYFFSRSTVSLVLGVAPGLATLLLGTLSLNFWRSGRSSFLFILGQAAISAVLAWKYSHAYLLTNRILPWGFYASLSTAMACFYAYVLLAGGNPPPKKLTLAPSS >SECCE1Rv1G0012620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:94646712:94647799:-1 gene:SECCE1Rv1G0012620 transcript:SECCE1Rv1G0012620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTGGSVLGVVAACVCACLIFSVPAADAQLKVGYYDATCPDAESLITTIVHASVRMDAGNGPGLVRLFFHDCFVRGCDGSVLLDDPTGSPGNRTVEKTAAPNFPSLRGFGVIDRAKRVVERRCPGVVSCADIVAFAARDASRIMGGIVFAMPSGRLDGRVSNATEALANLPPANFNLTQLITRFASKNLTADEMVTLSGAHSIGRSHCSSFSSRLYPQIDPTLNNTLAKTLRAGKCPAATGRLDRVVQLDAKTPLQLDNQYYKNIGTNEVLFNSDQALLDRSDTATLVGQYAASRKLWSQKFADAMVKMGYADVLTGPPGEIRKVCSRVN >SECCE2Rv1G0122170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:813527020:813530358:-1 gene:SECCE2Rv1G0122170 transcript:SECCE2Rv1G0122170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPFVSPSLSRAHSTRAAPFPKSPLRSGAGVAFPARPYGSSLRLRSPAMSASGVGGNGSPMAPEDSTGSSRLGEVKRVTKETNVHVKINLDGTGVANSSTGIPFLDHMLDQLASHGLFDVYVKATGDTHIDDHHSNEDIALAIGTALLQALGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLSIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGNNSHHIIEATFKAFARALRQATEYDLRRRGTIPSSKGVLSRS >SECCE3Rv1G0185850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:678787992:678789991:-1 gene:SECCE3Rv1G0185850 transcript:SECCE3Rv1G0185850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSAPIHVAVPPPYVTSHGAKIARLHMYDWIVLVILVVVDGVLNKIEPFHRFVGSDMLTDLRYPMKDNTVPFWTVPIYGIIGPMIIMTSIYIKRRNVYDLHHAILGLLFSVAITAVLTDAIKDGVGRPRPDFFWRCFPDGVPAYDNFTTGVLCHGKASDIKEGHKSFPSGHTSLSFAGLGFLSWYLAGKIKVFDRRGHVAKLCIIFLPLLGAALVAVSRVDNYRHHWQDVCTGGVLGLVVASLCYLQFFPLPSDENGLWPHAYIQHIHNPEGGHTSATHSDGSPKLGAERFV >SECCE3Rv1G0150610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:33259791:33264519:-1 gene:SECCE3Rv1G0150610 transcript:SECCE3Rv1G0150610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-interacting, WD40-repeat protein, Actin turnove [Source: Projected from Oryza sativa (Os01g0125800)] MAQLQETYACSPATERGRGILLAGDPKTETIAYCSGRSVIFRRLDAPLDAWAYTEHAYPTTVARFSPNGEWVASADASGCVRVWGRNGDRALKAEFRPISGRVDDLRWSPDGMRIVVSGDGKGKSLVRAFMWDSGSTVGDFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANYYEGPPFKFKHSIRDHSNFVNCIRYSPDGTKFITVSSDKKGLIYDGKTGDKIGELSSEGSHTGSIYAVSWSPDSKQVLTVSADKTAKVWDISEDASGTLNRTLVCTGIGGVDDMLVGCLWQNDNLVTVSLGGTFNVFSASNPDKEPVSFAGHLKTVSALALFPGSNPRTMLSTSYDGVILKWIQGVGYGGRLIRKNNTQIKCFIGTEEELITSGYDNKVFRIPVNGDQCGDAESADVGGQPNALNIALQRPEFALITTDSAIVLLNKSNITSTTKVSYTITSSAVSPDGTEAIVGGQDGKLRIYSISGDTVTEEAVLEKHRGPITTIHYSPDVSMFASADSNREAVAWDRATREIKLKNMLFHTARINCLTWSPDSRFVATGSLDTCAIIYDVDKPAASRTTIKGAHLGGVHGISFADNDTLVTAGEDACVRVWKLAHQQ >SECCE4Rv1G0249310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:581842498:581842890:1 gene:SECCE4Rv1G0249310 transcript:SECCE4Rv1G0249310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTSATASRLLVPAASRRAMAAAASANPPPPQQSAPKAVRVVVKGRVQGVFFRDWTVETARALGLAGWVRNRRDGTVEALLSGEPARVDEMVSRRLPVGPPAAAVTAVLPSPADPLDPSEGFHRKPTA >SECCE5Rv1G0330940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:534746733:534750430:1 gene:SECCE5Rv1G0330940 transcript:SECCE5Rv1G0330940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGDCELEFRGAMGEQEEEGAASASASASERAMRRRRGRRRWGAGADDGYSTSSGSGSFGCDSPLAGFVRADGDMDTDLETDGLAATSSSSASAAFTEPHDDDDDDEAQCGVKGEWREPAKSPAGGAAAAIRECQSQRRCRTEAVYLHGRKGLKQRPASLDFGSAGFNNGTPFSPGFVVGGAGFVSKGLVSSQISSGVFPSPGTPSYPRRHRPSALGYQKGWSSERVPLPSKGNNTRRYPGSSMAFPLNNRRTLPSKWEDAERWIFSPKSNSGDAREKTAALPHARRPKAKSGPLGPPGRLGGQYSSVSSSVSLFDSGRVGHLAANSPFLAGVLIPEHYGGAKSNVGRYTSGTAGAEFGVGIVGRSSLADIGSPAIQSTTVRRRLDTAVESSASLPSTQESVQDEEAEFVEDSAPIVTPITSRKDTATQTSPDLSRSSSPSARPSFVRSFSMQQTKERESCFSDLEIRDVQMDERVSLTRWSKKHVTQGSNKNSTNLLEWNKKTVDSKSSSWESSETKCTLKVEREEAKLTAWENLQKAKAEAAIQKLVMKLEKKRSFSLDRILNTLRSAQRKAQGMRDAATASQDEHLCRKAKKTSHVTKNGQIRSLSGCFTCHAF >SECCE5Rv1G0336570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:584971401:584976077:-1 gene:SECCE5Rv1G0336570 transcript:SECCE5Rv1G0336570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVKAKAKKAQQREPLADAGSGDEASPDVEEAAVSAGDWEQCGHYSRDSRHLDKVIREIVSAKHLASCEHCREEAPRKKGGGGGKEKGGKQQKKKGAAAKTQAKAEKNDDLWVCLDCGRHFCGGAVSDTKPYGHARRHAKQDRHWWAARYDKPTVAYCLSCENEVSIELPVVETVVAAPAVEKVVLGAADSNALVLANSHGNVIKGLPNLGNTCFFNAVLQSLLALDKFRSKMLGPDVPPGAIAMSLKKLFVETSASNDAGGALSPKSLFSSICSKYPQFKGYQMQDSHELLRCFLDGLGTEETEARKAAEDASSAAGVPTIVDSIFGGQLSSTVSSTECTHGSVKHDQFLDLSLPVPSRKPPGKSVSSPPAKRTKQSIRDRNKNRRYGKVTTRVSPAIAESSKEQAQTVAEGNDSQIPGSELGQVVSEKEHEPSECSESCASVPNQELKAASNVQAEISWLDYLADADETKSEILDSACSTGAGQIWNSNDAIHGSFHSGDDALPKEQALISEHSGENVVDDATCLQTVILLPYKEFDTTAEEIYETAESSQNPIVFGPHPADYLAAENHAQPLYGGDGEQDDCFGIGDMFGEPEVTSDAKKETGTTGDIDVMAWSSNSADDEVDDSNAPVSVEGCLSLYTEPELLSESWHCENCSIAVAQPNTNGLKEGEETAASANDRKDSAEMMASGDEKQDDDKVPSCSKKEDIDQIMATDGCSVNVNPDMCCNEVVSANPPLVDAENTSDGNSPDADTTEQPDSKTYHQECRDLNSSAVEFTSSSKQPHDFAQHNDGHNVDVAAEETSAPVSCGDNDPASCSTTSDKKVESGGANAEEVVTSSLPPETQSILPSAKDNEDGFTRNIGRRKRMKMVVKVPKGQDNQNKQKEDETKVFRAAMRRILISKAPPVLTITLNRFSHDSHGRFRKLKGHVRFKETLDIRPYMDPRSKDNDITTYRLVAVVEHMGSMTGGHYVAYARSGKIGGRQQQSRSSKSWFYASDSHVRETSLEEVLNCEAYILFYERVVE >SECCE3Rv1G0182960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:640471968:640472280:1 gene:SECCE3Rv1G0182960 transcript:SECCE3Rv1G0182960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRASSLVAMAIVVVLASVANAQGPAPAPTASDGTSVDQGIAYVLMFVALALTYLIHPLDASSAYRLL >SECCE5Rv1G0351370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:698937570:698939444:1 gene:SECCE5Rv1G0351370 transcript:SECCE5Rv1G0351370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAPVATYSSSLAPPPARSTAYRAHLAAAGLSARASSFAAGSGLAVTAASVAVAARPRRTGAGGGALGCKCLFGLGVPEMVVIAGVAALLFGPKQLPEIGRSVGKTVKSFQQAAKEFETELKKEPGEGGDQPPPATPTAVSSSDDEEKKELEASSRKEST >SECCE7Rv1G0464950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:65253125:65261267:1 gene:SECCE7Rv1G0464950 transcript:SECCE7Rv1G0464950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDATEKLRWRFSDGGLTDLLDARSIHGSPDIGKRMQFHSSLVQRLALEKEIEGHIGCVNAIAWNSSGTLLISGSDDTRVNIWNYANQELLHEIDTGHSANVFCTKFVPETCDEVVVSGAGDAEVRVFNLSRLSGIRPREISMEPAAVYQCHSRRVKKLAVEGGNPNLVWSASEDGTLRQHDFRECSSCPRAGLVNQECRNVLLDLRSGGKKSLADPPRQPMSFKSCDISSVRPHQLLVGGSDAFARLYDRRMLPPLSSCQTRRKPPPCIKMFCPLHLAENRKSNVHLTHVAFSPNGNEVLLSYSGEHVYLFDVDPDNTSPVRYTADDVRDQLCLLPFHKEPRKQKSKRDKFPAKRNLCRVDMLKKLMQVVIKSLETGTNLMHGIEACCEILEAMESDIDDSTRHDCLCTRAGLYLKRRWKNDVYMAIRDCNEARSIDFASFQAHLFMADALLQLGRLKEACEYAEAARSLVPPNSVSAEQVENIKKRLAAAELEKNKKDQQGNTNTDARHGRLRSLSDLLFRSDVSGSSSQEGREDSDYDDEMELDFDTSVSGDESRDSDPGAVRGSLRLKLHRREDQTNEQSIGNGSAESTCNGDSAYEPDVAIDMKQRYVGHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLVKMLAGDGAVVNCIQSHPYDCAVATSGIDNTIKLWTPDAEGTSMVDGPEIDVLSAIENNQKKLCRNRETLLPFEFLERFGAHEFAEGSLHPLECAQT >SECCE3Rv1G0168670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:224904309:224909764:1 gene:SECCE3Rv1G0168670 transcript:SECCE3Rv1G0168670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHGSLARFSRLARTRRPPPVAGSPLRYLQGSVGPNNLPSSVMERAQGASNNAAKHRKTNSPLQRWRPVSTQASPREAHLGETSNSGRKQMAGTSISDSENLVFDETTNVGIEVNTNNNFSSESISTSGSSATKVVVENTMEVIRGKYSSSVEVDIPLMRFLKGKGGSVQKQIEQETGVKLIFPSAKEDTLVVLEGESAESIGKASERIAKVLEEAVQSPMLDYSHFISLPLAIHPDLVEKLNNFQRSILGVSASNVDSDKDEILSEGSVDEIDEAASPSVSVKLPVQEEKPVTVKMDNKGSQPEFGIDKSIFIKPKTFHLTVLMLKLWNKDRIAQASDVLQSISSQVNEALENRPISIQLKGLTCMKGSPARARVVYAPVLEIGGEGRLVRACKVITEAFVNSGLVLERDARQELRLHATIMNVRHRKSKKSNQRNDSFDARSIFRQYGEQDWGEYPVPAVHLSQRFKFDEGGYYHCCCSIPLPEVAQTE >SECCE2Rv1G0138170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920844122:920845721:-1 gene:SECCE2Rv1G0138170 transcript:SECCE2Rv1G0138170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVFIVGAGPAGLATAACLSQFAIPYVIVERESCSASLWRNRAYDRLKLHLAKEFYELPHMSYPVDAPTYIPKNLFVKYLDDYVERFNIQPQYLTSVESSTYDNHEKCWSIVANDMSKCTTVKFTAKFLVVASGENSAENIPMIPGLENFLGDAIHSSSYKSGKSYSGKNVLVVGSGNSGMEIAYDLATHGANTSIVIRSPIHVMTKELIRLGMSLAQYLPLNLVDKLLLMAADLIFGDLSRHGITRPKMGPMTLKSETGRSAVIDVGTVGLIKKGIIKVERSISKIKGNIVEFQCSKNISFDAIVFATGYKSTTNIWLKNGESMLNGNGLPIRKYPNHWKGENRLYCAGLARRGLDGIAADAKNIANDIKSVIYSMSS >SECCE7Rv1G0496000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:605821140:605825342:1 gene:SECCE7Rv1G0496000 transcript:SECCE7Rv1G0496000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYLGVLTGLALLFMTLLLMLMARLPSADGASTAKSKISAVFVFGDSIVDPGNNNHRLTEAKADFPPYGQDFPGGKATGRFSNGKVPGDMLASRLGIKELLPPYLGDDLPLSELLSGVVFASGGGGYDSFTSIPVNARSSTEQLELFLEYKERLKALVGEDEMTRVISEGIYFTVMGANDLVNNYFTFPLRRHQYNLPSYVKFLVSSAVNFTKKLNEMGAKRIGFIGIPPIGCCPSQRKFGSRECEPMKNEAAELFNSEIATEIDRLNSERTIQGSKFIYLDIYYNLLELIQQPSFYGFKEATEGCCGSTLLNAAIFVKNHHACPNAYDYIFWDSFHPTEKAYNIVVDKLFQTTIQYLV >SECCE3Rv1G0198490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:832704980:832706491:1 gene:SECCE3Rv1G0198490 transcript:SECCE3Rv1G0198490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHHGAGAGFWLPDEFLDDDFFADEKAAAAAAARSDSDDEDGLGGLSRRVAGLDCDGGDHAIPKAEVMSGSPQSTLCVLQASGEDSPTGGASQVSSPPSSPLEQQPADPWDLLHEAAGQVARLGVDTIPVPVVNPPPIHGAGVAPPARKPSPPLLPSPKPVGPYQYPPNNSFAQRQAQVARFHLLKQQQLMKHQREQQLAMAAAMAWGSTDVGPLGLSPSAWPPLQKSPHHAPPSAAGMRAVFLTPPGAKRECTGTGVFIPRQAGAPAEPKKKPSCSTVLLPARVVQALNLNVEDLGARPCYPGAFVLDHDALVSRSNAMQASQRREHNNANAGAAAHSPPLAVACEVNLPQEWTY >SECCE5Rv1G0343830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:641446463:641450173:-1 gene:SECCE5Rv1G0343830 transcript:SECCE5Rv1G0343830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVEMNDSAPPAPAAAPAAADVPAPAPALSTLQHLKEIASVVEAGSLTKEVRRISRGVRLTVALRRRLAAPDVAAFLAFALPPSSEAFTRLSPLLPKEDGSEMDVDAAAPASQVSIKHGLPEIEIYCYLLVLIFLIDHKKYDEAKACANASIARLKNLNRRTVDVLASRLYSYYSHVHELTNSLAEIRGTLLGLHRMATLHHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTAARGFRIQCNKWAILVRLLLGEIPERTVFMQKGMKAALTPYFELTNAVRVGDLEVFRAVAEKFGSTFSADRTSNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDTKTAVADAESIVAKAIRDGAVDATIDHANGWVVSKETGDVYSTNEPQAAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >SECCE1Rv1G0024530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:328792753:328795509:-1 gene:SECCE1Rv1G0024530 transcript:SECCE1Rv1G0024530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMLPSPSLPPTPRGVLSPRCSLQAPTHEHRVPDGASRRRHAPVQRARPEAAAYAREIGACVRAQRWGAACEAFAAMRAAGAAPDRFLLPQVLRACAGADAPRLAAAAHALAAKGGPALADDSVVGNAVVAMYAALGDVRAARAAFASLPERDVVAWTALVGAYANAGELAEAFQLFESMQASGVRPDVISWNTLVSGFARNGDIGAALDLFDEMRLRGVKPRVSSWNCVISGCVQNARYDEALGIFLEMCETEMPDAVTIASILPACTGLMALGLGKQLHSYAVRCGIKLNVYIGSSLIGMYSECREFAYATSVFSVIDGERNVTVWNELIQSYISDGRMDKACEAFNLMQQDGLKPDTVTYNNFIAAYARAGQKELANELLSGMMNVSLKPNVVSMNALISGLHQFGLCADALEVFRYMQLLNSGDVKRWTFLDNSKPIQPNGTTITSVLSLLTDLKLDRLGKEVHCYALRNGLTSNIFVSSKLVDLYGKTGDMVSAANVFQGIRNKNVVTWNSLLAAYKHNRKAEVVLKLFCEMLESNLLPNLVTVQIALLSSGMTMASGYGRELHGYIQKNWPDGYPVTLASALIDMYGKCGKIEDARLAFERSVEKDVAVWNAMMSCYLLHRMPRDVKRLFAILEQSRTRPDPVTFILLLSACKQEGSMVEARSYFYSMEDLYGIKPSLKHYTCMVDIMGTAGLLEESLELIQKMPVEPDACLWSTVLKACKLHSDLDVAAKAAKALFELEPNNASNYMLLSNIYANSGFWDSTESVRDAMTEHGLHVESQCSWLYLGTSVDSFEAGDMSHPAFEDILSTWKDLASRMAESGYAPQDDEPYCNVQVDPLSCHHTERIAVCYGLISMRAHEPIRVSKNFRMCKECHSSIKFISRDKKREILISDGCTYHHFSDGSCSCGDMW >SECCE4Rv1G0218090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19830803:19831873:1 gene:SECCE4Rv1G0218090 transcript:SECCE4Rv1G0218090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSASASAGSKTAELDAPLSALGFEIEEVSPSRLTGRLLVTDTCCQPFKVLHGGVSALIAEGLASMGAHMASGYRRVAGMQLSINHFRSAAAGDTILARAVPVHIGRSTQVWEVKLWKMDASTQGEGPQIAEARVTLLCNLPVPDEMKSAGESLRKYSKL >SECCE2Rv1G0069770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31051405:31052004:1 gene:SECCE2Rv1G0069770 transcript:SECCE2Rv1G0069770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYYVPSDDLPRAEVSGSTVAAIAVGTGEHTLTVQGYSRLKTMNGGYLESSEFKAGGHTWKICCHLNGSVKENAGFVSVFLKLVGTEATGDAATDTTAEAADAVQAELEFALVHHRGTLIKWPSHSLGRREGASAFRENVSLGYPRFVSAEDLKRSRFLKDDCFAVRCKVTVVQKWAVKEDARPRGKALARFFSCIRG >SECCE4Rv1G0256550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:657690273:657692821:1 gene:SECCE4Rv1G0256550 transcript:SECCE4Rv1G0256550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MGLEAGEPSSFLSLFSAFSYGVASMAMVFVNKAVIMQYVHSMTLLTLQQLATALFIHFGQVLGMSKRKDLSMATAKKLLPVSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVSGFLRGKGKPSTQVSLSVVCTALGVLVAALGDFSFDLYGYSMALISVFFQTMYLILVEKSGADDGLSSMELMFYNSILSIPFLFFIIIATGEFPHSLSVLSEKTASASFTVILLISLVMGIVLNYTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVVLGGVKVHALNVTGLVINTLGGVWYSYAKYTQKKKMPRKVATDEESHPHK >SECCE3Rv1G0172390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:292923859:292924152:1 gene:SECCE3Rv1G0172390 transcript:SECCE3Rv1G0172390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSIPFSHAYASDSDDDGPDEEVDEEGFTPKEAQAFKKVFGRDHKTPLFKDLSLADEAVVDGGKCISLGARPSSHVIWKMARMGYFPVVSLILSWN >SECCE5Rv1G0363930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:796972611:796973873:-1 gene:SECCE5Rv1G0363930 transcript:SECCE5Rv1G0363930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFADTAARRLLRGAGGGVAKGIAADAVGMSFGLDGLWQLIAGLFASVAHLLVLPFVVLGHWLQAAIAAVAHLVVLPFEALWHLLQSAAAGVSSCFDSLVAALGSAAHALAVPFEALWHLVQSAADGVTLFFGNLVAALGSAAHALVVPFEAIWQWLQAAPASISSGFHGLGQLIHGCFDSLVAALGSAAHALAEPFEAVWQWLQAAAAGVSSGFDGFWEHAQGIFADLPGALGSAAHNLVLSLESFWRWVQNAVGGISLDLDGFWPLVQRFVNTAASKAHELVPALEAFWRWLKDAAAVALPYVLAIAAVVCVAALVWHCWQILCTAAVEVAKAFVQVLCSCWGCLYGVAMLVGPCCGSVTMAAPGVAGAQISRVAFEAAPWLFFQIFRSAGGAVATAVFSTRIIASAVAAPVAALFRG >SECCE2Rv1G0086110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:202686797:202687534:1 gene:SECCE2Rv1G0086110 transcript:SECCE2Rv1G0086110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVLYHLADVAQTKCNPILSLPSCPTRLRINPACFAMPEDAGATDAKRRHRRHDEPPRSPDVKRRRRDDARVVPQHTSRKKETVNHQREEESPESKRRRHYDDRRGTSSCSRSSFGSTRPATGGDVHAGSREDPRRTAKNLERERARETTRRSSRSRSEPRAMPDPCAVQAQQQLPVAAIARPHAVFLDVRPPQEYTESFEEVKLRRMETQRKREEARREMDKVVQTVYFNDPYISPLDMFKR >SECCE5Rv1G0339420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607388320:607390165:1 gene:SECCE5Rv1G0339420 transcript:SECCE5Rv1G0339420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARTEVSNKRVILKRHVTGFPTEDDMELVPATARLAVPPGSAAVVVKNLYLSCDPYLRSRMSGHGEPSHVPDFVQGEVLTTLGVSKVVESGHQDYKPGDLVWGLTGCEEYTLITDLQSHFKINHPELPLSYYTGVLGMPGLTAYVGFFDVAKPKKGEYVFVSAAAGAVGQLVGQLAKIAGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKKEKDLNATLKRCFPEGIDIYFENVGGAMLDAVLLNMRLHGRVSVCGMISQYNLEQPEGVRNLFCIITKRIRLEGFIVLDHYGTYRKFEEEMAGYLKEGKITYVEDVAEGIESFPTALIGLFHGRNIGKQLVAVARE >SECCE4Rv1G0251570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:604824565:604826598:1 gene:SECCE4Rv1G0251570 transcript:SECCE4Rv1G0251570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLSPVAVTHLLQHTLRSLCTTDASQWVYAVFWRILPRNYPPPKWDLPGVAYDRTRGNRRNWYAILAWEDGFCNFAATTSAAACGQEGAGAAAAAAYADCEAAAAAAQEAKQGLGLGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWIFKEPPEQEISLISSWSNPADSQPRTWEAQFQSGVQTIALIAVREGVVQLGSMKKVAEDLSYVVTLRRKFGYLESIPGVLLPHPSSAGVFPMADVAAAGWPGMMPPPDMYVDPYVPGPAPMHIMPSMSSLEALLSKLPSVVPAPPQPPGSMPGVAPPSDAAAKEEVEEDYNAHCHAMDMSSVPSNGGESTSTSAAAATPMSSYFLDVGGKPNESGF >SECCE4Rv1G0284910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841255625:841255879:1 gene:SECCE4Rv1G0284910 transcript:SECCE4Rv1G0284910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLANAREICTSLLESTLHLLSKQIEMPKQSLVCKAFHKKKAVVCEEGQLQELECSIGDLQNGAGNLFRKLVQNRVSLLNILSS >SECCEUnv1G0540250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78704033:78715677:1 gene:SECCEUnv1G0540250 transcript:SECCEUnv1G0540250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALESCFRAVPPEAVAAVVDCVLASSPSASPSELFRSLLDSFPKAQDDHGHAGALSHAAALCHLLARLGDDPRDALRALLWRVFLPLLRREDAQPSRLQQQAAALMCDAVSGARSWDLLGATILPFCVRSCAAAMALPTTHQYDGDDSIVYCHHLDAVAPDDGLSVEAGLLPLSKATALLASLLGDALKRRREAHQEGADASLDALVQNLTWDLSRLVLKMFDLGQEYRSCATRVLLQPVLASLADVSCVTVEFGAVQLKLSRSGFLESIWNSCLSLFSLGRPERLDAYDILSLYFSVLKSGHQGAIPGADELQNFDLRNVTEFWDELRRGLVDKDSSVRKQAFYVLKTSLSIFSFGNDGSQRCSGRSPAALPDQDKPNATVTKKERWANKEAKSLGVEEIKQSDEQQCSSGQDRWKVFLLLYEMLQEFGTHLVEAAWTHQVLLLFESTPQSDYLNHTSYRAFHAQMESVEGIFNWMTVLWERGFTQDNPQVRCLVMQSFLDIEWERYKGYAQMVPRGFVLGPLIRGLNDVVHHKDFGVGGVYNSEAIKGAERFFSNYAWKLTIRERLHLVWSLASAAKQDSFGRPGLMTLAFCIASCACQSDTHDLPCGSAVNELAKCNGDTSIAVNTVDFLDALWILSERSKHHFNPKYRLKVCEQVIKAVASLTSAAEIPLNQLFNFISTIPRECTDSTGPLRDTVQRWLLQKKECSERNTLLDELIDFPITFMKHTGIEGSYLYDDEDVGAWEAEARRWARTLLLVTSEEHHFKQIFTFLEKCGNKLSEHSSTRKCADVKFFIIIICLIEELEVRQKKLVHQNNAIAGGGSDMTNGLEHRALTQMFEKSLLSVLENMVTFSKQSCLVFWLKNTDMDLPCSIKGKLGGPSQRRLATSITSSVLHCIWSMRCVSSVVSWCNHYTSDVSFHSAFSFLWEFCWEVIQHCTYTTETGAELHLAAYEALAYVLAALSTAPFSQFLDFVETKQTNQTIILSLDLLATTFLGNINNLLTNGVLTRSRRAVLMCWKWLCVDSLLSISSCCDENESQMKTLGSFYSDSTLQSIFLDITESLENAGENSVVSILRCVRSVLGLIHLNRSHQNLSSLGISYEMMMQLVKSSWVLHLSCNKRRVAPIAALLSAILHPSIFPNLEMHQTNEEGPGPLKWFVETLLNEGSKSPRTIRLAALHLSGLWLMYPKTLRFYMEELKLLALYGSVAFDEDFEAELSENHEARFEVSMLAQSPDREFTEVFINTELYARVSVAALFHQLWKQIKEKSKLEAEEALQCGKLFLLKLLDSAVNDNDLSKELYKKYSSVHRRKVRVWQMICILSSYVEEDIVEKVTSSVHTCLYRNNLPAVRQYLETFAILIYLKFPTLAEEQLVPIFHDHGMRQQALSSYVFVAANVILHSGELVVQRNHLNQLLPPIISFLTSHHHSLRSFTQLLVHTVFSKLWSILQLESSEDPSIERRCFQDLKKYLAENTDCARLRVSIEGFLDVFDPNTSGTPPGIFTARIELSGFECVPVSVLERVNNFLNDVREELRHSMIKDSATIKNEGLEVRKHEEGTEKLVASQDFQKKIIPHRNSEQAPSSNGAVMGNNDISRLLFEMEEDDDTFNLAVESRKEAAETVRQSRQDLIVVASLVERIPNLAGLTRTCEIFRAGGLAVGDMGVVQDKQFRLISVTAEKWVPMVEVPAESVRAFLQRKRAEGYTVVGLEQTANSVALDEFVFPAKTVVVLGREKEGIPVDIIQEAVDVCVEIPQLGVVRSLNVHVSAAIAIWDYTRQQRARSSSSSLL >SECCE6Rv1G0406720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:549322488:549325183:-1 gene:SECCE6Rv1G0406720 transcript:SECCE6Rv1G0406720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSSPYPLPPPPTCSSRLPQLRCFVGLRWSAPRVQVRRQLDAVAGTGSGTRGCGARFRAPASSASQPCYSSIVIRNDNSQNADFPRNYSKREKKPFPIPVLELRRRARQRMKEAEGKPKGPPPPPKNGMLVRRLIPEAYRVYNARILLINNLKKLMKVVPVKGCKYCSEIHVGSVGHPFRTCRGMSSDKRKGEHEWGNTFVEAVFLPIEAYHLEDRLGPRIPHDQRFEVPRIPALVELCIQAGLDLPEYPTKRRRKPIIKIGRKEFVDANEDDLPDPEPDKFKEPILEEISDDEVIPPSSPEETAALAEETLQVWETLRNGALRLMKRYSVRVCGYCPEVHIGSSGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHMPESGELQKELKSFYGQAPAVVEMCIQGGAEVPEKYKATMRLDIGIPSSLKEAEMVV >SECCE4Rv1G0293140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884672979:884680421:-1 gene:SECCE4Rv1G0293140 transcript:SECCE4Rv1G0293140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRQQQHAPLRTTANGAPHRKLDREGSGRHDSKSHLMRSSSGGFTSAENGGKLGHANPSRDRLVYVMTQIIGHHVDVHVKNGSVISGIFHATNTDKDFGIVLKMAQPIKDTSVGGQINTTDVLRKPETMIIPARELVQVFAKDVTLGGDELPKGPVHDKRKDLMIDSAISRSHFPEERELERWAPEEGDSDCIELEKFDRKGHRSWDQFETNAALFGVKSTFNEDLYTTKLERGPHMRELEKHASRIAREIEGEDTEDLHLAEERGLYLDEDLEHDEEIKYSAVRRDTDTTVKPYTNVPSRPGSMDTKDLPVCSSTMDDKSSSHIFGGTHLSATAATGELASEYQSNKLFPADANRLDGKRSKEGSGDKDSRKLQPENTLPEGGRPLVSEDLEGAPSRLRASEPSSSGQGNKSSDGSPDSTLPSKLPSAPEHANSYQRPGSSTPLTPERVAANSAASAAPGLSPSSSMGSLSSDKSSLNPNAKEFKLNPHAKSFTPLTSPRPPHPPAPDATYYYPNNMGATPGPGLPVGMGFPQAYGGQPVVYNAQPGSSPQGYMHPSGQQYGQQMMMGQTRPVYYYAPEMQQQYRGRNF >SECCE4Rv1G0218150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:20045044:20047181:-1 gene:SECCE4Rv1G0218150 transcript:SECCE4Rv1G0218150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGHVEEEVGKLREEIQRLGQQQPDGTYKVKFGVIFNDDRCANIFEALVGTLRAAKKRKVVTYDGEMLLQGVHDNVEITLFPPPTVAAT >SECCE3Rv1G0163950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:154004686:154006350:-1 gene:SECCE3Rv1G0163950 transcript:SECCE3Rv1G0163950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPEPLALAAAITDQCVEREDIEDMAASELHFLLLPLVAQGHIIPMVDLARLLAARGPRVTLVTTPVNAARNRATVDGARRAGLAVELVELPFPGPQLGLPEGLENLDQLLDDVSSTTYLAFFQAIWKMAEPLQGYVRALPRRPDCLVADSCNPWTAGVCTALGIPRLVLHCPSAYFLLAVHNLSTHGVYDRVGDDEMEPFEVPDFPVRAVGNTATFRGFFQHPGAEKEQRDILDADATADGLLINTFRRVEGIFVDAYAAALCKRTWAIGPTCASGVLDKDADAMAGRGNRAAVDVGHVVSWLDARPPASVLYVSFGSIAQLPAKQLAELARGLEASGRPFVWAIKRAKADVAMKALLDDEGFESRVEDRGLVVRGWAPQVTILSHPAVGGFLTHCGWNATLEAISHGVPALTWPCFADQFCSERLLVDVLRVGVRSGVKVPAKNVPEEAEGVQVPSGDVEKAIAELMDGGPEGTARRSRAKEVAAEARAAMEEGGSSYSDLTDMIRYVSELSRKRSHEREESSMTLPSAAAELRCNDGEKIEADSALSLQS >SECCE6Rv1G0438600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:791111379:791114480:-1 gene:SECCE6Rv1G0438600 transcript:SECCE6Rv1G0438600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRKRLDYGSRGHQVPAMPRVPSSARGKRSARRKKDEMSPFDLLATVAGTLLVDHENSSNAPTINAAAALTYARKRKSVKAEQCDDVPSLKSIAVENCIAGSGGVCASPRQPNICLAENSSTRNGTDPVLESLTLKPDMLVRDSLFSCTKSCNRAHGVGGIPECGSSRSIDANQVQVQQPLDGDTTALYSLVSSVDLDGRPPALVSSDSSSGVPLCIRDKDRNTSPLCHAEARHAADRDDDENSSGCTHPCTTGNNKSYMPQYTGDSRIRKMFASKIRKAARNKMCGEMSNKGSKLNLCGKKVSTTRQRVQRAMFKRQKPVRRHFTPSSAKGILTEASGASFSVEGQNPLCGSEDYNVKLRIKSFTIPELFIEIPENATVGSLKRTVMDVVTSIIESGLRVGVLLQGKSIQDDNKTLRQARICHGENLENIDFTLECEAGQNSSPGVRIPEEMDFHGADAMKPLAMVKCEEPFSGTKAGYNSQQRVQASPNRVQSEHGSVHSHFETTAHEASASSHAIVPVASPSSEALAIVPVCKSKRPAIGQRRIRRPFSLPEVEALVEAVEQLGTGRWRDVKMLAFDNTDHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQGLLDRVLAAQAYWSQQQQQQISGKVSGQACSSSC >SECCE2Rv1G0133250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895400366:895404616:1 gene:SECCE2Rv1G0133250 transcript:SECCE2Rv1G0133250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAISAALSVVGKALAPVSDGLLENWAASNSLGPNISALKGELLRAQGILYTAHDRDITNPALKELLQMLQQLADVADDVLDELDYFHIQDKLNGTYNAVDNHPGGSLRNLGLNVRHTARAVASKFKLPSCLRDASGDEQDDQEDDEGQSPKFNRVEMSKQMAHIVHQLEPICAKVKEILDLELRKPTQGSIARNRLKTTPAIIEPELFGRDTEKKNIVEGITHGKYCGDGLTMLHIVGPGGIGKTTLAQHVYQEVKSSFDVMIWVCVSLSFDANKLAQEIVKKIPKVHGEMKNAIEEELIEQRLKHKRFLLVLDDVWTYHEDEWKKLLAPFRKGEARGNMVIVTTRILETTTMIKTVDYSINLGQLETEDFMHLFEAYVFGHQQSWKNHPELLDVGREIVVKLKGFPLAAKTVGRLLRNQLTLDHWTRVLESKEWEFQTSNYDIMPALKLSYDYLPFHLQKCFSYCGLFPEDYEFGSKELVHLWIGFDILDSHDQRKTLEDVGLCYLNDLVNCGFFKKNGKDDGSPFYVVHDLLHELAVKVSSSDCLSISSSNVRYAQSSPSVRHLSLIIDERDVNDKMTFEDFKKDLSTLHEKLKVENLQTVMLFGKFHGSFAKTFGDLFAEAKAIRVILLSEASYNYSLEDVFRGLPRFVHLRYLRITGYLAQLCLDNKIPRFYHLRILDVQRCNVHIGVLRAMSNLVKLRHFLVQGTGFELRQIGQLIELGGLLLRIHNIENVERKEEANEAKLVRRIHLEKLVLQWGTYGHDIDSLQEHVLESLKPHCNLLDLHIGGHRGTTCPSWLGGKLSVKNLESLRLHDVGWTILPPIGELWFVGEHGEEQQSFIPSQSFQNLKRLELVKIPRLRKWVENNTCHLFPQLEVLIVKDCSELVELPLSCCQSEQEASMTWFPRLRELEITKCPKFLSLPPVPWTLAICSAKIEQAGSGLKQLNYSKGYSSGASLTITGKDGEDGMFWNVLAFSNLGDLKVLKMTNCPPLPLDHLQKLKSLKSLEIDYSGNSNALSLTDSESDVIYELPIERLRISSCGAREKELTQLFSHFQYLSELGLTDCEKITSVGVVEGKEIAVGGEAGLLLPSSHLQKLDIYECPELSLLTSSLQDLRSLCISRCPKFLSSCTWLLLTHRCLTKLYIHDTHKFSASTLEPIRMHDKQPTYCKLQKLDTDDLTGFLVVPICSLLSSSITCLYLARNHEMERFTKEQEDALQLLTSLQVLNFVEFGKLQCLPAGLHRLSSLKTLRIYSCQAFQSLPEDLPTSLQNLVIHFCNSFKLLPNVSLPNSLQNLRIWGCHSLEPLPKDSLPSSLQDLTIGLCDAWKFLPEDVLPCSLKKLHIQACCLESVPEDILPSSLQELRIRFCPALRLLPDGLPNSLRVLDVDGGNSEELMRQCRKLKGTVPIIKD >SECCE5Rv1G0329660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:519776886:519781474:1 gene:SECCE5Rv1G0329660 transcript:SECCE5Rv1G0329660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGMAIAFKASTSACTAQQLWGHPTRDQCQYGFTHLNERKSRKGSAALHARAISGKLDLDFDDPSWKQKYQEDWDRRFSLPHITDVFDLEPRLTTFSLKKNRTPLGASDVSSPDKWNGYVNKEDRALLKVINYASNTSAGAECIDPDCSWVEHWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLEIYGVKNIVGIQFGYRGFFEKGLKEMPLSRDVVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGVGLVKLMGRSTGFIAMHASLSSGQIDVCLIPEVSFTLDGEHGVLAHLEHLLETKGFCVVCVAEGAGQDLLQKSNATDASGNVVLSDFGVHMQQKIKKHFKNIDVLADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGICNTHYAYLPITEVITTPKHVNPNSRMWHRCLTSTGQPDFH >SECCE7Rv1G0520270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:868153095:868154973:1 gene:SECCE7Rv1G0520270 transcript:SECCE7Rv1G0520270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSNGKMIIRQQAEGPAAMLAIGTANPTNLVLPQDVFTDNLFRLTKSDHLTQLKEKMNRICQKTGIEKRHFHLTEETLAAHPEFLDRDLPSLDARIEMVATAVPKLAQSAAAKAIAEWGRPATDITHLVFSTYSAWEAPSADLKLATLLGLRPTVCRTILSLHGCYGGGRALHLAKELAENNRGARVLVACAETTLVCFGSPDGANLVGHALFGDGAGAVIVGAGPFGEGERPLFEMVSATQTTIPRTEHVLGMQATAGGIDFHLAIQVPMLIGQNVERCLLDAFGRDGDAPGSWNDLFWAVHPGGRPILDNIDTVLKLEPGKLAASRHVLREYGNMSGATIVFVLDELRRRRKEEDGGHLLLPEWGAMLAFGPGITIEAMVLRSPR >SECCE3Rv1G0174740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:401309655:401311376:1 gene:SECCE3Rv1G0174740 transcript:SECCE3Rv1G0174740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTVSRIRSSTLTSLLPRALNPHVAVVDLITTHLTADAEPTETLDLSGLLPYLGPDELTAVVLHAGHSHPLPTLRFLIALPPPLQPSPPHLAFLAHSLATTRFFSHALDALSHLLRLHPTHDALPTLLLSYQTAPHPSLPGILVKALLRAARLRHAFHAALRAAASGFPPDTVAFNALLAALSRAERFDDLWAARAAMSRAGVRSNAHTFNILVAALCRGEDAERAQGFIEELEEQGFEPDVVTYNTLLVGYCRRGGLQDALHLFDVMPPRGVEPDLVSHTVLMDGLCKAGRLSDARRMFDRMVHSGVSPDAVAYSVLIAVYCNECRVKEARLLLMEMVGKGLSSEGFAIKSVIESHVKIGKLLTCLNMVSPLRKYGVVIPLGSYNCLIGALCEDMRPHAARGLFQWMIEDGHSPSLEMYNMVVDCFCQCGSVDEALDIKDEMSSRGVKPDFETYHMLVTCLCRLGRSLDGKSVMEEMIESGLHPNEAICAALVCGFCKEGSLNKAELILKAFVLDLQVCCNKSYNTLVRAYCMTRSTTESLELQNRMLELGFVPNSETCRSLVYGLSRSIA >SECCE1Rv1G0058340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:698611750:698612550:1 gene:SECCE1Rv1G0058340 transcript:SECCE1Rv1G0058340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMSLSSSSFAGKAVKNLPSSALIGEARVTMRKTAAKAKQVLSGSPWYGSDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLAHNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPIYNNAWAFATNFVPGK >SECCE5Rv1G0333210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:555247239:555247850:1 gene:SECCE5Rv1G0333210 transcript:SECCE5Rv1G0333210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKSSTPPPPPVLGAPVGYPPGAYPPPPGTAAAVYPPQLYAPPGAAAAQQAAAQQQQQLQVFWAEQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDEAKDAEAAAAAAMATAAAGIPRPAAGVPATDPSMAYYYVPQQ >SECCE4Rv1G0226970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:116699750:116707701:1 gene:SECCE4Rv1G0226970 transcript:SECCE4Rv1G0226970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSTSEVLNAAAVGVHHPALRLHELDLKGSMSVEELPTTSGLENGHQAPFVIGVAGGASSGKSTVCKMIIDQLCDQRVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTDLLLSCMEKLKHGKAVDIPSYDFKTHKSVSCARKVNPSDVIILEGILLFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIIAVLDQYSKFVKTAFEDFILPTKKYADIIIPRGADNSVAIDLIVQHIRTKLGQNDLCKIHPNLYVIQTNYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFREKQVITPTGSVYTGVDFSKSLCGISVIRSGESMENALRACCKGIKIGKILIHREGDDGKQLIYHNLPKDIAKRHVLLLDPILGTGNSAVQAISLLLEKRVQEANIIFLNLISAPQGVHVVSKRFPRVKIVTSEIELGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFADDKNRVGLL >SECCE2Rv1G0106760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:638859318:638859886:-1 gene:SECCE2Rv1G0106760 transcript:SECCE2Rv1G0106760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIQLSMSCDKRRSKALTVASRAVGVTSMGITGDARDQLEVVGDGVDPVCLVYCLRKKLGHAQIIKVEEVKKPEEKKDPKPAVPEPVHPPPYYFYLPSSYYPHQYPLYF >SECCE5Rv1G0307330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:109365567:109368517:-1 gene:SECCE5Rv1G0307330 transcript:SECCE5Rv1G0307330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDLNDYERLRQENIRRNQEKFAPLRRKADELSAAIRLAKPKRPYQVRPKVPTGPVRFSGRARGIAPDNLPPDLSSTRLSPSLASSILGGGASPPEGAKVRAADDLDAWRDMVLMPTHVRKVVSCSIVSMRVLPLADRTVVVAGDKMGNIGYWDVDGVSEDADGVDGVFRYWPHKCPVSAIVAHQAAPHKVYSSSHQGEICLMDFEEEKYSMVHLWEWPVYSLCQAQNSVGCLYFGDEKGGLTLFDERVGKVLTTWDVHEERINSIDFHPEKPHMLATSSTDQTACIWDVRNIKRKEPDSLKVFELNESAQSAYFSPSGRMLAVTSICGTVRVFCVDDFEKSHSVEYNNQNRSWPSAFKVIWGWNDTDLYVGNMSKGIDIISVDVNDSGLSAQNSSYLRSEHMTTIPSRFSAHPYKVGYLACSSSTSKVFLWTRA >SECCE1Rv1G0008970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:50993533:50997274:-1 gene:SECCE1Rv1G0008970 transcript:SECCE1Rv1G0008970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLQHIVLFVVLLLASTLTTQCFPSIEEQGNSTVPWPSDVAPDLIFPNRNLTSDETSIITYYSIHTWYPEGGNDHYYGVEATIDVYNHDLQLGQSSAAMISIVNRGDGKPSSLSGIQFGWHIFPWLYKDSHTHFYTSWISGGSSSKGCWNMKCPGYHKTSSRIAPGQVISPLSRINGNKSYITLRIFKEQSSGDWQIHVGANSGHPKPVGYFPKSLITGLIDKPVEISFGGYVKHRKSRPSPPMGSGYVFETGRAASFGILKLIDAHGIDHTINADLPSSTDGKGCYTPSKIKWAQFFYGGPNCVD >SECCE6Rv1G0402420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:483742537:483746702:-1 gene:SECCE6Rv1G0402420 transcript:SECCE6Rv1G0402420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIIVLKLVTVKQFRYSKNKRHITLAQHNIFFHLQDEKLLIWYSKEREKSLSLSSVSSVVLGQKTTNLLRLHWPEKEPHSLSVIYKSGESSLDLICKDKDQADCWHLGLTALVSALYSPLLLVDSTSSRRINSCTNSPPSYIQQRNRLFSVHDTRKFTQVHSLYGSPRLIQTKVSTSSLDYSEAFVSPRQRPWPHLDFYLERITPEMVNTVKNSFMDIPVAEKIQEGITQMPKLKASEGSDMAYGIDSLKDIFAWGEVPGSVLENGDAPKDNLSLPRLLKPTKILDVQNVACGEKHAAIVTKQGEIFSWGKESGAILGHKVSVSVSEPNIIESLASTPMKAIAFGAKHTCALAISGELYEWGEGTHSLGLWDDLCQRSKWFPHKLFDPLDGISVLKISCGQWHTAIISSSGQLFTYGDGTFGVLGHGNTMSVARPKEVESLKGLRAKAVACGPWHTAAIVEIIGTVKSNAPSGKLFTWGDADRGKLGHADKKSKLVPTCVKPLTDSDFAQVCCAKALTVALTITGVVFTIGSTEHGQLGNPRLSDTSICSVEGPLKTEFVREISAGSSHVAVLTMNGKVYTWGKGTEGQLGLGDYTDRSSPTLVESLEDKQVDSIACGSNFTLAICLHRSISGKDQSVCSSCQLSFTFTRKKHNCYNCGSMFCNSCSSNKVSRAALGPDRSKRYRVCDVCFTQLQKIEGHGTLSSRLAIQKEEAFPTEIRAYTPKLSRIFKEANSIMEKMTTAQGSNQRNQDLAAPVQLKAQRWGQVECPSQFKCARNSIPYGSISNKQTVDVSLTQRMPEPVPPKGTSSMPDATTNFKAELGSMENILLGEVKQLQAQVTTLAEQCRHRSLKVQLYKRKVEETWLIIMNEASKCKAAKEIIKVLTNQRNTLSKKILAGEQSYNSTAIPSCINDGQPVKAELPDPPDKNPVTGKVQQLNSIRVHHQQQVERERMQSYSAAVANGSVAHRNSRRAPSNSNAGETDATIPPVDPNGIIEQIERGVYVTVVTSPSGNKGVKRIRFSRKHFGEKEAQKWWEANESKVFAKYSSTEQMRE >SECCE1Rv1G0025860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:361096219:361098747:-1 gene:SECCE1Rv1G0025860 transcript:SECCE1Rv1G0025860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMDALISSGAHNATTAPFLAAGGLASLLPQIQTVEVLVAVSIFVAIHSLRQRRSLGLPSWPVVGMLPSLLLGVRGDMYEWITGVLKARGGTFTFRGPWFTNLHCVVTADPRNLEHLLKTKFGNFPKGPYFRDTVRDLLGDGIFGADDEVWRQQRKAASLEFHSAEFRALTASSLVELVHRRLLPVLAETEAAGAAVDLQDVLLRLTFDNVCMIAFGADPGCLQKGLPEIPFARAFEDATEATIVRFVTPTAVWRGMRALGVGHERVLRRSLAGVDEFAYDVIRKRREEIAAAAAGPEEAAAGLRRADLLTIFTKMRGADGAPAYSDKFLRDICVNFILAGRDTSSVALAWFFWLLSKNRGVEAKILEEVEGIVAARARCGEVEEELVFQPEEVKRMEYLHAALSEALRLYPSVPVDHKEVVEDEVFPDGTVLKKGTKVIYAMYSMGRMESIWGEDCREYRPERWLKDGRFMGESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILRRYRVDVVEGHPVAPKLALTLFMKHGLKVTLAKRDKAKL >SECCE7Rv1G0484630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344150184:344153062:-1 gene:SECCE7Rv1G0484630 transcript:SECCE7Rv1G0484630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSLAAALPSRVTSPGRRAALSWATSGARPRPHPARAVSSGGPRCRLVVTCNAQAAAPISIGQGTPVRPTSVLVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGVHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTERFIQDTGLDYTIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFVALRNEKASKKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVAVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTVFSAPMNETYQLLGVEAKDILTLEKYLQDYFSNILKKLKGLKAQSKQSDIYF >SECCE1Rv1G0063840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:727146418:727147233:1 gene:SECCE1Rv1G0063840 transcript:SECCE1Rv1G0063840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAPPIRIVSRLADVDAALQDLQIEEMDQADQVRFQLHEQAPLQEAAKIGERTRPGRDGCILVNPELLDCKTKTKGEFEREFRNMLDQALQRIDQEMLEVDASISVLKVRVLKTDAEMDQLGPPLNQRNRGVQHVIYPNPPFPAEPPYEHGLAPQRVPYQGAYATQALWDAAAARDRLAQRAMWRAKLEILELRQSMLKDKRSEMMSKLTAVFERMLVEPSHLGAGYADRAYPPLV >SECCE5Rv1G0366220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:811869202:811869885:-1 gene:SECCE5Rv1G0366220 transcript:SECCE5Rv1G0366220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMAPPAASGGVGFYVKSRVPGSHLLQIDGYSIAKHAPNGTSFKSCPFTVGGYRWAIYLFPNGDRLDSADFISVFLALDEYIEGPIRVHLEFSFFDEVEKQDPAHVRARQVVDLHGGYAVGYRRFIAREAMENSKHLKGDRFTIRCDFFVLKHVIIQENLHASGHRKCEACNLRVATMGGRALVHACFCDVCNHASRNDAAAKRCPGCHGPYEGFFLSLLSPTSIS >SECCE1Rv1G0029370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:413731676:413733787:1 gene:SECCE1Rv1G0029370 transcript:SECCE1Rv1G0029370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAVDPSSNKNSLPMLPERVKKITRIPASWWAYAWSIGRQDQRRAIHALKVGTALTLVSLLYILEPLFKGVGKNAMWAVMTVVVVLEFTAGATICKGLNRGFGTVIAASLAFIIELVAVRSGKVFRGFFVASSVFLIGFAATYLRFFPSIKKNYEYGVVIFLLTFNLITVSSFRQENILPLARDRLSTIAIGCAICLFMSLFVLPNWSGEDLHSCTVRKFEGLARSVEGCVNEYFRDQEKHDNILDKQTSRASIHTGYREVLDSKSSDESLAHYASWEPRHSMQCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQTPTSVRSLFRTPCTRVAREVTKVLQELADSIRNHHRCAPDVLCDHLHEALQDLNSAIRSQPRLFLGSKHGSANSRMLMELNSSKHAASRTALPSFKTDTASILERRNTKVDQPSERSERSTLGRTLSKIAITSLEFSEALPFAAFASLLVEMVVRLELVIEEVKELEKAANFKEFTRHDHLTVDITYKEEKRNNDGVRLGSHTVSPAAE >SECCE4Rv1G0269900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:750534904:750536049:-1 gene:SECCE4Rv1G0269900 transcript:SECCE4Rv1G0269900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSGVTTFDDLPEWLVVDEILVRLPAKDVLRCRAVRKSWRRGTSTDAFILGHHRRQPSLPIVQHKSGICRVVGGSNDLKIRPVIRYDGYFAEFQLQAACDGLLIVSQEANFFICNPATLKCASLPRPPRRPRFSFIDVVGFYRHPASGEHRILWVSYTVPIRIYEAFQLPDYFIHAEGSSQPRCIQWPTVSKQKYLPAARSADCPPVHHRRSLHWLMGLNIIVFDTVAETFRQMSRPTQLGDMVSLLDMGVALALCHIAPDCATLDVWALQDYDAGTWGFHYRIDLLGMEASPPINWMFQSIPRMALINERELLIQRHIHYLLHCDIDGVFLGNVESEERLAHWTLTRHCLQESMISLPLFEMQEEDVVNKDPPFSIVL >SECCE3Rv1G0153820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:57298342:57300484:1 gene:SECCE3Rv1G0153820 transcript:SECCE3Rv1G0153820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLTALKALTVLFVLAVLAADQAEGRHHQLNCPSFSCGRLGNVSSPFRQASDPPGCGYQSYELVCSDTKATISIDNTTYYVSAINYIIHTFWVVDADLDLYNNCPLPRWKRNWRPVEPIRIIRGQRAYSKVVELAPATPYQANFVNCSQEVKDNGMYIPVACLGNSHSFVYVLTSLRSDSMKNLEPCCGFLAMTPLDGQETRSTVPLENMSYADVVKFMKHGFSVGFPSKRHRYGNFKECLMDSMPASPKDWILSILMVDVIFLGCANEALPLPFGVCLYIIQLASPFFKLFAVLCRFVLAPLVVLIFLTYKYWKTRITIDAVEKFLLMQQMISPSRYAYTDIVAVTSHFRNKLGQGGYGSVYEGVLPGDVHVAVKMLEGNSNCNGEDFINEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPGGSLDKYIFSPESEKSFSWDKLNEIALGIAQGINYLHQGCEMQIIHFDIKPHNILLDSNFVPKVADFGLAKLYPRDESFVPSRALRGTIGYIAPEMISRSFGLISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQSYYPSWVYDRLAEQEVLGIFPTDDMHELEKKLCIVGLWCIQMRSQDRPTMGEVIEMLEGGVDGLQMPSRPFFCDEGHIHIEDSYHLSSEFTAISDENE >SECCE4Rv1G0254790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:642056390:642057206:1 gene:SECCE4Rv1G0254790 transcript:SECCE4Rv1G0254790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSKRRTRAPATDPPSRRRRKDVQHLHGLPWEAEWRDWAELPRDILWFILSLIPQADILRGAGRTCASWRRLAVDEPLLWRHIDLAADEDSDSESDSDSDSHSDPSAGRRAMACAAVRRSAGRCESFRGPVDGRFLLYLAEWAPSLRSLHVTCRYDVPSESFISMVAKRLPLLEQLVLSGGLIEHASLAALVDHWPRLRLLHARGCHTRRAIGKTLRRRLKNRIKDLRLPHPAGQILSVRVVPY >SECCE3Rv1G0207340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:919815543:919821100:-1 gene:SECCE3Rv1G0207340 transcript:SECCE3Rv1G0207340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose phosphate synthase, Sucrose synthesis in pollen germinatio [Source: Projected from Oryza sativa (Os01g0919400)] MAGNEWINGYLEAILDSGASGGGGGGGSGAGAAAGGGGGDPKSSSSPRGPHTIFNPTTYFVEEVVKGVDESDLHRTWIKVVATRNARERSTRLENMCWRIWHLARKKKQLEIEGIQRMSARQKEQEQVRREATEDLSEDLDEGEKGDIVGELMPSGTPKKKFQRNFSDLSVWSDENKEKKLYIVLISVHGLVRGENMELGSDSDTGGQVKYVVELARALAMMPGVYRVDLFTRQVSSPDVDWSYGEPTEMLTSGSQDAEGGESSGAYIVRIPCGQSNKYIKKESLWPYLQEFVDGALAHILNMSKVLGEQVGHGKPVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQIMMQGRMSKEEIDATYKIMRRIEGEELALDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARTRRGLSCHGRFMPRMMVIPPGMDFSNVVVQDIDGDGDKDDINLDGASPRSRPPIWAEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLVLIMGNRDDIEEMPPGNANVLTTVLKLVDKYDLYGSVAFPKHHNQADVPEIYRLTAKTKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPVDITNALNSGLLVDPHDQNAIADALLKLVADKNLWHECRKNGLRNIHLYSWPEHCRTYLARVAGCRIRNPRWLKDTPADAGADDEEAEDSLMEFQDLSLRLSIDGERGSTNEPASSDPQDQVQKIMNKLHQSSSAAPDAATDKNPANVHAAGTVNKYPLLRRRRRLFIVAVDCYGDDGRASKKMLQVIQEVFRAVRSDTQLSKISGFALSTAMPLSETLQLLQMGKVPPTDFDALICGSGSEVYYPGSAQCLDAQGKLRPDQDYLQHINHRWSHDGARQTIGKLMASQDGSGNVVEPDVESCNAHCVSFFVRDPKKVRTIDEMRERLRMRGLRCHLMYCRNSTRMQVVPLMASRSQALRYLFVRWGLPVGNMYLVLGEHGDSDREEMLSGLHKTVIVKGVTEKGSEDLLRSSGSYHKEDVVPSDSPLATTTRGDLKSDEILRALKEVSKASSGM >SECCE2Rv1G0141120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934343656:934345213:-1 gene:SECCE2Rv1G0141120 transcript:SECCE2Rv1G0141120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSAAMLPMLFVVVALLSPAAMSSSTPSLISMPTEVLERTSVPLAQGRLTADYHAESCPNVQQIVRTAVEEAFREDIGVAAGLLRIFMSDCFVYGCDASLLLNGTYQVGERVYHSEQILEPNARLQPKALQLIEDIRVAVHSACGPTVSCADITVLAARDAVVVSGGESYDLLLGRLDSFLPNRWQEVYGQLPRPTGNVDQLVAHFASRLDLTELDLVALSGAHTIGKAHCSAFSDRFGPREDGEFVGRLAANCSSDGDRLQDLDVETPRAFDNAYYRNLVAGKGVLNSDQTLMHDPRTKELVEGFAADEWWFFNQFGTSMKKVSLMYQAIPGRFGEIRRFGCFRRNSAPNQA >SECCEUnv1G0568760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:430981503:430982225:-1 gene:SECCEUnv1G0568760 transcript:SECCEUnv1G0568760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLPPLLPTPPRSEMLPILPTPPRSEMLPLLPTPQGLVLTMLVSAMAGRADFVHRWDWNKKGNKPCSSICSSSSSSSSEGGKSTGRADSVDRWDSDKKYNKKPCTATSSSSSSSYSAGSPGRADSVERWDSKKKLAASCGASLQPTGRGRHDGNNKRLSSPSRASSAERWDLHKKPRSEHMEKLPRTNVAAARPALATTPQKAMFAGSSFYASPEPSMLPMPSFFLLARSRALCTS >SECCE7Rv1G0471410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:129957627:129963097:1 gene:SECCE7Rv1G0471410 transcript:SECCE7Rv1G0471410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQKQPALPLFLRPLLLHGVGAGAHLLLALAVAARLLFASGRRSKDAAAPAIRFRWGQVAVRATWALAASELFLGAYSLVSSYLDNSGGGGGAEAADQADAAARAVAWLLLAAYLQLEYRGRGEERFPAPLRLWWALFLLLSVLAVAVHAATSLCYGLPVPALPWARDAVEVLAAVALLVAGFSAKRAATTGGSASEEPLLSGASDDTVDASLFTSAGFLSVLTFSWMGPLFAVGHRKTLGLDDVPDLDHGDSVAGLLPSFKTNLEALAGSGSGTAPKFTAFKLTRALVRTVWWHIAVTALYALIYNLATYVGPYLIDSLVQYLNGDERYASKGKLLVVTFIAAKVFECLSQRHWFFRLQQAGIRARAALVSVVYQKGLSLSSSSRQSRTSGEMINIISVDADRVGLFSWYMHDPWLIPLQVGLALFILYSTLGVASLAALGATIAVMLANVPPMKMQEKFQQKLMDCKDVRMKATSEILRNMRVLKLQGWEMKFLSKINDLRTIETSWLKKYLYTWTAATFVFWGAPTFVAVATFGACMLLGIPLESGKVLSALATFRVLQEPIYNLPDTISMMIQTKVSLDRIASFLCLEEFPMDAVERLPSGSSNVAIEVSNGCFSWDGSPELPTLKDLNFQARQGMRVAVCGTVGSGKSSLLSCILGELPKLSGEVKTCGTMAYVTQTAWIQSGKIQDNILFGKEMDSDKYDRVLEWCSLKKDLEILPFGDKTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGALASKTVVYVTHQIEFLPAADLILVMKDGRIAQAGKYNDILGSGEELMELVGAHQDALTALDVIDVANGGSEKLPLSLSRSLSSAEEQDKQNSKEDGDKVQSGQLVQAEEREKGRVGFWVYWKYLTLAYGGALVPFVLIAQLLFQVLQIASNYWMAWASPVSEDAEPRVSMSTLIYVFVALAVASSLCILVRALFVVTAAYKTATLLFNKMHMAIFRAPMSFFDSTPSGRILNRASTDQSEVDTYIANQMGYVAFSIIQLVGIIVVMSQVAWQVFVVFVPVIIIFFCYQRYYIETARELQRLVGVCKAPIIQHFAESISGATTIRSFRKENQFVSTNSHLIDADSRPKFYNAASMEWLCFRLDTLSSFTFAFALIFLISLPTGVIDPGIAGLAVTYGLNLNMLQAWVVRSMCNLENKIVSVERILQYISIPEEPPLSTSGDKLPHNWPSEGEIQLRDLHVRYAPQLPFVLKGLSVTFPGGMKTGIVGRTGSGKSTLIQALFRIVEPTVGQILVDGVDICTIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLNEYSDDQIWEALDNCQLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILKRTKILVLDEATASVDTATDNLIQRTLRENFSEATVITIAHRITSVLDSDMVLLLDDGVAVERDSPARLLENKSSLFSKLVAEYTMRATHT >SECCE3Rv1G0179240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:533168653:533168886:1 gene:SECCE3Rv1G0179240 transcript:SECCE3Rv1G0179240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLQAHREIEDATTHGRLRDDLVEHHWQLDGRRIGP >SECCE2Rv1G0097280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:456069287:456084342:-1 gene:SECCE2Rv1G0097280 transcript:SECCE2Rv1G0097280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGDGKTAAKRTRLSAAANAAAGGVTDDLLSALPDDLLLHILHTLRDSPVAARTGLLSRRWRRLWALLPEVYFPGYTAPHLIAPALAAHEAPTLHHLVVFVQDAPADSMAAWLPIASRRLSGDLFFDNKVQHNGGRDEAGETGAFELPCFRNATLLSLHLGFLGLLAPPTGVFTRLTNLCLVHFRLHGPCQLGDVLSSPRCPALRELTLCDARGLDNFTIHSESLMTIKLRKLRGLQRLTVVAPALKELTVFYSFANAPNPSQPVATISTPRLVSLEWGDAYDPVSVQFGRMTYLQWLGTSFYVVYGPSGIEHNRDLMRLLRHFKFVRSLRLTLNYQRDLSNRQYLMEDMTMLPVISLLSISVIAHGHACGASLFHVLRMCTGVRRLILTLNPHTSLEVQTCPLGCICDQPLNWRSEELVLDCLQDVEIRGLRGTEWEVALVERLFGWSTALKTVNITFFRLMTESRAKELRQMLLRFSNPDTCMTF >SECCE7Rv1G0525240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:889233874:889237006:1 gene:SECCE7Rv1G0525240 transcript:SECCE7Rv1G0525240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHFTAARFLAPVAARSGGDRARASPAPSALSARQLRRGPARRLGSVLAVSSDVLKAAPAAAAYPAVTREEALELYEDMILGRNFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKQLNQPDCVVSTYRDHVHALSKGVPARAVMAELFGKATGCCRGQGGSMHMFSEPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSSPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIIFVVENNLWAIGMSHLRSTSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIDRARRGEGPTLVECETYRFRGHSLADPDELRRPDEKSHYAARDPITSLKKYIIEQNLASEAELKSIEKKIDDVVEEAVEFADASPLPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQV >SECCE2Rv1G0118120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:779502703:779503944:1 gene:SECCE2Rv1G0118120 transcript:SECCE2Rv1G0118120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSSGQKKEASTTNLPAEEAVPAAAGLLTDDLIFEILSRLPARSVHRFKCVSPFWRDLIADPANRKKLAQTLAGFVYSTYDRVDPRFQHFHFANVSVGAPPPADLSLPFLPPDKYWYIAQLDTCNGLLLCLAYMAPSPSTDMDSPVETHFIVCNPATQRWVDLPPIPEVQSDHRIFARLAFDPAASSHFHVLQFEETVLEKRIMGVNIYSSQTGAWKRRQSRLLEKISLCTGLTSVFFHGMLHLLGVRKPRKIDDDAVLVAVDMDGQVWKTIHVPSGGLSFRIGLSQGCLHYATTPLPTVDKNKKKKSKESTTLIASLWCMEDYDSKQWILKHSVSNVELQSITRVEYKVAAIHPDCDIIFLDSCVDDTLASYDMQHRKFRRILNLQENKAALFVPYVPLFSDSLAGAGGK >SECCE4Rv1G0228530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:136811805:136813336:1 gene:SECCE4Rv1G0228530 transcript:SECCE4Rv1G0228530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKVETIVAGNYVEMEREGGDAEQAGAGGGEGGGAAPSGRSKLVSSLFWHGGSAYDAWFSCSSNQVAQVLLTLPYSFSQLGMASGIAFQLFYGLMGSWTAYLISVLYVEYRTRKERDKVDFRGHVIQWFEVLDGLLGRHWRNAGLFFNCTFLLFGSVIQLIACASNIYYINDSMDKRTWTYIFGACCATTVFIPSFHNYRMWSFLGLLMTTYTAWYLTAAALVHGKLEGVTHSAPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLMATLYVLTLTLPSASAMYWAFGDALLDHSNAFSLLPRTPFRDAAVVLMLIHQFITFGFACTPLYFVWEKAIGVHGERTGIFRRAAARLPVVAPIWFLAVVFPFFGPINSTVGSLLVSFTVYIIPAAAHMAVFAAPAAREGAVERPPRGLGGWAGMYAANCFVVAWVLVVGFGFGGWASTVNFVRQVNTFGLFTKCYQCPPRH >SECCE6Rv1G0401040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:461928823:461929290:1 gene:SECCE6Rv1G0401040 transcript:SECCE6Rv1G0401040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAPMAPPSTSASSVLLLRRLPSPASAAPGRCRLGPPLRLRTARPRVSLTSDVSSSSDVAEEEAEHAPKVGRRVRVTAPLRVYHVVKAPDLDIQGMEGVIKQYVGVWKGKRITANFPFKVEFQLAVDTQPKPVKLFVHLREDEFEYIGDGDE >SECCE7Rv1G0460310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:30906115:30907338:-1 gene:SECCE7Rv1G0460310 transcript:SECCE7Rv1G0460310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSSEHFASNNHLYLQPNHQRGAGIMEACDLDRSGHEQSRHGQEAEEHRPDPSAALTTYLTFLEHKIGQLRGILCSTPRHPQQQRAIVSAELRCIIVQLSSIANDLASGPGAGAADVAPYEPSDDRSPSPSNATHDDSDDHAEDEEGEGPYEVVQIEKEEILAPHAHCCKVCGKGFKRDANLRMHMRGHGDQYKAPGALARPGSPAPGTGTGRRFFYSCPYAGCKRNREHRDFQPLKTPVCVKNHYRRSHCDKSHVCRRCGVKRFSVLADLRTHEKHCGRDRWVCSCGVSFSRKDKLFAHVAIFDGGHTPALPPSDDEATGHCTAATATTDSIVPGSDRQLPAGGVEAVNVMEQSFSGQMLDGLSCSGAKGGIDDVRAKLSSPVGIDFCDFDGFDLLGAVTMDFNF >SECCE2Rv1G0128870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:865572351:865572683:-1 gene:SECCE2Rv1G0128870 transcript:SECCE2Rv1G0128870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >SECCE4Rv1G0257700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:671968902:671970410:1 gene:SECCE4Rv1G0257700 transcript:SECCE4Rv1G0257700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGIPLVGSNILALSGVLTTYGQRLAEFLAVHRLLPREPKNLERPTPPELPEDILMCIFASLETPDLVRAGSVCSSWRSACTRLCTLGLFRQTQTPCLLYTSESAGQSVAGLFSLVENKSYTVALPDPPIRTRYVIGSNHGWIITADDRSELHLLNPITGDQIALPSVTTIEQVKPIFDDAGALHKYKYSWYTGTPLLAGYDFPEPSFFSLGELRDYLFEKAFLSSDPSTGDYYVVLKHNPNSQLSFARAGDDRWTWLPPHVDYADCFFKDGLLFALDSFGEVRTFDLSAPVVTQKIVLGRMKSCIVECNYMYIAQDPCGDLLQVWKSRNCLGWEDEDVSEQGLEDGVDEHISEHKLEDATDLSGLEPEDREDDDVSEPKSGSDSHVIFTDKFEVFKVDFAATKLEDITSTCDSVMFLGHNQSLCLSADEYPQLKSNHAYFTDDDGYYHFGSMKSARDIGVLNLRNCTVERIVSPQLWSNWPAPVWFIPNPRKINFASHN >SECCE6Rv1G0415250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:640288347:640293688:1 gene:SECCE6Rv1G0415250 transcript:SECCE6Rv1G0415250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALSPSSLVGPSPLYALLLLVVAALVGQHPLRVATAQSVPAPVPVRVGVILDWATKASSAVSLRRRTGIQMAVEDYYAAHPGSATRVELHFGDSKGDVVGAASAALDLINNAQVQAIIGPKTSAEAEFIAHLGSRAHVPVLSYSATSPSLSPAQTPYFVRTAANDSLQAAPVAAVLASFGWRATVVLHEDSPYGTGILPALADALQSVDSAAIVERVAVPSGAHDDALDALLYRLKAMPTRVFVVHANYHLATQLFRRALEAGMMSKGYAWVVTDCVGSFVDTLSPEDVDALQGVVSVRPHVEFTSQVRNFSARFRARFRRDNPASDDYVINEPTVTRLWSYDTAWAIAAAAEAASVAGPAFRTPQRGRPLTDLDRLGVSATGAALLRGVLNTTFDGMAGRFNLVDGQLQVAAYEVVNVIGNGARTVGFWTPESGISRDLDVGGDKVERQLKHILWPGETLSKPRGWTESPNGRVLNVAVPMKNGFKQFVDVVWVKNSTTPKVTGYCIDVFEAVMKSLPYPVNYRYVPFPDSPDSYEMLVDQVSGRAADIVVGDVTITASRMDEADFTMPFTESGWAMVVATRPDTSASMWIFLQPLTTSLWLTSLAFFCFTGFVVWVIEHRVNPEFRGTPSQQFGLIFYFAFSTLVFAHKEKLESNLSRLVVIIWVFVVLILTSSYTASLTSMLTVQQLRPTVTDVKELQRRGQYIGYQEGTFIEPMLKKMGFEERKMKKYSSEEQYAEALSKGSANGGVDAVFDEIPYLKLFLSQHCDGYVQVGPIYKTDGFGFVFPRGSPMVGDVSREILRLAEGDQMARIEKAWFGEPGTCRDVLGDIGGGSSNLSFRSFGGLFLITGIVSSLMLLLYVAIFAYRERDELREAEAEAKAKAEAGSGSVSVRRLRAWLQHYDKRDLKSPTFKTWNDESVRNGSDFAGRTPRWTGGDASLTPRAGGEEQAMGGASPLSVHISSEMNAGSSPEGTPASEISESFEQRIEGAAASVDQLPRNCTRVY >SECCE1Rv1G0028300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:397176527:397178032:-1 gene:SECCE1Rv1G0028300 transcript:SECCE1Rv1G0028300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDGGAAAVVGFLAYAALAVVALRAVLSYKSAAHAARRLWRWADEWAQAYQYYEVPRFVAGDGAENPLFRKAAAYVASLPSLEDADAACVLSSASKSNDFSLQLGHGHTAHDAFLGARLAWTNGGERLVLRVRRHDRTRVLRPYLQHVESVADEMELRRRELRLYANTGALAPRWASAPFTHPATLDTVAMDPELKTRVRSDLESFLKGRAYYHRLGRVWRRSYLLYGPPGTGKSTFAAAMARFLGYDVYDIDLSRAGTDDLRALLLDTAPRSLILVEDLDRYLRGGDGETSAARAARVLGFMDGLSSCCGEERVMVFTMSGGKEGVDPAVLRPGRLDVHIHFTMCDFDGFKALASNYLGLKDHKLYPQVEEGFHAGARLSPAELGEIMLANRGSPSRALRTVISALQHVVAPRTSSAARPPRLTSRSSGHLDESSPAAESQSPGAGGGGGFGKDAPMREFKKLYGLIKIRSRKEGGVVPVDDTASANGRGSDASTEKDR >SECCE3Rv1G0213910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963226053:963226640:-1 gene:SECCE3Rv1G0213910 transcript:SECCE3Rv1G0213910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFTIISSFAVVLLLLSDVGMAVVNPTKAGGDANVTKLCADTPYPDLCADMITRYDECKGADDKKLVGMAALTTATLLLKASGDASPGVQRERYPDLTKADEVCFETCYKELTNGGETLDKLCMSKEGSGDIGTAQLPEIRSFVKENKGAHAQWNCDRCRPANDKKTPDDVSQKNDAEKAMAVLEVLVNKVTK >SECCE4Rv1G0276690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798737975:798740131:1 gene:SECCE4Rv1G0276690 transcript:SECCE4Rv1G0276690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHHLLSVSVYIICLCYLLSLTSAPPGLANALSFNFNFSGSNSGDLCDTELRCERDARMGSGAIDLTKNELKANLYSTGRASYGRPVPLWDNATGEVASFSSNFTFQIRPQNEPFTRDCDSSGGMGDGMAFFLARYPSRIPPNSYGRNLALFDDSNNSDATSDDRVVAVEFDTYPSPSIDHSNNHVGIDVNSITSTAYTNVSKRLGSDVAVVTAEVTYDNRTGVLVARLQISGDELWYTVNAPVDMKKDLPQQVAVGFSGASGACIDLHQVLSWSFSSTLEDATVQATNSRRLRRLVPVLVPSVVVAFLVLLCAVAVLVYRRCIWNSDDGEEHEQAEFERGVGPRRYSYGELAAVTKGFAEEEKLGRGGFGNVYRGRLSDQDGPVAIKMLSAESSAQGRKEFESEVKIISRLRHRNLVHLLGWSDSPKGLLLVYQLVPEGSLDRHIHTSCLTWAQRYKIIIGLGSALRYLHTEWDQCVLHGDIKPSNILLDSSRNSKLGDFGLARLVDHGAGPRTTQVVMGTAGYIDPEFIRTRRPTTKSDIYSFGMVLLEVVSGRRPDMDIDTEQPAAAAHKVGIPLLTWVWDLYEKSAIVEAVDERLKGDEQLGDGHDGKWQLHRALVVGLWCTHPDPSARPSVVQFMNVLQLKEVTPPVLSRPGPSFYIFHGSHGYNASSSSSANVCGNVSWASSGR >SECCE1Rv1G0050770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:649267162:649268514:-1 gene:SECCE1Rv1G0050770 transcript:SECCE1Rv1G0050770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPWALELPSSCFSPSGRTCNEETTAEVNPEEGIPDAVVISISLPVDIILEVLTRLPVKSLFRFRCVSKGWRALLSDPAFAAAQRSHAAAADTPLVVGVFGKRGPFEEEYPSRPPRFPQPSLELRVIDTADGNVVKVVKDVKSAKLMRTGLDLVFVDQGVHGARVIDPATGRVLTVGGEASIEYPKADSNGIPYDSMHDDYCHSSFGRATPSGAYKVVRLRNAVTALGDGQICQVATIGAAATAMATTTAEPTTWRQRPEPPILTCWCSGCTATVNGVLHFMDRGAPTHGKRPANPDWNHIVSFDLESEEWKAMTDGPPIGCPKEDERWELTLAELKNTLSVIQTVWPPYYLGGRYTNIWLLVDPEKSTWKRECMIQMTKNWCIFKVLEIFVDGRILMLNAFEIEDEDLYDVWCSLQLYDPTTGSLTDLMKMPDDFRGSMTLYTGSLSL >SECCE6Rv1G0400210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:448020945:448023188:1 gene:SECCE6Rv1G0400210 transcript:SECCE6Rv1G0400210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTAAATGILLPHALLNHRSPPPPQLLALSSSFRRLSLSTSHRRATHLVAHADAGAAEPEPAAEPAPEGEPVAASADSEEGEAEGAVALAEEEEEPAPRPKPIKFGEIIGILNKQFIEEAEKVKVLPDLKPGDIIELRMQRPNKRRLSLFKGIIIAKHKSGVHTTIRVRRIIAGVGVEITFPIYSPRIKEIKVIRHKKVRRAKLYYLKYKLPRFSTFK >SECCE3Rv1G0197360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:817610839:817611437:1 gene:SECCE3Rv1G0197360 transcript:SECCE3Rv1G0197360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >SECCE6Rv1G0423990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:698525427:698527355:-1 gene:SECCE6Rv1G0423990 transcript:SECCE6Rv1G0423990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTDGDGDGESNGICFPYDVLVDILRQLPCRAVAESRRVCRAWRTIIDAHKLLLPHFFPRGPFPGIFTNNFGCYNKSSFFAPPAPPRRELPRGAHDGPVFRRPLFLHDRFSVLHYCNGLILLDGVPPDYYVCNPATLRYACLPPSPDQESMWRYHEYMFLAFDPAVSLNYQVLLLPREKIQPVKNNFQLASEEKIQLAAEEKIQLVATQEKIQPWQNVQTDEPQTWMEYLQYEDEKLSEEDQENIGIQEHFDHAGEIDTSSQSTLGVLAEELGVSSQQGEVSPPEQEMMHVHVPVVEEQPKDRVISVLVFSSETDEWASREFVPGNCAPRHLYDMVNAPQASNVKIWKSAQYWQGSLYVHCWNHIIMILRNSEGAYDMAQLPGESYVVKGYQCLFELSQRSLLVSYERGIQFVVLDKFQLQVWTLTESTDGQIGWMLAHATDLSPYNHKVQQHMEPRVMWEVVKHTKGLLRLFESSNIKEIVYDEDDGQSDTSDDVDSDSDDDEEEDIHEVDGFDVTTGDVGVDGHSDGGDANKDEDGETKREEVFEYTWDSDEDNFIDLDDGAAHLGDEEYGGYRIMGLHPHKEVVLFQTYCGVVAYHIKTSRMQCLGRHLVKYPHSHSHGADAAFPYSPCYVDALPANN >SECCE5Rv1G0363340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:792441746:792442018:-1 gene:SECCE5Rv1G0363340 transcript:SECCE5Rv1G0363340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGKKRAALASLFGFKNNRQEEEEEATAATRQQQHAAAAPQQRYQHHRVRPSDDDDYARHWYAERDIDRKASEFIDKIHRRMLANEQDG >SECCE2Rv1G0132730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:893765155:893765837:-1 gene:SECCE2Rv1G0132730 transcript:SECCE2Rv1G0132730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQQHMVAACKPHAVRRAALPLPGPSLRRAFPCSPARAGAAASRTAVRRRCQEEKQQPQQQSGDGGGGEKKKKQEQEKRTFLSLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVVSEQEGVAIEELNAGRVCDWFLKDKLKREQNLDTAVLQWDDPPPL >SECCE1Rv1G0028100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:393440530:393443118:-1 gene:SECCE1Rv1G0028100 transcript:SECCE1Rv1G0028100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPHQASPAPPTPLQLPLSILPPRSCPLATALLALLSLLLATALWLVLVLSPAQAPVASSSSALSYSAAADEALSAGDASSPLSLAHIVFGIAGSAHLWPRRREYVRLWWDPASMRGNVWLDASAPGAPGPSAAGEDSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGGARWVVLVDDDTVLSPDNLVAVLGKYDWREMVYVGAPSESHSANTYFSHGMAFGGGGVALSFPLAAALARTLDVCIERYPRLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGILAAHPIAPFISIHHVEFVDPIYPGLNSLESLELFTKAMKTEPMSFLQRSVCYDKKQKLTLAISLGYVVQVYPSVLLPPELERSERTYIAFNRMSQRTEFDFDTKEIQKSMCKRPVLFFLKDVWKDGNITRGSYIRSSERDDLKRKVFCFRSPPLSGIDEIQVSASPLSKRWHLAPRRLCSAVKESINGTLFMFVRQCGRRAFGSASDSLD >SECCE7Rv1G0484160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:340888400:340890709:-1 gene:SECCE7Rv1G0484160 transcript:SECCE7Rv1G0484160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQGHYSPSAPPQPTHPVHPPSPSRSSCSPPPFACCLMASIAGSAVSFAKPVKAINTNSLSFSGARRGNAFLRLQPVPMRFAVCCSAKQDTVEKVCEIVKKQLAVPEGTEVCGTTKFSDLGADSLDTVEIVMGLEEEFQISVEETSAQAIATVEDAATLIDKLVSAKSS >SECCE1Rv1G0031440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:442322538:442323168:1 gene:SECCE1Rv1G0031440 transcript:SECCE1Rv1G0031440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVSMLAALVLVAAATFADAGESYGAPMFTVTGTVLCQDCTKNWNAYAYNAKPIAGSMVAVTCLDEHTGRTVTHRTDTTDEEGVFKVDVPYVPEGGSGRLDPAHCLVRIVRSGDKGCAVFTNFNNGRTGEKLLRPSKVSSSEVGYSAGPYYATVPQCDVDGDDKSCS >SECCE6Rv1G0453560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:883777466:883778743:-1 gene:SECCE6Rv1G0453560 transcript:SECCE6Rv1G0453560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLYGSFAISVCLTLSFVAATTREPSRPEPSRKISEPSVSYSPQPQHFPNERLYQAYLVIQRFKSSISSDPKNITTTWSGHDICGRKTYLGFHCGRTPYLAKNLTVMAVAFNDFGLSAPMLRGFIDQLPDLVLFQASSNNFGGGIPPLGSLQYQYKLSIHDDRHDPFSESKYLLGTNLGVGPVNIGVKLAVVKTPRKGTNLNSGRALLLNCNSLSGPLPANLGFSKLSYLAVANNKLTGPIPPSIAHMQDSLLEVLLLNNQLSGCLPNELGMLTKTTVIDAGMNQLTGPIPSTFSCLSSVEQLNLAGNRLYGQVPDGLCKLAGPAGSLANLTLSGNYFTSVGPACSALIKDGVLDVKHNCIPGFANQRGTAECASFLSQPKTCPAASARVACPATDAKKNVAAPEGRVAKDYSSYVTYATLHE >SECCE7Rv1G0502160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:693369533:693371163:-1 gene:SECCE7Rv1G0502160 transcript:SECCE7Rv1G0502160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAATLLSTAGYDGEDRISALHDDLLLHIISRLPVTDAARTASLAPRWRHLWRSTPLVLDDARLPEPTRAASVVRVLADHPGPFRAVLLTDCRPAHLNLQLAHWPRLLAAKGAQELALFNKHVHSHLPADVLRCSSLQFLSLSFWKFPVALSRSADIFLPHLRKLDMISMSMTEHDLDYLLAASPVLQTLTLAGNSPKRVHLRSQSLRCVLVGLSTMEKFAAMEKFAVMDAPLLERLILLAPPIAGCNRVRIKIACAPNLQVLGYLEPRVHKLQIGDNVIEPDTTTNRCAVVPGVRILALKVNFRVFIEVKMLASFLRCFPNISTLHIESALHARPSIAYQPTWEHHARFWEEVSAVKCWKSRVKRMVFHKFRGNQKEFEFLKFIARDAQELESLLLVPLDESLTSAVEVNEMIDRSGCPQFRAWASEVLLVSPKVDGAWNLQKALDLTIDDPFLRC >SECCE6Rv1G0440540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:804755388:804757634:1 gene:SECCE6Rv1G0440540 transcript:SECCE6Rv1G0440540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g33990 [Source:Projected from Arabidopsis thaliana (AT4G33990) UniProtKB/Swiss-Prot;Acc:O81767] MPAAALPRGVQAVLVTSGQLRNLDPQLQVPPLLLANTLIAAFSRAALPRLAFPLLRHVLRRAHPCRPDAFTFPPLIRAAPAHASAAQLHACALRLGLLRPCVFASGSLVHAYLRFGRVSDAYGVFDEMPGRDLPAWNAMLSGLCRNARAAEAVRLFGRMAGEGVAGDSVTVSSMLPMCALLGDWALVLVMHVYAVKHGLDGELFVCNAMVDVYGKLGMLEEARRVFDGMERRDLVTWNSIISGYEQGGQVAAAVKMFRGMRDSGVPPDVLTLVSLASAVAQCGDGHGGKSVHCYVMRRGWDLGDIIAGNAMVDMYAKLSKIEAAQRMFEIMPVRDAVSWNTLITGYMQNGLANEAIEAYSRMQKHEGLKAIQGTFVSVLPACSQLGALQQGMRMHALSVKTGFNLDVYVGTCMVDLYAKCGKLTEAMLFFEKMPKRSRSTGPWNAIMAGLGVHGHATEALSVFSRMQQEGVNPDHVTFVSLLAACSHAGLVDQGRGFFDMMRITYGIIPIAKHYACMVDMLGRAGQLQEAFDFIHNMPIKPDSAVWGALLGACRIHGDVEMGKVASQNLFELDPENVGYYVLMSNMYAKVGKWDGVDEVRSLVRHQNLQKTPGWSSIEVKRSVNVFYSGNQTEPHPQHEEIQAELQNLLAKMRTIGYVPDYSFVLQDVEEDEKQHILNNHSERLAIAFGIINTPPRTPLHIYKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKHGHCSCGDFW >SECCE6Rv1G0392010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:244915523:244946883:-1 gene:SECCE6Rv1G0392010 transcript:SECCE6Rv1G0392010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQISGTIASSGVCYNDKHRMPCKLKAVRCVASNCLPQEVEVRKWMNGYHIIRSCRNDRHRKTNAKSNGYLVQQGPNVRCHSYGSRSSSETKECNIPEDANNPYRDFDEQPSGMSHFSDSQVAAQKKTPYSTQGLSKACQFVYNDAKFVNERVQSDILLLSRGITRLNKRASKDVAVLGLGFLKLDDCLKARARKDTRKIDNSVKERAAHLTNFARILKERAHSDLKKAADQHWSDGALEADLRRADMVVRRRAMEDAFMALKFVRDIHDMMATKLQYQFITLEKNGKILKLFPREVSTDQIAAIEDAYLNMASALSEADGIDYTNPEELELLVAALIDLDAMDGKKSVSLIVECSSSPDVNTRKALANALAAAPSMWTLGNAGMGALQRLAQDSNPAVASSAARAIGELRKQWELEEGDSLRFVMNQNLISQETDGDS >SECCE5Rv1G0321680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:408425656:408435397:1 gene:SECCE5Rv1G0321680 transcript:SECCE5Rv1G0321680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSFASASSGELTAAVYGAGRPVRVIPLRHPLDAAVREAAASSSPSPLSAAMERARAMGPWEWAEAALPCLAWMRSYRWKEDLQADLAAGITVGVMLVPQAMSYAKLAGLHPIYGLYTGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGGIVDSSSELYTELAILLAFMVGILECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYSVTRSSKIIPIIESIIAGIDQFSWPPFVMGSAFLVILLIMKKLGKTNKKLRFLRASGPLTAVVLGTLFVKIFRPPAISVVGEIPQGLPSFSIPRGFEHLMSLMPTAILITGVAILESVGIAKALAAKNGYELDSNKELFGLGLSNICSSFFSAYPATGSFSRSAVNHESGAKTGLSGIIMGIIICSALLFMTPLFTDIPQCALAAIVISAVTGLVDYEEAIFLWGIDKKDFFLWAMTFITTLTFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNTLQYPEAYTYNGIVVVRVDAPIYFANISYIKDRLREYELKLPNSNRGPDVGRVYFVILEMSPVTYIDSSAVQALKDLHQEYKARDIQIAIANPNRQVHLLLSRAGIIDMIGAGWCFVRVHDAVQVCLQHVQSSSSNAIKLSPQASEKLTESPKAQQRHGFLRNLWKAQDGNGSAGDEAQSLLRQNLV >SECCE1Rv1G0026840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:375182617:375186379:1 gene:SECCE1Rv1G0026840 transcript:SECCE1Rv1G0026840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAGRGGRPLLGGGAGGGRRGKPSKAILAALLLASVALLLLLALGALSLPAGSGRGAVLSLPRPRFRRSAFESRLEKRGEKGEPWTEVLSWEPRAFIYHNFLSKEECEYLISLAKPHMKKSTVVDSATGGSKDSRVRTSSGTFLRRGQDKIVRTIEKRISDFTFIPVENGEGLQVLHYEVGQKYEPHFDYFHDDFNTKNGGQRIATVLMYLSDVEEGGETVFPSAKVNSSSIPFYNELSECAKRGISVKPKMGDALLFWSMRPDGTLDPTSLHGGCPVIKGNKWSSTKWIRVHEYKV >SECCE4Rv1G0244220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:512635769:512638359:-1 gene:SECCE4Rv1G0244220 transcript:SECCE4Rv1G0244220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGELAAEKHVRYIVTAEKKKDSFESLVMEHLRASGAYWGLTTLDLLHKLHAVDASEVVDWIMSCYHPESGGFGGNVGHDPHVLYTLSAVQVLCLFDRLDVLDADKIADYITGLQNEDGSFSGDIWGEVDTRFSYISICTLSLLHRLHKINVDKAVEYIVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHIDRDLLGWWLCERQCRDGGLNGRPEKLADVCYSWWVLSSLIIIDRVHWIDKEKLAKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLTKQQ >SECCE5Rv1G0333280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:555720622:555724078:-1 gene:SECCE5Rv1G0333280 transcript:SECCE5Rv1G0333280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGANSVGSVGESPPPLSPPGPPAAKGRGLRRWRRIPREHHDDEGGSPAGPVAPAAAAVAGAASAEVDLAQLHKRRLPVGADAPKGKHETAPEEDSPVASVESSFLPPEAPPSPSPAPAPTSLDPDLGLLIASAGFSVGAGGADSDNSDDRTSKSSTALPRHDFSLAGFGRDRDRARSRAPGAAAHAKNLRTARARGAGARAVSAASSTVEPENSRSSVESDLRSTGAAHARKSSAGISSNGVHKFLYTDGDHSDDEAPREHLRSAAGGFYKENGSAVGRMAMGNGDLYAHHHGFHEGSIGKGENGGIHSGLGPYADSISMLQSAQEALENELQMFVEIGKESSDNSTDIYDENEWGSSPNCEDFSEDISEKLKLLESKLEEASLLIDEKDSRILELDTLNQTHPSSKLLSLQSEVDQLLMEKMEAEIQCFILKRASEAWQPQTDGQGTLHEAQKSLCEDHKQLEVKLRHTENRARTLEDLVEKLESQCKELSNASEVLKLQAGASRASLFCSVQLVLLCIAVWTFVARFLPAPPEFVPT >SECCE6Rv1G0415200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:639781710:639787168:-1 gene:SECCE6Rv1G0415200 transcript:SECCE6Rv1G0415200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALPPSSFFYALLLLVVAALVGQHPLRVATAQSVPVPVRVGVILDWATKASSAVSLRRRTGIQMAVEDYYAAHPGSATRVELHFGDSKGDVVGAASAALDLIKNAQVQAIIGPKTSAEAEFIAHLGSRAHVPVLSYSATSPSLSPAQTPYFVRTAANDSLQATPVAAVLANFGWRAAVVLHEDSPYGTGILPALADALQSVDSAAIVERVAVPSRAHDDALDALLYRLKAMSTRVFVVHANYRLATRLFRRAFEAGMMSKGYAWVVTDCVGSLVDALSPEDVDAMQGVVSVRPHVEFTSQVKNFSARFRARFRRDNPASEDYVINEPTVTRLWSYDTAWAIAAAAEAASVAGPAFRTPQRARPLTDLDRLGVSATGAALLRAVLDTTFGGMAGRFKLVDGQLQVAAYEVVNIIGNGARTVGFWTPESGISRDLNVGGDKVERQLKDILWPGETLSKPRGWTASQNGRVLNVAVPMKNGFKQFVDVVWEKNSTTPKVTGYCIDVFEAVMKSLPYPVNYRYVPFPDSPDSYEMLVDQVSGRAADIVVGDVTITASRMDEADFTMPFTESGWAMVVATRPDTSASMWIFLQPLTTSLWLASLAFFCFTGFVVWVIEHRVNPEFRGTPSQQFGLIFYFAFSTLVFAHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQQLRPTVTDVKELQRRGHYIGYQEGTFIEPMLKKMGFDERKMKKYSTDEQYAEALSKGSANGGVDAVFDEIPYLKMFLSQHCDGYVQVGPIYKTDGFGFVFPRGSPMVGDVSREILRLAEGDQMARIEKAWFGEPGTCRDVLGDIGGGSSNLSFRSFGGLFLITGVVSSVMLLLYVAIFAYRERDELREAEAEAEAGSGSVSVRRLRAWLQHYDKRDLKSPTFKTWNDESVRNGSDFAGRTPRWTGGDANLTPRAGGEEHGMGGASPLSVDISSEMNAGSSPEGTPVSEISESFEQRIEGAASSVEMGRPTASQLH >SECCE2Rv1G0066620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14766772:14767407:1 gene:SECCE2Rv1G0066620 transcript:SECCE2Rv1G0066620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGALALCVLLAIAVANAEAASVVVGMAKCADCTRKNMKAEEAFKALQVAIKCKNSAGEYESKAVGGLDGTGAFSVPLASDLHGADCVAQLHSAASNAPCPGQEPSKIVPASEGTTFGVVAGDNIATPSAASPECASMTLCGPIKKHIMEHFHHKKPVPPKPEPKPQPHPDYGPVPKPEPKPQPHPDYHPVPPTPTYGGGGGGGYHGHH >SECCE6Rv1G0443380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:822572788:822576129:1 gene:SECCE6Rv1G0443380 transcript:SECCE6Rv1G0443380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLASTALRASPSPAARSPPPSRGLVALAAARAFARPLRCGPRDTVEAMARDEMLNAVELEQWESGKSVNDIAACQGIRIRRHCRPAASMAEIEAEMGAPRNILEKIIWDKEIEVAQGLARNPLEEVIESAGKAPPTRDFYGALAAAHKRNGVPALIAEVKKASPSRGVLRENFDPVEIAQAYVKHGAACLSILTDEKYFQGSFENLQKVRKAGVKCPLLCKEFVVDKWQIYYARAMGADAVLLIAAVLTDLDIKYFLRICKELGLTALIEVHDEREMERILAINGVQLIGINNRSLETFIVDTSNTKTLLEKHGDAIREKGILVVGESGLFTPDDVAYVQNAGVSAVLVGESLVKQADPGQAIAGLFGKELVH >SECCE7Rv1G0464070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:58656420:58658333:-1 gene:SECCE7Rv1G0464070 transcript:SECCE7Rv1G0464070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPPHPRRIRPVAAASLVLFLLFLYTRSDGDPPRLPGSDSRPLHRRLLSGADPASPAASGGGNLSEATGLNGEQLENPSTACAGITRHEGFGSQCEFLRAHPQCSSGGFVDYLDFFYCRCERFRLLGYAVLAVCLAALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNGAPDVFASIAAFMGSGAGDVGLNSVLGGAVFVTCVVVGAVSLSVAEKNVQIDWRCFVRDVGFFLITLVALSIMLVVGKVTVWGAMLFVSIYVVYAFVVAANELLRKHARRLKFDVVTPLLPVRGSIFSHGLEEDDSVYSSLLEEDTSDEAAQVNTSLPQWMWASHVAIYSNQGRDGSPDRPLWGWNEEGRVDTSTLNYSKLFLFLELPLTIPRKLTIPIVEEDRWSQEYAVASAGLAPLLLAFLWNSQDGVSTGAIVAAYVIAGIVGIALAGLAFTFTSPDRPPRRYLLPWVFGGFVMSIVWFYIIANELVALLVAFGVILGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGISMLLGAWSTAPNSYVLPQDRSLIYTMGFLVAGLIWALVTLPRSGMRPNKTLGVGLIALYSVFLFIRVSSAMGLWGYCHSRA >SECCE4Rv1G0232900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:217454522:217454899:1 gene:SECCE4Rv1G0232900 transcript:SECCE4Rv1G0232900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKNTQPLEADYRFDGQRFERVRLADEGSMHPASKTQVYHLISGADSAYVALGNAGVAELKEENNTRVYQVEVALSGEVTYQAHFTKCKFQAKCPLKLQLAPPGTPAVVFQKVKCKLTPADRNC >SECCE7Rv1G0515420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839605153:839606121:-1 gene:SECCE7Rv1G0515420 transcript:SECCE7Rv1G0515420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYEGTKNLAKGAFLHSEVFYAGGHPWRIRYFPHGTDNGDGEYISIFLQLMSESKGVTAIFEAFVVDKDVELSSSHAQRCVQVYPPEGFTGWGWPQFMKRSDLEPLCMVDGCVVIVCGVIVLRMDDPIAVPPSDVGSHLGRLLDCGDGFDVSFVVDGEPFPAHRALLAARSPVFKAELFGSMAESTMSRITLEDIDPATFKVFLRFIYTDALPGDDELQLQAGSTTHMYYERLLAVADRYAMDRLKLMCAQKLWDNVTADTVAATLSCAETYSCSELKDRCIAFFADDKNFREAVLTDGFVELVHKFPSIISELRHKPENS >SECCE3Rv1G0163210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:145912429:145915044:-1 gene:SECCE3Rv1G0163210 transcript:SECCE3Rv1G0163210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRGGAADEAKLNTGNVFAALETLKKKKKGDKAKGGSSKGGKQGDDPTPQQQKELFWAPAPLNTKSWADVEDDDDDDYFATTAPPAPIWGNDHATAKTAKEEEEDEVDAVHAALPEEIESEDEEHDDEAEDGAEDEPEHEVEAADPDVKKAVAAPKETERQLSKKELKKKELAELDAVLAELGISENTSDAAQDGNNNAEKKGASQAGDGERKEDAPAPSESKNAKKKKNKKDKSAKEAKEAEVSEEAASAEPEEDTAVDVKERLKKMASMKKKKSGKETDTAAKIAAAEAAARTARLAAAKKKEKSHYNQQPVR >SECCE1Rv1G0014050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:109837476:109840726:1 gene:SECCE1Rv1G0014050 transcript:SECCE1Rv1G0014050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSSPVAAAAAAPAAARKWDHEEYRFKTPEERSFNPRFSEYDPKQGCFIYVRYFFDGDKLDLDEESPVGPMRHTGKIFKEGFRLKNSVNVVSIKIVSSDYGYPLNVYGTIIARDSLDQKCVYIFRRGQDDCQLISSKDDSLILTGPKRGFMVCDDIFFEINLKVKDVHGRAVIDDRLSKGLIEVDAICRLEYSPRYVVDTETLVSMHSILDLNYTFIRRSVEGTVEIKILEGPDEFYGKIVASTTSIPCDIMLHDSKMSGALTAGDSGVLQMARRVVGVSVDEMLVLTVAAAVGDDELSVCTVQFTPRRNGYDDERITCGHHKMLLKVTWSIVYF >SECCE4Rv1G0287880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:857160907:857164471:-1 gene:SECCE4Rv1G0287880 transcript:SECCE4Rv1G0287880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73060) UniProtKB/Swiss-Prot;Acc:Q8H0W0] MAPLSTAVRLLRSAAAPVAPPSPPARLAGAPARVRCRGGRRSLRLRAEAAKEAGVGELRAGVAVYKPRSYEVLVSDAARSLAAAIDDGRTRLEIEFPPLPSSFSSYKGSSDEFIDANVQLALAVVRDLKKLKETRCCIVFPDQPEKRRASQIFKTAIDQIEGISISSLDDLPTGPVDTFFKSIRSTLDFDFSDDNEDQWKSEEPPSLYIFINSSTGDLSSIEKYVDQFAASVPAVLFNLELDTLRSDLGLLGFPPKDLHYRFLSQFTPVFYIRQRDYSKTIAVTPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESASRFTLGQAKDELLRVLGLQEEVGSQLEFLRRGYKNATWWEENFDQEKSPAWRT >SECCE4Rv1G0215040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:2847993:2849480:1 gene:SECCE4Rv1G0215040 transcript:SECCE4Rv1G0215040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPKFGFKFGAPSLTQTIISTSAPWTIPSLCSSCPSPLPALSRWCGSGPKTRSAESLSSTQCTSFWPVSSRPQPPSYVYLTLRPRAVYLVDYAGFRTPSNCRVPFATFLEHARQLPEFNERSIRFMTRLLERSGLGNETCLPPTHHYIGTHKYCTVDAARAEFELVAFSAIDDLLAKTGITPDAIDILIVNCSLFCPTPSLVDIIINKYKLRSDVRSMHLSGMGCSAGMISVGLARNLLQVAPWGAHALIVSTETVTPNYYFGTERAMLLPNCLFRIGGAAALLSTSSAKARFRLKHVVRTLTGAEDSSYQCVFQEEDGEGHRGINLNKDLMNIAGASLKANITAMGPLVLPAREQLMFAFSFVARKVISKRVKPYIPDFRTAFEHFCIHAGGRAVIDELQKSLSLSDEQVEASRMALHRFGNTSSSSLWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIEPARNAHGPWATSIHRYPVDVPDVLKH >SECCE1Rv1G0054140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673386014:673388312:-1 gene:SECCE1Rv1G0054140 transcript:SECCE1Rv1G0054140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLTLDEEAEHNGPALDKDDEDAFIFEQIGDSSDEQQVVEPIMMLEPRKGMVFDNEDDAVRFYKGYAKKKGFGVIRKTTRYGEDNMVIYFTLACSRQGKTQCSTKNSLKPNPSTRMQCPVKSNFSRRGEKFCITSLTLDHNHPISPSKSKFLRCHKKLDLHSKRRLELNDQAGIRMNKNFDSLVMEAGRYGNLEFGEKECRNYLQEKRRLELGAGDAHAVYQYFLRMQSKDPDFFHVMDVAEDGRLINVFWADARSRAAYESYWDAITFDTTYLTNKYKMPFAPFVGVNHHGESVLLGCGLLSNEDTETFAWLFRSWLCCMSYKPPNAIITDQCKAMQNAIEVVFPQTRHRWCIWHIMKKIPEKLSGYEKYENIKYTLSNVVYDSLTKHDFDKAWAEMIDKYDLQDNEWLAGLYDNKNRWVPAYVKNTFWAGRSSTQRSEGMNSFFDGYVNARTTLKQFMEQYENALRDKVEKENKADCKSFQEEIPCITHYDFEKQFQAAYTNAKFQEFQEQLRGKIYCYPSLTNKQGSHTFGDGEIKEKWIISEFTVMFNQGECEVQCICSLFESRGILCSHIISVLALMKITDVPSRYILQRWRKDFKRKHAFIKCSYGDMLNTPVVHRYDDLCKRSHEVAEKGAESDKLRDLVMDGLDELERNIEAYCASQDVREDGPTSKHEDTMLNKEKVILSPIPVRTVGRPPSKRKESKVDQVIKRLRAKKGQVPKSTAKVPTQRRGKVSRFIVISLFPVITC >SECCE5Rv1G0355070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:728924095:728927375:1 gene:SECCE5Rv1G0355070 transcript:SECCE5Rv1G0355070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGGHNMSGAGGWLGFSLSPHAAAAAMDAAAGSGIVDVAGHHHAHHGGVYYHPDAVASSPMSFYFGGGDSVSAASGGYYSGISALPLRSDGSLCLADALRRSEHKHHGAEVSAPPKLEDFLGAGPAMALSLDNSGYYYGGHGHGHDSGAAGGGQHQLPYAMMPGSGGHHMYYDAHAALLDEQAAATSAAMEAAGWMARAADVYDVDAGNGEDGGGAIVATGHDNHGGYVHPLTLSMSSGSQSSCVTMQQAAAHAHAYVGAGGECVGQATAASKKRGAGAGAGQNKQPVVHRKCIDTFGQRTSKYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGASTHINFPVEDYQEELEVMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQQGRWQARIGRVSGNKDLYLGTFSAEADAAEAYDVAAIKFRGLNAVTNFDINRYDVDKIMESSTLLPGDQVRRRKDGPDESAAVVASAAAALVQAGGAADYWRQPVAAAAVAPCGDEHSRHHLDLQSSESFSLLRGVVSMDGDAAGAQQGQGNHMSGASSLATSLSNSREQSPDQGGGLAMLFARPAAPKLASSLPMGSWVSSPAPARPSVSVAHMPMFAAWADA >SECCE4Rv1G0226850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:114329559:114334232:-1 gene:SECCE4Rv1G0226850 transcript:SECCE4Rv1G0226850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNRRRELQERERRRREEEESAWREERERESREAAAAAAMAAADRARAREKGKGKEQENGGEGGKFGQQFARVFLPQLYGERLKIPPSFNQHLQDQPTGVVSLKGQSGNTWLVELASDNEGLFFVKGWKEFVRDHSIEMGHFLTFRYDGRSQFSVVIFDGMCIEKPSAFHAKPCKNLVVKIESGKGDMDINAADPSQVSAAPLEESNGTTRKRVTEMDADGSTSKNRCSILENGMPEASAVASAVTCKVAPTSLNMEMNAADPPEAVVVPLEESNQTTRKRVREIDANYSALQKSLRVREIDANDSALHKSSRVREIDANDSALQKSSRVREIDANDSALQKSSRVRDINANDSALHESSRVREIDANDSALQSSRVREIDANDSVLQKSSRVREIDANDSALQKSSRVREIDANDSAMQKSSIASDKDKKRCPAASVGTYKSASTSLDSTEDSDSSSSMLEQSISCIKSELTSPIRLGVSKDVARRGQNSATGKRQLRVISQRRLITEIQKDNALLRAKQFKSKNPFGLQIMKESYVYVGFFLNLPCEFVRQCLPRGNRKLKLWNPQGKSWDVNYVYYSERCVGALSGGWGKFSLANNLEKFDVCVFELFSKDNIKVHIYRVVQEITPFLPGPGKK >SECCE5Rv1G0340530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:615145628:615146350:-1 gene:SECCE5Rv1G0340530 transcript:SECCE5Rv1G0340530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAPKYRRSSPASSPSSQEQGMPTSPTSPMPKRPAGRTKLKETRHPVYHGVRRRGRNGRWVCEMRVPGKRGERLWLGTHVTAKAAARAHDAAMLALHGRSAARLNFPDSACLLAVPSSLSSLADVRRAAIGAVVDFLRRQATIVGAGDAAVVPINGVTSVVPTLGNARSSATSCQQPCANAESEVPDALRGGLPELHTSGDMDVSTYYADLAQGLLLEPPAPAASDCNDGGDDAVLWSH >SECCE5Rv1G0305020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:64838681:64839284:1 gene:SECCE5Rv1G0305020 transcript:SECCE5Rv1G0305020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFAAMKSPVPVAAAAATDAKSPLFCPKPRRPVAPLRCHQSSNSDVGAGMDLLDLLLSKGDESNLSAASPQPPLFCGSPPRRASNPVVHDSRFGVDCPSSPLPWWPVMSPVMPAPVVVRPTPRPAGPPMSPRSSAGCARVFQPAVRVEGFDCLDGGRSGRGHGIAAMV >SECCE4Rv1G0252140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:612630869:612632352:-1 gene:SECCE4Rv1G0252140 transcript:SECCE4Rv1G0252140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLFLCILLVVFGSYLSTISAADDGKQSFVVVSTSSFQTLDVCSTSTVSPRPNGAAAPLVHRHGPCAKSPSTDKPASFAEALRRSRVRADYIMSRARALTMQEQEEGKVGVPAHLGTSVDSQEYVVTLGLGTPAVEQVLLMDTGSDLSWVQCAPCNSTDCYPQKDPLFDPRKSSTYAPIPCGSDVCKSLQSNHLDNGCSMNGNGTQSQCGYRVEYGGGLKTRGVYSNEALTLAPDVVIKDFHFGCAYKQLGADDKSDGLLGLGGAPESLPVQTSAVYGGSFSYCLPPVSSGTGFLALGAPSNTSGFSFTPMTPFMDYATFYLVKLTGISVGGKQLRIPPKVFEGGLIVDCGNIISHLPSTPYAKLRSAFRAAMAAYPLIPSDDSDTCYNFTGYSNVTVPKVALTFTGGVTVDLDVPNGVLLDGCLAFTESGSDDYVGFLGNVQMRTLEMLYDVRGGRLGFRAGAC >SECCE5Rv1G0353020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:713341004:713343471:1 gene:SECCE5Rv1G0353020 transcript:SECCE5Rv1G0353020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGHSGAVLAALLLFWAVLGAGADHALYKDAAQPVEARVADLLGRMTLAEKIGQMTQIERLVATPEVLRDNFIGSLLSGGGSVPRKGATAKEWADMVDGFQRACMSTRLGIPMIYGIDAVHGNNNVYGATIFPHNVGLGATRDPGLVKRIGEATALEIRATGIQYAFAPCIAVCRDPRWGRCYESYSEDRRIVQSMTELIPGLQGDVPKNFTSGMPFVAGKNKVVACAKHFVGDGGTVNGINEDNTVINREGLMNIHMPAYFDALAKGVSTVMISYSSWNGVKMHANQDLVTGYLKDTLKFQGFVISDWMGIDKLTTPYGADYPYSVKASVLAGLDMIMVPKNYTQFISILTGYVNSGVVPMSRIDDAVTRILRVKFAMGLFENPYADPAMAEQLGKQEHRDLAREAVRKSLVLLKNGRTNDVPMLPLSKKAPRILVAGRHADNLGYQCGGWTIEWQGDSGRITVGTTILDAVRAAVDPSTTVVFAENPDAEFVKNGGFSYAIVAVGEHPYTETAGDNLNLTIPEPGLSTVEAVCGAVRCATVLISGRPVVAQPLLAASDALVAAWLPGSEGQGVTDALFGDYGFTGRLARTWFKSVEQLPMNVGDAHYDPLFPLGFGLTTEGASHEDGAALHSSM >SECCE1Rv1G0016750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:141828936:141831901:1 gene:SECCE1Rv1G0016750 transcript:SECCE1Rv1G0016750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRPRDEPSSSLASAQKRQFGAGGGDYGGQQGYSEERNSARRVADHYSARSNQTLEERENSPIIHLKKLNNWIKSVLVQLYARPGDCVLDLACGKGGDLIKWDKARVGYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLICADCYETRLDEYLCEDAPFDICSCQFAMHYSWSTEARARQALANISALLRPGGTFIGTMPDANVIIKRLRETDGMEFGNSVYWITFGEEYNEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYDLELVLMKNFHEFVNEYLQKPEFADLMRRLGALGDGRSVQSTLSQDEWEVSYLYLAFVLRKRGAPPSQRRANNDNRGKTFLAEEDIEFLSI >SECCE4Rv1G0222710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:62083817:62084737:-1 gene:SECCE4Rv1G0222710 transcript:SECCE4Rv1G0222710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAAGMFPMFAPPPPPPFHYAFAMQPPPYFYPPPMSPVRPSFAVRVRSVWASNFEYESENLRSVARNAQHVAIDVHYPGVVHHPGQDHNALTAEQRYALVKSNVDDLTPLQLGIALYDSHGGYLAAWEFNLSDFRPLADPHNENSLAYLAGRGLDVNALRDHGVSADMLRKKLFESGLIGARRGRSRSWITYAGAYHVAYMLKVVTGGAPLPRDVAGFDGAVRRYLGDQVYDVARMAAGCPDMPLGLGLECVADHLGFHPPLGSPRLAAAASVRALQVFMRLKYGELGGNVQKYRGLLQGIHKQC >SECCEUnv1G0527840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:2323367:2324893:1 gene:SECCEUnv1G0527840 transcript:SECCEUnv1G0527840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSISQELLIPTALVLLVSLCLYFRSSSRSKNRSVLPIDWPIVHMLPAFIANLHNLYDYCDVGLAESGHNFRIHLPQGHMFLTCDPVNIRHIFTTNHTNFPKGVEFAAIFDIMAGSFFTIDGEPYHRQRVKFHSMLGNPRLVASMVACCRDKMENGLLPLFTHMASTGTPFDMQEVVSRFMFDLAAKPLFGVDTSLLSLDMPPMDVAIAMDTVMEVAFFRHVLPASCWKAMRWLNIGPERKLDAAHTVLRVFVTDMIKKKINRGCIGNEEEQESADILSSYINDPDYADVELLRAMLLTFMLAGKDTVGVTLLWTFYKLAQNPNIVSIIRSELSPIALQKIDTGTGAMVIFDPEETKSLVYLRAVLYETLRLYPPGPFERKTMAVDNIMPSGHEVRAGETILISLHSMGRMEDIWGKECRDYNPHRWLSEDGNKLRYEPSHKFLSFNSGPRICPGKEIAVIQMRTIVAAVVWNFDMEVVQGQSIEPKLSCTLQMKNGLIVKLKKREI >SECCE3Rv1G0144830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5432266:5433636:1 gene:SECCE3Rv1G0144830 transcript:SECCE3Rv1G0144830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHHLLSVSVHIIICLCYSLGLATALSFSFNFSNPGSGDLCDTELKCERDTRMGLGAIELTKNETQVNVFSVGRASYARPLLLWDDATGEVASFSSNFTFQIRPKNNWTDNFGLCNPNFTTDSSADGMSFFLAHYPSRLPPNSWGPNFALVNDSNHFNATGDDRIVAVEFDTYHNTWDPSDNHIGIDVNSINSTTYTNVTKRMVSDDAIMTAEISYDNHTGNLVARLQIQGDGPPYIVSTYVDVKRELPRQVAIGFSAATGTCAELHQVSSWSFSSSLDDAMVATSIIPRRRRPLVPVLVPSAVAAFLVLLCGAVAAALIVHQRRKRERAEFEKGVGPRRYRYHELAAATKDFAKEGKLGQGGFGSVYRGCGLSDHDSPVAIKLLSPESFAQGRREFESEVKIISRVRHRNLVHLLGWSDSRKGLLLVYELLPEGSLDKHMYNTSRLLTWSERYV >SECCE2Rv1G0108740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:667413585:667414052:1 gene:SECCE2Rv1G0108740 transcript:SECCE2Rv1G0108740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPNYIAIGLSNYGLYTGTRVLSPSSKNIQRKSSYKFLKVRALQGNGGRRRLIDIIRIIPELSRNYFKSGSRRALFGGIALLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTKFYYSRPKVTFAVALLNNFKMGFTYGLFIDAFKLAS >SECCE4Rv1G0281360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825701415:825702196:-1 gene:SECCE4Rv1G0281360 transcript:SECCE4Rv1G0281360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVTLIGTLGNPFVHRVEAALRLKGVPYELVLEDHQSKSDLLLKHNPIHQKVPILIHGGGAVCESLLIIEYVDEAFNGPPLLPTDPYARAIARFWAQFLEGKCARPFWLAMWLDAGEEREEFAKEMKENLALLEGLLQGRRFFAGDSIGYLDMAACGLAHWIYALEEVAGVCLMGEIEFPALCRWAKEYTSNETMKECLTEREQLVAHLSAKTDIWKMMAKAMLHQ >SECCE3Rv1G0180240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:569013424:569013798:1 gene:SECCE3Rv1G0180240 transcript:SECCE3Rv1G0180240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMVLFRAKVV >SECCE4Rv1G0273590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:778195464:778195676:-1 gene:SECCE4Rv1G0273590 transcript:SECCE4Rv1G0273590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALKFAAVVLLAALCATALAPATSGQPTDDGLATFIDRCGCVPCPKGQFCPAVCTTPPACKARCESA >SECCE6Rv1G0432650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:753474883:753476235:-1 gene:SECCE6Rv1G0432650 transcript:SECCE6Rv1G0432650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKLSTILAVLLPTFWTAAVSVHSSTLLLARSHSLPSANAGAPLTEWAASLAAQSAADVARVAMLAPAMPAKAKPKGKGRTFVPIAPGRQILSIPNYVARARLGTPPQTLLVAIDPSNDAAWIPCSACVGCAPKSSPSFAPTDSPTYRPVRCGSPQCAQVPGAASCPGGPGASCAFNLSYGSSTLQAVLGQDVLALEGGSAASSYTFGCLRVVTGRSVPPQGLLGFGRGPLSFLSQTKDTYGSVFSYCLPSYKSSNFSGTLRLGPAGQPKRIKTTPLLYNPHRPTLYYVDMVGIRVGGRAVALPASALASFDMATGTGGTIVDAGTMFTRLSPPVYAAVRDGFRHKVRAPVSAPLGGFDTCYNVTGLRVPSVTFAFAGGNGAAVTLPEENVMIHSSSGGVACLAMAAGPWEGVNAGLNVLASMQQQNHRVLFDVANGRVGFSRELCTA >SECCE7Rv1G0506230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:747327657:747329478:1 gene:SECCE7Rv1G0506230 transcript:SECCE7Rv1G0506230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEQLLPYIYTCLPEPPVPHTARHAARRTAPYHGVDRISGLSDALLHDIVSRLSFKEAARTAVLATRWRRVWLSAPLVLVDTHLLDHWPPTRADATAVSAAVSRALAVHPGPFRCVHLLCTPMDACHAKLKRWLRLMAAKGVQELVLVNRPCPRDVHLPGTLFRIATLTRLYVGVWKFPDVACLGDASFPNLRELGIFSVVMEDGDVEAVVARSPVLEILNIQGSMKGLGLRLVSHSLRCVQICDSVMESVVMVDTPRLKRLILYKVRTSLNPASALRTRIDIGNAPNLKSFGYLEPGKCALEAGGTIIMPGMMPTASTMFTSVTTLSLNVRFGVHDDAKMVATFLKCFPNASSLHIRCERCVEPTGKFELKFWDEVGPIVSIMLRIKIMTIREFRGEEGEMAFLKYFLQNARVLRHAVFIFPNPDLSSVSRAKGRSIAGNLTSLGWGSTKDCGIMAYGSTDPEGGRPWCFKTGADFSRDPFCW >SECCE5Rv1G0350370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:690149059:690155485:-1 gene:SECCE5Rv1G0350370 transcript:SECCE5Rv1G0350370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAPFFGLSDAQMQPLMAAQQPPAPAPAAPAPKKKRNQPGNPNPDAEVIALSPRSLMATNRFVCEVCGKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPTCVHHDPARALGDLTGIKKHYCRKHGEKKWKCDKCAKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPIGAGMYGGPGNMALGNLSGMAPQMAGGFPDQSGHHSSSAIDVLNLGGGGGNAGQFEHLMPSSSGSSMFRSQAATSSPFYMGGGGAQDFAEDDVHRSHGNQGSLLQGKSPAAFHGLMQLPDQHQGSGSGNGNNNLLNLGFFSGNGNGSGQDSRLVFQNQYNGSAGNGNNNNGNGENGGMVASGSVLGGGGGGFPSLYSSSESGGGLPQMSATALLQKAAQMGATTSSHNAGAGGAGLLRGPGMRSGGGEGGSSAAAAAASERQSFHDLIMNSLGSGSGASATTGGRAGAFGSGGGFPVDDGKLSTRDFLGVGPGGVVQAGMGPPRRHSGSGAGLHMGSLDPAELK >SECCEUnv1G0546850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:163370413:163371078:1 gene:SECCEUnv1G0546850 transcript:SECCEUnv1G0546850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTMHHRRRRSILQLALLLLVASPAAMLAAGGACGSEEFPAGRSYATCADLPTLGASLHWTYDAAASSLSLAFAAKPPGASGAGWVAWGINPTGEGMKGAQTLLAFKSSGAYVVNTYNLTGYRPLSAASTPIMFEPTGLAADEGADGKVRLYGTLQLPKGMEAVNYIWQVGSTVANGVPANHAFAQKNLEAKGSLVLTGAGGTDAAPAPVLISHDYLD >SECCE4Rv1G0279720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:816368554:816371278:-1 gene:SECCE4Rv1G0279720 transcript:SECCE4Rv1G0279720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLWVLAAPMGFMPLPKMKILASSARQIWTWLGLVPASRKNFSYYLGGGYSCAVVPGGLREMLFMDHEPQSEVAFIRSRKGFVRIAIQTGCPLVPVFCFGQDRVYNWWRPGSNLLVKIAGVLKAPAIVFWGKFGTFIPFGLPMHLFVGRPIEVTKSNQPTMDEINEVHENFVMALQELFNKHKYKAGYPNLQPQVI >SECCE1Rv1G0007390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:40266164:40269800:-1 gene:SECCE1Rv1G0007390 transcript:SECCE1Rv1G0007390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQAEIDSYIELYWADRMEAFLEEEEAEEEADRRKSGSVGEMKKRKTAAGVGLKKAAAAAEEDPAEESDNEEGQVESISQAKSNLSRTKKIINARIAKLVGVKSGEGPHYKTKTMTEEDVAAAAVYRAKGEEAHRIQDRKGELDAVIKWIATGDPEAIKVSDQWMAENVEAMKLEPKDPTDWPAKQAKEYREFWDFLWAGSFGKWEDITLIQPMRYTDEKPPQDVYPIRTLQVFSVKVAAITEELGWPLDVFGIVAARDSLDHNRNIIFHRQRDNCQTIDSENRYLTLTGPTRAVVVVDPVYFEVDLRVKGRTESEDRALSYLVVDCRESGCSESYMFNCVETSKLSTVELTLGDMAESVEATISVRVVDGEWPEGFGGLISARTASISDMEIKLLAFDKLPVAADGTIQLSRCVLSVEADGMLRVSVMAMANCLEDQTVEEDSKAIKAREASRSTRMLEVCSCKLEVTIAWSLVPALV >SECCE1Rv1G0057090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692069614:692074561:1 gene:SECCE1Rv1G0057090 transcript:SECCE1Rv1G0057090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLNTVEEDEEESSAELLLAGSVGGGGDCSSQSHSQSHSHSQSQSHSQSQTQSGHGQGSSSVATPPPRPSAVCLELWHACAGPVAPMPRKGGVVVYLPQGHLDHLGDAPAPPPAAVPPHVFCRVVDVTLHADASTDEVYAQLSLLPENEEVVRRMREATEDGSGSEDGETVKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAVQLKTGSAFPALYSQCSNLGTLANVAHAVATKSMFQIFYNPRLSQSEFIVPYWKFTKSFSQPFSVGLRFKMKYESEDAAERRYTGIITGTGDADPMWRGSKWKCLLVRWDDDGEFRRPNRVSPWEIELTSSVSGSHLAAPTSKRMKPYLPHANPDFTVPHGGGRPDFAESAQLRKVLQGQELMGYRTHDGTAVATSQPCEARNLQYIDERGCSNNGSNNVLGGIPSHGVRTPLGIPYHCSGFGESQRFQKVLQGQEVFRPYRGSLVDARMRNGGFHQQDGPYASALLDKWRAQQQHAFGFGPSPPVLPSQPSLSPPSVLMFQQADPKVSRFEFGHGHLDKNMDDPYARFVSAEGIGRGEQMLSLRPHLGSEVIDSRVAVENKGVAPTTNSCKIFGISLAEKVRARDEMGCDDGGANYPSATQPLKQQVPKSLGNSCATVHEQRPVVGRAIDVQQWI >SECCE5Rv1G0305230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:68570835:68574283:-1 gene:SECCE5Rv1G0305230 transcript:SECCE5Rv1G0305230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFHPAPCARPAAARAASRFSGAVVAASGAGGARPPKAPPRRPRGKPRFSRQSAIKKSFQQEQVVFSTPVPADPTVAIIGGGASGLACASALAARGVRAVVFDTGMHGLGGRMATRMVDDDRRLVFDHAAQFFTASDQRFQKLVDEWVEKGLAREWRGSIGELEAGGHFTAIPSLTPRYIGVRGMRPLADAMLPENDLIKVVRPSWISKLEPFNGLWRLFESEKPQGQYDAVVIAHNGKCANRLLSTSGLPQLTRQMKRLELSSVWALLAAFDDPLPIPQDNSYGTFEGAFVRDIDSLSWMANNTQKLFPLETNRPECWTFFSTASYGKKNKVPQENIPNATAEKVKRDMLGGVELALGLSAGSLQRPFYTRVQLWGAALPMNTPGVPCIFDPQGRAGICGDWLTGSSIEAAVLSGMSLGDHVADYFASGGERPEEFAIGLDDSLNRVEGHDIGQFPGLDPQKPQVAEPQLAASI >SECCE3Rv1G0146310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11127088:11132170:-1 gene:SECCE3Rv1G0146310 transcript:SECCE3Rv1G0146310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGQESRWAAAQAIGVGDDLVPAALRHLEFLAAVDRRRWLYDGPLLRRAIRRYKACWLPLLAKHTEATVVANDEPLVVPLDCEWIWHCHRLNPTRYIKDCKRLYGRILDSNNVKSSIQANSKDRSEKVWTELYPGEPFELEYSGSASDDSVYVGDETAGGISYDLISAVKRQSTFVYQVGTPNMHDRRFLQDALARYKGFLYLIKMNQEKGMNLFRVPTYDVDLMWHTHQLNSVAYCNDMLGLLGRVLEHDDTDDDRAEGKKLDTGFSGTTEQFENSFGVRYWKAGAMYRGSLPSPVTSVPQIFSGEDDGVFGVVEAEKHLATLETSVVELYVQIVDIKNLPSTIPEKSVYVWFTKAKPDAFIGDGGRLDISSKTGKSIGAGFQCEPTGEIILTAMVDQACFGASPSSKKPEPLGQVSISLQELTRHDSKLSFERWFELKSCGAYAGSPPVSLRVAASCTVPRQAPQVLSMVNVKPCSLTACLLPHSIKDQNMSSWTRFVYDCGTELIRLQIREHKAKSGMALTRELVGVTNESKQPFQLAEFTENKWSFNNSNSSITLDLKPSKDGCINELKYDNKLIKLYRRRRLAYELKCCSQHAEDTAAVTAVKFSAEHPYGKAVALVDTESESISVDEDWFLLPWIAISFLFLNSIGKDGAKLIEGAMVQKAETVEPDTTAVSQTVKGGAAAGAIAGSAQCGACGTAGGGDMVMASDKAGHASYGGSVIASGKVADSKCGGCGSGCGGGCGVSVVTMSYKKGHASWGVLAGGENGHIESAGCGSGCGHAKSGGCGSGCGSGCGGGCGNMVMEGSKIGFAKSGGCGSGCGGSGCGAGCGGMVIEGSMTGFAKSAGCGSGCGGGCGGMVMEGSKMTHAKSGGCGSGCGAGCGAGCGGGGCAGMIMEGSKMSHAKSGGCGSGCGAGCGGSGCAGMIMEGSKTSHANSGGCGSGCGAGCGGMLNTTS >SECCEUnv1G0565180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:390903929:390905721:-1 gene:SECCEUnv1G0565180 transcript:SECCEUnv1G0565180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIEMTLPPGFRFYPSDEELVCHYLHGKVANQRFTGGATGTMIEVDLHVHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATRSGYWKATGKDRVIRSLRSSSSRSGRAAIVGMRKTLVFYLGRAPNGTKTCWVMHEFRIENPHSPPKEDWVLCRVFHKKKADTEYAMDGEPELGGCALSGSNYLSSSSCHDAEQYHHSPTAPVPSMGAGGHHYQLLPCDHQRPHGAAGVSLSDVDPFAGMPQLLSYDSILDFSQQLQGGRGAAAGLRDGAEDQCGGALIDRELQVQEEHYNYNSLM >SECCE3Rv1G0187220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:696480939:696492989:-1 gene:SECCE3Rv1G0187220 transcript:SECCE3Rv1G0187220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREIHKIASLRRNSWRSSDDVFSRSSSRFQDEEDDEEALRWAALERLPTYDRVRRGILLAETGEKIDVDVGRLGARESRALIDRLVRAADDDHERFLLKLKDRMDRVGIDYPTIEVRFEKLEVEAEVLVGNRALPTLVNSVRNTLEAIGHALHIFPRRKHSMTILHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDKELKVSGKVSYNGHEMNEFVPERTAAYISQHDLHTGEMTVRETLAFSARCQGVGSRYEMLTELARRENTENIKPDNDIDVYMKASAMGGQEYNVVTEYILKVLGLDICADTVVGNDMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSIRQTIHVLGGTAVISLLQPAPETYDLFDDIILLSDGYVVYQGAREHVLEFFESMGFRCPQRKGVADFLQEVTSRKDQEQYWYRSDMPYHFVPVKQFADSFHSFHMGQFVQNELLEPFDRTKSHPAALATSKFGVSRIELLKATMDREFLLMKRNSFYFIFKAAQLSLMAFLAMSTFFRTNMHRDPTYGTIYMGALYFAIDAIMFNGFSELGMTAMKLPVFFKQRDLLFFPAWAYTIPAWILQIPITFFEVGVYVFTTYYVIGFDPSVSRLFKQYMLLLALNQMSSSLFRFIAGLGRDMVVSSTFGPLALASFTILGGFILARPDVKKWWIWGYWVSPLSYAQNAISTNEFLGHSWNKILPGQNDTMGIMVLKSRGIFTEAKWYWIGFGAMIGYTLLFNLLYTLALSFLNPLGDTHPTMPENAIKEKHANVTGEILENPEKKKCSKLELSSSTNQNRATSNVDSSSRRKGMVLPFAQLSLSFNAVKYSVDMPQAMSAQGVTDEHLVLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLAFSAWLRLPSEVDLVTRKMFIEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSSKLIEYFEGIEGVSKIKDGYNPATWMLEVTSIVQEEMLNIDFCEIYRRSELYQRNKELIEELSTPHPDSSDLHFPSVYSRSFFTQCLACLWKQKLSYWRNPSYTAMRFVFTIIIALLFGTMFWGLGQKTKREQDLFNAVGSMYAAVLYLGIQNSGTVQPVVAVERTVFYRERAAGMYSAFPYAFGQVAIEFPYILVQTVIYGVLVYSMIGFEWSVAKFFWYLYFMYFTLLYFTFYGMMAVGLTPNESVAAIISGAVYNAWNLFSGYLIPRPKIPVWWRWYSWICPVAWTLYGLVASQFGDIQTKLVTKDQTVAQFIAEFYGFDRDLLWVVALVHVAFTVGFAFMFSFAIMRFNFQRR >SECCE1Rv1G0026440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:371073511:371075592:-1 gene:SECCE1Rv1G0026440 transcript:SECCE1Rv1G0026440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQRRELRLRQWLVVPWVVVVMVGAAVATAELAESELEEFRDERGGLVALRDGLRSAKDLHSNWTGPPCHGGRSRWYGVSCDGDGRVVGVRLDGVQLTGALPAGALRGVARLATLSLRDNAIHGALPGLAGLDRLRVIDLSSNRFSGPIPRRYAAALPALTRLELQDNLLNGTVPAFAQGELTVFNVSYNFLQGEVPGTRALRRFPASAFGHNLKLCGEAVNAACRSGSPSADDGTPAAGNRDDRVVRPADHDARGRAARKSRRFRLAAWSVVAIALIAAMVPFAAVLIFLHQTKKSREVRLGGRATPTGVPDIKDKAEQGKLSGSGSGSSSGSRNAQAQLQFFRADKAAGFDLDDLFRSTAEMLGKGRLGITYRVTLEAGPAVVVVKRLRNMGHLPRKDFAHTMQLLGKLRHENVVEVVACYHSKEEKLAVYEHVPGRSLFELLHENRGEGRMPLPWPARLSIAKGMARGLAYLHRSMPFFHRPPHGNLKSSNVIILSTPSGKHQPPRVVPKLTDYGFHPLLPHHAHRLAAAKCPEFARGKRPSSRADVFCFGLVLLEVLTGKLPVDEADGDMAEWARLALSHEWSTDILDVEIVGELERHGDMLRLTEVALMCAAVEPDRRPKMPDVVRMIDEIGGGVEEKGGWELVVG >SECCE7Rv1G0503590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:714682043:714683153:1 gene:SECCE7Rv1G0503590 transcript:SECCE7Rv1G0503590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKALVLGVLGCLCFCSSVLAARELNHDMSMVARHESWMVQYNRVYKDVVEKAHRFDVFKANVGFIESFNAEKRKFYLGVNQFTDLTNEEFKATKANKGYKPRLERVATGFMYENVSLDALPTTVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVRLKTSKLVSLSEQELVDCDIHGEDQGCEGGLMDDAFKFIIKNGGLTTESNYPYTATDDKCKSGTNGAATIKSYEDVPANNEGALMQAVASQPVSVAVDGGDMTFQFYKGGVMTGSCGTDLDHGIAAIGYGKTNDGTKYWLLKNSWGTTWGENGYLRMEKDIPDKRGMCGLAMEASYPTA >SECCE4Rv1G0234220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:271274586:271277401:-1 gene:SECCE4Rv1G0234220 transcript:SECCE4Rv1G0234220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKF1 [Source:Projected from Arabidopsis thaliana (AT1G68050) UniProtKB/TrEMBL;Acc:A0A178W8F6] MFDGVDRGAVVAVKRMRLRAMEEVEEGMEVDGEEEEEEGTGRWAWEAPGLGMAGQPGEQGAAAIVVADAVEPDFPVIYVNAAFEAATGYRAHEVLGRNCRFLQFRDPRAQRRHPLVDPMVVSEMRRCLSDGIEFQGELLNFRKNGAPLNNRLRLIPMHGDDGAFTHIIAIQLFSDANIDPSNISYPVYKPQSSHRLSIQDMNRASHQHTPKVQCSEYCAIFQLSDEVLAHNILSRLSPRDVASVGSVCTRMHLLTKNDHLRKMVCQNAWGRDVTRRLEMSTKMLGWGRLARELTTLEAASWRKFTVEGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMSDTFVLNLEAPKPEWCRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAQTPAWREIASDGPPLPRSWHSSCTLDGSKLVVSGGCAESGVLLSDTFLLDLTKEKPAWKEIPTSWSSRLGHTLSVYGKTKIFMFGGLAKSGSLRLRSSDAYIMDVGEENPQWRQLATTGFPSVGPPPRLDHVTVSLPCGRIIIFGGSITGLHSPAELFLLDPAEEKPTWRILNVPGQAPKFAWGHSTCVVGGTRILVLGAHTGEEWILNELHELCISSRPDEDK >SECCE3Rv1G0195100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:789392037:789393275:1 gene:SECCE3Rv1G0195100 transcript:SECCE3Rv1G0195100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSTVARGGVDVSARPAPARPERRHSLPSRAASALGSVGLCRGRHDGLHPYTTLPLEETVRGDAQYGAPSAEAKAASLSGDAATWPAEASAPMKLSPAGILEGTASATSSTAHWSTSATSSTAQFSASPSWFRGESSSSSPPPPVQFVAPDFDPAILSLFREALVGQSPSHPAALFPENEATTPRETAALDEVVPPAARDTPEVTGLVRARVDEFHKKLEEKKEMKEAEAQSATRDATDEVAAPPPRKAAVVYFTSIRGVRKTFADGAAVRAILFSYRVRVDERDVSMHAAFKDELRNLVGLAADAGHVLPRVFVLGDGEQQLDLGGADEVSALHESGELAHALAGCEAVAAHLPPCVGCGDLRFLPCGTCSGSCKLFAGDEGGCVADMFEECTHCNENGLIRCPVCCL >SECCE5Rv1G0347870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:670897534:670898604:-1 gene:SECCE5Rv1G0347870 transcript:SECCE5Rv1G0347870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVTTHASPFLLALLLLVSIPVVFLLGPRLLPPKTLPAIPDADESDDLALFRRAILSSSTAKPATTSYFFHRRPRPKVAFLFLTNSDIVFSPLWEKYFHGHRKLFNLYVHADPYSVLELPPTPTFRGRFVAAKATQRASPTLISAARRLLATALLDDPSNQFFALLSQSCIPLHPFPTLYNALLSDNAGPHGHHRSFIEITDNTSILHDRYYARGNDVMLPEVPYDQFRAGSQFFVLTRRHAIMVVRDMRLWKKFKLPCLIERNYSCYPEEHYFPTLLDMQDPAGCTRYSLTRVNWTDQVEGHPHTYHPGEVSANLIRELRKSNAKYSYMFARKFAPECLEPLMEIVDSVILRD >SECCEUnv1G0538010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:71051315:71052654:1 gene:SECCEUnv1G0538010 transcript:SECCEUnv1G0538010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPPAFLDSSSCWNTNHNQLQLQQIGSNTHITTTPSPAGHGDGGGSNNNSNNHAQEGLMVTAGAGGGGGDGGGGGGGDSESGGGGNNKPMSMSERARLARVPQPEPGLNCPRCDSTNTKFCYFNNYSLTQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRSAKPKAGSAGSGTAAAGTSSATSTTPSTTTCTTGTATAPPALQYSMFGSAPPHGSRFADSFDPASLGLSFPARLLFPDNGAYAADGGAQHHHHQHQGNGNGMEQWAAAQMQSFPFLHAMDHQMSGNQPPSSAMPTTMAAMQGMFHLGLQSGGGGGGNGDDGGNHQFHHPPAKRDYHHQQQQDYPSSRGMYGDVVNGNGGGLNFYSSTSNAAGN >SECCE7Rv1G0470310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:119045041:119045376:-1 gene:SECCE7Rv1G0470310 transcript:SECCE7Rv1G0470310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRGSAYAAYIVYKLDDESYGLDWPADASVSIGGTDLARKVCLQPDPQRSHAEDVVLPRERGDGWMELELGEFICEGGEDGDVSFGLAETKRLNGKCGLIMQGIEIRHKN >SECCE1Rv1G0063260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:723941140:723943789:1 gene:SECCE1Rv1G0063260 transcript:SECCE1Rv1G0063260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSIRVSDIQPPVAAPLFLQVNLGKKEYSGYIGQDGFSFPVTSLRDSMVLSLYDADKELVSKTELKTRSVVELGSMDVVFSLDSGGQIILQLQFLLSDEDRKRIQEMRNSAMKRKQQELLGNGDELSFQDSTPATEQAEEISDIPSKEDRLTLRKSMSTDDLKGKDVVSKISIDTNMVAPIKTPPLQTSTSEGPIDTKGLPPKSDIGSKRGQGEQESRPSSAVKKMISAFESSSPQGPPSVPRIKSVGSLEGMLLASTNPSDKSSGKVTVTSGDIGSESRSGRHTRTIIVNKKPSASRHADLLNAQESRRRSSSRRDRAAKTSSIGESADKQNHHRRRSIAPMHSGTTSDMAWAGHPHICITTASRQLKDLAGLEHLNSMKNAEQSAREQGTSNDDALGSKRRRSDVFPALNGWLVNQGVIVIIACGAVFLNNR >SECCE5Rv1G0318870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:368788344:368788699:-1 gene:SECCE5Rv1G0318870 transcript:SECCE5Rv1G0318870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRLLPQRLPQFVRQVERDVETVINVLQPGPIGIVEHKFTDAEIREAQVTVRSAVQNWQRNWNLERNIGTGSFDKSK >SECCE6Rv1G0425380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:707081496:707082076:1 gene:SECCE6Rv1G0425380 transcript:SECCE6Rv1G0425380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting complex-like protein OHP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G02120) UniProtKB/Swiss-Prot;Acc:O81208] MAVASMVHAPSLLAVQALRGQKNAASARCSPRAAAFRVRAAKLPAGVQVPKAQPKLSEPFLGFTKTAEVWNSRACMMGLIGTFIVELILNKGVLEIIGFEVGKGLDIPL >SECCE1Rv1G0007190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39157827:39172188:1 gene:SECCE1Rv1G0007190 transcript:SECCE1Rv1G0007190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSDIETTNPGSLWELDQDLDVPMDEEASRLKNMYIEKKFSSVLLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDNDEDVIGALSLIIYTLTLIPLMKYVFVVLRANDNGQGGTFALYSLLCRHAKVSTIPNQHKTDEELTTYSRQTYEENSLAAKVKRWLEGHAYKKNCLLILVLIGTCTAIGDGILTPAISVLSATGGIRVQNPKMSTDVVVIVAVTILIGLFSMQHYGTDKVGWLFAPLVFLWFILIGSVGAFNIHKYNSSVLKAYNPVYIYRFLRRAKSEIWTSLGGVMLSITGTEALFADLCHFPVLAIQIAFTLVVFPCLLLAYTGQAAYIIVHKDHVVDAFYRSIPDAIYWPAFIIATLAAIVASQATISATYSIIKQALVLGCFPRVSVVHTSKKFLGQIYIPDINWVLMLLCIAVTAGFKNQIQIGNAYGHISYMLLVWKSHWILVVTFLVLSLMVEFPYFIACINKVDEGGWVPLAVAITFFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPVEERFVMKRIGPKNFHMFRCVTRYGYKDIHKKHDDFEKMLLDRLLIFVRLESMMDCYSDSEDFTMSEQKVESSTNALLMSEKAGSNTLCSGSDLSYSSSHDSIVLAKSPLAGNNSLTRYSSQTFGDELEFLNSCKDAGVVHILGNTIVRARRDSGIVKRIVVDHLYAFLRKVCREHSVIFNVPHESLLNVGQIYYI >SECCE3Rv1G0205780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:902307471:902310495:1 gene:SECCE3Rv1G0205780 transcript:SECCE3Rv1G0205780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPARATGGGGASAPADVDVVTSSGRRKIAAHSSVLASASPVLETILERRLQRLRESGKGGRAVVRIRGVTDDVAAAFVRLLYSGRRGEGEVDEDVEKYAEQLLVLAHAYRVPWLKLWCQEAIGSRLTPGTVVDALQLADLCDAPQLHLRCMRLLAKEFRAVERTEAWRFLRDNDPWQELDVLRQLHDADMRRRKWRRKRAEQKVYMELSDAMDSLRHICTEGCTEVGPVGQAPAKSPCPSYATCRGLQLLIRHFSQCKSRASCPRCQRMWQLLRLHAALCRLPDGHCNTPLCTQFKIKEQQKVAVSASLAAKAGDGSDRRWGLLVKKVKAVSVMSSLGKRSPPPCQC >SECCE2Rv1G0072060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:49329796:49331712:1 gene:SECCE2Rv1G0072060 transcript:SECCE2Rv1G0072060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSMQAEQYQYLTEPEQMYHQQQQQFHDHRQHMSSTPSLSPEKKFFMKGQGGAGGGGGDSGLILSTDAKPRLKWTPELHERFADAVKKLGGPDKATPKAIMRVMGIPGLTLYHLKSHLQKFRLSKNLQAQANAVQAKNVYGFGTATDKAYEGHGSSADHLNRETNTSRSMHINDALQMQIEVQRRLHEQIEVQRHLQLRIEAQGKYLHSVLEKAQEALGKQHVVADLEAAEPTQRLPELASSVRRGLLQNDGSADGSCLTASEDILSMGLSAGATRRGCGAPFETSASASREDDEECYLFLGKPEGRREVRRDGCNGGAAFGTAAELDLSIGVIAASGRRRPDGGETLDLNGSGWN >SECCE1Rv1G0000310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1131737:1133110:-1 gene:SECCE1Rv1G0000310 transcript:SECCE1Rv1G0000310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPQLCVLESAVVAPPPAMETSLQLTFFDVYWLNSPPVERVFFYRLTPNTGGKDGLPSAILSSLRTSLAQALRAYFPLAGRLRLTPGTADRYELHYRPGDGVSFTVAEYAVDVDELAADGPREVARILPLVPPLSTGVGPVLALQATVLRGGLAIGMSVHHAACDGASSTRFLHTWAAASTSAAAPTPPVINRSLVTNDPSSRHIYDIYVGDLTSTEEMEYVKMSSDKLLATFTLSREDIQRVKDVLSAAAGEAGAPPPRCSSLVATFGFIWTCYQRAKEDDDEASSGDPTYILFPIDHRPRMKPDPVPDEYLGNCIGAAQHAAPKDQLAAAGAGGILVACTAVAAAIEEAMGHTASPEKMASWPKKILEAVATGGGVLSVAGSPRFRVYEIDFGFGRPAKVEIVSVARTGAMALAESRRSSSAGGVMEVGISLPPAAMQRFQKCFDDAIAWLHHQ >SECCE2Rv1G0128440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:860955106:860955447:1 gene:SECCE2Rv1G0128440 transcript:SECCE2Rv1G0128440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGARQARKEHSATEEEVDSKAAVARLITLKVVSQERVIRHTMKMTDKLQVLTDVWYHKVPEVTPGTGLFMINGSRFRLESTPEELELEDDDMVDFFEHVDGGAPLVVAWMCA >SECCEUnv1G0532650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:22437062:22437886:-1 gene:SECCEUnv1G0532650 transcript:SECCEUnv1G0532650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGEVAHFSHPQHRLRLEHLDTPFRCDGCKEVGIGARYRCPVQGCAAADHDLHRQCALPLSPPPPPLRHPFYPKCAFHFMARAPGAPGTRYCNACGRDVAGFVYHCRACGFDLHPCCATLPHALDASDRGGRVGVRLYLHPKAEAACHRCGHRGRSWTYRSHCKSYSLHVACVMDMVVESWSGIGRSKGVSAGGRGVYDGALVAGSGGYRVPVIRGAAKSSHASRGGSYWGMRKGKVKRCCEIAGFAAQVVISAVLGDPTALIAGVVGSLIAR >SECCE5Rv1G0315350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:290449931:290454952:1 gene:SECCE5Rv1G0315350 transcript:SECCE5Rv1G0315350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDHYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTETS >SECCE2Rv1G0096500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:440056660:440062359:1 gene:SECCE2Rv1G0096500 transcript:SECCE2Rv1G0096500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLRRALSVSSLPARPQRVLLSALLSPPPAGSPQPCRPPAPQPLEPPRQRAFHASPGTLGFRSTTPAQWPGTVSEAGAAAGDDGLEVARLGISVRIVERLAARGITRLFPIQRAVLEPAMQGKDMIGRARTGTGKTLAFGIPIMDRILRHNEKNGSGRNPLAIILAPTRELARQVEKEFRESAPLDTLCVYGGVPISQQMRTLNYGVDVVVGTPGRVIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEAVEVIMEKLPQNRQSMLFSATMPSWIRKLTNQYLKDPVIIDLVGDSEQKLPEGISLYSIVSENYGKSSILGPLIKEHADGGKCIVFTQTKREADRLAYAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVVHYEIPNSSELFVHRSGRTARAGKKGIAILMYTHEQSRTVKVIEQDIGCRFTELPKVAATDEAAEMFNVMRDTRSRSAGIRRSGGSSFSYDVGFGERHSRGFGDFDSFDGTPNRAGWSGDAGSRYRGGFGDSRRPSQPSNGFGRSSGFGDSRRGPQASDGFGRSSFNRSSGFGDFGEGNSRSGRFPRRSDDSDNSRYGRSSNGFGTSDDFGTPGRSKD >SECCE4Rv1G0292730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883716218:883718985:-1 gene:SECCE4Rv1G0292730 transcript:SECCE4Rv1G0292730.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTISAVASELVSQFISFLMNKYHSLSHAQSEEKVVQRLQHLLMRAGTIIEEADTRYITNFGMMMQLKTLSEAMYRGYRVLDNLRYRALQDSAGFDKVSINDSSSSSLHLAIPIKRSRTTTEKDDKSMRLESHGALESLEIAIANMAEFIVLLGGCKRMSRRPYDVYLYTDNFMFGRHTEKQKLLGFLLERSMPHGDHALAVLPIIGGVGVGKKTLVAHVCGDDRVRSCFSSILHLNGPNLLTILDHGRTMFGKMLLVIEFASDVGDDEWKKFHSFLIKMSSGSKIIIIGKLKRLARFGSVQPILLSVLSYDELRYLFKTLAFGSVDPAEHPQLVQLADEFAKVLLNVSNTLLGTNIVADVLRMNISVQYWRCILDKALRHIKRNFSIFDVHSSMLINQGHSVDITDYALRPLSMIPITTNVSIKKELPSLRLGELMRDPSVTPKQDFIIISWESRIPPQNSFRLFVTSRAQDTEGTALIWCPRHPRPGVGCLEGITAHISALP >SECCEUnv1G0555110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:296438170:296439630:-1 gene:SECCEUnv1G0555110 transcript:SECCEUnv1G0555110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEAVVTEIVKKITRTSDLNSLSLVSKGLYTIEGNQRGAIRVGSGLCTATEALTSLCARFTNLQKLEIDYSGWIPGHGDQLDNKGLFVFSSQCSSLIDLTLSFCSHIDDSGLGCLARCKKLVSLRLNSTPQITSIGLFSVAVGCTSLSALHLIDCEKIDSVEWLEYLGRDGLLEELVVKNCKGINHQDFLKFGSGWMKLQKFEFERKRGMLDFFLGCDYYDPSYNAHSMDIYDFCCESLKDLRLAHITTWPEIGLRVVLGKCKALENLWLEYVRALNDNDMIALSRSCSNLKSITLWLNLQRHRSEDGYCETRTSFTDNSLYALALNCPMLQIVDLRFTGCSRDWPSEIGFTQEGFLALIQSCPIRVLVLNTANFFNDKGMKALSSSPHLETLELILCHAVTDAGMRFIAHTPCLSHLTLRACHKVTDVGVAELGHAHKLESLVIEHCGRVSLQAAQGVTKSVHYSSKCSDALMKKIGLIDDY >SECCE7Rv1G0469950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:114878816:114885390:1 gene:SECCE7Rv1G0469950 transcript:SECCE7Rv1G0469950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTATALVLALTLAHHASLAAAAAGPRVIIVGAGMSAHVTLHVVDVVARISAGKRLSEAGITDLVILEATDHVGGRMHKQNFGGVNVEIGANWVEGVNGGKMNPIWPLVNSTLKLRNFRSDFDGLAENVYKEKGGVYDRAHVQKILDRSDEVEEGGEKLSAKLRPSGQDDMSILAMQRLNNQYSTELSYSWPWTCLSVKHDYEFAEPPRVTSLQNLVPLATIRDFGDDVYFVADQRGYEAVVYHLAGQYLKADKSGNIVDPCLQLNKVVREISHSGSGVTVKTDDDKVYKADYVMVSTSVGVLQSDLIQFKPRLPAWKILSIYEFDMAVYTKIFLKFPRKFWPEGKGREFFLYASSRRGHYGVWQEFEAQYPDANVLLVTVTDEESRRIEQQSDNQTKAEIVGVLRSMFPGEDVPDATDILVPRWWSDRFYRGTFSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSEHYNGYVHGAYLSGIDSADILIKCAHKRMCKYHIPGKFD >SECCE2Rv1G0064740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4981094:4983482:-1 gene:SECCE2Rv1G0064740 transcript:SECCE2Rv1G0064740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGTWRKVMPYMAMVFLQFGYAGLFLLSVASLRQGMSHYVLVVYRNAIAAVAMAPFALWFERKTRRKMTLSLFYKIVALALLEPVLDQNFFYIGARNTSASFSSALTNILPAVTFVNAILIRMERINIKERRSQAKIAGTVITVGGALLMVLFNGPVLNFPWTKHAGSHVVTDTASHSSGCWLLGIFMILLSCFCWSAFFILQSHTLRSYPSELSLTTMICVMGVMQSGVVALVMEHDTKAWAIGFDMRLLTAAYSGVMCSGVAYYLQGIVIQERGPVFVTAFCPLCMIIVTVLGSFILSEVITLGRITGAMIIVVGLYALIWGKSNDHVKQVERDDNFEKQNSFELPFTTTTIAKASNLDHI >SECCE2Rv1G0105310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:618650931:618654540:-1 gene:SECCE2Rv1G0105310 transcript:SECCE2Rv1G0105310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLLLNGAGAPDYGGALTVPVVVTCLMAASGGLIFGYDIGISGGVSEMESFLKKFFPGLLKTTRHASKDVYCMYNDQALTAFTSSLYAFGMVGTLVASRVTRRVGRKAIMVIGGSMFLVGSLVNAAAANLAMLIVGRMLLGLGLGFSGQATPVYLAEMSPPRWRGGFISAFPLFISVGYLVANLINYGTSRIPEWGWRLSLGLAAVPAAVMVLGAVLITDTPSSLVLRGKHEHARAALQRVRGKGVDVDAEFGDILAAVEHDRRNQEGAFRRILRREYRPYLVMAVAFPVFLNLTGVTVSAFFSPILFRTIGFGSDAALMGAIILGLMNIGGIIASGVAMDRYGRKLLFVIGGALMFTCQVAMASIAGTHLGHGSKMPKGYAVAVLVLTCVFSASFSWSWGALYWTIPGEIYPVEVRSAGQGTAVALNLGLNFVQAQCFLAMLCSLKYGVFLFYASWLVVMTAFAMAFVPETKGVPLDSMGHVFARHWYWGRFVKDHKFGNEST >SECCE1Rv1G0006050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:29736405:29737659:-1 gene:SECCE1Rv1G0006050 transcript:SECCE1Rv1G0006050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGSSSFHPSIHRPRAHPSISSSLLDQTVRDSCAMASATFLILVAAASLLASFAQADLQYGYYNTTCPGVEELVRTELEAIFADDSTLRAGLLRLHFHDCFVRGCDASLMLNSHNGTAEKHADPNLTVRGYEAIEAIKKVVEKACPLVVSCADIMAMAARDAVNFSAGPHYEVETGRRDGNVSMLEEALTYLPPADGNVTVLAQYFAVQNLTMKDMVVLSAAHTIGVTHCSSFSKRLYNFTGAGDQDPSLEPAYGKTLTIKCPTEKMASVVPMDDVTVDKFDLGYYESVYNHRAVLRSDAALLEDSLTGAYVALMNNASSLDIFFADFAVAMINMGRAGVLTGTQGEIRETCGVYVD >SECCE1Rv1G0063240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:723921967:723932579:1 gene:SECCE1Rv1G0063240 transcript:SECCE1Rv1G0063240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMIEYGVSVSTKSSPRSVAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFKVDRVESISVQSTSLTAEQNVISEAKGSFTFAASPRGDSVESSGKPGSSLCRETNTADNLMLFGGDNNGVVKEKVVKRGSRRTNVAQLRQSTEGHNNVKIADDSVLSRLGVKSQAYVRRNRSKQCRESANVTSVTSPVIPATVSEPKDARGVIKEKRADDLGVSYGSNLNQAGPKCDNGPNKASDKHVTIELNGIQAVRKGHRIVKIEPVEYEDMVPNYVNRNQQPDGRGKIAEEGASIEPPDATSKAVLRSSYPSACTHDKTETCAAAEKVGNDHLDEDMAQVHVGELDNSSMIPVSAVQAATLHKNVTDPCCEDIASTIDNGADDNNPVPMNIDGKSHEDPDISGISTKAVKEGGQPEGSSRPTSVIGNSSPVPPEVSTRARVKVEMETFDDAMVVQKDTSCSHPGHIVNNNGSPGLERIDSCHGDSNSAHPIVIGSALNTLVDSTPSLKTAESEIRKNGESVNKMTDKEHEDSILREARLIEASLKRACERSPCNISLERRRKGHWDFVLEEMAWMANDFMQERLWRITAASQVCHWIASDGLAKFDQASILRKQKTVSRILAKGVMSFWRSAEAVLTTVGTAKVMQKNDSNMLGETKPTGTKAEKQQGNGSLEAEQSTHPLRSHIQDYAVRFLEYSSQASDSAVLTEAPPTPDRPNDFGILKVSDQLSQGSLFYTVPPGAMLAYRESMESLFMYHKKIGSAGLRYDYEASACDSAAGWAQANVYEEDEGEASTYLSQKGHKKKQSMQQRINGSRPYETDVSYDPRLESKAGNRQFVSNGKRPSSFVGIPTKRIRTAARQRVVSPFPASAGGTPQVTSKTDVSSGDTNSYQDDQSPLHGGSSAWKNMEYESTGDFDRHDGSEAWTKANKKKKQKNPGYKTAQNTANSRAAAVKGRIYDQRSQVNQYEQKDYLKKRPETQQFYSNGTIVANGGQHASKKLKTMKQGIYIKQEPSQVPSQMSNMANPGNKIIKIITNKDRGRKVKALKMSSGGGWSNFEDQALVVLVHDLGQNWELVSDAINNIVQFKSVHRQPKECKERHRVLVDKSSGDGADSAEDSGSSQQYHFTIPGIPQGSARQLFQRLQGPFEEENLKSHFEKIMLLMPKVLSRRRQVNSRELKPIVLAHSSHVVALTQACPNNLSGGILMPLDLCDVNLSHDAVTPGSGNQISHANGMTLSNQHGSAGPTTTPPTPNPNSRLPGSPGMVLGSNFSPPSTLSAPSRDSQKYGVPRPASIQGDEQQKIQYNQMLSGRNLQQPGAVPATSPGARMMPGAHGVGMMTGVNRGMPAVRAGFPRAGSPGMLNMASTGNMPLKSVQGVPNAMSPAGNSMMRPRDPMQMLRPGQQHMVRPEHHMQVSQGNSQAAHFSSMNPSFSKAAASSPLQQTQRPHQMSQPLNMLGNPHLSQTQGTSHPSPQQQPYSTMPMQLAKERQYQHRLVSQQHSSLPVAGVVPGVQNGPQVQQQNHASPVTSTPSSQPLHQKQQSVQSPPDSSALANRPANATEPKQKKQQGQQQPRQNQQQRNQASQQAKLMKSLGRGNSMVTPQTPAADATPPSAVPTASKKQVPDRNLMQHGQGPSAGNKASTAVTPQPGNQHKLYPSVQQPPNIGNQGLMQGPPSHTVFAAQAAPLHSKYPVTTQQRPMNPAQNNIQRMMMQQSLQMKPDSRMDAQMDQVQHSQAIPATPTSHSSEAGSPGLSAHDPAAVASTSKPLSSPKDNYAGNGTSVPPSSSQGMLQRQISGGLSSSQGMLQRQISGGSSSSQGMLQRQISGGLLPVHGQEVGGSWHQQQLSRPHLPPPHNQQLQQRPVGPGSMYAPPNPGSGAAGSMYAPPNPGSG >SECCEUnv1G0551160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:230471254:230471505:1 gene:SECCEUnv1G0551160 transcript:SECCEUnv1G0551160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >SECCE7Rv1G0514140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:830306638:830309296:1 gene:SECCE7Rv1G0514140 transcript:SECCE7Rv1G0514140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQKPAKRLGGMAEALAIAGDLGFPAPPAQEDQSTSDKSDDLVRVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQTLRVGPSSLSMTPGGSSDDSKFLTPPQWREGSILNSWNQVDGVNPESDGLDSINQRRLSWPSSINKDL >SECCE2Rv1G0116880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:768181755:768182438:1 gene:SECCE2Rv1G0116880 transcript:SECCE2Rv1G0116880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENATAAPETEEASPMETPPEATRPASRRPNPVVERKLVELDDCLAEALASRPRRYGGRLPEIKARTDFLRTLIAAERECHGAGTGRPAHLAEVEERFGALERRFEQWAQSGVAAPAEEEEPGQASSESGSEPSAGCSCNDSCSGFEFTGPEVAPDPESGDAAEAHKEEAAAIEVPPTTGTTPRRRWWRRRAALCGAAGVVAVIALAAGLALEFAAVAGPSVNLVPT >SECCE1Rv1G0044960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:606462671:606467257:-1 gene:SECCE1Rv1G0044960 transcript:SECCE1Rv1G0044960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] MYSWLKWGNWTGLTTTTAVPLPAATMEPREIAAAARSFSAMARIVGPDPKAVKMRRHAFHLHQSGSTTLSASAVLLPPGALAEPLPRLLARICASRGHAGGVALTAASLVEAFLVAEQRDSPREELQPRLIPEARLDVLVEPEESGNITHGDSGAPQWLSAELLAMVDVPASADSVLSLLTYDGSLNGSSSWDVGWPLADVNQKQVENDAGSSLEYNRRNLYAESVDPSTIAKSATRIAVLGVSALTSSNAGHINVPPIPQRGDSLLVVGSPFGILSPFHFFNSISVGAVANCLPPGAVRSSLLMADIHCLPGMEGAPVFDKNACLVGMLMKPLRQRGSNIQVQLVITWDGICTAWSRNKLEQIEQASNELLDDKSVDSKTVEPCGMDNHRRFVSNLANDLNQYSIQPSLREAISSVVLVTVGDTTWASGILLNKNGLVLTNAHLLEPWRFGRTSTLGLQHKTTSLAGEYLHGENKLLQSQHCKMSNEDAVKHEVSFFNLGSKREKRISVRLDDGEKQTWCSASVVFISKGPLDVALLQMDKIAIEFCAIRPEFVCPTAGSSAYVVGHGLLGPRSGLCSSLSSGVVSKVVQIPSAQHSHLPSNVQTDNMDLPVMLQTTAAVHPGSSGGVIVNSHGRMVGLITSNAKHGGGSTIPRLNFSIPCKSLEMVFKYAANGDYTILEQLDKPNELLSSVWALAQAPSSLPFLSSPPGKSGEGKVSEFSKFLANQKEGLTSISDLEAFLRHKTPSKI >SECCE6Rv1G0425460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:707538649:707538909:-1 gene:SECCE6Rv1G0425460 transcript:SECCE6Rv1G0425460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGWASWFGAGVTSAFFASLERCSCINLSTDDDDDDQEEANDRPLMLAAPPSDQPQPQHDATPVPAVADARKEEEQEQGPPLPPV >SECCE3Rv1G0164440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:163194301:163196562:-1 gene:SECCE3Rv1G0164440 transcript:SECCE3Rv1G0164440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKWQRAVLLLLLLVVVSAAARSAAAAGPWKGQGTWYSVMDFHAAGDGRTDDTKAFEQTWDSACRDGGGPTVYVPAGRTFLLGLGKIRLQGPCKSPITMQVDGNIVAPNSLWSLQSLSTILTFYRVDNLTVDGSGQIDGRGAPWWDCYNQKKCHYRPQLVAFSFCNGLRVTNIRLKDSADKHMSLFKCSQVQVHNVSVVAPGDSPNTDGITMGSSNHVRISSCNIHSGDDCVSILTGTTDVNVTDVTCGPGHGISVGSLGGAGEKPAMVERITVSNCNFFNTMTGVRIKSWQGGRGKANGFIFRDLNMTEVQYPIDIDQFYCPQGNCPEREGGVAIMDARFINIRGTSSRQEAIKILCSKSVPCHGIFLDNIDLSWSKHNAPTKAKILNAQGSVAGTVKPQVRFRGL >SECCE2Rv1G0143330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:945851428:945853236:1 gene:SECCE2Rv1G0143330 transcript:SECCE2Rv1G0143330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MAIRVLFPSSPATSFSTAQPSSRQSQRRFSSSSTSSSSAGQGDEVSSSSSSMMTVSITGATGFVGRRLVDKLLSEDHKVCVLTRSTSKNKAASVFPASRYPGVTIADTEGDWERCIRDSTGVVNLAGMPISTRWSPEVKREILQSRVNVTSKVVDHINTSTSATSNGNGNGPSVFVSATAVGYYGTSETDSFDESSPSGNDYLAHVCREWEGRARQVKQEHVRLVLIRIGVVLGKDGGALAKMIPLFMMFAGGPLGTGTQWFSWIHLEDLVDLIYESLRNPAYTGVINGTAPNPVRLSELCDRLGQVVGRPSWLPVPGLAIKALLGEGATVVLDGQKVLPARATQLGFSYRYPYVRDALKAIAKQL >SECCE4Rv1G0225190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:89906073:89915873:1 gene:SECCE4Rv1G0225190 transcript:SECCE4Rv1G0225190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTHRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKESRKRLFSMINNLPTIYEVVTGTAKKQVKEKHPKSSSKINKSGTKPSRQPEPNSRGPKMPPPPKDEDDSGGEEEEGEEHEKALCGACNDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA >SECCEUnv1G0547270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:169110012:169111004:-1 gene:SECCEUnv1G0547270 transcript:SECCEUnv1G0547270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRHRVFAEDLLLAQLQGEDHFDSVPDSLVLLIFNKLADARSLGRCSAVSRRFNALVPLVDDACLHIDRVITAGDTDDALAVSGGPRQRGVISSLLKTMLLAVLKPFGHCDAGARPGGHAGAKHAPHHSPAQVLKNFSSIRNLRMELPVSDVGTDDGVLLKWKAVFGSTLQSCVILGGTRLDHASHAPSASGGPDDHDASASQGDDDNGSIPESFYTNGGLKLRVVWTISSLIAAATRHYLLREIVKEHPTLERVELTDAHGQGTLCMERPQLKEFTDKPLAAAAAANRTQVPACNMKLRYAPMLELSDGTRIQGATLVVIXXXXMMWS >SECCE6Rv1G0406780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:550499450:550500391:1 gene:SECCE6Rv1G0406780 transcript:SECCE6Rv1G0406780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCEKVGLKKGPWTAEEDQKLVAFLLSHGHCCWRLVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDDEEKLVIDLHSQLGNRWSKIAARLPGRTDNEIKNHWNTHIRKKLKKMGLDPVTHQPVADPLEDPERAAHCDGKASSQESAGSGTGAEDEAPTSAEPKQEAACSASTASAVSPSPCSSSSASASVATPGGADVDWPEPLDLFQVDAIIDMDWASILSGSGGGGDIGVDLFDQCSDVGFDQEVWM >SECCE3Rv1G0176360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:444113020:444130139:1 gene:SECCE3Rv1G0176360 transcript:SECCE3Rv1G0176360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSFAAVFISSAIASCFAEVCTIPLDTAKVRLQLQKKTAGPAATGGMLGTMMSIAREEGITALWKGIIPGFHRQCLYGGLRVGLYEPVKALFVFVGDATLLNKILAALTTGVIAIAVANPTDLVKVRLQADGKSTAVKRHYSGALNAYATIVRQEGIGALWTGLGPNMARNALINAAELASYDQFKQMFLGLPGFTDNVYTHLLAGLGAGIFAVCIGSPVDVGLAAFYKGFIANFCRVGSWNVIMFLTLEQVRRFFP >SECCE6Rv1G0408450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:573052194:573057983:1 gene:SECCE6Rv1G0408450 transcript:SECCE6Rv1G0408450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANLFSVVVAVDGSEESMKALRWALDSLRLRPDGALVVLHVQPSPGIAAGLNPGPIPFGGPSVAEVPAFTQAIEAHQRRITDAILEHALKICSDKNVEVKTQVVVGDPKEKICEVTAELKADLLVMGCRAFGPVKRMFLGSVSNYCINSVGCPVVVIKGT >SECCE2Rv1G0095680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:421759483:421763214:-1 gene:SECCE2Rv1G0095680 transcript:SECCE2Rv1G0095680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDAARYAQSPAHHAVAMQDHAALLRVLDALPQARKPEEIRTEADSIAEEARAEAVSAILDRRDVPGRETPLHLAVRFGDAAAAEMLMAAGADWSLQNEHGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVIAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSEDGKVPPGSLCMINHKDKEVMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQVTWRRQERTEAVGLWKAKVYDMHHVMVSVKSRRVPGAMTDEEFFSACNENDAESEGFDDVLTDEEKRQLESALKMDSPDAIGENQSDTFVGPRHSCFEPREREIPIEDLSISGNGESKHDKKGWFSNWGKRGQTGISKLEMTKKMAPPRSSLCVDEKVSDHRVGSPSNVQTKPGRHSVDIVRRDENRNGKEKDCRKLATSENGHRRKESSKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFSTPPSSPDDCKSPGAQTSSSSWVQWIKAPYRQNFSAAQGPSNRVEDIQDPFLIPADYAWTTPGEKKKKTQENKNKSKKGRTTS >SECCE5Rv1G0361450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:779577979:779579976:-1 gene:SECCE5Rv1G0361450 transcript:SECCE5Rv1G0361450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAAKVVVPESVLLKRKREELWAAEKKTKAVEEKKKSSENIKVIYARAKQYAEEYDAQDKELVQLKREARMKGGFYVSPEAKLLFVVRIRGINAMHPKTKKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLANNKVIEEGLGKHNIICIEDLVHEILTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINQLVRRMN >SECCE5Rv1G0335600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:575907211:575909439:1 gene:SECCE5Rv1G0335600 transcript:SECCE5Rv1G0335600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHGSINMTAAMEALSPLLNNPRKIIIQVETFTSGIVLLMLLQLLLGSFRRQSTNFLVQAGTWVTYTLSLPAIAYTLGLMQSSPVKNVMYPIWATALLMAAGCTNAVKVYELNDNRQWKRSLFNFLQYTFYVSLISGLLFSSSTTMDFSGQEEYFHRLSNNFAALKSPAIVIAFLDAIVMLTGLVGREVACVFVELGYFRARRIAKFMKNLPANDGNGFDPATMKGYKYPVQFNGLDGRVTRVTIDQIWHCEGRLLSSGTVHCKELKDLCLAKAMYELLKQRYYGLSFPEEHLDETRDFVFKGLLQKQDDYERAFRIVEMELGFCHDYFFTKHAIIFEMEAKFFFSFLARIVLVATCVYFVVQSTLSVKTPDAVIEVSTKNADEIITLLVLATYLLIEILQAAFYLASDWCKVSVTCRYVLRSRNQHNAFIEKFICYISRFKIFGYWKNKIGQYSIIPHNAAADSSGDCYPVNMLQTVKWAIARSLISCNGPPTNGEGSLQRNGAEEFSWALQGHSQAEIMLIWNIATDYCNMIPPTGDRGQLNGTSENEQDTKFNKNVSVSLSRYCAYLMKSAPELLPGYFGDTKSAMVDVECKAYKFLCSLNVGLGLKCRNILYFLENNFVPLGSGSIDASQNIYQVMKDLQDQEEDRSIFMRGVKLGKQIESIQSSAARWKILADFWAEKILYIAPSDNVKGHMELLAKGGEFLTHIWVLLTHAGILKINREEDNNTTPRHQPPHGDV >SECCE2Rv1G0112520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:710612294:710613115:-1 gene:SECCE2Rv1G0112520 transcript:SECCE2Rv1G0112520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os04g0524300)] MASFKGLVGEGSAALPHVLAVDDSSVDRAVISGILRSSKFRVTAVDSGKRALELLGSEANVSMIITDYWMPEMTGYELLKKVKESSRLREIPVVIMSSENVPTRINRCLEEGAEDFLLKPVQPSDVSRLCSRVLR >SECCE2Rv1G0101260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:559077657:559078133:-1 gene:SECCE2Rv1G0101260 transcript:SECCE2Rv1G0101260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADGQSQPAATEPSPSPAKRKTDTDSEISPLDPPAKAARPGADEEAAAEAKARFADKGKGKMVVDEEEEGDEESDEEEDDEEVEGDGDESDGLCEDPLSEVDLNNILPSRTRRCAPAQPGAYLVPPEEAAEDDEDDEDADVDMAPGEESGDGEESD >SECCE7Rv1G0500140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:662140894:662145746:1 gene:SECCE7Rv1G0500140 transcript:SECCE7Rv1G0500140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAALPAGGGGAVAAAAAAVGGLAAAAALAAKAGVIGTGRTNAPPAVPGLPLIGNLHQLKEKKPHKTFAKWSDIYGPIYTIKTGASSVAVLNSSEVAKEAMVAKYSSISTRKLPKALSVLTRDKTMVATSDYGDFHKMVKRLVMSGMLGSSAQRQFRDTRDMMMDNMLSTFHTLVTDDPHSPLNFREVFKDELFRLSLIQSLGEDVGSVYVKEFGREISKDEIYQITVVDMMMCAIDVDWRDFFPYLSWIPNKGFETRVRTAESRRTAVMQALIHQQKKRIANGEARASYLDFLLAEKALTDEQLMMLVWEAVIEAADTTLVTTEWAMYELAKNPEKQDRLYQEIRDVCGDETVTEDHLPRLPYLNAVFHETLRFHSPVPLVPPRFVHETTKLAGYDVPAGTELVINLYGCNMNKKDWEVPEEWRPERFVDGRFEAADMYKTMAFGAGRRSCAGSLQATSISCAAIARFVQDFAWRLKEGDEDKVDTVQLTSYKLHPLYVYLSPRGRK >SECCE3Rv1G0194470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:781221817:781223415:-1 gene:SECCE3Rv1G0194470 transcript:SECCE3Rv1G0194470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGKKPYVIAVIIQVIYTGMFVVSKAAFNQGFSTYVFIFYRQAAASLLLLPLAIALERKNARSMSFRLLLKLFMFALIGTTFSLNLYNVSLKLTSATVGSATSNSMPVVTFFIALLLRMEVVKLRSPSGMAKVAGVGLCLAGVLVIAFYVGPSLNPVNHHRVLAAATGDADATRGTWIMGTFLMVLANVMYSLWIVLQAALLKEYPNKLLVTATQCVFSAGQCFVVAAVAERDFSRWQLRFDVTLLAVLYTGFVVTGVSYYLQAWCADMKGPVFLAVWTPLCFVLTIFCSSFFLGEIVHLGSILGGILLVGGLYSVLWGKSKENRIAPCGQTNMIHGVEDGNSGDGEEKNERSNQVNGDREHDKEATISPAEQV >SECCE2Rv1G0141360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935213473:935215119:1 gene:SECCE2Rv1G0141360 transcript:SECCE2Rv1G0141360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPKRPANYVPLSPVGFLPRASAVYGDRTSVVYGRLKFTWRQTHERCRRLAAALISLGVRKNDVVSVLAPNVPATYEMHFAVPMAAAVLNAINTRLDAKAVAVILRHAQAKVLFVDYEFVRLAHDALQIVADAGAPVPLVAVIDDIDRPTGVRLGLFKLEYEALVSKGDPTKELPLLADEWDAVVLNYTSGTTAAPKGVVSSHRGAYLNTMGQLLSWEVGAEPVYLWTLPMFHCNGWTLTWGMAARGAVNVCIRQNRAADVYRAISDYGVTHMCCAPVVFNILLDGEARRLTSPVYVLTGGAPPTAALLDRVEQIGFRVTHSYGLTEATGPALACEWRHQWDLLPLPERSRIKARQGVSVLSLADANVVDGNTMASVPRDGKSLGEIVLRGSSVMKGYLDNPEANEEAFKAGWFMTGDVGVVHPDGYIEIMDRSKDVIISGGENICSKELEQVLLQHPAVADAAVVAMPHPHWGETPCAFLVAKDKAAEVCKDEVIAFCRERMSRFMVPRKVVVIDALPRNALGKVEKVKLRDAARNLVPSVVAKL >SECCE7Rv1G0458830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22845880:22847172:-1 gene:SECCE7Rv1G0458830 transcript:SECCE7Rv1G0458830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCWLLLLFWAFILPAASATPCHPDDLHALRGFAGELGGGGAVLRSAWSGASCCAWEGVGCDSTSGRVTVLRLPWRGLSGHIPGASLAGLVWLEELFLGSNHFEGVLPDELFGLARLRKLSLASNELTGQLSPRIGELTHLTLLDLSVNRFFGPLPDVFGDLTSLEHLAAHSNGFSGFLPPSLSSLSSLRELILRNNSMSGPIARVSFSDMPLLASVDFSSNSLTGWLPTSLAGCGELKSLNLANNILVGTIPSWIGEFDNLWYLNLSNNSFVGEVPKSLLGLKGLATTGSSSGMVFINMPFFVNHERRALDEQPNTITGTNNTVRSGTNNTMSGNDNIVMSGNNNAVSGSFNTLVCGNNNILSGDHHVVSGSNHIVTNSFNKVTGCTNNVSGSNHTVSGSNNTVTGSSNTVSGNNHVVSGSNRVVTGD >SECCE6Rv1G0415750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:643872819:643873955:1 gene:SECCE6Rv1G0415750 transcript:SECCE6Rv1G0415750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVGKSLARDGPGSVKLLPEADDDLWDAYNLIAAGDAVEAVTVRKITRSGGRDSERIKLTLEVAVESTDYDKDGSVLRVRGKNLTKNEHVQIGQYHTLEIELRRPFVLRKDAWDWPALDTIRKSCDETAANADLAVLLMQEGLAHLFLVGRSVTATRARVEVPIPRKHGAGAVAAYDTALKDFFQRVLAAFVQHVDFDLVQCVVIASPGFTKDQFRDHMLLEAARRGELRAITEHKARIVLAPAPSGYPHSLKDVLAASSVMSLIKDTRAALEVPALQEFYAMIAKDSARACYGPKHVEVAHERLAIQTLLLTDTWFRNSDVAARRKCVDLAESVKKIGGKVCVFSSMHVSGNQLEQLTGIAAVLRFPMPDLDDIEM >SECCE4Rv1G0220830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:42256349:42257851:1 gene:SECCE4Rv1G0220830 transcript:SECCE4Rv1G0220830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTNAGSAPAPSAARRPHVVLLASPGAGHLIPLAELARRLVEHHGFAATIVTFSGLSDLEALPSGLLASVSTVALPAVQIDDLPADALRGSVLVELIQRSLPSLGTLLRSIGSTTPLAALVPDFFCSAALPLAIELGVPCYVFVPSSLTMIYLMRRVVELHDDAAPGEYRDLPEPLEIPGGLSLRRADLPVPYRNCNELPYAQLLRGGRRYGRADGLLTNTFYEMEPATVEEFRQAAERGAFPPAFPVGPFVRSNSDDEAGASAILEWLDRQPARSVVYVSFGSGGALSVEQTAELAAGLEASSQRFLWVVRMPSLDGRTYVFGIGNDDDPLAWLPDGFLERTRGRGLAVPAWAPQVRVLSHPATAVFVSHCGWNSALESAVSAVPMVAWPLYPEQRMNALLLEGTLGVALRARAREDGGVVARGEVAAAVNELMEGDHGRAVRRRAEDLQRAAARAWLPDGSSRRALEDVAGKWKAALGGGGDARTLVTAPTVHGTP >SECCE3Rv1G0177100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:456478437:456490129:-1 gene:SECCE3Rv1G0177100 transcript:SECCE3Rv1G0177100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSMDEINLLRQHERHQQHHLSVRGVGEEIDLEIDQCEDPTFSGAALEGVTSHLSQDPVVPADDHKSFLIPCSQPGAVDGQPQPTPPQAEERAGMLRLSAHTKKKKKVVKKWRDEWADTYKWAYVAVHDNTSRIFCAVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQSASKEKLQTPEIERPVYVKALSKTAASILESLFKKDPHEAEFIQSIQEVVHSIEPVLVKNSQYVQILERLLEPERCFIFRVPWVDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMNLSVAKFLAFEQTLKNALSLYKLGGAAGGSDFDPKGKSEIEVMRFCQSFMDELYRYLGPDQDFPAEDVGVGPREMGFLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARVVLADMNKELKGLRCAISGSGKIAMHVLEKLLSCEAIPVTVSDSEGYLFDGDGFDYVKYTLIRNIKAQQRSLKEYLKTFPRAKYINDAKPWGEQCDIAFPCASQNEIDQGEALSIISSGCRVLIECSNMPCTGQAVDILRKAKVHVAPAKATAGGGVAVGELELNPEFSLMQWSVEDFENKIQEAVKQTYDRSMKAAQEYGILKENPESLVHGANISAFLNIAQAMTDQGCV >SECCEUnv1G0535640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:59189732:59194447:-1 gene:SECCEUnv1G0535640 transcript:SECCEUnv1G0535640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKEGADMEEGTLEIGIEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKGKHAEGGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDAFYSRDAAH >SECCE7Rv1G0496310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:611056506:611056859:-1 gene:SECCE7Rv1G0496310 transcript:SECCE7Rv1G0496310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLLLLSIATIVHAIATPTTAIPGGWFKIKNITDPHIQELGKWAVLEHTQLGGIDGLIFVKVVSGEEQIVNGVNYRLVIDALRLDGSQGTYKAVLFEKDSSNPKTWKFISFTPAN >SECCE3Rv1G0210950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946490593:946491604:-1 gene:SECCE3Rv1G0210950 transcript:SECCE3Rv1G0210950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGVKEFDPTAKLTDDILADIISRVPYKSTCCCKCVSTRWRDLISHREHRKKMPQSVVGFFHEGYNAFRSPKKARYFTNLLSWLHYPLIDPALSFLPNCGSLDILDACNGLLLCRCWKADDPLKLDYVVCNPTTEKWVSVPATDWSSQVSVARLGFDPAVTSHFHVFEFIDEEAWGIAEDELDSECYGRIQTLAIYSSKARVWKYQTVEHRPFAIPKNSVSLFLNGVLYLPADDNLIVAVDVEGDNWWLVRIPKRPFYIDDINGIFPSQGRLYFANSPADSDGSELSIWVLEDYVTGEWTLKHNNAVWFF >SECCEUnv1G0541540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98017609:98017986:1 gene:SECCEUnv1G0541540 transcript:SECCEUnv1G0541540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKNQLIRHGREEKRCTDRTRASDQCPQKQGVCLRVSTRTPKKPNSALRKIAKVRLSNRHDIFAHIPGEGHNSQEHSIVLVRGGRVKDSPGVKSHRIRGVKDLLGIPDRRKGRSKYGAERPKSK >SECCE2Rv1G0136500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:912464017:912466291:-1 gene:SECCE2Rv1G0136500 transcript:SECCE2Rv1G0136500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G18620) UniProtKB/TrEMBL;Acc:A0A178V949] MGEQQPLLEVEQCVTSIPEDHEATCWGCGLRLVFSSYSPVYKCGWCGAVTQGNQTSRKPDSVCFSHWRRFRDWFFVTVLALFMLFVICGGVWAVYPVVFSISIFCGIFHCTVAALLSIFTITSYCLASFKSAGAPPGIRWGSYPMVGKNDLENYTFCTYCSKPKPPTAHHCRSCKSCVLDMDHHCPFIRNCVGASNHRAFVIFLISVVTSCSYAAIMTIYASYHIWPPLDFPNVSSYGQMGSKKVLMEIITSVASSAFFLSARGIILVYLAFASLSVNAGIAVLLCQQLSLIYEGNTYLSHISSATDMHGKRGLQNLVRFFGCPYPFSRLLLGYTNTGKSQDNSGSKLL >SECCE5Rv1G0362010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782229391:782230627:1 gene:SECCE5Rv1G0362010 transcript:SECCE5Rv1G0362010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGESMDAISLDEWELLPDLRSSFFMEECAAAGGKDQDQLLFGSDLVVIDMGHFTLHPASHPCTFDCILDEEEAMRPSVQAASAQQQHDVEFEDIGVVQAEPRRGELTMMVTEVMVSNAEEEEEMVNSPVAEEEACEEDEVMVEAAPDHLPHVVEEGAERDRAGMDAAGFSVGKLRVNGVGALCSFGVAAATFCILVLGGRPQHQQQQQQRNIMVQDQKSQFQMYADDERIHRAVEQASRLNQAVSSVMGGASTRATVSFGGHYNGF >SECCE4Rv1G0254540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:639037854:639040666:-1 gene:SECCE4Rv1G0254540 transcript:SECCE4Rv1G0254540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRSGAHLHRDPTINPGLGSARCPRCLSLLNPTTSSGGRDWAITSVLHDATAVTGSGAGAMLSAVHGFNTGIPFVQKHVKGPKWLHLLVGVPPLILFSGASALFGAYALPTFAQLTVTSYYAASSASHYAVSQITRHIEKAHLSGAAEEKS >SECCE6Rv1G0444350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827440235:827442439:-1 gene:SECCE6Rv1G0444350 transcript:SECCE6Rv1G0444350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWIQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGAAGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSTLSLIAGWLHLQPKWKPSLSWLKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPYPQGLGPLLTGQWNLYAQNPDSSNHLFGTAQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAFIFLIAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSFPPYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTTYGFDILLSSTNGPAFNAGRSLWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGSGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >SECCE4Rv1G0264370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:720244628:720246070:-1 gene:SECCE4Rv1G0264370 transcript:SECCE4Rv1G0264370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSHLKRLTLLYNCTTNTLILRTVTKKIAPWLAAGPKIVPQPTAICPIHLLLVIVLLIITSTLYHALRSRTVYLVDYACFRPNSNLRMTKATFLEHARLSSLIDDSIVNFIATIIERSGMSDETCVPPVHHYIEPCCRLDEARSEAELVVFSVIDDLLAKTCIKRDAIGGLITNCSAFCPVPSIADMIVNKYKLRGDIRVMNLSGMACSASMIAVGLASNMLQVMPRGSHVLVVSTETIGPSYYSGNNRSMVLSNIFLRIGGVAKLLSTSRSKVRFRLLHFTRTITAANNSAYRCVYQEEDEKGNVGIGLSKDLTVIAGDALKANIMATGPYVLPTSELLKFSLFNMARKMPHWREITPYIPNFCATFEHFCIHAGGPAVISSVQHGLKLSDLHVEPSWMTLHRFGNQLSTSVWYELAYIDANRQMKKNDRVWMIGFGAGYECNTASWVCTQPSSAADGPWGNSINHYMKHISKKSVK >SECCE1Rv1G0053810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:671727850:671729576:1 gene:SECCE1Rv1G0053810 transcript:SECCE1Rv1G0053810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAGAPGSKSRKRKATALEAPAPAPTARKAPGAEPTEEDRGQQIPGSDADGGGAGVDRISDLPDGVLGDIVSLLPTKEGGRTQVLASRWRHLWRAAPLNLDHRSFLRDEEGLDATISRVLAAHQGPGRRFSAPVYHLAGDRADAWLRSPALNSLQELELCSFSHNLPRPPETVQLPPSAAFRFSDTLFVAIIGDCHLTDGIIQALHFPKLQKLALQRVSISESSLHTMIAACPALECLLIKNSGGFRCVRINSISLRSIGVSGYSNRLETKFQELIVENAPCLESLLTLGSCECRLISVISAPKLEVMGCLSNHYGITISFDSAPFDSTVIQRMSVDRLTTAVRTVKILAILMHSLSLDAVLGLMRCFPCLEKLYIESSGPGISNLWRRKHRTLIRSFDIPLKTIVWNYYRGIKSHVDLATFFVLNARVLELMTFEVNVEDFNEEFFVQHHKKLLVDSRASRGARIRFTTDWCYNYHMKFSVHDLGRADPFERTYPLEGDTTFELC >SECCE4Rv1G0227660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:125395548:125399292:-1 gene:SECCE4Rv1G0227660 transcript:SECCE4Rv1G0227660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRLRRALAAASTSASLLRPTASAAASPHRPPLLAPFVAPLPRPFLPGGAAGFRSTAAAAARGNYGGGADDAKIDPDEILFEGCDYNHWLITMEFPDPKPSREEMIETYLQTLAKVVGSYEEAKKRMYALSTTTYVGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYENGVITPRPPPVQYSKPSRTDRNRNYRGNYENSPPPQGNYQNSAPPQGNYQNSSPPQGNFQGYRPQQDARGHAPQQNYTQAGQDGRGYGRNDSADRSGYNAPGGYQGRVNEAGQGFQNPQERRSFSQGQAGDLRPGGPSAPGNYGQPPAPGNYGQPPAPGNYGQPTAPGNYGQPPAPGNYGQPPAPGNYGQPPAPGSYGKPSGPPAYGQPSGSRYPGGNQGAPGYGGDNRQGAAPAYGGDNLQGGSDQYPSPVEGQQGNWQGRQ >SECCE6Rv1G0383930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:58522528:58523509:1 gene:SECCE6Rv1G0383930 transcript:SECCE6Rv1G0383930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSTSDLSSLEAMLKSLMGRSGGAEGTEMDDNDEEGEEEEALESPPPLPVRPTLRGRLPSLPMVHGAAAAGPWTPPSRPPPHKRGEDDAAAEVSASVAELERKAAEAEARLRQKEEENAALRRRIESYHIKWLEYEIRIKSLEEAFHEQLASLQIARDAARMAQELPYDDRHEFSEPRMMKLPDEEAPPRLRHAGSRRSADGGRRISAVGRLGAEFRRGSQALEKGVASLTVEQRPWQPGAPSADSVGDLRKLKAQFRAWKKDYKARLRKAKAEIDRDRRRQSSCWI >SECCE5Rv1G0321980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:413882571:413883104:-1 gene:SECCE5Rv1G0321980 transcript:SECCE5Rv1G0321980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAADASSSGEVVAVGDKSNKMFTLISSDGESFEVTEEVAKMSQTINHMIEDGCADNGIPLPNVPAKTLSKVIEYCKKHVAAADDDNNASAGKKEGLKNFDAKFIAVDQATLFDLILAANYLDVKGLLDLSCQAAADMTKGLPVEGIRKMYNLENDFTPEEEAEIKRENAWAFDL >SECCE7Rv1G0515970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:842411268:842411588:-1 gene:SECCE7Rv1G0515970 transcript:SECCE7Rv1G0515970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGNASSSSSSCRGRRGPAGFGLALARLVRRLRRRSKTLLCTAAPTGASSRCRQYDPLSYARNFDRDGFGSALDDDVSSAGQLCHHYSFASRFVLASSDARQPH >SECCE2Rv1G0088620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:246824564:246827585:-1 gene:SECCE2Rv1G0088620 transcript:SECCE2Rv1G0088620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTRRANALRVEAPDGNGVADVDSGRASLAADSPAAKRAVDAKDDVWVAADEGDASAASAGDGNRPPLFRTFKVKGSILHPYRFMILVRLVALVAFFAWRVKHRNHDGVWLWATSMVADVWFGFSWLLNQLPKLNPVKRVPDLAALADQYGSSGGDANLPGIDIFVTTVDPVDEPLLYTVNTILSILATDYPVDKYACYLSDDGGTLVHYEAMIEVASFAVLWVPFCRKYSVEPRSPENYFGMKTQPYAGSMAGEFMRDHRRVRREYDEFKVRVDSLSTTIRQRSDAYNSSKKGDAGVRATWMADGTQWPGTWIEQVENHRRGQHAGIVQVILSHPSCKPQLGSPASADNPLDFSNVDTRLPMLVYMSREKRPGYNHQKKAGAMNVMLRVSALLSNAPFVVNFDGDHYINNSQALRAPMCFMLDPRDGQNTAFVQFPQRFDDVDPTDRYANHNRVFFDGTMLSLNGLQGPSYLGTGTMFRRVALYGMEPPRYRAENIKLAGKVNEFGSSTSFINSMPDGAIQERSITPVLVDEALSNDLAALMMCAYEDGSSWGRDVGWVYNIATEDVVTGFRMHRQGWRSMYCSMEPAAFRGTAPINLTERLYQVLRWSGGSLEMFFSHSNALMAGRRLHPLQRVAYLNMSTYPIVTVFILAYNLFPVLWLFSEQFYIQRPFGTYIMYLVAVIAMIHVIGMFEVKWAGITLLDWCRNEQFYMIGATGVYPTAVLYMALKLVTGKGIYFRLTSKQTDACSNDKFADLYTVRWVPLLLPTIVVLVVNVAAVGAAIGKAAAWGFFTDQARHVLLGMLFNVWILVLLYPFALGIMGKWGKRPVILFVMLVMAIAAVGLVYVAFHAPYPADFSEVAASLGEASLTGPSG >SECCE7Rv1G0524060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884435939:884437420:-1 gene:SECCE7Rv1G0524060 transcript:SECCE7Rv1G0524060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAISVVAAELVSRLISFLKNKYQSSLNHAQSEEKMVARLQHLLIRVSVVVEEADGRYITNSGMLVQLKMLSEAMYKGYRVLDTLRYKTLKDTADFDKVSINDSSSSSLHLAFPFKRSRTYSQKDDKAMRLELDGALESLEIAVAHVAEFVVLLGGCERMSRRLYDVYLYTNNFMFGRHAQKQKLLTFLLEHNNPPGDHALAVLPIIGGIGVGKKTLVAHVCGDERVRSHFSSILHLNGDNLMMILDHGRTMFGMMLVVIEFSCDVGDDYWKNFHSFLIRISRGSKIIIISKLQKLARFGSVQPIFLSVLSYDELRYLFKTLAFGSVDPTEHPRLVQIADGFVKELHTMQGALVAANMYADVLRRNLDVQFWRSIFDRGIRYVTRNLFRYGVHPSTLLEQGHPVDITDFAFHPLSMIRYTINASIKEESPSVTLRELLADPSVRPKEDFILISWESKIPPRNTFARFVTSYGDDATEGSASHRGWKRRGVPI >SECCE7Rv1G0456640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11163246:11166515:1 gene:SECCE7Rv1G0456640 transcript:SECCE7Rv1G0456640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGGEEQARQNGHAGDGGDPAEWKKVAELRAVVEAQDPAAKEEDDFMLRRFLRARDNNINKASAMFLKYLSWKRAAKPNGSIAEAEVRGELVQDKLYVQGFDKTGRPMIYLFGNRHFAAKRDLEEFKRYVVYILDNTCTKLPAEQEKFASVVDLKGWGYANCDIRAKLAALEIMQNYYPERLGRVFLIHVPYVFMAAWKMVYPFIDDNTKKKFVFVADKDLDATLRDAIDLSQLPEQYGGKLRLEGYKSSSPPMSST >SECCE3Rv1G0168510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:222534133:222535497:-1 gene:SECCE3Rv1G0168510 transcript:SECCE3Rv1G0168510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Rac-like GTP-binding protein 1 [Source: Projected from Oryza sativa (Os01g0229400)] MSGGAGAAAVSRFIKCVAVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLTSRASYENVHKKWVPELRRYAPGIPVLLVGTKLDLREDRAYLADHAADSIITTEQGEELRRQIGAVAYIECSSKTQRNIKAVFDTAIKAVLQPQRHKEVAKKEIRTRSSRSVRRYFCGSACLA >SECCE6Rv1G0382940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:48542466:48545636:-1 gene:SECCE6Rv1G0382940 transcript:SECCE6Rv1G0382940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLHFPNKTNSKIGHIPSLGLALVLLICLASPTSSCTEQEKDSLLQFLGGLSQHGGLDASWQHATDCCQWEGITCSTDGIVTDILLASRSLQGHIAPSLGNLTGLLRLNLSNNLLSGGLPQELVSSTSILVVDVSFNSLGGDLHELSSTHARPLKVLNISSNLFTGQFLSSTWQVMKNLVALSASNNRFTGQLPTHLCASSPSLAVLELCYNQFRGSIPPGLGSCSVLRVLKAGHNNLNGTLPDELFNATLLEFLSFPGNSLQGKLQGSHIVKLSNLGALDLGENSISGEIPESIGQLKKLEELHLNNNNMSGELPPSLTNCMNLITIDLKSNNFSGELAKVNFSNLPNLKTLDLMHNSFSGKIPESIYSCSNLIALRLSSNKFHGQLSETIGNLKSLSFLSLSNTSLTNITSALQILRRCKKLTTLLVGLNFMNETMPDDDGIVGFENLQVLAITDCSLLGKIPHWLSKLTNLEILFLKNNQLTGQIPYWISSLKLLSYLDISNNSMTGEIPTALMQMPMLRSGNIVTRLDPRFFELPIYLAPSLQYRVPMAFPKVLDLSKNKFSGEMPLEIGQLKALLSLNLSFNGLTGQIPQSICNLTNLQMLDLSANNLTGGIPAALNNLHFLSRFNISNNDLEGPIPSGGQFNTFQNSSFDGNPKLCGSMLNHTCGTASPPLVPRKLRHKKVHFAIAFGVFFGGIAVLLLLGRLFVSIRVNGFTAKNIREKNNGDVESTSFNSSSEQTLVVMSRGKGEESKLKFDDILKATNNFDKENIIGCGGYGLVYKAELPDGSKLAIKKLNDEMCLMEREFTAEVDALSMAQHENLVPLRGYCIQGNSRFLVYSYMENGSLDDWLHNKDDDASSSLDWPTRLKIAKGASLGLSHIHNVCNPQIVHRDIKSSNILLDKEFKAYVADFGLARLILPNKTHVTTELVGTMGYIPPEYGQAWVATLRGDMYSFGVVLLELLTGMRPVSILSTSKELVPWVLEMRSEGKQVEVLDPTLRGTGCEDQMLKVLEAASKCVDHNQFMRPTIMEVVSCLASIDADPQMQRSAVIQ >SECCE7Rv1G0503350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:710543848:710544165:-1 gene:SECCE7Rv1G0503350 transcript:SECCE7Rv1G0503350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVRTWLVVAALACALTLALRSADAQETEAAAQKPKCAPGAATPCRVGALRDPENQEEEGLFNVKMRAPSGAGDSDSDDDYSDPDKPKDPEQPDDDDLVVLGH >SECCEUnv1G0541480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:97996752:97997216:1 gene:SECCEUnv1G0541480 transcript:SECCEUnv1G0541480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEHAHSSAVERLLNCEVPLRAQYIRVLFCEITRISNHSLASTTHAMDVGASTPFLWAFEEREKLLEFYERVPGARMHASFIRPGGVAQDLPLGLCRDIDSSTQQFASRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRGRAT >SECCE3Rv1G0202430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:873962663:873963678:1 gene:SECCE3Rv1G0202430 transcript:SECCE3Rv1G0202430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHTELALGLIGELQTAPFVAKTYQMVCDPRTDALVRWGRDNNSFLVADVAGFSQLLLPCFFKHGNFSSFVRQLNSYGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKNKRGEASCSALVGGGEQHVMANIGEEVEEEEDGEGREALLKEVRRLRQEQTAIGEQLAQMARRLQETERRSDRLMPLLARLDEDPKAAAEKKRQHTQLCSRDFASFPIARPLQPAPSPLLALGDAAMGGARVWQWVEPMSPLKLTTFEQTSASSGVQQVPEVEGGGSASGMGLTDSGTAVEAPFPFCLLGQCFF >SECCE2Rv1G0112260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:707626552:707628607:-1 gene:SECCE2Rv1G0112260 transcript:SECCE2Rv1G0112260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPHHLLYEFAKAALIKIFAFPYATVCDMYCNGGADTEKWADAQAGRYIGIDASASAVSSDDRELWESKWKPFTTEFIELNPSADDFEAQLQEKGIQADIVCCMQNLQLCFESEEHAKKLLNNVSSLLKPGGYFFGIIPDSSTIWTKYQKNVEASHNKGLKVVPNSIRSDNYTITFEIEEEKFPFFGKKYQLKFANEAMFENHCLVHFPSLMRLAREAGLEYVEIQNLTEFYDDNRTQFAPMLGSCGASLVDPRGKLIARSYDILGLYSTFVFQKPDPDAIPPVVTPDPDEEERLWRQQAAADDLRRPQAEVIPIDPDQKGILGPGPADMRLN >SECCE4Rv1G0231770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:188814159:188816501:-1 gene:SECCE4Rv1G0231770 transcript:SECCE4Rv1G0231770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase CPRD49 [Source:Projected from Arabidopsis thaliana (AT3G11210) UniProtKB/Swiss-Prot;Acc:Q9SRM5] MMGRPVFVLFGSSIVQYSFSNGGWGATLADVYARKADIVLRGYIAWNSRRALQVITKIFPKDSAVQPSLVVVYFGGNDSIAPHPSGLGPHVPLEEYMDNMRKIGEHLKSLSNKTRVIFLSCPPLNEELLKKSTSTALSEIVRTNETCRLYSEACISVSKEMDIKVVDLWNAMQKREDWATACFTDGLHLSEEGSNIVVEEILRILKEAEWDPCLHWKAMPTEFGEDSPYDLVASSGKSTINPSEWTFHRKISWE >SECCE3Rv1G0147240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:14512087:14515281:-1 gene:SECCE3Rv1G0147240 transcript:SECCE3Rv1G0147240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLSDKQIAFVRKIGFESILSMQDFKMNKDLTLWLVDKFNCDTEALEFDGGISIPVRPLVKFVLGIPSGPIKVVKDLCVNNALYKQYTWGTRGKNAKEVAEEMRSITEEEPFCIAFMMAILAIYLAPNTSVNVNRSFLGAARQVGNLKQMDWCDFVADCLFKGIEEYKKSDRLSVHVKGCVHILSVIFIDLAKHPTLIVPDGFPRLSVVTTEMGCFTSKWVVAHPFGSFLPVRCLEESVYALVLNNMPNGNTVEGAKWSDSDSNTDALADQIAITDTDQNNNNHPISAELGNARTTHNTSSSAIVEHVVLDTPARSSVAAPSSLTEHIIFPTSGEQLQCAGPSDEPCSAQIMDRVQVPPDTTVERRRGLLLPMEKDDQSAKKARVELSRSGQVKQVGEVTINMDMSLLNCSVCSRPIKPPVFECNAGHLACYKCLIGLPCKLCQKCEHGSGFGHIRSLDAIVSSLTVKCHHDGCGSYIPYYQLDDHQSVCPHVPCFCTELGCGFVGAPQALLSHLIALHAIPVQKVNYGQVYQLRLSVPRPRCLLHGQEDDSVFLLVMGALGVVSAVCIRAEASAWPQYAVKLQANGPSPPSSTEGSILLAMKPVTSSTRPGEVAVEEVPSFLMVPPTYLVGSGASKEVSLDVRIDKM >SECCE6Rv1G0399430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:439185641:439186535:-1 gene:SECCE6Rv1G0399430 transcript:SECCE6Rv1G0399430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os02g0631700)] MSSVAGGVVGATAVLTGAGGGAPLHVLAVDDSSVDRAVISGILRSSRFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSTLKQIPVVIMSSENVPTRISRCLEEGAEDFLMKPVRPSDVSRVFNRVLP >SECCE4Rv1G0252920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:619789438:619792258:1 gene:SECCE4Rv1G0252920 transcript:SECCE4Rv1G0252920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEGYHEAYQSGGSSLLSAGRSQDTRMVDFEDDEADEEDIDYEEEEEGEEDEDDEEEDDDDDDEEEEEDNVDEEGGVEIIDMGSFSEPGRKFLSKVWKEYEPIRVDGIVVAAECKHCARNICAERKHGTSSLRKHLKRCNERKKVLRVSGQLSASIMSPDGVAMGLWTFNQALARRELMRMIVLHELAFSLVEYDGFRRFVSSLNPSFKMICRKTVKEDCMKAFMEEKRNLQGMFQNSKSKISLTTDLWTSNQTVGYICITAHYIDEEWKPQKRIVKFAAMETPHTGVAMFNVMVNFIREWNIEDNLFAVTLDNASNNGAMMKLLKAHLLLKKMLLGGGKLFHQRCAAHVINLICQAGFNFLGPVINMIRESVKFIRSSPSRKEKFQEIVEQHGVSCGKTPSLDVPTRWNSTYMMIAVAKEYRGVFDSLAIQDKQYTFKPSFEDWENAEDVCRLLKVFYEATNVISGTKYPTANLYFHEMWKVKLTLEQQHYEEDSEMGTTVKYMKRKFRRYWKMSWLSLCIPVILDPQFKLKYIEFRFGLEFGNEAASMIAKIKNVFEGLFKEYLQLNNNGGDPMSQGGDDDMAANGEDPMADWDQHVTLTARSTNLDSIELDSYLSKVPIRRSDQFNILAWWQTNSAEYPILSRMAADILAAPASAVASESAFSTGKRVLSDFRSRMTPTTLEALVCLQDWIRATANTKRSLASIHDIVMDLQDIED >SECCE6Rv1G0381170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:30155640:30158901:1 gene:SECCE6Rv1G0381170 transcript:SECCE6Rv1G0381170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPSAGGDAASRSAAVQPLQLPTPEEIKGQEMMNNCAVRSVLSGVMGGGLGVLMGLFFGALENPIMSEEMTARQQIVYQAKQMGRKSMSHAKTFAVMGVIFSAAECVVEKARAKHDITNSAVAGCVTGGALAAKGGPQATCIGCVGFGAFSVAIEKFMERYQ >SECCE7Rv1G0474360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:170679910:170684711:-1 gene:SECCE7Rv1G0474360 transcript:SECCE7Rv1G0474360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPQRPPTTTTAPPPTATPLPPATASQPLPRAFLATSTAPQRAANSTPTPPPLFTGRPLNPNPSHPSPAHGILYPVATSSSIPAAAAAVAANHRRTPPIGVAYPRAHAVAVPVTAPSQQPQVPTQQRSYAAVPRAVVAGVTPRLEHPPRGVPIAPHPQLKVNAVPAVTPSLAVTPSPAVTPSLVVTPSPAVTPSPAFTPFPQEHVTTKERESTKENAATVVINDRKVNVLDSESGSLYALCRSWLRNGVPHEIQPSFVGNVAPLLPRPLPASVVDSRMSEKDNAVEDQVSEEEQRCAQERNALCTRDAMDRALANNDTSEYTAADLLREHVTRAKNIRATLRKERQVRIERYKQRLALLLPPPPPPPSEP >SECCE3Rv1G0207260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:919222080:919223327:-1 gene:SECCE3Rv1G0207260 transcript:SECCE3Rv1G0207260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLLTVHLRGSASPPDVASSVAIEVEGVDGVDLAQVGLALGLDPATVGLNGYFLSCGSGHVSLAVTWGELLSFFAAGGQPTGADPAAPVAVEGGPVPSPPEPRVVLSSKRKPGLETENCSKKSKLQYNSTAQSKADEELLTDEITLGLKRRLTLDEASPSKKIKQVDSEAQQPVKYSCSFTSSQDKRPSDEEMVISLSRKGVW >SECCE3Rv1G0185430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:674450178:674450699:1 gene:SECCE3Rv1G0185430 transcript:SECCE3Rv1G0185430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPLLPAVLDNLPHLLDNPDLAQSLLYRAFADLERNNALALQAAVCLLEGLRLEAEFRTLPAPTEDQRLQHAVVAAVLAGLTDSVESLAKQQWMLIRLVGLLFVVRAAARARSRAGLLPGLLVAAVSAAVVVYVSTGGAVAPGFRSFVRFFLLMLGFLFASDQPRAPGRRGR >SECCE4Rv1G0249380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:582450134:582451606:1 gene:SECCE4Rv1G0249380 transcript:SECCE4Rv1G0249380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPLSLLAPAYSFVSNHRLSLAAFPFSATAALRFTAWLKPTLSSAVHHCTPLHAGLTLLAVVLVLYIRSTRVRAVYLVDYACFRPPAALRVPLSKYIEHTELAPCFDDKSVGFQSRLIERSGFGEETCLPPACHVIPPEKTLKAARAEAEQGIFSAVDAVLARSGVCAEDIGVVVVNCTLFAPTPSMADMVVRRYGLRSDARCFSLSGMGCSAGIAGIGLAENILQCDSRCRYALVVSTEILTSDYYSGNERAMLLQNCLFRMGASAVLLSTSRARARRAQYRLARVVRTHAGHDDRAYGCVQQEDDAAGERGIALSKDVMPVAGDTLKAHMTTLGPLVLPASELVAYALSLARRRLLLMAGQEDSSKPYLPDFRMAFEHFCIHAGGRAVIDELQRGLALSDKQVEPSRMALHRFGNTSSSSTWYELAYLEAKCRVRKGDRVWMVGFGSGFKCNSAVWVCIRSPVPLESGPWEGCMHRYPVAAVQRD >SECCE4Rv1G0261680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:703276395:703277840:-1 gene:SECCE4Rv1G0261680 transcript:SECCE4Rv1G0261680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRVNQEWNPSEVEGARSIIDRLNNYYNSDGTTHDKNKKHDIVANLKAWFPRKTMQQVTDLYDDLVTEMHMLQCLEKDQNDTNSDYGVIYTVDGIVNKNFGLQEEVSMEGMGILCDHPLESMRTMEIQEEVQMVEENMVVLENNMCIPQPVRAQHAKGFWTPEEHRLFLHGLSICGRGKWKDISKYFVTSRTPTQISSHAQKYFMRLQSKGSGSQRYSINDVELDDADPWKMENSFNSWQALTLQSTIGADNQNPSFDFQTPLSPFATMNNIV >SECCE4Rv1G0221680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:51548284:51550470:1 gene:SECCE4Rv1G0221680 transcript:SECCE4Rv1G0221680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLICLSITCHETICNGSAPVLPKLKCATTQRRLLRVFFASLLLCHADSLSFKYDSINTTNKVDFGALGDDCNISDHRADLTSISVDNYTNNIGRLVYPKPVQLWDAATGETASFTTTFSFGMDAVPGQPVGHGMAFFLTSQVDVPSTLPPGSYGGYLGLFSPGPTGGDHVVAVEFDTFRDEWDPSDHHIGVDLNNISSFGSYTTLPNDSFVGRVMSARIDYNSGTGQLDVMLHNGSSDGATHDLSVNVDLRTFLPEQVIVGFSAATNSERVALHYVLSWSFNSSMPLVAKSSQKSQPRRTAVPLVAGVTVATVLALLLSTAVGVLLWRSRRQGQSATSSADDDDDDPWPIDEDLESGSGPRPFQLSKLTAATRNFSEETKLGQGASGSVYRGRVDELDVAIKVFSRGGSAQGKREYTAEVTVISRLRHRNLVHLIGWCDGRKKLLLVYELVPNGSLDRHLYSATAVLAWPEWGQCVIHGDIKPSNIMVDESFGAKLGDFGLARLIDHGVGLQTMTAVAGTPGYLDPECIATGKASTESDVYSFGVVLLEVATGRRPMAPPPPGDTRIFRLVEWAWGMYGRGAVLDAADEALCGQYDAREVELVLVIGLWCAHPDARARPSIREAVEVLRSGMAARVPALPPRMPVAMYVQPYDPTEKRVADDASKTNHGMSSHDYGRQPPAADDYQTLSTSSSIPPVGSKQSVRLLSGR >SECCE3Rv1G0202890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:878865116:878870057:-1 gene:SECCE3Rv1G0202890 transcript:SECCE3Rv1G0202890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVECSVCHAKVAVPAAAVSKAYDSHRSTVSSRQRALNVLLVSGDCVLAGLQPILVYMCKVDGKFKFSPVSVNFLTEITKVIFAIIMLFFQARRVKVGEKPLLTVSTFVQAARNNVLLAVPAFLYAINNYLKFTMQLYFNPATVKMLGNLKVLIIAVLLKVLLRRRFSTIQWEALALLLIGISVNQLKSLPEGSTALGLPVAAGAYLYTLFFITVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVVTALIQGPRSFHILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGIASAILFGHTLTINFVLGISIVIISMHQYLANQIKDQVPSSKIEMSDAEDDSRLEESVIVKVDTVASEAKHRHGSDERQPLLPV >SECCEUnv1G0561480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:355215158:355216587:1 gene:SECCEUnv1G0561480 transcript:SECCEUnv1G0561480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASPFSPASSAAASPALFSVSTSRPLSIATAATAAVSARAPSRSSRGFRRGRFAVCNVAAPSAAELETKPAAAAKESQRPVYPFPAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDISVVVGDPFNSDPYDPEVMGPEVRDRLLKGEDLPVTTTKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKDPKSFRQSYLEEQGKLQDQITSARSNLGSVQLDHDLRVKISQVCSELNVDGLRGDIVTNRAAKALAALKGRDIVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVVEKFYEVFG >SECCE2Rv1G0084830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:181599002:181601356:-1 gene:SECCE2Rv1G0084830 transcript:SECCE2Rv1G0084830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFDINDRKKIGLGLTGFGVLFSFLGILMLFDKGFLAMGNILFVSGVSLTIGLTSTVQFFTKPKNHKGSIAFGIGLFLVLIGWPIFGMMAELYGFAALFSGFWPTAAVYLQKNPTVGWIFQHPYVTSLLTRFRGRRVPV >SECCE4Rv1G0254430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:637922926:637924383:-1 gene:SECCE4Rv1G0254430 transcript:SECCE4Rv1G0254430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQQPSPYTAALLHHQQQYRSDPSTSAQQTPRNAEERGPGGGDDDEEGRPRPPHLHANADLGRKPAAARRLALPQDNAVERQGAAAEQGAADAHRSSNGHGGGADWKPDVACTGAGARLDGSRAVKVEDPVTNGVAVPAVLSAVKEEKNGSGGAKKRRGPAVLLEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRMRSAAAAAAAGVGGGGRGGPGQLGRTEHRARMPVGVAVTTVTGKAEEPGLRPY >SECCE5Rv1G0301900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34147198:34148922:1 gene:SECCE5Rv1G0301900 transcript:SECCE5Rv1G0301900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNGLSIKTHEIGLKRKWETNADLSRLFCTSQHESPWEGGTAKHITCHPSEYQGQSSQECPPWNYGSLIPSLGRQNDFRFGSNQHKSPWEGGTTEHIAWHSSWYQGHSSQEWPTRNGGSLTPSPGRQNDFHVSGDQFFLTFNPYQQDVESMYLQDKKNGVITCLVCGKEGHYSSKCRFKDQEHRIICTVCGKNGHCSMWCCQQNKSENRACTRCGEIGHSTSTHGLSCSSCDEHHDDGECRLSEVKCLICECQDHYLAQCPLNSVLTEAFKGQRENFQAALRLAVSKQGNPSSTPAKYSAKSEGKVLTTNNSSPIVTAYNASTRICFTCREEGHFAFQCPQNSPGLSIVFEESGTIATSANLSKEVEEREPGTGTAKQSSEMKPILYDQGCPSKANALTPNKSSPIVRTCKTETQGKIRRCFTCREEGHYAHMCPQKFGAVSGNTSKEVEESSTIGTSSNMSKVLEEEDPGTAKQSSEMKLASIVRCVSCGQEGHRAKSCPTVVFTCYKCNEEGHTAKNCRQNKSSEMKPKSNVPCVSCGQEGHGAKRCPTRVFTCFRCNEDGHIARKCPQKR >SECCE7Rv1G0524690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886911446:886912993:1 gene:SECCE7Rv1G0524690 transcript:SECCE7Rv1G0524690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAALPLLWSVVPLIILLFLLVVKIYTSSPKEKRLPPGPWQLPLLGSLHHVLLSCHGDLPHRALRDLSRRHGPLMLLRFGAVPTLVVSSADAARAVLKTHDAAFASRHLTPTLDIFSRGGQDILFSPYGDLWRQLRRICLLELMSARRVLSFRHIREDEVASLIGYITDECARGSGCTVVEIGQLITRTVNDIVVRSAVGGRCPRRDEFLHVLDRSVKLAGGFNLSDLYPSSALARWLSGALRETERCNRKARAIMDDFIRERVNGAGEIMEDLLGVLLRVQEDGREQCPMALTTDIITTVVQEMFVAGSETSSTTLEWAMSELVRNPRVLHKAQAEVRESLHGRSKLAECDVAGGRLSYLNLVIRETLRLHAPLPFLLPRQCREPCEVMGYHIPKGTKVLVNAWALGRDDAYWEHAEAFKPERFEESSAAAMDFKGGHFEYLPFGSGRRMCPGVALGLANMELLLASLLYHFDWELPGGGRPEELDMAEAFGIAVSRKSKLVLRAMQHIPFEN >SECCE2Rv1G0090990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:300875052:300904657:-1 gene:SECCE2Rv1G0090990 transcript:SECCE2Rv1G0090990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELGQQTVELGTVARQAAEESYLALRELVEKSRAGAEGEGAQQRSDTEKKIDLLKFIDRTRQRMLRLHVLAKWCQQVPLLHYCQQLASTLSSHETCFTQTGDSLFFMHEGLQQARAPIFDVSSAIEVIHTGSYRRLPKSVEEIGTQNTLFQDERKPTLKKLSTLVRAKLLETLVPKEMSEVSVTDGIANVQVDGEFKVLLTLGYRGHFSLWRILHIELLVGEKTGPIKLEETRRYVLGDDIERRMAVADNPLTILYTILHELCISFVMDTVIRQTNVLRQGRWKEAIKSELVSDSQRSAGQGGSNAPTQLGQDGELDSSGFRIPGLKVNYWLDERNSGSAESDSSPFIKVEALQDMQIKCQHSSFVLDPLTDKEADLSLDLSCIDVEALILKAIACNRHTRLLEIQRELKKNTQISRSPTDVVLKRKEVHLDVLQKRVDGRDFENCCTNEVLQVRAYGKSYIHLGINIRSGGFLLQSPKNILAPSAVFDSEEALNKRSITPTEVFVSLKTRSILHLFAATGRFLGLKVYSECQITLKIPKSILYGSDFMVMGFPWRTNAYYLLMQLDDNLMPVFYLLEAHTDGEDRSNIDTTTDAKEVVRFNRIDIGQMQLGEDECIANLLDVEKLQVLQSMEDGSPRHSEIDESIPLKPSFSSVVNAVLAYERDPPSKENWLSYSSPSTHLSSQKVARQGVSSRAGPPKLDDELLRSNIDTAKVASGVTLDSYLLCSLKSAYSTETSGSVPAGLENISTLRSEVLSGKRYLSEFLLNIPSLQRSIISGGPRKRRKLPEDACSVQSRTTLTYGTILREGNCCTTENIYASVLLQVIKHCSLRIKYAQLTTQMNSLNIPYVEEVGLGTPSSNLWLRLPFAQDGSWKLTCLCLGEAGSMSWGVRINDPYYGALWKLHGGSNPTDWGSRVRIANTSEMDSHISFDYDGITLTYNSVEADSIQRLVSELRRLSSARVFACGMRRLVRVKVDDKLVENQLATKAKLHARKGFRNRLSDQMAKTFRINAVGLMNLWFSYGANAMPMVHFVVEWEAGKVGCTMRISPDHLWPHTKFLEDFVNCNEVASFLDCIRLTAGPLLSLGDAIRPAKMPAVPAVCGPAQKQNNVLLANGSSSTTVHINSHDAKTSSMLSAVGRTGPGLVSNSLMPFDVSVVLRGPYWIRIIYRNKFSVDMRCFAGDQVWLQPATPPKGGPSIGGSLPCPQFRPFIMELVAQGLNTLEPSFLNARHTSANTSSGSQQVVTTTNRLSGAAPGEIKLTSGVGCQVAASVSRAGNAMLPSGFASRTDGAPAHLSPDTNLPVHMKGELNTAFIGLGDDGGYGGGWVPHAALKKVLRGILKYLGVLWLFAQLRDILKDILGSVLKDNEGALLNLDQEQPALRFFVGGYVFAVSVQRVQLHLQVLNVKRFHHQQQQQQAPQSSAQGELTPSEIHEICDYFSRCVVCEPYDASRIASFIMLLTLPISVIQEFVKLITWNKSLSEAHGDIAAAEGTRAELCLEKHLRSVSDDYTEPSLLSKSNIQHDRANNSVDFTLTFVLDHNLTPHVRTSGGAAWLPFCVSLRLRYTFGDTSHIAYLAMDGSHGGRSCWLQHEDWKRCKQSVVKAVKTVNGSLAGGETGQGRLQMVAEMVQNQLQLCLVHLRDGSLSAGSTRP >SECCE3Rv1G0207080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:917522491:917525010:1 gene:SECCE3Rv1G0207080 transcript:SECCE3Rv1G0207080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWREGAGWCFCSGGGGRSERVKAAIFSAKAAALAAVCGGHGTGLLIHRNLLLTTHGNLPSAAAAEDAADALLGHARLAARLVPHRFFITSSILDLTIVGVDSAENDSTLQAQQPHYLKTCCKPSLDHGSVVYLLGHTGKKELVIGDGKVVIGTDNLIKLSTDGVTWCPGSAGFDAQGNLAFMICDPMKLASSPTARSSSASSSSSHSSKKDQQMQFGIPISVVCDWLYQHWQGSLDEVTKPKLPLVRLMSSRSDHSSSSFTRRNVFKPADDDNDDASVTSKMTSKPKYQQGSGSSANARISHDANPLVDLRTNNEQGISTPEIYESPRGSSCQGHKDPAPVQLLDINFPAKVPKTIFLPLPLKQMLSEENNADTSKAKPRNPSRENHFPAGLIWHRNGEADSRDPPVALMEDCSSEGQSSSSPAERSRYRHQDQFSSEEETMYSAETMESTNIPSSREKHVGRSQSCVNYSRWSSPRKPSMIQTGTLRKQHTLIPVRKTHSQSTSLPQRSHDYLSPTVSSAMKKRNSMELQQPPKPRRIIVQSSPKWMF >SECCE1Rv1G0000400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1439550:1441773:-1 gene:SECCE1Rv1G0000400 transcript:SECCE1Rv1G0000400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLAKIDMEWFQEPLTWLLVASVILVLLQRWRGKVPPLPPGPYSLPIVGNIFMMDQLTHRGFAALAKQYGGLLHLRLGKVHTFAVSTPEYAQQVLQGQDGAFSHRPATIATTYLTYGRADMVFAHYGPFWRQMRKLCVMKLFSRRRPGTWLAVRDESAALVRAVARRSGESVNLGDLIFNLSKNVTFRAAFGAEAAGDGDGGKQDEFITIMQEFSKLFGAFSISDFIPWLSWADPQDTNVRLYAARAALDEFIDEHIKRGKNPDDMDADMVDGMLAFLPEAKPEKAAGDDLQNTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMAEMMHSPDDLLQLQQELAETVGLDRNVDESDLNKLPFLKCVIKETLRLHPPIPLLHRENAEDCVVGGYSVPRGSSVNINIFAMGRDAKVWKDADTFRPSRFMAGEGEAAGVDFNGGCFEFLPFGSGRRSCPGMALGLYSLELVIAQLAHGFNWVLPDGKKPSELDMGDIFGLTAPRAARLWVVPTPRLTCPLVVDVDAVCQT >SECCE2Rv1G0129700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:870020681:870022232:1 gene:SECCE2Rv1G0129700 transcript:SECCE2Rv1G0129700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAGLATVAQLALYVHGLVTKITRAAEAARQNKLECQNLARRVSVIGDLLLQLQDPAVAQPLAGLGETLQEAHDLVVACQRWSARRKFLYADDQAERFREVNRRIDSHLILIPLLSHISITRRLDQVVPPNPTSVLLPAAPTMVAASAMASGSGSRSRSPQLQVEPAMVQYVSSTYAAVEFASAEIAFLTGNFGHVLREDGSATVYKGRLHDGLEVAVKSLKNRGQQRHEQEGAFVAELETLCQLRHDHVVPLVGWCAEDDDRMFVYQYQHTSNGTLRDHLQGGGTASPVTSSWKARVKALLGVSRAVDHLHRVATPRIIHRNVSSSSILLDESWAARVSGFGAAVLQEPTTDGQLVGEVAGTLGYIDPEYRRTRRVCLASDVYSFGVVMLEVLTGRPPSWESKDPNTLVGFAAPIIERGDLGSVLDRRPSPAQMEALNLVAYTAARCLWPQPHDRPAMSNVVTNLEAALGLIDSDEPQRRY >SECCE7Rv1G0473300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:155970317:155971904:-1 gene:SECCE7Rv1G0473300 transcript:SECCE7Rv1G0473300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSTHTSVQRRRRQWTLALVTVASLLERADEALLPAVYREVGAELGASPAALGSLTLCRALVQAVCYPLAACAAARHDRARVVAAGAFLWAVATMLVGVSGTFVQMAIARGFNGVGLALVVPAMNSLAADYSDDTTRGSAFGWLGMASRMGAMMGGTLGVLLAPTTFLGVPGWRLAFHILALLSVALAVSTWFLASDPRPPSVSEKSTASVARELLGEAKDVVRLPTFQILVAQGVAGSVPWTALTFAAMWLELVGFTHWETSVIINLNQLTGALGSLFAGLIGDPMARRFPNAGRIALAQVSTASTIPVAAVLLLALPIDPSAGAAYAAAFAVLGFVMPWCPPATNNPILAEIAPQKARTTVYALDRFFETIFSSFAPAVVGILAERVFGYKPASGATGKTERENAAALAKAVFAEIAVPMGVCCSIYSLLYCTYPADRQRAQKAALIAPEEEDCENATSSTATGVDGLNQALLARSD >SECCE1Rv1G0030430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:427441990:427444894:-1 gene:SECCE1Rv1G0030430 transcript:SECCE1Rv1G0030430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCCSSAGGLARRFLSSPAVVHARPPVPSLPFRRDHSRTHAPVPIMGSLFHSAAAAAIVRPPVMAMQLRHYAIKGRSRAPITPTISKVKKYKMKAPSSMKFRFRTMKDGQIRRWRAGKRHNAHQKSKEAKRRLRKPALVHLAYAKVIKKLNFCG >SECCE2Rv1G0089220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:254933554:254936745:-1 gene:SECCE2Rv1G0089220 transcript:SECCE2Rv1G0089220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPWTDGIVAVSRVRQAQQLGHLGRQSLTASCPAKETPIPPPAATVMLLAVIAVVLLLLLLPPAMPFEASSCDGSTYAPSSPFQASLNLVAAALPGNASSMPSGFATADAGTSPTRAYAMALCRGDVNASTCAACVAAAFRAAGTQDNCPNSTGATMYEDACVLRFSTVQFLDFLRADQWQPGELTFQIAQASRNVASAQGAWFSAAATSILTAVVDHALAAAGNSTAKKKYFATGVVDFEPRIYGLAQCVPEMTLPQCQSCLVTLLVQTTPMLITKPRWIMALVAWCNLRYSVRPFYEGRSMLQLPAPPPPAVVSPSATPDSGRTGKKMSAAGISVGIGCSVLLIFLLSVFAFVRFKRRTSKHSEDDHPFKKIVGAQCMIFELSALQEATESFSEKNKLGEGGFGIVYKGILADGQEIAVKKLLGGTGSGLHQLHNEVQLLAELQHKNLVRLQGFCSHRDDTLLVYEYIKNGSLDNFLFETSEENTLSWEQQHNIILGIAKGILYLHEDSSMRIIHRDLKPNNILVDDGMDPKIADFGLARLLGEGHTHTKTARAVGTLGYMAPEYAIHGHVSPKIDIFSFGVLVLEIVTRRRNSSSDNRDEVNLISDVWNCWTKGTISQMIDRSLDEHARSQALRCIHIGLMCVQSDPDDRPYISSVIFMLTRDNTEIQAPGQPAFFFGREAALASSAYDRSDFILGQDVSVNAVTITEPYPR >SECCE4Rv1G0241640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:458405734:458412208:-1 gene:SECCE4Rv1G0241640 transcript:SECCE4Rv1G0241640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDKLWDDTVAGPQPDTGLGRLRKLAARPAAVKINDVAPGAATVIPPTTPASGEDAPMKVTRSIMIKRPAGYPSSPRSAASTPPASPLGTTPPISPFAGGGGRFRRKSSSDAYERAAPPGASTSHPPPPL >SECCEUnv1G0532980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:25405697:25406053:-1 gene:SECCEUnv1G0532980 transcript:SECCEUnv1G0532980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFAPICIYLMISPLVSLIPLGVPFPFASNSSTYPEKLSAYECGSDPSGDARSTFDIRFYPVPILFIIPDPEVTFSFPWALPPNKIDLFGSWSMMAFLLILTIGSLYEWKRGASDRE >SECCE2Rv1G0104420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:606109768:606112750:1 gene:SECCE2Rv1G0104420 transcript:SECCE2Rv1G0104420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNTLEDTPTWIVASVCSVIVLISIVFERSLHYLGKALVHRRETLYEALLKLKEELMLLGFISLMLVVSQDLIQKICIDESLMGHWLPCAPGASSATAHYGVSRVGVGARRLLKGEHAAATGHCTSKQGKVPLLSLHALEQIHIFIFVLAVTHVALSAFTVLLGLLQMRKWKQWEKSIKSDGDSAAGAEMMMKMQQRKFIQDRYKGYGKATMILLWMRSFFKQFYGSVTKDDYIAMRLGFLMEHFRGNREYNFYDYMIKALEKDYKRVVGIKWYYWIFVMFFLLINVTGWHSYFWISLFPLTLLLVIGMKLEHIITRMAYEVNQKRAAVEAGAIAVDPSDDLFWFRSPRMLLILIHFILFQNAFEFAYLFWALAMFGFNSCIMDKRGYSVSRITICVVVQVLCSYSTLPLYAIVSHMGSSFKSAVFADDVVEHLRDWANDARERAQGTGDAGCLGGGAAATGSSREGVRSQDKAEEPADQLS >SECCE3Rv1G0153780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:57150474:57151333:1 gene:SECCE3Rv1G0153780 transcript:SECCE3Rv1G0153780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTDVDVFKRPRRGYYWSYYIITSSRELESALAHPLAQIYPVVLMFWASWNEPCRVMMRPFRAMAVAKRRAAIFCQVDVDKFKDIVERYRVEALPTFLLLKQGVEKGRVVGAKVGDLSTIIMANI >SECCE3Rv1G0168400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:220098198:220101935:-1 gene:SECCE3Rv1G0168400 transcript:SECCE3Rv1G0168400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESLGVLLLHPMNAYLEQELDRRFRLFRFWDSPPDGRAEFLRANASAIRAVVGNASYNADAALIDALPSLEIVASFSVGIDRVDLPKCRDRGIRVTNTPDVLTDDVADLAVGLAIAALRKIPQADRYVRAGLWKAKGDYTLTTRFSGKRVGIIGLGRIGLAIATRVEAFDCPVNYYQRTKKDYPNYTYYPSAAELAANSDILVVACPLNELTRHIVNREVIEALGPKGVLINIGRGPHVDEPELVSALVEGRLGGAGLDVFEDEPNVPEALFALDNVVLVPHVGSGTHETRKAMADLVLGNLEAHVLKKPLLTPVV >SECCE5Rv1G0330330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:527230084:527231486:-1 gene:SECCE5Rv1G0330330 transcript:SECCE5Rv1G0330330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEAMADAGRELVLGLGVGPGARREAEEGRRDHDVAAAAARRGLALGCGSSPEPAMRLALLPMAPSLGFPWPSESRHLEASTRGFDVNQAPSSGGSAWGACAAAAAAEEEQEDTAAAARAAVSSSPNDSGGSFPMDFSAQGDRDAAPGGAGGSRGSDEDDGGSARKKLRLSKEQAAFLEESFKEHSTLNPRQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELAELRALKTVHPFYMHLPATTLSMCPSCERVASNSAPAPSPAPASAAGAPASSAGIASAAAPEQHRPSSFAALFSSARNFPLASSPQPQAPLPAPSSS >SECCE1Rv1G0054250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673804239:673808075:-1 gene:SECCE1Rv1G0054250 transcript:SECCE1Rv1G0054250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLAGLQMVASPILKKLLGDASKFLGVDMARELHELETTIMPQFELMIEAADKRNHRTDLDKWIQELKQAFFKAEDLLDDHEYNLLERKAKSGKDPLPPHSSTSSSFMKPLHAASNRFSNLRSNNRKLIRQLNELKAILAKGKEFHALLCLPAGNTVEGPVVKTVVVPRATSLPPLEVIGRDKDRDNIIDLLTKPVGVEVNSVIHSGLAIVGAGGMGKSTLAQHVYNDERVQKHFDVRLWVCISRRLDIDRHTREIIESAMEGECPRFDNLDTLQCKLRGILQNSKKFLLVLDDVWFEESCNEMEWKQFLAPLVAQQPGSKVLVTSRSNILPASLYCNKIVPLENMEDAEFLTLFKNHAFSGEEIRDHSRRKKLEDIAGKIANRLGLSPLAAITVGSQLSRKKNTTSWKDALKKDNLSDPTKALSWSYDKLDPRLQRCFLYCSLYPKGYRYDIRELVHLWMAEGFIDSCKENKRVEDIGKDCFREMVSVAFFQPVSERYYVMHDLIHDLAESLSKEHCFRLEDDKVAEIPCTVRHLSVRVESMIQHKQSICKLHHLRTIICIDPVMDDVSDIFSQILRNLKLCVLYLSVFNDSKLPESIGEQKHLKYLNMINTWISELPRSLCTLYHLQFLKFSEKVENLPENLCNLSKLWYLERHSLYGNRVSDPYSVPLPSIHNIGKLTLLQQLSYFSVQKQKGSELWQLREMNGLGGCLNVTNLENVIAKDEALESNLHRKTHLESLRLVWSYMDDINVEDSLHLEILEGLMPPPQLRALTIKGYRSAKYPGWLLQDSYFENLETFVLVNCTALEGLPTNAKLFVNCCSLRLKNVPNMKTLPCLPEGLKELSIAKCPLLIYVSSDEPEQHNQLEIIMNIDQLVSNLSLKRRESPVSKTSDIIASEFSSLEQLMALMDVDMSRIENIRSVIDREEFVIEDSINAWICCHKERMGLIYGRSIRLPLVPPSELTKLYLSSCSITDGALAVCLNGLNSLRSLSLSEIMTLTTLPSQEVLQHLTNLKYLVVQSCWCLRSLGDLRAATSLLEVSFYSCPSLDLARGADEMPLSLKKLSIKWCVVAANFFSGGLPHLTDFDLAGCRSLASLSIGNLTSLASLVLKDLPDLCFVEGLSSLQLHRVSLKYVPKLNAKCISQFRVQKSLIVSSPVILNHMLSAKDFIVPESLNIFNCTEPSVSFEESANFSSLRHLTLFVCEMRSLPGNLKCLTSLTRLDIRNCPNISSLPDLPSSLQHICVWRCERLKDSCKAPDGESWPKIASIRCKEIE >SECCE4Rv1G0250460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:593300574:593303634:-1 gene:SECCE4Rv1G0250460 transcript:SECCE4Rv1G0250460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILRRLSPAAAQRASPLLPLARAVSDSTEPLTVETSIPFTSHIVDPPSREVTTTPRELATAFRDMALMRRAEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRSDAIITAYRDHCLYLARGGDLVAAFAELMGRVGGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQRYRKEGTVTFDLYGDGAANQGQLFEALNMAALWKQPVILVCENNHYGMGTAEWKASKSPAYYKRGDYVPGLKVDGMDFLAVKQACKFAKEHVLENGPIILEMDTYRYHGHSMSDPGSTYRTRDEIAGIRQERDPIERVRKLLLTHDLATAQELKDMEKEIRKEVDTAIAKAKESPMPDTSELFKNVYVNDCGLESFGVDRKVVRTVLP >SECCE1Rv1G0038530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:540443585:540444774:1 gene:SECCE1Rv1G0038530 transcript:SECCE1Rv1G0038530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAMPTMTAESEGATTDDDVHLSPTSVSSGGGGGGGGAPSARFKILCSFGGRIMPRPSDGALKYIGGDTRVLAVPRSIRFRDLKKKVEEMFKTDVAAIKYQLLSSDDLDVLVSVTCDEDLAHMLDEYDRFEAKRSPSASPRFRVYVFVPHQVSASSAAAAVAAPVSSTRHVSYARNQPHYHHQHHHLQPERERYVASMPGTPDGSPPYPEQPNGVVSAGNSPRANIVEQAVFRGGMQRVRSSPNLGGLNAAPLPFHDHAGDSPGGLAGYMSSSPVHPGAGHMFSQGNYNPYRSPQPQYSPAPVPVPHHGGVAGRYDARGGYARGGSYMAAPLAPALRSGRPVTRSGGAPYSEMQTPKKAATIWD >SECCE6Rv1G0430690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:744039581:744040150:-1 gene:SECCE6Rv1G0430690 transcript:SECCE6Rv1G0430690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKMPSLFRRRSSSKSRSASPPPQQEEEGGRPASGAGSPARSAEEEMERVFRKFDANGDGRISRPELAALFESLGHAATEDELTRMMAEADADGDGFISLDEFAALNATAPGDDEEDLRLAFKVFDADGNGAICAAELARVLHGLGEKATVQQCRRMIEGVDKNGDGLINFDEFKVMMASGFAAKMA >SECCE7Rv1G0472920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:151798201:151800678:1 gene:SECCE7Rv1G0472920 transcript:SECCE7Rv1G0472920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLLLLFSSLQLPTTAIDTLALGQALPWNETLVSKGGDFELGFFSPGNSSKHYVGIWYKKISKQTVVWVANREHPVVKPSTSRFMLSIHGELLLLTTPSDTLLWSSNASSRSPPSTTVATLQDDGNLVVRRSNATSSSAYVVWQSFDHPTDTWLPGARLGYNRGAGVHSFLTSWTDAENPAPGAFTMEIDARGQPKFDLFSDAGGGEHRQYWTTGLWDGEIFVNVPEMRSGYFAGFPYARNGTVNFFSYHDRIPMMGAGNFMLDVNGQMRRRQWSDMAGKWILFCSEPHDACDVHGSCGPFGLCSNTTSPACQCPAGFAPRSEQEWKLRNTASGCERRTLLDCTKDRFMQLPNPVQLPNGSSEAAGVRGDRDCERTCLKDCSCTAYVYDGTKCSMWKGELVNLRALSIDQGGDPGLAGAVLHLRVARSEVAASSSSPAHSWKKSMVILGSVVAAVVVLLASLVIGVVAAVMLRRRRGKGKVTAVQGQGSLLLFDYQAVRTATRNFSEKLGGGSFGTVYKGTLPDATPVAVKKLDGLRQGEKQFRAEVVTLGVVQHVNLVRLRGFCSEGNKRALVYDYMANGSLDSYLFKGGGSAAKVLSWGQRYGVALGVARGLAYLHDKCRECIIHCDIKPENILLDDELGAKLADFGMAKLVGHDFSRVLTTMRGTLGYLAPEWLAGSPVTAKADVYSFGLVLFELVSGRRNNAPSEKGGYGMYFPVHAAVSLHEGDVVGLLDERLAKEADVKELERFCRIACWCIQDEEADRPTMGLVAQQLEGVADVGLPPVPSRLHMLAKVNAGAGGGEQDEFYSESSNKLATEKA >SECCE2Rv1G0130970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:880810731:880811955:-1 gene:SECCE2Rv1G0130970 transcript:SECCE2Rv1G0130970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEESVIDKITEKFHRGSSSSSSSSDDEGRSGSQSSSSVKAKMYRLFGREKPVNSVLGGGKPADLFLWRNKKISGGVLAGATAIWLLFEVLEYHLLTLLSHDFIVTLGILFLWSNASAFINKCPPNIPEVKIPEDLAVNVARSLRYEINRGFASLRAIGQGRDLKKFLIVR >SECCE2Rv1G0068920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25769253:25772565:-1 gene:SECCE2Rv1G0068920 transcript:SECCE2Rv1G0068920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRPPPPSAMDRHHQHHHHQQQQQQAPPPSPRGEHAAQPRCWEEFLHRKTIRVLLVETDDSTRQVVTALLRHCMYQVIPAENGHQAWAYLQDRQSNIDLVLTEVFMHGGLSGIDLLGRIMNHEACKAIPVIMMSSHDSMGTVLSCLSNGAADFLAKPIRKNELKNLWAHVWRRSHSSSGSGSGSAIQTQKGTKSKSGDDSNNNSNNRNDDASMGLNARDGSDNGSGTQSSWTKRAVEIDSPQDMSPDQSADPPAHVNHPKSEICSNRWLPGTNNKKCQKPKETNGDEFKGKELEIGAPGNLNTEDQSSPDESSVKPTDNGRCEYLPHNNNSNDAVMENSDEPIVRAADLIGSMAKNMDAQQAAARAIDAPNCSSQAPEGKDADRENAMPYLELSLKRSRSTADGADAAAQEEQRNVVRRSDLSAFTRYNTCAAAVSNQGGAGFVGSCSPNGNSSEAAKTDAAQMKQGSNGSSNNNDMGSTTKSVVTKPAGGNNKVSPINGNTHTSAFHRVQPWAPATAAGKDKADEISKKNAVAAAAAAVAAAAKENGGEAQSKHPCAAAHDASGGSAGGTAQSSLVNPSGPVEGHAANYGSNSGSNNNTNNGSTAATAAGAAAAVHAETGGIDKRSNMMHMKRERRVAAVNKFREKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQAAPPAAVER >SECCE3Rv1G0168230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:216280148:216281113:1 gene:SECCE3Rv1G0168230 transcript:SECCE3Rv1G0168230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGRRRSRRRKSAQREPAPATKIDAVTDDLLELVFLRLPLHRHLVYAACTCRRWRRVIAGDVGGFLLRFISVRGLSPAHFSGHYRVDERHRHPRPPGGNPVFVPSSSRWVAAAVARNLALDFLPRPGLAGRCWELADFWDGLLLMVLLDKDTKWSPAYALVVCDPLTGRYSTVPPSAWFQGCGCLGAFLLHGEDAGARISLSNFRVTCAVYRPGDGVARACAFSSAGGGRWTSGAAWSSMAVYGNQFGSGRGLGPFHFAGSTYGFAYWTVGDGIVLVLNKKAAEFSSSVGLDGRKYAALEDKRHTAEYAYQSPWFRACLS >SECCE5Rv1G0321390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:402703698:402705612:1 gene:SECCE5Rv1G0321390 transcript:SECCE5Rv1G0321390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEAADNVPADLPGHGRTVCVTGAGGFIASWLVKRLLQKGYTVRGTVRNPVDPKNDHLRAFDGAADRLVLLRADLMEPETLVEAFTGCEGIFHAASPVTDDPEKMIEPAIRGTKYVITAAADMGIKRVVFTSTIGTVYMNPHRDPSKPVDDTCWSDLDYCKKTANWYCYAKTVAEQDALETARQRGIELIVVNPVLVLGPLLQPTVNASTEHVMKYLTGSAKTYVNAAQAYVHVKDVAEAHVRVYEAPGAHGRYICAEGTTLHRGELCRVLGKLFPEYPVPTKCKDEMNPPVKGYKFTNQRLKDLGMEFVPVLQSIYETVKSLQEKGMLPVLPPSDDVRDNMHEQLMLKPAQLLRN >SECCE6Rv1G0433300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:757636613:757637650:-1 gene:SECCE6Rv1G0433300 transcript:SECCE6Rv1G0433300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSMSRLLKTTVTLLILVILLMPGAIAATSFDASRSQQLPLPRGTVHGPESVAFDGLGQGPYSGVSDGRILKWNGDKLGWTTYAYGPGYDPETCTPSKFRPETEAAREGRCGRPLGLRFNQKSGDLYVADAYKGLMRVPPGGGEATVLVNNVDGYPLRFTNGVDVDQVTGQVYFTDSSMNYQRWQHEMVTRTGDSTGRLMSYDPRTSDVTVLQAGITYPNGVALSADRTHLVVASTGPCKLLRHWIRGVNAGTSEPFADLPGYPDNVRPDTKGGYWVALHREKNELPFGRDSHRLAVRVGNDGKIVEEMRGSKKVRPTEIMERANGKIYLGSVELPYVGVVKRK >SECCEUnv1G0560610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:348406577:348409149:-1 gene:SECCEUnv1G0560610 transcript:SECCEUnv1G0560610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITFPPGACYSIAVIFLTMIITKIIVPRIVAPRTENGPLQPPIASGASLVAALPTILTKGIHPVIHDLHAKLGSVFTINLFGLKKVTLLVGPEVTSHFFQAMDSELCHPDMYKFTIPIFGKGVIFDVDFTTRNRQIRFAIDAMKPTQLRSKVDYMVHEVQEYFSEWEQEGIIDLKHELAEVLMLIASRCLLGKEVREKMFQEVSVLINDLCKNGMHFISLFFPYIPIPAHRQRDKARAKLGQIFHEIVRSRKISGQAEDDVLQKLIDSKCMENGRSMTESEITGLLISLLFAGQHASSSAASWSGACLISNEKYLAATIEEQQKIIGKHGQHVDYNILLEMGTLHFCIKEAIRMHSPSAMVMRRVKKNFTVKTREGYNYEIPEGHTVATSIVVGNHLPHIYKDPHVYDPYRFGIEREEDKAGGKFAFTSFGGGRHACFGEEYSYMQIKVIWSFLLRNFELKMISPFPEEEIDKFIPGPKGRVMVSYKRRLLVST >SECCE5Rv1G0329050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:512130482:512130715:-1 gene:SECCE5Rv1G0329050 transcript:SECCE5Rv1G0329050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIQAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE6Rv1G0411070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:605345050:605347140:1 gene:SECCE6Rv1G0411070 transcript:SECCE6Rv1G0411070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRKAKLSAEGVTEQEASPAAVLPRVATARDLLEAEEAPDQCSMTPRKKGKKKNPGAGERRCRPAVSLLLVAFLVAVPLAAVLLVDGVGVPAVWIASAKAQLRRGSGHVWSPYARNAPDKLLGGLLPDGVDDKTCRSRHESSSYRRNPPRRPSPYLVAKLRRHEELQRRCGPGSDAYGRAVQQLSAGRSAGEAGCRYVVSVCNRGLGNRILAAASAFLYALLTDRVLLIDRGNGMGELFCEPFPGTTWLLPRDFPVAGLANITVDAAETYGNMLKNKVVTTDSKEPMQVPALAYAYLEHDYGDDDKRFFCDDDQRVLSSIPWLVARMDTYVVPGLFQVPSLAEELAALFPERDAVFHHLGRYLFHPADHVWGLVSRYYRAYLARAEQLVGVQLRVFESEQGKSPHILRQITSCLWKEKLLPEVLAAGEPAVAPAPGGISRTVLIASLRPWFYERIKSMYWEQPTSTGEDVGVHQPSHEEYQHFGHKSHDTKAWAEMYLLSLCDVLVTSGWSTFGYVAQGLAGVTPWVMYRPLNVSATPDPPCGRDVSMEPCFHTPPMYHCKLKHTTDTARSAPHLQRCEDVQWGLKLVQPK >SECCE4Rv1G0222320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55997791:55999811:1 gene:SECCE4Rv1G0222320 transcript:SECCE4Rv1G0222320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSIPHETPTARKRRRRSAAVTPGASAGACSPLWPSLPGDLLRLVSWRVLAGDVMDYVRLRAVCTSWRSDTVCPRGRGVGDPRFHPRRWMMLPEGHGIHPGHKKLGGRVRFLNLDTGTFVRAKIPLFKNHCALDSVDGLLLLQRDEDAAVRLLHPFTGDIAELPPLSTLHTQLERNLDRLPEQDRWFYIRYGICAAASFSSGVCTVMLLFHRLRQLAFATSHDRQWTMASWAVPPCIEPFSFRGKLYLVCNPTLTGATQVLQIDPPPLQDEAGELGSRQPPLPKLIATCPADILSYPAYLVECDSEILVVGHTDRSFSHILVYKLADIMLGRFVPVTSIGDHALFIDERAFYVSCKALPTIMADSIVCREMESREFAQYHLRSGTWSQAVDECALRGYDPGPRSLIHHIITCLLRSVWNKGLFYSEKEQMKRGWLLWKVKKKFRHWA >SECCE6Rv1G0408250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:569571014:569572781:-1 gene:SECCE6Rv1G0408250 transcript:SECCE6Rv1G0408250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGPAAEKPHAVCLPYPAQGHITPMLNVAKLLHARGFDVTFVNTEYNHARLVRSRGPAAVAGLPGFRFATIPDGLPPSDDDDVTQDIPALCKSTTETCLGPFRDLLARLNDPATGHPPVTCVVSDVVMGFSMEAAHELGLTYVQLWTASAISYLGYRHYRLLIGRGIAPLKDAEQLTNGYLDTPVEDVPGLRSMRLRDFPSFIRTTDPDEYIVHYVLRETERTARASAVILNSFDKLEGEAVEAMEALGLPKVYTLGPLPLLARKEPPTPRSAINLSLWKEQEECLEWLDGREPGSVVYVNFGSITVMTSAQMVEFAWGLAQSGKQFMWIVRRDLVRGDAAVLPEEFLAETAGRGLMASWCPQQEVLDHPAVGAFLTHSGWNSALESLCGGVPVISWPFFADQQTNCRYQCNEWGVGMEIDNNVRRDAVAGLITEIMEGEKGREMRKRAQEWKDSAVKAAMPGGSSHRNFDGLVRDVLLPQNQL >SECCE6Rv1G0442240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814382946:814385722:1 gene:SECCE6Rv1G0442240 transcript:SECCE6Rv1G0442240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRPSPTTLVFLVLLYLYYAPSCAFSLSFNLNFSDPGAGASIDDTGDALMGASWLELTKNIRDANIQSSVGRAWYVHKVPLWNNATGEMASFTTTFSFRITPDKESLPNTGDGMAFFLGHIPSAIPPSSSGSSLGLLPEFTNGTGDGRIVAVEFDTFPNEPYADINGNHIGIDVNSLNSTAVTDTATWPGKNLTSLHVMEATVKYHNDSKMLGVDLLIEDALYQVNATVDLSRYLPEEVAVGFSAATGEVAELHQILSWSFSSTLESKKVASPPAEPPLPIPTSSNNHKKLVPILLSILFPLFFLLVCAGVILCWRQHKKRRANEDSEEECDDRADLERGVAAGGPRRYMYQELVAATSNFAEDEKLGRGGFGSVYRGHLMLTVPAAVGGDQDSRDVAVKVLSAESSAQGRKEFEAEVKIISRLKHRNSRWQRGRNPPGFACPNPSPRENRKPVPLLGWCDSRKGLLLVNELVAESSLDRHLYSKDGRFLTWAQRYNIILGLGSALCYLHREWQQCIVHGDIKPSNIMLDSSLSTKLGDFGLARLVDRGARLMQTTKAVLGTVGYIDPEFVNTRRPSTESDVYSFGVVLLEIASGRRPVIEITERSFTLLSWVWGLYGRDAILDAAEERLRGDEADERWMERVLVVGLWCAQPDQSERPSVAQAMQVLQSDEARLPALPLHTYRVAPSLASSVPYGSFSVDSSGSGCVRSSSVSTGNTTLSSESSSTALLPHSKDQAN >SECCE5Rv1G0364790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802910517:802910993:-1 gene:SECCE5Rv1G0364790 transcript:SECCE5Rv1G0364790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAREEEPTVSLALSLSIDSATPADSGAGTPAKRRRGAVVATSGQGEFVCKTCGRAFETFQALGGHRTSHLRGRHGLELGVGVARAIRERRKQRHECHICGLGFEMGQALGGHMRRHREEIALRGADDRWVALLPDLEEAGHQVFADQPPVLLELFV >SECCE6Rv1G0397490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:394286992:394288020:1 gene:SECCE6Rv1G0397490 transcript:SECCE6Rv1G0397490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESGDQMAKKARMELLNQVKQEVAVGETNGGGGAVVAAEVEDASRVDITLKFDMALLHCHVCVLPLKPPVFQCEAAGHVACASCHGKLPGKRCHTCSNGRAYIRCPAMDNVVSAARIPCPYDQHGCRSYVAYNDLGIHQSLCPYAPCSCTEPGCVFVGPPAVLLGHLTTVHSWPVHNIHYGKALRLGVPASRRRVLLVEKDSGGMFLLAVGELGPARAVSLVCVRANAGAGPSYTCKLWAHSPQDAATGKSAFILMDSTVMSSAAPGEILMDEVKFLAVPPVMLHGAEPSKEMHLSVRIDKTS >SECCE2Rv1G0132340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:889395080:889397009:1 gene:SECCE2Rv1G0132340 transcript:SECCE2Rv1G0132340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAMHPAGADDGVLADLLTAATNTEGIICGMPDFKMGGKRGDESAPTDAGDEDGEDDDGDEDGDFAEGEEEISEGEEYDNPKASDAKKKQIGEGEENGEDDEEEQEEQEGGGGDDDDDDDDDDDNEDDDDDDDDVAAEDDEDGVEGEDDDQDNDDDEEDDDEDSLQPPKKRKK >SECCEUnv1G0555960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:306876872:306878066:-1 gene:SECCEUnv1G0555960 transcript:SECCEUnv1G0555960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPYLVLLLCLTTFLQAAANYPPPPPPPFELPESEVRERFSKWVTKYSKHYSCQQEEEMRFQVFKNNTNAIGQFDQQNPGTVVGRGFRPNAFQVGVSGGVRMNRFGDLSPREVIQQFTGLNTTSVNATSPTYLPYHSFKPCCVDWRSSGAVTGVKNQGTCGSCWAFAAVAAIEGMNKIRTGELVSLSEQVLVDCDTRSSGCSGGHSDSAMALVATGGGITSEERYPYAGFQGKCDVDKLLFDHQASVKGFKAVPPNNEGQLAIAVAMQPVTVYIDASGFEFQFYSGGIYRGPCSANVNHAVTIVGYCEGPGEGNKYWIAKNSWSNDWGEQGYVYLAKDVPSSTGTCGLATSPFYPTA >SECCE4Rv1G0285850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:846806405:846806779:-1 gene:SECCE4Rv1G0285850 transcript:SECCE4Rv1G0285850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVVVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE7Rv1G0516910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:849765373:849766633:1 gene:SECCE7Rv1G0516910 transcript:SECCE7Rv1G0516910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAARSRPPEDGRISTGIRSSSSPPSGSETAAERLTDDLLVEILSRVPARSLCRFKCVSKHWLGLTNDRNYRRKLPRTLTGFYQGNQLVDSGVPFTNVSGSRHLTYPAFLPNRWQVKVIDCCNGLLLCSSYVAGDHGDVFRYIVCNPATEELAEFPYCGYSGMMANARLCFDPAVSPHFHVFLLPVADMGDQHGDCITGVHVYSSETGSWVHKEKRWSGIIDVANDRSTVYLNGYLHFCAIVDGSDGRLAAVDKEGEARTNFHVPDGLDVGFIQLSQGCLHYAGFDTDDDNVVRLLVYYLKDYERKEWILKHRVETSHLLGGRHIEYLDEEFDWIAIHPECDLIFFAVAREDITFMCYDMDSGQVKVFCNLEDSKQEYFPYVPLYEELQSLHT >SECCE7Rv1G0479070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:247271608:247273447:1 gene:SECCE7Rv1G0479070 transcript:SECCE7Rv1G0479070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICLAVYTSAMGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYEEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEALPKFLKNGDAGIVKMIPTKPMVVETFATYPPLGRFAVRDMRQTVAVGVIKGVEKKDPTGAKVTKAAIKKK >SECCE4Rv1G0259900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:690821335:690821574:-1 gene:SECCE4Rv1G0259900 transcript:SECCE4Rv1G0259900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLEQWRGSEADSGGDKQAEASGCRTPSGSKARAGADGGCPAPPRKRRAAPGAVSQQGGRGFYAGADVEAFFAANNL >SECCE4Rv1G0252090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:612183605:612184771:1 gene:SECCE4Rv1G0252090 transcript:SECCE4Rv1G0252090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQSRPWSDLQPELLGLILKRLPILADHVRMRAVCHPWRSNSLEQPLPLPFPCLTLPDGTFLNIPGGEIHRMPVPEGACCQGSIDNWLFLTHNDDVGSLMNPLSKTTMELPELATEWKRAIGPCSTFKPVFYKLVVPSPLDASPNSLVTALITDETNCRTLRISQLLSATDSRRGDEYPVTSLADIAFFDGKLCALSGFGKLLIFELDDDLAISSIENIIDFKGDLGVVPQSLGLEKPFITREYLVECGGRLLMVTRWIIWVNISAHDDAFEDRRTATLDVFEADLHSSPHRRWRRVRDLGGHALFLGQHGSKCLPAGECSGYQEDCIYFMCDYPWPSSSANPLRDSGVYNIRNGMITPLMSEAAAAPLERVGQWRPAWVFPSVAM >SECCE7Rv1G0485320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:369188744:369189627:1 gene:SECCE7Rv1G0485320 transcript:SECCE7Rv1G0485320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRWAPLVVLALLASAGDGAVGAKVHHKHGRFTAGQWKPAHATFYGGRDGSDTSGGACGYKDTVAEGYGLQTAAVSTAMFNGGETCGACYEVRCTDSPEWCKAGTAPLTVTATNLCPPNYQLPGDDGGWCNPPREHLDLTMPAFLQIAEEKAGIVPISYRRVPCAKLGGIRYTISGNKYFNMVAVTNVGGAGDVAALKVKGNKRVKWTPLQRNWGQVWQTSEDLTDESLTFRVMTGDHRKHTSWHALPRDWQFGVTYQAPKNF >SECCE4Rv1G0245040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:524182802:524183841:1 gene:SECCE4Rv1G0245040 transcript:SECCE4Rv1G0245040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVVCLNYCGDESGNSFNIFAWNEFKTSAVVPCGVRAHFNTLTKNSMRFMASGRVYTVKLHKEAHKTSIFGAGWAKFFSDNHLGSGVMVSFDMSMPMPEVYIAFQIGNGSEDETFLFENEDRDESSDDAAKEDAPIKDEAGIFYTKGSRLNESEQLELEGLTPFNNEHIGIPFVHRLTKTNIEAGIMRIPKIVAKSLHIPKQGAAGIHLQNGPMIMAAYSTCNDGRIVFNKKDWSLFASGSNLKVDKAMLISFKTNTQCQVDIVVAVDKLF >SECCEUnv1G0556950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:317141911:317143568:-1 gene:SECCEUnv1G0556950 transcript:SECCEUnv1G0556950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWTSVGLVAVAVLVVGIAMPASAAVQPPAPAPSSDGTSIDQGIAYVLMLVALVLTYLIHPLDASSPYRLF >SECCE7Rv1G0499600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:655763512:655771730:-1 gene:SECCE7Rv1G0499600 transcript:SECCE7Rv1G0499600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class-I type histone deacetylase, Seedling root growt [Source: Projected from Oryza sativa (Os06g0583400)] MDISAGGGGNSLPTTGADGSKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLDEMQVLKPHPARDRDLCRFHADDYVSFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEIFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPVLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPLNEYYEYFGPDYTLHVAPSNMENKNTNRQLDEIRSRLLENLTKLRHAPSVQFQERPPEAEQPEHDEDQENPDERHHADSDMEMVDAKPLEDSERRSSTQNVRVKRESAETEVTTDQDGNGVASEQVRGTEPVADGVGSSKQNLPIDASSMAIDEPAVVRAEPERSNKLQEQQALHQKP >SECCE3Rv1G0206160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:905741137:905742108:-1 gene:SECCE3Rv1G0206160 transcript:SECCE3Rv1G0206160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFSVPSCFSSGEKQLPDAPASAAPATRSGQSAVTLVYRAVISGQSRLVTVTWCRNLLAHGMQVSIEGSAGGGKDKSGGGREHGSGGGGIEGGGAAKSCSACKVEMQPWHFWRKYGAKQFQVDGRPVDVVWDLRGARFSDEPEPVSDYYVAVVSDEEVVLLLGNQNKEAFRRTGSRPSLSLGDAAMVCKKEHVFSKKRFLTRARFHEKGKLHDISIECSSSNLGGGMDVDMAIKIDGCVNVLVKHLQWKFRGNDCISINKMKVQVYWDAHDWLFGTGMRQALFIFKPQAPSPSPSPSPDFDAATAEEFSDFCLFLYAWKIE >SECCE5Rv1G0357180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:744291871:744292131:1 gene:SECCE5Rv1G0357180 transcript:SECCE5Rv1G0357180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLQCFCICFVVVLLVGSSPADPLSGRCQLHHRRQLEDVDGLQQATAVASTTAAVRPPREIADLVVYGTSKRLSPGGSNPQHHH >SECCE2Rv1G0111720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:702881869:702885925:-1 gene:SECCE2Rv1G0111720 transcript:SECCE2Rv1G0111720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRNKMVLAPMVRAGTLPFRLLAAEYGADITYGEEIIDHKFVNCQRVTNESLGTTDFVERGTDSVVFRTCPQERDRVVFQIGTSDAVRALKAAEIVCNDVAAIDINMGCPKAFSVSGGMGSALLSKPELIHDILTTLRRNLNTPVTCKIRLLNNRQDTVELARRIEKCGVPALAVHGRKVKDRPRDPAKWDEIADVVSALSIPVIANGNVFEYEDFKRIKEATGATSVMAARGALWNASIFSAEGKVPWEDFKREYVRKTILWDNDIKSTKTTLREIIMHYICLELPEGKGVIKCGSSADVARLYGEEDYYNFVVSNRK >SECCE6Rv1G0381540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:33470152:33474000:1 gene:SECCE6Rv1G0381540 transcript:SECCE6Rv1G0381540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIDAELARAQDERRKLEEALAAGAPMAVSSVTFDKDLYGGGGSGSDRFAGYDTSIPASEDDAPEDDRAEPSATNPAVRRLASYTGHAVAAADIPRSEDDDGMPAKRSQRIIDREDDYRRRRLDRIISPERHDAFASGEATPDPSVRTYADAMRESKVQQEKEHVLREIAKKKKEEEEKAKEKKAAPQPQPAATKRRNRWDQSQDGDAAAGAKKSKTSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGITPGATPSGAWDATPKLPGGLVTPTPKKQRSRWDETPASMGSATPGGTAATPAGYNTPGQTPFGAENLATPTPGHLAARGPMTPEQYQLLRWERDIEERNRPLTDEELDSMFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPMYAIPEENRGQHFDVPKDLGPGLPLMKPEDYQYFGTLLNEDEEEQLTPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKNLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRSDILPDFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVTGIVGKIVEDLKDESEPYRRMVMETIEKVVANLGASDIDPRLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYIWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVASYPALGDDGDNIFSRPELAMFV >SECCEUnv1G0532600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:21962909:21964171:1 gene:SECCEUnv1G0532600 transcript:SECCEUnv1G0532600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGLISEAGWTMFDFPPQGEESDIMAQLLGTFPSSHGDEAQQDLPWYQASHPSYYDTDVHTSGCSDSNASSFAVPSECMGYYLGDSSEAPGISSCTATQDQNLVQEQGATEFLNMIPSISHDLYGNGESSCEGLDSLSAINKRKHSVEEEIDGQARGRKCARKAAPKRAKNAKQTEASCCTSDNDSNASQESADAVVTPKGKARAGRGAATDPQSLYARKRRERINERLKTLQTLVPNGTKVDMSTMLEEAVQYVKFLQLQIKVLSSDEMWMYAPIAYNGMNIGLDLNM >SECCE5Rv1G0371340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846624564:846626636:-1 gene:SECCE5Rv1G0371340 transcript:SECCE5Rv1G0371340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSLLSRPRLPPRPVAAAASPCRARVAVGGGVRGFAAGVRCQAQAGDMDAHYMRRCVELARTAAGHTSPNPMVGCVIVREGHVVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALIKAKVKEVVVGMTDPNPIVASKGIEKLRNAGIDVRVGVEEALCLRLNEAYIHRMLTGKAFATLRTTLSMNGIVINQIGSGADQAGGYYSQLAKEYDGVIISSDMAKATTLPISREAGAKQPLYIIIAQGEGSKLHIPFLDEESALNAIVLADSPVTVEPSGVSVSVLDQMNLESILGLLADRELCSVLVDFRDAGGVLARLLNKFEEDKLVQKVVVELSPVWMVSPGPTDLAFGGSQSFPLKNVEHKEVDGTLLLEGYL >SECCE3Rv1G0189200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721957462:721961235:-1 gene:SECCE3Rv1G0189200 transcript:SECCE3Rv1G0189200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 3.5 [Source:Projected from Arabidopsis thaliana (AT5G19600) UniProtKB/Swiss-Prot;Acc:Q94LW6] MGTASGDDDRHLMRQGGGVNLSARRPFSEALRSGLAETFFPDDPFRGFGALPPRARAWGALKYFVPALEWVPHYGIDKFKFDLLAGITIASLAIPQGISYARLANLPPIIGLYSSFVPPLLYAVFGSSNNLAVGTVAAASLLLASIIEDEVSPEDNPELYLHLFYTSAFFTGIFQTALGVFRLGLIVDFLSRSTITGFMGGTAMIIIMQQLKGLLGMKHFTPKTDLISVLGSIFKYRHEWRWQSALLGICFVLFLLSSKHLKRKMPHLFWVSAIAPFMVVIIGGVFDFLVHGDEHGIPIVGDLKKGINPISISQLRFDGKHIGIAVKAGLLSGILALAEGIAVGRSLAMIKNEQIDGNKEMIAFGMMNIVGSFTSCYLTTGPFSKSAVNFDAGCKTPMSNVVMSVCILLVLLFLAPLFKYTPLVALSSIIVVAMIGLIKVKEFIRLYRVDKFDFCICMVAFLGVVFFTMVIGLSASVGLSVLRALLYVARPATVKLGNITGTEVFRDVKQYPHAKSVPNILVLQLGSPIYFVNAGYLRERILRWVEDEEHICKGHGQDLQCLVLDLGGVTSIDNTGIGMLGEVHKGLDRKGISIALANPRLEVTEKLVVSGYIKDTIGEEGVFLTITDAIASCRYGLQRFRGKEGGSEV >SECCE4Rv1G0289920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:869152044:869153390:-1 gene:SECCE4Rv1G0289920 transcript:SECCE4Rv1G0289920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKPVVMMMAVVIMYAVLNMMTKIAFNEGMHTTVFIVLRLLVAALFLSLIAYFKERKSRPKLTIEIFVYLFLSALLGASLLQWLFFLGLRYTTATFASAFNNTTPMFTFLLALAFKIEKINVATHSGAAKLTGTAMGLAGAMVLALYQGPILTGAPAEHLAIAAAHSGARRWVVGLVVLLGFSASWSMWFILQSKIWTKYPALYSSTAWMFLLSFVQMAVIGAATEKLTLQVWVPGTVLQAVTVLFVGVGVSALGFLAMSWCVERRGPVFTTAFMPLIQIITAGIDVAILHEQLHLGSVVGSVIVVAGLYFILWGKSKESSTIEARGPL >SECCE2Rv1G0124260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:831242440:831244360:1 gene:SECCE2Rv1G0124260 transcript:SECCE2Rv1G0124260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMERGQGSPRLPKSRSPKVDEESLKVPLIESKKTGSRAPAVVLGFECLESTAFNGVATNLVLYLESVLHGSGLASASNVTTWIGTSFLTPVLGAILADTFWGNYNTILVSLVVYLLGMMLITFSALLPTTELCGLGSSCHPMFGAHTVAFSGLYLVAFGSGGVRAALLPFGAEQFDDDNAVDRERKMAFFSWFYICVDFGMIVSGLFLVWIQQNVSWGLGFGIATACIALAFVGFVLFTPMYKRSMPTGSPFKSLCQVVVAACRKVTLRVPADAAVLYEVSDKIDQPRIAHTKEFTFLDKAAVLVDSDLEEVANVDAAAAAVGSWRLCTVTQVEELKILMRLLPIWATSIVLSAAYAQLNTTFVQQGSAMDMRVMSFTIPAASMVSFEVICVLAWVLLYGSVIVPALRRLSPASGEPSQLRRMGAGRLLMAFAMAVAALVEMRRLEAAGSGESISIGWQMPQYFVLAGGEVFCYIAQLEFFYSEAPESMKSMCTSFALLTVALGSYASSLIYAVVDALTATGGRPGWISDDLNEGHLDYFFWTMAALCTLNFVVYSAFARNYQVKTVVS >SECCE6Rv1G0404340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:515512323:515513410:1 gene:SECCE6Rv1G0404340 transcript:SECCE6Rv1G0404340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPTHQTPEPPTMEYSPTSYKKATAALDEAARARLRGPFFGGTTPSAAGQEDVDDDDGLVDLVDEFYNGYGEDAVAKETVAPRPATSWVDALQAALADATADAAAARIRAEAERAVVGAGPNVAGGEGVRKHVADRLRARGFDAGVCRSSWERGSSVPAGSHEYVDVVIAAGASTSRYIVEVNIAAEFETARPSAEYQELLLALPTVLVARPETFKEVAAAMCAAAAESIRGAGMHVPPWRRARYVQAKWSGKYRRVAVVAAATPSGSPWEAGASSTTTTAAEARPRRSMPSSGGPTHCGMEMGRREMAFGSTRPLMFRGL >SECCE7Rv1G0524210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885419741:885421285:1 gene:SECCE7Rv1G0524210 transcript:SECCE7Rv1G0524210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILFLQELPIYTLFVLLVLPLYYLYSRKAGCRSNNPAELPTNWPILHMFPSLVANRHNLHDYCTWVLAGSGLNFRAHSPPGTGMRFFVTCDPANVRHIFTTNYTNFPKGSEFAAIFDIMSGGIFTIDGEPARRQRAKIKGVFNNPRFVNNIASYCLHKTEKNLLPLFTHMASAGTPFNMQEMMSRFMFDLAATSLFGVDPGLLSSEMPPMDAAVALDTVMEVGFFRHIIPTSCWKLMRWLNIVPERKLGKAHTVLRRFDVEMTERWKSNACHIGNDHSPVDIMSPFLVDPYYADNDELSRALIISYMVAARDTVATTLTWLFYKLSQNPNIVSNIRNELSPIALRKVASGAGAIVIFEPTETKSLVYLGAVLYETLRLYPPAAIERKTVATSDIMPSGHKVHAGDTVFICIQSMGRMEGLWGKDCLDYKPDRWLSEDGSNLRYVPSHKFLAFNSGPRMCLGKDIAIMQMKTVLATTLWNFDVQVVEGQSIQPKSSCILEMKNGLIVKLKKRVI >SECCE3Rv1G0195790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:802708205:802709367:1 gene:SECCE3Rv1G0195790 transcript:SECCE3Rv1G0195790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASALLLFFSAAVCLLARRAAGDYGSWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGAACGSCYELKCQDVSSSCLPGSITITATNLCPPNYALPNDDGGWCNPPRAHFDMAEPAYLQIGIYRAGIVAVAYRRVPCVKKGGMRFTINGHSYFNLVLVTNVAGAGDVQSVAIKGSSTGWQAMARNWGQNWQSNADLDGQSLSFRVTLSDGRTVTSNDAAPAGWSFGQTFEGGQF >SECCE5Rv1G0367700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:823333046:823334151:1 gene:SECCE5Rv1G0367700 transcript:SECCE5Rv1G0367700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSPSILLLPLLFLFLSSLPSLASACDRCVHRSKAAYYTSSLTLAAGSCGYGAAAASLNGGLLAAAGPALYRQGVGCGACFQVRCKDEALCGTAGVRVVVTDRARTKTNDTDLVLSSPAFASMARPGMAARLAKLGAVDVEYKRVPCEYKGKNLSLRVEQRSRAPSELAVTILYQGGQTDIVAVDVAQVGSSSSWRSMTRDHGPAWSTSLAPPGPLQLRAVVTGGYDGMWVWANREVLPRHWRAGEVYDTGVQITALAQEGCFPCDTQEWR >SECCE1Rv1G0008690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:48629768:48635669:-1 gene:SECCE1Rv1G0008690 transcript:SECCE1Rv1G0008690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYEFERAELAALERAVGDPSAKAMSLTFSLLRRLTNDFSRDHRIGCGAFSVVYKGVLPSGSRIAVKKLHSAIGMAEDEFENEVFITMRVAHKNVVRLIGYCSHTQSGIFECDGKSVFAEARQRLICTEYVPEGTLDKYITDEFHGGLDWNHRYKVLKGICHGLRYLHDEVRIIHRDIKESNILLGDNLVPKIFDFGFSTSLREGESLSVDERIHGTIGYMAPELVTDRTYSCKSDIFSFGVVMMKLLIGSTWASENRNVELILEKLRKRLVKGAFSSWKNNYHQVRTCLEIVYSCMDMDPDKRPTALEIIQRLDETESTDYSVSSGHAPPLWQPGDEESDSSDVDASELERTPSNDILPSDEEPTSADTTGESSTQEHNMSALVSKLSGSTELSSLDFLERITDGFSYERIVGKDNLDAVYHKAFVYEGNISGQTMVAVKRLIGVEIRVKKFEMEAKRLMNLDHKNIVKLLGYCHDESRGHKLVQFKGKPPQDFKGAEQLLCYEYMHNGSLREYLIGQGSHEVDWHMCYKLIKGTCEGLLYLHEGCGDRPIVHLNLNPSNILLDEHNEPRITGFDFSKLIGEKNTKSVFFQMNGPLGYLPPDFLYSKGTDLKYLTTVDIYSLGLIILEIAARQEIKGDHDIIIKTVETNWRQDSQIATLYGSLEDKFRGQVKMCIDIGLHCVKSKPEKRPTAGEIVLWLDNGSKPVLGQRPAVAGPPLRDNVTHADGHIQETEKQTPLRRFFKRK >SECCE4Rv1G0270930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:758061139:758062592:-1 gene:SECCE4Rv1G0270930 transcript:SECCE4Rv1G0270930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAMTVPDDVLAGVLRLLAPRGLAASRCVCKAWRRVIDDRALLRADLLPRSLGGIFLNYLDLWFTQFLSRPTTGAAISGRLDYTVPGEPNLMPPIHVRDHCNGLLLLHHCVVNPATQQWAPLPPAPGLPQPPPPGMFSFPREHLVYDPTLSPNYFEVLSVPDVPFKINDECEEEVDWPPSTLILPVFSSMSESWEERTFGREGAAAGTLPGMVGPQRVLFKHQSVYWREALYICCSDCFVMRISPSNSTYRAIKLPIEDAEFYLGKSVNGIYCASLFQEFQLQVWFLNDPCDHGQTEWVLKHDRDIFSILPNLNYDKQRDGPWILQEFRYWAKIDADDDSPIVYNNDATVEQKFVWDSDNDNVLEPGGRSNDCCIVFLGFHPYKEVVFLSDKFFRVLAYNWSSSKLQDLGKVFPKFYTDRESDFFHQYVEECFPYTPCWLGELPEKLNL >SECCE7Rv1G0482600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:308564928:308566437:-1 gene:SECCE7Rv1G0482600 transcript:SECCE7Rv1G0482600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKGTLVSPCGNRAAGFGVRRRGAVAARMSPCAPAAVRIGRKSPFLGARLTVGPRRSKLVPRNLVASPVQMNLAFAKSTKWWEKGLQPNMREIESAQDLVDSLANAGDRLVVVDFFSPGCAGCRALHPKICQFAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATIKKFRDALAKHNPDRCSIGPTRGLEESELLALAANKDLQFSYTKQPEPDPTGDSEEVIAPGSPRLPPPAKPLVRQGSGERTLVSSGR >SECCE4Rv1G0229170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:143741241:143741902:1 gene:SECCE4Rv1G0229170 transcript:SECCE4Rv1G0229170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGRGNAAGSKALVAGAAFLCVAAVLLVATPAAEAGATTYLVGDVAGWTRNVDYGGWLAGKTFRAGDVLVFKYNSTFHDVAWVSKGGYRHCIVSPKGGAPVYRNGYDAVALPRGTHYFICGVPGHCSAGMKLAVTVY >SECCE4Rv1G0255590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:648356075:648357097:-1 gene:SECCE4Rv1G0255590 transcript:SECCE4Rv1G0255590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAAEQQSPAPARSPHTYTIGYAMQPDKLDTVIQPSLVALAAERGMRLVAVDPSRPLVDQGPFHLLIHKLYDEKWRAQLEAFSAVHPTVPVVDPPAAIGRLLDRGSMLDVVSELNATVRVGSLGAPRQVAIDDAASLTDPAVVGALQFPLIAKPLDVDGSVSSHAMSLIYRRAGLAALQPPLVLQEFVNHGGVLFKIYVIGGHATCVRRRSLPDVPAERLLDLEGDASVPFHNVSSLTTVHADTDDDVGDDAEMPPPGFVEEVGRGLRRALGLHLFNFDMIRGRKAGGQYFIIDINYFPGFDKLPGYEIALTDFFDEMIRGAADTTDEADGTQASNVL >SECCE6Rv1G0436330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:777333670:777334629:-1 gene:SECCE6Rv1G0436330 transcript:SECCE6Rv1G0436330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRKSPSLSSLLVAALLLMLLPLFALAAGRHNVITRDPQYDPHANPNPEPLPGKKPDPNPQPLPDPQPLPGPRSDPNPQPLPEPKPNPQPLPEPQPDPNAQPLPGPHPDPNPQPLPEPQPDPNAKPLPGPHPDPNPQPLSGQNQQPLTGPQPNPNRWARPDPRPNEYPHPLLGSQSDPNPQPLPDPNQKPLPNPQPNPNPQPLQGPRPDPPLPDPKPKPLPNAQPNPNPQPLRGPQPDPNPQPLPDPNPKPLPDPQPNPNPQPLPGPRLDPNPQPLPDPNPQPLPGPLPDPNAQPKPPLGTRAEKENVEANIQEEPLG >SECCE6Rv1G0408660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:575728882:575731908:-1 gene:SECCE6Rv1G0408660 transcript:SECCE6Rv1G0408660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSSAPAAVQMTPGTTAPAPLPRLPNPLPLFAGGGGGGVRLRHHAYSRKQKSLGLLCSNFVALYDRDGVECIGLDDASRRLGVERRRIYDIVNVLESVGILARKAKNRYSWIGFGGVPMALRELKERALREKSGLAPLPVEELSAANMSDDEDDDKLGAPEAETEGEKLSQTVDNPSDMPDAPPCRLRSDHRKEKSLGLLTQNFVKLFLTMEVSTISLDEAAKFLLGEGHEESNMRTKVRRLYDIANVLSSLKLIEKTQVDTRKPAFRWLGMAGKPKAENGVTIVASPTRKTLSNKRVFGTELTNIGINRSKLEPTIQKKAKLTQGGADILKSYNVAAQKQPGQVNKSGFVYGPFHPSCARKQEPDECNNVGQRAIAHDWESLADSFRPQYQNPALGDLFAHYVDAWKSWYSEFSQGSSIMQQHLGSSVNNKRFL >SECCE1Rv1G0034450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:481971590:481972051:-1 gene:SECCE1Rv1G0034450 transcript:SECCE1Rv1G0034450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPPTTEKAEKAPAVKKPKAEKRLPAGKTASKEGGEKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE1Rv1G0046780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:619691183:619693405:-1 gene:SECCE1Rv1G0046780 transcript:SECCE1Rv1G0046780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRIFEDLGTIESRLLRLEVVVLLSAMVLAALVLYGSTRRRSSDRLLRGVMWMAYSLSYVVVTYAVGIIQDGPFHGETFVLWAAALLLIQASAYAAPVHSRRDVDQRKKLLLQHVLQTGLVLWLVLNATGTNASYRAAIWAFWSLNVLKTAAKIVEMIKASLPDRSVKVVAEYMDVEESLAAADQQPPDPRTMAGYKYLFHGEDTMELNHEFGRLSREEILVQSTCKSVVTIDQVYRWIDAQGYSDVERDMAKDFCLAFALFKLLKRRFYGYVPAEAGSAKALSLVLNGLIHQQHPQSRHHPRDVATGPDAAFRVVEAELAFLYDFFYTRNIVLVGVRTYIFIAVVVLALTMWTAFFGTLGPDYRRLRIGVKDLDRSVTVVVIVITAALEMCQALAAFSNNWRYIKTVYRCVRDGQPWQKKRRGGHLWWNESLAPPDARYWEDKIGQYVLLKRFAHRPLNLLSWLTLFLVEPRRQGQKRERRKELPPPVRRAVLVSLRASRGRLSNGVSTLRRHGLLPRLAWACEFSKATDQILVWHIATTRFDWDRRRRGGQHGDDDRTDGDGDGDEQRLVATKLSNYCAYLVAFVPEMLPDPGYNAEQIFDTVVRQTRCHLAGCKNKDEILARLHKIEADEQKYTEGVEAGGGAEERAGSSTIVEKAALLGGQLGAAVGQDERRRWAVLAEFWAELLLFLAPSDNVDIHAEVLGAGGEFMTQLWALLAHAGVLERPAPGTAATRGRF >SECCE3Rv1G0150620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:33323480:33324559:-1 gene:SECCE3Rv1G0150620 transcript:SECCE3Rv1G0150620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAAAAMAVMALVCAVQSSISGAAVPGLSPGFYKTTCPRLEQIVDAQVRATFNEDQGVAPALLRVLFHDCFTQGCDASVLIKGSGTEQIPGGPNGTLRPVALDLIDRIHREAIAACGRVVSCADVTVLATREALARAGGPRFNVDLGRLDSFAPAPDKVNDLPAPFLDAGKLIEAFKARKLSTDDLVSLSGAHTFGVAHCGVIRPRGTPSMDPKLAEDLQKKCTKPTPTTTQDLDVLTPHVFDNKYYQGLTQKKGMFLSDQSLIDHPLTKNLALTFSKNQTAFFIEFGKSMTKMTEMGVITSKLQGEVRANCAAAGKPPRIEAATAGDQGFTADM >SECCE2Rv1G0129520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:868730085:868730564:-1 gene:SECCE2Rv1G0129520 transcript:SECCE2Rv1G0129520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHSPAVKLQSRAAPPPSSAVGAHRWSRPIAKGPPRKIRIVHVLAPEVIKTDARHFRELVQRLTGKPNGGGGASSSSSSRSAESSSQQAAAGGSSSDAAVAVTVAPAAAATVKAEVKKEEEGGASPEEGSGRASGEAGGTTNDGFFQDLDEFLLGGWL >SECCE1Rv1G0029990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:422549106:422552956:-1 gene:SECCE1Rv1G0029990 transcript:SECCE1Rv1G0029990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKPNRASLPEPSNHKEPASSGSGSGSNYSRAHASAPRVSRLAKPAASAGPSKQAAEARAPSPIHNAARATAASPLDKSVDAAQKPSPGERRSNFKASPHRASTTPDVRQKTPDRQPRIAKASELQAQLNLVQEDLKNAREHLANIDRDRAQVHDDLVLTKTLADEAYQKLEESLAAQRRAEEALELERFKTVEREQASIELARRKEEEWQRKHADVTKRHAQDVNSLAKVTKELENAKGELAVTAQAKNSALSRADEAEKTTHGNAKRMEILMAEVTRIKSEMESREKGAEEIIDKLRSEASELGAELQRAMVFQEKLVRAEEVVEGLKVDIAYAKRAEMDADQSAQGWKTKAAALEDRLVAITSVNKTNEEALASLTKSFKEAQSQLLQLTEKAATSEGEASEYKEGFLETNRRLDIVRKEASELQATIDSLRSEHELLNEAHRQVVSNEKAASSQVGVLGGDKVRLQQELDEAREERNKAKKGVEDVTAALRQVSAEAREAKERVLAKQIELDHAQLQISEMKTATKTAEDKYQLMLQESNCLKKKVEMLESEAKNAKEKYELILDESNYLKKTVERLGSEAKILQDDRVSKEVGFAEMLRRSEEEASYVKSEMSKLMESLAAAEKEMQELKAEKTQLVHQLEEGFDRTTMDAASSSAEQPMVTESTNLKDLLSAKEKEVLALNHQVTELRLREAAALAKANEASKLVDAASSSAEQSMVAERSHLKDLLSTKEKEVLALDHQVTELRLREAAALAKANEASKLMDAASSSAEQSMVAESSHLKDLLSTKEKEVLALGHQVTELRLREAAALAKADEASKLMDAASSSAEQSMVAESSRLKDLLSTKEKEVLALGHQVTELRLREEAALAKADEASKLLAEAATRKAGEEEAARSAEKSNTLLVKLEMDTMLQSQRAAEHEAKDAKDNMVQLQSKLRLVESKITEANLTAEEEKISSLRLKETLAEKEEELQSIARENDGLRTREAAARAKADELAAMLVEATAMKGGDQSAGRSPEKQPNVFRKMMCSPMDNAARGDHEDRRNSDRIVQVLEEIKHVEVETVRQVKHEREVSVEANSMENSKIIEDDLCKGMMSNGVDTESSEDDDIDSQGEDGAADQMGGLLMHGPRSSFKQEHHSHKKKKALLRKFGSMLKKKAHFTKLNKHS >SECCE2Rv1G0068950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25900477:25902836:1 gene:SECCE2Rv1G0068950 transcript:SECCE2Rv1G0068950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMIPESTSRQTPTNENGRKTSKGGQVGSPSTPPPLVYKFNRPPPPSRHQPPQFGPPIHHTPEPYHQSTMAASSSSSLRIPAPTHHPAGGARPLRLPFHSVSVRPRRSAVSPVSASSSRQQAPGPGDGDDADGPAGVPVPFSRDYAIALPRPLTSADLMGEASGEGLRVAYQGCPGAYSEAAAKKAYPSCETVPCEYFETAFQAVEKWAADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEVRLAVRHCLLANRGVKIENLRSAMSHPQALAQCEQTLTQLGIEHREAVDDTAGAAKQIAQENLQDTAAVASSLAAQLYGLDILAENIQDDADNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKRPLRVADDNSTPLKHFDYLFYVDFEASMADPNAQNALSNLKQEFATFLRVLGSYPTDVTEA >SECCE3Rv1G0158420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:88170743:88176200:1 gene:SECCE3Rv1G0158420 transcript:SECCE3Rv1G0158420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLVQARNKLLEGDGMARATGDAGKGELFCTGSGRPVSVSERAIRKARALVGEEVVEKAGVKRKQSFGDAPNVEGGLGEMDTPFRGGAHNATMPPLFQTGSGKAVLPGRSSIQKARAILEGVDGMEQFPLFQTGSGRVVSVSSASVQKAKSVLKDNNTSEENTESSGRPNQPMMFQTGSGRPVTISERSIERSRAAVNEGDAEKSVQWDAGCQVPMFQTGLGKPVAVSWSSVQKASAVLEEEKIKTTGHGDSSVCATTLQSETPRSVLMSSSLIMTDRSVTPNGDSAMQVTRIEKSHEGGNHLPLFQTGLGRSIAISKSSVKRATAVLEPRNIAKELEDEAHLDGGHDTPMFKTRLGRSILASDELCISEAEEAVKSVNNYDGEAFVEEAAFQAGIQKFVPQNKSSSHKASILLEQRNFTEKGYEDCGGQRHMFRTGSGKSVLISESSVQKAKAVLEEEVKINRDNHNLLNVDTKFPVFASPLKTSCPRTVNISSVGVSRAATLLGLEENALSTQFFGHVGDKLGTKINFERKNPEQKLVLASCQTENQVHKEPHRPFELSNNTDSDSSEHSIRFSTMGGRSMAISSDALQRARSLLGGSDLVVSTNNLVGHSLASACNVEMPNSTVTPKECGPDLLKISRVNGKTEFATFPHQTMSDRKHTGSFATDKHANMFHVGSRSISEIPKVPKPSSMCLPETSNANDTKDKTQRRHMPAGVLVDISNLMGTHSGNGDQAVNEKRRIEGRNSASSFKRPRSSRSFDLNPC >SECCE5Rv1G0342470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:632553224:632557648:1 gene:SECCE5Rv1G0342470 transcript:SECCE5Rv1G0342470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGDGYVGTAQDAVKIRRLEKQREAERRKIEELKNKNADGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQMQKRKKRRVRGDPRLSFYDDIGNGSDEDEFENQEPQKKQLGKDPTVETSFLPDREREAEEQAERERLKMQWSREQELIKNEPLSITYSYWDGTGHRRAIQVRKGDSIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATKEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >SECCE3Rv1G0163510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:148698537:148701442:1 gene:SECCE3Rv1G0163510 transcript:SECCE3Rv1G0163510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETERSSTESSAASGLDFEDTALTLTLRLPGSSSSADPDRKRGASSSSSCSLADRSSLLAEAPPAPKARVVGWPPVRSFRKTALENVAAGSTRAACAPAKFVKVAVDGAPYLRKVNLRDYAGYDQLLRALQDKFCSHFTIRKFANDEMKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWKMFEEACQRVRLMKNSEAVNIAPRSAQ >SECCE7Rv1G0465520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:70662512:70663312:-1 gene:SECCE7Rv1G0465520 transcript:SECCE7Rv1G0465520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERALPPPVPEKASAAAFGSPIQPVAAPRQLRAPPHETYVVKVQKDQIYRVPPPENAYLAERYRAERPGGGKSCGGSACSTPLLLTLGLAAAAVLLLGASVWLSVVVLRPDPPRFSVDRLSVRNASAQRHVEVDYDFFLTAINPNKVTALWYKDGGTARLLHQGAALAKAGDVGRPEDGGEDAKDFNVLLHGGGHATPKAVEKALRGSKKEAVALELAVEVPVQVHVGALAFAAKRLAVACEIRTAGLGKHVHISSQKCRSSFGK >SECCE3Rv1G0166050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:182325740:182327458:1 gene:SECCE3Rv1G0166050 transcript:SECCE3Rv1G0166050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSAPRLLFLCFFTLLAHSHFSVARPHHLRLSAEDVATVEAALPARHLAKAKTTFFEVNRPLHPPRGSSGPCSTLLLSQSFALTLNKPPVTAAYSPPSCLSGAGDVSLAVLEWRADCHGVQYDRIFGVWLGGAELLRGSTAEPRPGGVTWSVHKDVTKYASLLAAGNSTLAVYLGNLIDDTYNGVYYANLTLHLYFRGKAQLTSPDSSPADLIVPVSRSLPLDDGLWFVVQNKTDVESTRVAVPANAYRAVLEVYVSSHYSDEFWYMNTPDQNGPFREVTVLLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNLPTYDIELTPFLGKLLDSKEHEVGFAVTNAQNSWYVNGNLHLWLDPKGSTTTGGLISYDAPKLSGSITSHSVDGIDGEYRATASRNISATGWVSSSRGNITTTFAQRLSFANSNVVSSKGSSQVINQTTDAHADVGGGAYAQQVHQSFPLYIFQGGNGSGTSSQRLKRRVEIGFVESRAGASGAGTSTLRNEQVAEAEVVLRDDQVAGASWRMHQVYNYGASNGGCYLRNVTSVGYDVLFDHNVASCAGTRRR >SECCE7Rv1G0517060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:851926853:851927971:1 gene:SECCE7Rv1G0517060 transcript:SECCE7Rv1G0517060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKHHMVLLALVMSIQHDWANGASRTREWRNLVNSPALPPYQDVVGGGGPPTRAHDVQPSPPYCAYPPPANPTSPAAPSMPPPAGAPPPATAPVGSPSPPANAPAKPRVAPPAGAPQAGGPAAIGAPQGLWCVANPTACGSGADCGMAAPGGPCYLPDTLMAHASFAFNSYWQRNKAAGGTCDFAGSAMLITKDPTYDECQYVYM >SECCE5Rv1G0297610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:4241101:4241612:-1 gene:SECCE5Rv1G0297610 transcript:SECCE5Rv1G0297610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGDAPARGSAAAAASLRRRRTTSGAAGGGGGASTMLQFYTDEAAGRKMSPNAVLIMSIGFVAVVAVLHVFGKLYH >SECCE6Rv1G0421020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:681285337:681286871:1 gene:SECCE6Rv1G0421020 transcript:SECCE6Rv1G0421020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSMEHPWAFAFGLLGNVISFSSLLAPIPTFYRIFKSKSTEGFQSVPYVVALFSAMLWIFYALVKTGEGLLISINAAGCVIETVYIIMYLVYAPRKAKIFTAKIVVLLNITGFGLIFLLTFFAFHGETRVVSLGWICVGFSVCVFVAPLSIIGRVIKTKSVEYMPFTLSLTLTLSAVVWFLYGLLIKDKYVALPNILGFTFGVIQMVLYMFYMNKTPVASQVKEGKEAWKVPAEDHVVVINVGKADKSSCAEVRPVTEMASAVDVPRRCAAAAPGQQVMAVDFARSAEVV >SECCE5Rv1G0359950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:766817453:766818556:1 gene:SECCE5Rv1G0359950 transcript:SECCE5Rv1G0359950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKRPAAVHARATTATEGCKRRRVRIGSTEDYEFDDAPSLGKGSFGTVVRARHRATGRTVAIKFPCDTEDPAVAAAELRREAGFLATCAGNPYVVGCHGLVCDPATPRLGLAMEYVAGPSLHGFLRERAPLPEPIVCAYMWRLLTGAEKMHRLGIVHRDLKPSNVLVGKGGKILKICDLGLAMSLRTDGRTRCSDAGTLSYMAPEVLLAKPDYDAGADTWSLGCVMAEMLTGKPLFKGDVRRDDPVRQLRTIFRVLGSPDDRTWPEFASLPLAARVRFREQQRCTLGDLFPAEMLSEDGYQVLKGLLECNPGKRLTAAAALQLPWFMPEIDIDTNTNDTPATPKEENLLRIPLEMWKNVQRRDCA >SECCE6Rv1G0401160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:463709494:463714206:1 gene:SECCE6Rv1G0401160 transcript:SECCE6Rv1G0401160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGSKKIVERNRKRMDLLWRIILVSNVIYIVVRMAVMYSSFTWKHWIGLVVTSAAYFLSYKQLASMTKPEYSDADNRELLSSGYDMATGGISEYIEDVIYITAFVQLTSIISGKFWWTYLVIPAFGGYKIFFLLKGTFFGGGSEGEVEDEKSRKKREKMEKKASRGKMVKTRTR >SECCE2Rv1G0097880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:470694834:470697609:-1 gene:SECCE2Rv1G0097880 transcript:SECCE2Rv1G0097880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFFCFSSSTTQQKPKEVFLLADKTMLTVSKKDSQEQTVKFTAGSVSPKQMANENNHSVASGQYDINSSSHQECWRSDEWNRHACSDDDKEEKVGHLQKSQSLGNMLQEDCDHHGSEGTECDITDNDYRSHCSGFKSNTDVEESTRLGSKNNQNVFDASSDLISHGVYEPSVDHAADSDRHHSYDHSKFPRSQSAIFQNNSDSDREGSVDSERLGPRCRSFEDLCSMDGEKVDYLSGDETNRSKSNLPVLCAGPSSPGISETLDLEDGSGGRSDAAEDGQRSSASIDGKFVRDGMLSHDYWDDKYIAADNSAHPVAACCADSGHYCVINGALNQEREEKLCNRNSGLDHELLGIDMPNLKNLSDSKDISEEAEHNETGMNGDQHFDEDPNELSPRAYSIKRIEDWISQIDIDNDIIVEEQGESSSSASTKYSESVISVSAVRPDAKSPLGMEIAYTYISKLTPVSSSAQLPNLGLVAIPRLSAFSGLRLLNLSGNSIVRITAGALPKGLHMLSLSKNNISIIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLAGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYNSLEAINLDGNPAQKNVGDQHLKKYLLGLLPNLAVYNKQPVRATGSKEVSDRNTRKISSSHRSDRSSRSDRKSSRLVAGTSSRHARPAHTSGPLVKQSRSRNTPMAALGSRPVEYAGAGVPAKQIQMEEKSQ >SECCE5Rv1G0368170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826610967:826613594:-1 gene:SECCE5Rv1G0368170 transcript:SECCE5Rv1G0368170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPDPIDDPAPAPPPAMDNALPAAVVATILSRLDVRSLLLASAVCRCFRSCASHALSFLPAFHLLEVVLTHNLLRPLLPRNPALRSLRLDAARLDDDAVDCLARPGLHELTLRNCNNISGRLLRELSATCPDLRVLSLNSLADRRGLAMTFSDLKALLDGCSSLETLHLALDFSKFDNPSFSHVWSSASEGLSSLEMGFIPLQMLLALLDVAIESRQRIGYVKAPVFFPSLQKLRLTVEFITDHLIGSISTALPLLTHLDLQDSPIMEPESATATDLTDAGLQQINPKGKLKHLSLIRSQEFIYTSFRHVNDLGILLMSKKCSNLESICLGGFSRVTDTGFRAIIQACPGLHKLKVTNGSHLTDLVFHDIVATSLCLTHVSLRWCALLTDVGIERLSFNKGLNVLDLKDCKSLGDEAVRALSCLPGLRKLVLDGTMITNQAMEYLGAGVCPLASLSLRGCYKLTNDCIPLLFAGSVKESLHALDLSRIPSLTDDAIMVIVRTRAPLTELRLRENPEIGDASVKALASMQFEGVTCGSTLQLLDLYDCGGITLLAMRWFKKPLFPRLRWLGLKGSLNRIMVDALVLTRPFLRLACGGEELGTPYRDTSGEWCRHEDDDSEDLEPWQLGGEPVSDAETVNEE >SECCE4Rv1G0291360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876465764:876466816:-1 gene:SECCE4Rv1G0291360 transcript:SECCE4Rv1G0291360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVAEQKLEPGCLGDALPATDAADNLAELIPSLPVDKRLLLPPAGSQRRQYRGYWFPEWHLSALAAARDHFEPKPTDIFLVSCPKSGTTWLKSLAFATVHRSVHPPSGREHPLLHENPHGCVEFIHAIYRQPVDVARGIVQAYPSPRIFGTHFPLSLLPERISGDGSGCRIVYICRDPKDVVVSWWWFMRTYVPNPEQLRFEEVFDLFCEGRTGAGPYWRHALEHWEESRRRPGKVLLLRYEEMLRDPLGNLRRLAEFLGCPFSEAEEKAGVLDAILELCSLDNLKKLEVNQSGNKMKDGPVMNHSFFRKGVSGDWINTMTPEMAARLDAIVQQALQGTGFGFGISTPQ >SECCE2Rv1G0089330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:256227239:256235189:-1 gene:SECCE2Rv1G0089330 transcript:SECCE2Rv1G0089330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLLLLASASSLPAPASGDEGAVPVINAFSHFCGPTSDADGLYEPKSTFAANLATMSAVLPKNASASGFSAGAFGRAPDTAYGLALCRWDYTGDGCGACVSMALKNAVSLCGRSKDVTVYHDQCHIRFYDQDFLAGAVNSPESVASNMNNVSRGNVAVFDGLVTRLANAVADRASNVSGRYATGQAGSPPDKINVYGLAQCTPDLTTAQCRACLAGIIKQMPKFLSGRVGGRILGVRCAVRYEKDIFFETTGDMVTLTPLLDPSKGSSNTHKLWIVAIAVPVTVLLCGFLACFMWTRRRRRRGRVNIPTMSMEMEQVLKLWKIEESDSEFSLYDFDQIADATGNFSNDYKLGQGGFGAVYRGQLSGGLEIAIKRLSTCSVQGLMEFKTEIQLIAKLQHTNLVRLLGCCLQAEEKMLIYEYMHNKSLDCFIFDSAKGAILNWERRFRIIDGIAQGLLYMHKHSRLRVVHRDLKASNILLDRDMNPKISDFGLARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSTKSDVFSFGVLLLEIISGKRTAGFYQYGKFFNLTGYAYQLWQEGKWHEMVDQVLGVDCPVTEVMKCVQVALLCVQDSADDRPSMSDVVAMLSGEGLTLPEPRQPAYFNVRLSTLPESNSSFGESSYISNVALTDEDGR >SECCE5Rv1G0371130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845572865:845573239:-1 gene:SECCE5Rv1G0371130 transcript:SECCE5Rv1G0371130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARQRSERGGMSTTTRPRLAAAADAASWCFALSTLAALLLVCSLGEAEAVTVRGASLSARWRPCEEVYVVVEGETLHGISDRCGDPYILERNPHVHDPDDVFPGLVLRITSSSSRPSAPASPP >SECCE5Rv1G0325890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:470600122:470600987:1 gene:SECCE5Rv1G0325890 transcript:SECCE5Rv1G0325890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAEVIVQHPGGKVERLYWPTPAAEVMKTNPGHYVALVILRLSPDDKAAAGDEAAAAAAVAGAGAAAKITRVKLLKPKDTLHLGQVYRLITAQEVTKALRARKNDKMRRCEAIKQQHDQLRRGDGAEQGASDKDANAKRGEKDRHRGSGGAQPAGSGRGRHWRPSLQSISEAAAGQSSSASSSISESTAS >SECCE5Rv1G0356630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741639760:741642916:1 gene:SECCE5Rv1G0356630 transcript:SECCE5Rv1G0356630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASPWTPANLQPDYREDKKRRLPQGHTGEVSSSRSAHEDLDDMFASLSIDTVLAQENSEDHPKDRKRKSGQENAGNLTNALPDDVLENMFASLSIDSIVTSTASTRSGKGSFIILDDNILAGGNSDCNTSTDSRRPRSEVFIEMVNDLLLRHDGTGVRVFEVRFDLNSTHASHLDKWVQFASEAGAQDVKLSLCQNMTSCPEHLVTANRYNFPLHCFGDGRQRSSMRKLDLTNCIFTPPLDSSAFSSLVCLYLVRVTITDSGIHNICSCCPILRHLRLARCDDLVNVRISHEVLICLDIFRCKKLVSVEIHATCLLIFEYDGHEVHTNYASTPSMRFLTNKFKNRNGYLSDSVEAMKRIRKITLTFLWPWEKPNYTLYAIKFTAIQFINLFIVPSWNNVRGVAYLLKATPYLKRLRLEARSGDHHYRDDDVQVSWPEGISLKRLRFITVGGFAAQAPLVELLVCLVHAGARSRRTYLQIDPHHHLCKGLGRWVREDVGDKPARDRARDAAKEALRSRLPPSVKLVIK >SECCE5Rv1G0322600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:422007865:422009148:1 gene:SECCE5Rv1G0322600 transcript:SECCE5Rv1G0322600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNLKAPVDDGLILKTTKGAGIGLAAGSVWGLLVSMLHDGPKVGSNVRFPELVRTGKVCGSYAGTLAILGATYVGVEQSLERVRGKRDIINGAVAGFAAGATMGLRAGRLRTLLVSGSALALTSVLLDVTGMRTTKEEEEKVHH >SECCE4Rv1G0258610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:678294215:678295808:1 gene:SECCE4Rv1G0258610 transcript:SECCE4Rv1G0258610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARLRAVTLSAALFVQLVGVFSVSGAEAPNSSSSPSASPLVQQTAFTSRRPSVERELDAARAAIRRAARLHRGSNASSSPGTWFRGDDVEYALLARVYRNPAAFHRSYVEMERRFKVYVYEEGEPPILHAGPCKNIYTIEGRFIEQLELLAPPAAGVRTWEADRAHAFFLPFSVVQMVHFAYRHLSYDRAPLLSLVGDYVRVVASRHPFWNRSAGADHFMLSCHDWGPDASKGDPELYANGIRALCNANTSEGFRPGKDVSVPEINLYDGDTPRQLLGPSPGLSARPYLAFFAGGRHGHVRDLLLRHWKGRDPATFPVYEYDLPSTTGNSSSHKRRGRDRQSNYFAYMHRSRFCMCPSGHEVASPRVVEAIHAGCIPVLVSDGYAPPFADVLRWESFSVSVPVADIPRLKEVLEGIPTAEVERLRDGVRLVKRHFTLRQPPERLDMFHMILHSVWLRRLNFRLDH >SECCE5Rv1G0332970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:553499704:553503739:1 gene:SECCE5Rv1G0332970 transcript:SECCE5Rv1G0332970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASPEGGAQNAAVKRVGHYSSTQTLLLVGEGDLSFSRALATGFGSGANLVATSLEDYDSLTDKYPEAKSNLAELKKMGAVILHGVDAHTMNLHPDLKMKRFNRILFNFPHAGFGFRLKEDDERLIWEHQKVVKAFFESASQLLLPYGEVHVSHKTKNPYDKWDLRGLATVFGLHLVKEAPFCAADYPGYNNKRGDGKDCDQPFMLGECSTFMFSKWYPMKIKRSVHMFGSTPLPSIENGGVYPNSSAVSGGCWPSHPLPPAPVYGMPPGSGFTHHDAVAQQGCDVLPSRLIYAPQHTRYLPMKIERPFHPLPPAPVYGMPPGSGFTHHDAVAQQGYVPQQNSNMAGIIHQDQFSHQIMLIRTFGRHPY >SECCEUnv1G0554520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:287009130:287009342:-1 gene:SECCEUnv1G0554520 transcript:SECCEUnv1G0554520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWRIRNMTIAFQLAVFALIATSSVLVISVPLVFASPDGWSNNKNVVFSGTSLWIGLVFLVAILNSLIS >SECCE7Rv1G0456110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9406398:9407867:1 gene:SECCE7Rv1G0456110 transcript:SECCE7Rv1G0456110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPASTPRGSKSARGTYRGVDRLSSLPSELLHRVMSFLPMPEVVRTSLLSPSWRNLWASTPYIRIDHHDFTDDNTKMEKFGDRLLLLRDSTATLDEARIIDHTVASTTCTVWIRHVIMHKVRHLHVSGLGHLDSSAIPPSHHLETIRLQFAILGNGLLRPLNYDCRVLQLLQLEDCVLVDLKEISSRSLKVLRIINCLITGSLLICASNLTNLSILDMHSHSEAILVRDLSSLVTAFVSVKTIEGHGLLNGLSHATTLELHAPLLERGLWICPMFSNLTSLVLGSWCMAADFDALLRILQRSPKLKELTFKLERVQCIRCMYSESTLAPSGAALSLGSHPCIERVKICCWEENPSVGALVEALQLIAGDVKISIKRLY >SECCE3Rv1G0149000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:21153959:21155218:1 gene:SECCE3Rv1G0149000 transcript:SECCE3Rv1G0149000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAPANTRIVEDRSKTTMATIGWPDLPPELLGDVLSRLPSYLEHARVRAVCRSWRSGVRTQPPPPLLPWAGLRSGAFLDLAEGALDRLPVPEADVAHRVSTGRLLFLVRRDGACSLMDPHSGEETPQHIEPEYLCARLQFNDFLLVHPRRSGDTTAPRKISRLVADSILKVVVSDHLVAVLIRGLQMSNNVSIYTRGGTMRMAWAPPPEFPGRAVDMALFKGKLYVLASEVLRRSYELHTLDMATGESFQCIRSSTPPTRVDEGHNRVREVRFYLVSSGDRLLMVEHTIDHMTCPQTYLPSQFQVFEAADMDLGDGRGRWSEVDTLMGRALFVSEGCSESLPATASGIGAREDCIYFISERQRLGPRARDDSMMELESGVYNMRDGTTVAPLPPLETATTASVDGPWSPTWLFPPMV >SECCE3Rv1G0157800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:83853885:83857958:1 gene:SECCE3Rv1G0157800 transcript:SECCE3Rv1G0157800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPQHPPASGGRLLVLYASQTGHAEEAAGRVGREAERGGCPAVHVLSMDSFDPRRLPGERFVVFVVSTAGQGDPPNSMKGFWRYLLKKNLDARWLQGFRYAVFGLGDSGYKEYNVAAKKLQKRLSQLGAKSIIGIGLGNDQDSSGYENALGPWLLSLWKSLNRTNPLLLPRMPDIINPNLNNLGDAKVEVIYYSSDDTPQDSIVSDSKKLIGRARSMHPALKFHNDGEPQYMLKMVTNQRLTKDPERDVRHFELEDPSSAVRYQVGDALEILPSQNPSAVNAFIKRCNLDPDCYISIMSYFATAQQEKESLHCFATTEDEKERLQKKRLQKERLEEFASPEGRDILYQYNHKEGRTVLEVLEDFPWVHMPFEWLVQLTPPLKKRAFSISSSPLAHPNQIHLTVSVVSWLATPFRRKHGLCSTWLAGLNPNEETLVPCWIHRGSLPPPDPSTPLVLIGPGTGCAPFRAFVEERAAQRARQPTAPILFFFGCRNEDGDFLYRDFWSHHAQDNRVLSAKEGGGFFAAFSRDQPEKVYVQHKIREQSARVLNMLCSGATIYVAGSSTKMPADVRAALEEVVREKGGGDAGWLRKLERAGKYNTETWS >SECCE3Rv1G0156840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:76230565:76242009:-1 gene:SECCE3Rv1G0156840 transcript:SECCE3Rv1G0156840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAISIGKSVLSGALNYAQSAVAEEVALQLGVQCDHSFISDELEMMQSFLMAAHDERDDNRVVRTWVKQVRDVSYSVEDCLLDFAVLARRHVAMQMKELRAKVEEVSQRSQRYNLIKGSSSKLTSAVGQPVNSSATMTAADDARLRQHKAKMDLTELINCKNDDTLRVISLWGTSSGDPGEISIIKSAYDDPMIQKNFYCCAWVTLICPSNQTDFIRSIVRQICVNSLQDTGEEEQTTNGAQVLKMLAMTKEDGLACEFKRYLDDKSYLLVLSDINTIEDWNCIKTCFPNNTKGSRIIVCTEQVEVACLCIEAEGEDLVHKKLFTDQSLYAFYKKVSQEARSLTEKGSTSYVASPGIDNSTHKNILTRMETMATLEESRLIGRGNEKEEFIRLISNKDLQRFRVISLWGMGGIGKTTLVRDIYQSQEISSMFDKRACVTVMPPFSSTTLIESLTMQFRDNNETDLRRCLEGRRYLLVLDDLWSRVEWDAIKQYLPEMAASCIIVTTREENIAKHCSMEERNIYKLNHLSHDDACTLFTKKVFKKTVNLEEQYPELVEHAKLILKKCRGLPLALVTIGGFLASQPKTAFEWRQLNEHITAELEMNPELEIIKAVLMKSYDGLPFHLKACFLYLAIFPEDYKIARRRLVRRWIAEGYSSGVRGKSAEEILDDYFMELISRSMILPLQQSIYSRKGIDSCHVHDLIREIAISKSMEENLVFTLEEGCSVNNQGVVRHLAVSSNWKGDQCEFENVVDLSRVRSLTVFGNWRPFYISDKMRLLRVLDLEGKWDLVDHHLEHIGKLVHLIYLSLAGHASIFHLPNSVGNLGQLQTLDISGTSIVKLPRTIIKLVKMHHIIASNIGVSVWSLFDAADEQSLMSLPLHSALCCLACCAPNDLAEHLWLEDAAHLNRRDVCTACCCSILPYYAAGGRNRGGVEVPRGIWNLKALHTLRTVDVSVGKVVLEDIKKLTRLRKLGLTGINKRISQDLESLSLQSHGETGLSGCLDGLSSPPENLQSLKLIGNLVKLPEWIQWLKSLVKLKLERSSISEHDAAMQVLGNLPNLATLRLLYDSFVGEEVSFVFCWETFPSLKVLQLYCIRNLKSVGFEEGAAPKLELLQHYNGWMSPTVGLFSGLQQLPSLKESMLEESNWRNTEFVEQLQGRK >SECCE5Rv1G0353210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:714467511:714470668:-1 gene:SECCE5Rv1G0353210 transcript:SECCE5Rv1G0353210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oligopeptide transporter [Source:Projected from Arabidopsis thaliana (AT4G16370) TAIR;Acc:AT4G16370] MSSTKPAAGATGGKAAAQGEGERYPVEEVALVVPETDDPATPVMTFRAWTLGLTSCVVLIFLNTFFTYRTQPLTISGILAQILVLPVGRFMASVLPDREVRLLGGRLGSFNLNPGPFNIKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQSLSFLCALLIVLSTQILGYGWAGMLRRFLVDPAEMWWPSNLAQVSLFRALHETKEGGKPSKGPSRMRFFLIFFFASFAYYALPGYLLPILTFFSWACWVWPRSITAQQIGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWASIANTAVGFVMFIYVIVPLCYWRFNTFDARRFPIFSNQLFTSAGQKYDTTKVLTADFDLNVAAYDSYGKLYLSPLFAISIGSGFLRFSATIVHVLLFHGADMWRQSRRAIGAAAKLDVHARLMQRYKQVPQWWFLVLLAGSIAVSLLMCFVWKEQVQLPWWGMLFAFALAFVVTLPIGVIQATTNQQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLDSIDNICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPGRLFGQHGLYRNLVWLFVVGAVLPVPVWLLSRAFPEKKWIALVNVPVISYGFAGMPPATPTNIASWLVTGTVFNFFVFRYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHDLKWWGTEVDHCPLATCPTAPGIVVKGCPVF >SECCE6Rv1G0423630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:696903140:696908046:1 gene:SECCE6Rv1G0423630 transcript:SECCE6Rv1G0423630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRMLNQNAEVMNKSAALAMNIGAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKMSERCIEEGTHPRFLVDGFEVAKKATLEFLETFKTAVVMGEQPDREILKMVARTTLRTKLYEGLADQLTDIVVNAVLCIRQSDQPIDLFMVEIMHMRHKFDVDTRLIEGLVLDHGSRHPDMKRRAENCYILTANVSLEYEKSEINAGFFYSNAEQREKMVTAERRQVDERVQKIIELKNKVCAGTDKNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAINSVEGMTEDCLGWAGLVYEHVLGEEKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTVEDEAVVLGAGAFEMAARKHLIDNVKKTVKGRAQLGVGAFADALLVIPKTLAENSGLDTQDVIVSLENEHDRGLVVGLNHNTGEPVDPEMEGIYDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >SECCE4Rv1G0250010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:588567507:588567935:-1 gene:SECCE4Rv1G0250010 transcript:SECCE4Rv1G0250010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSAKSRRFAAACGVLSRCITAAETRPAVTVALPLVPGAEVPAQDEHAVGPAPEHAQMTIFYGGQVLVLDEVPADRAAELLRVAAAAGSARGDGDLPMARKASLQRFMEKRKGRLAARAVPYSRPDGEAFSCSRLTLTL >SECCE2Rv1G0131600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:885551071:885552971:1 gene:SECCE2Rv1G0131600 transcript:SECCE2Rv1G0131600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGYTVEVTNLSSSASENDLHEFFSFSGPIEHIDLIRSGGYGSTAYVTFKEPYALETAVLLSGATIVDQPVCISRWGQPDEPCNFWDRPTWEVEEEIEYRDYQSCQFNATPQEALTVAQDVVKTMLSRGYILSKDALSRARAFDESHQLSGSAAAKAAELSRRLGLTDRVSAGVGAIRSVDETYHVTETTKTVATATGRTAVKVMNTIVTSSYFSAGAMMVTEALTRAAKAAENLAAHGRQN >SECCE5Rv1G0338100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:596323967:596324413:1 gene:SECCE5Rv1G0338100 transcript:SECCE5Rv1G0338100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGPMVSKKIHALTLADRERVHYRKAFALGTIEKRPVIVHGGDFTANLIHQLEMLGQVDGHGGCQADWSLYPLFNDDHVNCCYSGDDDDADVLLDVCDKDDDDELSVLDVIRSKREVEGLEFNMEEEIDQAADMFIKRFRQRLNNGF >SECCE7Rv1G0518620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:860090614:860093064:1 gene:SECCE7Rv1G0518620 transcript:SECCE7Rv1G0518620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLGTPTEMNYSISDSWSDEELVRFLAERKADDSLPENVLVGIDLTLIHPQDSSPGNIWYLNQSDGQQPYVNGDSDIRKAKGGYWKCIDVLRIPTSKSTAGVKFCLAFYEGEAPSGKRTQWLMHEYQVEQNDEANVPQEYKCLSTIFMQGSKKLNTEDELLSLSTNAPNDQLESYLQYLADMEEQNVAVNSKIVSSSQQNSSSREGKDIYEDYSAADEVDFVNALANEDYIEMKDLLSSDGSASTSEFSSRRSEEYFDSDALLRELLNDQNTSREIHQDCKHNIAGPTKSDRVVISPPEQGLVHKHDNAAMVAGTSLEKAASDAERDQHSIEQCLDHHPPASCCSQNSHVEQSHSNSSSSSCGSTTSPQRSRSIGKLGKIGKKYCCLGSF >SECCE2Rv1G0142480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:940820915:940822114:-1 gene:SECCE2Rv1G0142480 transcript:SECCE2Rv1G0142480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCGETNQQWPPPPPGASLPPDMLANIHGRLNLLDRLSFAAVFHTSRARFKPEAPWLVLPGDTPEIATLFSLSDRGAATARAPWADHVVLGSSSRCWLVTADEEARLRLVNPVTGERCTLPAITTIPCLIEEWGGFTMVEQKEFLRGPPYPGGGDRRPVGRTYTIRADLMRHFFYRKVVVSDSAAMLITTPQYGVPAFATAEGGQWRLAPSPDGVEDAIYYNGKFYSITYTGAVEAWEESPDASGVFTSTVVAPRLPNADGDPWSCRKYLVAAPGGKLMVVLEDSKETTDDYYGHLRTCSFKVQILDADGEQWKETDDIGDAALFVGVNSSMCVSTREHPEIRAGCVYYTENDVGLCNDAYDQSGVGVFNLKDGREEKVQGLGEHRNWPPPAWFIPSIQ >SECCE3Rv1G0158080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85434389:85437054:-1 gene:SECCE3Rv1G0158080 transcript:SECCE3Rv1G0158080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein DRT111, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30480) UniProtKB/Swiss-Prot;Acc:P42698] MLGGLYGDLPPPTSAAGDDDKPTASVWSSATKMAPPTLRKPSATFAPPTSLLRNQHPRPAAAPKPSAVQQQPPHPLPLASAVTTTAASFHPALVAVQSTVLEEYDPARPNDYEDYRKERLKRAKDAEMKKELDRRRREDQERERERERELREAEARLREEQSRASSLNISGEEAWKRRAAMSGAGGATPQRASSPPHGDASGFSIPGSSSSGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITAPLVARKTDRRAGVIVDESSSRRPKSANFEGQPTRVVLLRNMIGPGEVDDELEDEIASECSKFGAVLRVLIFEITQADFPADEAVRIFVLFERTEESTKALVELEGRYFGGRIVHATFFDEGRFERNELAPMPGEVPGFD >SECCE7Rv1G0470270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:118242710:118245887:1 gene:SECCE7Rv1G0470270 transcript:SECCE7Rv1G0470270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATARWLVLSLLVSAAWASAAAAKWKAGAAVGGQVVEKERRRVVAESEAGTVTATDVADAAGTVYRLQFITMDPGALFLPVQLHADAVFYVHSGRGKVTYIQEGGSETSSLEVQRGDVYNLEQGSIVYIQSYPNASRERLRIYAIFTSNAINSDDPSHPTSEAYSSVSNLLRGFDAKILRQGFGVSSEVVEAIASAKSPQSIVTYNPDQKKEERSNWTEEILDALWGDESPLNKKKKKKDKHKKKDKKDDKSKSETFNFYSGKPDVKNCFGWSKTMTNKDLQNLRGSDIGMFMVNLTTGSMMGPHWNPKATEIAIVTHGSGIVQVVCPSTASGAGGGHSHHDKGGRGGGDHGHHDESGRRGGDHGQEGIECKNSVFRVKEGDVFVVPRFHPMAQLSFNNGSFVFVGFSTHMGENNPQFLAGEQSVLQVIGKEIVALALGQKNTTAVEQLLSAKSGSTIMACISRRREEEEGGRGGRGGDDPWDEEEGDWGDRAAKNLKKRYRGRKGAVFQSA >SECCE4Rv1G0276350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797776943:797777282:-1 gene:SECCE4Rv1G0276350 transcript:SECCE4Rv1G0276350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKYIIGSLVGSLGIAYVCDTIVSDKKIFGGTFSKTATDKEWFKATDAKFQAWPRTAGPPVIMNPITRQNFIVKDP >SECCE7Rv1G0498760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:645377850:645379316:-1 gene:SECCE7Rv1G0498760 transcript:SECCE7Rv1G0498760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSATPHFLFVTTPMQGHINPARRLAARVMASMPGARVTFSTAVGGHRHMFPSLATPDDETVDDAGVLYIPYSDGFDEGFRPEVHGRDTYKERARSVGRETLSAVVAGLAARGRPVTRMVYAFLVGWAPHVARAHGIPAALYWIQPAAVFGVYYHYFHGHEALIASCANDADPDAPVELPGLPPLRSSALPSVVSITSPEHPHYMLLDLMRDLFLALDEYKPKVLVNTFDALEPDALRAVGQFELVAVGPVVSDEASPSSTDLFRRDDGKGYMEWLDTKPARSVVYVSFGSYHSMTNRQEEETRRGLEATGRPYLWVARKGAGEHATLNVKDDDGVRAQGVVVEWCDQVKVLSHPAVGCFVTHCGWNSTLESVTSGVPMVAVPQWTDQPTVAWLVEARMGVGVRARADGEGAVDRGELQRCVETIMGDGEAALGIRAQADLWRGRADEAIAGGGTSERNLWAFASQHSSDGNDTRGDGVRAPATCT >SECCE5Rv1G0332920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:553048291:553050566:1 gene:SECCE5Rv1G0332920 transcript:SECCE5Rv1G0332920.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMAMQPTKKKRVASLPQDIIELILVRLPASKLLRCRVVCKQWDGIIRDRQFTMAHLGHAQPRPLLFFQRSWNYGKSCPSEAVLFDEAWSPSTRSVPVIEPDDFLCASCNGLVCLYSDKSTIKIANPATGESMHLAKPIKYKCSKDDDSLLYSFGFSPTTNEYKIVHFLPGNERHHVGGSFNVIQVYTLGDDKWRDVRTEQALSLFCVKQSGVVNVDGAMYWLTIDEESSWRRAVVSFDLRDERQKLIQLPEVDFADPAFGKRLCRITEIDSKVSVAAVQARRDSGLAGRLHVWTLDNKVKQSWIQKHSIELSAVDVLGRHFIYGDKIVMHTANGGIYCHELTSQRFTIDVTKLVKLLDFSPRWKGHTQSYMYVKSLVRLDAYKKAGIVRTPRRKEGWRLKKWEAWEHDRSEVEEMWRASHGIQQRMLERARVMGVAISLNLPAPPDQDSTLRRLNWVEQRRVARMLESHLEVLAQAVEAACGEKKKMSLAADHAKQREGEESEAGPSRPKRKRKPSSRFDSSTWTT >SECCE7Rv1G0479730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:257966368:257967572:-1 gene:SECCE7Rv1G0479730 transcript:SECCE7Rv1G0479730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHQHRFLLLAAVLLLIGGASSAGDVVAAGNGKVRMELYYESLCPDSFQFMVDSLARVFKDGLLDAADLTLVPYGNAKVDAHGAITCQHGPEECLLNTVEACAIDAWPDVNVHFGFINCVEELAMEYKHQEWQSCFQKQGLHPKPVMECYKSEHGHKLSLKYGRQTDALVPRHTSVPWVVVDGKPLYDNFGDFVAYICKAYKGRPPKICQHKEPGRDYPTAQQVAEPGNRVDYNSGDFEPNGGVDDMTKMARADDN >SECCE6Rv1G0414850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:637423943:637428746:-1 gene:SECCE6Rv1G0414850 transcript:SECCE6Rv1G0414850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAAAASSTEADGAQRMAKFLCSFGGSILPRPLDGRLRYVGGDTRIVMLPRDISYSDLAVRMRELYDDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRVFLFSQHLDDEAASAAVHYHGDERETERRYVDALNSLGDMRSPSSPVSVEQLFGIGGNESGIPDIAGLRHLNVPRASHNQRYAEMDSPWSPAYISPGQYGVPDPRDFPISPSSARFQVGAEDFDERIPDDFVRQSPKYRHYEAQSPPHVDNLVWLPPGAVIQQNAGFPGNLGRSGNFLDGNSVYDSRSSFQKGQGSVSDPRYMDPRWRPVQQHFDQSSMANEYSAHPANPRPDYGRPGEHYVVGQDVRLENGIYVKEQTGGHPPMFYNDSHSHDRSWHVHPNQSHQRYEDPRLNLPANGRVMDPYADSNSANSAFAPSKVYDMHSTSHSRSSHESPHYYHGSGEHINDAYHNQQHVSSGSYVQTSGFEESTGQHYSHTSTYGGDTFYQMQQNLPPLQSMRRRASSPVHTGSSYESPHMPIPNGSINSNFVRNTGDVSPRVPGMPAYDRVPNPWPSPNGNIPYRIVGHDIPAVVENPSSLGPRSGPNTAQYVQPFFAPESVQQQPGAPLVEFFPERASAGPMLAPLDGKVSVAAAPLADHLSRLDINTAKKFEGAGDERHTQNVTETKPSHAASDPSTLVHTAGVDLQRGKPTEHDGGAVALQQCGDISGNRLNFLPEFVASVKKAALEESEKPVEVQPDARPANSPLCENDNDGKKFDENTDGDQDPDVHGSSDQHKSSGIESTPAEAEALSKGLQTIKNDDLEEIRELGSGTYGSVFHGKWRGCDVAIKRIKASCFDGRPSERERLIADFWKEAQILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMVNGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSDMVSEKIDVYSFGIVMWELLTGDDPYSDMRAAEIIGGIVNNSLRPQIPSWCDPEWKSLMEGSWAGEPAQRPSFTEISQRLRKMAAAMNVK >SECCE2Rv1G0076600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:92329804:92338071:1 gene:SECCE2Rv1G0076600 transcript:SECCE2Rv1G0076600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFVFPSSLRDLERDDDGDDEGEPSLRPHAPVAVTALRAADLEEFVKGASFDLSDKELFCIEEQDVFNSIYSLVRDFTCLPPALKFNLVEALRSNLSVLLPNIDSLSRASMSPPSDGIPIMDRIASHRNALKIYSFFLLSIVLAQESSADSGTGAKVTAHGRKKNPVYAWNWEAQRGRIMNLIANSLEADLTLLFGSGGADERYLSFASKCTYVLFENQNVLKDEETRNGLCRIIGAIATRHQKISQTSASVLHLIHKYDFTVVHLAEAIAAAEKKFGDGSLAISLIREIGRTDPKDYVRDGAGADNVGRFLVELADRLPKLMSTNLGVLIPHFGGESYKIRNSLVGVLGKLAAKAFKDVDGNNSAHSIRLRSKQAMLEILIERCRDVSAYTRSRVLQVWAELCEENAISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRATTFEATLEKYKEKLEEKESQSPVEGELVNDHPLGEVIVGQDESVSDSCQPSSQDQPDQDPMLVDITNLEQIRALVASLEAGLRFTTCITSLMPILIQLLASSSATDVENTILLLMRCRQFQVEGSEAALRKMLPLVFSQDKSIYEAVESAFIAIYTKRIPTETARSLINLNIDCSIGDLAALESLVSSLVLKGEISSNTISALWDYFCFNISGVKPVQCRGALSILCMAAKSSPSILGTHLQDIVDIGFGRLAKEEPLLARTACLALHRLSEEDKIKLLSTSTRVFAALQSLVTSFSLPEKIWYGATDKAISAIYTLHPAPEVFAAEIAKKSLSSVFSAVGMDGVSNGVELEAQNSSTITELSAMKLGRFLFVISHIALNHLVYIETSVKKIRKQKQKNEKSQSTNEDGQVDGSKNSEAQGINAELGLGATMDIAIDFFAEKAEREIVSYTCEKNLIGHFGPFLSKLCRNVTLLQKFPELHASAMLALCRLMIIDAEYCEANLQILFTVVENASSEIVRSNCTIALGDLAVRFPNLLEPWTEHIYARLSDSSASVRKNAVLVISHLILNDMMKVKGHINEMAVRVEDEDERISSLAKLFFHELSKKGSNPIYNLLPDILSRLCNQHLKEETFRNIMQFLIGSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLIDNFKMFEHALCEDSVLNHFRSVIAKCKKFAKPDLKACIEEFEEKVSKVHEERKEQEATARNAEAHQQKIDSLDKILLNKEVGQNDKSPAEEETSEVIDPSVDGNAEDKETEETSEVTDPSVDSNAENKGAEEGTGDIDPSVDGNAEDKETEEATEVTDPSVGSNVENEEAEEGTEVTGPSVDGSAENEETDEATEDIDPSADSAAENKENRTKGSGNICSGKSQTSSTVTESEDDSAEVQSTKTVRTRKGSTRSTAKKIREPILEDSADVAPPVRRSTRSSRRQ >SECCE4Rv1G0279060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811938038:811940289:1 gene:SECCE4Rv1G0279060 transcript:SECCE4Rv1G0279060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPARCPTTVLDLDDDLLREVFLRLPALPSLVRATLSCRTFLRAARSSPAFRRRFRELHPSPFVGLFIQRLLKGWEPEASSFDAHHTPSDRDFEAAVRGGDFSLAGLPLPDGDNQDSGDDDCIDQEEENNEEEDENNEDEEDGDEEDEESEEEDENNQEEEDGYEEEEEDGDEEEEEEDGYEEEDEEEEEEQDDEDASPVWEIERCCDGYVILFNRRAKKIAAYNPLTRALHLFPTPPNVFSEAVNYEFHIIFSQEDPASPMHLVCFYSGFFEAVIGIISSDDNAESKWHKFPETAIGVTGKMVNGSVYWTHVGKPYMTVLDTATLQFTKMDLPPLLAEQDDRECAFVLGNTKDGRPCIVSPGLWGGCSLNVYFWRREDGYFDDGLNYWILDQTFHLKTIGQFIKFSDGDDEFISVRLIDVIDGVVYLRTEYDGCTEAPQMLLSFCLETAELTMICEDYHKPIHPYVMAWPPSLVLDKGPCSKDEALSTLGSEGSDVKAEGGPTEHASVAKD >SECCE5Rv1G0322800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:424388927:424390578:-1 gene:SECCE5Rv1G0322800 transcript:SECCE5Rv1G0322800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPYTFLRPHIDRPFFPFQRSLVSPSSPTKQALPLLSLLPSSHDHSKILGCGYAGDHHQLKKEDQDVNISLQIGPPNPNPAPNLLRSSVSDGGCDDATGTSQDQCGGGDHSRVNEQEEEEDDDDDDDAAGDGLCLEYFAAGKLTKGKYWIPTPAQILIGPTHFACPVCCKTFSRYNNLQMHMWGHGSQYRRGPDSLRGTQPAAMLRLPCFCCAPGCRSHVDHPRARPLKDFRTLQTHYKRRHCAKPFLCRKCGKALAVRGDWRTHEKNCGRRWHCTCGSDFKHKRSLKDHIRAFGQDHVERPPAAKM >SECCE6Rv1G0397690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:400143339:400144389:-1 gene:SECCE6Rv1G0397690 transcript:SECCE6Rv1G0397690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEQTVVGGGGGLWGLAGRPCDTCAVDAARLYCRSDGAYLCAGCDARAHGAGSRHARVWLCEVCEHAPAAVTCRADAAALCATCDADIHSANPLASRHERLPVTPFFGALADPPQPVPSPSSAAATQEDADDDGSNEAEAASWLLPEPGDSPEDSAATFFADSDAYLDLDFVRSVDGIKAIGVPVAPSELDLAGGTLLYPEYSMNHSMSTAGVAVVPDALSAGGAPAPAPSVAVVASKGKEREARLMRYREKRKNRRFQKTIRYASRKAYAETRPRIKGRFAKRTSEDDALEQDGPFSPASSAHLASDGDYGVVPSF >SECCE6Rv1G0378370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:7888582:7892596:-1 gene:SECCE6Rv1G0378370 transcript:SECCE6Rv1G0378370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRPRGEEDNEQGNGGDDQQQRHGTRRIRPATPVSFRSVVRRAVTADTIQQIVFGLEPVIRRVVREEIQNIFYQHDHLPYRSLPLPIQEVNVPPRLKLSFAKKLMLPIFTNNKLVDATKNAIEIWLIDTRTNHRITETNTNQGSSTMKLEVLVLDGDFRCEDDMVWTNDQFNAAIVKAREGRRPLLVGSTLNVAMNNQGVAVIEDVAFTDNSSWIRSRKFRIGVRVMTTSYYGPQIQEALSESFTVKDHRGELYKKHYPPTLTDNIWRLKNIGKDGPIDKRLESEGIKNVQDFLKLHTIDPDKLKNLVGMSDRQWKTTLNHAKTCSMGGKCYVFKSEGCDVIFSPIGEILAARIGGQTCSLQQLHQEHKVQVNQLASMAYEQWDQLEEVVANEMALAAYEGLTSFPEEKPSSSCTPASNESMISSGSQNAEYLDKMGSRTATSSVAMVTNSNNSLDSALAIPTSDAIYWIPSLAVDDDRFTWNNSTNLGCWDQVD >SECCE4Rv1G0249940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:587990411:587993061:-1 gene:SECCE4Rv1G0249940 transcript:SECCE4Rv1G0249940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRWVTEMSPHEARRFKLRRHNSRPKTRLDNLPEDVIQTILSRLPLKEVGQISTLSSGWRQVWRYHPDLIFSVEKLFDGKDKGDQEFVTSVNAVLKDHYCTAVNKFKVNYGLSEEHGDDLDEWLSFAVLSKAKNVVLDLRPPPKCPDDVYNFPLHLFDDRNSSCVLSLRLVLVCLRPAPNFCGFANLRSLKLHRVYVSKDLHCMLPHCAFLEWLSLTDCFMPSFTMSEPLNHLQYACIQNCSLQSMELHAPNLTVFEYSEQDVPIVLGKSHKLTKAKIEVSSDSDNLDYTFSHLVRAMPNVEEISLRIHIQNEARQFMTNNRCDFINLRHLNMEVLVGGDPGCSSGILRLASLLELTPSLEVFNLHMLFNSSLRYNGGGDATKTSGHKLRHLKRVYMSGFADLRGQLELAWYILQHATALERMVIDPGVKTRFGSHRAVEGGQQFRDLLAKYLLLPRFPEVLTVLRAPRPGHR >SECCE3Rv1G0185380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:674250154:674252354:-1 gene:SECCE3Rv1G0185380 transcript:SECCE3Rv1G0185380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPAALEVPIDGTVTTTIASLNAVHNPRARKLRSAVWQDFTKERRADGNCVAICNHCKKQLTATSRSGTTHLRNHLAICTTTSTRRAGKRRKLIVRRILHNKASTGQPGDGHASGEDNDNDSTHFDQELSRQDLARMIVQHGYRFSIVDDLGFRKFVKNLQPQFSMVSYDIVRADSMAIYQSERLKLQDVLLKTPCRVSMSVDMWRSSTQMDYLCLTCHYIDHSGDEWKLRKKILNFVHVEEHFTANQIANLILEKLQQWGIERKLAAVVLDNCTAGDILATELLRVMQPRRLLLLNGNLFHVRSCSHILNLTVEESLEQTSDIINRVREMIQNVKFSQERLQKFLDTAKLLQIDQKLLVLDSPNNWPSTYLMFDSACYYHDVLVRLAEQEAHYAAFLTAKEWADVKALTEILDALNNTMEKFPVEIPTANLYFNDMCEIQVLLNTWRNSPSPVVAQVASRMLKKFEGYWDLTRPVMAFASILDPRYKMKSIEYFFQLIYGNDQFTAKATIEAIKQSFTSLCNEYEHSADSLKNPAVLFYAGNSSSCMNSVYNNGNDSKTFSRITLSDARRGLDQYIQESSSGQSFKSDLDLYLEEAVYRQKEGNQDNFDILGWWKSFAAKYPVLSQMARDILAIPVSIIPLDSEARVLNEYLSTMDPSTVEGLVCAQDWLRADIEVANSDGHADDKVPRGDELIVAPN >SECCE4Rv1G0274090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:782792650:782794089:-1 gene:SECCE4Rv1G0274090 transcript:SECCE4Rv1G0274090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKLEGDLPLYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIVDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARHGPSMMPGGSLEAYQYIEDILLKVSAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFAEWNKGELLSFLVEITADIFSIKDDQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVAASKIFQGDYSSGETVDKAQLIEDVRKALYASKICSYAQGMNIIKAKSTEKGWGLNLGELARIWKGGCIIRASFLDRIKKAYDRNGELANLLIDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWYKIANSKI >SECCE7Rv1G0457810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18728560:18729989:-1 gene:SECCE7Rv1G0457810 transcript:SECCE7Rv1G0457810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAVADANAGGFGVGAAGVGQVLDSSNPAIVRLRQLVDGPQSSDGWRQCWEQGVTPWDLGEPTPAVVKLVQSGTLPAGGATVLVPGCGGGYDAVALSGGGRSVVGLDVCDAVIQRARQRSSPDVAFISADFFTWAPPEPFHLIFDYTFFCALDPSVRPAWAARMEELLRPDGELITLMYLPQDQDSGPPYNTTVLDYEEVLKPLGFFIQSIEDNDVAVEPRKGLEKIARWKKTPAGAETAISGPPAP >SECCE7Rv1G0492240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:547739397:547740683:1 gene:SECCE7Rv1G0492240 transcript:SECCE7Rv1G0492240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCTSSVNYQTETATMSELICNGCFSLVLYNRGAANVRCSRCNMLNSTRSASQYAHLKCGGCRTTLMYPPGASTVGCATCHHVNPVRAQGSSAPPDVHARPQTVLVENPRTMNDKGKLVSNVAVGVTSWKR >SECCEUnv1G0538920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74638584:74638850:1 gene:SECCEUnv1G0538920 transcript:SECCEUnv1G0538920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLKNAYKEKMRRMDQAEAAAAIDGAKSGKDGKETAGAAGEKKAAAGGGASSRGGFFGLVKKKVHPKPKAAAATSS >SECCE2Rv1G0079610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:121602490:121603997:1 gene:SECCE2Rv1G0079610 transcript:SECCE2Rv1G0079610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSIVTVHVTGFKKFHGVAENPTEKLVTNIKSFIEKRGLPKNLVLGSCEILETAGQGALGTLYKVLESAIADRENGSSAQGQIIWVHFGVNSGASRFALENQAVNEATFRCPDELGWKPQRVPIVPSDGSISRTRETTLPVKELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFSTVDEEVQIHFVASLIEALASLN >SECCE4Rv1G0254620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:639736760:639737619:-1 gene:SECCE4Rv1G0254620 transcript:SECCE4Rv1G0254620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRACCAKEGVKRGAWTSKEDEILASYVKSHGEGRWRELPQRAGLRRCGKSCRLRWLNYLRPNIKRGNISDDEEELIVRLHGLLGNRWSIIAGRLPGRTDNEIKNYWNSTLGRKALPTRPAIAAARTVATPVASGSSSSTGSAAAALSTSVPAVLHASAPSSSAAAVWAPKPVRCTGGLFFCREQDTPPAAPVVAETRAGGEEGDACSGSSSETSSAEPCSSGPGGGDWMDDVRALASFLESDEEWLKSLHMAG >SECCE6Rv1G0431740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:747257487:747258244:-1 gene:SECCE6Rv1G0431740 transcript:SECCE6Rv1G0431740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQIPAVLLAAFAALLALATPLLAGDPDMLQDFCVADYKSLDGPLRLNGFPCKRPENVTADDFFSSALALPGNTGNPVGSAVTAANVEKLPGLNTLGVSMSRVDYAPWGVNPPHTHPRATEIIYMLEGSLDVGFVTTAGKLFARTVCKGELFVFPRGLVHYQKNNGGAPAAAISAFNSQLPGTQSLALALFSASPPVPTDVLARALQIDGGLVEAIKSKFPPK >SECCE3Rv1G0206710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:910600014:910600334:1 gene:SECCE3Rv1G0206710 transcript:SECCE3Rv1G0206710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRKVTMSSAGVAAALVALLVVAAASGAAGIHVCNVDTGSMVNNCRSYCTAGSNEASPSGACCAAVRGANFKCLCKYKGVLSKDIDANRVMQIPAKCGYGPASC >SECCE4Rv1G0240330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:447971145:447971867:-1 gene:SECCE4Rv1G0240330 transcript:SECCE4Rv1G0240330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIPCSIKTLKGLYDISGVEVGQHFYWHIGGFQIHAQVLITSWVVITILLGSVVIAVRNWQTIPTDGQNFFEYVLEFIRDLSKTQIGEEYGPWVLFIGTMFLFIFVSNWSGALLPSKIIELPHGELAAPTNDINTTVALALLMPAAYFYAGLSKKGLSYFEKYIKPTPILLPINILEDFTKPLSLSFRLFGNILADEISCCCSCFFSPLSNPYTGHVSWIIYKRYSSSYFCNISRSLYR >SECCEUnv1G0531090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16058992:16070875:1 gene:SECCEUnv1G0531090 transcript:SECCEUnv1G0531090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTNAAADWSDEALIYVNGVRCVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMVSCYDQTTKKSQQPRPFYARGDLPYSLHPIPPTKHIMESSSEAHGSQCGFCTPGFVMSMYALLRSSKYPPTKEQIEDNLAGNLCRCTGYRAIIDAFSAFAKTDDSLYTASPSEIANGQAICPSTGKPCSCRNETDVDANESSLLSLVKTYLPSSYDEIDGNAYNEKELIFPPELQLRKIMPLKLNGFNGIRWYRPLKLEQLLQLKSCYPDAKLIIGNSEVGVEMKFKNAQYKVMISLTQIPELQTLRVEEDGVRIGSAVRVAQLQTFLKMVVAERGSHQTSSCQAILRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGAKFQIIDVNNNVRTSIAKDFFLGYRKVDLKDDEILLSVILPWTRPFEYVKEFKQAHRRQDDIALVNAGMRVRITEAEGNWVISDVFIVYGGVAAVPLTAGKTESFLVGKKFDYGLLDEAFNLLKEDIPLAENAPGGMVEFRSSLTLSFFFKFFLYVAHEMNTNGLLKEGLDVANMSAIQSYTRPVTIGTQGYELVQQGTAVGQPMVHMSAMLQVTGEAEYVDDTPTPPNTLHAALVLSKEAHARILSIDDSVAKCSPGFAGLFLSKDVPGANNIGPIRFLRKKNIGHAGFDMTWEIIGIVVADTHDNAKAAANKVNIKYSELPAILSIEEAIKAGSFHPHTTRCLSQGDVELCFASNTCNKIIEGEVRVGGQEHFYMEPQCTLVWPVDSGNEIHMISSTQAPNTHQKYVANVLGLPLSKVVCKTKRIGGGFGGKETRSVIFAAAASVASYCLRRPVKIVLDRDVDMMTTGQRHSFLGKYKVGFTNGGKILALDLEIYNNGGNSLDLSLAVLEHAMFESENVYDIPNIRVSGKVCFTNFPSNTAFRGFGGPQGMLIAENWIHHMATELRRSPEEIKELNFHSDGTVLYYGQLLQNCTIHSVWDELKASSNFVDARKAVNVFNSNNRWRKRGIAMVPTKFGISFTTKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSLDIPLSCVFISETSTDKVPNASPTAASASSDLYGAAVLNACQQIKARMETIASRGNHKSFAELAEACYMERVDLSAHGFYVTPDIGFDWNAGKGTPFNYFTYGAAFAEVEIDTLTGDFHTRKADIVMDLGYSINPAIDIGQIEGAFIQGLGWAAMEELKWGDDTHKWIRPGHLFTRGPGSYKIPSVNDIPLNFKVSLLKGVPNPKAIHSSKAVGEPPFFLASSVLFAIKDAITAARAEEGHLDWFPLDNPATPERIRMACVDSITKKFASVYYRPKLSV >SECCE5Rv1G0341030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:620066400:620069784:1 gene:SECCE5Rv1G0341030 transcript:SECCE5Rv1G0341030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGKEEEAAARWEGEKEGEIDYVFKVVVVGDSAVGKTQLLGRFAKDEFFLDSKSTIGVEFQTRTLTLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDVTRRATFDHVPRWVEELRAHADGSTVVALVGNKADAPAHRREVPADEAARFAEEQGLFFSEASALTGDNVERAFLALLEEVFAVVSRRALELDEARRTRGGGDGHGGETLSLRGTTVDLHDPIMETSAMKKTASSQCSCS >SECCE4Rv1G0260540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:696585164:696585706:-1 gene:SECCE4Rv1G0260540 transcript:SECCE4Rv1G0260540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREATVAFYPPAPAPQQQRPTAAQPLSSRAPSSGGGGAARGAGVGRQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYATAVAAARAYDTAVFYLRGRSARLNFPDQLLDGTAPAAAPGDLTAAAIRKKAAEVGARVDALHSGGIGMGGAPPAPPSPSQRRRPKNPDLNREPTPDTDDDE >SECCE1Rv1G0017140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:147688541:147690542:-1 gene:SECCE1Rv1G0017140 transcript:SECCE1Rv1G0017140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQFLGKLSGKSPKSGGGGGWGSPPPKSPTSYDVNGRQWDSMRASPPLAAIAGAEGETREDVFLRKLNVCCVLFDFSNDRGRDSPERERKRQVLMSLVDCLGTAEEPLTEAMVSACVRMFAINLFRVFPPKVRPGTGAAAEADEDDPFFDPSWYHLQVVYELLLRFVTSPVVDVKVARKYMDNSFISRLLDLLDSDDPRERDCLKTVLHRIYGKFMGNRPFIRKAVSNIFYRFVSDADRHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKTVGMYLPQLTYCITQFIDKEPKLSGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELTEMPEFQKCMVPLFRRVAHCLNSSHFQVAERALFLWNNEHLFGLISQNHQVILPIIYPALERNTRLHWNQSVLNITMNVRKMFFDMDQKLLLACQKNFQQEEEKQAASEERRRLIWEHLERNAAFHPVTRDISFAAFPKPAPLVAPTMT >SECCE1Rv1G0048140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:632321815:632322102:1 gene:SECCE1Rv1G0048140 transcript:SECCE1Rv1G0048140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVAVPTEFSLRNNTGCSWKVTVKLMNGRVALDQGWATYAVVHQIKIGYMVTFKLLTPDTLKVIIFDDDGIEVVNKCGKHDEAFAAKD >SECCE1Rv1G0014630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:115561421:115563237:-1 gene:SECCE1Rv1G0014630 transcript:SECCE1Rv1G0014630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGNNDAAPISTQPAAEDVTVERTPEEEEARLRYLEFVQQAAAQAVVLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVYDRYHAVPLDLLKFLDRKVDESVQELDRRVPPVVKEVPTYARSAAAEVQKTGIMGTATGLAKSAIACAEPKARDLYTRYEPVAERKAAEAWAALNRLPLVPSVTRAVLPTAAQLSAKYNSAVLDGAKRGNSVATYLPLVPTERIARVFANPSADTAPATAPEMQPIPTQ >SECCE5Rv1G0372210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851920853:851928053:-1 gene:SECCE5Rv1G0372210 transcript:SECCE5Rv1G0372210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSDRSVGERFEFRFSSFRAVMVPAVSDRMFLSIVSVDTGKTIAKSSKAAARSGICQWPDSISEPIWFSRDAVSKEFDECQYKIVVSVGSIRTGILGEIFLNLSNFLNLADPTAISLPLKRCNSGTVLQLKVQSLGTKPKLGGVRSSRDMPPRISDHCLINDDMDNKSDGSDNTANRSVRSASGTPLGGTYQDEPGNREMSFSASGSHRSSNSGDSTQDRTNLSPIDNTNGGLYVGRQDSGSSYVSAGRGDEGLRSNNSSFSSRASGPNTLQGNTPKSFSNGIAQSSLGTTDSSKDLLEAAEETIEELRDEAKMWERHSRKLKADLEALKRECSEKSKQQTELALELSAAHAERDSYRHEIEELKSSRQESSRQESFRPELSRRQIKSVTPKRGDWIDMGKEVEDEMKFLKESNASLQVQLKNTQEANIELVSILQELEDTIEEQRVEISKISEAKDVTNTDVLKNGLLVKEDTEWARKLSMKEDEINTLRQKLDRILSIENAGAAGSDTVYLELEKENETLRVKIQELEKDCSELTDENLELIYKLKESGVGKGQDSRVSNNSELQIEKLTSQIYQLEEELGNKELMHDGNFTESSVSNAKELERKCADLELKLLRFRSQGFELEEKFQKSQEELEQKNLELSKLREELEGLEGGETGGARGYQFRREDPEDGESETDILKARVQLQQQENDDLRHYKAETENFISEIQAEKSRLEERLAASVKESSISSKCLDELQQDILVLSSSIDSHVSANKVLERKIVELEGCKAELELHISELEQENIDLSERISGLEAQLSYITNEKESSELQMHDSKSLVISLKDKVERQQVEMDTQRAEFKQKQQETQRKLSEAQDDSEVLRRSNSNLQSTVENLIEECSSLQNLTADLKRQKLELHGRFMQQEQELDNSKKRIPDFCKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHTEQEEKINRAHFMLNKIEKEKTLEVENLEREVVSLTAQVSSTHEERENATVDAIREVSVLRAEKAKLEANFENVSAQLRHYESQLEDLRKESKSKIKDLVDSLNASKQSEEMLTADAEHMKKLMEAAKSNEDELRKSSGELELKLKASDYERRQMMEEISDLKLQVQKITNLQDEVSKLRSSLDEAKFENGKLKALLESMSEECEELKAQKAMLTDKVSEMQQTLDNGEEEKRSRISMQAKLVRLESDRSASEASHVHEAELKNELSRIRRSNSEYQRKIQSLEQEIEDLTRKQEIGDSTDIQSKIQILETKLAEALEENKMYKAQQKSPVDEEQSAGGDRILQLEGDLRDMKERLLNMSLEYAEVEAQRERLVMELKSVKKGGRWF >SECCE4Rv1G0246810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:549167584:549180277:-1 gene:SECCE4Rv1G0246810 transcript:SECCE4Rv1G0246810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 4 [Source:Projected from Arabidopsis thaliana (AT5G55470) UniProtKB/Swiss-Prot;Acc:Q8S397] MAASSLWDELVVAASTGGSSGTVVSICVFTAVLCLCLVAGHLLEENKWVNESITALIIGCIVGALIFLLSKGRNSHILRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFLTIMSFGVFGVFISVAIVSAGFYWLLPKVGFGKLDAVDYLALGVIFSSTDTVCTLQVISQDETPRLYSLVFGEGVVNDATSVVLFNAIKNMDITKIKSGAVLKVIGDFLYLFATSTILGATIGLFTAYVLKALYFGRHSTDREVALMALMAYLSYMLAELLSLSGILTVFFCGIVMSHYAWHNVTESSRITTRHIFATLSFIAETFIFLYVGMDALDMDKWKTTQASFKTSVGIFGVIISLILLGRAAFVFPLSILSNFMSGNSEKAPITFKHQVVIWWAGLMRGAVSIALAYNQFTFSGVTLDPVHATIITSTIVVVFFTTLVFGFLTRPMISAMLPQHRHREPTGGRSTGSNSPKDEFILPFLGDEDASGSGSGFVQAKRSISMMLERPIHTVHIYWRKFDDRFMRPIFGGPQSY >SECCE5Rv1G0364420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:801403110:801403349:1 gene:SECCE5Rv1G0364420 transcript:SECCE5Rv1G0364420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASKVVGALLLVVLLAFTHSDAQVLPTPCCNLKCCGYDCCGPPVTAAAPISPLSTAPESGPAGPVSQRVPRKVFPGN >SECCE2Rv1G0096680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:442879725:442886000:1 gene:SECCE2Rv1G0096680 transcript:SECCE2Rv1G0096680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Succinate dehydrogenase [ubiquinone] flavoprotein subunit, mitochondrial (EC 1.3.5.1) (FP) (Flavoprotein subunit of complex II) [Source: Projected from Oryza sativa (Os07g0134800)] MWRSCVSRGLSKAKASASRLFSTTSSSYTVVDHSYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDNEGNCQGVIALNMEDGTLHRFRATNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVDIKGDNPDTVIPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGDKQKPLEKGAGEKTIAWLDKLRNANGSLPTSKIRLNTQRIMQNNAAVFRTQETLEEGCQLISKAWESYHDVKISDRSLIWNSDLIETIELENLLINACITMYSAEARKESRGAHAREDFTTRDDEHWMKHSLGYWENEKVRLAYRPVHMNTLDDEIESFPPKARVY >SECCE5Rv1G0326350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:478004079:478008110:1 gene:SECCE5Rv1G0326350 transcript:SECCE5Rv1G0326350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPMPLASMLILPSAPSLRPRLGLGPSSSRARGSALRALPRRLELWHPRLAAVESGPPSSQSSAPPPQPSSELLGGIPGLDAGFGGGGGGGGDGGGDADLGWVRAFPHVLTASMANFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLGSSALVDYLGCKRTLQIDSIPLILGAFISAQAHSLDEMLLGRFLVGIGIGVNTVLVPIYISEVAPTKYRGTLGTLCQIGTCLGIIAALSLGIPSESDPHWWRTMLYAACVPGFFIVVGMQFAVESPRWLAKVGRLDDARKVVENIWGVSEAEKAMEEMKSVVANDDSQASWSELLAEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGILASLYVGITNFGGALVASNLMDKQGRKNLLIGSYLGMAFAMFLIVFSISFPLDEGVGHTLSVTGTLLYIFSFALGAGPVTGIIIPELSSARTRSKVMGFSFTVHWICNFVVGLYFLELVKLFGVGAVYTGFGGVSLLSALFAYNFIVETKGRSLEEIELSLSPAAPGERK >SECCEUnv1G0564780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:386892428:386893954:-1 gene:SECCEUnv1G0564780 transcript:SECCEUnv1G0564780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNHESHGLLLPAAVLLLTLLLLLVKLSRRRKQYGNPPPGPWQLPVVGSMHHLVGALPHRAMRALALRHGPLMLLRMGELPVVVASSPAAAMEVMKTHDAAFATRPRTSTIATLNSDGLGIALAPHGDHWRRVRKLCATELLGAPRVRSFRGTREAEAAALVASVAAEAASGAAVNVSSLVATYVADAVVRSMVGDRIADRDAFLACLHEGVRVAAGFSLADLFPSSRLARALSGTARRVEAMVREMSRLMDGVIEERRARKAAGAGCSQEEEDILDVLLDGGGGGGAPLDMGTVRAMMRDLFGAGSETSASTLQWAMAELVRNPAALRRAQAEVRGALAGQSRAREEALQELPYLRLVIKETLRLHAAVPLLLPRECREPRRVLGYDVPQGAMVLVNAWAIGRDAASWGPDAEEFRPERFEEGAAAAVDFRGADFELVPFGAGRRMCPGITFGLAVMELALASLLFHFDWELPAGTEELDMAEAFGMTGKMKTDLWLHATVVVPPL >SECCE4Rv1G0269690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749836620:749837657:-1 gene:SECCE4Rv1G0269690 transcript:SECCE4Rv1G0269690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLLRATVALVILVLLFMPGAMAATAASFDASRTQQLPLPPGEVHGPESVAFDAQGRGPYSGVSDGRILRWDGPKLGWSTYAYGPGYDSETCTTSRYGTEADVESRCGRPLGLRFNHKTGDLYVADAYKGLMRVLPGGGEATVLVDQIDGMPLRFTNGVDVDQVTGKVYFTHSSMNYDRSDHELVTKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIEGVDAGKSEPFSDLPGYPDNVRPDRKGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGSKKVRPTEIMERDNGKIYLGSVELPYVGVVKSK >SECCE1Rv1G0042950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:586719079:586721751:-1 gene:SECCE1Rv1G0042950 transcript:SECCE1Rv1G0042950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLLIAFLFASLFLPYPPCAKGADLNSDKQALLAFAASLPHARKINWTLTTQVCTSWVGVTCTPDGKRVRMLRLPAVGLFGPMPSNILGKLDALEVLSLRSNRLTVDLPPDVASIPSLHSLYLQRNNLSGTIPTSLSSNLAYLDLSYNSFVGEIPLKVQNMTELTGLSLQNNSLSGPIPDLHLPKLRYLNLSYNNLTGPIPASLQKFPASSFLGNAFLCGFPLEPCPGTTPSPSPNAPPSQESNLEKFWTKHHKIIIIAILAGGAAILLILIVILVICICKRKRDGEPGAASSSSKGKRVAGGRAEKSKPEYSSGVQEAERNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVAGKKEFEQQMEIIDRLGQHQGVVPLRAFYYSKDEKLLVYDYVTPGSLSAALHGNKSAGRAPLDWETRVKISLGAARAIAHLHTGAGGKFIHGNIKSNNIILSQELSACVSDFGLAQLMATPHFHPRLVGYRAPEVLEAKKPTQKSDVYSFGVLLLEMLTGKAPLRSPGRDDSIEHLPRWVQSVVREEWTSEVFDVDLQRHPNTEDEMVQLLQVAMACVAVHPDQRPRMEQVVRRIEEIRSSGSGTTTRTSPEDKPREEHIQIT >SECCE3Rv1G0168250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:217085245:217086306:1 gene:SECCE3Rv1G0168250 transcript:SECCE3Rv1G0168250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGGGGGGRSSSRLRDRLARMFRPGSLLRSTCNNNTASTSSSCSAAVGAAADGVTASKPPPSSACSSSRALLAADSAVARDRDSFLTSSRRDYASVVGRTESFSNALDRVHRRAGVGVHSLPPPARFSTHASPLMESKKKSPLHGHRHHRHRLGGRVMGDKSKKLLSNNPYGFSTSDTDGDDVFSSDADEHGRIDAKKGDAEAFFSSSRSFSFSSDSSEFYSKKKKPKKKKSPAAVAPKPPPPPRARARGQRRKNRVASSCDTCGVREGFRPVVLAAEEQVRKGFAVVQRSRDPYADFRASMVEMVVSRQMFGAVELERLLRSYLSLNAPRHHPVILQAFSDIWVVLHGG >SECCE5Rv1G0352270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:708287457:708290846:1 gene:SECCE5Rv1G0352270 transcript:SECCE5Rv1G0352270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTTTGSSSGAGSSQGGGAEMRAADGEGYLRADGFDLVNLDMQLEKTRSRVWLDQQRGASPAQPGELLEWEIDLAKLDIQNQVASGTFGVVYRGTYDGNDVAVKVLDWGQEGQESSSKHREAFEKEVAVWQKLDHPNVTKFVGASMGTSQLKIPAAKKGGSSHGPGQRCVVVVEYQHGGTLKTLLFQHRDKKLPYKKVVQLALDMARGLSYLHSQKIVHRDVKAENMLLDRKKTVKIADFGVARVEAQDDDNMTGQTGTLGYMAPEVLEGRPYDHKCDVYSFGVLLWETYCCALAYPNYSIADISYHVVKLGIRPDIPRCCPKPLSEIMTRCWDGNPDHRPEMAEVVAMLERIDTTKGKSMTPAVPDHTSQGCSCFGFSK >SECCEUnv1G0528360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3833534:3835489:-1 gene:SECCEUnv1G0528360 transcript:SECCEUnv1G0528360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHKDQPQQMFISILGESGVGKKTLLCSMIGHTDVIYEFEIVVWFNMPENCTEEDLLQEIYDRACESASQHHPNEDIDIADKLRHLLEKKRYLVIIGGMCSKTFLNCVRMSLPDDNNGSRVVLVLDTESEEVAWHANTMNNDGVNGIHMLGRLDEKRSGQLFCSRASRKELSDVKENEDMSKYNRIVYDITGGHPLAIVLLAGLLRFKEKPGQWEAVLQQLRHAPGMEEAQGVEGNKITESVLSTERRHIERVFWASFEDIPNDLKSCFLYLAAIPKNTTIYANEVVRIWMAEGFIKPQQGKTLEELGHTYLKELVLRCLVHIDKMNDVGIIEKVTVPRSLYGFLHSEAREAGFMDVHGMHDVVVPPSVRRLALTSFKGGHTTFTNKLCKLRSIICWVEEKDRSNDGQGVKQKRRHDLKFLCGSKFLRVISVHGLWIKELPDEIGGVVHLRYINVSGCKDLKKLPSSIKRLLNLQTLDITGTQVEEIHPSFWKIKTLRHVLAEELTLPASIKEELDELQTLWGVKPAPGGDWGQGNCPLHKMTKLRTLGLQGFKQSKHGAALESALRKMSLLHHLDLIGDEIPSCVFTAESLGYLEIVGLRGRIKWPGVASDVRKVRPNLVRLTVGKGTRQEVPEHIKDQLHGILEVSDV >SECCE1Rv1G0058950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703324170:703325840:1 gene:SECCE1Rv1G0058950 transcript:SECCE1Rv1G0058950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAAAAAPGAHASGSRRSDGRQVPLWSPGHREHQRSLDDLISHLPDDVLGAVVSLLPTKDGARTQVLSRRWRPLWRSSAAPLNLSIDRRFCAGDARKHVAVVSRILSDHPGPARRFALRQAFPPGQIDRWLRAGSLDRLQELEIAYTRVHGDACHPMPPAALCFAPTLRAAKFGSCEFPELTASPEFPRLKQLTLCRVSISEDSLHGMLSGCIALESLSLDRNVGIGRLCISSPTVRRFSFSPHRDKQGIVTCQELLVQDVPRLERLILHDSHIGPATIRITGAPKLELLGLLSHGISTLNPGATVLQKTIDVSLTTIMHKVKILVVDSIGPNLDAIVGLLKCFPFLERLYVISHPQEDMNNVCKYDPLDPVECLELHLKKVVLKNYDGNRTQVIHFAQFFVLNAKVLKEMEIGVVNRCNSKWMRFQRKRLQVENRASRDAQIELKWDTKKSFKYHGFSEADPFDMSSC >SECCEUnv1G0556390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310692094:310692771:1 gene:SECCEUnv1G0556390 transcript:SECCEUnv1G0556390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIEFPLLDQTSSNSVISTTLNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQNKKRCFTTSHKLYVRRSTHTGTYEQELLYQSPSTLDISSETFLKSKSPVPSYKLVN >SECCE4Rv1G0269750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749872594:749873631:-1 gene:SECCE4Rv1G0269750 transcript:SECCE4Rv1G0269750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLLRATVALVILVLLFMPGAMAATAASFDASRTQQLPLPPGEVHGPESVAFDAQGRGPYSGVSDGRILRWDGPKLGWSTYAYGPGYDSETCTTSRYGTEADVESRCGRPLGLRFNHKTGDLYVADAYKGLMRVPPGGGEATVLVDQIDGMPLRFTNGVDVDQVTGKVYFTHSSMNYDRSDHELVTKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIEGVDAGKSEPFSDLPGYPDNVRPDRKGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGSKKVRPTEIMERDNGKIYLGSVELPYVGVVKRK >SECCE6Rv1G0432660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:753527785:753528234:-1 gene:SECCE6Rv1G0432660 transcript:SECCE6Rv1G0432660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAATTERFSSVFASFDRDADGRISAAELRLCMKAALGEDVLAEDAEALVASADADGDRLLDEQEFLRLVTQPDMEEDEEERCRGLKDAFAMYEVKGEGCITPASLMRMLARLGSEQGIEECRSMIRRFDLNGDGVVCFDEFKIMMDA >SECCE3Rv1G0210220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943048719:943048997:1 gene:SECCE3Rv1G0210220 transcript:SECCE3Rv1G0210220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAVNGEQGNGAGVAYLRYRECRRNHAIGIGRYAVDGCREFAALLGVDEAAMLLCAACGCHRSFHRREVVNEFGADYHAPRTPPANESRR >SECCE2Rv1G0114020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:728567183:728568957:1 gene:SECCE2Rv1G0114020 transcript:SECCE2Rv1G0114020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQFVLFIFLCSLSSRFGFADAYDPADPNGNITINWDFPSIEPNVYTVRVSIHNYQLYRHIERPGWQLSWVWAGHEFISDVIGAETTERGNCTGRHGANGAPPHCCEKQPVMMDLLPGAPYNRQSANCCRGGVLSSVTQNNRTAISQFEMYIVDFALDEHRDPKMPTNFSIGVPGYTCSNATNVPATRSKVDEQRHVQVLRTWQIICSYSQFRDAPSPSCCVSLSTFYNNTIVGCPRDSCGGAASSSATQCLSGNEQSKILAARAHADGDRPAPVIRCTEHMCPIQVHWHVKQSYHGYWRVKATVTNYDVVSNYTDWNLVVQHPNLRSLTQLFSFNYQPLIQYGSINDTGMFWGLKNYNEMLLRDGNVQTEMILKKEPSDFTFSGGWAFPRRIYFDGHECVMPPPDQYPSLPNAALDGRVSAVQRWLVAGSCLLSLSVLFLV >SECCE5Rv1G0328480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:508252748:508255795:-1 gene:SECCE5Rv1G0328480 transcript:SECCE5Rv1G0328480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQACARPCLLFIMVAFAASLTVTSRAQPTEVKVGLIVDAASPVGKVATTTIAMALDDFYAAFPNSSARVRILHNDSRGDVVTAASAALQLMTSQGARAILGPQSSVEAAFVADLATRAEVPVVSFSATSPSVSPASASFFVRAALSDAAQAGAVAALAKHFGWRRVVPIYQDDDYGAAFVPFLVDALADARAEVPYRCALPERATADAIAAELYRMESEQTRVFVLHTRAEVARSVFAAAAEAGMTGAGYAWIITDGLTGLLGFVDPPQGAIGLAPYVPPTPRLREVKKRWARRYVLEHLDAEPAQAEMGCYAVWAYDAAWAVASAAERLSSSDLSSPPGLVGGKGGPTDISGLGKSRSGDKLLRAINETTFEGLGGRFKLIGGELKVPAFRVVSIVHDGTGREIGFWTPRQGLSRRVDRGSSKADGGLSPFIWPGESTVRPSGWAQPTRAAKLRVAVPGRIPGGYRAILYIDVDTVTNRTTAGGFVIEAFEAAVRLLPYALPFEYVKADPMLYDQLAEAVNNGTYDALVADMTITAERSNHVDFTMPFTATSITMIVPLRDQRRSSKWTWVFLKPLRYDLWLVSAFFFFFTGFVVWAIERRDNEKFGGTPSNQAGIMFYFGFSTLVFTHNEKLKSNLSRVVVVVWVFVVLILQSSYTASLTSMLTVPQIGPTIADYRTLLEGTEKVGILNNSFMSQAMNRSGLPHDRVMRYPNAESFQEALLNGSIGAVIDETPYLNIFLKTYRDNFTVTGQANMTGGFAFAFPRGSPYVSDLSHAILNLTESGEMGRIERKWLGDPDDYRSQGGGPFTTNRLSFSSFRSLFVITGATSLICLIIHLAFFHKEGYSATGCPSRRSCHTHRGRSGSGCWPSSLTKRFTAKLCPAETRTMWERLPPLIPPTR >SECCE3Rv1G0206770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:911200613:911206305:1 gene:SECCE3Rv1G0206770 transcript:SECCE3Rv1G0206770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGLLEKIRVLEQGQAELKREIGKLTTGRRDADADAGRRAFRALPPQPQPRRVVARSGGGLASRYCHWKWILQSLAQAVHIIGPDGRFLYWNRYAEHLFGYSASEAVGRNAVELIVHPTDYDAAKIVIQTIFTGKCWRGKFPVKNKSGDRFFILGQNTLLYDDDGSLVGLIGISHDLRTLEEICSPSGSAESYPSTAKPQFHANNQPKSASLNKGSLHSQQPLQSATTSKIVTLVTSITSRVRSRIRSCQNSDRQYGSGSEGQYSELDLPGDLVSSEENTPSGDVMHRAFVAEEKSPGRSCRTISDDSGEGEARFHKIFNSKAEALLAKMGISWPFHGHEIDGGSEKNNVNSTQLHDKPENDQTHQRVPVLEPIIIPGCQDSEYTWASKYEVSGSWWDFNKDSASSMSSTGSTNSSSIDRVDYEADYLDYEIFWEDLVIGEQVGQGCCGTVYHALWHGSDVAAKVFSKQEYSEEMITTFRQEVSLMKKLRHPNIILFMGAVVSQQPLCIVTEFFPRGSLFLLLHNNIGKLDPRRRVNMAIDIARGMNYLHTSIPTIVHRDLKSPNLLVDKNWNVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRSEPSNEKSDVFSYGVVLWEIVTQKIPWDTLNTMQVVGAVGFMDDRLEIPGDTDPRWASMIQSCWDSDPQRRPSFQELLERLQVLQKQYTVEAQTERKKAGKGAGKMSAKDEN >SECCE4Rv1G0249610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:584807997:584809236:-1 gene:SECCE4Rv1G0249610 transcript:SECCE4Rv1G0249610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAELLQAEAELVRHSLGYLKSMALHSAVKLGIPDALHRSGGAASLPDLSSALALPCSKRPYLSRLMKMLAVEGIFTAVEAVDVPAAAAPSVRYGLNPVSRLLVSGSSGACLSPCVLMGTSPLFLEASLRLPQWFQRDDGEGEPAFAMAHGESPYAAAGHDVEFNALVNEAMGSDSRYMAELVVRECGEVFAGVTSLVDVGGGNGTMATAIAKAFPHVRCSVLDLPHVVQGVSAHESVEFAAGDMMEYVPPADAVLLKCVLHNWSDEDCVKILTKCREAIAQGAKAGAGKVIIIDAVVGSPSHSHQVLEAQVLMDMQMMMLFMSKEREELDWQKIFMEAGFSHYKIQPVLGMRSIIQLYP >SECCE5Rv1G0344340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644761193:644762077:-1 gene:SECCE5Rv1G0344340 transcript:SECCE5Rv1G0344340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQQYNIILGIAKGILYLHEDSSMRIIHRDLKPNNILLDDDMEPKIADFGLARLLGEGHTHTKTSGAVGTLGYMAPEYAIHGRVSPKIDIFSYGVLVLQIVTRRRECWSADGNTVNLLTEVWNHWKKGTISQMMDQTLDQQTRNQQLRCVHVGLMCVQADPDDRPEISTIIFMLTRDNMELQLPEEPAFFFGSEPTSDFMPGEDISVNEVSFTEPYPR >SECCE1Rv1G0025080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:340497797:340504080:-1 gene:SECCE1Rv1G0025080 transcript:SECCE1Rv1G0025080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAASESGPGPCTAHELAMQQPPQQQEVVAAAAEVQAPSPGSVVVAAAEVLAPVAVATAEVPAQAPGAVLTIVISKPEEEEAREQKCVAPASLPHLEVGDASAMVAVAAAKEAELARSDSFDEQCRVCQQKSEEPLVDLGCRCRGDLSKAHRTCIDVWFRTRGSNKCEICQQVAVNIPPPETQASTSYWVWRVDSAYGRGRGGRERGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEFGSRRSMPRMENMAPSGYHPGV >SECCE4Rv1G0265190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724923078:724925339:-1 gene:SECCE4Rv1G0265190 transcript:SECCE4Rv1G0265190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQKGWYNYSSADVYGGEAYFSNKFPKGVPQVFDFAIVNGSCPAQGQPPPQGYACLSGNSSCLDATNRTGYVCKCWEHYDGNPYVPGGCQDIDECVLGGQHPQLQALYPCSSGGICKNRLGGYDCPCKPGMKGDGKTGICTEKFPLPAKVAVGVIGGISLAVVLVLFVLFMSARKKMRESFIRNGGPLLEKINNIKIFREVELKRITKNYSHVLGSGAFGVVYKGFLEGKHIAVKKSKNTTKAQRDQFANEVIVQSRIIHKNIVTLIGCCLEVDVPILVYEFISNGSLEDILYDKNRIPLTIDQRLVIAAESAEGLAYMHSKTSANIQHGDVKPANILLDDTYIPKISDFGISRLIARGNAQHSEEVIGDNNYMDPVYRETGLLTNKSDVYSFGLVLFELITGKKANCGDNCSFVLNHLDTYTRENRANVMYDMKIKEEKDFELLQILADIARKCLHHNVEQRPEMTDIAESLQNIRKARNK >SECCEUnv1G0540360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:79297850:79299764:1 gene:SECCEUnv1G0540360 transcript:SECCEUnv1G0540360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQSSIDPEQGKVTVSGMVDPDTIIKKLTKAGKPAELWGSKTGMANQFQKLQLDGGGKGQAKDAGGKGQAKDAGGAKGQKAGGGGGGGGGAGGGSKDAKMMMPQPTAQQLQQLQQQMQMKGLKMPQFMDGKMPFAAAAPMKDPKSVKFSLPPEDEFGDDGSEFDDEFDEFDDEDDFDDDGLDDDYFDDPKMMMKPMAMPPNAGGGDKKGGNNGAKKGGNEIPVQIKGNPNNGGGKKDAGGKQNQGGGGGNGNGGGKNGGGGQANNAKGGGGAPGGGGQPGQGQPGKKGGGGGPGLGVGGPMGGIGMPPQQQAMMRPNMIGAAGFRGMGPMGGLMGHHPHMGGAQGGGGAAHGMPAGGMPPPGFYQGGAAGAGGGGMPSGPAEMMQAAAAAGNPMAQQQYMAMMQHQQQMMMQQQQQQQQAMMNGHAHAHPHHGGGGAPAGYPAMPPMGYGYGRPPMPYPMAYPMPMQPHPHADPYNYFSDENPNSCSVM >SECCE1Rv1G0047910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:630247569:630249270:1 gene:SECCE1Rv1G0047910 transcript:SECCE1Rv1G0047910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLELDSTLVLCLLFVVSCFTIAVRGFGHVRRSAQPPSPPGLPIIGNLLQLGRGRHHRTLEALARRHGPLILLRIGSVRAVVVSSSPLADAVLRTQDHVFCSRPQHAYSERWRQLRRIAVVQLLSVKRVDSFRALREEEVARFVAWVRAASSAQEDGGKRPGINVTELIITLTYSVISRAAFGHKLGGVEPAKVRDMMKELTELLGTIAVSDVFPRLGWLVDWATGLDARMKRTAAKLDSIMERTIMEHEGDPGNDGEARDLLDDLLSIAKDGDQGFKLDRIDVKGLILDMFIGGTDTIYKTIEWTMAELVKNPIEMAKVQSEVIQVAGGAHGGVLEEELEKMNLLRAAMKETMRLHPAVPLLLPRESLQDAQLHGYDIPAKTSVMVNTWAIGRDNELWENAEEFRPERFLGGTIDYSGKDPRFLPFGAGRRGCPGMAFGTRLAELTLANMMYHFDWELPDGQDPDTFEVVEGSGVSPGLKSALILALKPL >SECCE2Rv1G0068280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22605419:22608145:-1 gene:SECCE2Rv1G0068280 transcript:SECCE2Rv1G0068280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 8/9 homolog [Source:Projected from Arabidopsis thaliana (AT5G55940) UniProtKB/Swiss-Prot;Acc:Q9FG71] MGVECRYELAQAAYVKLALHALKHPAAAVNGLLVGTLADTAASPAVVSVVDAVPLSHQPHHLPLLPTLELALTLVEDHIQAQGLAVVGYYHANARRDDADLPAVAKRVGDHIFRYFPRAAVLLLDNVKLEEVVKGNSRDAVVQLYTRDSSKSWRQAGSDGSSQLKLKEPSTNVVLADHVTTKKWQQVVDFDDHLDDISKDWLNASLLG >SECCE7Rv1G0516390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:845706553:845706996:-1 gene:SECCE7Rv1G0516390 transcript:SECCE7Rv1G0516390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVGMPYYVTPEVVAGREYGKKVDVWITGVVLYMMLSGAVPFYGATAQEIFEAVLRGNLRFPPCAFVGISPEAKDLMRHMRCKDVSRGLSAEQVPRHPWIASCGGDVVAG >SECCE4Rv1G0275430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:793467713:793468777:-1 gene:SECCE4Rv1G0275430 transcript:SECCE4Rv1G0275430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGADEFGRAAARAAVARMLQAAGFASSTRSAVDALADVLLRYLRLLGGAANAHANHAGRSAPNELDVLRFLEETAETYQGFEGASSTSGCLVGSGVVRDLVAFAGDADDKPLSVWRRLPRFPVRHDPPQSRSSCASFAALGRGSGMRHVPEWLPAFPEPHTYASAEESGEMAGGSAVVDEVEQVWRQRKAEKSLLGLQRQLALAGGNGIRPAAVIEDGAGKVNEELDRAVVKSNPFIESALRYGEKEVSEVAMPNLGKKHSVLDAFAPAFAESEGERLDEGRRDQDQGRDRKRIVPKERSPVYFRIGADRKSRVMALNSRALEDRKGPWLMEDDRKRRALSILAEPMEKPS >SECCE6Rv1G0409750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:591566824:591567573:1 gene:SECCE6Rv1G0409750 transcript:SECCE6Rv1G0409750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE332 [Source:Projected from Arabidopsis thaliana (AT4G09650) UniProtKB/TrEMBL;Acc:A0A178V1L3] MAALRLASSVTLCPAAAAASPSSAAAPRAASFACAVARGLPSLRLAAPRRRRGDLGRPRAAGADAAAENYATALTEVAAENDSLEATVADMEKLEKIFAEEAIAEFFDNPTVPREEKTALIDEIAKSSELQPHTVNFLNVVIDNTRASLMPQIVREFETAYNALTGTEEAVVTSVVQLESQDLAQIATHVQNITGAANVRIKTRLDPELIAGFTVQYGRDSSNFIDMSVRKQIAEITSEFEMPSVNLEV >SECCE2Rv1G0127190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:851659026:851661269:1 gene:SECCE2Rv1G0127190 transcript:SECCE2Rv1G0127190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKLFSLLTFLLFALVAAGEHWEELGRYVVHVQPKGNHVFGTTGDRKEWHQSFLPDNGRLVHSYHHVASGFAAWLTRRELDAVSAMPGFVAAVPDVIYRPQTTHTPRFLGLETALGFRNPSVGSGDGVIIGVLDTGVFPNHPSFSGEGMPPPPAKWKGRCDFNASVCNNKLIGARSFISEGSSSGGPPTDEEGHGTHTSSTAAGAAVPGAQVLGQANGTASGMAPRAHLAMYKVCSEDGCASVDILAGIDAAVSDGCDVISMSLGGDSLPFYQDSIAVGTFAAAEKGIFVSMAAGNSGPNYTTLSNEAPWMLTVAASTMDRLISTQVTIGDGPSFDGESVYQPVGLSSVEAPLVYAGASSTPLAQFCGNGSLDGFDVKDKIVLCDRGGDVGRVDKGVEVQRAGGIGMILTNQFIDGYSLLADAHVLPASHVSYAAGVEIKNYVKSTANPTAGIFFRGTVLGTSPAPAITSFSSRGPSTQNLNILKPDITGPGVSVLAAWPFQVGPPTSWFNVSTAPTFNIISGTSMSTPHLAGIAALIKSKHPDWSPAAIKSAIMTTADVTDRSGMPILNEQHKPADFFAMGAGHVNPDKAVDPGLVYDTATGDYIGFLCSMYTDKEVSVIARQSVNCSTVQKIPQSMLNYPSISVSFVPDWSPLAPVMVERTVKYVGQAEGAMYQVQVDMPAGSFVNVTVTPSVLWFSQVRPEQKFTVLVFPLNASTTAVQGAIRWVSDTHTVRSPISAMFPSH >SECCE6Rv1G0446420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:844104715:844112673:-1 gene:SECCE6Rv1G0446420 transcript:SECCE6Rv1G0446420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKKLFHILLLLLVCAAKATNPEAEALLRWKSTLVGANSLSSWSTENSTCSWFGVACDAGGHVTELRLPNAGLNGTLDYLYSAAFRNLTKIKLNNNNLVGAIPANISKLLTLTYLDLSNNNLAGAIPYQLSNLPMIVKFNLGNNHLTNLEYSKFSPMSSLKMLSLADNDLSDPSIYGVTPSLGNALLPEMVPRLRDLILSVNGFYGSIPRSFSRLHKLKTLRLFANNLTGGIPEELGMISGLQTLSLGNNPLGGLIPASFGHLPPSFARIQTLLEIYMQGNNINGTILTEMFTNCSKLKLFVVSSNLLTGSISSHISKCKELELFDVSENFLTGSIPMEIGSMRNLQALFLSKNDLSGIMPSHIGNATSLHFLDVGSNHLEGELPKSISLLVNLLALDLSGNKLTGNAFAGELPTSTFDNSSLRSLHLSSNNFTGCFPAVLKNFKSLVVLDLGDNKISGVIPPWIGESNPSLRILSLRANMFYGSIPWQLSRLPHLQLIDLAANDFVGSIPTSFVNFSSMRQADICYATCCNNLHTTWTYMDIIWKGREYTFKGRHAFVTGIDLSGNSLSGEIPSELASLKGLRLLNISRNNLSGSIPKDIGNLKLLESLDLSWNKLSGAIPSSVSNLMFLTALNLSNNLLSGEIPTGSQLQTLDDPSIYGNNLGLCGPPLSIVCTNNLSTTTPVDGDHGHETVWLYYSVIAGIVFGFWVWFGSLFFCKIWRVTFFSCVDAMQQNIVHKMKHT >SECCE6Rv1G0419780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:672991075:672994497:1 gene:SECCE6Rv1G0419780 transcript:SECCE6Rv1G0419780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAVVLAILVSSVLHPLLLTTLADESDNNRDALLCLKSRLSITTWNTTSPDFCSWRGVSCTRQPQLPLVVALDLEAQGLTGKIPPCMSNLTSLVRIHLPNNQLSDHLPPEIGRLTGLRYLNLSLNAFSGEIPESLSLCSSLEVLALSSNSIGGVIPSRLGTLRNLSSLDLSSNKLSGEIPPLLGSSPALESVSLTNNFLNGEIPLFLANCTSLRYLSLQNNSLSGAIPSALFNSSTIIEIHISMNNLSGPIPPFTNFPSKLNYLDLTGNSLTGTVPPSVTNLTQLTGLLIAQNLLQGNIPDLSKLSGLEFLDLSYNNLSGIVPPSIYSLPLLSFLGLANNNLRGTLPSDMGNTLSNINSLIMSNNHFEGEIPASLANASSMEFLYLGNNSLSGVVPSFGSMTNLQVVMLHSNQLEAGDWTFFSSLANCSQLQKLNLGENKLRGNLPASSVATLPKSMNGLTLQSNYISGTIPLEIGNLSEISLLYLDNNLFTGPIPSTLGQLRNLFILNLSRNKFSGEIPPSIGNLNQLTEFYLQENELSGSIPTSLAGCQKLVALNLSSNGLNRSINGPMFSKLNQLSWLLDLSHNQFTGSIPPEIGSLINLGSLSLSHNKLTGTMPSTLGACVRLESLNLNGNHLEGSIPQSLANLKGVKALDFSQNNLSGTIPEFLETFTSLQYLNMSFNNFEGPIPIGGVFDNTKNLSLQGNALLCSNFQVNDLPRCSTSASQRKRKFIVPLLAALSAVVALTLILGLVFLIFHILRKKKSRRSSQSIDHTYTEFKRLTYNDVSKATNGFSPTNIVGSGQFGIVYKGQLDGKDTSVAVKVFKLNQYGASNSFASECKALRNIRHRNLVSVITACSTYDLVGNEFKALVFEYMANGSLENRLHAKLQKNVDLSLGAVICIAVDIASALEYLHNQCIPPVVHCDLKPSNILFDDEDTAYVCDFGLARLIHGYSSEAQSNSTSITGPGGTIGYIAPEYGMGSQISTEGDVYSYGIILLEMLTGKRPTDEAFGNGLTLQKYVDASLSEIERILHPSLMPKTEDQPTVTPKTEQYRSTTVMHICALQLLKLGLLCSVESPKDRPSIHEIYSEVMAVKEAFFSMNI >SECCE7Rv1G0467700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:90565697:90566813:1 gene:SECCE7Rv1G0467700 transcript:SECCE7Rv1G0467700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSLTTCRHAAARPGAGRVTGCSGVRATPPRSRSGNCFAPTVSSSSSWSPSSSRAITSCALKPPPSYGGKAKEKKVNPRDLFTFSYRFNTDIPMGETPGASIDEYLMNRPRIVGAVFPDKRKRTKLNDEEWSVQLVPIQFLFLSACPVIAVRFVSRSGGEGYPPHVPVHATSLLLMEVTDYKLKGLQRDAMPSHLALTVRGTLYPQPEGRRSLRGHVEMSVGFNLPPVLALVPEPIIRGVGDTVLRQLAEQMKHDFDTGLAADFKKYRTEKLTEGRTKH >SECCE6Rv1G0387040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:105085815:105088555:1 gene:SECCE6Rv1G0387040 transcript:SECCE6Rv1G0387040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGDAAESSSGGSGDAGAPRRASRKPKYSKFTQQELPACKPILTPKWVISVFVLVGAIFVPIGVASLLASQKVVEIVDRYDDACIPSNVTDKLAYIQNSTIPKTCRRTLKVPKDMKQPIFVYYQLNNFYQNHRRYVKSRNDQQLRDASKANETTLCDPEKTTKDGLAIVPCGLIAWSTFNDTYTFRHNSNNLSVDKNDISWKSDREHKFGSDVFPKNFQKGPLIGGKTLNESIPLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKENDTITVTLDNNYNTYSFGGKKSLVLSTSTWLGGKNDFLGLAYLTVGGLCFFLAFAFTLLYFIKPRKLGDNNYLSWNKNSAGH >SECCE6Rv1G0392270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:257324688:257359123:-1 gene:SECCE6Rv1G0392270 transcript:SECCE6Rv1G0392270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFREERYVRFHDWRSEYSIGSDKIVSEGRHTAFNSLKDKTLGAFSFLGNSSRPETLNKSTPEERKAKTRVLDPQGPFLQRWNKIFVISCLIAVSVDPLFFYIPVIDGVKNCLYLDKKLATIASILRFFTDIFYLLHIIFQFRTGFVAPSRVFGRGVLVEDTFAIAKRYLTTYFLIDFLAVMPLPQVFVLLVLPHLKDSKVMEAKDILMVIVTCQYVPRLVRIIPLYLQITRSAGIITETAWAGAAFNLLIYMLASHVFGALWYLLSIQREDTCWRKECGKINCNFASLYCGSNTARDNIFLQNVCLTNGSDDIDPTFGIYLPALRTVSQSTSFFEKFFYCFWWGLQSLSSLGQNLKTSTYTWENLFAVFVSISGLVLFALLIGNVQTYLQSASVRIEEMRVKRRDTEQWMAHRLLPENLKGRILRHEQYRWQETRGVDEEGLLMNLPKDLRREIKRHLCLSLLMRVPMFENMDEQLLDAMCDRLKPMLYTEDSCIIREGDPVNEMLFVMRGYLESMTTNGGQSGFFNSNVLKGGDFCGEELLTWALDPAAVSNLPSSTRTVKTLSEVEAFVLRADDLKFVATQFRKLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEDSLFEKEKRLQAAIVSDDSTKLSLGAALYASRFAGNMMQILRRNATRKARLQERVPARLLQKPAEPNFFAVGE >SECCE4Rv1G0265350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725562197:725563783:1 gene:SECCE4Rv1G0265350 transcript:SECCE4Rv1G0265350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIFSAVVGDIVGRVISLLAGRFKGQGCTEARLRRICHMLVKVHSAVEEAKGRQITNYSTLEWLSELNDSVYQGRYLLDTVRCREQELEDEHADKVVGHPFSLSLLNPAKRVRVAASAVKSLLSRHDVGVGEDIDRVVEILETVSSDLKEFMMLLHNCQPIHRPLPTNIFVEGQMFGRHVEKERIINFLLHDGGPSTGGKVGVVPIVGDMGFGRTTLVQHVCDDERVCNHFPIIVYSNLSYTRAMAQGEAAFVIGSKHAARDAKEFIESLHVFKEKYLTKRFLMVFEDIDAGKKQMLEELLLILRHGKHGSRIIITTNSRAVAVSMGTVQPISLKVMPHQEYWFFFKAHAFAGRDVEEDPRMLAEGKAIARKLNGSFFGAKIVGRVLRAHPNTRFWRKVLRSNIGGLSLLGDGIGYIADLAENLLPIHTEMSMVTISKSPYPSQKELARFEDLYQASPGASAATPHGEIRFTKVLLCRSVLPFQFLYYTAHCSVRGPDYSPEFIKLEGSRFAHNTPLKKRFANLCV >SECCE2Rv1G0094300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:395698438:395750945:1 gene:SECCE2Rv1G0094300 transcript:SECCE2Rv1G0094300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPQPPPSAVVFAVNGQRFELRGGDDPGTTLLDFIRTRTRFTGPKLGCGEGGCGACVVLLSTYDAAGDQVSHAAVSSCLTLVHGLHHCAVTTTEGLGNSRDGLHAVHARFAGFHASQCGFCTPGMCMSLAAALAAAEGKGSGPPPREGFSKLTSADAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWKKGDAHVDKLPPYKEGSIGAFPEFLKAEIIASVRIDKYMSATGMAGSDSSCHRPRSVEEYFKLIASESFDGNGTKVVAGNTSSGVYREVEVYDRYIDLRDIPELNSVSKDAQGVQIGAATSISRVIEILRREGDDCKDVIFGKIADHMEKVSSHYVRNTATLGGNLVMAQRDEFPSDIATILLAAGSSVCIQVSSGKLNVMLDEFLEMLPCDYKALLLSISVPHCTPDNVSSSAGSVNMTGDKTESLLLFETHRAAPRPLGNAIAYLNSAFFAQISSDESSGSLILENLRLAFGAYGTRHAVRARDVEKYLVGKPMSASVLLEACNVLKKSIVPKEGTTHSAYRSSLAVAFLFTSLYPTTKRNVKPARSASLNDHVASDTNGNPNCPPSADIDLSLKESNSVKSGLHSNDHILESCKQTVEISKDYLPVGIPTKKVGAELQASGEAVYVDDIPSPEGCLYGAFVYSTKPLAHVNSIELDPSLEQLKTAAVITVKDIPKGGGNFGANTIFGPEPLFGDPLTQCAGEPLGIVVAETRNFANKAAKRAVVNYSTETLDSPVLSIEEAVRRHSYFETPPFLLPQNIGDFSKGMEEADEKIYSAEVKLNSQYYFYMETQTALAIPDEDNCMVVYSSSQCPEAAQNNIATCLGLPCHNVRVITRRVGGGFGGKAVRSLPVATACALAAFKLRRPVRMYLDRKTDMIMTGGRHPMKICYSIGFKSDGKVTGLHVDLFVNAGMTMDISPIIPHNFIEALKKYNWGAFSYDAKICKTNISTRSAMRGPGEVQGSYVAEAIIEHVASALSTDANLVRQRNIHTVESLALFHSECMENALGYTLPSICNQLTTSANYQYRSEMIQTFNKTSQWKKRGLSFVPIVHKVLSRPTPGKVSILNDGSIVVEVGGIELGQGLWTKVKQMAAFGLGQLWADRSQDLLERVRVIQADTLSVVQGGWTTGSTTSECSCEAVRLACNIMVDRLKSLKEQLQEKQGKVSWDRLISQAKMAGVDLSAREYYIPGASGSYLNYGAAASEVEIDLLTGATTVLRSDLIYDCGQSLNPAVDMGQVEGAFVQGIGYFMSEEYVTNSDGLVVSDGTWTYKIPTVDTIPKQFNVELRNSGFHKKRVLSSKASGEPPLLLAASVHCAIRDAIAAARKELHCSGSGSSSPFFELEVPAIMPVVKELCGLDNVEKYLKTLVGSK >SECCE7Rv1G0497740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:630006270:630017816:-1 gene:SECCE7Rv1G0497740 transcript:SECCE7Rv1G0497740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPTAAARRAAAIARHLAGLPSGAAAAVAGLQFSPCLSYAPPESCEAPPAFAPMELRALLDGHHLRERDWAYGAMEESPLFCPRRAGGKVFVSPDYNEGKEGQREATMRRIGYLTRRGVFRGWLTEPGPDAELRKLALLECLGVYDHSLAIKVGVHFFLWGGAVKFLGTKRHHDKWLLDTENYVIKGCFAMTELGHGSNVRGIETVATYDSKTGEFVINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFIAQIRDQDENVLPNIHIADCGHKIGLNGVDNGRIWFNNIRVPRENLLNLVADVLPDGKYVSMIDNPDQRFAAFLSPLTLGRVNIAVNAVYISKVGLAIAVRYALSRRAFSITPDGPEMLLLDYPSHQRRLLPLLAKVCMMSSASNFMKNMYVKRTPEISKAIHVYSSALKATLTWQNMTTLQECREACGGQGLKTENRVGIFKAEFDVQSTFEGDNNVLLQQVSKALYAEFLTGQRKKKPFKGLGLEHLNGPCPVIPHSLTSDILRSSKFQMDLLCLRERDLLKQFAEEVARYLAQGESKERALMLSYQIAEDLARAFTERIILQIFLEDEMNAPAGSLKDVLGLLRSMYVMVCIDESASFLRYGYLSRDNVATVRKEVMILCSELRPHALAIVGSFGIPDAFLSPLAFDWIEANALSSGSE >SECCE4Rv1G0220030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:34756690:34758982:1 gene:SECCE4Rv1G0220030 transcript:SECCE4Rv1G0220030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHLGIPLLPLVSRNPHAPTPLHLRHAFCSSTAAPTIDAASSASVSAVETPEEAPAATAAAGTEADAGESALAHAIREEEPLHEKILHMIRRRKWTTRMENSVRLLSPTLSAPLVHGVISAAAAANRADLSLQFFRFAYRRAGGKSMLNHARCILLETMPSFSISPEEATVAALVAAYGKAGIPQEAVKLFRLMPELGIARTALSYNAVLKAILCRGREAMARRIYNAMIADGVVPDLSTYNTLIWGFGLCKKMESAVRVFGDMKDHGVTPDVTTYNTLLNAWVRTGDMECARKVFDEMPVAGFERNSISYNVMIKGYVEANKVEEAVGLFKEMGEKGLRLSEKTFAALMPGLCDDEGKAVEARKAVEDMAERRLTPKGKSVFLRLVSTLCKAGDLVGALEVHKKSGLFKHVLVDPRQYGVLMESLCAGGKCDGAVEVLNELLEKGTLLSPKSPVLEAPAYNPVIEYLCNNGNTNKAETFFRQLMKKGVDDKSAFNSLIRGHAKEGALEAAKEILAIMTRRGVPTDPHSHALLIDSFLKKNEPADAKTALDGMMEHGHLPRPALFQSVMVALFNDGRVQTASRVMKSMIEKGVTENMDMAHKVLEALFMRGHVEEAIGRVNLMVENGFMPDLDKLLVSLCRKDKVMEAHKLSDFALDRNFEVSFSTYDKLLEALYTEEKTLPAYSILCKIKHKGAVVDQKGCDALMDSLKAGGYSKQADILSRILVENGSSTSKRSKKSAMGA >SECCE7Rv1G0464330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:60048148:60053162:1 gene:SECCE7Rv1G0464330 transcript:SECCE7Rv1G0464330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAPPREDPSPTGVAVSPEVEAALASGGAVVALESTIICHGMPYPKNLHTAMEVEAIVRENGAVPATIAILDGVPHVGLSSEQLKNLAISGSQFQKTARRDIAQVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRYGETTMDVSSDLTELGKTPVAVISAGVKSILDIARTLEYLETQGVTVAAYRTNEFPAFFTETSGCKVPCRVDSPEECAKIIYANQNMHLGSGILIAVPVPKQHAASGKVIESAIQQSLKEADDKKIIGNAITPFILDRVKVLTGGSSLEANIALVKNNALTGAKIAVALSDLHKRATNRPVRSAL >SECCEUnv1G0534110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35939038:35940800:-1 gene:SECCEUnv1G0534110 transcript:SECCEUnv1G0534110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYQNVVAGKLKLKGKPLDVKEGGVKKKNKKKHRREESSQGDHGELREGGSSELPGDPDNEFSEAGKLGEEGSAQADYDHLTPAERRYIEQKQKIDVHKLAKVADKSHRDRIQDFNQYLANLSEHYDIPKVGPG >SECCE6Rv1G0407460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:558300672:558301253:1 gene:SECCE6Rv1G0407460 transcript:SECCE6Rv1G0407460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSKKRGGQRGEGDGENAASEGGSALPMANVVRLMRRVLPSNVKIAESAKQLTHDCAVEFVGFVGGEAAELARSEHRRTVAPEDFTWSCQNLGFDSYVQPMETYLRGYREYDAARGRSSRGARPPAPPAMTSFLPPGQPVTVTEEELEFLRSVVPPPPEGY >SECCE5Rv1G0339330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607146327:607148356:1 gene:SECCE5Rv1G0339330 transcript:SECCE5Rv1G0339330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAEVSNKRVILKRHVTGFPTEEDMELVPATARLAVPPGSAAVVVKNLYLSCDPYMRTRMSRHDEPSYVPDFVEGEVLTALGVSKVVESGHQDYKPGDLVWGMTGCEEYTLITNLQSHFKINHPELPLSYYTGVLGMPGLTAYVGFFDVAKPKKGDYVFVSAASGAVGQLVGQLAKISGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKKEQDLNATLKRCFPDGIDIYFENVGGTMLDAVLLNMKLHGRVSVCGMISQYNLEQLEGVRNLFYIVAKRIRMEGFIVMDYYGNYRKFEEEMAGYLKEGKINYVEDVAEGIESFPTALIGLFYGRNVGKQLVAVARE >SECCEUnv1G0533560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:31077023:31082628:-1 gene:SECCEUnv1G0533560 transcript:SECCEUnv1G0533560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIAADERGLAGGKGSGDEEEEETSPIEEVRLTVPPGDDPTLPVWTFRMWSIGLLSCALMSFLNQFFSYRTEPLIVTQITVQVASLPMGHFLARVLPRRRFRAPALLGGGEWSLNPGPFNMKEHVLISIFANAGYAFGNGNAYAVMIVDIIRAFYGRSISFIAAWLLITTTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKEESPKGSRQISRSKFFLVALICSFAWYVVPGYLFPALTSVSWVCWVFSKSVTAQQLGSGMKGLGLGAFTLDWSAVSSFLFSPLISPFFATANIFVGYFCFLYVLVPTAYWGMNLYNAKTFPIFSSHLFMSNGSAYQITDIVNQQFQLDTEAYARLGRINLSTFFALSYGLSFATIASTITHVGIFYGKEIYHRFRASQQEEPDIHTKLMRKYDDIPAWWFYSLTVLSMTVSLILCTVLKDQVQLPWWGLLFACGMAFVFTLPISIITATTNQTPGLNVITEYAMGLIMPGYPIANVCFKVYGYMSMSQAVAFLSDFKLGHYMKIPPRSMFIVQFAGTLVAGTVNLSVAWWLLGSVENICQDQLLPPDSPWTCPGDRVFFDASVIWGLVGPKRIFGPHGNYEAVNWFFLIGAAGPVIVYIFHRIFPNQRWILMINLPVLIGATANMPPATAVNYNSWLLIGTIFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAVLLYFTLTMENRTVNWWGTAGEHCPLASCPTAKGVDLGPDSVCPVF >SECCE6Rv1G0399470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:440123977:440126316:-1 gene:SECCE6Rv1G0399470 transcript:SECCE6Rv1G0399470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEEARERSAESAEMVPSWREQLTVRAFVVSAVLAVIFSVIVMKLSLTAGIIPSLNISAGLLGFFFARLWAAAEARLGLPRRPFTRQENTVIQTCVVAAYGIAFSGGFGSYIFAMSSRIAGQATEANDAINVKDPSLGWMIGFLFLVCFVGLFALVPLRKVMIIDYGLSYPSGTATATLINGFHTPQGAKEAKEQVKTLGRYSLFSFLWGFFKWFYTAGDDCGFESFPSLGLEAYERKFYFSFSPIYVGVGMICPYITNVSILLGGILSWGLMWPLIEKKKGSWYPATAKGSDLHGLQGYKVFISIAMILGDGFYNFVKVSLRMVKAFMAAARKRSTLPVSGSGDGSARSLDDDDLRRTEYFLRDQIPMGVALAGYVAVAAVSVGVVPKIFPQLKWYYILAVYGMAPVLAFCNAYGMGLTDWSLATTYGKLGIFVFGAWAGASHGGVIAGLAACGVMMTIVATAADLMQDFKTGYMTLASPRSMFISQVIGTAMGCVIGPCVFWLFYRAFDNVGLSGSDYPAPYAIVYRNMAIFGVEGFSSLPKHCLTLCCAVFAAAVVINLARDLAPEKVSRFIPVPMAMAIPFYLGSYFAIDMCVGSAILFVWEKVDKAGADMFARSVASGLICGEGVWSMPQSVLALAKVQPPICMKFLSRSTNARVDAFIQTLSSKT >SECCE3Rv1G0192820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762675524:762675913:1 gene:SECCE3Rv1G0192820 transcript:SECCE3Rv1G0192820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGVAALLIASLLVAAVTLADARVTVNVQRGSINGSYAANQKAAPALTCNKVSAVQQGETCFSLAQDSGLTLEEFLGFNPNINCVRVFVGQWVCLNASSA >SECCE3Rv1G0148670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19598909:19599406:-1 gene:SECCE3Rv1G0148670 transcript:SECCE3Rv1G0148670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRFFDTLALDSWNPFGSIFGTTASSGTDVWLANDTTAFADTYIESRETAEAYVFSARLPAGVTKEEVKVEVEEEGKVLVIAGERNLRKEAKTETRHHVERSVATFFGRFHLPEDAALGQVRAAMDDAGAQLTVTVPRVCAALAAVTMPEPAVAIEVVEASPC >SECCE6Rv1G0432140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749972344:749979761:1 gene:SECCE6Rv1G0432140 transcript:SECCE6Rv1G0432140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASASGGAMFPPPANSPQKLWEDPTFFRWRKREAHVPLRSQDTLEGALKYWRERRNVSHLDAEAAVWDDGAVGGALESAAFWSRGLPYARSLSGHWKFRLAQSPETVPDKFYDAQFNDSDWEALPVPSNWQMHGFDRPIYTNVTYPFPMNPPFVPSENPTGCYRKVFHIPKEWKGRRILLHFEAVDSAFLAWVNGVPIGYSQDSRLPAEFEITDCCHHCDSDKENVLAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQIFITDYFFKATLDENFEVADIEVEVEIDSHKQDREHISTLSIEATLFDNSKQSDDLNSDMSAANVVNLKTKPKPKGGPCHGFHGYVLGGKVENPKLWSSEKPNLYTLVVLLKDADGKLIECESCQVGIRNVVLAHKQMLVNGSPVVIRGVNRHEHHPRLGKTNLEACMIKDLVLMRQNNINAVRNSHYPQHPRWYELCDIFGLYVIDEANIETHGFDETSHFKHPTLEPIWANAMLDRVVGMVERDKNHACIIIWSLGNEASYGPNHSAMSGWVRGRDPTRLLHYEGGGSRTSSTDIVCPMYMRVWDILKIANDPSENRPLILCEYSHAMGNSNGNIDAYWKAIDNTMGLQGGFIWDWVDEGLLKENADGSKSWAYGGDFGDIPNDSNFCLNGIVWPDRTLHPAVNEVKYLYQPIKISLVDNILKIKNGQFSETTEALDFSWILHGDGSVLGSGSLSVPNLAPQSSHLINMESSPWFTLWSTCAAKETFLSVHVTLRDQTRWAKAGHVLASAQVCLPQTKGFVPHVIALSKSSLTSEQVGDGVIISKNHEWQIKINSQLGTIDSWKINNVELMSKGIFPCFWRAPTDNDNGGFFTKSYAITWREAFLDNVSFYSSQFSVKELPDHTVEVSTTYYGLPGHLPKPDDATLSEASDSVLFRVHMRCLIYESGDVTLDYEVNPKSDLPPLPRVGVVFNAEKSLNHVTWYGRGPFECYPDRKAAAHVGVYESGVEDLHVPYIVPGECGGRADVRWVALKNADGFGLFASTHGESPPMQVSASYYGAAELDRATHQHKLVKGDDIEVHLDHRHMGVGGDDSWTPCVHEEYLLSPAASYRFSLRLRPLSPSSSSCQDIYRSQLPH >SECCE7Rv1G0519400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864629459:864636641:1 gene:SECCE7Rv1G0519400 transcript:SECCE7Rv1G0519400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVGLAKSVVAGTLSKAHAAVQEEAKLRQSARRDLVFITGEFEIMQSFLDVANAERVENPVVRTWVRQIRELAYDVEDCIELVVHLDKGKTSFWFRLRRLCVPWARPPRPPALDQAVDEIEQLKARVADVSTRNARYSLIGDTGAKPVPQQQLTAAAAAGDTDMLAEARDAARREHHVVDLTQLITREVGAGHGLQVISVWGSAGENGTTSIITKSYIDPEICRTFTRRAWVKLMRPFSPQDFIRSLMLQFCAATGTDVLQGTQEALFRGFQELVTQGRYLVVLEDLSNMADWHALRTFLPDSMKGSWIIVSTQQPEVASSCVGAPYRILELKQFSPDHSVCALFNKGSYDGGDKGKKQADKDSCLVGRESQMKELSEYPAKARIRSCQVVSVWGIAGVGKSALVKKLYDEKMCDTKLFEKYRWVNVSHPFNLRDFRRSLPPDFHFKESRWLIVIDDVRSKKEWDLIQSSLAMPRSSMSVIIVITTDKSIAAHCANSEELMFNVKGLEAAAALRLFRAEVSKTNPSVMTALEDFQVKELILKCGGLPKVIVAIAGALAKQTVTWMDTVVSLNQRFMHHLQTMPDYDSLRALFGWMHSYFHTCPDSLKPCIFYLSIFPRDHPVRRRRLVRRWISEGYSRDGDEESAEENGERQFSELLDLSIIQQLPHLVTNALDTRMVLCQVNGFIREYIIPRRKEENLMFELGANCALTTRRTGRHLIILHDWNRDRIVFESMDFSRLRSLTVFGKWESFFISENMILLRVLDLEDASGLDYDDVENMVKWLRRLKFLSLRGRREIRHLPGSMDHLRQLQTLDVRGTSIVALPENITKLQKLQYIRAGTKDIPASTSPSASSWLPKICTCHNIVGVEVPAGIGKLTALHTLGVVNVAASGGKAMVKALKKLTQLRKLGVSGINRKNIKAFFSAIKGHVHLESLSVRLDKDSQGCFLDDEISLPWKNLRSLKLYGLQDKLPLPSDLECQQVLSDQFSKLTKMDLEVATLNEQDIKFLGEVPKLCILRLRIKQPSGPSLNFIVKIDASELAAYKKVKILEIGCSSSPLSVEFGSETMKNLEMLKLDCSSGTTYALDGLDFLSELKEVSLIGSNDGTFKAEMTNKLGNHPKKPVLKIEGLPLPS >SECCE7Rv1G0480450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:270474558:270475856:-1 gene:SECCE7Rv1G0480450 transcript:SECCE7Rv1G0480450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLHHALLLAALLQLLSATSAATAGDVATGSEKVQVAVYYESLCPYSTRFVVNHLFKAYMDGLLDAADLTLVPYGNAVVGSDGTISCQHGPDECLLNTVEACAIHAWPDVKVHLGFIYCVSDLVMKNKRREWESCFQKQGLDPRPVTECYKGERGRNLSLEYGRQTAELVPPHKFVPWVVVDGKPLYSDYGNIKAYICKAYKGYPLLEACRGLGMEADNNVYGRL >SECCE6Rv1G0430050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739683759:739684319:1 gene:SECCE6Rv1G0430050 transcript:SECCE6Rv1G0430050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQTNLGGASGGEEGAESFEPTEDELVLHFLRPQLRGLAPRVAGAVVEAEPCAATPWELLERHGLLRRGHGYFFAARRRRGKRGQARRTPEGGGGAWMHSGNREDRRSVTELGVVARWSMTRYCFYARDWAQGRRSTGWVMTEYEITDPRCYRRADDGEEDQYWVLCHVRRSLRKSLKPRSRRV >SECCE1Rv1G0050260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:645707753:645708169:-1 gene:SECCE1Rv1G0050260 transcript:SECCE1Rv1G0050260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISRPYSGVSRSGATARTGPHALPLARIKKIMKRSAGDGSGGDGAGARMISGEAPVVFSRACELFVAELTQAAWAATLEGRRRTVHAEDVAAAVRDTDLFDFLVDVVKPRPGDDGVDAADGAGRVAPAAGVHGGGLD >SECCE7Rv1G0470170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:116896574:116898230:1 gene:SECCE7Rv1G0470170 transcript:SECCE7Rv1G0470170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDVHNLRTESLKQQYDLVKKRTTAQDSYSYGSHVMQYGSLDLNDQHLFLYIGSNPANDNSTFVEDNSLPSFSRAVNQRDADLVYFWHKYQKLAQSSPEKNDARKQLLEMMGHRSHIDNSVELIGKLLFGSVDGPMVLKTVHPAGQPLADDWSCLKSTVRAFESQCGSLAQYGMKHMRSFANICNAGILPEAMVKVAAQACTSIPTNPWSATHNGFST >SECCE5Rv1G0317750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:351677764:351707481:-1 gene:SECCE5Rv1G0317750 transcript:SECCE5Rv1G0317750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase [Source:Projected from Arabidopsis thaliana (AT5G13690) UniProtKB/Swiss-Prot;Acc:Q9FNA3] MRPPSPLLLPLLLLLLLLPSPPAVATAASRRTASPATQEGAAARVLRRLLPSHALSFRFQIDPKGGVCGDSSCFRISNVDGSGTGGAEILIQGTTAVELASGLHWYLKYWCRVHISWDKTGGAQLASVPPPGSLPRVKGTGVKIERPVPWNYYQNVVTSSYSFVWWDWRRWEKEIDWMALQGINLPLAFTGQEAVWQKVFKSFNVTDRDLDDFFGGPAFLAWARMGNLHAWGGPLSQIWLDQQLALQKKILSRMIELGMVPVLPSFSGNVPVAFKKLFPSASITRLGDWNTIDGNPRWCCTYILDPSDALFIDVGQAFIKQQMKEYGDITSIYNCDTFNENTPPTNEPTYISSLGSAIYDAMSRGNEDAVWLMQGWLFYSDAAFWKESQMKALLHSVPIGKMMVLDLFADVKPIWQTSSQFYGVPYIWCMLHNFGGNIEMYGVLDSISSGPIDARTSYNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVKVEDWLKTYSYRRYGQSNVEIQKAWGILYHTIYNCTDGIADHNKDYIVEFPDMSPSSFSSQYSKQRSISLARKHPRFFLSEVSASLPQPHLWYSTEEAVKSLELFLNAGNDLSKSLTYRYDLVDLTRQSLSKLANKVYLDAMGSYQKRDSSGLNFHTKKFLELIVDIDTLLASDDNFLLGPWLESAKSLAMTEDERKQYEWNARTQVTMWYDNTKTEQSKLHDYANKFWSGLLKSYYLPRASKYFSRLSRSLQENRSFQLEEWRRDWISYSNEWQSGKELYPVKAVGDALAISRSLFTKYFR >SECCE2Rv1G0109170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:673431121:673431384:-1 gene:SECCE2Rv1G0109170 transcript:SECCE2Rv1G0109170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAMPLAATFLALGLAVLLLSAAGPAVAQNCGCPADMCCSQWGYCGTGPDYCGDGCQSGPCTVASSGAAASEASGGKPVGSKRTP >SECCE1Rv1G0019280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:229616938:229625715:-1 gene:SECCE1Rv1G0019280 transcript:SECCE1Rv1G0019280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSVECLSLPDASMDVDDVDSHHHHHHSIPIHPVHLAASGGVGGRAFAKMNAGGAAAAGGVSATVGSAGGPPATSVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEVFPYYSKIKHEGQCSFRPYNCPYAGSECAVAGDIPFLVSHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQPNPDGTCIPNLCS >SECCE5Rv1G0358760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:757060327:757060662:-1 gene:SECCE5Rv1G0358760 transcript:SECCE5Rv1G0358760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFFCCNLQTLESCGWDVVGGDGGISMLAKPTAYISRSFKIDGFEGQLDGCNIREAILRSTGLCISSSKWTGIPDYCRFSFALESSEFERAMDCIVRFRELVLGEEQGSI >SECCE2Rv1G0087200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:223309266:223311350:1 gene:SECCE2Rv1G0087200 transcript:SECCE2Rv1G0087200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRATGTCFLALQFVLSFTLNIGCVSPLSFKLNFTESNHTASTAIQFQEDAFYNKGIKLTKDELKDQITNSVGRAVYTDPVLLWDTTTGQLADFSTHFTFRITATNKSATDDPYGEGLAFFLSPYPSVVPNSSTGGFLGLFSNVSDQNDPSNELVAVEFDSHSDTWDPKGDHVGIDIHSIVSAANVVWNSSINDGRIANAWVSYQASSLNLSVFLTYLGDPQFSGNSTLSYSVDLRKYLPGKVAIGFSAATGRFVELHEILYWEFNSTDLQLMKTEKMRSVLVISLATTISVVVCSMCLVWCFLQFRTRRSRNEKQKKLGYHESIDGEFEKGSGPRRFRYNELVAATRNFALERKLGEGGFGAVYQGLLKDQNLDVAIKRVAKGSTQGRKEYISEVKIISRLRHRNLVQLEGWCHEHGEFLLVYEFMPNRSLDTHLYDNSNLLTWPLRFKVTAGVASALLYLHEEWEQCVIHRDIKPSNVMLDSAFNAKLGDFGLARLIDHDRASQTTVLAGTMGYMAPECVTTGKASKESDVYSFGILALEIACGRQPVVLKEDDDKIVLVQWVWDLYGRNKILNAVDSRLDGAFEDKEAACLMVVGLWCAHPDYNLRPSIRQVISVLKFEAPLPNLPPKMPVAMYFAPPISLCRFSYTSSDGTLKQQELERSNGYGKTSSSTTTNASSSPPSVRLPEVGYY >SECCE2Rv1G0103140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:586837191:586837994:1 gene:SECCE2Rv1G0103140 transcript:SECCE2Rv1G0103140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEYDRSSYGRSGTGSDEGGYNKTSKEDYGGSSDEYGHGTGGFNKSSNDNNDGGYKNTNTDDYSSSGGYSKSSTDSFTGGLNKSSTDDYNGSGSYNKAGADDYSASGDYKKSSTDDFSGGHKSSTDDYSSSGYGSGYKDSGTDDNKKSSSDDYDGGYKNSSADAGYGEGGYKKPSTEDSSAYNKSSAEDSHAYNKSSTEDYSSGKNTSNTDDYDGSGYNNSSTDDDYGHKKSGADEYSGGYNKSGADGYATGAGKTGSDDYYSLRS >SECCE2Rv1G0078040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:104022577:104023464:1 gene:SECCE2Rv1G0078040 transcript:SECCE2Rv1G0078040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVLLLRSADGKVLVAPAWDYRPAAAQARPLEMRVPSRALERVLQYWTKHSLAKATGESRESLARWDADFQRRLEEDGLAKEAAAAAQELRRHGVDHGGRPHRHAATAASDVAAPAKAARADPVRAWCPLVHHLKGVNHGERSHAPAMPGAFHASDIAAARPGPATTLVAAAGAEPVGVRCAIRARGRQMAEDEESACHHRKRPASTAVASVKVSRPIASKVTPAVVSRPVTPLPVTAVAPVVKKMTPAVSTLRARRGKGELSCKVPKQIRVTAAAPKKQPIPWLRPVVLRPC >SECCE7Rv1G0484300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343510003:343510437:-1 gene:SECCE7Rv1G0484300 transcript:SECCE7Rv1G0484300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSKKLAQLSKKWQGISAIGRRRVTTTDKEVHPSCSSVAGKGHFVVYSSDGRRFEIPLVCLRTTVFEELLRMSQEEFGFTSDGRITLPCDTTTMEYVMCLLRREASQDVERALLSSIVTTCHHPSRMMQPPSGLNQQFAVHSS >SECCE7Rv1G0475330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:185604057:185609066:-1 gene:SECCE7Rv1G0475330 transcript:SECCE7Rv1G0475330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:Projected from Arabidopsis thaliana (AT2G31260) UniProtKB/Swiss-Prot;Acc:Q8RUS5] MMSFPAKDTNSQTLFKWPWRRESPLSAQLLIDIPPEIELSDYRRLPSSGSESPSRLLHDEGVKEEPIPDLDIFFERLYEYFCAKGLRCIVTKWIIEILNVLFTVCCIGFFFLYVDWNAIGHLKCGVEALESGEKPCDLMQAIKHNPLVPFTFPKMVTVGSMVILVTYGLINFLKFFVQLKSTLNARDFYYNSLKITDLEIQTISWPRIIEKVVLLQKSKKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGIISFPIRPWLPGAGPTVKSHVHDRRNHVILPKALEWTLNWCIFQSMFDSKFCVRKDFLASPAALRKRLVFVGIAMFILSPCLVIFPLVYLILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNSAVHSLNYLKQFPTPLISIIAKFVSFVSGGLAGALIIMGFLGESILEGHIFGRNLFWYTIVFGTIAAISRKVVADELQVIDPEGAMCLVVQQTHYMPKRWRGKESSELVRKEFETLFQYTIVMLLEEMASIFITPYLLIFEVPKRVDDILHFISDFTIYVDGVGDVCSLSLFDFKRHGNRNYGSPFDAPKNLRSSQGKMEKSFLSFQSVYPSLASNADGKQFLHNLQKFKERQIRQQAVAQYQAMEASGFVDSTGQRDDIFHQLLPSIIRNHAEAFPSAGYNLDPLGLLDTDQRIHPYILDWYYMRHSPHLDRTEAPHFDEASLEAGQNESQLARETSEIEEDENYYSDLYGRVQSHMGASTSSTLFRHAPTKHNGNEDSSTGNWWNQGPASSHDPQGSFLEPPAFGYHNMAGNSHSSHHSGDISKGSEGDLEQGDNRSSSTSSWRNPQALSKTRYMDDSYIEEGLGLHFADVLRKDEDEGPGVADAYDRTPAGLPVRIIPRSSDPV >SECCE4Rv1G0264680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:721804894:721808918:1 gene:SECCE4Rv1G0264680 transcript:SECCE4Rv1G0264680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHRRPHLSHAAPPAGDPYYHLYAPQPLQHPDPRQGVVTLFVAGLPDDVKPREIHNLFSRRHGFDHCLLEYTGRGNQAVAFVSFFTHHEALAAMDSLNGSVFDPDNGDCLHIELAKSNSRKRHGGGGEVYRVIDKRVRTEENSDNDNNRDEGDDDVSGNDDGQGGSDGASDEENDNSSDKNELPTDRSGEPGIKQQKGRSSSNDQPDKIPPCSTLFLSNLGQACTEKELEELLSKQPGFHVLKMRRRGGLPAAFADFTDIESSTAAMENLKGTILSSSDSDGLQIEYARSKMRKS >SECCE7Rv1G0483090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:317270448:317280327:-1 gene:SECCE7Rv1G0483090 transcript:SECCE7Rv1G0483090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFARLDDSPMFRTQIQSLEESAEVLRERCLKFHKGCRKYTEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMNKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDVDLHDVKDARKRFDKASLVYDQIREKYLSLKKGTRADITTAIEEELHSARSSFEQARFNLVTAISHIEAKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYSQQSRERANKEQASLLERMHEYKKQIERDSRSSGNGLNDSHNVDVIQTIGRSSHKMIEAVMQSSTKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQINRPAGVTQVQRTNNTPEHGSGLLSRLFSSHYHGIHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPMKIYTLQAESALDQMDWIEKITGVIASLLSAQSPEQCLHSPRSCGHDRSASESSSYTSSVELETSTSEDLTLEKNTGNGQHHHRTNIKPEKPIDLLRRVDGNTICADCGAAEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNKVWEETLPSSNDGSSVDNTSTNGSQAAQYFTTSKPKHSDPFSAKEKFIHAKYADKEFVRRHSIDEIHIAQQMWDSVTANDKKGVYSLIVASHANVNLVYGQMASGLFLNLGKALLQEQPVSPPDGSPRFFDCNSHEKVSPRVSLSPPSTSSHVEELDDRYEGFSLLHLACRVADVGMVELLLQYGASVNVSDSRGRMPLHHCILKGRHLHAKLLLSRGAETHATDRDGRSALQYAMDRSTSDEDMLTLLEEHFR >SECCE6Rv1G0446730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:845809193:845810984:1 gene:SECCE6Rv1G0446730 transcript:SECCE6Rv1G0446730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSKRIRAAQDDRLSDLPDCLLHSILSFLETRQVVQLSLLSRRWRNLWPAMPCLDIDQTLFYRRVSCRHPKCSKRNYGEKRACKKEWRPFEEFTNNLLRLHTAPSLDKLRIHVPALDLDDRQTCLTWISRGVQRSPEVIDIHIDTCWSGGNWFLPDFGSSSCRLTRLLLHGVQLGASFAEQLRSGCPVLEHLSLVSCNCCFGVIASPRLTHLTIDDYRRHHELYHGIVVAAPRLTSLHTSLSTIYWPNGIYVMDTPSFVKASICVTSWYQTKSRFLDNLYRIFNIRHLELFGFNMMVNLQKISDKLPQFNNVRSLLIDRCDLKMIANIQTLDRFLQSVPRLEKLTLQNCEFTDRSKRRTSRAKRRIVALESQNLMSFKCDNLELIEIKHSKDDNIDELFELLMGLWRNLGKTNIKLTKV >SECCE1Rv1G0036160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:505780779:505781150:1 gene:SECCE1Rv1G0036160 transcript:SECCE1Rv1G0036160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTLLNVADNSGARKLMCIRVIGAAGNQRYARIGDVIVAVIKDALPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRELNFTKIVSLAPEVL >SECCE1Rv1G0054850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:676561829:676562971:1 gene:SECCE1Rv1G0054850 transcript:SECCE1Rv1G0054850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSRKKEQAAAAAASLPEDLLVEVLARVPYRSLCRFKCVSKAWLAICSDPGLRKRSPQALSGFFCYTRDDRSDELRFLNISGRGRPLVDPGLPFLRAVWDGGVRAVDCCGGLLICQCWTKSSMLGVRVEDVAEYVVCNPATEEWTVLPAIEELHPRNVIRLGFDPAAPSRFAVFVLLQDVDGDHDAGVQIFSSETGTWKFRQSDWGEGSVTVDYYNGSPSTFFRGTLHLTTRDSSVITVDTEGKTWHKIRMPLSVEDTSDIGFIGHSQERLYALHMNCSDDDCQLTVWVLEDYATGQWTLKHTADMSETVGGDEVCTLVAVDSEGNLIFQINGRDEQLVSYDMEKRKFHAIFSFESYFTLRCDPYIPTYQEWLPKAAP >SECCE2Rv1G0074730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:79262945:79270617:-1 gene:SECCE2Rv1G0074730 transcript:SECCE2Rv1G0074730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSAASTPSPDAAASRRRNPQPKQEGPAPDAAAAAAGEGQQADEKAAVAVAAPVKRERRSRSSRHGPAHAEVRLGGSFANKARGEQVAAGWPAWLSAVAGEAINGWTPRRADTFEKIDKIGQGTYSNVYKARDSQSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVMKLYGLVTSRMSCSLYLVFDYMVHDLAGLAASPDIKFTLPQVKCYVHQLLSGLEHCHNQGVLHRDIKGSNLLLDDDGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPAEEYWKKSKLPHATIFKPQQPYKRRIAETFKDFPQSALRLIETLLSIDPADRLTATDALNSDFFKTEPLACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGSRPNGDGARKPRTRDRPRAVPAPEANAELQANIDKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPTFEPPDPSSFSTVFPYDKTAVPTWSGPLVDPPGGNQKRKHKSGRSSKQPSTARAR >SECCE4Rv1G0230920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:174150209:174153619:1 gene:SECCE4Rv1G0230920 transcript:SECCE4Rv1G0230920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTEDAEPKECLEFDDNEEEEVEEEEIEYEEIEEEVEEEVEEEEDISEEVEEVEEEEEDPEEREVLETSQDSDSKSEDVPLPQQDGAKDGSDKDKHAELLALPPHGSEVYFGGISSDASSDDLKKLCEPVGEVVEVRIMLGKKDSRGYAFVTFRTNDLALKAIEKLNHATFKGKKLRVSSSQAKNKLFVGNIPHGWSFDDFKKAVEEVGPGVLKVDLIKDPRTDRNRGYGFVEYYNNACAEYSRQKMTAPNFKLDTNAPSVSWADPKNGDSASTGSTSQVKSVYVKNLPKNVTQGQLKKLFERHGEIIKIVLPPSKDGHDNRYGFVHFKDRHMAMKAVKSTEKYELDGQLLDCSLAKPPADKKEDTVSLPTAKGGPLLHAPLGYGMPRQDPYGAPPAYGAPPAYGAPPAYGAPPAYGGQPLLYAPGAPPGAAMVPMLLPDGRLVYVVQQPGAQQHFASPPPQVRQHQHFVSPPPQARQSGRHGGSGGGGGSGGSSRSGSGTKRPRGEDNNSSNRNRQRRY >SECCE2Rv1G0074930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:81044874:81045653:1 gene:SECCE2Rv1G0074930 transcript:SECCE2Rv1G0074930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPEPEMPASARRRFFLARFDALIFLHCGLLWVLLAGCLALVIARLALGQVEIPRALWAASWVSVYVVLCLTPVSWLLVGARSSRSSRSPYDTTDANKDPALIGCLFSAAFMMLAIDYDKVVGLLGVEGSQRERIGSIVRDVGLMGAIAIHCFVVLPTMILRQWRMK >SECCE7Rv1G0478320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:236014242:236015326:1 gene:SECCE7Rv1G0478320 transcript:SECCE7Rv1G0478320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSGVEQSLPGKGKPAPLAAQDEEERSALEEKLYCTRLELHKTRAVSADADETICRLAAAARRMAQERDEAWNQRNALLADLHARRNAQMMMALAAGPPRSFAGYNGRAAFFAPAAPATPFGRMPTPMQQMYARAAGASYCFASSSSSSAAAAGNGLFFHSFASSSQDQFDPDMFLVDPAESPADSVSATTGSAAPDLQVAKSSGSELDLVAEQMLLTRKKGKVAEAVRDDDEGPSPVEAVVDAGATDAGGSLDEKCGGGGGCSLADVVNSAGKKRSDTS >SECCE7Rv1G0454350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2293226:2298695:-1 gene:SECCE7Rv1G0454350 transcript:SECCE7Rv1G0454350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGAVIAGAVGKQILGKLGEYAASEITLQWGYREEVLEMEEKMKDLEAVLGDADAKLRAEGHEGGEVYARWLTKFKRTAYDVEDVLDELDANKLIKKSRPKVNLWFSRHNQLLQRITMPHKMKKVVKKIDNIKEEGSSELKLVPHVARGQVSRNNETFAANSNGDGAKTGMVGRVVEKDKIISLLLPTEEANQQDISIIPVVGLGGVGKTTLVESVLADKRVTVFHYPIWVSVSKQFDLHQIGSAILKSLNSSINLNNCTMQFLYDNLSKELANRRYLIVLDDLWEEDGDKLESLKRMLQHGLKGSRIIVTTRSRSVVQKLRTGPLANKRKICPVPDSDILELGVLEPGECWELMKQRVFGPDDDRNGLEEIGKQIAGKCGGLPLVANALGQVMSEQRTVGAWEHIRDTKVDLGLREGHQKETLERLMLSYYHMKPKFKLCFIYLANFPKGFVMDNRHIIQQWNALGYINSRHDGQWCINYLLGMSFLRIPGSALVSPSSLHFKAPQELVMHDLVHDLVSIIAADEFIDLDATKSTSWNRARYCRHAQLTNFKNDPEVFKHIPGKLRSLHFRDLGGLQLPKKAFSRSKYIRVLDLSGHSAECQSAPSRVVLPSSINQLKLIRYLDATGLPIKSLPKNLHALQNMETLILSNSLLETLPDSICRLTKLCYVDLSGSSNLSKLPASLGELSQLFFLNLSGCSILQELPESICELTGLHHLDMSDCCALQKLPDKFGGLLKLTFLNLSGCSKLTKLPDNVSFPCLEHLNLSSCHELGNLPIDFGHLQKLEFVNLSGCFKFSMLPVSFCQLNHLKYLDISDCHNLKELPECFGQLSKLEYLNLTSCAKLRQLPESVSKMFKLRCLYLSYCLGLKKLPSSFGDLKLQILHMSGLAGMDEFLDSIGDMTSLNQFVIDSATSKLRDIHERLNLVGRVVHHVHEIESRGCSSIVDLVGLTCSELKIADLQNVRHPEDADRVNLRDKSDVRALQLEWENEGGKSVLDRLIPPRTLEIFWIIGYTSNDFPNWMFHISSYLPFLSELTLMDLEACDCIAPFGALPNLRSLWLINIPNIRKIGKEFYGEGRPCMKLRRLHLRLMENLEEWWTTESGEENQELLMPNLHHLEVQDCAKLKFLPYPPRSMMWVLNNSASVLPERGFGKLLSSIHLSRMVLKSCSFSQDKWDRLQHFPTLEVLVVDSVSGSRTLPEVIRCFNSLTALHLSSLKDLETLPVWLGHLGSLQVFEIRDCCNVTSLPESMKNLTTLKILILLGCVGLEILPEWLGQLASLESFEIGGCPNLTCLPESMNNLNTLKGLRLMKCNGLEILPGWIGQLTSIEEILIGDCPNLTCLPESMKNLTALKCLRLIRCVGLEILPEWLGELISIEFFEITDCPNPISLPESMKKLTALKRLWLIKCTGLEILPGWLGQLTSLEHIVIADCPNLTCLPESMKKLTALADLMLIECKGLETLPEWLGQLISLEEISICECPNLTCLPESIQSLSALKLLKIAGCPSLTARCRGEDAHKIRHVPRVELS >SECCE2Rv1G0082160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:148278032:148280123:-1 gene:SECCE2Rv1G0082160 transcript:SECCE2Rv1G0082160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKGQTRRERPRTRPHGLTQQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEHMMTAKIGERDTKEELTKAFRIIDQDKNGKISDVDIQRIAKELGENFTLQEIQEMVQEADQNGDGEIDFGEFARMMKKTSYGY >SECCE4Rv1G0227710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:126383959:126387818:1 gene:SECCE4Rv1G0227710 transcript:SECCE4Rv1G0227710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMANAKLQKQALLPPRSPFPTAASPSPYADHGPIARPQGATHHRFGHGHGHGHHQRTSSESIIEEQPSWLDDLLDEPETPVRRAGHRRSSSDSFALFDGSAASGAFASSFEEMGGGGQAAPWGGVQEYYAKPSSYGRPQGRPWEQGMPNLAGYRPGPPVLVREKVGGHHGPLSASRDHEHAMDKRALDELGAERKEGVLPKYAQSEADTKRAKQQYAQRSRVRKLQYIAELEGKVQSLQSEGIEVSAEMEFLTQQNIMLDLENKALKQRLESIAQEQVIKRVQQEMFEREIGRLRSLYQQQQQPPQPPTLGRSNSRDLDSQFASMSLKHKDPNSGRDAVSGPLRT >SECCE5Rv1G0375770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869547548:869550087:-1 gene:SECCE5Rv1G0375770 transcript:SECCE5Rv1G0375770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITFPPGACYSIAVIFLTMIITKIIVRRIVAPRTENGPLQPPIASGASLVAALPTILTKGIHPVIHDLHAKLGSVFTINLFGLKKVTLLVGPEVTSHFFQAMDSELCHPDMYKFTIPIFGKGVIFDVDFTTRNRQIRFAIDAMKPTQLRSKVDYMVHEVQEYFSEWEQEGIIDLKHELAEVLMLIASRCLLGKEVREKMFQEVSVLINDLCKNGMHFISLFFPYIPIPAHRQRDKARAKLGQIFHQIVRSRKISGQAEDDVLQKLIDSKCMENGRSMTESEITGLLISLLFAGQHASSSAASWSGACLISNENYLAAAIEEQQKIIGKHGQHVDYNILLEMGTLHSCIKEAIRMHSPSAMVMRRVKKNFTVKTREGYNYEIPEGHTVATSIVVGNHLPHIYKDPHVYDPYRFGLGREEDKAGGKFAFTSFGGGRHACFGEEYSYMQIKVIWSFLLRNFELKMISPFPEEEIDKFIPGPKGRVMVSYKRRLLVST >SECCE4Rv1G0221250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:47177613:47177975:-1 gene:SECCE4Rv1G0221250 transcript:SECCE4Rv1G0221250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLHEYDIFWTFLIIASLIPILAFSISGLLAPVSEGPEKLSSYESGIEPMGGAWVQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEALIFVLILVVGLVYAWRKGALEWS >SECCE5Rv1G0318360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:360041499:360044133:1 gene:SECCE5Rv1G0318360 transcript:SECCE5Rv1G0318360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMCSMEKFKQMTKDLGTNDLVLHAKRILHSSFTVAYQSSCDYPMVLGAGILLLLLHRICPPLLAFLVSSSPLLLLTGLLLGALLSYGEPDTPSVAAEGASDNQQTLSPESKNSIVDCSTEEVESVTIETRLEKRTGSAGVSVEERAPANSTHGNHCEETNVTFVATDTVLSMESSKYAQSNFIVGREGYGQQISEKFDLRELESSNNERGDYEVHNHYQFGEPTSPCWQSADRQDPCYGSESDLTDDSSSPDASMTDIIPMLEELHPLIDLGTGHPTLASRSRDNLNSSSDDDESDLEEDDDGSISDDEDEGEEEEKDDGNAQEDVIGKNGRADGLMELQRAKNILKFELDQRLMDLQTADATQKLKEASRFFVQVPSISTPRGEPRDLSNASGEGEVIELPQIPDSAPSVLLPRGSLFDLPSDHIVDHNSQLQETWTPRSYSPATQLRKHGNFHGQYSANPCRSGLKSEKGEISGEDALGSHSDSDAAKQGNDGRLSCLQEAHVGEEIKILSPVISDAGVLKGDYGMHEGNNNADSSDDINSFPTMKNESSTSEAEVSVHPGREQSVLCCLSKVNNSEQHVIEANSIDEVNSLFRSRMEEVLVQSVSEPVMGQPLTVTLEDDSSDPELCPNPGMHAIEASSVEELNSQFVQINHEALTCDACDVELVQDKSSEEAFPAPDEHTSEVPVGNGSTELSTAEENQQLAGTNRLHVIEVSSDEEMKRLFNPLEDVQDQIHHSSEHKLAQGTGGVASGTLGLETEPVKDAGSAFEQLSSGHDKGKMSQDVEVELKPVELNSELEVTVAQTLDDDSGNGILGSGSKVTELKDSAGTPKSVAVEGRHEEHV >SECCE3Rv1G0183250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:646728593:646728838:-1 gene:SECCE3Rv1G0183250 transcript:SECCE3Rv1G0183250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSSSRGNADVVWSERENKLFEEALAYYGEGTTDRWLKVSRAMGGTKTADEVRRHYEILVDDINLIESGRVPFPKYKTK >SECCE2Rv1G0126100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844733838:844734491:1 gene:SECCE2Rv1G0126100 transcript:SECCE2Rv1G0126100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEGSRIAANMALDERAAKHAMAAGAGAGKERPLKRCQHAATEKRPLKPCAAKRKAEAHDDDDDDDDDELRAAKLKAFYEKSGPSKKMTRLPRAEVSSILSSRTHPDRAPSCYKALKLQNPDLIPSPEEEMDEVRVAEYAEARDFFEVAEEFSVFQAWVRSEYAKYGYVEVDDDYLAHREQIRACSDRAREAAFQAIDFSDGDEDLKIFYRKRRH >SECCE4Rv1G0224930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:86682487:86683827:1 gene:SECCE4Rv1G0224930 transcript:SECCE4Rv1G0224930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAISNTLQWQCVVSLMALIMTHLIPPNAVAMASLGAGSSFRLVAHHDYALRDDGFLQVQSRLDDLLPSEVNVTTLRPPVASPIAMAFSVVVGLGSGKGRHDYNLKLDASGSVVWLQCKPCNPKQTQRGPLFDPKASSTFQQVAGTSQICHPPYPMEPAGQQCAFHLSGEGGMSVHGFVAMESLTMGPESMKDFVFGCAHSAEHFNSHGTFAGVGAMGKMPTSLVMQVAARGQTQFSYCLFSGGASRHGFLRFGADVPSRRGLRTTKILPALDAHESQYYVSLVGISLDAKRLTGIRPEMFARRRGGQGGCVIDPGTPLTVMAREAYRVVEEAVWSDLRRNRAERVQRQGYGLCVRKTVEIKRHLQSLSFHFVEETARLVVKPELLFTVVESKLHGAALCLAMSPGERTVIGALQQVDTRFVYDLKDAKLSFTSELCSQDTASMD >SECCE7Rv1G0496590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:613994988:614005581:-1 gene:SECCE7Rv1G0496590 transcript:SECCE7Rv1G0496590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHSVAALWSPSPPSHHITAVAATPAALFTGAADGTVLHWPLPPSPPFCPRPSSLLCAHAAAITALCPLPSPASLLVSCTAGVISLFSASAPLRCLRRRSLPPWAGSPSLVALLPTTSSSASSNPRVAILCHPHDDGHAHRHVSALVVVDARTLTVLHTAFHGALSVALPRAIALTVCSDDNAVNVVLADAHGRAQMVPVAEGAAIEGDSPRRLSVSSLSSFASAEAPDGTVEAVALSDDGKVVALVLKTSCLLKCVVEGSVLGEVSLANTSLCKEEETGVKGCLAGGFFLHGGEWGGPHSEDGTVVRSLVLWSSNGGAMVYKVAVGTPPLFGCEAVCEVPGISSEQGEMPVVQYCQMGNCLIRMESRPCKIGGSLFWKPFISIWSMDQLDWSIAMNTESPPLSKVLGEGSLQGDEFRSELSHSLPKSDNEVEISSQMSSSYINGSGKHGRTVSSSMVLSEDSYAPYAIVYGFHNGDIEVIRFLNLLPAAQFGSGGVYPHISERFFLGHKGAILCLAAHHMHAHYDSRSFRRALISGSLDCTIRVWDLDAGTLLSVMHHHVAAVKQIILPPASTHQPWDDCFVSVGEDGLVALVSLQTMRVERMFPGHSCYPSMVAWDGVKGYIACLCRSLHSCNDVGSILYVWDMKTGARDRIIRGTTSQSVFEHFCRGISKNSVTGGILGGTTSASSLLVPILKGTQSPANRNGMNISSIPTNHHNAADSIALSVSAAHDVKGKTPAPVDRDNSAYSSGKSGSAQITNKRRKYPIKCSCPYPGIASLRFDLTAIMSAQGMSNSNSDRQLRDHLCGDANKETMPPGAFNNTSGILEMDSPSRESLEGQLLRFSLCFLHLWDVDCELDKLLVDEMQVCKPDGCHIATGVVGDRGSFTVMFPGKEATLELWKSSAEFCAMRSLSIVSLAQRMITLSRSCTNASSALAAFYTRHFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCSAPRSVPQPLRVQRNKIPDHLSLSDHMDKLIPEMQSASVSSYGQLKADGENLDRDDDDSSQISSWLESFENQEWLSWIGGTSQDAVASNIIVAAAFVVWYPSIVKVKLAKLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKACLGTDITHFLSDVLFQIECLSSAPSSNVIYKTAVAVTMREALVGTLLPSLAMADIMGFFGVIESQIWVTSSDSPVHVVSLKTLIRVLRGSPKALAPYLDKAISYILHTMDPSNLIMRKACIISSMMALREIARVFPMVALNESMTRLAVGDAIGEIHSATIRVYDIESVTKIRILDACGPPGLPSFLKGPSDTTTTILITALSFSPDGEGLVAFSENGLMIRWWSLGSAWWERLSRSLTPVQCTKLIYVPPWEGFSPNSARLSIISNILGHDKHQNSESKTRELDEADNLKLLLHNLDLSYRLHWVGGKTIKLTRHDQDLGTFQL >SECCE4Rv1G0269100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:747325333:747326069:-1 gene:SECCE4Rv1G0269100 transcript:SECCE4Rv1G0269100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQTAQARAPAPVRLITAFGSPFAHRVEVALTLKGVPYELLVEDLANKSDLLLTHNPIYQSVPVLLHGDRAYVDEAFHDDDGTAPRLLPADPYDRATARFWADFIANKCLKPLWQAMWTDGEEQARLTRETKEGLEVLDAQLDGKRFFGGDALGFVDLAACTLAHWLGVLEEVAGVCLMADGEYPALRRWAKEYTSDEVVRQSLPDRDELVAYFTKNKERYRSFMVKDGAVKQ >SECCEUnv1G0535950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:61678305:61679948:1 gene:SECCEUnv1G0535950 transcript:SECCEUnv1G0535950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPILKTAIVKKRVKHFKRAHSDRYIGLKQSWRRPKGIDSRVRRKFKGCTLMPNIGYGSNKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTQKRKAIVERAAQLDVVVTNKLARLRSQEDE >SECCE7Rv1G0525560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:891388252:891388748:-1 gene:SECCE7Rv1G0525560 transcript:SECCE7Rv1G0525560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHTIRIATLALLSLHLLCFATIAQCRTIAAGVDNDDIHELSSSCEKKCSFFNCCACCIYTDWCYTSFARCDRNCRDAFSHSDEKLAAATVTPETVLTRSAVRKDGLMRGIIPEEFSNCHV >SECCE7Rv1G0460390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:31242534:31245116:1 gene:SECCE7Rv1G0460390 transcript:SECCE7Rv1G0460390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRRDLAVLCCCCFLLLLLPLPSHGKDMPLGSTLSPGGNSAAWSSPNSTFSLAFAPSPTAPSLSVAAVTYAGGISVWSAGAGAPVDSGGSLRLSSTGDLQLVNGSGAVLWSSGTAGRGVSAAALQESGNLVLKNSTGGAVWQSFDHPTDTVVMSQNFASGMNLTSGPYVFAVDRATGNLTLRWATAGSATVTYFNKGYNSTFTANKTLSSPTLTMQTNGIVSLTDGTLNAPVVVAYSSNYGESGDMLRFVRLDSDGNFRAYSAGRGSGTATEQWSAVADQCEVFGYCGNMGVCGYNGTSPVCMCPSLNFELNDANNPRSGCKRKTELQNCPGNSTMLQLDNTQFLTYTPEITTEQFFVGITACRLNCLSGSSCVASTALSDGSGLCFLKVSNFVSAYQSASLPSTSFVKVCFPGQPNPPLSTGDQSNSRSSGLRGWVVALVVLGVVSGLVLAEWLLWWVFCRNSPKYGPASAQYALLEYASGAPVQFSYRELQRSTKGFKEKLGAGGFGAVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDSFLFGGGGGSSNDSGKAMSWATRFAVAVGTARGITYLHEECRDTIVHCDIKPENILLDEQHNAKVSDFGLAKLINPKDHRHRTLTSVRGTRGYLAPEWLANLPITVKSDVYSYGMVLLETVSGHRNFDISEETNRKKFSVWAYEEYEKGNVVAIVDRRLAGEEVDMAQVERALQVSFWCIQEQPSQRPSMGKVVQMLEGVMELERPPPPKSSDSFMTVTTATTGVSSSVASTFASSVAAPPAPVPSPSVEQEMSVGRSASARTREIASVPLRSSEPYMTM >SECCE1Rv1G0042540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:581550392:581552113:1 gene:SECCE1Rv1G0042540 transcript:SECCE1Rv1G0042540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTSVHWLSLVGSVWLQTINGPNSDFPVYSTELKKVKGISQVQLNFLAFASDAGKLFGWFAGVAALYVPLWLVAVVGAAFGLVGYGVQFLFLDSPRLAYWHLFALTSLAGNGICWINTVCYLLCINNFPSDSRVAVSLATSYLGLSAKFYTTMEDTLHGRRYSQAEKYLLLNAVVPMLVTLLVLPSLRVVKPGSGKRTDLGFFAMFAITLATGACAVVGSIGSKSLGVSSREHLISLYVMLVIPILIPVVLRVRESMAKIREAANKRVHRVHDLGENGMCWLNKEIEVATNVKEQEQEEEKEAGGVEHAGQEEVGGLGLLRRLDFWMYFFSYMFSGTLGLVFLNNLGQIAESRNLGDPSTLVSLSSSFGFFGRLLPAFLDYYTAKSGYSISRTASMASLMAPMAGAFFLLMDPRDMLLYTSTAVIGTCTGAITSVAVSATSELFGTKNFGVNHNVLVANIPVGSLCFGYLAGFLYQREARGGNRCVGPACYRDTFLLWGLTCAVGTALCAALYARSGRRSGGNGGPEVSGGAGV >SECCE5Rv1G0375020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:866166464:866187094:-1 gene:SECCE5Rv1G0375020 transcript:SECCE5Rv1G0375020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to cDNA clone:J033091P14, full insert sequence [Source: Projected from Oryza sativa (Os03g0109400)] MAAAMAMRGGSSDGGGSDRAGMDSGKYVRYTPEQVETLERVYADCPKPTSSRRQQLLRECPILANIEARQIKVWFQNRRCRDKLRKESSRLESVNRKVSAMNKLLMEENERLQKQVSQLVHENAQVRQQLHNTSVANDASCESNVTTPQNPIRDASNPSGLLSIAEETLTEFLSKATGTAIEWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRSLEVFTVLPGANGGTIELVYTQMYAPTTLVPARDFWTLRYTTIVEDGSLVVCERSLSGSGGGPSAASAQQFVRAEMLPSGYLVRPCDGGGSIVHIVDHLEFEAWNIPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMAGDGIEDVVVACNSTKKIRNISNGVNAFEAPGGTICAKASMLLQSVPPAILVRFLREHRSEWADYSMDAYLASALKTSTCSFPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQPLSHDEVLLSRDIDMLQLCTGIDEKSVGSSFQLVFAPIDDFPDDAPLISSGFRVIPLDMKTDVVSSGRTLDLASSLEVGSTAAQASGSSPDDCNVRSVLTIAFQFPYELHLQDSVAAMARQYVRSIVSAVQRVSIAISPSQSGLNAGQRILSGLPEAATLARWICQSYHYHLGVELLTQSDVAGEALLKMLWHYQDAILCCSFKEVPVFTFANKAGLDMLETSLVALQDLTLDKIFDEPGRKALFSEISKLVEEGHVYLPSGVCMSGMGRHVSFDQAVAWKVLGEDSSVHCLALCFVNWSFV >SECCE2Rv1G0135110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905452638:905454915:-1 gene:SECCE2Rv1G0135110 transcript:SECCE2Rv1G0135110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMQPQTILVPPRINPMFIIGGNADSLVNGNDNDIGDGKHFYCAKCMSVVACACIRPCFSMCDHDFCSSCVAMKLSQNVARVKCTGESSTASEKYRGVTILRPETVQLPSRIDPMFITDGNEGSPVNDISDSKRFYCAKCMHVVPCACIRYNFTLCDHDFCSRCVAMKLRQNVARVKCKGESSTVSEEYRGVTMLRPETVLVSSRIAPLSITNGNEDSPVDDITDGKRFFCAKCMHVVPCACIRSNFTTCDHDFCSRCVGLKLCQNAPRVECTLDNSTVVERYRSMVMVRPETVSSCIDPKFVTGGNEDSPLVDGNNIGDGKHFYCAECMHVVPCACIRSNFRMCDHDFCSRCVAVKLSQNIPHVGYSDGEDGDDDLFYCNICMEMVARTLKFSVNSCDHVFCSSCITQYVAAKLDNNVARVECPDPGCKGGVVELERCHDIIPPDLLDKWGFLLCESALGTKRIYCPYRECSAPLLADSEAGAAAVTEAECPHCHRLFCAQCTVPWHGGITCNEFQKLGLDERGPEDILLRRLVGREGWQRCPKCQMYVEKSEGCNYIKCRCGYSFCYRCTSKLSALNHFCNKCQR >SECCE5Rv1G0371850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:850212580:850213825:1 gene:SECCE5Rv1G0371850 transcript:SECCE5Rv1G0371850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAARYLLGSPGASGFGSKSTAEEVTAACPDLGSLTAIITGATSGIGAETARVLAKRGARVVIPARSMKAAEDMRARILAECPGADVLVLHLDLSSLASVRDFARRFLSLGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAATGVQGRIVNVSSSVHGWFSGDWADYLQLVTCRKIPYDATQAYAMSKLANVLHTKELAARLQEMGADVTVNCVHPGIVRTRLNRDREGLITDLVFVLLSKLLKTIPQAAATTCYAAVHPRLAGVAGRYLADCNEALPSPAAASRSEAARLWQASEDMICASSSQPDRNI >SECCE5Rv1G0319790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:378851211:378852497:1 gene:SECCE5Rv1G0319790 transcript:SECCE5Rv1G0319790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNRGKKTKSRKAPPSSTSVPPPPPMPMEPQAKAAKASIDILADFDICSILRRLSLADLLRAALACHRWRRLAAGCLPRAPPLLGYFFHPTETPPPPPLRYTKNVDTPAVFVPLEASSPRLSLDFAPDAFRFFLFDSHQGLLLFEPAYEFPKKMIPRFLVLDPSTRRRMLIPPPPRDTVPDDRRWRNSRYYVGCALLSRAHPGKLCFEFVCFAIDGGHPRAWVASVDNGDCSWRALPRDEDVAVEFDPYWFEGRCVHAGGKIYWHICNSWRLLVLDPATLKFSCLLVPDAMGYGSCKYRIGETPDGRLCLVTDGEKQLQLWVRGEGRCSENGWLLERRIVDLSVVCDMVPGLHRDTKHRTLRVWPSDMDAGRTGKVFIKTWGYGYYSFDMDTGEMERLSTKGGKEYGHPMFAYFLAWPPAFLAPEN >SECCE4Rv1G0264420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:720593254:720594597:1 gene:SECCE4Rv1G0264420 transcript:SECCE4Rv1G0264420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAAGVLKIAAPQPGALYPIHLLLAIVLLTITATIYLALCRKTVYLVDYACFRPSFNLRCPKATLLEHAHLSSLLDDSTVNFIGTVLKRSEMSDETYVPPVLQYIEPYCGLYEARAEAESIVFSVIDDLLAKTCINRDAIGVLITTSSIFCPIPSIADMIVNRYKLRGDLRAMNLSGMACSASVTAVGLASNMLQVMPWGSHALIVSTETIGPAHYHGNTRSMQLPNILFRTGGVAKLLSTSRSKARFQLAHVTRIITAANNSAYRCAYQEEDENGILGTRPTKDLMVIAGDALKDNLTASGPLILPTTELLKFFLFDIVGKVLYWRKIGPYMPNFCVAFEHICIHVGGPAVISSIQRGLNLSDKDVEPSRMTLYRFGNQSAVWYELAYIDAKGRMKKGDKVWMIGFGAGYECNTASWVCIQPSSCLDGPWANCINCYPVDISRKG >SECCE5Rv1G0318060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:357732049:357737159:1 gene:SECCE5Rv1G0318060 transcript:SECCE5Rv1G0318060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDEPLAAEKGEVALQQLRRADPSVYLSPSADLAAAARAALKHFHSSLAVVSPVQPPPLPNLLAGPNFDAEQIWSQSELLSRPLLPHLHRQLRRLEHQPPAQPSAAPPPSKPAEAEEDPSDEEEDGEGDESEEENEDGLEDTDDGLESGEGEDEETEELGAKKGNGVEDRFLKMKDLEKFMEEGEELEYGGGSKGGEKKGSVNLMEDDSDEEDVDEDDGGDDEDDDDLDLEDFESDDEEGAGKSGGEIKYKDFFEESSKQQVKKRNGFTKKVHFKDELHEMEVDDIEKDDVNDGPALEDEQGLSTHEKGLLKMRNQIDLMEKASLEPSKWIMQGEVNASSRPRNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIAEGHFDDVEKPSTLPYKAPKQQQEMDENKSKKGLAEQYEDEFKEKTGIAPATLAISDVLKNEANDLFKRICLKLDALSHFHFAPKPVIEDMSIQVNVPALAMEEVAPVAVSDAAMLAPEEVFEGKGDIKEDAELTQAERKRRRANKKRRYAESHKERPAKLRKENSSNI >SECCE7Rv1G0474810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:177936492:177937795:1 gene:SECCE7Rv1G0474810 transcript:SECCE7Rv1G0474810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETVNPKAYPLADSQLTITILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKQPIQSLKDAIEKLLI >SECCE6Rv1G0403380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:502066361:502067404:1 gene:SECCE6Rv1G0403380 transcript:SECCE6Rv1G0403380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterodimeric geranylgeranyl pyrophosphate synthase small subunit, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38460) UniProtKB/Swiss-Prot;Acc:Q39108] MALSPLFVSLPLPLPKLPSTTKSSRFLPIRASAAAAAATASPSFDLRRYWTSLISEVEGELDAAMPIRPPESIHNAMRHAVLPGAGKEGTAKRAPPVLCVAACELLGAPRAAALPTAAALEMLHAASLVHDDLPCFDAAPTRRGRPSTHAAYGTDMAVLAGDALFPLAYTHVISRTPSPDPVSHAVLLRVLAELARTVGSTGMAAGQFLDLAGASALGEAEVMQVLTKKFGEMAECSAACGAMLGGAGPDEEAALRRYGRTIGVLYELVDDMRSASGNGKMRSNASVLRALGMDRALGIVDELKVQAKTEADRFGDKYGDRVLPLYSFVDYAVDRGFELQDAATAKL >SECCE2Rv1G0112650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:712790485:712790899:-1 gene:SECCE2Rv1G0112650 transcript:SECCE2Rv1G0112650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRRMVASVLLVLLLLVATEMGTTRVAEARHGHRHCESQSHRYRGACWRDDNCAHVCMTEGFPSGKCKFHDFESKCFCKKPC >SECCE3Rv1G0155440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:65782092:65784578:1 gene:SECCE3Rv1G0155440 transcript:SECCE3Rv1G0155440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARGGRRLPVLAALFLALLLLLSGCAAEGEDEEGSPVAAEEPEAPMEDKEKAALYTAIGSFVGKAWNGSGLFPDPCGQTPIQGVSCDLFNGLWYPTVVSIGPVLDNSLQCAPDARFSPQLFDLRRLKSLTFYACFPAANPTTIPAASWDKLSGTLETLEFRSNPGLAGAIPASLGRLASLQSLVLVDNNLTGAVPLELGGLAKLRRLVLSGNGLSGPVPATLGGLKGLLKMDLSSNRLDGRIPPELAGLESLTLLDLRNNSLTGGLPEFVLGMPALQDLLLSSNPLLGGSLMQRGWEKMASLATLDLSNVGLAGSIPESMAAMPRLRFLALDHNRLSGAVPAKLAALPSIGAMYLNGNNLTGALEFSARFYQRMGSRFASWDNPGLCTAETAGGAPTGVAVCKDAQDPPRVGVRDGMDGGGGKPEASSSLPASSSSSVHKVGGLWCLVMVMVL >SECCE5Rv1G0312420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:230218268:230227978:-1 gene:SECCE5Rv1G0312420 transcript:SECCE5Rv1G0312420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVRPPSTDAEKLEVGEIDTRAPFESVKAAVSLFGEVRFSSDKSAARKPKAPQAERVLAKETELHLAQKELNKYREQLSNAETARLQSLSELEKARKTVDELTSKLDAINKSKEMAIQATEDTKTRTKQLEGGSPKEAVRKDSPLKQELDSARQQYAVALADLDVAKQELRKLKKDFETSLDMRLSAAQKEEESLHSIEANKEKASQLRNEAKAIQESLMHMKAATEQLQEEESQVLVEKDVARNTYKQALEETEKKLSYLRNEFDPAAYKTLKEKLDETNSEISSMQKKIEDARALDLETVAAITTELDDAKEMLQKVAEEESTLRNLVESLKLELAAVKQDHSQLKEKDTDTESIVADLHVKLQKCKSELEVAVRAESEAATASDDLMLALQQLSCESKTALQEAEMMQKSAAELRIEAEAARVALTEAEERLQSALEEAEEAKAAEAKALDQIKQLSDRASAVQASTSEPGGKVTISKAEFESLSRKVNESEKLSEMKVAAAMAQVEAVRASENEAIQKMKTARREMEDMELATEEALKRAEMAEAAKKAVEGELKRWREKEQKKSAESVSSAEAQAHATTPSSVHKAPAGKPTEKNDGHQRSNRTLLRKGFVLPNITGMFHKKKGHVDGSSPSYLPGGKSV >SECCEUnv1G0543780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:119123883:119134792:-1 gene:SECCEUnv1G0543780 transcript:SECCEUnv1G0543780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRKVRNVNKRYAKINEDWPEKDATVVQKNKVRKKKLSDLGSQWSKDELERFYAAYRKYGKDWRKVAGAVHDRTSDMVEALYNMNRAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNGSPKTSRKPQKRGRAKLQSVSKASDTRYPDLLQSQPASSSYGCLSLLKKKRSGGNKPRAVGKRTPRVPVASMYHRDDKAGPSNRQAKPDANNGDEEGALAALALAEVCQRGSPQVSQTSGRSSGQMFLSPGKSIDRKNADSEMGSSKMHGFQVEADYPEGSLGSREAETGDYPKGASYLLNNEGSASGKSKPKVKRSQKRRKKAVHKTDDQFEDDREACSGTEEGCSSRKAKDISDMDVFGSKGSWPSNKSNKRSRQLFFGDELSALDALHTLADISVNILQPSSIAESESSAQFKDESKDNESDDKPTVPAAVSSFDKKDKPRKIKKIKRQSEIASNEMVTRKKARLSKDHHHDGSTSEVKQDDCKCGVKMEKKKRKASTLKISKDEKNTLKDSEKTEASAEEGKVPSNKGRHAHVSPVSKQNKSKAQESSPAHADFGKEAMDAVDTTENAMTQQSDSASKSKSRRKLGILKALAPESKPAEGADNSCDNVSYPVNNVTELKDKLSHCLSSRFLRRWCMSEWFYSAIDYPWFAKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLQEEREKLSQYRESVRQHYAELQSGVREGLPTDLARPLAVGQRVIACHPKTRELHDGSVLTVDRDRCRVQFDRPELGVEFVMDIDCMPLHPLENFPESLRRQNIVNKYYSSFSEVKFEDRSKEYGGGGAPRFIPNGDAFDSIAQAKTTANEATVAAQQAMYGQPCTLSQIQEREADIRALAELSRALDKKEALLVELRHMNEEVSGKQKDGEIIRDLEHFRKQYAMVLVQLRDSNDHVASALLCLRQRNTFHGQPTQSYPNKSTENGGAFNRTPDPSSNLFGYINQESGSQVMEIIETSRSKAKTMVDVAVQAMCKVSEGENAFAKIGEALDNLNLRGTGSGSSILGIRRIPPDSGQANSDNSASGRFDPAAATNNISSPRVVPNGSDSEAQFPSELISSCVATILMIQNCTEKQYHPAEVAHILDSALSRLQPCSSQNVPIFREIEMCMGIIKNQMLALIPTPSG >SECCE2Rv1G0082510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:151867776:151870812:-1 gene:SECCE2Rv1G0082510 transcript:SECCE2Rv1G0082510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMLVASDGGGGEMHPYAAVPAEQELELHRDNAADDSLDGHVRCLRCGISGNATPHMRRGPDGPRTLCNACGIAYRKGKMRRMIEAEPPIDEASLAKLVPEVDMEFESEEKAYEFYNKYAGHVGFSVRKSTSHKSSENITKVRTFVCSREGYNRDKKSLEAKKPRLDTRIGCPARLIIKVTPECKYRVTDFKAEHNHQLAPPSTMHMLRSQRILTELQSGEAELSDDSVVTPTTKATGDLVVRQVGFLRSISLLPADYKNYLRSKRTKAMQPGDGGAILKYLQTMQMDNPSFFYTMQIDEDDKLTNFFWADPKSREDFNYFDDVLCLDTTYKINGYGRPLALFLGVNHHRQTIIFGAAMLYDESFESYKWLFESFKIAMHGKQPAVALIDQSIQLSSAMAAAWPNTAQRVCAWHVYQNSVKHLNQVFQGSKTFAKDFSKCVFGYEEEEEFVFAWRSMLEKYDLRHNEWLSKLFDERERWALAYDRHIFCADIISSLQAESFSSILKKFLSPQLDLISFFKHYERAVDEHRYAELQADFQASQSYPRIPPAKMLKQTSHTYTPVVFEIFRKEFELFMDSVLFTCGEAGTTSDYKVAPSEKPKEHFVRFNSSDNSCMCTCKKFEFMGIPCCHMLKVLDYRNIKELPQIYLLKRWRRTAKSTDEDSQGHVANDNRSSLNVSVPSANHHGLQSINARIQDTSLSNMHENPFHRSS >SECCE6Rv1G0442570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:817989927:817992611:1 gene:SECCE6Rv1G0442570 transcript:SECCE6Rv1G0442570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLALLCLACLLLLLAPSASAAAECGRNTSLAGHEADLRMSQHQLRGRVAVLDGCSFRVSSLDLLAGSADARWWRADGADLAALSRGSPAAPDPLNRTFASESLVFRLLPGLSWPLVPVLAAFDPLTSSLFGFVQLATSNSTSATAAGASSTDEPTMFASCAQLSPRLRVRWTLREAANAIDVGLEAAVGSEYYMAFGWADPARPITNVSMIGADVAVAGFTEEGLPFADDYFVTKYSECLLRGDGTAEGVCPDTFYDRRNGSAAGDASVNNTRLVYGHRRDGVSFVRFSRPLASPDKRYDVAVNATREMTVIWAIGLLRQPDLLRPYYLPLNHGAPAGTAYGFLTLNVSAGTNGCHGPLDAEDKEDQGRITAERQTPLVVTTGPALHYPNPPNPEKVLYINKKEAPLLKVERGVPVTFSVEAGHDVPLYITSDAVGGNATSRNMSEVIYAGSPTAEGVPATPTELVWLPDRNTPDLVYYQSLYDPKMGWKIQVVDGGLSDMYNNSVLLDDQQVTFFWTLSGDSINIAARGEKKSGYIAIGFGSAMVNSYAYVGWVDGNGTGHVNSYWIDGKDGMSVHETHENLTYKRCRSENGVIIFEFTRPLTPSCSGRVECKNIIDPSTPLKVIWAMGSQWSSGRLSVKNMHSVTSNRPVRILLLSGLAEAVEDLRPVLAVHGFMMFVAWGLLLPGGIVAARYLKHVKGDLWFQAHTYLQYSGLAVMFMGVLFAVAELRGFSFKSTHAKIGAVAFTFTCMQPINAYLRPHRAENGEILSRNRIIWEYLHTYTGRTALVAAVTALFTGLQHLGHRYGSKTIKGLTCGLVVWVVSAVLVVAYLEYMKVKRRRDGADRLTHKFVLGNTEEDDSVDLLQSDRLDGKIDSSSPGSMEVQLEPLKG >SECCE3Rv1G0181710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:617453697:617455165:-1 gene:SECCE3Rv1G0181710 transcript:SECCE3Rv1G0181710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PE11 [Source:Projected from Arabidopsis thaliana (AT2G21610) UniProtKB/TrEMBL;Acc:A0A178W118] MNAIKRALMLNHREMLNRLNSAARVAMLLALLCFCPATSSYSFPDDAACASGMAMPAPGNLLTVDQSGKGDYRTIHDAIAAAPVNSSARTVILIKPGIYREKIVVPEDKPNITLMGTSANATVITWNERWVSTDTSPTVSVEAPDFVASRLTFQNTFGTSAPAIAVRVKGDRAAFYGCSFSSFQDTLLDEAGSHYYCGCYIEGGTDFICGNGRALFEKCHLHSTSLNGGAFTAQRRASESNKTGYSFVRCKLTGVGVGTSILGRPWDHYSRVVFALTYMSAAVSPRGWEDLNHTAKLGTAFYGQYKCYGKGAKTDGRVKWVRNLSATEAAPFMTKAWIGGQQWLPKQPPS >SECCE6Rv1G0438880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:793162902:793164611:1 gene:SECCE6Rv1G0438880 transcript:SECCE6Rv1G0438880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVAKEFGAMSPPGAVAARRWAPPSTARVEFGSRGGSPVGDLWLRTRGGGDGPGSSHGSHESEMDLAALVSDFLEGGGGGGGDSRGSSDGEPGGLHDLAHLADKISMYKQAGDEKESELLSVVHSLLFSIHETELQDFVRGQCTGSCIRHLLVKLLRYSGYDAAVCVSKWQGFDKIPGGDHEYIDVIMDNDLTGPERLIIDIDFRSHFEIARAVDPYGTLLDSLPVVYVGTLPRLKQFLNVMVDAAKWSLKQNSMPLPPWRSLSYLQMKWHSKYERKGHHSEQQEFQGASPSHALCFGHLKRLKSSLRLELETGRLLMMPVMQAGTKRTAMYERRRRRSLLSF >SECCE5Rv1G0334260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565168055:565168246:-1 gene:SECCE5Rv1G0334260 transcript:SECCE5Rv1G0334260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSGICPRTTCGGTEGTDSASIPHPLRKKLLNHHKIKKYDALCLTLLVIVPCCCGRCSFARV >SECCE6Rv1G0384170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:61024544:61026991:1 gene:SECCE6Rv1G0384170 transcript:SECCE6Rv1G0384170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLKNLLFVSASFPLLLLLLCMASAISPEGEALLRWKSTLLNSTSLSSWSHANPTCYWQGVTCDAAGHVTVLHLSACDLKGTLDALYSPAFQNLTGIDLYYNNIFGVIPVNISLFLTLTSLDLSWNNLVGDIPYQLSNLAKIVELDLGNNHLTNSDHTKFSPMPTLSLLYLNSNNLHGTFPQFILNRTNVWLVQLDLSSNSFTGSIPTTLPDIAPNLRLIDLSSNMFFGCIPQSLSKLARIESLYLQENNLTGGIPKGLSNLTNLGNMDLSRNILSGELPPSFSRMHKMQTFQVGNNHHLSGSIPLEWFSNWTLLRTFDVANNNLVGSIPPEITRWEVIDTLVLRGNCFIGKVRAELGYLPNLYALDLSSNYLTGTIPLEFGNLSYLQILDLSNNLLEGEIPGILSLLPLTDILLSGNKFTGIADNNFCELPNLKLLDLSKNLISGAVPGCFWNIPSITYMDLSSNAFAGEVTTSTVDFSQLDSVDLSNNNLTGCFPSVLKNLEVLEFLDLGDNMFSGKIPSWIGASLSMLRLLRLRSNMFHGSIPCEVSQLSDLQLLDLAENNLTGHIPVSFANFNYTIMTKPELEHNSGPMEMVDSFLPHYSDQVDIIWKGRDYTYSRKIMLMTGIDLSSNYLSGEIPAELVNLGAIRFLNLSRNNLSGAIPSNIGNLKDVESLDLSWNKLSGPIPPSMSHLMFLSSLNLSNNLLSGEIPSGNQLQTLDDPSIYSNNLGLCGVPLSIPCKNGSSSTTALDGAKEDHQQLETLWLYYSVIAGTVFGFWIWFGTLFFWSIWRFTFFSCIDAMQQKFMLKMKRT >SECCE2Rv1G0124300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:831645819:831649032:-1 gene:SECCE2Rv1G0124300 transcript:SECCE2Rv1G0124300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNDAFLAAHPFRWDLGPPAPAAVPPPPPPPPPPALPPANAPRELEDLVVGYGVRASTVARISELGFTASTLLVMTERELDDMTAALAGLFRWDLLIGERFGLRAALRAERGRLMSPGCRHHGHQSGSTIDGASQEVLSNERDGPASGGIGEDDAMRMMVSGKKQKNGSTARKAKKARRKKVNDLRLDMQGDEHEDGGAGRSESTESSAGGGVGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRLFLLQVQSMAKLHGQKSPTKVTNQVFRYASKVGASYINKPKMRHYVHCYALHCLDEDASDALRRAYKARGENVGAWRQACYAPLVDISARHGFDIDAVFAAHPRLAIWYVPTRLRQLCHQARSAHDAAAAAHAGAMPPPMF >SECCE4Rv1G0290580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873260978:873263916:1 gene:SECCE4Rv1G0290580 transcript:SECCE4Rv1G0290580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLRELQQVGVLELQLQTAQRSSLSRAHQFVNEWELKGMVVASFSLQVFLLFFSGFRKRYSSRVLSVLLWLAYLSADSLAVYILGRLTLRGGSGNNHLALFWAPFLLLHLGGQETMTAFSMEDNALWKRHLLSLITQVPMATYVVSKQLSASGDNDDQWLVAPMVLVFVAGTGKYAERIWALRRAGSVAPGTSRSTSKLVSRASNDAVWDTQGFYGQLCFVISKKQERNFEVILDVAAQAFKLSLHFLMDMTPSISLLPEDTKDIKQAVEVFQSSEDRVHMAYKLAEINLSLIYDYLYTKFGTRHFHMLPFCNVFHRIVALALPSVALGLFMRGMMTGGRKGHLHDADDVIVCYMLLVGAVLLETCSVFMSFISSCWAYKTIISCSLTCPLCRDIPGAIAGLLWVARRLHPGNKGEWSAKMAQYNMIQGCIKAKQETGLLRRAMRWLGIIGEPRAITHISVSPELKKLILDKLLDIAATPRVQEWDIGVGKFHGQWAQWVVETKQDRANHDQVLQICNIQGLEFVSSVLLWHIVTDICLLATDDDVVVDGGDSSSHDEEHLEDGGSSHHEDVQGSLSELRAPIKELSDYVMYLVADCGAMSGSEGHYVVTKGQKEVSRWLLEKHGGGSDDRRKVIEEIRDEDSSFFHENYYPVLDRARRVASDLLKVGEAGDRWELISAVWLEMLCYVAYNCGAAFHAKHLATGGEFVTHIKMLLFMVGVPFLRDVKESLFPEAGNIYS >SECCE4Rv1G0258950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:681600799:681602306:1 gene:SECCE4Rv1G0258950 transcript:SECCE4Rv1G0258950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSSSSDPDYQVATSDLDDDAATAVPPRPADAATVVLPKRPDAEGFVSFLRTPDDVDAVCKKYGIPRDHYTARLAGDLRACSPPPPGCVCVYAHALEAGMRVPLHPFFCEALAHFGIAPTQLAPNAWRIMAGFLVLCRSAGVPPSLAVFRRFFLLSVLNHKHTRRWYYFQPRFRDSPGLRFTGLPDSINGWKRGFFFLSSPTPWPCPVEWGEPSKSSFVDPVLTNEENKSMVKLLRASGGAAVDIRTCLSDSNIAATVVTVASPVPPSARTSASSKGMDSSVYDMMKTMLAEKMASAKKVKTEAGSSPLCGKKGNLDEANDEEGRPPSSVTPLAAPCYSVPTAVCSPLPGISREPQDFADGDGTHWEAARELLQGAFAPPQKRVFAATEPSDVVASSYVAILQAANYVSFSLDYALELEEKLLARDTEIAALQKQLEDTKGELATAKVRRR >SECCE3Rv1G0190230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:736392398:736392813:1 gene:SECCE3Rv1G0190230 transcript:SECCE3Rv1G0190230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKATVEDTDMPAKMQLQATSAASRALDRFDVLDCRSIAAHIKKEFDTIHGPGWQCVVGCSFGCYFTHSKGSFIYFKLESLRFLVFKGMADEQPLPC >SECCE1Rv1G0019480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:235425591:235429879:1 gene:SECCE1Rv1G0019480 transcript:SECCE1Rv1G0019480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAAVRREGRRVLLSTPSTAAAMAALSPAASQSQIALLGARSISTQIVRNRMKSVKNIQKITKAMKMVAASKLRAVQTRTENSRGLWQPFTALLGDAPSVNVKKNVIVAITSDKGLCGGINSTSVKVSKALQKMTSGPEKESKYIILGEKGKVQLIRDSRKHIEMTVSELQKNPINYTQVAVLADDILKNVEYDAIRVVFNKFQSVISFRPTLATILSPEVMEKESESGGTVGQLDSYEIEGGETKSEILQNLTEFQFSCVMYNAVLENACSELGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELTEIISGASALEG >SECCE1Rv1G0040590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:562202914:562204654:-1 gene:SECCE1Rv1G0040590 transcript:SECCE1Rv1G0040590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPAPFTPPAPNAGAQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGSCRMLLMYQYGARSVKCAVCSFVTSVGASSGAEQKPSN >SECCE3Rv1G0211350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:949224141:949224848:-1 gene:SECCE3Rv1G0211350 transcript:SECCE3Rv1G0211350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHAAAATAGPGVKRRLEPTTICFSEEPAPAHTGYRFAVRVTCNVKQSLEFRRSGQRPDVLREKDTGGSSGSTTTFPVGDLSALQSDSPCRGALRRMLAELPQLRSLHLADDEWDSVVPADIVPQIVRVARGDDANDGFTFCFAMKVHSRIILYGKKALLMACKEKEPGSDGTETEDDCPICLDSLEGEFAVELPGCKHVFHRPCISTWFSIATTCPLCVGDVRLCALPEFLDQ >SECCE4Rv1G0268290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:741005683:741007572:1 gene:SECCE4Rv1G0268290 transcript:SECCE4Rv1G0268290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRPVLATPLLLLLLLLPGNAAGAGTAAASSSVNPFTAKAAFIRYWNRKVPNNRPHPAFFVSKLSPLPAADAASFPSSLPDIRARLPTLCSKAALLCPSSSSSDSDSAVAARKGPFTSYRDANFTNYGSGAGAGVDGFKNYSPDVNIAADSFRRYGRDSSARADEFSSYAANGNVVTANFTSYAGGATGGSGSFTAYAANTNAPDIKFTNYDAGANGRSRGFTSYSQESNSGESSFAGYGKSGNSLRESFTSYGNDTNALSSGFANYGESANGATDTFTGYGVEGNVPENAFRSYGAGGNAGVDTFKGYRDGSNVGDDSFASYAKGANGGAAEFESYGGSANAGSVAFKGYAQGGNRNHEVGFKAYAGDNTTFKAYAKTGVDFKEYHNTSAAASEEQHRPHLKTKWSPEPGKFFRERELVAGNRMPMPDIRDKLPPRAFLPRDIARKIPFEASAVWEAFGAAPDTAMGKAVASTVAECERAPSRGESKRCATSAEDVVDFAVEMLGDDIVVRSTASTAGSGAEVRLGKVTVGEAGAARAVSCHQSLFPYLVYYCHSVPKARVYEADIMAADGSDGQRINRGVAICHLDTSDWSPSHGAFALLGGEPGKTEVCHWIFEGDMTWTAAD >SECCE2Rv1G0116340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:763270717:763273290:1 gene:SECCE2Rv1G0116340 transcript:SECCE2Rv1G0116340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 29 [Source:Projected from Arabidopsis thaliana (AT1G76040) TAIR;Acc:AT1G76040] MGNCFTKTYEIPISSGSFERPPPSFAEQPPPAGHGKPPRPPPTPSRPKQQQPPPRPSGRPPLPSFLSGSLSRKVPVGEIGPVLQRPMADVRALYNLERKLGSGQFGTTYLCTERATGLKYACKSVSKRKLLRRADVEDMRREVTILQHLSGQPNIAEFRGAFEDAENVHLVMEFCSGGELFDRITAKGSYSERQAAAVCRDILTVVHVCHFMGVLHRDLKPENFLLASPADDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLHRNYGREIDVWSAGVILYILLCGSPPFWAETEKGIFDAILVGQLDFSSSPWPTISESAKDLIRQMLNRDPKRRITAAQALEHPWLKEGGASDRPIDSAVLLRMKQFKAMNKLKQLALKVIAKNLSPEEIKGLKQMFNNMDTDKSGTITVEELKIGLTKLGSKITEAEVQKLMEAVDVDKSGSIDYTEFLTAMMNKHKLEKEEDLLLAFQHFDKDNSGYISRDELEQAMTEYGVGDEANIKAILDEVDKDNDGNIDYEEFVEMMRKGK >SECCE1Rv1G0034700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:488104660:488106948:-1 gene:SECCE1Rv1G0034700 transcript:SECCE1Rv1G0034700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLAALLLACALVLVLSATPTLSIITGGGGATARQSTSLNHGAARTYVVLVEPLAHAHHDDEAAHRRWHESFLRPGSRHGSRTAGSDPPTIRHSYTGVFSGFAAKLTGHQLAAVSRKRGFVRAFPERRVPLMTTRTPGFLGLDAKQGVWNATNYGEGVIVGLLDTGIAASHPSFGDEGMPPPPAKWKGKCQAPVRCNNKLVGLVSLMGGNDTTDAVGHGTHTAGTAAGHFVDGVSAFGLGRGTAAGIAPGAHLAMYKVCDGEGCFESDILAGMDAAVKDGVDVLSLSLGGPSIPLDKDLIAIGAFGVMSKGVLVVCAGGNSGPTPSTLSNEAPWILTVGAGSVDRSYRATVRLGDGEAFDGESLTQDKRFSSKAYPLYYPQGTSYCDYFDGVNITGKVVVCDTETPLPPLNSIQAVQAAGGAGVVFINEADFGYTIVVEKYYNLPMSQVTATDGAKIMGYARVGSTTAAHTATIVFNSSIVHVKPAPIVAAFSSRGPNAASPGVLKPDIMAPGLNILAAWPSMVPIDGTESYSYNVASGTSMAAPHVTGIVALVRKAHPDWSPSAVKSAIMTTSSTADNAGHPIMDEEHRKASFYSIGAGHVDAAKAVDPGLVYDLDAGDYSAYICALLGEAAMKTITGNSSLTCAAVGSIPEAQLNYPAMLVPLSEKPFKAKRTVTNVGPAKSMYTAHLDVPKWLKVKVEPEALEFTSAMEKKTFTVTVSSRGGDADADAGQLAEGSLRWVSEDHVVRSPIIADARVVPR >SECCE1Rv1G0040760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:565879897:565881837:1 gene:SECCE1Rv1G0040760 transcript:SECCE1Rv1G0040760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKGTKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRSAGTGTEKKK >SECCE5Rv1G0355920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:735195356:735198410:1 gene:SECCE5Rv1G0355920 transcript:SECCE5Rv1G0355920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAYGAVLLFALALAVGAVAAAETARPEEPTLPAAAGGADGVVTAAAAAVVNGSGAGVNSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGRHNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQTLLLFHVLPARHPAGSWPAASHPTLSGEDVELAAGANGSMRVAHAAVTRPDAVLRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVLPGAPPVLPVWDAMAPGPSIAPAPAPGPGNGKHHFDGHSQVKDFIQTLVLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDAVLLPEDDKKPDTPVSSTPERKAPAVTGSRKSKLRRGKLLEATCRMAGVFGQRSRLASCQ >SECCE2Rv1G0113650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:724592897:724595447:-1 gene:SECCE2Rv1G0113650 transcript:SECCE2Rv1G0113650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRLAMVAWWVCGFLPLLAMAAASADGAKGSSKPLMRVSMENGHAPTPAPGPATSAAEEGVTKWAVLVAGSSGYDNYRHQADVCHAYQILKKGGLKDENIVVFMYDDIADSPDNPRRGVVINHPEGKDVYHGVPKDYTGEQVTAKNLYAVLLGNKTAVTGGSRKVINSKPNDHIFIYYSDHGSPGSLGMPNGPNVYADDFIKVLQKKHASKSYSKMIIYVEACESGSIFEGLLPQDLNIYVTTAANTVESSWATYCPEDGTSPPPEYGTCLGDLYSVSWMEDSETHNHKEETIKQQYEVVKARTAPRNGSSTGSHVMEYGDKTFKGDMLFLYQGFESNIRNRSLPTPSLKGAIKQRYADILFLWKKYEKLNRGSEEKQRALKEVKETVLHRNHLDSSIDFIGKLIFGFDKGPSVLGAVRGSGQPLVDDWDCLKTMVRVFESQCGSLTWYGMKHMRAFANICNNGVSKTKMKEASISACDGYDLGKWSPLVRGHSA >SECCE7Rv1G0463870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:57659898:57661982:-1 gene:SECCE7Rv1G0463870 transcript:SECCE7Rv1G0463870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRNQLWSIAKMSVTGVVIGITISDRYVSVVAIKGHSMHPTMTATDSALRGDVVLAEKGCLDQYKFSRGDVVLFKCPSNHKEVFVKRLIGLPGEWIQLPASSEIIKVPQGHCWVEGDNAARSWDSRSFGPIPLGLINGRVTHIIWPPSKIGRVERKWPEDRIPPF >SECCE6Rv1G0442930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:819829397:819856387:1 gene:SECCE6Rv1G0442930 transcript:SECCE6Rv1G0442930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRLEKLVFALNGRRYEVAGADVHPGTTLLEFIRTRTPFTGTKLGCGEGGCGACVVLIATYNPTKDEVTEFSASSCLTLLYNINLCSVITTEGLGNTNDGFHSIQKRMSGFHASQCGFCTPGMCISIFTSLANADKSNKPEPQKGFSKLTVSEAERAFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNVFWKKGDKHADVSKLPAYTLGGGVCTFPDFLKSEIKSSLHHLNDDSDVTVSRDGWYHPKSIEQYYDLLNSGLFSDCTVKVVVANTSSGVKGYKDQDLYNRYIDIGDIPELSAISKKESGIEIGAATPISKTIEIIEQEAGQNGSVVFRKLAKHMSKVATPFVRNTASIGGNIILAQKYPFPSDIATILLGAASIVRLQVYSETLKVTLEEFLEQPPSDPSTLLLSIFIPHWDSDSHKESKVIFETYRAAPRPLGNAVSYINSAMLGHVSQKSCGDLVLSNLHIAFGAYGTEHAIRATKVEQHLNGKVLTPSVVLEAVCLLRETIVPMEGTSHAEYRVSVAVAFLFSFLSPFAKGIKGPGKTLSIGSASSSDTDDPCNLPLSSRRETISSDDHKPVGEPIKKYAVELQASGAAVYVDDIPAPKNCLYGEFIYSTQPLAYVKNIKFKPSLASEKVLTVVSAKDIPSGGQNIGSSFIFGDEPLFGSPVAEYAGQALGVVIAETQRYANLAGKQVVVEYDTKYLKPPILTVEQAVQKNSYFEVPPERYPKQVGDFSKGMAEADHKILSTEVKLASQYYFYMETQTALAIPDEDNTIVVYSSSQYPELAQSVIARCLGIPFSNVRVITRRVGGGFGGKAFRSYTVATAAALCAFKLRRPVRMYLNRSTDMIMIGGRHPIKAHYTVGFKSDGRITALHLDVLINAGISPDASPLIPDYMMSGLKKYNWGALSFDIKVCKTNNTSKSVMRAPGDTQGSFIAEAIIEHVASVLSLDANSVRQKNFHTYDSLVMFYPESAGEASTYTLHSIFNRLLTTSSYLHRAESIKHFNNRNKWRKRGISCAPLIFKVAPRPAPGRVSVLNDGSIVVEVGGVEVGQGLWTKVQQMTVFALGQLWPDGSECLLDRVRLLQADTLNLIQGGLTAGSTSSESSCAATLEACNMLVDRLKPVMKKLKQQSGGAVSWDALIAEAIKDNVNLSSSAYWVPGQESSTYLNYGAAISEVEIDVLTGAITLLRSDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFINEEHETNADGLVVSDSTWVYKIPSVDTIPKQFKAEVLNTGYHKNRVLSSKASGEPAVVLAASVHCAVREAIRAARKEFGSSELIFQLDVPAPMTHVKEMCGLDIVDKYLESLSAHETRAAA >SECCE7Rv1G0471070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:127182546:127185853:1 gene:SECCE7Rv1G0471070 transcript:SECCE7Rv1G0471070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSFRQDTDMAGAIFMCNTRAREQFFGSGVFRLALEYQPFVDNVKQGMPLFLFDYNERKLYGVFEAASDGGLDITDRAAFRSSGHSYPAQVRFKIIWKCRPLAEDVFSHAIKENYYTIYKFYFDLSYQQVVQLYELFDNKRVEQPIRNYTMSAHSKEGHFSKGRPDKRSFSPNISPISTDQSHTLIVTAGTDYSAPARMRGTVPHNFEVRTNLSVPLVSKPVGVQIDPIHSSHHVQKILPYHNSSHLQDVSTVDGISTQVYAPCSQTNGYHQDQVVTNQSYPISDDCMHSSLSSGCMTASPTDRIRSSEKQSYVGSTSRSLQHITQASTGGDRNYVNSTPNVPSYHFSLANPQGSANLKDNYDIDCHQCKEIRTSEHQHLSKERAQIAPVLIQQGIPAYPEVPQVSTIGQRKDDFSDYIPVADYAQDFDNDWWKHGSNHSVSGSLDLRNVIGANMSDQLHTNREIGAESNTTIAPGQCSQNSVFSRLSPNQQPLQEIVGSQNNVFSRLSPMQQPLQEITGPSLSQMLSSLSKRTKQWSSGNRTHISKEREIRSENSTLISEKTEIRSENMTLNSHKREDGALTYDAGKQLAVEQSIDITCPLAELNLPDVEGKESAEPPFLNFKRRRETAQLGGNLGKETSGKMKRRKLVRPSFGENNNPTNSGKEIQVNGAEDRKHPHFNANGNKFSIDLNKPASTDNDDDGSTILCPVDINIQTEKPCEVNTTHKPKFSDAMEVTGEQNHPVENATQTGGKVSLDLSIADLDTMDKSKLQAILDSPWLQALDKLRNCKSNNSEVAGSSICGDDNTSKMETNPDGST >SECCE6Rv1G0404770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:523755585:523758616:-1 gene:SECCE6Rv1G0404770 transcript:SECCE6Rv1G0404770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLVSASQILAVARRGGRRRRLVIANSGGAGAPPKLVTFLGKGGSGKTTAAAIAAQYYASEGLKTCLVIQSQDPTAEQLMGCKIGNSLTECAANLSTIKLETSKMLLEPLDRLKKVDAQSNLTQGVLEGIVGEELGVLPGMDSICSVLSLQKLLKFFSVGTNSPQGEFDVVVYDCNNTEDFLRLTGATERARSYLKYVRELAEKTDIGRLASPSLLKLIYDAARPNGRTSEVRMSAEIWNEIEQLLEKTSLWFTDPSKLACFLVMDPRGSISVSSALRYWGCTIQAGAQICGAFGYAEDPSEMHQGVAEKFLPVPFSSLPFLPTDSSADWGRALNSLNQNTKELLRNTSKVYPSVSFDSAQKSVTLFMPGFDKSEIKLYQYRGGSELLIEASDQRRVIKLPPAMQGKVGGAKFVDRNLVVTIR >SECCE7Rv1G0468080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95253858:95255082:1 gene:SECCE7Rv1G0468080 transcript:SECCE7Rv1G0468080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFLAAQPSSNGSTAAAAAAPPPSPLRSRPPRREQQSLLSIVKEASMAADEHQELTKAFSGMGGLGVEETALVSALGRWRKQPEKRAQFRRGFPGFFAPAAAAGGAAIERCSDDYVRHLKTEFARFKSLMVLWAMHPWERDARWAHRALHKKHHPAAVLVELACTRTADELLGARRAYHALYHRSLEEDVAYRVKDAAASRLLAGLVSAYRYEGPRVDEELAREEAAALSAGPGAGAKAQSELVVRVLATRSKPQLRATFRLYRELHGKPLEEEFGGEAPCLREAVRCLESPAKYFGEVIDAAFKEGADKQAKAALTRVVVSRSDADMEEIKEAYLKQHGAKLVDAVAKNTHGHYRDALLAMIGK >SECCE5Rv1G0332390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:548124336:548127609:1 gene:SECCE5Rv1G0332390 transcript:SECCE5Rv1G0332390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVKSEPATAMDAVGKSEIEEHEQKVNRYQAELAARIKAKYFSNKAFDGGKIFEEETIVEGETIRSSRWPCTSSYENPVNFLQEKNSHERRDSPSSAADSSAKNGSPSVVAEASPKNNAGVLATENNLTPGKRQASKET >SECCE6Rv1G0444420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827796129:827798755:-1 gene:SECCE6Rv1G0444420 transcript:SECCE6Rv1G0444420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGYSTESSNEGDVQEDGDKEKSLAEGDVFKPVDMDPEWIPKVGMVFDSEEDAFQFYVAYGCRSGFGITRRSNNTFDGFRYRSTFICSKGGQSRLRSGATRPARKRGTKTGCKAKIIVKDAHFQNRWEVIVLELEHNHPLDPSSIKYKKHLENIPFSLNPPRLSEAPQSSSVGGHSSSFGDSGIPSSAQIEIKTKIDRNRKLKLAEGDLEALVSFFNDMQDRNPCFFHSLDMNEQGQLRNVFWADAKSRSSYNYFGDVVAINVTNFSDQYDIQFVSFVGTNHHAQPLLLGCGLLAGRSLGAYVWLFDTWLRCMNATPPPSIITNYCHDVAIAVKKVFPNSRHRFCLWHILNELPEKLAGMEKKDEMISTFSTLAYDSVTTLDFDKEWQEMTHQFQLEGNEWLSKLYEVRAQWAPAYVKDFFWAGMSVTDRSDSATDYFDGWLTSGTSVKMFVEQYEAAVRTKLEKEAYDDLQSSQMRPQLMTGLPVEEQAAKMYTMEIFQTFLNELGHSFHCNYSILDRSDSLVTYIVSEHVNQTKVDYKVAYDNAEDDIWCFCRLFQFKGILCRHALTVLRLELVPMIPSKYIIHRWCKDCKLTCSSMSRDVSLSTQELGGYDDLYKLGHQYFAEVVELGSVNSESKDYALSVMREIRDKVISYEKSLRDQRVDSQVSTANFAYNPVNEDFTDDALPISLSTKGWDLMQGQSKRSRKKKLTTPTVLDTLKKKTKKAYNKRRNATANNLCTTVTATDTITESANVQQDQVNEGWPLTSSGAPDTFPYGVETISFDLTQYNNAPSFHWPESSSRSQLQ >SECCE6Rv1G0380940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:27998081:27999361:-1 gene:SECCE6Rv1G0380940 transcript:SECCE6Rv1G0380940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQAQRLFLAQSSSFAPSTSAARPRPRPRRSGAAPCRAAMRVPSGIHTAQDSLSLKLDWIDVPASPSADLDGGSVSVEKLRAIADAAADRAEMHDIIGRQRDNWNHLLLHSTNSLTLAASVMAALAPAAPSMVSLKASAGVLLATAAVTMAAVNKIQPSQLAEEQRNATRLWRELERDVRAALALGAPATTTKDDVQEAMDRVLALDAAYPLPLLPGMLEKFPKAVEPARWWPRRRAAQPKRSRSFGRRGFVASGNNGWTQDLEEEMRGILRVIKAKDEQEFLTVGKLVLNLNRGLAVAGPALAGTAALASVFIGSGEAGAWASGAAVLGGALAAAVNTVEHGGQMGMLFELLRNCAGFYRKIQEDIEANLGEPDLERREGGEVFATKVALKLGRSLSDLKQFRKLASPSIRDEDIEEFAGKLF >SECCE1Rv1G0016490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:138987815:138988136:1 gene:SECCE1Rv1G0016490 transcript:SECCE1Rv1G0016490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLFGFVVAAALTLAVLMPAFAAAQAPAPAPTSDASSVDQGVAYFLMILALVLTYLIHPLDASSPYKLF >SECCE7Rv1G0504640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:725670912:725672054:1 gene:SECCE7Rv1G0504640 transcript:SECCE7Rv1G0504640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPEVFLCPISLDYMSDPVTLLPTGQTYNRPSIRRWLAAGHRTCPVTMRPLPHHASGLLVPNRTLKHLIDRWLLLADLALPTLRETLLDAAARESAPTAPVVETLRIVRSVSPPGFCALLLRLLILRSSDDVLAELALDCLLASPSTRELADALQEEKKLPSSFALLLRQGSPKIKIGMCRLIHTVGALAVDAAVALGRSEPVMGALAALVRNDTGGAASDAALKAMCCLCSSDQSSREAAVAAGAVDALLSYISSGGSRKRPSSCALRTLALETLELVLVSVDAGRQAMYARPGATAVLVKMVFMVPSRQDRAGGGGSEHAIGSLLVACRESAEARVDAINAGLLTRLLLLLQSQCSPRAKTNAMALLKLLRAIWARH >SECCE6Rv1G0405890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:537536399:537543257:1 gene:SECCE6Rv1G0405890 transcript:SECCE6Rv1G0405890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAKKPPLKSSSSHNSAAGDAAAAGKTIEEMYQKKTQLEHILLRPDTYVGSVQKHTQTLWVYEDGAMVNRPVSYVPGLYKIFDEILVNAADNKQRDPSMDSLKVDIDVEGCCISIYNNGDGVPVEIHQEEGVYVPEMIFGHLLTSSNYDDNERKTTGGRNGYGAKLANIFSTEFVIETADGHRLKRYRQVFSENMGKKSEPEIKKCKQSENWTRVTFKPDLEKFNMTELEADVVALMRKRVVDMAGTLGKTVKVELNDEKVSVKSFSDYVQLYINSASKEGIELPRIYQKINDRWEVCVSLSEGQFQQVSFVNGIATIRGGTHVDYVANQVASHVMGVVNKKNKQANMKLHTVKGYLWVFVNALIDNPAFDSQTKETLTTRQANFGSTCELSDEFLKKVSSSGVVTNLLSWAEFKLSKELKKTDGTKRTSILGIPKLEDANDAGGKNSDKCTLILTEGDSAKALAMAGIGVVGRDHYGVFPLRGKLLNVREASHKQLMENAEIQNIKKILGLQHEKNYDSTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHKEWPSLLKVPSFLVEFITPIIKATKGKTVRPFYSMPDYEAWKESLGASASSWTIKYYKGLGTSTAEEGRDYFEHITLHKKDFVWADDKEDCEAIELAFSKKKIAERKDWLTNYQPGTCLDQREKRIKYSDFINKELILFSMADLERSIPSMVDGFKPGQRKILFCSFKKNLIKESKVAQFIGYVSEHSAYHHGEQSLASTIVGMAQDFVGSNNINLLEPRGQFGTRNSGGKDAASARYIFTRLQPITRIIFPKDDDVLLNYLNEDGQSIEPSWYLPIIPMVLVNGSEGIGTGWSTFVPNYNPRDIIANLKRLLNEETIVPMVPWYRGFKGSLKETSSKATGVTYTITGVIEEVADTKLKITELPVRRWTTDYKEFLESMCPIPIKEKEKSKDKNKEKKKDKDKDKEKEKEKSKEPPLLEEIRSQCDHADVEFELILTEQNMNIAKQEGLEKKFKLTTTIGTTNMHLFDSHGKIKKYDTPEDVLQEFFHLRFDFYVRRKKVMLENMASELLKYQNKVRFILAVISGEIIVNNRKRADLFKELKDMNYDPFPKKKPTSEPVAVGSTEGDEDNDESPVEAAGSGYEYLLAMSIGTLTMEKVKELIAQQDKVKEDLKILGNTAPKTLWLRDLDALEKELDVLDAKLEAEQNNRSSKRAKNSEDAKQSKAAAKKQPKKAAAKSQKANLAGSDDDDYEAAIPKPAAQKKKPPPKKASAPVKDEVKDEEDEVAELKDRLAAYNIHSDNSPEPSAMETEEQHKGKKGRNGPSKRGAAKKAMSSFAEISDEDVAEPPHESDDGGSSMEVEKKTKGRKPAAEKPKTTIRKRAPAQTKGMRQKVMEEIFKPTDDSTLSAPSPEKKVRRIRDSPFNKKSGSVLHRMASASTGTEDGEAPPSGSSAEPVAPRRTTRERKAAVVYVASGSEDDESEDEDVSEPSDDDFSEDD >SECCE2Rv1G0111510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:701591829:701600729:1 gene:SECCE2Rv1G0111510 transcript:SECCE2Rv1G0111510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAAAGAVEGPRSCKLVAALSRTSATFLFFSVVVVGAVAVSTRWITTATTTTLQASFPTTAAIPAAVLHPSPQSPSRPPPAAYSISCPTPPLRRNVSHAAKVSKSPQTLALALSSSSSCRSARDPPRPTATAARSSSNSSCPSYFRFIHEDLRPWRAAGGVTRAMLARARATASFRLVVLRGRAFVQRFRPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVRTHLYRGEHAPFMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALHKDLKDGNSKVRWFSREPYAYWKGNAAVATSRQELVKCNVSSTHDWNARIYTQDWFKESKEGYKTSNLDSQCTHRYKIYIEGSAWSISQKYILACDSMTLLVTPKYYDFFSRSLMPLQHYWPVRDDSKCASIQYAVDWGNSHKQLAQRIGKEASDFVQQEINMDHVYDYMLHLLTEYAKLLKFKPTKPPEAVEVCPESLVCQAEGTEKKFLMESMVRSAHDSGPCDLPPPFSPQELTMLKQRKENSIRQVEMWERRASIAR >SECCE7Rv1G0519660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865389710:865390470:1 gene:SECCE7Rv1G0519660 transcript:SECCE7Rv1G0519660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEFKLSINAYLADLLHSPVHSLADIIAFNNEHPVEERLKDFGQPDLIAAQNTNGIGPVERAAIQRLKELNANGLEKLVKEHQLDAVVAPNSGISSLLAIGGHPGIVVPAGYDEKEVPFGICFGGLQGYKPRLIAMAYSFEQATKVRRPPMFKP >SECCE3Rv1G0197600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:821058379:821058765:-1 gene:SECCE3Rv1G0197600 transcript:SECCE3Rv1G0197600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVAEKKLVKPEKHVPGKEGDTSKKKAKKSNETYKIYIYKVLKQIDPNMCISSKSMSIINSIINDMFEKLAGESAKLARYNKKPTINSREIQTAVRLVLPGELAKHAVSEGTKAVTRFTMH >SECCE7Rv1G0462400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45559122:45560602:-1 gene:SECCE7Rv1G0462400 transcript:SECCE7Rv1G0462400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNPRLPNFILLDATTCISDLRDATTAWAATAAGLAIQVSLAVAAPPRLSHFCVCCPGRDKTTFDGAPDVVCSAGDHALIRVGFTSSDSTEHFIYRARGPDGRPSLGLIPDFDDYSDAENLLLPLGFVSHRKHFLVIAALSDGPTDLQYYLHTRSTEPHSTWSKKLLRVELPRGASRKSAMNDPGKVIALGGGLLGWVNLMEGILICDVLDPGVTALRFVPMPKLLLSNNQLYDNESRGRAIRDVTFSRGYIRCVEFEELVECRATTVPAVPDSWDMDELQDSELAIDSPQEEEEEDVIVGWRLITWYRALTWNCWRKGNTVHSDELGIVSLPQIIGGGGACAVKVPLKDLKAAAPTLSGDGDVVYLACELHEQDQTAWIVAVDTRRKSAGELCSVEGVYLYDPSYIPYVLTEYLDDRSGGAQAHMQNACHPTPQNLDGSKKKRQRISLRESEA >SECCE3Rv1G0167720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:207858015:207859492:-1 gene:SECCE3Rv1G0167720 transcript:SECCE3Rv1G0167720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLCNRPSAAWFFLFVAKLGWTWALIPSSSPVAVVLSARRYGSIFSFGDSFADTGNNPVAFAASSVFDPVTRPPYGSTFFGRPTGRNSDGRLIIDFIAQRLGLPLVPPFLAHNGSFSRGANFAVGGATALDAAFFHGSRFILNTSLGVQLQWFESLKPSLCRTTKECEAFFGRSLFFVGEFGVNDYHFSLLTKSVQEIMLLVPDVIRTISTAIERLIKHGVTNFMVPGTIPSGCTPPVLALFPDAAPAEYNSTTGCLEDINKLGMHHNLLLQEALEKLRGRHPEAMIVYADFFGPIIDMVESPRKYGFEDVLSICCGGPGALFCGDEGANLCEKPSARLFWDGVHLTEAAYRYIADVWLDSIDSPASQSRYQ >SECCE1Rv1G0040190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:557138274:557139679:1 gene:SECCE1Rv1G0040190 transcript:SECCE1Rv1G0040190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFVLSTGAKIPSVGLGTWQSEPGVVGDAVYAAVKAGYRHIDCAQVYGNEKEIGFALKKVFDEGITSRKDLFITSKLWCTNHAPEDVPVALDGTLQDLQTDYVDLYLVHWPIRMKKGAGFSPENVIPADIPATWAAMEGLYDSGKARAIGVSNFSCKKLEELLAVARVPPAANQVECHPVWQQAKLRDLCASKGIHFSAYSPLGSPGTFKAFSVLEHPVVVSTAEKLGKTPAQVALRWGIQSGHSVLPKSTHEERIRANFDVFDWSIPGDLFAGFSGIEQAKLIRGKFWTHPDGFFKSEEEIWDGEI >SECCE7Rv1G0496500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:613240144:613241340:-1 gene:SECCE7Rv1G0496500 transcript:SECCE7Rv1G0496500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNQRFSLLKQPIYSTLNQHLIDYPTPSNLSYWWGFGSLAGICLVIQIVTGVFLAMHHTPHVDLAFNSVEHIMRDVEGGWLLRYMHANGASMFLIVVHLHIFRGLYHASYSSPREFVRCLGVVIFLLMIVTAFIGYVPPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHHLLPLILVGASLLHLAALHQYGSNNPLGVHSEMDKIASYPYFYVKDLVGRVASAIFFSIWIFFAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKAGGVAAIAPVFISLLALPFFKEMYVRIFWLHLADCLLLGWIGCQPVEAPFVTIGQIPSVFFFLFFAITPIPGRVGRGIPKYYTDETHRTGSLDG >SECCE4Rv1G0286850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:851463967:851465430:1 gene:SECCE4Rv1G0286850 transcript:SECCE4Rv1G0286850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFTTSWPCALLALLALFVTGAYGQLSPTFYASTCPNLTTIVRDAMVTALNPAVGGERRMGASLLRLHFHDCFVEGCDGSVLLDNVPANGSTPAFFGEKNAFGNINSVRGFEVIDTIKAAVEQACPGVVSCADILTLAARDGTFLLGGPTWAVPLGRRDATTASMDLANRDLPPPFANLVSPDGSGLIARFASKGLSATEMTVLSGAHTIGFAQCQNYRARLYNEDNIDFQFAQQLKANCPANGPAGDTFLAPMDSTGTTFDNRYYADLAGKRGLLHSDQVLYNPGGNQTQAKLVIKYKGDNAAFFADFAAAMIKMGNLSPLTGTAGQIRTNCRLVNP >SECCE4Rv1G0280850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:823787047:823788123:-1 gene:SECCE4Rv1G0280850 transcript:SECCE4Rv1G0280850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQRPTERRVSRCTPVMARATLVFDIVAYSLHKGMGAGKFIRSPRASIGGYEWCIRYYPDGDEGGEEDHVSVYLELLSKGARARALFDLRLLNQANGLSTSLTSCLESPEGFDSVDPIKNKYAWGAPSFKKKSELENSPYLRDDHLIIECDLSVISNVPLVAETVEIQVPPSNLPYHLGTWLETGEEADVTFNVRGETFPAHKIVLAMRSPVFKAELYGPMGSRTARNITVEDMQPAVFKELLRFIYKDSLPSLDNLDDDMVKHLLVAADRYAMERMKMICEGILGRRLRVETVATTLALADQHHCSRLKEACIEFIISSNRMAAVVASQGYAHLKRSCPAVLGDILERVTKSRTI >SECCE3Rv1G0181490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:614527726:614531691:1 gene:SECCE3Rv1G0181490 transcript:SECCE3Rv1G0181490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALALRAPRFQPFPASIPATSSAFASTVRPSSSAAATICAAASPFTEATSSSRYRRDAWSYTAQDSSSSSSAAAAAAAAASGRRDDEIALQLPELRRLLEALRASRGKGLEGEGGGGGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNEVLDLVADGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIKVEVIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGTDPLHVAENAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRMVFAMLKDLVNEVHSADLVSPTLIIIGKVVALSPLWFESSEHDALNNENLSQLSPTVFPV >SECCE4Rv1G0215970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8438734:8439051:-1 gene:SECCE4Rv1G0215970 transcript:SECCE4Rv1G0215970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLIRRLSRVGDDSSPPASPSSKRRGGGGRAVVPEGHVPVHVGDGSEAERFLVRAELLGRPALAELLGRAAQEYGYDHQGPLRIPCCSPAAFRRALASVAADCC >SECCE1Rv1G0041940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:575477772:575480205:1 gene:SECCE1Rv1G0041940 transcript:SECCE1Rv1G0041940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRILGLSGALRRSLATAATHPPWVMLNRVAQVVGALSAAARLSEPPHVSELRLPEHVTEAFPAPDRDVLQLRSGVISGASGDGLLFLSVLNARFTPPAAGEQRAGRASTHPAVLDPAHAPRVTRLVCNPLTRELSRLPDFVSDPGYDFMLATQMAMGVLTQADRGQGPPDRFVAALLNGEQMLRFRSETGEWEAVAVSPCLIPLPRPRGVTLDQETLAFGGRLWWVDLSWGAVSADPFSDRPEVSFVQLPRGSVLPEGAHDQARTTPFNYRRMGVSQGRLRYVEVSREEPFLLSSFVLDDEGTGWMQEHRVVLNKLWASHMSLPLQQGPTTRIVLIDPVNANVVYLAVDTLAVVAVDMDREEVIGSYPYSNTAGIPCVLPPWLGSSRIPSAGGKRFVWKNKTLVDVVAYSDSY >SECCE2Rv1G0140090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:930205752:930208136:1 gene:SECCE2Rv1G0140090 transcript:SECCE2Rv1G0140090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHNLNMLRSIVFLLVFPILPTVVAYPSTAAPPNIWNISSMQSRVAMARNSSALVILESGSASYQLYFGFYSMDGHAFTLSVLLIGPEAPVIWSANPDDPVSQDAMLSFTNDGNMLLRDGDGTVIWSTATQSKSVAGLRLDVSGNLVLFDWKNSSVWQSFHHPTDTLVLGQSLCRGMNLSIKPSNTKWPSARIYLSAEVGGLQYSYQPAAYSQLFTEVASTTSNCYVFVNGSFGFPNQVFSLPLAASLQFMRLESDGHLRLYKMQGYSSPQLLSDVLSITMKFCDYPFACGDYGVCSAGQCSCPSLSYFRSNNERHPDAGCTLLTTISCNRAHNHQLLPLDNVSYFSDKMFRSLAISSSSEEVCKQTCLIDCACRVAIFQYYGLSHFSNGGYCLLLSEQKLISLAEGSSDGLSAYIKIQGTRSIKKRITTIVCSVIAGLSALGILFSAIIWKMCKKEEEQLFDSIPGTPKRFSFHELKVATCNFSVKLGSGGFGSVFKGNIGRETIAVKRLQSVEQGTEEFLAEVMTIGRMHHHNLVRLIGFCAEKSHRLLVYEYLCNSSLDKWIFHTCSVFTLSWKTRRNIIIAIARGLSYLHEECKEKIAHLDIKPQNILLDDRFNAKLSDFGLSKMINRDQSKIMTRMRGTRGYLAPEWLGSKITEKADIYSFGIVVVEIICGRENLDESLPEESIHLISLLEEKARSGQLLDLVDSGSNDMQCHMEEVMEAMRLAMWCLQVDSSRRPLMSTVAKVLEGVTSLEAAPDYSFVPSFASNSVSGAGPTSSYVPSESHLSGPR >SECCE1Rv1G0000750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2319160:2320735:1 gene:SECCE1Rv1G0000750 transcript:SECCE1Rv1G0000750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVFTLEEVEQHHSRRDCWLLIAGKVYDVTRFLEVHPGGEEILLSSAGMDATTNFEDVGHSSDAREMMDMYYIGVIDTTTG >SECCE2Rv1G0140910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:933453483:933455321:-1 gene:SECCE2Rv1G0140910 transcript:SECCE2Rv1G0140910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDLLLLLASLAAVAVTAVWHLRSHRSGAKLPLPLPPGPRGWPVLGNLPQLGAKPHHTMAALARQHGPLFRLRFGSAEVVVAASAKVAGSFLRAHDANFSDRPPNSGAEHVAYNYQDLVFAPYGARWRALRTLCALHLFSTRALDALGAVRQDEARLMVSHLLSASSSPAQGVAIGQEANVCATNALARAAVGRRVFGDGVGESGREFKGMVVELMQLAGVFNIGDFVPALRWLDPQGVVPKMKHLHRRYDRIMDGFISEREHLAGEEEGKDLLSIMLAKMRQPLLADAGEDGIKFTETNIKALLLNLFTAGTDTTSSTVEWALAELIRHPDALKQLHREVDDVVGTSRLVTEADLPRLTFLAAVIKETFRLHPSTPLSLPRVAAEDCEVDGYHVPKGTTLLVNVWAIGRDPASWGADALEFRPARFLPGGSHETVDVKGGDYELIPFGAGRRICAGLSWGLRIVTLMTATLVHAFDWSLVDGMTPDKLDMEEAYGLTLQRAVPLMVQPMPRLLPSAYAT >SECCE3Rv1G0154670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62104405:62107371:1 gene:SECCE3Rv1G0154670 transcript:SECCE3Rv1G0154670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILESLLGSCASKLQNIITDEAILILGVEEELREVLRRVELIRCCIYDAEKRRTKEQAVNNWLGQLRDVIYDVDEILDVARCKGSKILPDDPSSSSSKSATCKGFSISSCFCNFWSRRDVAVRIRSLNNKIENIANDKIFFTFNTSTQPTRNGPTSKLIRSSNLVEPNLVGMEIIHSCRKLVDLVLAHKENKSYKLGIVGTGGVGKTTLAQKIYNDQKVKGSFKLHAWICVSQDYNQITLLKEVLRNVGVHHEQGETITELQRKLAETIEGKSFFLVLDDVWHSNVWTDLLRPALHETTAGVILVTTRDDQITKRIGVDHTHRVDLMSVEVGWELLWKSMNIEEEKKVQNLRNTGIEIVRKCGHLPLAIKVTASALASRDLTENEWKKYLGKYAGSQSMLSDEIEGALYLSYDELPHRLKQCFLYCALYTEDSIIRRNEVTWLWIAEGFIEEQQGQLLEDIAEEYYYELIHRNLLQPDRISFDQAKCRMHDLLRQLALNISREECFVGDVESLRSEKMSKLRLVSAVAAKKDILVLPSKDKAEVKLRTFLTFRGPQRIEGTLFKRFLLLRVFVLNYSLVQSIPDYIGKMIHLRLLNLDYTGISSVPESIGSLKNLQVMSLRCCYDLHNLPSAVTLLSSLRCLNLFGTKINQVPQGIGKLKLLTCLEDYPVDDGSDNAVIQDGWKLEELSSLSQMRYLSLVKLERAAHCSRNAVLTDKKYLKVLMLVWTARIEGSYSEEDVGNTEKVFEQLIPPHNLEGVSIVRFFGQRYPTWFRTTFLSSLIHLKLIDVRSCVHLPPIWQLPNLKYLRIHGAHAVTKVGPEFVGCKKGDPVCDELVAFPKLEWLIFTDMPNWEVWSFFEEEVATADVRGEDGAAQIRKEDGQAARVRLLPRLLELQLHGCPKLRDLPQQLGKDTPCLKELSLRGLNNLKAVEDRPLLSEVLSIAYCEGLERICNVPQVIELHVGGCPNLSHVEGLGSLQRLGVTGE >SECCE1Rv1G0057340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693075183:693077345:-1 gene:SECCE1Rv1G0057340 transcript:SECCE1Rv1G0057340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACLFGGPEIEHAVEWWSNWMYRIVTLLSLASHLILAVFADIRRRKRRDLRRGLVWVAYQLTDLAPAYVMGNLYIETSRREKMIFAFWVPFLLLHSARPDSISAYSMEDSGLSLRVSILALFQTLGSIYLMYRYILVDCIFTGSLLWASCIMMCLCIFKYAESALALRRADLGNIWSSFKQRQQHPSSFGEGYGGGWELQPDDEKVLLVAHDLFDICKAAFCEYPVDLDRHKTGISLFKTADWEIMCKVVEMELSLMYDILYTKAAMVHTLGGYAIRIMSLPLTAIALLLFGLHDKEGMPTEDEAISYVLLGLTLLLDVRWLFRALASAWTRSFLERMPNRWPFRALASDGCSERWAKLRRFVMSPPLSRVSLCLWTCEWAEESKRYRRWAGPMGQCNFLDSCTWGWDTLYSRSSSVLRGVYSKSSSVLRRLYSRSRLAKKNESENHWSALETPEQVTRFPAPTLQADHFRPGRISSTSEQQSDRREFQKEVFIWHIATDVFLRCNAQVTAGYGHHKEAIKQISDYMMFLALGKHDMLPGRKFRSVIETARRIIGKAARPQYRASSSKTKENDLACWMLTKKDSLKTLGAPVEGTEGINKGHLADRARICISEGTQIAHELHHWSTGTKEAGLFAIGRHAHWIPYLRDPPGNIEGMLEFILDVWVNMLVDASLQCSKESHAKQLSRGGELITIIWVATEHADVGFIKSRFRESFFPLQ >SECCE2Rv1G0128100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857954072:857955038:1 gene:SECCE2Rv1G0128100 transcript:SECCE2Rv1G0128100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRRAVLLMAVAATAIGLAGASFRDNCDIKWNAENAAFSDDGHGLTMSLKSNSSGCLLQTKKQFIYGSVSTLIKLVPGNSAGTVTTYYTSSVGADHDEIDFEFLGNETGQPYTLHTNVFADGVGKKEMQFVPWFDPTADFHAYTISWTPCMIVWYVDDVPIRVFRNYRDKGIAYPIKRPMFGYSSIWSAEDWATQGGRVKADWSKAPFVAGYRDMVLDVCPCDGSDSCVYGCEGAFGHGGQQQNCAGLSDQQRTKMLEKQKYNRIYDYCVDYKDNKKPGPECSLPQY >SECCE3Rv1G0187470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:700915532:700924189:1 gene:SECCE3Rv1G0187470 transcript:SECCE3Rv1G0187470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/TrEMBL;Acc:A0A178VUM2] MASWLKVAEDLLEVVDRRAKSVATELSDEQTAPQPSGSSGQEGQAKRGKPREKGPLKLTTGDAGNRTAAQKERKNRQPPRERIKIEKIKPSARADSSNDDTIAIASASEPEVTSVDVKGANAESTSDKVENTPVDLKHDAAANAVDNAVEVQSMEKTPEDTGPITDHVADSGHLESASESSAPSVPDEKNEPSSSNQSTEIGLAVSLEDKDTAVAVIQERTISGVPDTQGSGKSQDLKKDNLLDSPEITQNQQEHKSDSVPLKDQDQLEEAHGLLKSAAKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEREKCSSHEAHIKQLQQELSVSRVQGSRVESNMVDALAAKNTEIESLAKSLDSWKKKAAASEEMLASLQEDMDGLKRNRELTETRIIQALREELSTVERRAEEERIAHNATKMAAVDREVELEHRAVEASNALARIQRAADQSSSRAMEYEHKVAVLEVECASLHQELQEMEARNRRAQKKPSEDANQALQIQAWQEEVERARQSQREAESKILSLEAELQKMRVEMAGMRRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASEKGALEFQLEKSLKQFHEVQVEAERSRVSRRSASSWEEDTDINALEPLPLHHRHMATANHQLQKAAKFLDSGAVRATRFLWRHPVARVFVHLFLMYLMQRLQDFAAEETVKSSMGDLANVKLP >SECCE7Rv1G0517070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852134268:852135266:1 gene:SECCE7Rv1G0517070 transcript:SECCE7Rv1G0517070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLLAYKGRLGDYYCMAAKSAGKPCPDYDRMHEGFKLAYTEMARKYPCFGFAAKIPTIEWWRICVKDSFVKAGYDYDDETFEKVFKRIYSAFGSSAPYSVFPDAQPFMRGLREKGITVGIVSNAEYRYKEVILPALGLNQGSEWDFGVFSGIVGVEKPDPKIYKIALEMAGNVAPEEALHIGDSYRKDYVPARSIGMHALLLDRFKTAEAESWRKSGAPVLPDLEAAQAWLTKNPTEEPSEEPLGAALLRRMAEKL >SECCE6Rv1G0384000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:59303207:59305002:1 gene:SECCE6Rv1G0384000 transcript:SECCE6Rv1G0384000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGILAALYSCLPETPSSPAVSLPAVTSDDGGKDRISALPDDILRSVVSRLPVKDAARTAALSPRWRGLWHSTPLILQDSHLLIRPAGQRGGFDSSALADAISRVLASHPGPFHWVHISSNFMADQEEALANWLRLLVAKGVETLVLVNRPWPLDVGLPSSILRCASLRRLYLGVWDFPDTSRFNAPPRGPGVFPHLQELGICHTIMQERDLEYLLACSPELKIFALILSYAAPSRVPVSSSSLCCVLVWLSMPCEVDLVAAPRLQRLILQSIGTRHTTKVKIGHAPELTVLGYLETANHVLQIGNTIINAGVTKVSPNAVVPSVKVLALKVDFGVAEEVKTLLSFLRCFPQVETLHVMSSSSENEEDEDEQDEKDAGDASGEQLVSPTFWQEVGPIGCVESQVKKVVLDKFTVGANELGFLKFVVGRAQVLKRVVLVLDPVMSPTAAKEALSKLATQLPSAKCANENVEFMGIPRPMAAWSYQIASDLSLSDPFPAEGNTLMQLPKRV >SECCE2Rv1G0131050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:882367783:882368172:1 gene:SECCE2Rv1G0131050 transcript:SECCE2Rv1G0131050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGTTAILALLLAAATLSSAAVATAGGGPRRVLWTKSLPADPFCPWEAVKFGACAAVLGLAAAQAGAQLGSECCQLVGGLAAAEAAACLCVAAKEGVLGLVSAEWSVGVELLASACKTEIPDGFKCV >SECCE5Rv1G0343120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:635260870:635264423:1 gene:SECCE5Rv1G0343120 transcript:SECCE5Rv1G0343120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLVVLSLGFLVQLAGCSPPPDPVMCTHGTSNCTITNTYGSFTDRTICRAAKVTYPRTEQELVAAVAAVASTKQKVRVATKHSHSIPKLATARLNRTVRVDAAKQLMTVESGMLLRDLVEAAAAAGLSLPHSPYWLGLTIGGLLSTGAHGSSLWGKGGAAHEYVVGLRIVTPAPASQGFAVVRELGAGHPDLDAAKVSLGVLGVISHVTLAMQPLFKRSVTMVKRDDSDFQEQVARWGHLHEYGDITWLPHEDKVIYRQDDRVDVSTPGNGLYDLPLFRISPTRELIDARAAEERLQENGTDTARCEAAQQQAIASEGLNIFTNDGVSFTGYPVVGYQHRIQASGSCLSSPQDGLLTSCAWDPRIRGSFFYNSGFSVPLSKAPAFVADMQRLRNLNPDLFCSSVDARIGVLLRYVKASSAYLGKPEDSIGVDIIYYRSHTEGMPRAHADVVDEIEQMALHKYGGIPHWGKSRDFAFDGAITKYPKVHEFLRVKDRYDPEGLFSNEWTDKVLGINGSPNTINKRCAIEGLCICSNNSHCAPEQGYFCRAGKVFKEARVCSFFKEY >SECCE5Rv1G0353010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:713064061:713066559:1 gene:SECCE5Rv1G0353010 transcript:SECCE5Rv1G0353010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAAGLACRLRSGVLSPLEEGLAAILITSLQCFAQVRRLLPPLLRRHRMALLTAPAVFAALLLFWAVLGGTDADYVLYKDAAKPVEDRVADLLGRMTLAEKIGQMTQIERLVATPDVLRDNFIGSLLSGGGSVPRKGATAQEWQDMVDGFQRACMSTRLGIPMIYGIDAVHGQNNVYGATIFPHNVGLGATRDPYLVKRIGEATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDRRIVQSMTELIPGLQGDVPKNFTSGMPFVAGKNKVAACAKHFVGDGGTVDGINENNTIINREGLMNIHMPAYKNAMDKGVSTVMISYSSWNGVKMHANQDLVTGYLKDTLKFQGFVISDWEGIDRITTPAGSDYSYSVKASILAGLDMIMVPNNYQQFISILTGHVNSGVISMKRIDDAVTRILRVKFTMGLFENPYADPAMAEQLGKQEHRDLAREAARKSLVLLKNGKTPGDAPLLPLPKKAAKILVAGSHADNLGYQCGGWTIEWQGDTGRTTVGTTILDAVKTAVDPSTVVVFAENPDAEFVKGGGFSYAIVAVGEHPYTETKGDNLNLTIPEPGLSTVQAVCGAVRCATVLISGRPVVVQPLLAASDALVAAWLPGSEGQGVTDALFGDYGFTGKLPRNWFKSVDQLPMNVGDTHYDPLFPLGFGLTTKGTKQY >SECCE6Rv1G0427590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720051010:720052134:1 gene:SECCE6Rv1G0427590 transcript:SECCE6Rv1G0427590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYSSISILPDDLIWEISQCFLATNDLDAYVDFRGACRDWRRAIPNPRADAKDPKFIPTKWIIARHSLKEDGKVTFINLSTGRSICKDISLLLNRYLFIGVAAGGLLVLRENRHPYKTRVVNPFTGAMVHFKAGIPWEQVDAVAVTLSPMRVFATSLQRNYMTWIDETTEESASGWGFHDKCFGASQGCLVDMTLFAGDLYTTDVDGSIISASDAAAAEKDHRQQLPAILGPEAYAQECHGNYFYLVESKGELLLVKECRRPLYKGGPLVYKVDAVNKLLVPVWSLGSQALFLSNYRCLSVDASKLNTVEEGCIYYADYSMIIAYDYKIFPNDGWVEKQPERVVELDPNHEDYDRPFSLAQILVDYCRTAEHE >SECCE4Rv1G0285720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:846066556:846067269:1 gene:SECCE4Rv1G0285720 transcript:SECCE4Rv1G0285720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDGHGPRASTNGPPPLSCVGVVVVPDGPPFHAKQWTEVPRAGQAGDPSSYAVAAKLLVAAAGALAGVLLALVALHAYGGARRRRSRDRLHLRHSLPSISGGAVHGGVAVAPSPRGLDPAVLRALPVVAAGDGAGDCAVCLAGLERGEEARALPRCGHRFHVGCIDAWFRGNSTCPLCRADVEAPDDDAEAEVRVDVETGDAAVKGGAPATRRLSSGTDLDKTRRAFASTRSASF >SECCE1Rv1G0048000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631270077:631271339:-1 gene:SECCE1Rv1G0048000 transcript:SECCE1Rv1G0048000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTQSKNSSQDLLEAQVDLWHHALGFVKSMALKCAMELQIPNTIQHHGGAMTPSELAAKIGLHPSKLPRLRRLMRVLTVSGIFAVHESTMAHKEAAYVLTPTTCLLISDEVKLNLFPILSLMLDSTILAPFFGLYSWFLDEHSTSLFKKAHGLTFWEMADKNDSYNQSINNAMVSDSNFLMDIILRECGDIFLGINSLIDVAGGHGGAASAIAKVFPQMKCTVLDLPHVVEEAPTDDHVSFISGDMFKYIPPADALFLKWVFHDWGDEDCVKILKKCKEAIPPREAGGKVIIVDMVVGSGPNDIVTRETQVFFDLFIMFLEGIEREEFEWKNIFMQAGFSEYKIISVLGVRSVIELYP >SECCE6Rv1G0447330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850160526:850161779:-1 gene:SECCE6Rv1G0447330 transcript:SECCE6Rv1G0447330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTAAMLLPDDIILEILLRVSTDSAALFRCAATSKRWRALIADRPFLQSCWTANARHPSLRLGFLIQQQRNKDDINSPYPPLFVPPLGSMLGARPRLLTDILSCVPDGLLDGAKPLAERHGLLVVRLFRGVLGDSVRIAVCDLRVGACNVLPHLACEASFITCTIVTGEDCPSLQGKRPSSSGDYSAFFKVLAHVVSGHKRDANEECNLYTFSSAEPTWGAPTKCFEKMSGICRGGFSLLQWKYDNAVVSQGVAHWLAWYSSEVRASAKYYSLDVSAETDLVSLTEISVPFYKLPDNSSMLPMLSVAADGSLAMFCAGKEFGIWYIDMWTRGNDTDSGVWLRTKAVKLIVEIHPYARMWREEKGGTLLIVDDSWVVRWVDIENLRMEVEHFSGGIGLEAMPMHVDWPVLFLSLLG >SECCE3Rv1G0212440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:955726905:955728119:1 gene:SECCE3Rv1G0212440 transcript:SECCE3Rv1G0212440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELKEEIYMDQPDGFVVSGQEGKVCKLLKSLYVLKQAPKEWHEKFERTLTDAGFVVNDGDKCVYYRYGGGKGVILCLYVDDILIFGTKLDLIKEVKDFLSRCFEMKDLGVADVILNIKLLRDENGGIKLLQSHYVEKVLSRFGYSDCTPSPTPYDASVLLRKNRRIARDQLRYSQIIGSLMYLASATRPDISFAVSKLSRFVSKPGDDHWHALERVMRYLKGTASYGIHYTGYPRVLEGYSDSNWISDADEIKATSGYVYTLGGGAVSWKDVKRRLKSVRKLRNSGVITLDYIQTSKNLADPFTKGLSRNVIDNASMEMGLRPTA >SECCE6Rv1G0380030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:19078768:19079485:1 gene:SECCE6Rv1G0380030 transcript:SECCE6Rv1G0380030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSRRLKPPTFCQTLDRDIWIDGWIYLSIQISFAISPKSLIWPGNKALLWAQEAKGMSSAASPPHFFISPCPFRMRFARHWRFALLLFLHWRVRMDFAVLSQRKWKGLYHIEMSIRFPPQMRWGISHLCPFIFLKGIEARPGSRRSNNRRGAPQYTIARSNWESYYT >SECCE5Rv1G0345990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:659861431:659862417:1 gene:SECCE5Rv1G0345990 transcript:SECCE5Rv1G0345990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRGPPSSAPVATTTAITAIGDDLLCEIFLRLPSLPSLVRAALACRAFLHAVRSSPSFRRRFRAVHPLQILVFFNAGIHSLIPLDGRSDHDLAAAVHGSDFRFIRLPKGRDDSRWKILNGCRCRCGYVFLCNENTDQIAAYNPLKRALYIFPYPPQETCDDPHCLDFSIIFSEDDQRSFRVVSVQPKLARFSVFSSDSREWQSISWVDTSTPQPVDNRGDNSVLPSYTDTVMMDEFDRLAYWKDKNTGYIVVLNTATLQLSRMDLPHPLKDMDSTQFELGRTNAGKLCMVCVDGFRAENTMISVWIWGADGDGVDKWMQHKVFPLN >SECCE6Rv1G0378180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:6782003:6783988:1 gene:SECCE6Rv1G0378180 transcript:SECCE6Rv1G0378180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTFQKLLQPTRGPHRASTPLRRFCGRAPAPPSPSGGDIFQWNSAITAHLRAGRVAAARRLFDEMPERNVFTWNCMISGFAQNRMLADARRAFDTMPTRNSVSWAALLTGYARSGRVAEARELFDRMPDRNVVSWNAMMSGYARNGMVERARELFDAMPSRDDVSWLTMISGYIRRRRVREARELFDRAPSPSTSVCNALLSGYVALGCLKDAEELFGRMRRRDPVSWNVMITGYARAGRMQVAQSLFDEMPQKDTISRTAIMRGYLQNGDVDASWKVFQEMPDRDAVAWNTMIGGFVQSERVDDALRLFAEMPDRDLVSWNTILQGYVQQGDMASANNWFRRMPEKDETSWNTLISGYKDEGALALLSEMIRGGLRPDQATLSVVISICASLVALGCGKMVHLCAVKTGFERDALVMSSLISMYSKCGLIAEASQVFELMLQRDTVTWNAMIATYAYHGMAVEALKLFDKMTEDGFRPDHATFLSVLSSCAHKGYLYEGCRYFRSMQEDWNLIPRSDHYSCMVDLLGRSGFVHQAYAFTRKIPSDLQVNAWETLFSACNAHGDVQLGEVIAKNVLQAQPSDGGMYTLLANLYAAKEMWSSAANVRGFMKEQGLKKETGCSWVELKGEVVSFSSNDNTHPLIDQICQEVDNLSVMIEGAT >SECCE7Rv1G0479620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:255931751:255939041:-1 gene:SECCE7Rv1G0479620 transcript:SECCE7Rv1G0479620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKRADGAEDSGDAAAKKARVGESAAEAGSGAMVAGEPVGGGSNGNGVAEIDEDLHSRQLAVYGRETMRLLFASNVLVSGLNGLGAETAKNLALAGVKSVTLHDVENVDMWDLSGNFFLSEDDIGKNRAAACVEKLQELNNAVLVSALTEELTTEHLSKFQAVVFTNLSLDKAVEFNDYCRSHQPPIPFIKTEVCGLFGSVFCDFGPEFTVLDVDGEDPHTGIIASISNDNPAMVSCVDDERLEFQDGDLVVFTEVSGMTELNDGKPRKIVDARPFSFCIEEDTRNFGIYAKGGIVTQVKEPMILEFKSLRECIKEPGNFLLSDFSKYQRPPLLHFAFLALDNFRQKFGRFPVAGCDQDARKFVEFTASINEAAIDYKMDELDEKLLQHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPQYQFFYFDSLESLPTYALDPKDLKPLNSRYDAQISVFGSKLQKKMRDSNIFVVGSGALGCEFLKNFALMGVSCGRKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAATAATAINSSLHIDALQNRACPETEHVFNDAFWEGLDAVINALDNVNARMYMDMRCLYFQKPLLESGTLGPKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFMSNPAEYAAAMRKAGDAQARELLERVRECLDKERCDKFEDCISWARLKFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPRPVQFSAVDSSHIQFILAASILRAVSFGIPIPDWAKNMGNLADIVSKVAVPEFEPKSGVKIETDEKATNLSSASVDDAAVIEDLLTKVEACAKKLPSGFQMKPIQFEKDDDTNFHMDLIAGLANMRARNYGIQEVDKLKAKFIAGRIIPAIATTTAMATGLVCLELYKVLAGDHPVEDYRNTFANLALPMFSMAEPVPPKEMTHQDMGWTVWDRWSIKGNITVAELLKWLSDKGLTAYSVSCGTSLLYNTMFPRHKDRLKRKMVDVAQEVAKVDIPAYRKHFDVVVACEDDDGNDIDIPLISIYFR >SECCE5Rv1G0308710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:136851967:136856113:1 gene:SECCE5Rv1G0308710 transcript:SECCE5Rv1G0308710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAAARVTSFAANFTSSTAPCSGGRLLRPFRGNPAPRRAVASMAVSAPKSPAAASFLERRESERALHFVKYQGLGNDFIMVDNRDSAVPKVTPEEAVKLCDRNFGIGADGVIFVMPGANGADYTMRIFNSDGSEPEMCGNGVRCFARFIAEVENLQGTHSFKIHTGAGLIIPEIQDDGKVKVDMGQPILNGPDVPTKLPSTKNEAVVKAELAIDGLSWHVTCVSMGNPHCITFGSKELKVLHVDDLKLSDIGPKFEHHEMFPARTNTEFVEVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERKCVVDLPGGPLEIEWREDNNHVYMTGPAEAVFYGSVVH >SECCE3Rv1G0180560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:583669971:583675745:1 gene:SECCE3Rv1G0180560 transcript:SECCE3Rv1G0180560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like modifier-activating enzyme atg7 [Source:Projected from Arabidopsis thaliana (AT5G45900) UniProtKB/Swiss-Prot;Acc:Q94CD5] MAAKAEVRPRPLELEPIASCVEMAFGEALRRLKLDVLGTDDSPIPITGYYTPCTHPKVSGQLRLCPESLVPPSANSFGSRNYCPVPGTLINTNNMRGFQNLDVEYLLREEAKKILHDIMYGKIEEDPSLLLRFLVMSFADLKNWKIYYSVAFPAFVFKSEMTLLSLHSASLVLSQAEAKSLSNSLKEWRSSNETTVFPFFLVDMSLGSSIVIRQLKDWKDCQDSGQKLLFGFYDNGYRQDYPGWALRNYIAFLSLRWKMEKVQFLCYRERGSEPDLEKSLIGEASFPPPHGWDDSDYVPEAIGWEGEKPGDGRKEKKLKVINLESMSPERRDEEQQLMHLKLMGWRHFPVDLEKLSSVQCLLLGAGTLGCEVSRLLMTWGVRKLTVVDGGHVSMPDILKQSLYVDKDCGVPRATAIVPHLKERCPAVDVEAIQMEIPAPGNPVSPSVLDDCERLQTLVASSDVVFLLTDTWESRWFPTLLCANENKMAITAALGYDSYLVMRHGAGPGTRSGGMDDVIAQIQNLSAEDALGRQRLGCCFCSDTTSLVNSVSEETVALPGLTSIVSGKAVELFARMLHHPEGIHAPGDMAGMDTEHQLGLLPHQMQGSLPRCILSTAISNSSNDCTACSNIVLSEYRREGLNFVMQAINQPTYLKDLTGISNLIKSDTCLKLPASFPVNSGKLSSARCLLLGAGTLGCDVARLLMDYGVRNLTIVDSGCVVVSNLARQSLYTTIDRKTPKATAILEHLKERCSSVEVEGIQMEIPMPGHPVSSKEAAGVLKACKRLQELVATHDAVFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLAMRHGAGPGTNSEGSDMVAAMNKLSAEDVLGRQRLGCYFCNDVIAPVDSVSNRTLDQQCTVTRPGLASIASGHAADLFTRLLNHPDGIHAPGDIAGTSSERPSGLLPHQMRGSLSQYSLLTLMGYSSSSCIACSNAVLREYRSRGLDFVMQVINEPTYLEDLTGLTELMKSADYSRVEWVDEVDDDEEFAHM >SECCE7Rv1G0508010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:767243012:767246117:-1 gene:SECCE7Rv1G0508010 transcript:SECCE7Rv1G0508010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLEEDQNVPYAHPPGHPVLDRADNVRFRWHASDRAPLHENQQQVLLEVHDASSGSDRLGLDLVAVLDVSKSMRKKDRLGKLKTAMHFVINKLRPNDRLSIIKFSEEAETLCSLLSVTPSNKARLNGIVDRLQVINPTNIRDGLEAGLAVLARRRIAGGRVASIFLLSDGDENIGHATSVDVRDVPVYTFGFGTDFDPKVLDEIARKSKGGTFNFVDDGENMTEPFSQILGGLLSIVVQDLKLILSPQPGDSVLEDVDSRLYQQTWDDNTGSLTVHFGDLFAGEIRRVIVHIRLPAVGQKKNATAIIAQCSYSVRGQPVFSRRLSVPMRRTPTGSADPSRLMPVALRSELAHRRHVSFLEVVRTMADNGDLQSADGKLVEARDDLAHEQSNPMIDFLRATLDRLRELIRLPPDHHAGFRAYLRSLKTSHDRERVAATGDVKGVRLFETRHTSAFRGQAKRFEKQATMGQRPPLHRDLNFNEEDDTSRLREDDRMRMERPVMVEARNNRPWKTWWGDDDKHRRSSARSTSTCAWVLCILCVLLIIGAIVLGVLLFAVYNHKLPYLAVADAQLGALQYAGQDGTVQNLQLSIAFLAINKDSKADASFSRVNLALQLHGVDMLLFRAPPFVVPPDSSLPLQYNDVVSTGRRLDKAGMRSMDKSLNAGVVPFDLHGKVRTRGNTGIFQNTQFWTRFSCRLRFFFPGNGTVIPADRRSCRSRWP >SECCEUnv1G0532790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:24009472:24009726:1 gene:SECCEUnv1G0532790 transcript:SECCEUnv1G0532790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMGFSYAQVHVKQERLRRRISDSEKAAASTAMGKSMAGEEEKQKESFTGENEKKEACNSWTTGMVHPWGSSPAAAAPKGGHR >SECCE3Rv1G0212040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954219487:954219801:1 gene:SECCE3Rv1G0212040 transcript:SECCE3Rv1G0212040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVRRLASQRTVVIFAASNCCMCHAVKTLFTEMGVSWTVHELDKDPRGKDVERALAGMVGRSPPVPAVFIGGALVGPTDKVMSLHLGGQLVPLLRQAGALWL >SECCE7Rv1G0491840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:540174144:540175556:1 gene:SECCE7Rv1G0491840 transcript:SECCE7Rv1G0491840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIYIVYYSTYGHVAKLADEILKGVSSVQGVDVKLWQVPETLSDEALAKMGAPAKRDDVPVISPAELADADGLIFGFPTRFGMMPTQFKAFMDGTSELWCPQRLAGKPAALFFSSGWQGGGQETTALTAITQLVHHGMLFVPVGYTFGAGMFEMGQVKGGSPYGSGTIAGDGSRVPTELELQQAFHQGKYFAGIAKKLKGSP >SECCE5Rv1G0341470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:624964113:624965015:1 gene:SECCE5Rv1G0341470 transcript:SECCE5Rv1G0341470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARRSPASLLLVIAAVLSVQSLLPAPAAATGKTGQLTVFWGRNKDEGSLREACDAGVYTAVIMSFLNVYGHGKYRLDLSGHPLAGIGGDIRHCQSVGVTVSLSIGGFGGDYALPTNRSAHDLADHLWFSYLGGRRRGVRRPFGRARLDGVDFFLERGGPGEHYDALARDLARRKARGGKAPRLTATPRYAFPDRLAAPALSTGVFERIHVRFYDYPDCTAFIEDAWGRWAAAYPGSKIHLGLTASEKASCYLHPKALWEITMPIVQKAANYGGVMLWDRYYDVANVQDHYSSYIKNWA >SECCE2Rv1G0088920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:250384922:250386280:-1 gene:SECCE2Rv1G0088920 transcript:SECCE2Rv1G0088920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQSDTSSCSDDSDDSTTIDPTGIYTMEDLISEQSIFHNFLEQINVKIQAKIKPQQAGASRRGSRKYIVRNREEGHEQLVADYFAEHPIYTDNQFRTSTVMNCLEQFVDGVINMFGEEYLRSPTSVDMQRLLQMGETRGFPGMLGSIGCMHWEWKKCPVKWVRHLTHSDHGVVNIILEAVASQDLWIWHAFFGVVGSHSDITMLNQSHLFTDVLKGQGPHVQFSINRRQYSMGYYLADGTYPEWPVFIKAMPLPRTEKDRLFAQYQKGARNDVQRAFGLLESRFPIVRGPTKFFQKATLGKIMQACIILHNMTLEDEQDMASACFDSNEISEKPVAPLSNIKYGPTDHFADLLQRNASICTKSTDNQLRRDLIEHVWQRFGPFGDK >SECCE4Rv1G0222380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:56581296:56584561:1 gene:SECCE4Rv1G0222380 transcript:SECCE4Rv1G0222380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAEEQAAAPVAAEFEDAAENGTEEEGGSAPAAEEDAAAAAAAAYSWPELRFDLPPRRRYHFADQFRPPCSSSAGNFLKGVKWSPDGSSFLTSSDDNSLRMFYLPEDAYSAAAEHTSEAAVGGQDSYGASLQVNEGEPVYDFCWYPYMSVSDPATCVFASTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSISFNSTGSKLFAGYNKAIRVFDVHRPGRDFEQYSLLKGGEGPTGIISSISFSPQNGMLAVGSYSQTTAVYAEGNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSCDTTNQRVQFDIEPCGKHLATGGQDGMVHIYDLQGGQWVTGFQAAADTVNGFSFHPYLPLATTSSGHRRFGMQDEFEEESSLAGDENCCSVWKFSCSQES >SECCE1Rv1G0017390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:153640089:153641327:-1 gene:SECCE1Rv1G0017390 transcript:SECCE1Rv1G0017390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 7 [Source:Projected from Arabidopsis thaliana (AT5G25550) UniProtKB/Swiss-Prot;Acc:Q4PSE6] MRTVAMLLLLCFFAFAGSGGGGARAAAVWAEGVRETATVEVDPAWRFPSPRLRDAYVALQTWKQQAIFSDPKNLTGDWVGPGVCSYTGVFCAPAPSSGELAVAGVDLNHGDIAGYLPSELGLLCDLALLHLNSNRFCGLVPDTFRRLVLLHELDLSNNRFVGAFPTVVLDLPSLRFLDLRFNDFEGGVPRELFDRPFDAIFLNHNRLRFQLPDNFGNSPVSVIVLANNHFGGCLPASLGNMSDTLNEILLINNGLSSCLPPEVGMLREVTVFDVSFNALAGPLPPEVAGMQKVEQLDIAHNLLSGTVPEAVCDLPRLKNFTFSYNFFTGEPPSCARVVPADGDRRNCLPNRPAQRMPQQCAAFYARPHVDCAAFQCKPFVPPRPPPPPAYPGPLPPVYPMPYASPPPPPRYR >SECCE1Rv1G0062940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721719986:721720897:1 gene:SECCE1Rv1G0062940 transcript:SECCE1Rv1G0062940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGTKQQQPTATPAATVVDPKFEWAEKEGSYVLRLTLTGFRKDDFRVQVDSTGKLTVRGATRPSAGGPGSALYRVFQLPATASLEDIAGRFEAGVLTLTVPKLASSGAGVAKEEGLSDTVQKKDATDADGAVKESTLSRTSRQVAERVRRMEEEANRRKQEEEKKPAPAAKDQNQRPKAPQAAAAAAATPEKPAIGDKAKAAADQESLADRVRMCGDGEEVRAKAAATPTTVDAKAEHEKKAATSTCTAWKERIVGELKGLTDMKWADNTLEMARKNKEVVAVGVAAFSLGFFVSQRLFGK >SECCE4Rv1G0228880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:140150123:140150827:-1 gene:SECCE4Rv1G0228880 transcript:SECCE4Rv1G0228880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSASLPYSSESKVEVELQGLKSCISSPSATIDTMCGGYARLGDIYKCIEEIMGLPSNQIGLSFLQNKKMVEEELERSLVLIDLCNSMQVNLAELKMSTQELQLVLKRGDDASVQLKVESFIRLAKQAQKPFKKIASSKASGDDCRLVRLLAEAREMSVSLLESTSQLLPKQFATTKGSKWSLVQKRKVVCEEEQLQALEQSMGDLENGAELLFRRLIHSRVSLLNILSS >SECCE7Rv1G0471990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:135197509:135200872:1 gene:SECCE7Rv1G0471990 transcript:SECCE7Rv1G0471990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLGFLPEVQSADRRIPFREKLIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWLRAILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIGLFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSRNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYKKFSGNFLVNLLGIWKESEYSGHSIPVGGLAYYVTAPSSLADVVANPFHALFYVVFMLSACALFSKTWIEVSGSSARDVARQLKEQQMVMPGHRESNLERELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >SECCE6Rv1G0377860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3804922:3807651:1 gene:SECCE6Rv1G0377860 transcript:SECCE6Rv1G0377860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLAPLLPSRSRRRRLLAILSNTLSASTASPHRAPPPPPPPLPQLSPLLPQTAESYASISEAASDIAVSFRDWFLAPRAAEPLAALDSIYEALASDDAAALEALPLSEELVLSVLRHRPRRLPDGDAVLLLRLKFFDWSGRRPHYLHTRAVYHAVFRLLSRARRASVVLDWLRLFTTTSASTGQPRFHQTLVVGYAVAGDPQRGLSVLGRMRFRGLDLDAVSSRILLNSLVDASFHDLADSFARNLAASPVATCIRIKSLCRRARFSDATALLDTLPFAEASRGPAAGSIVTEFCRRGRFDEAAQIVGKFSSCDVYGAWIHGLIEAGMLDTTLRFLSDKKEAEGYIPDAQRYDKLVHRLLRKNRLGEVYDLLVEMMEEGIAPGRSTMNAALCFFCKAGLVEVAMHLYRSRMELGINPNKDVYNNLIRALCRGGATEEACLVLEQSMEDGYFPGRQTFAMFANVLCQEGKLDKVRELLDRALKQEAWPMDNVLAKYLVALCKSGNVDEACTVPQIASSKNPAGLYRYESTYKSLIRALILIRRVDVLPRLILEMQDMGHIPTRSLYQSVVCELCELSRYGEVLELLENQLRRSELQPRVCYNYFISGAGHAKKADVAREVYNRMESAGIEPSVESNILLLMSYLRSKRIGDALTFFNFIHEKKPPGTKMYNIFISGLCEAQKPEQAMVFWREARDKGLIPSINCYEQLVLLLSSVQDYDSVVKIIDDFRETGRPVSAFLCNVLLLHTLRGSDLLKAWARSEDKSGSLEARAGEIKGRGAGRFLIGQLIELFASGIRNRSDLEILEEGLEQFFPVDIYTYNMLLRGLSMAGRMDSACNMFERLCQRGYVPNRYTFDIMVHGFCKHGSRSEAERWMEGMYRNGFYPTWYTMRLYNNTSLRRHDQKAISFV >SECCEUnv1G0527630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1479089:1482093:1 gene:SECCEUnv1G0527630 transcript:SECCEUnv1G0527630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRHKESASSSDSTFYQLRPDCAHNVPDTKFKIKIGKTLSVRKWHAAFTHHGSLHIASVLNRIQSGGVHPAIRGEVWEFLLGCFHPESTFDERDHIRQARRIQYATWKEQCRHMDPHVGSGKIITAPIITEDGVPIKDPLVLLEATSPDQQGSSSSRNGVLTDKHTIEWKLTLHQIGLDVLRTDRSMLFYDKKENLSKLWDILAVYAWIDKEVGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLRGNFRCTQQSVGVENQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDMFSTYEESGPATDRSAQGYKPRVKSTRQFGKYERANMKSATNGVDGPVPISVFLVASVLKENSQKLLQEARGLDDIIRILNNVNGNLDAKKACAGALKLHAKYLRKMQGKKA >SECCEUnv1G0558470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:332909453:332909887:1 gene:SECCEUnv1G0558470 transcript:SECCEUnv1G0558470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGELPDTLRLNEGVDVDGPEEGEGDSDYIQFEDEDIDKI >SECCE2Rv1G0111400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:700636353:700636586:-1 gene:SECCE2Rv1G0111400 transcript:SECCE2Rv1G0111400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLQAHREIEDATTHGRLRDDLVEHHWQLDGWRIGP >SECCE6Rv1G0436430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:777441748:777442863:1 gene:SECCE6Rv1G0436430 transcript:SECCE6Rv1G0436430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MITOFERRINLIKE 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42130) UniProtKB/Swiss-Prot;Acc:Q9FHX2] MPPSSRPREPLSLALPGAGRSAQDFPSLFSDLTSLLLHHAPAAAAPRGRAPVFSSSTLSIPAPTPAPSPAVQAPTPLERAAIGACAGAAAGAFTYAALLPLDAVKTRLQAGAASRGSWQVFADILRADGPLGLYRGLSAVILGSATSSAIYFGTCELAKSLLRPHLPPFLVPPLAGASGNISSSAIMVPKELITQRLQSGAATGRSWQVLLQILRTDGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFALSKSNGDNLTPGESVLCGALAGAISAGLTTPLDVVKTRLMTRVGAAQGSRTVVGTMQEVIAEEGLMGLSRGIGPRVLHSACFAAIGYCAFETARLMILKSYLESCERKAAAETKTGVAAA >SECCE7Rv1G0524090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884482038:884483570:-1 gene:SECCE7Rv1G0524090 transcript:SECCE7Rv1G0524090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDQHLPPSSIAFLLTMEAAISVVAAELVSRLISFLKNKYQSSLNHAQSEEKMVARLQHLLIRVSVVVEEADGRYITNSGMLVQLKMLSEAMYKGYRVLDTLRYKTLKDTADFDKVSINDSSSSSLHLAFPFKRSRTYSQKDDKAMRLELDGALESLEIAVAHVAEFVVLLGGCERMSRRLYDVYLYTNNFMFGRHAQKQKLLTFLLEHNNPPGDHALAVLPIIGGIGVGKKTLVAHVCGDERVRSHFSSILHLNGDNLMMILDHGRTMFGMMLVVIEFSCDVGDDYWKNFHSFLIRISRGSKIIIISKLQKLARFGSVQPIFLSVLSYDELRYLFKTLAFGSVDPTEHPRLVQIADGFVKELHTMQGALVAANMYADVLRRNLDVQFWRSIFDRGIRYVTRNLFRYGVHPSTLLEQGHPVDITDFAFHPLSMIRYTINASIKEESPSVTLRELLADPSVRPKEDFILISWESKIPPRNTFARFVTSYGDDATEGSASHRGWKRRGVPI >SECCE3Rv1G0197170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:816609665:816610756:1 gene:SECCE3Rv1G0197170 transcript:SECCE3Rv1G0197170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGPQIHDQPLQEEDYIDMELSSPAAAKVVTNTASLLCYTTAMAASPQQSREFEFHMSAPVDHWEPMASPADELFYKGKLLPLHLPPRIQMVERLLEIAADKGLLSASTAPATPYQSCHVSPANSCYASGELNAEQYFSECASASIAAAEVEAACEKKPWSKKLKFIRNLNLGLKLKASKAYLKTIFAAKAGDPDDKGGAPRANEFSTAQVKSWRKNPFGQIRSNRYTTSPISNSAALGGKLKEDEYGHRRSFSSVIIRYSSSNKTSSASSSSCSSSNSSSYIPSSNDSGLGPVLRRSSSASSEMDNPIQGAIAYCKKSQQLASVRKSASDAGFRFMSSSVSKIAAESEDAEDIFEICRQR >SECCE5Rv1G0338250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597041105:597041587:-1 gene:SECCE5Rv1G0338250 transcript:SECCE5Rv1G0338250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKAPELFKKAAAMCKSKTSGLLILASLQRRRMATGAVVSRKIDALIVANWERADRQKALALHTVEKRPVVIHESDLEANFACHLVMFGQENGHGGFPADDDDVLLDSCDQDDDDEPSVVDVIRSNREDEGMEFNMEEIDQAAEMFIRRFRQRLNEGS >SECCE1Rv1G0041210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:569418274:569422150:1 gene:SECCE1Rv1G0041210 transcript:SECCE1Rv1G0041210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAAVASPDPGPDGGPKHNSDAAEPAGDSDVTMTEASEEVAAAAEVKDEGAALALGGALTADAVRDAPDAGLEEAAAAAAAAAAVDPLYATEAAGMVVTEGCVDGDSINGLGTGSSDSKAGPLTGEPEWKPATAADVAGGSATSEQAEAESSELGEYHVDATPVAGNEGQDNGVAHFEEEIQNGLAISAQCARYSIPPLDKEGFRVSDLVWGKVKGHPWWPGEIFDPSNASELALKHQKKDSHLVAYFGDNTFAWCDESQLKPFVTDYSQMEKQSSLDSFVGSVNYALEELSRRILSGMSCACLPEELSDSGMTYMVENAGLKDGVTCSTVNRSEILASFSPESLLRYVRSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSAWVEDDTDTSPIEDVVVEEVVVSEVPPPEVKPKRGRGRPRKHKPEDKLELPGKQKPAVAVERQMIKDFDDKKRSLDSFEDLDARSPTGGSFKIGECIRRAASQLTGPSSIVKSQNENTAEAENAEFDVSSDDAANEVTVEKCAKRRRLHSHHLADPKELFSQLCSVAIEPTDGYNFSALTISYFSDFRNYVVSVATEASVIEKSASKRRKKKRVLPSPELETTDHMQDSYWSSLSLLDHPIHSLKRASTNMRPRRRRKSSHETDLSSVQDQQMAPKKQIQVTPKKQIQVTPKKQIQVAPKKQIQVTPKKQIQVIERSIIHVDEKIVDEWKPTALVLSFGRSTDLLSETDLIKKFGRYGPVKESEIEVQKSTNTIKIVFKKRADAERAFNAAGKYGTVGPSLRSFRLVDMPFSLEESEPNKSEACPGDHGPKLPGPSEPKVSLDAVKDNQVDKTEKAEAVQLSSGEQVETVKKTCQAEPVKQSSGAQVETIQKACQPEADAVKKTGKVAAELTGPSDQIATADVTEQTREIQAPKDAVEIPCDVKLEGEALTEESVDQVATEQVKVPSDAEPDTSKVQPETAVEQEGTAAEADTAQDPTEVLVQEAGLKKQIMEPKTAEIEPEQVSCPEQTVQVEDVIDASGGHTNVGRKTAEEISMTEVVVEGAVESKAVAPVEETVEDKATAGTLGEAIEGKSTAEAPGDEMENKDAAESLGGEVGVGEATGDSPDERVAVEALAGMSTQGETAAEAADAEITTTGKTAEGVGVKTRSKKATTAKKPVESATAEAPGKKATTAKKPMEDATVEALDEKAATAKKNAEGATVEAPDEKAMTAEEEA >SECCE5Rv1G0326810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:486354960:486356216:-1 gene:SECCE5Rv1G0326810 transcript:SECCE5Rv1G0326810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAHVNWAALPSDLLSCVGALLTVPGRICFRAVCRTWREAIPADQARAMPAPWVIIPRVEGCSDSFIVLSAPTMNSFRWTPPGGARARCVGSNGSWLAIVTVLDVRNLAISLVNPLTDARVELPLVTGWRWALDTEHDLEFELDVVVRKVAFSPSPSAQDYAVAYVSHCSEAVFCTRAGTDRWLRLPKLVGGDHEGIRRELDVAYHQGRFYYMATSGQVWVVDMAAPAPSPVPLDVLSPPFYGMVTCRGYHLAFSGDGALHVVWSRSEGDGYPGSCNLRDMAVTRYSPDASGEEQFTPARSIVGRAFLIGDRNQSMSVPLDNNPWLRPNSVYFTNIPLCDRFAIGCLGRRGLWALDVVTGQITWPRASSGMVGTPLESEWMAWPRARREMFDKQLGSELDVDWAKSLWFMPCLTQPR >SECCE6Rv1G0435660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772569486:772570052:1 gene:SECCE6Rv1G0435660 transcript:SECCE6Rv1G0435660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSSMLGCALLLLVLSSATDVHGGPSSTAASLSPLDDLCRSLGSWYVTPSLCVSTLCVDPSCRSARGLPDLAVLATRLAVANATVAKASIESALSHAKDAKARKVMRSCLQLYVSGVVPPLQGAARSVAAGQYSGVSEKLKAAMDASSGCTRLAGHEVTLPKEDQDCYMMAYVVQAVVEWVQHVIG >SECCE7Rv1G0470450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:120537508:120538671:1 gene:SECCE7Rv1G0470450 transcript:SECCE7Rv1G0470450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSSSAGGSRPHRPTASSESGLPHAAAAEELSSYEAACRHDPEVRTFDSTLQRRTSRAISTLAVGVEVRSMSLDSLREVTGCLLDMNQEVVKVILDCKKDIWKSPELFDLVEDYFESSLHTLDFCTALDKCLKRARDSQLLLHVALQRFDDEDDNDGDAAAAAPTARYARTLHELRQFKAAGDPFTDEFFEAFQAVYRQQLAMLEKLQLRKHRLDKKIKTIKAWRRVSSVIFASTFAAVLICSVVAAAIAAPPVAAALAAAAAIPIGSMGKWIDSLLKGYQDAVRGQKEVVSAMQVGTFIAIKDLDNIRVLINRVEMEISSMIDCVDFAERDEEAVKFGVEEIKKKLEAFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRHPN >SECCE3Rv1G0149040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:21333571:21335318:-1 gene:SECCE3Rv1G0149040 transcript:SECCE3Rv1G0149040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHKRLHDGFEQDPDQPEKKRMERSVSFSTVIREAMVMKQVQNVFLVLEPLLRRVVQEEIQAGLVRSPRYFERSSPETPPAAERPAWRLAFLYPPALPIFTGSKIEGVNGEPLQVILVDADTGSPCGALPQFMRVELVPLLGEFPSDGREDWTAGEFARGVVKERAGKRPLLTGDVGLTMRDGRAVVSDLQFTDNSSWVRCRKFRIGARVVPGSYDGARVAEAMTEAFNVRDHRGELYRKHYPPALTDDVWRLEKIGKEGAFHRKLREQGVETVQEFVRMLTVKPDALRGIMGDGMTDRMWEVTTSHAKTCDAGDKVFAYAGQHGATVYVNSLCQLVRLEFAGVECAAQHLTRAQKAYVHQLYVEAFEQRHSLPEAEPLPAMPIHLHASSSSSNGLPMLQNASPVAPPPLPATPLWFQGNPELDLQIVEELSGGQGNFGFQMFQSNFG >SECCE5Rv1G0336120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:582454335:582459016:-1 gene:SECCE5Rv1G0336120 transcript:SECCE5Rv1G0336120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFPDEVVEHILGFVSSHRDRDAASLVCHAWYRIEGLTRRSVFISNCYAVRPERVHVRFPCLRSLTVKGKPRFADFNLVPAGWGATAEPWVDACARACPGLEELRLKRMVITDGCLNRVAHSFPNLRSLVLVSCEGFSTDGLAAIATNCRFLKELNLQGSQVEFRGRHWLSCFPKPSTSLESLNFACLDGAVSANALESLIARSPNLKSLRLNRAVPPAVLAKILTSAPKLVDLGTGLVAQSNNAGALPSLYSAIQQCTSLNSLSGFWDSPRWITPIIHYICKNLTCLNLSYAPMFRTVDLIGTIQQCQNLRHLWVLDHIGDAGLKVVASSCLELQELRVFPANANVLASTGVTEEGLVAVSSGCRKLNSVLYSCSRMTNSALITVAKNCSRITSFRLHICLHGSVDAVTGQPLDEGFGAIVRSCKGLRRLSMSGLLTDSVFLYIGMYAERLETLSVSFAGDSDDGMIYVLNGCKNLRKLEIRNCPFGNAALLAGRHRYEAMRSLWMSSCDITLGGCRSLAAAMPGLNVEVIGQADGGANDAKKVEKLYVYRTLAGPRGDAPGFVSAL >SECCE4Rv1G0216080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8781145:8783718:1 gene:SECCE4Rv1G0216080 transcript:SECCE4Rv1G0216080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICGEHGVDPTGQYTGTAPEQLERMDVYFNEAGGNRYVPRAVLMDLEPGTMDSLRSGPIGAIFRPDNFVYGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENSDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPVGLAMSSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEYDEEEPAADQA >SECCE4Rv1G0220890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:42538352:42538666:1 gene:SECCE4Rv1G0220890 transcript:SECCE4Rv1G0220890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANFFRFLKLVGVGFKARTEQGGRELFLKLGYSHEVHFTAPPAVRVFCFKPNIICCTGIDKHRVHHFAGAVRSSKPPEVYKGKGILYIDEVIKLKPGKKQQKK >SECCE3Rv1G0150080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30413028:30413731:1 gene:SECCE3Rv1G0150080 transcript:SECCE3Rv1G0150080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHIAPLLLVLSLAAGRLSAAVADGKLDTIRLPSHGLADELATASREIGGEKKRPWKCCDKRLCFRPGGKLICSCVDQVDHCEEACKDCQPVFGDPSKHICITPYAGDPGPKCTSVDGNSDMHEEKRPWKCCDEQQCRNTRHRTSSCICRDEVDQCDQACTRCEVSSTNPSKHICRNMYRGDPAPRCTNN >SECCE4Rv1G0225180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:89516112:89518709:1 gene:SECCE4Rv1G0225180 transcript:SECCE4Rv1G0225180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSSPTSSSPSVCSRSWSISEDSLRRYVSYASESCIQELLAASDSGGAGRGGAGSGGGGDEEDDGWQALAYCNGVEIAKRRSGTGQMFRSRWLLYGVSPEQFMAVANAVDAAKQWETDLVDATYIKELGDDLSIIHLKFGDTSKRPGGLFRRRDLVVYERRQTMDDGTLVVAVASLPKEIAAGLLPRDSKGRSSVGRGLLLQSGWVVEKLLDDDGVESCIVTYVVQLDPAAGWLPRCIVSRLDNKLVTIIAKLKKLAQTTTCPSAPPAARSCNSEEV >SECCE7Rv1G0526580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:896096846:896098009:-1 gene:SECCE7Rv1G0526580 transcript:SECCE7Rv1G0526580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFHRTAQEAATHGKVVPTLTPAKPCAPKRARICNTDLATAPKINPPKSELLVRPPEVSYSIRSSRCLHFLPTASESKVIFADHANRMLRFYTIDGCSYIDTMPSLHGYKHSPLAISVPPTNLHLRDGEDNSDLYIIDSVLHPDKAEVRPQFEALVWRGITTSLVSHRFWHCDILPLPPWITHHRNAFVYGHALVGDTICFSICGSEGDGTYCFHMATREWSKAGDWLMPFDGKADYVPELGLWFGVSENLPCVADLSNVVRGEEPPPDQMRIWAHDDMPEEWQPTRLFKPRVISLGSGRFMVVDFLDAMEFDKDSNEMVTAKQFALFTGMEVAYGNNGKSDDGKNNSSSNNNGSKGKGVIGGLRMIKHKSGRYMFNNQQRIEEVL >SECCE5Rv1G0351710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:701999372:702001281:1 gene:SECCE5Rv1G0351710 transcript:SECCE5Rv1G0351710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 21 [Source:Projected from Arabidopsis thaliana (AT2G30590) UniProtKB/Swiss-Prot;Acc:O04336] MDGMLESNREAVQSCHKVLDLLSNPHGQLVPHKDLVEATGAAVAKFGSLASKISSGNARQGHARFRQRIKKPMPLFDSNLFRDSPASAVAADAAAAPKTSNPGPSTSLQLFPRYQQMEASSSKDPVRIPPAHFPQTQRMVVENPSVGSNGPARGPPLQLVQPVSVAPPAGTPTPALPAAHLHFIQQQQSYQRFQLMHQMKLQSEMMKRGGHGDQGGSTGAGKGVNLKFDGSNCTGSSSRSFLTSLSMEGSMASMDGSRSSRPFQLVSGSQTSSTPELGLMQQRRRCAGKEDGSGRCATGSRCHCAKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEGDHNHNRAAAPQPA >SECCE4Rv1G0273070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:773835933:773836193:1 gene:SECCE4Rv1G0273070 transcript:SECCE4Rv1G0273070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAKEKVKDAASAAKAKAKITQAKVAEKTEAATARSHDERELAHERGKAKVAAAEAELYQAKVTHREEAMEHRLHKRGHKHGGGH >SECCE1Rv1G0040170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:556978169:556978414:1 gene:SECCE1Rv1G0040170 transcript:SECCE1Rv1G0040170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYRAVAQYRKEGQVSLADLLFDEQPSSSPTAASAYFRLPGDSGRHQLFSADSGAAGAARRSPAHRRRSMDHGSW >SECCE6Rv1G0441610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811588846:811591845:-1 gene:SECCE6Rv1G0441610 transcript:SECCE6Rv1G0441610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWIGPDTVEQAVQLIIPYLEDTSNTAHKAIYFDGWQGLAASAVLRAIAEHHPPSLVEKFDKIIHVDCSKWKSRRALQRLIAQELKLPQRVIDIFDRQDQEDDFRGVCESSRAEIQDVGAEINRALREHICLVFFHNGSGNTIDLNDFGIPQPLMDQWFAFGSKVLWTFRGRLRLNPGISEKVDNSHLFLYEDSLIKPWNYLLQNEAREIVGYMDKLGEAVEECCLYLLSLNSQGGNIMDYDWAPHASNYWVCDGIIQGGQGDEAWEVAAALHQHLNIEDYSSNALPYFGAQLKTPPKRWIFVKDMSVVHPESTSFFLGAAASGSDPPLLPNGMFHQSDKLRVLKLCHCSFNFSSPPFHSCRTLRFLGLDSCKDQQAEEPKKQDMITTDFVKSLWVIDICNTDWGLPASPEIIEKMAASIREVHIKKGRIWCHNFAWRQLQSLRKIRVIDPTSPWQTCKMDEFTDMMKLEFLDLSGNSTIQVLPSMSGATSLKTLVLDGCVGLEHVEGLPPSLESFSLDARPRKDDYKEAKISRISMAGCARLSDFTLRGSLPNLEELDLSSTRVKTLDLTTQVVQAPNLQQIILLECLQLHAIIWPEEGLPILTVLHIDSSASPAQTKPPEVYVTIMDIRFFQSLVLQSNAQFCWKSNRYHLNLCVPCTVNAKGQSYMKEKMGPCNSGKIMSPPQPKSLTPNTYNTYIDVSVDNIIIDHDYNNGMQFQPLGSHVEIGDGISFTRTDNKRGVKAIIFVMNKAESLHAHDNSCITTTIPEHMMSIGNEKLTWRHLKSCHMVRCPKMHTTFSIINDYCNFEELVNFWAADLPMTHCIWSKERTWYLRDHISFAKLQSIHLFSCPRLTFVLPFSMLYTLGSLETLHIVFCGDLRQVFPVEPEVLTRIANYHKGILEFPNLKHIYLHQLFKLQHICKAKMFAPKLKTIRVRGCWGLKRLPAIDRDSRPIVDCEKDWWDKLEWDGPEAGHDPSLFQPCHSAYYKKPLPRVSVLR >SECCE4Rv1G0269810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:750243507:750244073:1 gene:SECCE4Rv1G0269810 transcript:SECCE4Rv1G0269810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSGATVFDDLPEWLIVDEILSRLPAKDVLRCRAVCKSWHSTTSTDTFILQHHRRQPSLPIVEHLNGICRLHRASNDKKILPVLQYAGRTPVTGVDLMHRVCDGLIILAQKPDYYICNPATRKCASLPRPPLQPGFCNDEIVSFYRHQPSGEYRMLWASYSIPIMVELPDYFVLTVGSEQPRRIQC >SECCEUnv1G0553220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:266272094:266274157:1 gene:SECCEUnv1G0553220 transcript:SECCEUnv1G0553220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGRRRPDLLLRRRASLPSSDPPRSNLSTNSGRSPPEPANPARAALILAEKDWFARLNSEFAAALPRLGPRFVVRVLHAAVPLEPRLCVRLYVWASRFGTHFARDASVRRALEDALWRRGPLVLSSALVAEVRGCGCEVSEELLCSMIASWGRLGLAQYAHEVFVQMPRLGLRPSTAVYNALIAASVRAGAVDTAYLRFQQMPADGCQPDCFTYNTLVHGVCRCGIVDEALRLVRQMEGVGIKPNVFTYTMLVDGFCNAGRPEDAVHVFNTMKDKGVSPNEASYRTLVHGVFRCLGRHKAYEMLSEWLGLEPPLHPSAWHTMLYCLSKNEMAKEVVEVMKKMKTRGLLLDNAMFGIVVSCAVKCLELSDLCELVDDFVKKGGNPGFHVYIMIIKSLLDCKSSSKANHYLERMVLDGLLSSVASYNMVIDCFVKEGAVDRAVEIIKQMREKGFLPNLVTFNILISGYSKLGDVHNAKAVLKMLMEHGFMPDIITFTSLIDGLCHAHQMDDAFDCFSEMAEWGVRPNAHTYNVLMRALCSVGHVNKAIDLLNKMKIDGITPDAYSFNAPILSFCRMKKVDKARSIFNAMLRLGVVPDGYTCNILIKALCDEKRVDDAKEILAATESSGCTVTDHHHSYWPIVSALTKMGRFSEAGQLMNKCHIRNVQLDCGSNRTTEPAMHARVVNV >SECCE2Rv1G0071520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:45353524:45362174:-1 gene:SECCE2Rv1G0071520 transcript:SECCE2Rv1G0071520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKDDVDRLFACFKCGISPPQSAFRVRPRQQGKRLRVTPSAEGDDGGSSSSSASTRKAGENASEHREPSSAAIKFRNRKQMSPVVFYGSPQGVPVKKPMSLLRLLREIHIDLKKQTDLISRDVVWATFPRQDEAIRFSKEHAHTKVFSYQDHLSGQRRFLVSTYDEFWRRYNNMDPQIRHHYEVIQEGSPCHIYFDLEFNAKLNQKRDADEMVDTLVAVTFSALQDKYSIEGQEEWIIELDSSNEEKFSRHLIIRIPKTAFKDNSHVGAFISEICSRIATQRAANPNLDKLYITKDSSGTEPVDQLFVDTAVYSRNRCFRLAFSSKSGKKSFLVATGRFKCKNMNDKELFMESLICRLDDDCDKLLICKLDLECKKALHFDTEASIPRIQGKNCRVAIDTYRSDFPQAYTYGRSPFPALDGFIESIASFGSVSGKIRCWYWFSQDGLMIYSMSRSRYCEHIGREHKSNHVMYIVDFQRAAYYQKCYDPDCRGYRSPLRAVPWDVIPELTASIAGSAQRDYQGNVVEFNMEGSGNNGNSVMGSGEEEEDPRWWEEAVKFADSVENVDHAPPASCNLEDGDCDDADWWTDVERFMVQMESQGDA >SECCE3Rv1G0172400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:293017873:293021722:1 gene:SECCE3Rv1G0172400 transcript:SECCE3Rv1G0172400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACHAVAFPLFTPFDSIPTLFNFPRIPHRYPPKVDSTQPPLPRTRFPAPMASPSISAAAVASPVTPVALDPSPIASRPAAAATAPVRKRPVLLLDQRPHPSTPTSRTAAAAAAAAAPLSQARRKRGLSSSGRPRWQTALSVAAKNAALLAVLLYLGDQAWRWAHPAPLAPPDDAAFAGYTARVNNVEASLARAFGALKVQLEAVDRKIDGEVGAARGELAALLEEKRLAIEGQLNLLDARTDELNNALGGLRRMEFLRKDQFEAFLDEFKESLGSNSGTDVDLDQVRALAREIVMREIEKHAADGVGRVDYAVGSAGGRVVRYSEAYDAGKRGGLLSALPFGGGDNGDQSQKILQPSFGEPGQCFPLKGSSGFVEIQLRKGIIPEAVTLEHVSKDVAYDMSTAPKDCRLSGWYQGTHTDTPPKHAAEMYALTEFTYDLAKSNIQTFDITAPDVGVVNMVRLDFTSNHGSSALTCIYRIRVHGHEPVTPVIPSPLP >SECCE5Rv1G0355440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731740491:731745335:-1 gene:SECCE5Rv1G0355440 transcript:SECCE5Rv1G0355440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGGHGGGYTDADDAVDFRGNPVDKSKTGGWLGAGLILGTELAERVCVIGISMNLVTYLVGELHLSNAKSANVVTNFMGTLNLLALVGGFLADAKLGRYLTIAVSATIAAAGVSLLTVDTVVPSMRPPPCVDARGAQGHECVPASGGQLALLYVALYVIAAGAGGLKANVSGFGSDQFDGRNPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAAAMALGVVVLVAGTSKYRYRRPAGSPLTVIGRVMWTAWKKRKLPAPADAGELNGFHTAKVAHTDRLRCLDKAAVMDPVDLDATPTKEEQASTSSTMTEVEEVKMVVNLLPIWSTCILFWTIYSQMTTFSVEQATRMDRRLNAGFVIPAGSLSIFLFLSILLFTSLNERLLVPLASRLTGRPQGLTSLQRVGTGLVFATVAMAVAALVEKMRRDAANGESHAAISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFLVHTVTRGTWIRNNLDRGRLDLFYWMLVVLGVVNFVVFVVIARRYEYKPNTSVVVAPAGEDNDTTKKEMDNVLVVNENTVGMDV >SECCE3Rv1G0212770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956659567:956664761:1 gene:SECCE3Rv1G0212770 transcript:SECCE3Rv1G0212770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLQSPSQPLPIILLLFLLIPAATHLNLEAAAAEQDEQQPITLPDCPNRCGNISIPYPFGMKAGCFREGFQVTCNDSFNPHRAYLANSGVNQHINEVYFRLETEWSKVWNRSVDKTAFELIDISVAKGEVRAYTAVSSICSQNQTDYLSKNQHMELGKKMSPFLLSVVRNILIGVGWNVQTIVYTYPWSPPVDGNSREKFILSCLSDLGARQFLKYATNGSCKGRGCCEAALPEAFPITELALTFSLGPTNTLFETNPCSYAMVVERSWYNFSTPDMYGNEVLPKRFPSGVPFVIDFSIPNGSCPTKGQQPPRDYACVSGNSSCVNVISSHGYVCKCWDHYEGNPYITNGCQDIDQCKLRDSYPCSSDGVCKNKLGGYDCPCKPGMKGDGKTGNCTDKVPLAERVILGGIGGIFFMAVLSFLILLRKEKRKTREFYEKNGGRTLEEAKFIKLFKKEKLKPILKSSNFIGKGGFGEVYKGILDNEQVAVKKPISGSVLENEQFANEVIIQSQVIHKNIVRLIGCCLEVDLPILVYEFLCNGSLDDILHGNNKVPLNLGVRLSIAAESADGLVYMHSKTNTKILHGDVKPANILLDDKFVPKISDFGISRLIARDKQHTGSIIGDMSYVDPVYLQTGLLTEKSDVYSFGVVILELISRKKATHSDGGSLVNNFLEAYKKEKEATQLFDKEIAVTEDLEILGSLAGIAVECLNLDVDQRPWMTDVAERLLKMDRSRKSQFALHNPV >SECCE5Rv1G0370230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841095038:841095541:-1 gene:SECCE5Rv1G0370230 transcript:SECCE5Rv1G0370230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRANAIGEFNLPHDSAAPAAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE7Rv1G0483420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:322496028:322503063:1 gene:SECCE7Rv1G0483420 transcript:SECCE7Rv1G0483420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSEMPIASDEEMVDDEDYYYYSDEGEGDDGDACGCASGDEDVLAGDDEGQEAEGSDEAVSKREQRYIVLTEKDISERQEEDISEVSALLFIPREEASVLLHHYKWDMSKVNDEWFSDEEKVRGIVGLLMNGTDFHNSRKLTCGICFEGYSSDMMSSAGCAHFYCHECWEGYISAAVSQGPGCLSLRCPDPSCSAIVLQGMINKLGKHEDKEKYARFALRAYVESRKKTKWCPAPDCTCAVEFVSDVNYDVSCNCTFRFCWNCTEEAHRPVNCETVSKWILKNSAESENMNWILANSKPCPKCQRPIEKNQGCMHMTCTPPCKFEFCWLCLGSWVEHGERTGGFYACNRYESAKKEGVYDEAEARRERAKHSLERYMHYYERWASNQTSRQKAIADLQKAEKEQLAKLTDIYGIPETQLKFIIEAWSQIIECRRVLQWTYAYGYYLEDKVKSGFFEYLQGEAESGLERLHQCAEKDLLAFLPFSKHDTTEDHPSPAEFGEFRVKLASLTSITRNYFENLVRALEDGLEDVQCAGEAATSSKANSKKAGVKGKTSKKQPSRSSSDHSDDTWPCERCTFLNPSSADACTACDKQRY >SECCE3Rv1G0195540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:798543057:798555391:-1 gene:SECCE3Rv1G0195540 transcript:SECCE3Rv1G0195540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKDVVAGSGSGLKDFPYTIGEPHASAWGSWTHHRGASKDDGSPVSIFSLSGSNPQDRHMVAGRNGVKRLRTVRHPNILSFLHSTEAEVPDGPAIKHTIYIVTEPVTPLSEKIKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEFDANNEIAGSPMLQFEWLVGAQYKPLELTKSDWASIRKSPPWAIDSWGLGCLIHELFSGGKLSRTEDLRNIASIPKSLLPDYQKLLSSTPSRRMNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASALEFGSAAAPALTVLLKMGSWLPADQFSTKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLASQTVDEQVFPHVATGFSDTSSLLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNISTYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNIVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLNTGDNRAAEGTGVQLKPGNASLLGWAMSSITQKGKPSDHGPVSTANASNSQVSAPPSTTSGTHSAPAAYAPAATSNSFDQTAPASVSSSMDGWGELENGNQEENGSDNEGWDDVDPFEEKSPPSLLSNIQAAQKRPVVQPKQPIPSSSRSNPPAAPKAEEDALWGPTAVPAPKSAPKSAGIKPSVSHNDDDDLWGSIAAPQPKSSGKALKPAAANNDDLWGAIAAPPPATKARPLASSGRGRGAKPAQPKLGAQRIGRTSSGM >SECCE7Rv1G0467710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:90567604:90569871:-1 gene:SECCE7Rv1G0467710 transcript:SECCE7Rv1G0467710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPSLPPPPPSPAATTLASNLLSFPAPRPRLAATHRRAVVAAASSRPPPPPPPSPEGGDEEVERAMGMDGGIPGTSGELLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREQHPKPVYVLARRDNQLWTMKTRRNRSEVERELGMLFSKGRGSGVGTKSKYSGSKFSMVVEDLTEGVLVFEDEDDAAKYCDLLQGGGQGCEGIAELEASSVFNMCRQMKALAVLFRRGRTPPTPQSLERDLRARNRSLED >SECCE4Rv1G0276860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:800568496:800569584:-1 gene:SECCE4Rv1G0276860 transcript:SECCE4Rv1G0276860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVKKESTWPATMARYERLEKLGAGINGEVFKAWDTQENLIVAVKRLSGSGDDGFIISGLPEVMREAMCLGSCRGIPSTVQHRATCVAACASSDSFLVMDYVGRLNLRSYMERRVRCRRPFSEDEVRRIMKQLVEGVNAVHGVDVLHLDIKPENVLLDDGTEDRKQRPKKGAVEADVRGELKDDCIVYKIGGFGMSTKGHGGRQPEVTILTPYSAPELLLHSCKYSNRVDTWGLGCIMADLLSGTGASLFDGESDIEIMAKVFGIVATEGIKELSGYSGLAADQKSKLPGKGGVSRLRHKFPRRMLSSAGFEVLSGLLEINPEKRLTAAEALHKPWFGKQRRGFGGFFKSCVEGVLPET >SECCE5Rv1G0352990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:712906270:712909692:-1 gene:SECCE5Rv1G0352990 transcript:SECCE5Rv1G0352990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPSTPIPIFLILVLLSVLSSSSPSPASSSGGDTDLAALLAFKAQLADPLRVLARNWTTGTSFCYWVGISCSRRRQRVTALSLPDMPLVGSVTNHVGNLSFLSVINLTNTNLTGSIPPELGRLHRLKYLRLGGNSLSSIIPAALGNLTRLEFLGLDLNQLSGQIPPELLLHMHNLRNINLYGNDLSGQIPPYLFNNTPSLSYISFGNNSLSGPIPHTIASLSMLQALNLQVNQLSGLVPQALYNMSRLQIFALAGNGNLSGIFPTNQSFSLPMLQFFSLFRNNFSGRFPSGLASCQYLKVISLSRNSFVDVVPTWLAKLSHLEALSLGFNNLVGSIPATLGNLTSLAALELSNGNLEGEIPQELGLMQKLSYLHLGYNQFTGNIPASLGNLSGLSYLNLGTNQLSGQGPRTLEYNADLNVVDLSHNKLEGNLDFLLDLSKCTQLQGIDVQENSFTGVLPGLVGNVTSRLAIFSAGYNKLTGGLPVAISNISSLEVIDLSNNLFTEPIPESISTLENLLYLDLSHNHMLGPIPTQIGMLGSVQHLFLQANKFSGSIPSNFGNLSWLENINLSNNQLSSTIPTSFFHLNKLLKLDISHNSFVGALPYDISNLRQTYEMDISSNLLTGTIPRSFGQLNMLTYLNLSHNSLENSIPGTFEKLKSLASLDLSFNNLSGTIPKFLANFTYLTILNLSFNRLEGQIPEGGVFSNLTVQSLIGNAGLCGAPRLQFSPCLGRSSSTAIHLPLFLLPTLILAVVAIAICVYLLIEKKFKKDEYNASLNPSSVIGHQIISYRDLIHATNNFSEDNILGSGSFGKVYKGLLSSGLVVAIKVLDMQLEQAIQSFDIECRVLRMTRHRNLIKILNTCSTHDFRALVLEYMPNGSLETLLHYSQSTRHLGFLERLGIMLDVSMAIEYLHHDHYELILHCDLKPSNVLFDEEMTAHVADFGIARLLLDDNSMISRSMPGTVGYMAPEYGTLGKASRKSDMFSYGIMLLEVFTGRRPTDAMFDAQLTLRQWVHQAFPIDLFQVVDGHLLQGSSLSNCSLDNGFVASVFELGLLCSRDLPDQRMTMGDVVVTLKKIKAEFSGRTTKTLCSAT >SECCE7Rv1G0460980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:34361607:34365680:1 gene:SECCE7Rv1G0460980 transcript:SECCE7Rv1G0460980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPELPDDVVEEILLRLPPDDPGCLFRASLVCKAWRSAVTHPHFRRRFIGLHRHRAPPVLGFLHDWEDERIPDFVPTTASPFSLPAPDRRFWRPLDCRHGRALFLSHRRQGTQELLLWEPVTGAHQRIPVPSAFRCQWPTAAVFCAADGCDHRDCLGGPFGVVFVFTVDFEGDADVVTSACLYSSETGRWGQLSSKQDEFTMDFEYHSSVLVGRSLLYFLSDGGMILEYNLDSGELAVLYTPPDGYSRDNERFNLMLAEDGGLGVAEAIDFQLILWKREPSDGTDARWVLSRIVDLFDLLPIGVDSKSLCPVLGFAEGANAIFVKTVYSLFMIELQSDQGKKVCASHGFCNLIPVVGFYTPHSRLQVPGGEHHGPAPCLNLLRRGGQQGVWEEKSLEWAQVLFDKGCRAINEKDFANAAGCFRHVLKIRVRHYGGVAPECGSTFYSYGCALLFKAREETNHSGDRDDSDLDLAWKMLNTARAIVAKSPDKTMEKVNILNALAEISMRREDRHGSIGYCFEALAILEHLVRPDHFRIFQQNYRICLALELASKVGDAIPYCAKAISACKSRIRNLKIAKEALLADKDLIASAAKGRSGKSTLEDEISYLARMLPRFQKKLEQLEQAMSTSSDIMKRVVSQASHEQNVNHTMARTASSTSSQMAGSNNSFHSPTMSTAAARGSTGSSVTDFEVVGRDMKRANDKPISDEPSPKRLAADDSPSMNEM >SECCE3Rv1G0160530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:105126721:105128324:1 gene:SECCE3Rv1G0160530 transcript:SECCE3Rv1G0160530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFASGGGGEDQRQYGGRVTTFAALSCITAAMGGAIFGYDIGTAGGVSSMEPFLRDFFPDVHRRMQAGAGVGNYCKFDSQLLTLFTSSLYVSGLLTAVLVASWFTERHGRRPSMILGGVAYLGGAAVSGGAVNVYMAILGRALLGVGLGFANQAVPLYLSEMAPARYRGAFSNGFQFSLCLGALAATVVNYGAEKIKAGWGWRLSLGLAGVPAVLLTVGAIFLPETPNSLVQQGKGRGEVKALLQKIRGVDAVDEELDDIVAANAKGQAGDNGLRLIMAQRRYRPQLAMAILIPSFTQLTGINAIGFYAPVLLRTIGMSESAALLSTIVMVIVSSASTFASMLLVDRFGRRTLLILGGVQMFLSEVLIGAIMAAKLGDEGQVSRTYAAVLIFLIGVYSTGFGWSWGPLSWLIPSEIFPLEVRSAGQSITVASGFVFTILVAQYFLAMLCRLKAWLFFFFAGWILVMMAFAYLFLPETKGIPIEQIGNLWGKHWYWKRVVGVEEVHDGEKL >SECCE3Rv1G0165220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:170501024:170506049:-1 gene:SECCE3Rv1G0165220 transcript:SECCE3Rv1G0165220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X1-type zinc finger protein NFXL2 [Source:Projected from Arabidopsis thaliana (AT5G05660) UniProtKB/Swiss-Prot;Acc:Q9FFK8] MPSSYAAAAASTSRKPAPFATATATTRKPAPLPAPAPPPSNPSHVSDSDPSSYSSSGEETDLSACDPATASVLSTYLSVAGNGADLSKVGIFLNSAARRRSPPCLICLDPIRPSDPVWSCSASCFALLHLPCIQSWAHQSTSAAPSPTWGCPKCRVAYPKSQTPTSYHCFCSKTEDPPADPWILPHSCGDVCGRRLNSNPDSGCEHTCLLLCHPGPCPPCPAVVPNARCFCGAHREPRRCAHQRYSCKGKCNKRLSCELHRCPVDCHDGPCPPCAVRGNHKCECGETMVERLCSERVFQCKRECSGMLECGKHRCERGCHGGKCGECPLRGQRTCPCGKKDYPRLECDVEAATCGSTCEKVLGCGRHKCPERCHRGPCDVTCRLVIKKSCRCGVLKKELPCHQDLTCERKCQRLRACGRHACKRRCCVGDCPPCAETCDRRLRCGNHKCLSPCHRGACSPCPLMKTISCFCGKTYFEVPCGTEKNQKPPKCSKRCNIDRLCRHKLDCRPHKCHYGACPPCKLICGEELSCGHKCKERCHGSIPPPNPEFTVKPMKKKMEKHIECTPGTPCPPCQEVVLVPCFGEHLGQERAIPCSKSRQFPCQNLCGNLLHCGNHYCTKDCHVLEIPSDQRKADSILSLSRKNTLAEPCERCNLRCQRARDPPCSHPCPLRCHLSDCLPCKVLVKKSCHCGAMTHAFECVYYNNLNAKQQLKARSCSGPCHRKLPNCPHLCSEICHPGQCPSVDQCMKKVNVRCACNTLKKEWVCQDVLKEYRRSGRDPKEVPKGQFGVGLLACGGDCVKKVNVSAAELHLRKVQETTKSPAAEVAKVPKRRKKHDRGAQEPVQFSMWQRIKWYLVVITVLAGLVVLGLVIWKGVYQISDWMNEMEEQKARERLLRAGRL >SECCE3Rv1G0194280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:778886440:778889856:1 gene:SECCE3Rv1G0194280 transcript:SECCE3Rv1G0194280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydropyrimidinase [Source:Projected from Arabidopsis thaliana (AT5G12200) UniProtKB/Swiss-Prot;Acc:Q9FMP3] MATPCRLRLLLVLLVAVVVAVAASHPAHEFCAAAGGGGGAGGCGGGGDGTRILIKGGTVVNAHRAEEADVYIEDGVVVAIRPNIPVGDDNVRVIDATGKYVMPGGIDPHTHLEMEFMGTVTIDDFYSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKHKAEKSAMDYGFHMAITKWNDEVSREMEVMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLQKCKSLGALAMVHAENGDAVAEGQQRMIDLGITGPEGHALSRPPVLEGEATSRAIRLAKFVNTPLYVVHVMSIDAMEEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFATASKYVMSPPIREAGHNKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGIEERMHIIWDSMVETGKITVTDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPKRSFAMGARTHHSRSDTSVYEGRKGKGMVEVTISRGQVVWEDGNLNAVPGAGRYVRTPPFGYLFDGLDKSDAVYRASLRAPVRRGAAAAA >SECCE6Rv1G0429590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736482746:736486695:-1 gene:SECCE6Rv1G0429590 transcript:SECCE6Rv1G0429590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLGVVFASILTRAASVGVCYGMSANNLPPASTVVGMLRDNGFNSVRLYAPDSDALAALAGTGIGVIVGAPNYVLPELASSASAAAAWVRANIAAHPDVTFRYLTVGNEVAGSDTQYLVPAMENVHGALAAAGLGDAVKVTTAISQATIAVHVPPSAGEFADESKPFLLPVLQFLERTGAPLLANLYPYFVYTYKAAGDMDVSFMLFTAPGTVVQDGEYGYQNMFDASVDAVHAAVERLGVSGVEVVVSETGWPSAGGEEASVENARTYNQNLVSHVGKGTPRRPSKVETYVFSMFNENLKEAGVEQNWGLFYPSTDKVYPITFGK >SECCE4Rv1G0252010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:610650844:610656211:1 gene:SECCE4Rv1G0252010 transcript:SECCE4Rv1G0252010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAEEAARPTNLSHSALMSGESSIELKAKVELRRVSKIRVMKRNERNPFVERRKAQEAAEAKAKSEEAAREEAVGVAPIAESWAEEADRIAESEAKEVDETEGADNMAKLEAAESARIAKAKADEAAKAKEGADEAWHEETEWAQCEGELFAAKFRSFWNEYYTDCGNTFEQTTSIPAMCHTYPASDCSTKAMDTLQIMSVKVTSIKNGLGLHWPLEVFGIIAARDVLDHKRNIIFDRPRTDCQAITQDDCYLALTGPTRAVAVSVDPSYIEVSLKVKGTTESEDKDLSDLVLMYRTGCCPGSVYPSRLSTLEIIFRHINCSVEATVHIKVIGGSWPDGFRGVFSAGTSISDDLQVKLLDFEDGGLPVNADGQITLSRRVVSVGVENNLKVSVMACPINKGHATESSEALLKSQRAGTSPPGIKLSVGSCSMEVSVAWSCFRRAW >SECCE4Rv1G0242220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:471641033:471645331:1 gene:SECCE4Rv1G0242220 transcript:SECCE4Rv1G0242220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIPVACLEDNYAYLIVDESTKAAAAVDPVEPEKVLAAASEVGAYIDCILTTHHHWDHAGGNEKMRLLVPGIKVYGGSMDNVKGCTDQVENGTKLSIGKEIEILCLHTPCHTKGHISYYVTSKEEEDPAVFTGDTLFIAGCGRFFEGTAEQMYQSLCVTLGSLPKSTRVYCGHEYTVKSLQFMLTVEPENEKMKQKLEWAQKQREANQPTVPSTIGDEFEINTFMRVDLPEIQAKFGAKSAVEALRTVRNIKDTWKG >SECCE7Rv1G0525940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893400507:893402012:-1 gene:SECCE7Rv1G0525940 transcript:SECCE7Rv1G0525940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHHPRRHLFPVVSGLILSCSIVVIKATRLLGYTFSPILLLLLWVVLVAVVGAVLNRRRAVHLVDYACFLPSPTLRFPNSTFIEHARLVPAFADDRTIRFMTRVLSSSGIGDETSLPPGDHCIPPDNNLDMARAEAELVIFPAIDDLLAKSGVTPDAIDIVVINCSVFAPLPSLTDMIVNRYKLRSDVRSINISGMGCSAGVISVGLAASLLHAVPHAHGAAHALVVSTETITPNLYVGKQRAMLLSNLLFRVGGAAALLSTSKDKARFRLAHLVRTITGGGRDSSYRCIFQEEDAEGNIGVILSKDLMSVAGEALKANITALGPLVLPFIEQLRFISNKLVLELARRAGVKPHLPDFRKASLRLVGVKPYVPDFRKAFQHVCIHAGGRAVVDKVQSSLGLSDEHVEPARMTLHRFGNTSSSSVWYEMAYVEGKGRVRKGDRVWMVGLGAGVKCNSAVWECIRPAAEPDKAWAGCIRRYPINIPGLNVNAAARNDPIIAV >SECCE4Rv1G0277940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806322064:806323765:1 gene:SECCE4Rv1G0277940 transcript:SECCE4Rv1G0277940.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETETEMERRAYARVGLLGNPSDVYGGRAVSFAVAGLWATVRLRPSDELLVQPHPRHDLVAFPSLHALVDRLDGGGYYGGVRLLLAICRIFHNHCKHSGIALEDKNFALSYDTNIPRQAGLSGSSAIVCAALSCLLDFYGVRDKIAVKVRPNLILDAEKELGIVAGLQDRVAQVYGGLVYMDFSQKHMDKLGHGIYTPLDVDLLPPLYLIYAENPSDSGKVHSSIWQRWLDGDELIISSMKEVAQLAYDGHNVLLQKDYTELARLMNRNFDLRRKMFGDDALGELNIKMVEVARSVGAASKFTGSGGTVVALCPDGDAQAELLKTACQEAGFVVEPIEVAPSALTKEELASLSGHQ >SECCE5Rv1G0366200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:811570905:811575027:-1 gene:SECCE5Rv1G0366200 transcript:SECCE5Rv1G0366200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC2 [Source:Projected from Arabidopsis thaliana (AT1G74850) UniProtKB/TrEMBL;Acc:A0A178WNJ2] MALVSTTTVASSSSYHCDHPLRPARSWCRPRSRGGGGGRLAVLARARGQLETTPKEEAAPAAPAAGERGRYSYEVDSLIDRLSNLAPRGSIARCLETAKHRLTLQDFAAVYREFSRRGDWQRSVRLFKYMQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFQDLPADSRTALSYTSLIAAYARNALHDDARALLDQMKAQGVAPTAATYNTVLAACARAADPPVPFDMLLGLFAEMRHDPSPAVRPDLTTYNTLLAAAAVRSLSDQSEMLLRTMLEAGIMPDTASYRYIVDAFANAGDLSRVAELFREMADTGHTPDPSAYLGLMEAHTRIGATAEAVAVLRQMQADGCAPTAATYRVLLDQYGKQGRFDGVRELFREMRTAVPPDTATYNVLFNVFGDGGFFKEVVELFHDMIRTGIEPDMETCEGVLAACGQGGLHEDAREVLDYITKEGMVPTAKAYTGLIEALGHAAMYEEAYVAFNMMTEIGSLPTIETYNSLANVFAKGGLFREAESVFSRMTNSAGIQKSKDSYDALIEAYCQGSQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEEIKSNMTVPSIIAYCMMLSLYARNDRWADAYDLLEEMKANSASNTHQVIASMIKGEYDDSSNWQMVEYVLDSSNLEGCDYSLRFFNSLLDVLWWFGQKARAERVLDHAVSYGLFPELSRDTKLVWSLDVHRMSVGGALVAVSVWLNKLYARLKREKDLPQLASVVVLRGEMEKSTVTRGLPISKVVYSFLNDTLSASFHYPKWNKGRVICLKSQLKKLQAAIDSSNGAAVPGFVHMMDSRLPSPGSKVYTREPQVENGSAHSTAESLVEEEKESELLAL >SECCEUnv1G0528190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3439796:3442513:1 gene:SECCEUnv1G0528190 transcript:SECCEUnv1G0528190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP:RNA uridylyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G45620) UniProtKB/Swiss-Prot;Acc:O64642] MAGGDAKPLADGAFLRFLLPAPKPEPAPPARLAPPHCLVAPQQPDPTPDERLFILPPTRPAWLPPQPQPQPPPPFPLPPARRVHPAAGAARNAGRFAAGRGGQARRRAGDFAGNRGPDRRRAGGGLAVKADGGEDRAGARRAAAAARRENKVWVAVERSDADDQAAVGGGYAGGDEAGGSVQGEEHLEPDDGEQDGDGRRLGEELEDSLLISGDQECHGSDDGQQSSEDTMSQSNQSGRLQIRTHTGWMECRHDIGTFASGLLSIYESLKPSEEHMSKQRQLIDSLTKSVAKEWPKAQLHLYGSCANSFGTSHSDVDVCLQLEIGTGSEVELLLRLAEILRRDDFDGVEAITSARVPIVRMLDAGSGFSCDICVNNLFAVANTKLLKDYAQIDARLLQLASIVKHWAKLRGVNETYRGTLSSYAYVLMCISFLQLREPKILPCLQAMEPTYTMVVDDTECAYFDEVHQLHDFGAENKETIAELLWAFFHYWAFQHDYRKDVISIRMGKIISKKEKNWTTRVGNDRHLICIEDPFETSHDLGRVVDRQTIRIIREEFERAAAVLQHDDDPCATLFEPYNYEN >SECCE3Rv1G0164180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:158573778:158576807:1 gene:SECCE3Rv1G0164180 transcript:SECCE3Rv1G0164180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGASSVAAGGKRPFEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAIFGGFPSTAWFDVADLSEDSPDDVEGLDSSAAHVANLLSTEPADIKLGVGGFSMGAATALYSGTCFAHGKYGNGNPYPVNLSVAVGLSGWLPCARSLKNKIESSQEAAQKASSLPLMLCHGKADDVVLYKHGERSADALKSTGFANVEFKSYSRLGHYTVPEEMDEVVKWLTASLELGSSSSA >SECCE1Rv1G0030050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:423138405:423140861:1 gene:SECCE1Rv1G0030050 transcript:SECCE1Rv1G0030050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPQHPLLLAIRRRHRHRHRQLSSSSADAVAVAEVLGTLTRAPSPDASRDLDCLLRRLGDRGLASALSSLPSPLPAASALRLLHHLVSNDPSSSSHGPGDGHGHAHDLLSPRVSALLLPSLAADRSTFPSARRLIKRLLHHHPLHTAAAAVADASSTPSSDLLLNTFLSSSARGSLRGATDAFHVLSSRGASPSLKICNKLLEALAHAGQLDAAWKVFAEMRDRQNVTPNAYSYTSIIKALCRAGKVDDGLKMLAELVHAGLQQCAGVVPYNLLMDGLCKSGRVDEAFRLKERMEESKVTPSVVTFGILINGLARSQRFGDVGAVLQDMAGLGITPNEIIYNELIDCHCRKGYFSEAIRLFDEMLSKEMKSTAVTYNLIARALCKEGEMERAEQILEEMLSTGMVVHFGLFNSVVAGLLQSTGSLKSVVRLVSEMIIRGMRPNDALMTACVKQLCKGGKHQEAVGIWLKMLKKGLSVNIATSNALIHGLCEGKNMEGATEVLRTMVNKGLELDSITYNIMILGCCKGNKIEEAIKLRDDMIRRGFKPDIFTFNTILHAYCILDKMEEAIHLLDQMKIEGLRPDIVSYGTIINGYCKIKDIHKANEYLTELMTCGLEPNVVIYNALIGGYGRVGNISGAIDVLNTMKSTGIRPTNVTYCSLMHWMFHAYLVDEAKIMFEQSRENSIEVGVIGYTIMIHGFCKIGKMDEAMNYFEQMRSRGIPPNKFTYTTLMYGYCKSGNNEEASKLFDEMLSSGIVPDNVTYNTLVTGFSEVDPLDKATELPAEISSVLTQNDCLYNALVNRITIPWCQKEATSSE >SECCE7Rv1G0460340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:31067799:31068352:-1 gene:SECCE7Rv1G0460340 transcript:SECCE7Rv1G0460340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTATCLTLLVLAAVTMAAAADGPPATYLFFVDPTPPGVTCMQYHLGILTAALGSEEKAKAAIIYNYKNVVSGFSARVTPAELEAIKKQPHVNRALPSATLQLMSSNFDGVS >SECCE2Rv1G0114810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:739557504:739559378:1 gene:SECCE2Rv1G0114810 transcript:SECCE2Rv1G0114810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIAAKPLLSDLVAQSRQVPSSHIRAVGDRPDLANVDHESGSGIPLIDLKHLDGPGRPSVVEAIGSACENDGFFMVTNHGIPEAVVEGMLRVAREFFHLPESERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLESFIDQWPSNPPAFREVVGTYSTEARALALRLLEAISESLGLERGHMVKAMGRHAQHMAVNYYPPCPQPELTYGLPGHKDPNAVTLLLQDGVSGLQVQRGGRWVAVSPVPGALVINIGDQLQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVVAPAEALVDGGHPLAYRPFTYQEYYEEFWNMGLESASCLDRFRPIA >SECCE7Rv1G0513660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:824407742:824408237:-1 gene:SECCE7Rv1G0513660 transcript:SECCE7Rv1G0513660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAKVAGVLCFLVLVALSTCSLHVRAAHEVDHCLLDKKKVMRDCWHHIEKRLGDQYPPLHSACCNTIRDSKDIHCVCDRFTAHELTLLSIAKFAVATHVCGNGLHTHTHCAGYEVPEIKLPPPPGST >SECCE4Rv1G0238300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:372914987:372934212:1 gene:SECCE4Rv1G0238300 transcript:SECCE4Rv1G0238300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGAGQQFRYTQTPSKVLHLRNLPWECTEEELVELCKPFGRIVNTKSGVGANRNQAFVEFTDVNQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIINNKSPGETAGNVLLVTIEGVQASDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTASAAREALDGRSIPRYLLPDHVVSCHLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIDSTLQPAVGADGRRVEAEGNVLLASIENMQYAVSVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDASTATIAKEALEGHCIYDGGYCKIHLSYSRHTDLNVKAHSDKSKDYTIPEGAHQAAPQPAGVPPTTAGWQGNSQAVGPYGQPGVSAQNQNANGQVPNWNPGNSVHPPAPGQFPGHMYSAPPQQYLTTSGGFSAPQPPPPHEMHPSHQMPPAHHRNQQRPASGAPGTGQLPPYYHH >SECCE2Rv1G0080770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:132924141:132925046:-1 gene:SECCE2Rv1G0080770 transcript:SECCE2Rv1G0080770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHYCSLAVLLLPLLLASATDFDFFYHVQQWPGSFCDTTGGCCVPGNVKPAADFGIHGLWPNYAACRPAGETNRTTCWPDFCNATDTFNPSLISDLKSDMDQNWGTLSCRSNGSTAFWSHEWSRHGTCSNMDQHAYFLAALRYKARFNLTRILLDAGIAPSDERTYCVGSIRDAIAEATGSAPGIECNRSARNETQLYQVYQCVDLAGTSPVPCPAVPMQGRCTDQVKFPAF >SECCE7Rv1G0501000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:677459539:677460348:-1 gene:SECCE7Rv1G0501000 transcript:SECCE7Rv1G0501000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETRNNLPMEPSFGSTSASTEEASTRRVANRIIRALQHHLLLLHRAGADFFVLGATGNVYTVTLATTPACTCPDPGAPCKHILFVLLRVLGLSLDEACVWRQSLRPCQVARLVAAPTYPEVLAGPRARERFHQLWAAHAAAAAAKAADQRRQESAGPSSGRLDGAACPVCLEEMAPSAEAQGGAAATGPAPLLTCGTCRNSVHAECFARWKRSRARRAATCVVCRARWRKPSRDREQDQQRYMNLSAYLNEENGAVDMQIEDGAPCGG >SECCE7Rv1G0465980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:75679675:75680799:-1 gene:SECCE7Rv1G0465980 transcript:SECCE7Rv1G0465980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTRNGDLKVSYEQQELFGRRRKGTSPSPAYVDLTGEAVGSASATARVPSPPKSTPSRHRQGTCADGELDVFSAERYFKGAMDGHHQEGPAPPTRVEAVAAGARPAVPVSEPECTRVSSASAGSVSSTNSQTVLLRKDARRRPGYHCMKCCLQVGVLLRLCSGKRAVRVDGAAAVATETTGSSKLAASATASNIEWYKDLRRDKAGLGLARDGHRGVVAGLPPNLNLGAAGAAAVGQQEKGAEYTSASCRRGSFTLPAPVKVSCGRGDGDGDDGGSESSSDLFEIKSLTIDDCPHKPSEESVQWSVVTASAGGGRSGAPVAVTQNRDSPVGILKGGAASLPCHGLSRGPVDVSAVAGVCRFPDPSASASAPAH >SECCE3Rv1G0173080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:318076388:318080138:1 gene:SECCE3Rv1G0173080 transcript:SECCE3Rv1G0173080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPADAKAEAAKMDLLEDDDEFEEFEIDQEWDDKEDGNEAVQQWEDDWDDDDVNDDFSLQLRKELEGGNTQKS >SECCE3Rv1G0163130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:144972707:144974158:1 gene:SECCE3Rv1G0163130 transcript:SECCE3Rv1G0163130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMVAQEVERVGEAPHFLVVTFPAQGHINPARHLALRLLSASPGARVTFSTPVSAFRKMFPDDEDAEAVDHVDGAGVRHAPYSDGFDGGFDTSAHDVSYYMSNLKVVGSRTLGGVLARLRDAGTPVTQVVYTVLLSWVAGVARAHGVPAAAYWIQPASVLAAYFHFFRGTDGLDQAVAAAASDPWADVRVRGLPPMRLRDLPSFFTIASDVDHPYAFVLVAFRDLLDVLERESTPTVLVNTFDAMEPDAVATLRQHGINVVPIGPVLSFLDASAPAAANSNDLFKLDGKRYLEWLDAQEAGSVVYISFGSLSTMSRRQIAEVARGMAEIGRPFLWVLRKDNRGEIDGDDSCSGIGSSAGMVVEWCDQGKVLSHPAVGCFVTHCGWNSTLESMACGVPVVGVPQWTDQGTNAWLVERKLGTGVRAAVSEKDGVLEADELRRCIGFASSDVVRSKAALWREKAREAAAVGGSSERNLRAFVAGN >SECCE2Rv1G0141940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:937673559:937695159:1 gene:SECCE2Rv1G0141940 transcript:SECCE2Rv1G0141940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFALGLAKTAVEGTLSRVQFAIEEENKLKAAAQQDLVFITGEFQMMQSFLKVASKERAGNEVVKTWVRQLRDLAFDVEDCVEFVIHLDNDSAMNWVWRLAPSCMAPPRTRDLDDAVAELKQLKARVEEVSQRNTRYNLISDSGSNPVSQPPAEQLASTGPSTINILMELWEANGRHHGLCNLQKLITNEGNGLQVISLWGSNGSDIEGTQIINKAYCDPEISRRFKSRAWVKLMHPFNPDEFLKNLQTRLQASSRSCQAVDFKGKVLEDDPMKQLTEERYLVILEGISSVVEWEIIRMYLPDNNNGSRIVVSTKQLGDAIFFTGENYRVSVLREFGGGHYLCAFYNKGSFRQNIMGNLIRQLRCPGVISVWGTGDDKSTLVRELLDFIPNKNEERLNSHSQVAKHILYGWIDVAYPFILKDFFLSLLQSFRKDDTESGEDDTKSDEVIVKGLCKVLSEHDYRCLIIINGLQSTKEWQLIKSKFAEFDIKGIIIVITNDESVATTCVDHENRVFNINDVALHPSMQIHGYMDELFWRLRHRRVICMCGADGDTSTLIKQVYNGIKHQHVGFKEVETFECYVWVDAPHPFDKDEFLNRLFQAWVPDEDDFDEFVRSIHRARGKKCIFIINGLQSTEEWALVKPYIVDGVSESRVILIANEESVANNFVEHLKADPYSRPLAKVSNYYGYGDNKKTSQSIFLSNRTQTRLVISSQLDVYVKIGEGLDRSGVTSVWGIAGVGKSTLVRDRYNERIQECSFAGKFAYVEVPDPFDLVEFSRLLLLDFHRCDREAVEAAAIGIIQGQDPIQGCHEILRKDGYLVIIDGLQSTYDWDLIETVFLSPITTESRIVVITTEESIAKHSVKQQVDRVINIKCLEDDEAYSAFEQIIDPEELASIRGKVDLAKLVVKCGGLLKVVSAIAKVSGSLLEHMNDNFMVKLETDTQFHSLRGLLSWMHSYFDACSDLVKPCIFYLSVFPASSKIRRQRLLRRWIAEGYTRDTAGCTAKENAEKLFSELIELSIIQQSSKNDICQVNSFFREYIISRPMEDNLVFALDGRCSPNTPRTGQHLTIMADWHRDQNVFQSIDLTRLRSLTIFGAWCSFFISEKMKRLRVLDLEDMITVTNDDIEQIFVKLLSLKFISLRGCRKISRLPHTFAGLMQLQSLDVRFTSIVMLPSSIIKMKNLLYIRAGTDQEWTSASLQHGQLPAATSTDSSISAGRPKVPTSPGNGIAESPAQAETTPEAQHRPMLQKVGWASKLSRASVVPRLPKFPPVTWTLCGSEREKEAQVHSAGVEVPIGIEKLEALHSLGAVNVNASGGKAFLKQLASLTQLLKLRVCGINKKNWRLLCCALSDENCHLESLSVRFDEDCLDDCFNPPKTLKSLKLYGLIMKLPDTIKDLVNLEKLDLEMSITRPDDMHLFLTEKLPRKDILNRLCIKVQGDQEINFSSLGFTFYSYHDFHPRVVKMDCCSKLEVTLKPDDMLYHCEVLIIQCCKASSFRLSGRDALCHMWKLKTVWLKGSYSEEQRQHLKEFVDGHFSKPVLKLEPL >SECCE4Rv1G0250150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:589718855:589724082:1 gene:SECCE4Rv1G0250150 transcript:SECCE4Rv1G0250150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTETGQPAPRGLDRSSSSVTGIRRCVHAVQMGRWLRPKRRVGEDEEEAEKEYEVDVLPDRLKSSRSSRAPPFRSISRLADDGEGGFLRGHIVHPDNEWYWLWTRFIVFWAAYSSFLTPFEFGFFRGLPWNLFLLDIVGQIAFLIDIVLRFLVAYRDPDTHRIVYNPTSIAVRYCKSSFIFDLLGCFPWDVIYKACGHKEEVRYLLWIRLTRAHKVTQFFRDLEKDIRVNYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDFSFSHFREIDLTTRYITSMYFAIVTMTTVGYGDIHAVNLREMIFVMIYVSFDMVIGAYLIGNMTAIIVKGSETERFRDKMKEAIMYMNRHKLGKDIREQIKGHLRLQYESSRTEASALRDIPVSIRAKISHTLYMPYIERTPLFKGCSAEFLQQIVARLQEEFYLPEEVVLEQGTAVDQLYFVCQGALEGVGIGKDGQEEATLMFEQGNSFGEIAILCNVPQPYNIRVCELCRLLRLDKESLTYILGIYFADGRKLLSNLTESTEYDLRVKQIGSDITFRIGKQEEEQTLRVNTAAFHGELNQLTGLIRAGADPKNTDYDGRSPLHLAASKGYEEVAQFLIREGADINCTDKFGNTPLLEAVKQGHDRVASLLFTKGAKLNLENAGIHLCMAVSKRETDFIRGALAYGADPNSKDYDHRHPLHIAAAEGLYIMAKLLVDAGASVLVTDRRGTTPLDEGRKSGSKPLIVLLEQAKAEELSKFPTRGEKVRDKINQQLCSVFPHHPWNTDVKRKEGVMLWIPHTINELIRSAQEKLGLSGSRMRLLGEDGAAVQEVDMVIDGQKLYLVGDEDM >SECCE5Rv1G0331970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:543134390:543137947:1 gene:SECCE5Rv1G0331970 transcript:SECCE5Rv1G0331970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase PEPKR2 [Source:Projected from Arabidopsis thaliana (AT1G12680) UniProtKB/Swiss-Prot;Acc:Q8W490] MESLPRKRKGARSLAGSLHDASADRKRTCRERKPRPDKKKKKPSTAGDDAAIASGRGGVVMTAPPASGRATPDSPGRGLKRKVGCIESATRIGRKKRLEAEYELGDEIGQGKFGSVRICRAKAGGEEFACKALPKNGEETVHREVEIMQHLSGHPGVVTLKAVFEDADKFYLVMELCSGGRLLDDMARDGTFSEQRAALVIKDLMSVVKYCHEMGVIHRDIKPENILLTKTGKMKLADFGLAARVTNGQKLCGVAGSPAYVAPEVLSGSYSEKVDIWGAGVLLHVLLLGSLPFQGGSLEAVFEAIKTVELDFNSGPWESMSVLGRDLISRMLDRDVSSRITADQVLCHPWVLFYTECTLKAVTPNDTNKIVAPKISWDRIRSHCESSASDSSSQRSEDQDECGIVDALTAAITHVRISEPKRTRLCSPGLPIQQECSSNLKSNLCTAF >SECCE7Rv1G0525990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893584075:893586616:1 gene:SECCE7Rv1G0525990 transcript:SECCE7Rv1G0525990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDKQLAFSVKTAASRELLNKGTNIINMSQGTSLTPKDKGATEEPLKAVGTKRLHTDAPSSPVYHNVYVRRKVETEHNKVSSSQEMKVTGKDKTKQQEEQQNVETGHSKVSCSQELKGSGSEKTKEQEMQKMETEHSKVNTSQELKGSGSEKTKEQEEQKVETEHSKVNPSQELKGSGSEKTKEQEEQKVKTDHSKVSSSQELKGNGGEKIEEQEDQQMVQCDQVSKPEVAPPIAEKIEEQEDQQMVQCDQVSRPEVAPPIAESGIKEQDEQQTVQVNKPEVAPPISESGIKEQDEQKTVQHDQVNKPELASAIAESGIKGLDEQQTVQHDQVNKSEMAPIPESGMKDQDEQQMVQHDQADKPEMAPISESGIKDQDEQQMVQHDQVDKPEMAPPIAETGIKEQKEQQTVQHHQINKPEVAPPISESCIEEQEKRQMVQPDQVNKPEVAPPVAEPRITEQEEPQMVQHDQVNEPEVAPTVAESAVLVSSEMSESGGLEPSKSPEETDAETVPKINELPIASANEPVVAPSTTVQGDIHRSGNQSTYWSERYDRLQTYLENCDRSPQEGYLRMLRSLSAAGRSMHAIELEKRAIHLLVEEGKELQRMKALNVLGKVSPNGPSKQQDPLQRPCQK >SECCE1Rv1G0017290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:152154767:152156275:1 gene:SECCE1Rv1G0017290 transcript:SECCE1Rv1G0017290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGDVRAADEESQPHFLIVTYPAQGHITPARHLARRLRAAAGAGGARVTICTPVSAFRKMFADATTAVGGDEIREEGGVAYAAYSDGYDGGFDRTAGDYAHYMSQVRLEGARTLSGLLRRLGDRGHPVTCAVYTLLMPWVAGVAREYGVAVVAVFWIQPATALAAYYHYFRGSREAVMAAAASRDPNAEVCIPGLPPLRFRDLPSFLAVTSDDDPFACLIPEFRELIDALDGDSEKPPTYVLANTFDAMEPDALASLRPHVEVVTVGPVLSFLHDGQDGNSPNDLFDHNGDGYLHWLDTKPAKSVVYISFGSTSVMSKTQVAEIADAMSQSNRPFLWVLRKDNCRDKDEGEAIKGLLATASAATYDDDDDAGMVVEWCDQARVLSHPSVGCFVTHCGWNSTLETVACGVPIVAAPQYSDQGTNAWLVERALGVGVRAAARAEDGVLEAGELMSCVEIATSEAVTSRAASWKEEARVAVADGGGSDRSLMDFVQRIARGST >SECCE4Rv1G0229490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:150078068:150079457:1 gene:SECCE4Rv1G0229490 transcript:SECCE4Rv1G0229490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCICGPMAAIYRPPRNTICSPCYEGSKAIIAFLNDGEHAAGDAVADRGLVTPRGLIKHSDSSTKGMREAWEQMKEMRDREEAANQRAGFLEQGFGAAWMEGAHTDILVKPGNGPPIPAHKAILAARSEVFQHMLCSDECKAAPAGGCVSLPELAHDELSLFLAFLYTGTLDAAAAATATSASERRLHALLVAADKYDVPFLGRACEARLAAAMDPANALRTLEVADRVSSGGALKERAMGTVVEHAEQVVFSDEYHDFAVRNAGLCVEITRALLAKAAGAKRA >SECCE3Rv1G0164690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:165183380:165184288:-1 gene:SECCE3Rv1G0164690 transcript:SECCE3Rv1G0164690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRISILVNPATRAQIAMPPPETMNNVRLRYTEEGVLDGYDVLYMDLFSRDFDREMEPYHLTLEEARFYLYMRVVLSCDPSHGNCTVLVVQLPKDQLSYTRVGDTKWTWIDANPSCRAYQDILYNSHDGLFYGVRGRGQVDTINLNGPSAEVKVILKPIISYQAHSRYIVQAPWGDFFQIWRHDKYNKENGRKDRVADKFFVYKIDFVEQKLVQTNNIQDHAMFIGYNSPFMLPVKDFSTLIPNSIYHTDDLLHYIFCHRFGLRQAVVFNMKDSSFIELSPPSSDSRLNWPPSVWIQPSLT >SECCE1Rv1G0026260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:368161427:368166689:1 gene:SECCE1Rv1G0026260 transcript:SECCE1Rv1G0026260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVMLAIHEKKATAVDLYRPLRQYIASAYSERDAATADDDLCAVRDLRAAAVESPSLPDSSSLEQRRAALLAYARALALVEPRFPISPDRAHVHSLSFTWHDAFKTNKKVSLPSVHLEKAAVLFNLGAVYSQIALAADRTTDVGIRTACGAFQSAAGAFAWLKESGVAAKAVAAGATTVDVTPDCAAMLEKLMLAQAQECFFEKVIAGGKPPALCSKVARQVGVFYEEAYAALCAPPLSQHFDRTWVSHVQLKAAQFYADACYRFSLDLHQQEEIAQEIARLKIGMNALADAKKAAKGVAAPLLDSVNKLESNMKTNLERAMKENNSVYLMRVPEAGTLGALPAASLVKSTSLAEVLDASNERLFSSLVPDGSMKALSKYTEMVDDIIRTQAEKLQQSSEITRVRLKEMDLPDSILSLEGNVSIPADLKEDVEAVQISGGPAGLEAELQQLRDLNRVNQELLVQTEEMLQKEASEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFAGNLKKAAASDALIERDVKESYPLMSILDRRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDHLKEMKRKDDILPKLMAGVGAHDDLFRKEIAKYDPICAEIADNIVAQEQLLLQIQEQNAQFAAVFNLDDYKVARERCYKQIAAAVAKYQAIKKNMNDGLNFYVSLQDATGKIQQQCSDFIMTRNIQCREMIEDVQRKLAGFNLSSSSHNTNTPRNSSVPLDQHSPSPPPHAPHAQSSYGAPPGGDPRPVYSQPEARPPYSQPYPTYGAPPQQPPYGAAQPGQYQQHPQQHQPPPGHDYGQPAYPGWRGPYYNGQQPQQQQPGPYPQAPYNAPGPYPPHHQSNYYRPQ >SECCE2Rv1G0135970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:909526157:909526651:-1 gene:SECCE2Rv1G0135970 transcript:SECCE2Rv1G0135970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLALVAALLCAGAAAAAAQQASNVRATYHYYRPAENGWDLGAVSAYCSTWDAGKPYSWRSKYGWTAFCGPAGPRGQASCGRCIRVTNTGTGAQITARIVDQCANGGLDLDWDTVFVKIDTDGMGYQRGHLIVNYEFVDCGDNHINFHGKNETLPASTGAVE >SECCE4Rv1G0225920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:101545271:101550238:1 gene:SECCE4Rv1G0225920 transcript:SECCE4Rv1G0225920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) UniProtKB/Swiss-Prot;Acc:Q9M9G6] MAAAPAGPQNRCVFVGNIPYDATEEQLVQICEEVGPVVSFRLVVDKETGKPKGYGFCEYKDEETALSARRNLQGHDVNGRQLRVDFAENGRNTDRNREKGRGGPGMSSTVDAQKQLTTTPAVGDTSLHQLIGLPPAIHAASVMAGVLGGAQTANVQNGLPVQFGLGNDPLTHYLARMSRHQLYEIMSELKTLTTQNNDLAKKLLQGIPQLPKALFQAQIMLGLVTPQMMQMAKSQQPSSSLAQSSHLNESFPQADAVLPIVHRPPPNPNAMQEQTAPLHTFPQYQHSSQPSVMFPHGHQSGLLAHPPMLSQAFGTSSSVPTQPLVSSGGLISQVQPSFLTQHPRPPVMPTNVQQLPLTNPHFPQVAAEPEIPPKEIRLPDRASHQAELDHPSKFRKLEDGTSTPGIVNNSPAVYSAPSQFAPSGPSGSYSSGAVNFQQPENEAPQLTQDDESALLQQVLQLTPEQLSSLPVEQQQQVIQLQKMLSAGK >SECCE2Rv1G0141080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934241925:934243241:-1 gene:SECCE2Rv1G0141080 transcript:SECCE2Rv1G0141080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRTAAMLLLVAAVLCPAALSKPSPARISMPTDHVGGRIPPVSPADGLAFDFHADSCPQLQDIVRSAVQTALQSEIALAAGLLRIFFHDCFPQGCDASVLLTGAGSELQLAPNLTLQPRALQLIESIRAMVHAVCGPVVSCADITALATRDAVAYSGGQGYDVPLGRLDSLAPAPSSAVFDLPQASSNAATLIDVFQTRNLDNVDLVALSGGHTIGKGHCSSFSNRFSEDSDFVRSLASNCSSDFNRLQDLDVTTPDVFDINYFTNLQQGKGVFTSDQKLTADWRTEWVVNGFAGNHWWFFGQFAASMTKLGNLQGPQGNVGEIRRNSCFVRNGQSILTTTGDEGLSASA >SECCE5Rv1G0354590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:724686201:724687667:1 gene:SECCE5Rv1G0354590 transcript:SECCE5Rv1G0354590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVAEEEPMVVVREYDDVRDRGGVEEVERECEVGSSGGGGGEMCLFTDLLGDPLCRIRNSPDFLMLVAETATGSGGGADDCTEIIGLVRGCVKSVVSGGSHAKDDPIYTKVAYILGLRVSPNHRRKGVGRNLVERMEQWFRQKGAEYSYMATEQDNEASVRLFTSRCGYSKFRTPSLLVHPVFRHALKPSRRASIVRLEPRDAERLYRWHFAAVEFFPADIDAVLSNALSLGTFLALPAGTSWHGDVDAFLAAPPASWAVLSVWNCMDAFRLEVRGAPRLMRAAAGATRLVDRAAPWLRIPSIPNLFAPFGLYFLYGLGGAGPRAPWLVRALCRHAHNMARRGGCGVVATEVAALEPVRAGVPHWARLGAEDLWCIKRLADGYSHGLLGDWTKAQPGRSIFVDPREF >SECCE1Rv1G0029870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:421380257:421392543:-1 gene:SECCE1Rv1G0029870 transcript:SECCE1Rv1G0029870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAASDETLAAVFAQLKPHTITLLDVLRNRSPASNSAAASSLRAMAAFLRSVPAPALQPCFDYTAFPLLMLLDAAVQCRKEGKAAGQGVGELNITDAIAEGGLACLELLLTKCRLTSLNQMVALLKKLTSGAILSPSEASEEFRLGIIRCFRAMILQLQSCSDKSCSCNQATVLPMTPIILSSEIASVVHPKHSAKPEECLLAFLRSENASPAVGHWLSLLLQSSEFEASRGHRGSAGVRKDSLLALRVLIAKVGSADALAFFLPGIVSRLGKLLYTSKTMISGAAGSALSIEQAILGLTEALMIVLCDKENFSALDIPSDSSSAQCSGGSGSSDHVLQKLRQLPTKTFSEQTGNSETTEDTTSDVSNNSADRRALHVKRTRKWLEETATNVGKLFTETFPHLSVHSSEKVRRSVVIGARGLLSNCSLTLMRTKMLLVECLCVLACDDAATVSEAAQDSLDYLFMKGQHFLSGNEVSDIFTRLLEKLPQVVLGSEEITALSHARKLLALTFYAGPQFLINHLHRSPVVAARFFDCLGLCISHSSQFSGSMEKLIVSKPLSVGYLYSVAELKNGAYVNDTSHSSHSQYATSSSAGPKISVIGDNGLPNAINGTVEYELPHVPSWFVHASSQRLYFALAGIIRLVGLSTVSGQGTSASLSVFVDILLNQFRRLSTELRTEDTHRYGVQRWYVKSDSGQKLRQASSAVCMLNELIYGLSDQSLSVCLQLFNKSSAQVVRVPGQNDHLTSSGQHNGVREVWKISERMDTKDDIIHYIGSILHEYMSPEVWDLPTEQNSELCLAELNVPMHFFRDTTALQQVMLDGIGVFGIILGQDFAHSGFMHSSLYLLLRKLISSSAQIRIASDAVLRTLAAAGGYSTVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVFEIAKACTHESISLPDEVQSFSMKVRSEHQAVGNLIEKRRETSVMPGTMDVDAQPDFLSLEYWEDLLCNLNDMRKYRRIVASLAGSCLSAATPLLSSTKEAACLVALDIVENAVVSIAKVEDAYKCENETKAVIKEAVQQLSLDELLDDMDTAEDVDENRLLPAMNKLWPYLVICLKNKISMPIVRRCTEVLGRTIQISGGNFFVRRFHKDGYIIWRLLALSPFRRRTLSSMDEKAIILPYRNTSLTSEEPMAEISSQKIQIAVLDMITEISSHKRSAIALESVLKKICGLVVGIAYSGLIGLREAAIRALTGLACMDADLVWLLLADVYYSLNQRDMPLPPIQDLVELCDLLPPPMSSREYLFVQYGGEGVRYDIDPSSVHEVFKRMKDTVFK >SECCE3Rv1G0164160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:157605518:157608538:-1 gene:SECCE3Rv1G0164160 transcript:SECCE3Rv1G0164160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWWWIAAAVAALAYVAAKLMEVLWWRPRRVEEHFARQGIRGPPYRFFIGCVREMVALMVAASAKPMPHSHNVLPRVLAFYHHWKKIYGSTFLIWFGPTPRLAVADPDLIREILLSRAEHFDRYESHPMVRQLEGEGLVSLRGEKWAHHRRVLAPTFHMENLKMLLPFIGKTVVDMVEKWVAMADPASGEVEIDVSEWFQIVTEDAITRTAFGRSYEDGKAVFKLQTQLMAFASEAFRKVFIPGYRFLPTKKNTSSWKLDKEIRKNLVTLIGRRQEATDDERLQGCAKDLLGLMINASSNGGRRGQPVSPITVNDIVEECKTFFFAGKQTTSNLLTWTTVVLAMHPEWQERARQEVLEVCGAHDIPCREQLAKLKTLGMILNETLRLYPPAVATVRRAKSDVVLGGYHIPRDTELLIPIMAVHHDARLWGADATQFNPARFADGVARAAKHPTAFIPFGLGARMCIGQNLALLEAKLTVAIILQRFEFRLSPKYVHAPTVLMLLHPQYGAPVIFRSRSSSQTPDHHL >SECCE3Rv1G0184960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:669320537:669321384:1 gene:SECCE3Rv1G0184960 transcript:SECCE3Rv1G0184960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQDQASYRAGEAKARAEEKTGYVVGAAQDKAMEAKDTASDAAGRAMDRGGDAKEATKEKAYEAKDAASDATGRAMDKGRDAAEATKEKGYEAKDKAAGTAQQTGSYIGQTAEAAKQKAAAAAQYTIDTARAGTEQTGTYIGQTAEVAKQKAGAAAQYAMDTAVAGKDKTGSLLVQAGETVKGAAVGAKDAVMNTIGMGGGTNGSTDVPAKDTSTYKPGTRDY >SECCE6Rv1G0388320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:132394536:132402842:1 gene:SECCE6Rv1G0388320 transcript:SECCE6Rv1G0388320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAPLGGSTPSGRVLGPALDRIIKNAAWRKHSALVAAAKSALDLLSSSSYHSPDPTSPNPSPLLGLPVAAAAASLHALILALESASPKVADPALDCVAKLLYHRLLLGDLGEAADDSPASKLLAAVLSCGALNDDAMELATLRVLLAAARCPSITIRGDGLSQMLKTCYNIYLSSSSGANQMCAKLALAQVLVIVFARVEVDSMDVRVPTVSITDMMDVSDHRLNDSGIVQVAQGFINDAMEGSDVPEPGTPVATAEVDEKDDEGMSKIREDGLALFKNLCKLSMKFSTPDNPEDQVLLRGKVLSLELLKMVVDNAGPFWRINEKYLGAIKQYLCLSLLKNSALSAMSIFQLLCSIFVGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEDICKESQVLIDIFVNYDCDVDAPNIFERIVNGLLKTALGVTPGVTTTLTPAQDQTFRTESVKCLATILKSMGSWMDQQLRIGDFSPKISEVSLNSLDSPNILIGEDGSGIDYELQSDSYSPDTSDASSLEQRRAYKIELQKGISMFNRKPSKGIDFLTKSKKIGQSPEDVASFLRNTAGLNATMIGDYLGERDEFPIKVMHAYVDALNFEDMDFGEAIRYYLRGFRLPGEAQKIDRVMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNMMVKDKMSRSDFIRNNRGIDDGKDLPEVYLSTLYDQIVKNEIKMSADSSVPQNKQPSSVMKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQEKFKAKSAKSESVFYVITDTTILRFMMEVCWAPMMAAFSMTLDQCDDKAATSQCLQGFRYAVHVTSVMCMQTQRDAFVTSVAKFTYLHCVADMKQKNVDAVKAIISIAIEDGDYLQEAWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVDSEEKTQKSSTNTASKRTNALQNPAVMAAVRGGSYDSTTAKNNASALVTPDQINNFISNINLLDQIGIFELNHIFAHSQRLNSNAIVAFVEALCKVAITELQSPTDPRIFCLTKIVEIAHYNMNRIRLVWSRIWKVLSDFFVSVGSSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELVVRCVSQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHDKDTDHQSNNLDSSEGNTIVHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGELFSQSFWTNIFGSVIYPLFNSEISTPNGQSDSTEDNSWNFETKTVAVKCLVDLYVTFFDVMRPELTRVTSVVTSFIRSAYRQSASTGMSVFQRLTEGLASKLSKDEWKEILLCFKESAAHTLVVFDKIVNMMQNIEIPERNESYSEAEKYSDPDIEDEEEANMETSSYAIVKMKNHMSLQLLIVQGIVKLYETHRRSFCAEHMSIILEMLSAITSHASEVSSESALHNKFHKACSLLEISEPAVIHFENESYQSYLKLLQALLHDNPSLSREMNIESQIMLVSVKILRKYLNCAGQEPSKDASCKDPVVHWALPLCAAKKEELSARTPLVLHVMRLLGGLERECFRRNLPLLFPLLANLVRCEHSSREVQVALYDIFQSSVGPIISV >SECCE5Rv1G0312810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:247279968:247282255:-1 gene:SECCE5Rv1G0312810 transcript:SECCE5Rv1G0312810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHQSGAYKRKRKSQEENLINISYKGGMDRFVKKKAPQVSCGNQSVDPTTLALAIVPYNDSRDGETEAENIEVEEDNIDINLNSLPREDVDDSFQSDIFDPRNWDSLYSKKIDVFAQKGPKRDKSVKKGPKDRYSKRFTSVFYTRILSNGEHCDRDWLAYSKQLDRVFCFGCKLYRKGHRKGQLANEGCIDWSHMTNRLKDHETSVDHVLSMTNWYELRSRLEKDQTIDKAAQRQFEKEKDHWRKVLFRIICIVKFLAKHNLAFRGTNGKLYEDSNGNFLGLVEMLAEFDPIIQEHVRRITNEETHAHYLDHKIQNELLHLLASCIRSEIIKKIKRSKYFSVILDCTHDASHQEQMSLIIRYVDSSSSQVCIEESFLGFLDVNDTIGQGLFEVLQNELKLLDLDISDARGQGYDNGSNMKGTHQGVQRKLLNVNPRAFYSACGCHSLNLTLCDMAKTCGRAKDFFEIIQRIYTIFSNSTKKWHILKENISGLTLKSVSATRWESRVESVKAIRFQCSDIREALLQVSETDSDRKASSEALGLANNELGEYEFIVAIVIWYEVLFAVNLVSNNLQTKDMLIDVAIEKVNGHISFFEGYRDTGFLEALEIAKEIALEMDIDPKFRKRREIKRRKQFDENPEDTNIATQSVEESFRVNYFLCVIDQAISSLTRRFEQYEGYQKILGFLFTSETWRSLDKDSLKSSCVNLETTLMKDKQSDIDAKDLFVEMKFL >SECCE2Rv1G0131700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:886338597:886339844:-1 gene:SECCE2Rv1G0131700 transcript:SECCE2Rv1G0131700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRSRARRRSGTRKTATTLTDIPDHIVAEIFLRLPAAEDLARASAACVSFRRLVADKSFLRRFRRLHDPPLLAFFDSDGFHRPLSPHPSAPAATALADAADLSFSFFPNHGRWSVLDIREGRVLLLRPGAGKRPQVSMEAAVCDPLHRKYVLLPPVPDDLVASVAHPATPHEPWCEAFIVPLDEEAETAFGVMWMLHFTTRLAAFVYSSTTDQWQAVASKEWNELLPGQGESTMVSPIDRDFYGRYYAYGCFYWESTFTGMKDLLVFDTRRMEFSSCDVLPREFYPLGLAIVEAGDARLGLFGFHVEAGKFDLCYYIKGNKCGSSSQWQLEKIISICSGCRPDIKAVTGRYLLLGKFGPMRFLHSTPQQDLEYISVDVKTLQLARVCTKSSGFAFSKTWIYTNFPPSLSSPKI >SECCE4Rv1G0262280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:706848398:706854875:1 gene:SECCE4Rv1G0262280 transcript:SECCE4Rv1G0262280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLASSWIMNLCGSPVCSNQDVLSCALNQIFDSSTCINHLVVIGIGMLLILVLSLHLLVKIPKSRASMRQLFTLSSPLQLAAVLFSGCLGLIYLGLGLSMLDNIFNQDAYVYLPHWWLVTLSQGFSLICSSFAFSIRPRFIGASFVQFLSVLVTTYAAFVCCSSVVGIVAEKTITIKACLDVLSLPGAFLLLLYGVRCSHDEEGYQGNGNALYKPLNTEADGEMADSDSQVTLFAKAGFFSKMSFWWLNHLMKMGYKKPLEDKDVPLLQTTDLAHNQYLIFLAKLNSKQSQSNATPSLLWTIVSCHMRGIMVSGFFALLKVLTLSIGPLLLKAFINVSVGKGTFKYEGFVLAATMFICKCCESLSQRQWYFRTRRLGLQVKSLLSAAIYKKQQKLSNSAKMQHSSGQIMNYVTVDAYRVGEFPYWFHQTWTTTIQLCIALAILYNAVGAAAVSSFAVIIITVVGNAPLAKLQNKFQSKLMEAQDVRLKAMSESLVHMKILKLYAWEAHFKKVIEGLREVEYKWLSAFLLRRAYNSIVFWSSPVLVSAATFLTCYLLKIPLDASNVFTTVATLRLVQEPVRSIPIVIAVAIQAKVAFTRISKFLDAPELNGQVRKKYCVGTDYPIAMNSCSFSWDENPSKPTLNNINLVVKAGEKIAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGRIAYVSQTAWIQTGTIQDNILFGSLMDRQMYQETLARCSLLKDLEMLPFGDLTQIGERGINLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNDYVMGILSDKTVLLVTHQVDFLPVFDSILLMSDGEVIQSAPYHDLLSDCQEFKYLVNAHKDTTGVSDLNNMARHRAKELPTKEKYGIHGNRYIESVKPSPVDQLIKTEERESGDAGLKPYILYLRQNKGFLYAFLSVMSHIIFVAGQISQNSWMAANVQNPDVSTLKLISVYIAIGVCTVFFVLSRSIFFVVLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIIDLDVPFALMFGFSSSLNAYSNLGVLAVVTWQVLFVSLPMIVLAIQLQRYYLASAKELMRINGTTKSALANHLGESIAGAITIRAFEEEDRFFAKNLELVDKNASPYFFNFAASEWLIQRLEIMSAAVLSSSAFVMALLPAGTFSPGFVGMALSYGLSLNNSFVSSIQKQCDLANKIISVERVNQYMDIPSEAAEVIEENRPAPDWPQVGSVELKDLKIRYREDTPLVLHGITCKFQGRDKIGIVGRTGSGKTTLIGALFRLVEPSEGKIIIDSVDISTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPIGQFSDQQIWEVLDKCQLLEAVQEKEQGLDSLVAEDGSNWSMGQRQLFCLGRTLLKRCRILVLDEATASIDNATDAVLQKTIQSEFKHCTVITVAHRIPTVMGCDMVLAMSDGKAVEYDKPTKLMETEGSLFRELVNEYWSYTSNGNV >SECCE7Rv1G0486810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:418914531:418918459:1 gene:SECCE7Rv1G0486810 transcript:SECCE7Rv1G0486810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGGGAWAGGGGGHGGGHGLGGEAQIKGTLTHGGRYVQYNVYGNLFEVSAKYVPPIRPVGRGACGIICAAVNAQTREEVAIKKIGNAFDNQIDAKRTLREVKLLRHMNHENVISIKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQTLTDDHCQYFLYQVLRGLKYVHSAKVLHRDLRPSNLLLNAKCELKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIAMREPLFPGKDYVHQLRLITELIGSPDDTSLGFLRSDNARRYVRSLPQYPKQHFGSRFPSMSTGAVDLLERMLVFDPSKRITVDEALCHPYLASLHEINDDPVCPAPFSFDFEQPSFTEEDIKELIWREALKFNPEPIH >SECCE2Rv1G0073640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:70089378:70091470:1 gene:SECCE2Rv1G0073640 transcript:SECCE2Rv1G0073640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLFEDIFHVTRIDPDGKKFDRVNRIEARSEQLEMYMQLDIATDVYPMHMGDKFTMVLAPTLNLDGTPDTGYYTQAGRKTLADKYDYVMHGKLYKISEDNSSKDKGPTKVEIYASFGGLLMLLKGDPSSAANLELDQRLFLLIRKV >SECCE2Rv1G0103320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:589128329:589133362:1 gene:SECCE2Rv1G0103320 transcript:SECCE2Rv1G0103320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPEPVLCVALAAAAVALLAPLPTAAIAADCPLDFSWPNFALIASVCSDQNGHSKCCRYINAVLAVSSAMYANTTGTLGVPSELANACIRNISDTFVSNGILPTAASFCGLGIKIQASYQCVGMTTILQMLQSPNFSDVTRNCATSLPDDVSCKRCLNSGLSYLRHLVGEQDNVTLNTCRDAAFVAFVSQGNISTLDTASCFFSVQGLSALQVNISTSSPAGYPAPNISPSPFVSQIPGEHVAEVSSNHHRSYKRVLFPAIGALVTGLSVTLLVVLILLIRRKSKELEKIEGINPLKVLSSCVTKGQEGTSTIFGRFSYAEMRKATRNFSTTLGGNDNATIFKGQLSNGSVVTIKRIESSPKQDQLAFCKEMELLGRLHHRHLVGLKGYCLTKIERFQVYEYMENGSLKDHLHSSGKLLLPWKNRIQIAIDVANALEYLHFYCDPPLYHGDIKPSNVLLDKNYLAKLAGCGLAHCSNGVNTIVSSTPGTVKTQANPGYVDPEYVVTQELTAKSDVYSYGVLLLELVTGRPVVQDDRSLVEWSRELIGTDYRLHELVDPAVADTFDLDELQVMADVIHWCTHKDGGARPSMKQVLRILYERLDPLSGGFARAVEVEQGYYYGGQNGRKAKEWQQLPRDGGGDVIQFSGEPRCLPSSSSTSRSHCSRTVPLESNSPEEPQSPAHADRGGFFV >SECCE4Rv1G0250570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:593879447:593884629:1 gene:SECCE4Rv1G0250570 transcript:SECCE4Rv1G0250570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G14950) UniProtKB/Swiss-Prot;Acc:Q9LFR0] MHLFSGGGGRTGALLPTTSKPKAHHHRAKVPPASTRRRGPHPASAASSSSSRRVLQLAAAFFVFLFLFAFLRLGFPSSRSAAPSPARPRARLTRRPAFRRDSVAAEAAAAAVAARAGREAPVDITTKDLYDRIQFDDVDGGAWKQGWEVTYKGDEWDAEKLKVFVAPHSHNDPGWIHTVEEYYDRQSRHILDTIVESLSKDPRRKFIWEEMSYLERWWRDAPRKKQEEFAKLVHDGQLEIVSGGWVMNDEANSHYFAIIEQMMEGNMWLNDTIGVIPKNSWSIDPFGYSSTMAYLLRRMGFHNMLIQRTHYELKKELARKQNLEYLWRQNWDIEETTDIFVHMMPFYSYDIPHTCGPEPAVCCQFDFARMRGFSYESCPWRYDPVETDSNNVQERATKLLDQYRKKSTLYRTNTLLIPLGDDFRYVNTEEAEAQFRNYEKLFDYINSNPHLNAEVKFGTLEDYFSTLRDEAEKINYSRPGEVGSVELQGFPTLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRASEILSSFVLGYCQKFQCAKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDVHDRSDPTILSHFEPVQERSKYDVQPVHRVLKPHEGKTHSVVFFNPLEQTRDEIVMIVVSNPDVSVLNSTGSCLKCQISPEWQYVSGEKISTGRHRLYWRASVPALGLETYYVAVGQDCEKATPAVVKAFTASQEFSCPEPYHCSNLEGKTVEMKNSYHTLSFDVSHGLLQTVTRHKHGEKTEIGEEIAMYSSRGSGAYLFKPIGEARPIVKEGGYFILTEGPLVLEAHSLPKTEWDKSPLSHSTRIYSCGDSIQDMLVEKEYHVELVGRVFDDRELIVRYKTDIDNQRVFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSLGNRFSLHSKQSLGAASLKKGWMEIMLDRRLVRDDGRGLGQGVMDNRPMNVIFHLLMESNVSAFPKSHSSITLQPSLLSHRVGAHLNYPMHAFVSKKLHEKSFKLPQQTFTPLATSLPCDIHVVNLKVPQPLRFAHTEAVEPRFAILLQRRGWDASYCKRGGLQCTNIGEEAVNLFDMFKDLSVLNVKATSLNLLNDDPEMLGYLEQIGDVAQEGNVLISPMEIQAYKLDLQPPSSPE >SECCE6Rv1G0410580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:600110583:600112086:-1 gene:SECCE6Rv1G0410580 transcript:SECCE6Rv1G0410580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHAVLIPYPAQGHVTPLLNLAKVLHSRGFYVTFVNSEYNHRRLLRSRGEDSLAGLDDFRFETIPDGLPPMDNEDVTQDVPALCTSFATHGAALLRDFLVRIDDGRPPVSCVVTDGVMSFALEVAGERGIPALVFWTTSACGFMGYLHFFELIERGYVPLKDESCLTNGYLDTVLDWVPGMPGIRLRDFPSFVRTTNRDDVMLNFDGKEAQNAHRAQGVILNTFDAVEQDVIDALRGIFPRVYTVGPLPTIAVTAARARPELGAIGGNLWKEDASCLRWLDDRQPASVVYVNFGSITVMSPAHLAEFAWGLARCGRPFLWVIRPDLVAGEKAVLPEEFVAETRDRGIFLSWCPQEQVLGHPATGLFLTHSGWNSTLESICAGVPMVCWPFFAEQTTNCRYVCAEWGIGLEIDSDVRREEVARLVLEATDGEKGKDMRAKAATWKEKAVAAAEAGGTSTLGIDRLIAFLLGGTDDPKS >SECCE4Rv1G0293420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885789728:885790879:-1 gene:SECCE4Rv1G0293420 transcript:SECCE4Rv1G0293420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRGVIAKLLSSPSVFPLHRLISTTAPAPAVAPSPGFAVEEYLVATCGLTRPQAVKASPKLSHLKFPSNPDAVLAFLAGLGLSGAAAAALVAKDPQFLCADVGRTLAPVAAGLAGVGLSPSEIARLVSVAPGKFRSRSIVSKLHYYLPLLGSYESLLGVLRYGSCLVSSDLEKVVKPNVTFLRDSGLADCDIAKLCITLPCLLTSSSERIQAMVLCAQGLSIPRQSGMFRHVLHAVAFVGEQKITAKLDFLKKTFGWSDAEVGIAVSKHPMLLTRSHRMLRSRSEFLISEVGLEPAYIAHRPVIVCLGLEGRLRPRHYVLKFLKENGLLKGDPSYFTVVMVNENVFAQRYICPHKEAAPYLAEDYAAACGGEVPARFVFA >SECCE6Rv1G0420140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:675217337:675220241:-1 gene:SECCE6Rv1G0420140 transcript:SECCE6Rv1G0420140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP-like protein BP-7 [Source: Projected from Oryza sativa (Os03g0183100)] MTGAAPALLHHHGVSSRGTLPVYHGNCRFVPGSCFLSKSTILGPLTVSLVCGATPNNHRSRNPDISRQQKRGSSSRGKSKPFQERDDSENNDEFDSDTVSSKNGPPISLTSNSRPHATSAPGEREREIVELFKRVQAQLRARGKGREDKKQPEPAKSQGERGSVDSLLNLLRKHSVDQKRKSSDDKEQNFDQTWRSSDSGNKQSSRIFGTKNDAQEGQKPPPATFQRPPSSFRRRSPVPGVKFQLVTNPDADAKPVVNGMTDAVLKAKTPLEEETAQDEPDSVSPYEPDSVIAPEDASLDDFVVSDDESDVLDTDEPDDYLEPLDDADDVPDISASLDDSLEGSSSAEASDLNSLKVTELRELAKSRGLRGYSKMKKSDLVALLSDVS >SECCE2Rv1G0087700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:230235117:230240175:-1 gene:SECCE2Rv1G0087700 transcript:SECCE2Rv1G0087700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MASRMATVVLAGALPVPPRAPAAAAGGTKFVVWTRRPSFHHGIRCSFSRAPRSRPHHASSPSTAEEGVLELLKSAVAAIAIIAQISVALPANAVLYSPDTNVPRTGELALRRAIPANPSMKTIQNSLEDISYLLRIPQRKPYGSMEGDVKKAMQIATENKEAMLASMPAELKEKGSELYTTLLEGKGGLQTLLKYIKDKDNDRLSVALASSLDTIAELELLQAPGLSFLLPKQYLEYPRLTGRAVVEFTVEKGDGSTFFPTAGGEPKSAATIQVVVDGYSAPLTAGNFAKLVLDGAYDGATLKSVSQAIIVDSDTGEKGYTLPLEVMPAGQFEPLYRSPLSIQDGELPVLPMSVYGSVAMSHSQDSDEYSSPTQFFFYLYDKRNSGLGGISFEEGQFSVFGYATDGRDVLSQIKAGDKIRSAKLVQGRERLVLPAAASAPAPADPAPAPADPTPAPAES >SECCE3Rv1G0164740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:165685071:165685702:-1 gene:SECCE3Rv1G0164740 transcript:SECCE3Rv1G0164740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHDMHGSPNGGVKGFVSSLAGGGKGHGYGSSYGQGHGYGGGHVQGYQQGYGGHGQSYETGYGGHAQHGHGGYEHGYGGGHVQQGHGHGHEYGYGGHGQQHGYGGGHAQLGYPPTAGAYPPHGGYQAHGYAPAAYPSHGAHQGHMGSYHTGHGGGHHHGGKYHGGKYNGGKHGSKWIR >SECCE6Rv1G0440320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:804003463:804010398:1 gene:SECCE6Rv1G0440320 transcript:SECCE6Rv1G0440320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGIGLDFDFPALIQAALVGFLLLAAAAAALRRAASRYFLVDAAGFAAAYEDHHPHPAYAMPPPSSPLGQPAAPPELGPCAHCGAAGTKKCSGCKRMRYCSGECQSKHWQSDHKFKCKQMKLLDPIDKLPCGVEANSKKSPVSGHRGISLVPGHRKLNKVIYPYDDFLKLYNWKCNDSKYSDFITCGLVNCGNSCFANVVLQCLSYTRPLVAYLLGKDHYRQCTIRHEDWCFLCELQSHIQRAFDSVHPFAPMNILSHLPNIGGNLGFGRQEDAHEFMRFAIDKMQSACLDEFGGEKVVDHSTQETTVIQHIFGGRLQSQVQCTACGMVSNRYENMMDLTVEIHGDAESLEKCLDQFTAVEWLDGDNKYKCDGCNDYVKARKHLTVHQAPNILTITLKRFQSGRFGKLNKKVTFPTKLDLTPYMSTTDGTDQYDLYAVVVHLDMLNASFFGHYICYIKHYQGRWLKLDDCKGAAVDEEEVHAQGAYMLLYSRRTARPVPLLPVKEPIKHEKPCKVPPLNEQNHLIHEDVSLKCESFSKPSEDVREDSESSNDSVHRMDTVDQVSDLNLHMNIERDKFVTNESIHQPISSAVHVLEEDTRDSGSLLEGNNTMRADQFGNSACESSSVNSSEEECKEPAPGIDSVDYMDIDMEAGTEVETRNVQQQPILGDSVGVIGNKTSVPTFENCMAGKPKPLFSLGFLDKPSRKKSDSREECQNGGSMAVSSQKINGHSNEHLSRPEQGNGSVHCNGDMFVTPSNGVLVNGGTQSGNYSLDAAKRDVPSVQGFSPRPYRSPSSSNPNRNNTSKGDMSFFPRGFLARPRSGEKAVKVDDGLPFSNGNGKPSSSSSVNGKGISNTNSSPRSSRGGMGMSPGFLAKRSRESVAASFMGDPQSSNTSKEQEHVGAAALVPDKIQERRSSYCTTNGIEAQHGAASVHDVSGHSDENGHAFVGTKNGIHGEENGSNGTLDMHDSSCQMDENGRGVLDIKDVIFREQNVSNGTLDTHGMGSEDADHVVKSPAAPAHDGLRRRLTTSKYFHESSMDEQ >SECCE4Rv1G0221350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:48515766:48522170:1 gene:SECCE4Rv1G0221350 transcript:SECCE4Rv1G0221350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALRTPISLLHLAAAAGQRKRCTGGHRRRGYAQRRHLSGAPESLWRRSPRPPRSPAPAAARSKSNTSSLRPPLENAAFEEYYKEQRIVHEEEWDAFMSVLRKPLPATFRVNASCRFMKDICSRLENDFRGSLENEVSDECGEYALSPLPWYPDNLAWHLNLSRKQLRKNPALESFHEFLKHESEVGNITRQEAVSMVPPLFLNVQAGHHILDMCAAPGSKTFQLLEMIHQSKEPGLLPTALIIANDLKVQRCGVLIHNTKRMCTANLIVTNHEAQSFPSCSLAKDDSEAYKDHCKPQTLEFDRVLCDVPCSGDGTLRKSHDLWRKWSSSMGNEFHLLQVNIAMRGIALLKVGGRMVYSTCSMNPVENEAVVAELLRRSGSSVELLDVSNELQELVCRPGLSTWKVNDRGSWFQTHEDVPDSRKNVILSSMFPSSTSIHEGHTVYNGFDVNSEYNVPLSRNFYIEDTNNVNGDTGGISSSNSAQSLGSKFPLHHCMRIVPHDQDGGAFFIAVIHKLSPLNENQVIKVRKTENLLSKSRTVKLQEQCQHRIVSEVLDDNKLLDEQKKLSVANQTSKDDNLIEVKMTSDEVEYSQTESGDRSHRTNKLDYRHKWKGIDPVLFFKDEAVIKNIVSFFGIEESFSLENHLVTRSTDNARRMYYISKSVRDILELNAQVGEQIKIASLGVKMFERHRSKDGCSCAYRLSYEGLSLLFPYMRKRILHASPVDFQHLLQYRTINFARFTDARFGEEAASLMPGCCVVVLREGYQTADSIPKDPSPIAIVCWRGKATMNVLVSPADRKELLEYRFGFKAFEVEDEKSNKNINVLDVGSL >SECCE7Rv1G0469680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:112591925:112592451:1 gene:SECCE7Rv1G0469680 transcript:SECCE7Rv1G0469680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARRRQVPAFGEWNYCYYYDEPPAAVAMAVAAECYAPEPEACSDVWFRYSPPPRKPTPKKTRRSDQGDVARREKGETRGARAQDAAADLPRATAKAGSRMVRPVDEDLYQVPPPEFTSRRPRRKRSLLMGCLGLNSCVA >SECCE1Rv1G0054830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:676496838:676498352:-1 gene:SECCE1Rv1G0054830 transcript:SECCE1Rv1G0054830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRRVRPRRVVQDQDDRLSALPEELIRLILSRLDTRSALSTAVLARRWAHLPRDLPAYDLRVSDILPPQYDRTVALRRRNLPRDTAQARVLDGLMASCEVSATRAFEDGVTGFLEADARRCVNTLRLEFFHTHDGGRMVDRLIADAVGVWGVQDLEVVVRPASRGQEHEPWPAYSFPHDSLKDGHMSRLHSLTISNCTLSPRLHCYDALTKLVLRDMPASTPVGVYERVLSECKQLQVLHLTSCACVEDRLVVRSQISELVVEACSFMVIELQDLPMLAHLACLTNTVELVFGSVPCLTHTNLTFFVEEDILVLPPLPHHHDQLNHFLAMSPTMANLVIRFTGPKMWIRAKKLHEPLLHLKRLLVADLPSNWDVSWTRTLLMAAPSLEALHIDVARSEPPETYGIIWSIRSQKQCHPHMKEMIMLGFTLTRLQMEFLKYIVTACTSLQRLVLLKDGHVRYNGLWDWDMVRGLEECHWRDHDKRRLRRLIKSGPSPLVELILG >SECCE2Rv1G0118290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:781756729:781760687:1 gene:SECCE2Rv1G0118290 transcript:SECCE2Rv1G0118290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETKREPAGEGLPDGWLKEYRPRKARPGSRTRRDKFYIDPTNCYEFRSLKEVQHYLESQDTSDSVVTPNKRKIEDLQVSGNKSQHAVRPSSEPEGVSKGRLADLELQMARKNGQRLKHESAAREEANVEPKPKGKKQKTEPVKQIATPVRSSPRLTALKRNEEANDVPRDPLVDAQTYIADQVQPTEQVNNPKSKAISSPVIQNEDGAHAPSTSGNAEDKCPSAPEQILGASAACSLTDVGRQNAHAPPQQNGLVATADATPGYSLSSLFRSIWSDPCLEFAFRTLTGDIPVLDSNLAVANYFLPPQDSNKGTVQPNCSSSSYDGARKNHGQVDSVRLPMPMPMPMPRPRPSDKLYSSGWFPPQ >SECCE2Rv1G0102020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:572338560:572343332:-1 gene:SECCE2Rv1G0102020 transcript:SECCE2Rv1G0102020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAASPRPPSAVQLRSCGGTSAASSSSSACPFRARGPGSRVAATSGGSRRRRNAISCCASDERERARGAAAPAPSDGSIQLYSQIEKVITEAAKQSNQGWSSTGDWTEIEGAWVLRPKSSEPSFVVHFIGGIFVGAAPQVTYRYFLERLADKGALVIATPYASGFDHFFIADQVQFKFDRCLRNLVEPVNDLPTFGVGHSLGSVIHLLIGSRYAVQRSGNILMSFNNKEASLAIPLFSPVIVPMAQSFGPILSQLTSSPTIRFGAEAAIKQIENLGPPVVKQLLPLIQQLPPLYMDLVKGREDFIPKPEETRRLIKSYYGISRNLLIKFEDDQIDETSIIAQVLSSESAISSLLDMSIRSLPGDHGLPLQQVLPDVPPGMADAVSRGGELLANLTAGTPWEAVAKEVGTTFGTDSGVLRTQVPEDVNALVDVIVSWVASNSGPKLLRS >SECCE3Rv1G0160160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:103393684:103397034:-1 gene:SECCE3Rv1G0160160 transcript:SECCE3Rv1G0160160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGGGGYGGRGGGGGGGGRGRGGAGGYGGSGGGGGGGGYGAGGGGGGYGGGGRGGGGGGGGYGGGGGGGGGRGGGRGGGREGDWTCPDASCLNVNFARRTECNKCGAVNPTGGGGGGGGGGGGYDRSGGGGGYNRGGGDHGSGGGGYSRGDGDYNSGGRDGGAGGARGGYNRDGGSGRGFDDHRGGSGGGYGGRGQENNQGDGGYGQAPPKGPPSYGGPPGDYAPPPSSYGGNNVYSSDSAVPPPNSYGGGRGPYPPSYGAPPPNPYSGGAPGGQGGLPPTYDGGYGGRSAPGGGGAGGAPPPYHGGGGGGGGYSANATPEPATKVKQCDANCDDSCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKAKGDACLAYEDPSAAHSAGGFYNDYDMRGRKISVVMAEKSAPRAPTSGHGGGRGGGGGYGGDRRRDGGGHGPNRNQGGGSRSRPY >SECCE6Rv1G0415910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:645306624:645307250:1 gene:SECCE6Rv1G0415910 transcript:SECCE6Rv1G0415910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEDSKSARVTDNLNSALTIHQLTKEKNKLDADYDKLVKDVHQLVDMQHDRVVDFSYLQSNLTYQHQCRVELVAGMKAEMAKKDADREKLNQKYELLCNLTSAQATVIQNLKLKNLKEKELLSEARQNLELKNAEFTKFEEKLTQQKLELKFQVADMLKGKEKLDKEKYMLNLKIDELMKEEEKLKEKIKGIQAILQN >SECCE4Rv1G0229040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:142284287:142289605:1 gene:SECCE4Rv1G0229040 transcript:SECCE4Rv1G0229040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALPRTMEALTRRATMLRDSLHKSQGNTDGMVAILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTLKAGEAILSQFDLTRRAEATILRGPHEDLESYLEAVDTLKGIARFFSSNKNFRSSEGILNHVNGLLAKSSLKIEEEFKQLMSTYSKPIEPDRLFDCLPKSLRPSKDDEDENANQSDHPSKGLETAVYKTPTLVPPRILPLMNDIAQQLVQAGNQQSCYKIYRESRGSALESSLRKLGVEKLTKDDVQKMQWEALEAKIGNWIQFMRIAVKLLLAGERKICDQIFEGANFNKDQCFAEMATNSVVTLLSFGDAVAKSKRSPEKLFVLLDMYEVMRELQSEIEVVFEGKACSEMREAALGLTKRLAQTAQETFADFEEAVEKDASKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFETGNETESQLAVVTTRIMQALQNNLDGKSKQYKDPALTHLFLMNNVHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKVLQALSVQGAPGSTGSSTPADLNSSGVSRAVIKERFKAFNTQFEELHAKQSLWIVPDQELRESLRLAIAEVLLPAYRSFIKRFGNLVGSGKNPLKYIRYSPELVDKLLNEFFEGQQYGEPKHQHRL >SECCE5Rv1G0366710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815586937:815590371:-1 gene:SECCE5Rv1G0366710 transcript:SECCE5Rv1G0366710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G17990) UniProtKB/Swiss-Prot;Acc:Q02166] MATASLKLCSPKPLAPGAPSPSPLLRARRPSAARPIGPARRLPPARVAVQPAAAPAPAAPPATATFEQVLEQLIGGADFTEDEAEATLQLLLNERNEARIAAFLVLLRAKGETYEEIVGLAKAMMNNCLRVEGLHDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPDGIKRCVNEVGVGFMMSANYHPAMKIVRPVRKKLKIKTVFNILGPLLNPARVPYAVIGVYHENIVSKMAKAAQKFGMQRALVVHSKGLDEISPLGPGYILDVTPGKIEKMLFDPLDFGIPRCTLLDLKGGDPAFNAKVLQDVLAGQRGAIADALVLNAAASLLVSGKVKTLQEGVALAQETQRSGVAINTLESWIKVSNSC >SECCE5Rv1G0376320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872147997:872154292:1 gene:SECCE5Rv1G0376320 transcript:SECCE5Rv1G0376320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDRRLRLGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDLDAARLLASFRVLDGVRVHGIQPRADGLTLAVFGERRVKLLSLRVDADVRLELEQRLPGFDHWVLDACFLEVDGLLAIGLGDNSVALWDLTDRVFVTRVNSPDKCLLYSMRMWGDSVQSLLVASGTILNEILIWKLVPETTRSSSLLSSDESGATGVENGENVHLSDNKYMAVHIGRLREHEGSIFRIAWSSDGSKFMSVSDDRSARMWMLTCPPQDLTNQTAKIHDVDIIPKLTLFGHSARIWDCCISDSIVITAGEDCTCCIWGMDGKLIKTFKEHIGRGLWRCLYDPSSLVLITAGFDSAIKVHHLCNSSFHDILEENVAPDGLKYDSEVFEISSPIVSGQHGALDSKSEYVRCLHFVQENVLYVATNNGYLHHAELSDTKDPRWTEVIQVTEKAPIICMDVMRVCSDISLNKEDIIALGDGRGNVTIVRLSSPSLEPKIDLSFTWPAEKDRQLLGLYWCKSLECSHIFTADPRGTLKLWNIRNALLSNGHAITTTQEASLIAMFESPFGARIMCLDVSLQDEILITGDKKGNIAAFPFHKTLAAHDSSEAQQNIPLRDRFKGAHGISSVTSVKIITSASDHIEIHTTGGDGCICFFKYGTNVKNVEFVGMRQLKELGTIQSIYANHASGNQLIGTYAIGFTSADFIIWDLENDTKLVQISCGGWRRPYSYYLGTVPEYQNCFAFVKDHSIHVHRHWAPTQDRKLLPQVFHTQFHGREVHSLCFIDPASYSIPQKSTYLWIATGCEDGTVRLTGYSDGSAGRWCSSKLLGEHVGGSAVRDTCFISKTYTLADKSGNSSVSSADILVEDKDTTYSILISVGSKQVLTAWILEPRIAENNKQVCSSDLDLDTKQSSECSDNSDSAVTFQWLSTHMPPKLGTKRLKADHVKLNFEEGGKGNSSAQPNLAIMDQMENDWRYLSVTAFLLKHPATELTVCFVVVACSDATVIVRALLLPSRLWFDVALLVPQASPVLVLRHIVVASSAHCEGDVYNGDRYIIVSGSTDGSITLWDLTDTIHGFMQLVSETKPHMVIDCQKRPKTGRGSQGGRRRWRPLANSCVKKGNEQALLPSENNLNTSCGAAKSSHETSAAEGNDAIKNTENTVSSTRSFGVPEVQPLQLFSGVHQSGVNCLHVSETERQSCSAPGMSYCIISGGDDQAVQCFVFKLGPPQGCSTDTSNIISPPDKGGLQILGQHTVPSAHGSAVKGIWTDGAWAFSTGLDQRIRCWKMSSSGKFTEHSHVIISVPEPETLDVFHDRGSGKYHLAVAGRGMQMVEFSPAEE >SECCE5Rv1G0360600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:772761649:772770540:1 gene:SECCE5Rv1G0360600 transcript:SECCE5Rv1G0360600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDGGGDDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYELYMRAFDEMRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDADSINDAVEFVLQNFIEMNKLWVRMQHQGPVREKDKRGKERNELRDLVGKNLHVLSQIEGVDLEMYKENVLPRISEQVVNCKDDLAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPSVDIKTVLSQLMDRLSNYAATSPEVLPEFLQVEAFAKFSNAIGKVIEAQVDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGKEKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNATTKVMALVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGAQDDELDEEDFKEEQNSVARLIHMLHNDDHDEMLKILCTVQKHILQGGPKRLPFTVPSLVFSALKLVRRLQGQDGDVTGEEVPATPKKIFQILHQTIEALQCIPCPELSLRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITALHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANVSKGSSGSVILFIEILNKYLYFFEKGIPQITNTVIQDLIELIRTEKQNDSSASDPSAEAFFASTLRYIEFQKQKGGSIGEKYEQIKAS >SECCE7Rv1G0509790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:783610196:783615397:1 gene:SECCE7Rv1G0509790 transcript:SECCE7Rv1G0509790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVGLLLAPSSLARNPRRARLPYPLPSHHHRRPTYRRFASSAPLRSRQAPSLFAARAMSGSAGNPPYAAELAAAKKAVALAARLCQTVQQEIVHSDIQSKADKSPVTVADYGSQVLVSLVLNMEVTSGSFSMVAEEDSEDLRKDSAEEILEHITDLVNETLAEDGSYNITLSKEGVLSAIDTGKSEGGPSGRHWVLDPIDGTKGFLRGGQYAIALALLDEGKVVLGVLGCPNLPLTSISNLNGSSLGDQTGALFSATIGCGAEVESLEGSPPQKISVCTIDNPVNASFFESYEGAHTMHDLTGCIAEKLGVQAPPVRIDSQAKYGALARGDGAIYLRFPHKGYKEKIWDHAAGAIVVTEAGGVVTDASGNDLDFSKGRFLDVDTGIIATNKQLMPSLLKSVQGAIKEKSQAPSPL >SECCE3Rv1G0180350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:574701290:574703035:-1 gene:SECCE3Rv1G0180350 transcript:SECCE3Rv1G0180350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQAYVSRTHYLPIDAMDEQGSAAKPMAMAIKFSSCRGVAFEIKPSPASPFALDTGASPSDSPPTESGGGRWVWFPQPFNRNYSSTSFLTARSRASTHFCDLDDISDGDTDDESVATGEEIALTAADVSRVQTRRSNAPAKPVRAAAGHSRLVVILLDQGLFTVYKCLFLLCVALNAAGLAAAATGRFPYAKRHAAVFAIGNILALTLCRSEAVLRGVFWLTVALLGRPWMPVTVKTGVTAILQSLGGVHSGCGVSSLAWLVYALVQALELRGMTPPEIVGVASAILGLVALSCVAAFPLVRHLHHNVFERTHRFAGWSALALLWAFVMLTAGYSPAIRSYHRLTVSSLAKRQELWLTAVITFFTFLPWLTVRRVPVTVTAPSTRASIITFQGGVKAGLLGRISRSPLSEWHAFGIISNDRDTHAMLAGAVGDFTRGLVSDPPRHLWVRTVHFAGLPYLISMYQRVTMVATGSGICVFLSFLMQPSWAEVSLVWVAKDIDANYGDGLRGMVTSNENLGGRVIVHDTMTMGRPDVSELAVGAARQWNAEAVVVTSNPEGSRDVVRRCKNAGIPAFGPIWDS >SECCE6Rv1G0395230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:321746304:321749022:-1 gene:SECCE6Rv1G0395230 transcript:SECCE6Rv1G0395230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYHHRSRLPPPPPPPFGRGSGAVYPGGHKQLYAPQTPPRPPLLAPPVPPHRRFEVLMEAGRLAAEYLVSTGVLPPSSLQRGGGDPWAVPLPPLPQPRQGPPAFYDWRSNGGSYNGRWKRKYGEYRMGYLGRGREREKERGRSNSNGRCYEEDKDEDGAPGFQRDWRSSGENDESRRCVTDEVKEATPFMEKAVVQFEMKDTRLIADVTKNADALLELQAKNEGEMKGDKILSSELAMDPNGDVNNTSIVVVMEADAELLPDGKVVGEKAEDNNKVLCDRIALNVDMNFLENGLHVDRSSLLKHCDFAEAPTKPRSCHAHRKSVTEMVDLVSSREGSQMVADEAANERSLTNIQPENREDQIYQESTVSKTAYNEIIEPMLLQEKKTLVVTENMREDRNDAQLHVVQEYKEEQDVSSLTNSQKDNLMQENDLSPLPASRKGGLMQETNLYTLTGTQEDIMIEDANLSPLPDAHNDSLIAETNLSPMADAHNDSLIEESDQSPSTASHEVTSMQETDLTQSLYLHKNNLNLQFKEGAQICDTEIVPKEVDLFEFSDQKNTVGADLFCNAGAETITQTEEEEKLDQSSSFRIPNNPGFGQHSAPGYSVEPHKQLQEDFGANIGDIVGGTNDLCEVSLDNNPVQVFDIEDDMPIEGAGFDSSKAKNEMICSSMDVIMHPGIHTDDLPVIEDGYNLALSDYLAADIPCYTSLRPDLQAGICTNDSEGIPVMDDPIYGSLTDIGNGFLFP >SECCE3Rv1G0177930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:486996047:487000530:1 gene:SECCE3Rv1G0177930 transcript:SECCE3Rv1G0177930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl-phosphate synthase large chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29900) UniProtKB/Swiss-Prot;Acc:Q42601] MATSLSSHSQLRACPSHAHRATVLSRSLLPFPRRHHGSSRRGLSARSASSNGAAQDTAVTVRHFAAEPTKGGKLAGVKKIMILGAGPIVIGQACEFDYSGTQACKALVEEGYEVVLVNSNPATIMTDPDLAHRTYIGPMTPPLVEGIIANERPDALLPTMGGQTALNLAVSLAESGALDRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECLAIAKDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRSGLAASHTQQVLVEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDHSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPILTTQMKSVGESMALGRTFQESFQKAVRSLETGFAGWGCAPIKELDWDWEKIKYSLRVPNPDRIHAVYAAFKKGMRVEEIHEISFIDKWFLTELKELVDVEQFLITKNLDQLSKDDFYQVKRRGFSDQQIAFATSSSESDVRARRSALGVTPTYKRVDTCAAEFEANTPYMYSSYEYECESAPTNRKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVTNVIDLERPDGIIVQYGGQTPLKLALPIQRHLEEKKLQSASGTGFVKIWGTSPDSIDAAEDRKRFNAILGELGIEQPKGGIARSESDALSIASEVGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLNDAIEIDIDALADSVGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSTKCLDIIRSWTKKLAKRLNVCGLMNCQYAITPTGDVFLLEANPRASRTVPFVSKAIGHPLAKYASLIMSGVTLPELGFTKEVIPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQILPVSGTVFVSLNDLTKRHLAEIGRGFRELGFNIIATSGTAKVLQLEGIPVEPVLKIHEGRPNARDMLKNGQIQVMVITSSGDDLDSRDGLQLRRLALAYKVPIITTVDGARATMDAIKSMKNKSIEILALQDYFQPADAPQKLPAAQAAP >SECCE7Rv1G0496260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:610511629:610512210:-1 gene:SECCE7Rv1G0496260 transcript:SECCE7Rv1G0496260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPPRLLPLVEQSTMTLPPLGKKTKGRQRRENRRVEKKESRQVTFSKRKSGLWKKAAELALLCRASLAIVVFSEAGKAFAFGSPSTDAVLGCADALAPVPAADDVEWEALEALCRETEAMGVEVAAEAERMTAVGKRVVEVQTQAGKRFWWEADVEALGEAELPVFARALQRLRDNVRRHADKMPSAAPPPQ >SECCE4Rv1G0232560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:204381693:204387190:1 gene:SECCE4Rv1G0232560 transcript:SECCE4Rv1G0232560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNGGGDDYVSEAVRPEGDTHTREEGLSKSRDRDREKDKDKERHRDRDRDRGRDRDRGRDRDLDKDRDKDRDRHQRHHREKREHRDRPDDHDRHRSRDSERRRDRERDGHRRHRSRSRSRSRGRDDHRSRSHSKSKRVSGFDLGPTAQSIVPQFPTIPTPSQLPGSSIPGMFPNMLPFAVGQFTPLVMQPQAMTQQATRHARRVYVGGLPPSANEQSVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSSNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGSAQPRPEQENILLQAQQQVQLQKLVYQVGALPTKVVCLTQVVTADELKDDEEYEDIMEDMRLEAGKYGNLVKVVIPRPHPSGEPVSGVGKVFLEYADVDGSTKAKTAMHGRKFGGNPVVAVFYPENKFADEDYDAAA >SECCE6Rv1G0449370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:861699432:861702411:-1 gene:SECCE6Rv1G0449370 transcript:SECCE6Rv1G0449370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEALVTQDGDTDMLAKLASQKFLWLSIETSRSQSGELDWKSLQAQQSVRTLISTIQIKMMPGDSLVTFSSLRTLHIESADMAVLLELLHQLKHVRYLALVNAGISVLPGNIGKMKLLQFLDLGGCTKLVNLPDNIVNLGQLRLFALPKASMVPRGFSGLTNMRILRMFRARMDNDWCSLDELGPLSQLRFLGLNELENVSAASFASNARLGEKMHLITLLLGCTSKLGDDGLVKEKEGVSEEEQQRIEKVLDKLYPPPGVEDLQISGYFGRQLPSWIMSTSTVPLNNLKTIFFLDLAYCTQLPNGLCHLPNLQFLQVSRAPCIKHVGAGFLQAAAASFPRLNKLILLGMVEWEEWEWEEQVQAMPRLEKLVLSKCKLRHVPPGLASNASSLKILFLQHVKHLSYIESFPFVVEILVEGCSDLERITNIPNLQKLTIENCPKLKVLECITSLERLVLKDYTMEKLPEYMRDIKPRHLQLLCRLWLLSAVAAGQSGTEWDKFSHVEHVKAYARDGDNQRKWYVLYTGGDNCKLDSNISSFTIFEETLSSCIVGPQGFELVYKMRRSTFSYVCSLVRVPFFESMMAREHTFVDGRVLSLQVGVAIALRMLNSGETSVTLGSSIGVNESTVSLVTTVFVDAMWKQAWHHFSWSGSAKMDKIKRKFDKIHSMPNCCGVVHIAHFTFGENDGRLMQAVVDPDMRFTNIWLGSSDSMNQSSLLHNSRLFKSCEEGTWLNGSKLNLSDGWQVGEYIIGDAGYPLLPWLLTPYRLEDIDLTEDFAPYQAEFNRRHSAASTITYAVLARLKDTWKILDGAMWHSVSSCPLPGIIYTCCMLHNIVIYMEEEGEGMPNDQEENYIDEVRQLADEDAVRVRDMLSQHLIKSGGKMAQILMCVHSNVSLFSLFVSSF >SECCE5Rv1G0305450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:72528928:72540963:-1 gene:SECCE5Rv1G0305450 transcript:SECCE5Rv1G0305450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKREFEFHRHLPASNWIVVRIDGCHFHRFSELHAFEKPNDERALRLMNACATSMLEKYPDIVFAYGVSDEYSFVFREKTEFYKRRESKIISLCVSYFTSVYVMKWKDFFPNNDLKEPPYFDGRVVCYPNINTIRDYLAWRQVDCHINNQYNTCFWALVKSGKTEKEAHQALKGTFSKDKNKLLLQQFQVNYNDEPAMFRKGSSVYRDKVKTDDCGNPIKRTREAITVSNFDLIGPEFWENHQYILGEASDYLCLGGKEKYGYEYVKKFDNIHRLPYSNWTIVRISACQFDQFSLIHSFDKPNDETALRLMNACASLMMEQFPDIIFGYGFDNEYSFVFQEKTELYQRDERLIISSCLSCFTSFYMMKWKEYFPSKELVQPPQFQVEVFCYPEPRIICDYLSWRQSECHNRNQYTTCFWMLVKSGEGENKAKEILKDTLPKDKNELLFQRFQMNYNNEPAMFRKGSCAYRQKVDASEDERWNVAVARVDMGPHFWRERPYVFNIR >SECCE5Rv1G0365320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:806154647:806157142:-1 gene:SECCE5Rv1G0365320 transcript:SECCE5Rv1G0365320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYALKVERTLENLEHTTNNLLLRRNNVRYMNDIAKKNVTIGQEAAKEHQEVQECLDDNPHIVAVKYVPSMSVEDRNLQEALRLIKDDSVGVIGIWGPGGVGKTRLLNKIKNSLDDDMIFNYVVQVTASRGCSVEKIQTDIARHLNLNRDGNVESQSRIIFDFLKKRSFLVLLDDIWGQIDLQAVGIPYPLGNANQIWRKVVLTTRSRRVCGQMEVSKDLNVSYLHEDDSWQLFQDKVGHETLFYSPRTEALARELVKEMKGLPLALITVGKAMYGKTDTAEWEYAIQHMRRSCCDNDDPLDMERIVFSQIKFSFDSLRNNTLRKCFLTCALWPEDQHIDKVELARCWIGLGLVHESDIQSSYTKAYSLMGDLSAACLLDGCGEMYASLKMHDVVRDMALWISCGCNEKNGKWFVCAGIGRHEKFSIPWSQVEFVSFMWNYVLKLPPVDSNPCHMRMLCLGNNWLHESILAEEIKKFTSLTYLDLRFNILREIPEELCSLTNLEHLDLSHNIDINEVPYCFGNLIKLKFLYLEWTSIQRIPERVISRLQSLQVIDFNTFYLRPVSKDLLSRMLRELGTLPHLKAVGITAMCFSQYKLLRESENLPIRHLTLRRLETRALYLSDILSHGFAPRTLHELLIHMCVMEEIIFGHELAQPSCCFGILNQLGLLDLSNLRGITWMETSPASLFPRLTCVDVHSCGKLNHLSWAMDLPCLEQLVIYDCSSMRQAFMRHHGDNQCSGQDSSNTFPCLKYLKFLRCESLVGIGDPDVAFPSLERLVLHHCPELNRLPFKTDNLPRKLQELQIDVGSWERLELEEGVKSFLLPRLKLEK >SECCE6Rv1G0426170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712064254:712065120:-1 gene:SECCE6Rv1G0426170 transcript:SECCE6Rv1G0426170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVRWPASCDGLLVLSKYNRPASGTCLSICNPATREHASLGPPWDFKLLGMYSHQPTGEYRLLLQRWSFTDCLDSPKDPIGFYVFVLGSNQQPRYIGWPEMTSWCIHEPVMVRDCLHWYPRFNLSGRGYLSESKPVIVFNTIAESLRQMRAPIVPTYSYIFEMDGTLGIHNHNRDTQVMDIWMLQNYEREIWDFKYHIKLPITEISTFRGSEESWDVDVVSRDGDLLFLVSFGRCLLHVNSDGKLIDYSYHDYETLCMFKFQLKQSLVQHTFFPALEGYAVNASPFI >SECCE5Rv1G0364950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803589627:803590040:1 gene:SECCE5Rv1G0364950 transcript:SECCE5Rv1G0364950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVKHCRDQDEAVTSLSLSLSLGAMADRTKKQRRGGADGEFVCKTCSRAFPSFQALGGHRTSHLRGRHGLALGLTAGLTEPATKKTTDQKQAHQCHVCGLEFEMGQALGGHMRRHREQEAATTAQAPPVLLQLFV >SECCE6Rv1G0407350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555873378:555874031:-1 gene:SECCE6Rv1G0407350 transcript:SECCE6Rv1G0407350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSFLLSSPRPLHRAAPSLLSPPAPRLRLPLLGPAPAAALLPRRLLAPPPRANNDNSDAVGAPDRLVAAVAYLYPFLDGAHHGRFLVAQYPVFNAVLSPLGPAARLFHSSPLTPFLLFITLYFAVVRNQQAFSRFVRFNAMQAVALDVLLIVPDLLAQSFAPSGAGIGLDIFTSLENTVFLFLLVSLVYGGGACLLGITPRLPIVADAAERQVM >SECCE1Rv1G0051020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:650927232:650933827:-1 gene:SECCE1Rv1G0051020 transcript:SECCE1Rv1G0051020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate dehydrogenase 12A1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G62530) UniProtKB/Swiss-Prot;Acc:Q8VZC3] MSRLVSRRHLAAAAALRRSPAAFASRWLHTPAFATVSPEEISGSSPAEVQNFVQGKWIKSANWNWIVDPLNGEKFIKVAEVQGSEIKPFVESLSKCPKHGLHNPLKSPERYLMYGDISTKAAHMLGQPEVLDFFAKLVQRVSPKSYQQALLEVQVSQKFLENFCGDQVRFLARSFAVPGNHVGQMSNGYRWPFGPVAIITPFNFPLEIPLLQLMGALYMGNKPVLKVDSKVSIVMEQMIRLLHDCGLPAEDVDFINSDGITMNKLLLEANPKMTLFTGSSRVAEKLAADLQGRIKLEDAGFDWKILGPDVQEVDYISWVCDQDAYACSGQKCSAQSMLFMHKNWSSSGLLEKMKKLSERRKLDDLTIGPVLTVTTATMIDHMNNLLKIPGSKVLFGGEPLENHSIPEIYGAIKPTAVFVPLVEILKSDNFELVTKEIFGPFQVVTEYSEDQLDLVLEACERMNAHLTAAVVSNDKLFLQEVLGKSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREVIYDIGPLPTKWALPAAT >SECCE7Rv1G0483220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:318987819:318990944:1 gene:SECCE7Rv1G0483220 transcript:SECCE7Rv1G0483220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMQGKVQQLSDSNRRIMEADAAPPRRVYQAWKGSNIFFLGGRLIFGPDVRSLIATVCLIVIPVIIFAAVVSPQLTHGNQNQIGGWVASVSVIFTAYILVLLLLTSGRDPGIVPRNSHPPEPEDIGESSNLSDWPGGQHGSNGLPLTKDVLVNGVLVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFLMFVSSATVLCIYVFTFCWVNIGKIMDTHECTFGRAILKSPISAILMLYTFVAVWFVGGLTSFHLYLISTNQTTYENFRYRYDRRSNPYNRGLVQNFIDILCSRIPSSRNNFRAKAKEDSAAFTSSLSMGRVLSPPKMSVDLEMGTKRQAVGAEEMEDLHSQIGSSMGLERCGTEPPHFVGRKGCSEIASDIETFAEEFGMDNKFTERKKIERHTNDNP >SECCE2Rv1G0088840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:249140229:249145730:1 gene:SECCE2Rv1G0088840 transcript:SECCE2Rv1G0088840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVKAARPVFRGAHDGVAFAVNAAFLAAGYSLCAVGPAALTDPLPAVDEEVEIDGWNTLDNCYAFRYSKEEEGKKKRILVKCLVIDDFLAIDALDLEAQHKEPCNVQINVKDFFSEEQPKNYKDMYKNFPGFINTLNSSLLVELDGKDAAAAAAAQKPDVESSSSINSSENVLRDTRTAEPAGLIYPPVAPFGHDDTFPAPGAGFYPHSGGMGGSMHVGPNDPRFFPSNPFPAPSGGPGSVPPGGRYDPIGPPDVPGFEPSRFVRRSRHPGGSTHPDLEFFQQGPDF >SECCE3Rv1G0195530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:798539558:798541492:1 gene:SECCE3Rv1G0195530 transcript:SECCE3Rv1G0195530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEPSTSAATSAAAALAGDANCTEPWSARVRTLARLGRHREVLALLRDGDPSPPPHALALPAAVISCTALSLVSGVAQIQALGCKRGLLPSSDAYLLSSLLSSYSRLGLLPRAHQLLDEMPLPSTPPTTLRTAFNSLISGCALHALPAACFALFRRMRAAGAPFDAVTLMTLLPAAPQSVVPQLHALAGKAGLAAETNVANCLISAYARRGAGLARQVFDELPLTSRDIVSWNAVLSAHAQNGLAVDALDLYSRMCGHDGGGVEPDAVTLVGVLSSCAHLGARGVGLGVERYMQERLPGFRANVQLCNSLINFHARCGSLPRAQQLFNEMPRKSIVSWTALITGYGMHGHGDVAVNLFQAMVSEGIRPDNVAMVGLLAACSHAGMYDEGRKYFSAMESAYQLRPTLEHYTCMVDLLGRAGRLKEARELISSMPMPADGAVWGALLGACKIHKNVEIGEEAFEHVIKLEPSNVGYYVLMANIYTETRQPDGVVRVRAMMRERGLKKEPGCSYVEHKGRVHLFMADDHSHPQANRIYELVIRLEQMVKEKTDGTAEMEQGRVDKGHSEKMAAPLIGFHSEKLAVAFGMLNTDDSEIVVIKNLRVCGDCHSFLKVVSAIANRAFLVRDASRFHRFEGGACSCKDYW >SECCE5Rv1G0338620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:599233272:599235385:1 gene:SECCE5Rv1G0338620 transcript:SECCE5Rv1G0338620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSLLSQCLAGLLSHDKAAAHCVDIVPKRDQSHHRLLVSICCSWQSYTARSNIHPYKYAGGHIEMHGMNIFKGKVSVVDIAGLSRSDLATPRSEGPLKCCESSLELVNVLKNEICDGRLTFRNKHVIEGASTVHFQDPSAKIIRCRTIPKSRLPQDIHFYAGEWEELHTVLSIIQEDEVDALSGVGLGFCEDNFLDGCNICHETSSRRSTKLSGSRAWERGNEACAGDEGGYDIVLVNKIPYSASSLQNLYSLIKKCLRPPYGVMYLMAKKNYISSSSMVHQLRALVEEEGAFGVHLIAEPPEREIWKFLSK >SECCE7Rv1G0485740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:382452169:382461214:-1 gene:SECCE7Rv1G0485740 transcript:SECCE7Rv1G0485740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSTQFRYTQTPSKVLHLRNMPWESTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPRYLLAEHVSICHLRITFSAHKDLNIKFQSHRSRDYTNPYLPVNPTAIEGIAQPILGSDGKIKEPESNVLLASIENMQYAVGVDVLHTVFSSFGAVQKIAMFEKNGGMQALIQYPDIITAGVAKQALEGHCIYDGGYCKLHLSYSRHTDLNVKAHDERSRDYTVSDPSARVQAAGQAPGLSTPGAAWQSTTPAAFYGNSAGATSTGQVPAWNPNMQRGAFAPASTSYPTQLLMANPGPHFPAVGSSSGAPPMLYQASQQMAQYGTAPAALPHAALSGEPTYFPK >SECCE7Rv1G0455970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8798263:8800916:1 gene:SECCE7Rv1G0455970 transcript:SECCE7Rv1G0455970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDDCFIPLPVPLHGRWRCGSHPCLSQLDLDERAAAGSHGVPRRILRSPNRPLAVPPTPLDPLPTYKGNAFPEEEPKQHQVQVSRAASKGRYARFKENLNAKPQETKQHHHQVAVSCAASKGRSRAGWMSMERSTPEGINMEEHASRFQPLPLPRFTGDVDRATVGIPVVMRERKPLEVRNALRERRVAAKQKDARYHAEVALRKYNRANNTKFELVEVKVISIFYEFGGAGAHYNFTAKQPEEQHSADADSTKLFFSEVHLNFRSENDVIMCCIVGENDAGHCYGCENYQPVIHPSSQAYGGGSSTCIDYPCSDGDSDSD >SECCE4Rv1G0229240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:145798892:145801523:1 gene:SECCE4Rv1G0229240 transcript:SECCE4Rv1G0229240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERNIIVLLSLLLCLLLSWHVSAAGATTAKRRHSSPLSRPHRVITAEAAPATKVPSMVQYETRYYTQRLDHFNAAPVSYRTFPQRYLVNDTYWGGKTAPVFVYAGNEGNIELFTNNTGFMWELAPRFRAMLVFIEHRYYGRSVPFGSEEGAFKNTSTVGYLTTTQAVADFATLVQSLKANLSAPTAPVVVFGGSYGGMLAAWMRMKYPHVVIGAVASSAPILSFYGMADPYAFYDVISNDFKSESKNCHDVLMNSWKELDKALSDDAGRAQLNSTFKMCRGSTVDAIPDLLDTAIVYSAMTDYPTESGFLTALPAYPVKEMCRAIDHAKSGKDTFSRIKDALAVYYNYTGNAHCLGDATEHDPYGMFDGWDWQACTEMILMSYGVRNGSVLPPEPFNFTGLLDGCRASTGLPPRPYWIATEFGGFDIANVLKRSASNIIFFNGLRDPWSSGGVLKDISRSIVALVEPKGSHHVDLRFSSKDDPDWLKQVREKETRIIAHWLNQYYKDEAIKS >SECCE1Rv1G0043390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:592083802:592085289:1 gene:SECCE1Rv1G0043390 transcript:SECCE1Rv1G0043390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSWSRERCVRTFYVAALLSVVLWALIFYSTSLQSGDALKPPAFIGRPAQDPCAGRYVYMYDLPPRFNADLARDCRKLSSSTDMCRHVVNDGFGPPITDGGGAFPESGAYATDQYMLELIFHARMRQHECLTSDPAAAAAVYVPFYAEFDLAMRIDNNDDMSARNALPRDMADWLVRRPEWRAMGGRDHFMLASRPTWDLLCDPNRGGYGNSLMTYPAIRNMTVLAFEASPWQGNDFAVPYPSHFHPSSDAQVAAWQSRMRGLERRRLWCFAGGSRHESRKTGRNQIIEQCGRSSRCALLGKEAVTKSPGEYTQGEYAPSHAMRLLESAAFCVQPRGDSYTRKSIFDTILAGCIPVFLHPISAYVQYTWYLPRDYRTYSVFIPQRDVIGRNASIEEALRRIPPAKVARMREEVLRLIPAVMYREPAAKGVEFKDAFDVAVERVIDRVAKRRRAAAEGRECRDSVDGYDSWKYDLLEDGQKEIGPHEFDPYMSM >SECCE2Rv1G0139010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:925003435:925006414:1 gene:SECCE2Rv1G0139010 transcript:SECCE2Rv1G0139010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTSLGSAQGAVNSLLVRLASVLVDKAQLLGGVRHNVQFIKDEMESMNGFLRHVEEADEEDYQVGAWMKQVAEVAYASQNSIDLYIQSIGAGRREPGLLGYLRRLPKLVWTLPTRHRIASQIRELKIRSCEVGERRMSHETMKRAGWQAAGNDDKNEQKDARRRRFADLRLARDRVDGYCEDLLLPFLMESDALDGPGRHNLKVIAVVGMSGKGKTYEAARAYQAPSVVSSFDCKAWICLGQESTKVAAFLRKILVALDNPSDQFDLESAQLQSMEQEELIAQLQPNEKEELRTKLQSKEMNKLVPKLGPTEEEELIAKLQLRLQGKRFSTEEEELIAKLQLRLQGKRFLLVFDDVRDTSLWYRIKSAFPNESCSPGSAILITTRSDYVARSFYPNPNLAIDMDTTSDWYLGRAMALTNIKWELQPLLQKIVSKPLPVGLFLRALYVNPKRTEADLQRLCDNLDNSTTLSSNNARQILKFCNLTSNCKNSLLYMSIFPEDTIFERTRLVRRWAAEGMTAQRGRLSALDEADHCFDLLLAHGFLTPRDMSDTGKVKSCRMDAIILGTVTQIAREDHFVKNDHHPDLAHRLSVHYEGQPQQAEKACWNICGRQATVEQSNATQEFLESLPSSSHSGILKVFDLEDCNELEDHHVKIICNHAFHLKYLSLRKTGITELPKQLGKLQSLETLDIRETKVKSFAKNSIFLPKLKHLLAGHWTDEEPTFSTVAMPKHIGDMTELQVISHIAVSGDGSELQYVGYLLQLVKLGVVVSGSKASSVLRHLYHATGNLGFLHSLSIQVAETDEDYENMNTKDASPIYPKYLHKLKISGLKNGLPSWIEKLKVLTKMNLCKTFITDDDFIILGQLRSLSGLRLQQESCNESILAFKKDAFQTWSSTREKSLSGIEHLPSLKNLELTGIFDLQSVKLAIEANMNKPILVTN >SECCE5Rv1G0335930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:580694447:580699919:-1 gene:SECCE5Rv1G0335930 transcript:SECCE5Rv1G0335930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEAARRGREALSGGHLCHVCGHQYPNPNPSAKLRRSHRRNSCRKVTPAAEEEDAGPRNAGEGRLVLGAAGGPGDGEEPGNGAASGGSSSPGSANGGAESVEDRENAEHASPNAARVQASTSDYTETGLIPNNSSETADEGNRTDSERPCTNGVQHKVPESFTEIGQLAVAHPTEREDCLEEYQDASSFLHQSDPEDGAAIARDSDVHTEIKNLDKGSVGSSVAADVISLERYDTCKYQFSRESSMADFPAESEVENEAEYYAENITPVLVGREDGLNLQSTGDCSADIDSNNSDVVVDSKSDKTSGSCEYMGDLNPSSLQKCSPLVSDPESQSACSRKVDSFFEDGTEVLDSMSEVSPREEIVQSYPIVSETISNSEDEVKLIHTENTSTDHSTERSSQNRSAQDTSCVQLPVDNSCLVKSVCSVPGYQNDLAVTNVDSMLKPIAEDNCSKGSLVKGSALDSSCEASPEQQEEICNRESATEVPTNYQLSTSQEHVTLLMDQVTSTKNPFNVDDTRSDDLFELASGYHLGAPNVFESEQEVDSTSQTVSNQTSDADGKHCPISDDRMVAAVSSKNGYAVDAEDASVSSSADPVKNVFLHDASVNHDKKEGESHTNGIIDAPSQVFPTEFGTMPVSQEINAVSTHVEEKIDTEDSKAKDKTPVETIDDSEEKKQTQDASAKVMAAVLHSDNVEEDKLAEDTGLKEVTAVQSTENIHEKDQTEDGRAKMMDEEFNTADVGNRQTDVASKEEKTDEVQQADNAEEKKQAPETSAKETNAVQSRDHAEERKQAEGTVGLEGNKQNGEIAAIGSRLNSARISVPLKVLLAEASLEGKEKKPSTKERVLSFTRRGASKDDASSAKPGAAGADDQYWNSPAKMPHDDNNNNVDKRSKVRKQPWMPFICCHSVH >SECCEUnv1G0536920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:66834514:66843410:1 gene:SECCEUnv1G0536920 transcript:SECCEUnv1G0536920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKHSAAAAAAAEVDADEPSQAPLPLDDYSGDVCAALTARYGRSASAQHRHLLATAAAIGSILTDDGHLLTAESYLPAVVSALRAAGPSDPAAAAALASLLVILIPHISSLPPASASEPASSLAAFLASPDASKLPTGTVRSVVKSLGQLALHLDAAADWGAVAAPLEALLAASVDQRAKVRRCAQESVEKLFPYLERCGCAKKASGAAIDLFEKHILSVRSLAKLDSDAPEAKETEAVHMLGAVAVLVPYLSKKARKTVFSGAYRLLRPRFSPLTRHVLRLMETLLEHLKAENIESELEKLISLVLAYLPYDEKKPDDTIIAALQLMRNCLGKLAGRPKLWTKVLPSAFEAVSGYLILDSKCSEDVAELLIECIYSHVDQSIFVTNESGCDAEDSSDGAAIKSICLSINKKLRKCASPPQNVLKIVLAMFLKLGESSYVFMKDILLTLSRLGSKIHKEPQLKNVEECIGAAIVAMGPDKINSLLPISFEEAWFTCSNMWIVPILNKYVYGASLQHFLEYIVPLAKSLQEASSRAKKARKCKELQGWSDQLWNLLPAFCRYPSDVYQNFGSLSKLLLEMLKSDRCLYKSASKGLQQLIDGTRRLSSSDEDVEVPAEVSALCSSKTNNLSCVSLQRCSKKDARKSMKVLASHSVDLLCTFADDFLESSEKRAHLKDALRSLAQISGSANICNLFLSLLKKCGLEDTPSIPENLECEANEVDGKGEENTDSTAEINNKRSLLMELISTLAEAADEDVLDLFFGFIKSSLLDSSKSCESKALFALSTILKEHHEYSLAQLDEIMMLLHGIKPDSNNAVLEGQLVCYKYLLVHMIKVNEESTSKKAFLILNELILALKSKKESRRLAYDVLLAISTSLRSSELNNGDSDLQRLFTMVMGYLSSPSPHIVSGAIAALSLLIYTDADFCVEVPNLIPSVLVLLQNKAIEVIKASLGFVKVLVTSLQSEKLLGLQADIMTGILPMSAVTKHHFKGKVILIVEILIRKCGFDAIDLVTPEKYKEFVRSVEEGRKGNNNPADGAQSEAKDPEHHAPKRGRWADSNAESGQEEALTGKKEFFVKGAGKPHFRGGRGRGRGQQHGRASGDRGGFGSRSEAQSGDGQSSRGGRPRGRGTRPGNGGFNRTRGRSGGMGGPSSHSPRFKKPRTAAAS >SECCE7Rv1G0505310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:733116751:733120997:1 gene:SECCE7Rv1G0505310 transcript:SECCE7Rv1G0505310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophospholipid acyltransferase LPEAT2 [Source:Projected from Arabidopsis thaliana (AT2G45670) UniProtKB/Swiss-Prot;Acc:Q8S8S2] MASRNHGPTQASSLATPLLSDSITPTTPTRAANGHARGHDGDDDPCAAASVCDGGDPFAFLSEDGRPPRPPGPSPADPFRNRTPWLGGPYGWARTLLLAPVAAVRLVLFGLAIAIGYAATWVALRGWTDSRERPREGAGPMPTWRRRLMWVTRLSARCILFSFGYHWITRKGRPAPRELAPIVVSNHVSYIDPIYFFYELFPTIVSSDSHDAIPFVGTIIRAMQVIYVDRFSPASRKSAVNEIKRKAAGNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVVVRYPHVHFDQSWGNISLIALMFKMFTQFHNFMEVEYLPIVYPPEIKQENALHFAENTSYAMAHALNVLPTSYSYADSMIASRAEEAGKANCSSYMVEMAWVKEVYGISTAEAMELLEHFLAMNPDSDGRVKAQDFWAHFGLDCSPLCKKIFHYFDFENKESITFRQFLVGCAHLRKQPLFEGVCETAFEKCKAPGTSDISLAQLADALRSGMIPPADDGMTKLFETFDIDDDDKISKDDFVTCLARFPFMIALFAGRINGEVYIEIV >SECCE3Rv1G0196260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:807403604:807404698:-1 gene:SECCE3Rv1G0196260 transcript:SECCE3Rv1G0196260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAIVLSATMAAAALFMLLAAAPAPASAMDFGDHDLASEDSLWALYERWREQHTVARDLGEKARRFNVFRENVRLIHEFNRGDAPYKLRLNRFGDMTADEFRRAYASSRVSHHRMFSRKEGGGGFMHGSARDVPPSVDWRQKGAVTAVKDQGQCGSCWAFSTIAAVEGINAIRTKNLTSLSEQQLVDCDTKSNAGCNGGLMDYAFQYIAKHGGVAAEDAYPYKARQASSCNKKPSAVVTIDGYEDVPANDEAALKKAVAVQPVAVAIEASGSHFQFYSEGVFAGKCGTELDHGVTAVGYGATVDGTKYWIVKNSWGPEWGEKGYIRMKRDVEDKEGLCGIAMEASYPVKTSANPKHAGAHDEL >SECCE4Rv1G0233320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:236877801:236878034:-1 gene:SECCE4Rv1G0233320 transcript:SECCE4Rv1G0233320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVIRHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE4Rv1G0234010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:262975357:263005840:1 gene:SECCE4Rv1G0234010 transcript:SECCE4Rv1G0234010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHLSPALAVSSSRHLTCKHGQPPVFAQPGGMAVLRSWSLPLSSRLAASGRGGWRLAAAVEPRTAQQEKAEASSRLVLVVGGTGGVGQLVVASLLSRKIKTRLLLRNPEKAASLFGKQDESVLQVYEADTRNADDLNPEMFEGVTHVICTTGTTAFPSKRWDGDNTPERVDWVGVRNFVSAMPQTVKRLVLVSSIGVTKYNEIPWSIMNLFGVLKYKKMAEDFVRSSGIPFTIIRPGRLTDGPYTSYDLNTLVKATAGERRAVEIGQGDNLVGEASRLVVAEACIQALDIESTQGKIYEISSVKGEGPGSDQEKWKQLFAAAESN >SECCE7Rv1G0479210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:249647118:249650483:-1 gene:SECCE7Rv1G0479210 transcript:SECCE7Rv1G0479210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATMSRRHTKIEQPSADSQTSSAGPSGTVPQDRAAPSSSPRRHEEEEVIPAPPRKRCEDPRSLRPRLLNLIRTFYLEALSRLPAARLWSTHARGVLVAGHCYGPLSPVDNILVNAIWYDTAFPRRPTADFHFGDVLEISPECIARIAHRSLEGLIACLLHLCPSLSNDDALWHLHLSKADLSRAIASASDSVSAPTQTAFLKAAEAAHHPMPHALALFVSSVLPNVERDASMLLCVNRALTAPELDRLSDMLVPSPLPEDLYPPPPLVTSWVAAVIKSRIEGCKYSQETSRQLVETALYKYAQQTGQHYELHLVCGINLFIRSEPFWHINFLARQKDAAGELPIYFFAEATAAMGDDYEFLEEDIVLCCPIKPAGIGGCAACTARYKKLNHPIDKEHHGGLDDYDDEIGNGDDNWDYKFPQLVDFIMFDAEKDCATVRHLEKRFPPRPDGDESAENLWITDM >SECCE1Rv1G0047270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:623532861:623534120:1 gene:SECCE1Rv1G0047270 transcript:SECCE1Rv1G0047270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAAAAAGPRRWRCEEEEQQPHRLSAAAAADDRFDGLPDPLLLLIFNRIGDVKALGRCALVSRRFHALVPLVDSVLVRVDCVIPDDPASSGSGSPSSSAPSSPTASARARGVFSQIARMLIGGIVKPIQALGQILSHANSASDFPASASLSSFRRSASSLSSPAAPPGDVSHHSPSEVLRSFKELRSLRIELPAGELGMDDGVLLKWKADFGSTLGSCVILGAASASPSSAAKDGTAAAPPPAADCAESDDSGSIPESFYTNGGLKLRVLWTISSLIAASARHYLLQPIISDHALLESLDLTDADGQGVLTMDKWQLQELRVRPVSASGDSHRTLMPALSMRLWYAPHIELPGGTVLNGATLVAIKPSEEAMMDTVGNGTAGSAGGSWVSDAFEEPYRSAVGVLLKRRMYSLEMNSF >SECCE6Rv1G0432740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:754191112:754191570:-1 gene:SECCE6Rv1G0432740 transcript:SECCE6Rv1G0432740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKSGELSALFLSLDRDADGRISAAELRDCMRATLGENVPAEEAEALVASADADGDGLLCEAQFLELAQQADWTSNVGQEDDERRILALREAFGMYEMEGQGCITPASLGRMLCRLGAERAAGECRAMICRFDLDGDGVLSFDEFKIMMS >SECCE7Rv1G0456000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9163292:9164266:-1 gene:SECCE7Rv1G0456000 transcript:SECCE7Rv1G0456000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFFHNAGSCDHPVASFVRSKALCPRYPDISNFLVRDCRHGRVLLQSRRNSEFVVWDPMTGSRRMVRRPADMGRYYAEVVVLCALGGACNHHACHEGPFLVVCVGVKVMTQVASACVYSSDTGKWSAQTSEHILKIALNSVVISTVLVGGAVYFLCSDFGKCHNEILKYDWSRHCLSLVDMPLWVHFGRAGYPLLVAPEDGRLGFAQMVTYYGTQPRALLCMCSRDVNADGDGSIYAWTDRREIDLNALLPTGDRATKLHLHGSVEGADIVFMLANSSPLALYEIDLKSLQTRKLYEGKRKGSTVPKIFPFMSFYTPAGTLLF >SECCE5Rv1G0334870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:568500319:568502497:1 gene:SECCE5Rv1G0334870 transcript:SECCE5Rv1G0334870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding domain containing protein [Source: Projected from Oryza sativa (Os10g0100300)] MEAKAAAVSLSAPLPRCRVAGGSGPLACSASARRSRRCGYHHGAPLISLARPSSSARSFPAGRVYAMAAPVKEQDLVFVAGATGKVGSRTVRELIKLGFRVRAAVRSKERASPLVQSVERLELGEGSAAASRLELVECDLEKQGEAGIKAAIGDAALVVCSIGASEKEILDVTGPYRIDYVATANLVRAAAKAGVEHFVLVTSLGTTRFGFPAALLNLFWGVLCWKKMAEEALIASGVPYTIVRPGGMERPTDAYKETHNLVVSPRDTYVGGLVSNLQVAELIACVAKNRRAAYCKVLELVAETTAPLLPTEDLLARVPSDPGRAPPPVPRSPPAPAVVTEAPKESPPAAAVAPAPAAAPPAPAPAAAPAPAAAPPAPAPAAAEAPPAAAAPPAPAPAAAAKAERPLSPYAAYEGLKPPSSPTPSFSSGTTGQAVKEPPSAPAPAPAAPAPPPPPPAAPAASAKPRPLSPYAAYEGLKPPSSPTPSRSSSKKTDAGDSPSPLPTVTSPEAATSTTAEAAAESSSPLLDSNANGAPATAAATADPGRPLSPYARYEDLKPPTTPTPSTPKV >SECCE5Rv1G0373910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861651225:861653225:1 gene:SECCE5Rv1G0373910 transcript:SECCE5Rv1G0373910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSCGLCGASDCPHHMISPVLHHHHHHQEHRLREYQFFAQGHHHHHHHSAAADYPPPPPPPANSHHGRSWTTPFHETRAAGNSSRLMLEVDAGGQHMAHLLQPPAPPRAMVPFCRGAFTSTISNATIMTIDTEMMVGAAHNPTRQEREAKVMRYREKRKRRRYDKQIRYESRKAYAELRPRVNGRFVKVPETAASTSPPASPYDPSKLHLGWFR >SECCE4Rv1G0272030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:765628555:765628797:1 gene:SECCE4Rv1G0272030 transcript:SECCE4Rv1G0272030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMLAFSILSSSPADIAGAGYVTRLSWRSGSGKQATQKKMQQDEKVEQSTRTERKRETRPTRFAPEFDGLNCFESIIST >SECCE6Rv1G0432630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:753400284:753400774:1 gene:SECCE6Rv1G0432630 transcript:SECCE6Rv1G0432630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGPAVYCFRCGRKAPRWISWSVANPGRRYYACVEAQHGFIEWHDSPTTPFLRVLLGDLRDRVWKLEDDAAAMCKDGDAGAGALCVEVQKRNEQTAGDVPGASSSDNFGRKGLMLVCGIVIFVSGLVVGMILS >SECCE6Rv1G0384520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:64382050:64383830:1 gene:SECCE6Rv1G0384520 transcript:SECCE6Rv1G0384520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRRAAAVAVVLATVTSLLAPAAVAQLSPSFYSASCSSLESIVRSGMVSAVQQEPRMGASILRLFFHDCFVNGCDGSVLLDDSSTLTGEKNAGPNANSLRGFEVIDAIKSRVDAACPGTVSCADILAVAARDGVNLLGGPTWGVPLGRRDARTTTQAAANSNLPSPSSSAATLISAFASKGLDSRDMVALSGAHTIGAARCASFRSRVYNDSNINAGFATRRRQVCPAQGGVGDGNLAPLDAFSSVRFDNGYFRNLLSRFGLLHSDQELFNGGPVDSIAQQYAGNGGAFSADFVTAMIKMGNISPLTGSNGEIRNNCRKPN >SECCE7Rv1G0479430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:253768832:253772506:1 gene:SECCE7Rv1G0479430 transcript:SECCE7Rv1G0479430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSPPSPPPRAEEPRSAGARAPIPPYVKAAAGSLGGVMEACCLQPIDVVKTRLQLDRAGAYRGIAHCGTTVARAEGVPALWKGLTPFATHLTLKYALRLGSNAMLQSAFKDPVTGKVSAQGRLASGFGAGVLEALVIVTPFEVVKIRLQQQKGLSTDLLKYKGPIHCAKTIVREEGIFGLWSGASPTVMRNGTNQAAMFTAKNTIDILLWKKNEGDGKVLQPWQSMVSGFLAGTAGPICTGPFDVVKTRLMAQGRTGDIKYKGMFHAIRTIHAEEGLRALWRGLLPRLMRIPPGQAIMWTVADQVMGLYERTYLQSANV >SECCE2Rv1G0131660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:885907010:885907351:-1 gene:SECCE2Rv1G0131660 transcript:SECCE2Rv1G0131660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMHARLLAVATLVALMCAIAVAQVPAAGGAPVCLGVDQNVVNACFKTFAEGMKNTIADRILSPSNVIKVALDCCVTFGGHSCLCDMKKAWKTPAQIKNSQDVPCVKQRAC >SECCE6Rv1G0401450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:466318036:466320015:1 gene:SECCE6Rv1G0401450 transcript:SECCE6Rv1G0401450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLPGPLVRQCLALLYSKNAIPLAPTTTAQLHALLLTSGNLHYSSLHPLFMLHCASGRPSDAHSLLAQMPHPPPVSLTNSLLRSYTGLGHHREAVALYSRTRGFDHLTFPLAAKACAGLRLGRHGRAVHCRSLTAGFGGDVYVQNALISMYMSCGDVAEAEAVFGAMQNRSVVSWNAVIAGCVKNGCAERALEVFGEMVGDGAGVDRATIVSVLPACARAKNLSIGRVVHRLVEERGLVDYAAVKNALIDMYGKCGRLEDARRVFDGHKDDKNVVSWTVMIGAYVLNDRVDEAFNLGFDMLMTGGAPWPNGVTMAYLLSACSSLPSWRRAKCMHAMCIRLGLESDIVVETALIDTYAKCHKMKMMELTLENGSRRTETWNAAISGYSRSEREKKAVELFKRMIGESVRPDSATLASILPAYGESADLRQARNIHCYLLTLGFLRSTSITTGLVDVYAKAGDLDMAWALFDGLPEKDVVAWTTILAGYGMHGHARTAILLYDQMVELGVKPNTVTFTSLLYACSHAGLIDEGLQLFEDMRSIHGVVPNVEHYLSLVDMVGRAGRIKEAYRFIKDMPFEPSTSVWGVLLGACVLHKNVEFGEIAAKHLFELEPENTGNRVLLGNIYAAADRWNDVQDVRKMMGREGLIKEPGSSLVGGKV >SECCE7Rv1G0491700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:533559404:533560000:1 gene:SECCE7Rv1G0491700 transcript:SECCE7Rv1G0491700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRQSVAAVVKPSLPTDGGAPATASFRLRNGSLNSVRLRRVFDLFDKNGDGEITVDELAQALDSLGLVADREGLASTVGAYVPEGAAGLRFQDFECLHRELGDALFGALDDVPEDGEAGAGGDEEEMKEAFKVFDVDGDGFISATELQEVLKKLGLPEGGSLATVRQMICNVDRNSDGRVDFGEFKCMMKGITVWGA >SECCE2Rv1G0082820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:156843801:156846106:-1 gene:SECCE2Rv1G0082820 transcript:SECCE2Rv1G0082820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGRGGRGGGRGGRGGRGGRGFGGASYDHPAKHAPHEDFPEITLPEMTCAKATNEEKALILSTLKLDDFMRNSCYYLEPDAPKKKNDDKEIERYSDRKRKTQSKREALASYLKLIPANFPAELLHGSKRAQPVQKKLRWDKDAGNQAFDIFEKLEARQKDGEEKTEKEGDEEEEEEEEEAEAEESSDDDYNQNIEFDDDDDDWNQEEEAHEDCYD >SECCE4Rv1G0243470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:502957824:502959288:-1 gene:SECCE4Rv1G0243470 transcript:SECCE4Rv1G0243470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRSHNASSLLLLLAMAAQWCGVSAASCSFTISNYCTHTIWPGTMAGAGTPQLPTTGFRLDPGQTVQIPAPAGWSGRIWARTGCNFSTDGSGAAAGAVACQTGDCGGGHMECGGTGGKPPATLFEITLGKGGPADQDFYDVSLVDGYNLPVVAVPRARQGSCNATGCAADLNLSCPKELQVAGGNGGGPVACQSACEAFTQDKYCCSGAYATPDTCSPTAYSSVFKSACPRAYSYAYDDGSSLFTCNAVDYTIAFCLPPAGLNMPADANNAPPADNNGAGSTYVPPATGNSGAGSIYQPTPAGNNGAGSAYQPPPTDNNGVGSTYQQTPPTGANGIGSTYETPLASSNGVGSAFQPPLTGYDNGARSAYQPGMTPSSASTRYGQLWFLLPAALVFFK >SECCE2Rv1G0139460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:927310578:927316501:-1 gene:SECCE2Rv1G0139460 transcript:SECCE2Rv1G0139460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVSKATSCCCLRGQLHGSTRLDDPGSEEDEQGEAYELPAFQEYTFEQLRLATAGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLEEAKSVGQLRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWETQAMKWPMRLRVVLYLAEALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFSMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSMVQALAPLQKDVETPSYELMDMPQAGASSVQSLPLSPLADACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLTSKKKGDSAFRQKDFTTAIDCYSQFIEVGTMVSPTIYARRCLSYLMNDMAEQALSDAMQALVISPTWPTAFYLQAAALLSLDMENEAQDALKDGCAQETSSSSGR >SECCE4Rv1G0290850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874275012:874276019:-1 gene:SECCE4Rv1G0290850 transcript:SECCE4Rv1G0290850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRHMLLNEEMIAKNPTLCEFNMPSLDARQDILHSEIPKLGMAAAQKAVKEWGQPLSRITHLVFCTRQAVDMPGADYQLAMMLGLHLSVRRVMLYQQGCFAGGTVLRVAKDLAENNHGARVLVVCSEITAATFRGPSATGSHLDNLVAQALFGDGAAAVVIGADPDEPAERPLFKLVSASQTILPDSEGLIGARLREVGLMVHIHKDVPKIISKNIKSALVDAFSPLGIKDWNSIFWVAHPGGPAILDMVEAEVHLQNNKMRASRHILSEYGNISSATVLFILDEMRKRSAEDGHATTGEGMEWGVLFGFGPGLTLETVVLHSAPITSPREPY >SECCE5Rv1G0327980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:499125513:499133362:-1 gene:SECCE5Rv1G0327980 transcript:SECCE5Rv1G0327980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKNQQPTGICEGGNTSKRQKTSSPRNSDREDSPPRRDGFTRMMRRRMLPRGYPMPDCIYGGMRLVNTFELCFKELDGYNEDDTKIKLPALSVSQSIVSLASFKGKTRQFTCTGTIVGRTPSSMSILTSASLVRCTNDETEIDDKLKIKVRLPNGKLVPGKLWKYDLYYNIAVVNMKAFPEFCAAEIHNQVEANGKLPQSKLVAIGRAFESGELMATAGTLLYKTTKLDCQELMTSSCYITKAGIGGPLVGYGGNFVGMNFCRKDGTPFLPASVLSKCLKHFDTFGRVVQPWLGLRIGSLQAQKLGTRLEVHNSFPDAHGIYVERVFDGSPAANSGIKVGDVITKLDEVVLFSAQEFHKLVLDKTESTTQHGDVMSFEVSALRPTNRFVFCATINAEVIDMSKKNRWPVPKTKWLYLDNERDDDVGPLVRKCSRPDYQYPSPDEDW >SECCE2Rv1G0128030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857458681:857458980:1 gene:SECCE2Rv1G0128030 transcript:SECCE2Rv1G0128030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLAAVVRWCGGARSERQRLRRRRSGRTVLLGGRRRSGSRGCRLAVSRLVRWRLVAELLRPIRKALMEMAAGRRGLVALPLVSFPFVGALSLPGAVA >SECCE1Rv1G0012730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:96445427:96446100:-1 gene:SECCE1Rv1G0012730 transcript:SECCE1Rv1G0012730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIMKVIHRSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIFTRKCMLEVHLPCQACLKIDKFGLNATEPQMVLYNIYDDWLKSIPSYTAFYRLMLTLQALHVNNEKAKMLLKPDKTMVTEPHHIWPSIFD >SECCE6Rv1G0427640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720339235:720340566:1 gene:SECCE6Rv1G0427640 transcript:SECCE6Rv1G0427640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPRSTTGSTGQSKMSQQKYLLISVSLCVLACTVAGEGGGRPLMVAVSKDAATSLYTVPIKSGRPLVLDLSGPIVWSTCDGGASHDTLECNDIDCMRAHRFHPPNCPHTGYGMPDAGDPYRCKCTAHPHNPVSGDTASGDMTRVALSANATDGRNPLGPVSFTAVTSCAPDSLLAGLPVGAVGVAGLARSGLAFPAQVARTQGVANSFALCLGSRGRGGVAIFGGGPLFLFPASRPSITELLGGGTPLRKHRESAGYYVSASRGIAVDEARVPLDTYAPLTIGFSTTTPYAQVRRDVYRPLINAFDQAMEDPGRFTARVPSPAAAPFELCYNSSKLGQTRSGYAVPTVSFRLEGGTTWLVQGVNSMLVLNGGATACFAFVEMKEKDKAGYASAAAPAVVLGGLQMEENLVVFDEEKQTLAFTGQINGRGFFCNNFNFTQPA >SECCE4Rv1G0217780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18756039:18756728:-1 gene:SECCE4Rv1G0217780 transcript:SECCE4Rv1G0217780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRTIACLFTMLLLTPAIMAADPDPLQDFCVANLDDNAIRVNGYPCVPLSEAGDDFLFSSKLARGGNTSTPNGSAVTRLDVTEFPGENTQGISMNRVDFAPGGTNPPHVHPRATEIGLVVKGELLVGIIGSNESGNRLYSRVVRAGENFLIPRGLMHFQFNVGDTEATMFVSFNSQNPGIVFVPLTLFGSDPPIPTPVLTRALRVDAQIVELLKSRFAGGSLSLGEY >SECCE7Rv1G0469360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:108694811:108701559:1 gene:SECCE7Rv1G0469360 transcript:SECCE7Rv1G0469360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYEYLEKTVEASAAPPANGSDGKDRSSRRRSAGDGEDRDDGERRSKRSRSEHRDRDRDLESRERHRSSRERRDRDRDRDVREKERLSRESEREREKERERRSRDREREKEKERERERRSRSRSERRRDDDERERQRYRERDYRDRDVRRRKEDGAEPEADPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALRGQPLLGQPVDVKPSEAEKNLVQSNTSSSVAASGGARKLYVGNLHANITEEQLRLVFEPFGLVELVQLPVDPLTGLCKGFGFVQFARLEDAKAAQSLNGQLDIAGKVIKVSAVTEQPGVQASGATAGDLDDDEGGGLALNASSRALLMQKLDRSGATTSLTSGLGASAVALPPVSVLGAPATGPVLQPTVPGLGLIPGASIPVITQSIDAAPPSECLLLKNMFDPAVETDPDFDLDIRDDVREECSKFGQVKHIFVDKNTAGFVYLRFDSITAAIGAQKALQGRWFAGKMITATYMSTQQYEMKFPE >SECCE5Rv1G0306250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:88029640:88037902:-1 gene:SECCE5Rv1G0306250 transcript:SECCE5Rv1G0306250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSHADRPFRPPDRGPLPPHRSQQHPQSAAILLLRAGPDFSSPPATEVDALVAGLRPDSLSVFSSGRHAARLLFLSLPAAAAAARDLWSLRLEGRHFLTPYLPDAVLAAKASPLISSLFASHASRLLDSDLVSRLAARSSQLAASVQTVKHRLRVRRSNLRDFDQLNLQKKSLEADKDMLDAKIAEYKAAMTSIRRAMLSGTDEEEEVDEEEEEGVDLFGIVEGDDVDFARVHTMMLRECRRLNEGLPIYAYRRKILNHIFANQVMILIGETGSGKSTQLVQYLADSGLAANGSIVCTQPRKIAAITLAHRVNEESNGCYADNFVSSCSTFSGSRDFSSKIIFGTDSYLLHHCMNDTSLDGVSYIIVDEAHERSLNTDLLLALIKKKLLDRVDLRLIIMSATADANRLAEYFYGCQTFHVKGRNFPVEIKYIPDVSVDASFNTVPNSLNDACATASYVNDVVRVVSVIHKNEEEGAILAFLTSQLEVEWACENFSDASAVVLPMHGKLSHVEQSRVFNSYPGMRKVIFCTNMAETSLTIKEVKYVVDPGLAKESRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGKCYRLYSESHFRMMEVHQEPEIRKVHLGTAVLRILALGVRDAQNFEFVDAPNPEAINMALKNLEQLGAVKCKCNLFELTDTGRYLVRLGIEPRLGKIMLDCFDSGLRKEGVILAAVMANSSSIFCRVGTNEEKHKADLQKVRLCHQDGDLFTLLAVYKKWEDGHGNRNMWCWQNSINAKTMRRCQETISELENCLKHELNIIVPSYWCWNPEVPSMHDKLLKRIILSSLTGNLAMFLGHEKFGYQVISTDQAVNLHPSCSLLNYGSKPEWVVFTEILSIPNQYLVCVTAVDHDALYAVHPMSFIHQLEKHKLQIKVISGLGHNLLRRFCGKYGQNQHRIISHLKEECRDDRITVEINFKNNEVVLFATKQDMEKVFCIVNGALECEAKMLRNECLERRLFPGRPGSSPLALFGSGAEIKHLELGKRYLTVEVLHQNAHDINDKELIFFVGSLISGVANFHKSAGNCRIASDETKWGKFTFLKPEDAEDAVLKLNGIEFHGSSLKVVPVCSSDNRGLPFPAVRARLSWPCNPSRGRAFGTRLLRLREAPIVGPSVSACEEALIREISSFMPNKSFPGQNFRVEVFPPEENNSMMRATITFDGSLHREAARALDHLEGSSLPCCQPWQMIRCKHVFHSTLSCPLHVYNVISQEVNSLLESFRSQKGVSYNFEKTDNGIFRLKLTANATKTIADLRRPLEILMKGNTINHPDLTISAVQLLLSHDGVAHLKSIEKETGTYILYDRQSLNIKVFGHQDQMATAETKLVHTLTELLEKKPLEISLRGHNLPPDLMKKTIENFGVDLEGFKKEMPAVNVELYKQRHLLKVWGSKEDKRRVEGMISELITSGKQNTLVELPSGSVGGSKDKQRVDDNELAQDACPICLCETEDPFELESCGHMFCRACLVDQCESAAKSHDGFPVCCLKTGCKKPFLLVDLKHLVSNEKLEDLFRASLRAFVASRAGMYRFCPTPDCQSIYQVAAPDAETKPFVCGACYVEICTKCHIEYHPLMSCEAYKQYKEDPDATLLEWRRGKENVKNCPSCGYTIEKSEGCNHVECRCGSHICWACLANFRSSEECYGHLRSAHQSFVDIV >SECCE7Rv1G0497200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:621353708:621354142:1 gene:SECCE7Rv1G0497200 transcript:SECCE7Rv1G0497200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGELPDTLRLNEGVDVDGPEEGEGDSDYIQFEDEDIDKI >SECCE1Rv1G0030880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:436463692:436467173:1 gene:SECCE1Rv1G0030880 transcript:SECCE1Rv1G0030880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFSLETQPLIEGLIVAMSLIGATVITTFSGAVADAVGRRPLLIASSVLYFVSGLVMLWAPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFTMSLMPQPDWRIMLGVLSIPSLMYFALTVFYLPESPRWLVSKGRMAEAKRVLQRLRGREDVSGEMALLVEGLGVGKDTHFEEYIIGPDDELADDGLAPDQDKLKLYGAEEGVSWIARPVRGGGQSALGSALGLMSRHGSMVSQGKSLVDPLVTLFGSVHEKMPEVMGSMRSTLFPNFGSMFSVAEQQQAKADWDAESHRDDEDYASDHGADDIEDNLNSPLISRQATSVEGKEIAAPHGSIMGGVGRTSSMQGGDAVSSMGIGGGWQLAWKWTEREGADGQKEGGFQRIYLHEEGVSGDRRGSILSMPGGDIPPGGEYIQAAALVSQPALYSKDLIEQQLAGPAMVHPSEAVAKGTKWAELFEPGVKHALFVGIGLQILQQFAGINGVLYYTPQILEQAGVGVLLSNIGLSSSSASILISALTTLLMLPSIGIAMRLMDMSGRRFLLLSTIPVLIVALAVLVLVNVLDVGTMVHAALSTISVIVYFCFFVMGFGPIPNILCAEIFPTSVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILAFVFVYMKVPETKGMPLEVITEFFSVGAKQGKEATD >SECCE5Rv1G0370260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841419902:841430062:1 gene:SECCE5Rv1G0370260 transcript:SECCE5Rv1G0370260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, miRNA biogenesis, Reguration of developmen [Source: Projected from Oryza sativa (Os03g0121800)] MAGGGGGEQPGAVSYWYDACEEEDDPSLLFDFAASADFDPGLMPVMDSGGINFAASAGFDPGAMDCGIDFASADFDPGLLPAMDCRVESGYVDDIDRILESINAETAPAPAPQPPPPSQPVGAPPPPAERVQEAAAAAVANNAVPVVSSGQRSQAVEPRRETRSVELRKETRSFEPRKETRSLEPRKETRRESHGGSTNGGGRGGEWRDGKRPRLAPGAHGEPRPDSRRRPMLPPPSRGWEERRGRRDFIDRPRKRDRDCNPAHRREARGFWERDRGGKMVFRPGTWEQESEREGKRARTQNGGSAEKNAEADKAASAQKEKPLAEEQARHYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSICDKMMKENRKILAVFLVPKVPLVYQQAEVIRERTGYRVGHYCGEMGQDFWDTRKWQREFDSKQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPVVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDSIVCTIKDRKELEKHVPMPFEVVVQYDKAATLCSLHEQIKQMEAAVEEAALCSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTVLQNDERANYQVDVKFQESHLKKVVDLLHCQLTEGAAVKSETNDVEMHNTENHNPSELEDGELPDSHAVSVGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTDDFRAIIFVERVVTALVLPKVLAELPSLSFIRCASLIGHNNNQEMRTSQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYVQSRGRARKPGSDYILMVERGNLAHETFLRNARNSEETLRKEAIERTDLSHLDGTLLNSIDTSPDSMYQVESTGAVVSLNSAVGLVHFYCSQLPSDRYSILRPEFIMQKHEKPGGSMEYSCKLQLPCNAPFEKLEGPICGSIRLAQQAVCLAACKKLHEMNAFTDMLLPDRGSGEGEKTEQNEGDPLPGTARHREFYPEGVAEILRGEWILCGRDGCQSTQFIKLYMYSVNCVDVGTSKDPSLAQLSNFAIIFGSELDAEVLTTPMDLFVARTMITKASLVFRGPIEVTESQLVLLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGAEKCTDALREIDWTLVNNIVNTDAWNNPLQKARPDVYLGTNERTLGGDKREYGFGKLRNGTAFGQKAHPTYGIRGAIADFDIVKASGLVPSRDRGYSSDYQNQGKLFMADSCWDAKDLSGMVVTAAHSGKRFYVDCICYNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELVYKKQPLIRARGVSYCKNLLSPRFEHSEAREGDFSENVDKTYYVYLPPELCLVHPLPGSLIRGAQRLPSVMRRVESMLLAVQLKETIDYPVPAVKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQFALNKTLQSYIQADRFAPSRWAAPGVLPVFDEETRESEPSIFGGESIPGNELQKDCDDDYTDSIQEEGEIDGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIHAELDPQEIPSPKPYNIPESILKGIDFETLERILGMKFQNKGFLIEAITHASRPSSGVSCYQRLEFVGDAVLDHLITKHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVRRKLHGHLRHGSSALEKQIREFVKDVREEISKSGFNSFGLGDCKAPKVLGDIIESIAGAVFLDSGYDTSAVWKVFQPLLEPLVTPETLPMHPIRELQERCQQQAEGLEYKASRAGNVATVEVFVDGVQIGVAQNPQKKMAQKLAARNALVVLKEKETAAKKESEKDGDKNNAGFTRQNLNDTCLRRQWPMPQYRCINEGGPAHAKRFVYAVRVNTSDRGWTDECVGEPMPSVKKAKDSAAMLLLELLNRSFPDKPDGKK >SECCE7Rv1G0472660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:146059512:146060579:1 gene:SECCE7Rv1G0472660 transcript:SECCE7Rv1G0472660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVDWSSLPPDLVARVTDVFLATSDVDYYMSLRAVCHDWRASTDDALGLRFHLLRWVMLDADDDLGRCLLLNVETGRFLWKDQPMLRNYDCVASATNGRLVLVGAMASGCCKVCLLNPFTGHQVRFLVDRSYLSVFHGTRVVVAGSSSSGLYTVWRDARPVAHIEPARGRRATMLTVVNPPIIIGHIATIATFQGRVYAVDRQGTVFLEDQENGPKMTTVVAGDVQVDRGCPYLVNNVGELLMVRVPTSRIGMQVFRVDVENKALVAVGHIGRRAIFLVFGRCLSVDATNLLGIEGNCVYYGRYERDGVSVVHLDGRSEEFIKIDVHARRPLSLLEYIQTRCNQVFRSIKICTA >SECCE7Rv1G0516370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:845209320:845210538:1 gene:SECCE7Rv1G0516370 transcript:SECCE7Rv1G0516370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVQSSSLERLHHVEKRIVRVLELVGTVMEELGNSQGPRSEAVVAHCREFMLYMKEIQTTLREEIKSACEYRPFEMCDYSARIANEICCKKLEYVIEKMDAIQLNIEHTTDEA >SECCE2Rv1G0127270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:852044008:852044808:1 gene:SECCE2Rv1G0127270 transcript:SECCE2Rv1G0127270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAGGGSDGLKLLGFWASPFVHRVQVALHLKGLTGYEYAEEDLTSKSDLLLASNPVRTKVPVLLHAGKPVCESMLIVQYLDEAFPGSGPALLPADPHDRAVARFWAAYADDQFFASWIKAFVGTTDEERAAATEAAAAALQKMEGAFGECSKGKAFFGGDGPGYVDIALGGFVAWMRAFHAVAGVNLLDAARTPLLAAWAERFAALDAAKEVIPNIDRIAEFAKKDLLPLLQKLHA >SECCE1Rv1G0059170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704253933:704255462:1 gene:SECCE1Rv1G0059170 transcript:SECCE1Rv1G0059170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WTF1 [Source:Projected from Arabidopsis thaliana (AT4G01037) UniProtKB/TrEMBL;Acc:A0A178UW54] MEAKLLLLSFPSPPTAPHHPPPPKSLFLGASLPLHPLAAAPPPSLRIRPRLAVVAQAAAVKRRKEVPFDNVIQRDKKLKLVLKLRNILVSHPDRVMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEIVEEGVYSLRFRLTPAAERLYLDELFLRNESEGLAVAKLRKLLMMSLDRRILIERIAHLKNDLGLPSNFHDTICLRYPHYFRVVRMDRGPALELTEWDPELAVSAAEMAQEDNRAREAEERNLIIDRPLRFNRVKLPKGLKVSRGEARRIEKFREMPYISPYADFSHLPSGSAEKEKHACGVVHEILSLTLEKRTLVDHLTHFREEFRFSQSLRGMLIRHPDMFYVSLKGDRDSVFLREAYKNSQLIEKSHLVLLKEKMRALVAVPRFPRRGGPRTGEETEGANGAVQGYEGSDMEDDEDDDFSDMEDLIGELGGKSDNSGYRWGDGWVGESDGSPPDFGDGDDSSPQEVEAPKAKSSTTTDADDDSSVPVFPDGRQRERW >SECCE1Rv1G0023330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:303448667:303450361:1 gene:SECCE1Rv1G0023330 transcript:SECCE1Rv1G0023330.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVLAPQQANPAQAAVPQTRNAAAMAAMRKLGAAGATSARRKTLCDITNLRRPLAAAADEGQQDGSRCADGVDGFARLVKENSDLVKLLEERDKFIELSGAELQNLRLANWQLAQANSQMLAELNLGKNRLKSLQHELTCSRAALKVKSSELEEAKKALKSSRLQQQKSANETARHLVADRAAAAAQLKDGDAEPASDASHAASAKNSTCNASRKRLLRSRSLGPAVAPTKLVAASKERESAQRRKSMRTPQPSGRREDLFEIEDVQLTSGGGDDRKETSWELDSSVQFPRRSSLGRPLRRATEKVTSYKEMPVNIKLRRP >SECCE2Rv1G0110750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:693735449:693737521:1 gene:SECCE2Rv1G0110750 transcript:SECCE2Rv1G0110750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C1 [Source:Projected from Arabidopsis thaliana (AT5G63030) UniProtKB/Swiss-Prot;Acc:Q8L8T2] MGTAFSSSSASTPESRAMALAKAKEIVASAPVVVFSKSYCPFCVQVKKLLTQLGASFKAIELDTESDGPEIQTALAEWTGQRTVPNVFINGKHIGGCDDTIALNKGGKLVALLTEAGAISGSSSKATVTA >SECCE3Rv1G0153970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:58089065:58093879:-1 gene:SECCE3Rv1G0153970 transcript:SECCE3Rv1G0153970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 9 [Source:Projected from Arabidopsis thaliana (AT1G55080) UniProtKB/Swiss-Prot;Acc:Q8RWA2] MDHHHPPPLPQQHGDHYRPLVLSPQPDHAHALQYQQPPQQQATPPPQHHHPSLASHFHLLHLVTRLGDAIATGTRDQAFDALVEELTSQFARSQQLLNSISGTLSSKSVTVEGQMQSLEETRQLLDQRKDLIAKYKSSVEDLLKGDPTR >SECCE2Rv1G0110640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:692821788:692824750:1 gene:SECCE2Rv1G0110640 transcript:SECCE2Rv1G0110640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSPVLAVVAALLLLLLGASAPTASAARAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDFPTHMPTGRFSNGLNIPDIISEYLGAEPALPYLSPYMRGENLLVGANFASAGVGILNDTGVQFVNIIRIAQQLQNFQDYQRRLAAYIGEDAARERVSQSLVLITLGGNDFVNNYYLVPFSARSQQFEIHDYVPFVISEYKKVLARLYELGARRVIVTGTGMIGCVPAELALHSLDGSCAPDLTRAADLFNPQLERMLAELNGEVGHDDVFIAANTNRVSFDFMFNPQQYGFATAKIACCGQGPYNGIGLCTPASNVCANRDVYAYWDAFHPTERANRIIVANFMHGTTDHISPMNLSTILAMDNARN >SECCE5Rv1G0346100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660160593:660169140:-1 gene:SECCE5Rv1G0346100 transcript:SECCE5Rv1G0346100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQIAPTATMSIMLELRKYLMLMAILAATVTYVAGLNPPGGVWLRTEDGHLTGDQVLLITDRRRYNAFYYSNAMAFMTSVVVILLLLLMERSMGKGSKRLLVVRLLTALRVVMVLDFFAVLVAYAAGASRGTATTVVASVLVSAVSVYITGYAAYRALFRPRPPDPGPGDPQRPSLSLKLERRRKILMLFSIFAATVTYTAGLSPPGGFWPDSREGHRPGSPVMEDQHHSRRFIAFFICNTAAFIASLRGIMLLTTIRSKFKDGDGNGRWWYVLYGHVVVALLGLLVAYTLGSCRETHSTLYVFGLVFPAVLAYTATQFIIQRYWWDELMDLAEQGKGRLSSHWIWLSLRLRSCWSSMCSCWTDADEENTREEEEDGLGTETTTTPVKRAHTAVLLLATLSATITYQAGMNPPGGFWPDSRDGHTGGDPILLTTHAGRYKVFFAFNSLTLVTSVVVIVMVLTRHASSAVHKHHALEAAIILDLLSLMAAYAVGCGRDVNASVRVIALAGGILVCVVVHIVFFTLKTRRRERPELLKKKRKLLLLFAILVVTITYQAGLTPPGGFWLDDEAGHVPGYAALASNYPRRYTTFFYCNAASFMSSVVIILLLVNPHMYELGIMCHALYLCAVSALFGLIGAYAAGSSRRRRTSLFLIALIAGVVAFIVLLLLALKFLSRCTIFLGRQANEQRHPQPEEGGEDSGEPQDQEGGDDSGKEQPEPQTEETEEDVDRETARYTTRKYLMVVSILVASVTYQAGLIPPGGVWPDTSSSSIDGHGHAAGDPVLQDSNKRRYSLFFYSNSVSFLASVVVIFLLQLDEPLYMVARKRKGLLRVVGGATTSHPSKSDEVLRVAQSTILLALVGLLFAYASGCARRWETFGYVVVLIVAVLLYIAIHVLLSCRDEQPAQVTPLDQAQAQAQEGGSSYCTDRQRQCRCNCHEKDERVL >SECCE7Rv1G0478020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:230622043:230624159:1 gene:SECCE7Rv1G0478020 transcript:SECCE7Rv1G0478020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYEPKNILITGAAGFIASHVANRLIRSYPHYKIVVLDKIDYCSNLKNLNPSRPSPNFKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMKGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVASDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKKLGWAERTTWEEGLKKTIEWYTSNPDYWGDVAGALLPHPRMLMTPGVERHNWTDEIKSLASSPAEAKECSTVPAAATSKSTSSAPQKATYKFLIYGKTGWIGGLLGKICEKQGIPYEYGKGRLQERSQLLQDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLMINYATGCIFEYNAEHPEGSGIGFKEEDTPNFVGSFYSKTKAMVEELLKDYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISVEMAKRDCRGIWNFTNPGVVSHNEILEMYKEYINPDFKWTNFTLEEQAKVIVAPRSNNEMDTSKLKSEFPELLSIKESLIKNVFEPNRKVSTN >SECCE6Rv1G0445190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:836268133:836269455:-1 gene:SECCE6Rv1G0445190 transcript:SECCE6Rv1G0445190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSDNDSDNYEERKKRVCHHVQSMSNIYAGASTLAGKYCDNYLIKADPRTSILSGFGWLQKTVSTPGETYTMLEMNARLFFQLHDLLVQRRTQNRFKHSGDTVHRKFHEVLLCVIKMAADYLKPKDPNFSSVHPRIRKDRRAYPHLKDCIGALDGTHVRASIPAGDQVRYIGRSGSTTQNVLAICDFDMHFMYTSVGQPGSMHDTSVLYHAIEADKDTFPHPPKGKYYLVDAGYPNRPGYLAPYKGERYHVPDFQRGVAPRTPKEKFNKIHSSKRNVVERAFGVWKMKWQILLKMPNYSVETQKMIVAATMTLHNYIRYHDKGDLHFLRVDRDPNYVPTIPARYQRYAIPPNASDASTSEASDKDMDRFRNRLATDIALGW >SECCE7Rv1G0491380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:523815199:523816221:1 gene:SECCE7Rv1G0491380 transcript:SECCE7Rv1G0491380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRSVTMDVSLSIPAARDDTDRMVVPRNSPSFKIVPLHDEMAKPSPGGHSIVGAEMAAVLLEKKVLAELLGTFLLLFILLSALIMNTTHDGSLGLLGVAATAGLAVTVLMASLVHVSGAHLNPAVSASMAVYGYLPRAHLAPYMAAQFLGAIAASFVAKAVYHPSNPGTIVATVPTLGTAETFLVEFLTTFVLLFVIVAQATDPKAVKELIAVAAGAAIMMNALISAESTGASMNPARTLGTAIATGTYTKIWVYMVAPPLGAIAGTGAYIALKH >SECCE5Rv1G0355300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731229927:731233189:-1 gene:SECCE5Rv1G0355300 transcript:SECCE5Rv1G0355300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLARQAAMALRARQTAHLGPSATAMQGHLRTYMDAGAPKRFKEDEEKEQLAKELAKDWNAVFERSINTLFLTEMVRGLMLTLKYFFERKVTINYPFEKGPLSPRFRGEHALRRYDTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFSTETHEELLYDKEKLLENGDRWETEIAENLKSEALYR >SECCE4Rv1G0255540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:647686941:647691087:1 gene:SECCE4Rv1G0255540 transcript:SECCE4Rv1G0255540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 (EC 2.4.1.13) [Source: Projected from Oryza sativa (Os06g0194900)] MAAKLTRLHSLRERLSATFSSHPNELIALFSKYVHQGKGMLQRHQLLTEFDALFESDKEKYAPFEDIIRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELTVSEYLAFKEQLVDEHASSKFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKDSLYPLLNFLKAHNYKGTTMMLNDRIQSLRGLQSALRKAEEYLASIPEDTPSSEFNHRFQELGLEKGWGDTAKRVHDTIHLLLDLLEAPDPASLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALESEMLLRIKQQGLDIIPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDILRVPFRTENGILRKWISRFDVWPYLETYTEDVANELMREMQTKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDSVGQYESHIAFTLPDLYRVVHGIDVFDPKFNIVSPGADMTVYFPYTETDKRLTAFNSEIEELLYSDVENDEHKFVLKDRNKPIIFSMARLDRVKNMTGLVEMYGKNAHLKDLANLVIVAGDHGKESKDREEQAEFKRMYSLIEEYKLKGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVIEAMTCGLPTIATCHGGPAEIIVNGVSGLHIDPYHSDKAADILVNFFEKCTQDPSYWDKMSEGGLKRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMFYALKYRSLAAAVPLAVDGESSDN >SECCE2Rv1G0100440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:541632647:541634335:1 gene:SECCE2Rv1G0100440 transcript:SECCE2Rv1G0100440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGNPNPNANPGQPRPQQPPPGSSPATPHNHMRPPTLAGSPFQGLFHTPPSHNPAFQIHMGTASSPQTPLMAAAAAAASAAASAKRPPQKPPSRPPAPTSNAAAASMAAAYKAANSGSVDLTPAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFEARVDAALARKKVDIQEALKTPPSLQRTLRIYVFNTFANQAPRTIPPPKNGDPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSSFFKRVTIALDSSLYPENPLIVWENARSAAPQEGFEVKRKGDKEFLANIRLEMNYNPEKFKLSQPLMEVLGVEVDTRARVIAALWQYIKAKKLQNPSDPSYFMCDPQLKKVFGEDKMRFAMLSQKISQHLAPPPPINLEHKIKLSGNGANSSACYDVLVDVPFPLQKEMTAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLVAGEASRNIERERRADFYNQPWVEDAVIRYLNRKPPGGNDGPGAGGS >SECCE4Rv1G0287290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853502456:853505940:-1 gene:SECCE4Rv1G0287290 transcript:SECCE4Rv1G0287290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGAGKMSLRLQYYVLLAGVGAVLLVGCLKYMPAGAAVVAAGYGSWGSGLAVAARAAQADAAAGGSRRRSPVVIFNFGDSNSDTGGMAAASGLNIALPEGRTYFRRPTGRISDGRLVIDFICESLKTPHLSPYLKALGSDFSNGANFAIGGSTATPGGSPFSLDVQLHQFLYFRTRSFELLNKGERTPIDRDGFRNAIYAIDIGHNDLSAYLHLPYDQVLAKIPAIVGHIKFAMETLYAHGARKFWIHGTGALGCLPQKLSIPRDDDSDLDANGCLNKYNNVAKAFNAKLAETCNKLRQRMADAAIVFTDLYPIKYDLVANHTKYGVERPLMACCGNGGPPHNYNHFKMCMSGEMQLCDMDAKFISWDGIHLTEFANAIVASKLLTGDYSRPRVRIASLVNSTAPHDG >SECCE5Rv1G0350250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:689393622:689395531:1 gene:SECCE5Rv1G0350250 transcript:SECCE5Rv1G0350250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKPIEHLNNCFTITPTNGFAYADKEMLKQIILAQEATFRKQVYELHHLYKVQKDLMALQCQGGESKGYSQAISYSLPSGGIRRVQQMGPPAGHDMRKPSGKFMKGKNIERSLNKVSMRYSNSRSTKEMLLHVPADADDSDDDVVTVWENPAKSLPRNSGSVVSTNLKLSIERTSPMDKNWATGLQPSGVSTVNALNKKVIGSSSTMKKTNFPSVGASSSKNQCYTYGHKLDDRSSGMEWLTHKKTGVDSSTAHHQSSSSIVNPGIFAASSSNAAPRSLWQSSATDYTTRRHYAEAELPSAQNGRLPTFQRYHRLHSSEIPGGAQYQHPSPFYDCPKDVNLNNGSQDATATLGQASENALKGISWDREKLRNSTKKITMKKSQVSPSHENGHSQISPSPGSMGYSGGSTRILGFTVSAATKKDSQRSSTPSTTHMGADSTPSSKGVADMEMQFQNKKDGTSVRNLIDLNVALPFMDVTEMDARQSEGDTVPQEPDGPSNEAPVVTAAAKNHMVMHTGEFQGGPPQGNILHWLAGLATSGESGVVCSSEMNNVDTTLKL >SECCE1Rv1G0016560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:139463645:139467734:1 gene:SECCE1Rv1G0016560 transcript:SECCE1Rv1G0016560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan aminotransferase, Indole-3-acetic acid (IAA) biosynthesis, Grain developmen [Source: Projected from Oryza sativa (Os05g0169300)] MARDNGDGLAAIAGRIGVVGSVALNIVALAIYLRGRAAAEKQASKKVKKAAAVAPSSGKPPVLSNSVVNLDHGDPTMFETFWRGPIGESATLVIPGWQTMSYFSDVGNLCWFLEPSFEREVRRLHRLVGNAATEGYHVLVGTGSSQLFQAALYALAPPTADAPVSVVSPTPFYSMYPPLTDFLNSGLYRWAGDPNTFDGDDYIEVVCSPSNPDGGIREAVLKSKSGKDIHDLAYYWPQYTPITHMLAHDIMLFTVSKCTGHAGTRIGWALVKDKEVAQKMNKFMEINTIGVSKDAQLRAAKVLGAVTDGYERQLATDAGGDTNLLFHYARRKMAHRWRALRSAVAASGIFSLPDEVAGFCTFTKDTVTANPAFAWLRCGKQEVEDLESFLRENKIITRGGTKFGADPKVVRISMVDTDEAFGIFVNRLATIK >SECCE3Rv1G0201610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:865902602:865903324:1 gene:SECCE3Rv1G0201610 transcript:SECCE3Rv1G0201610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRRSNPRGFVVSRLEAMKEATAGASEGDVLESSAFFCVAVTSRGKKDRLSYFRSSEVGQDAEACRALAALCLYHAPEHHRWHHHTYDGRRTFAVLAADDGRTYLAVVEPTPGSAEVVSFLERVRDTCSSEPRRRLRDEALSGLVRQFAQTLQAGTSSSVPANSVMLPGDGSWSEHASDKDEEALPEEGAPSRRPGRSSWRRTWWRQHAMAVIGVDVVLCLVLFGVWMAVCHGFSCVQR >SECCE2Rv1G0125480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:841313817:841314389:1 gene:SECCE2Rv1G0125480 transcript:SECCE2Rv1G0125480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAVTAGDGCVLKRCQQHGGHDLKEKKGTKRKAEEEPKICSIKRKAAGIDCPELEQGATPAAAEEAPWRKMTRLPQEEVDSILAEEMDDDRLPPEYKALKRLNPELIPSPEEEMDEDVVSFYDVVRFFYEIGEDFREFQAWVRAEYAKNGYVEVDDDYLRHQEEMEAMNEAARKEALKAFDFGGLLD >SECCE7Rv1G0475400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:187711994:187712877:-1 gene:SECCE7Rv1G0475400 transcript:SECCE7Rv1G0475400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVAVVAVPFPAQGHLNQLLHLSLQLASRGLDDAFQSIHFHKLSGRRIVVVYDRIDAFASEEAASLPNAEAFGLHCLALSMLVGRTDADHRLLRETGLAFRAVEHCATKEFIEFAGRARPSKQISPGAGILANTCRALEGDFIDVVAGHLAADGKRLFAVGLLNPLLRHSSASEQSTQLRHECLSWLDEQPPASVLYVSLARGSRQRFIWVLRDADRGDIFAEAGGESRSRHEKTGLVSTGWAPQLEILAHGAAPTLPSKYV >SECCE6Rv1G0381390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:32496914:32500218:1 gene:SECCE6Rv1G0381390 transcript:SECCE6Rv1G0381390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLYQSIILSVVAVVLLQVLKLRLRPRVRTPPGPWRLPVIGSMHHLLNVLPHRALRELAAVHGPLMMLQLGQTPLVVVSSREMAREVLRTHDASFATRPKLLVGEVVLYRYADILFSPSGAYWRKLRQLCAAEILSPSRVLSFRHIREQEVRNQVQDIHAAGTSTPVDVTAIFSGLAISIISRASFGNKQRNAREFLSAVKTGVTLASGFKIPDLFPAWRSVLARATGMRRALEDVHGTIDSSLDEVIEERKGVRQDKARSGGAPAAVEENLVDVLIGLQEKGGPHHLSTNSIKGVIVDMFVAGTGTISSSLDWGMAELMRSPRVMDKLQRELREAFSGKPAISERDIEAGSLPYLKLVIKENLRLHPPAPLLVPRESIHACELDGYVIPAGSRVIVNAWAIGRDPRYWGDDAEEFRPERFADSSVDFTGSSYEFLPFGAGRRMCPGVSYSLPFLQMAFVQLCYHFDWSLPEGVAEVDMAEADGLGLRRKSPLRLCATPFVPE >SECCE2Rv1G0101880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:570779308:570785371:1 gene:SECCE2Rv1G0101880 transcript:SECCE2Rv1G0101880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYIYATTARCCCSSSRAHPAIALQPPAPAPSSSRSPLRRSSAMGASDRLAVAAAKPRHATPCAATVLGEPLPASDDHGLVHPSADFAAQALVSSPQQYREMYQRSIDDPAGFWSEIAETFYWKQKWNPEEVCTENLDVTKGPIKIEWFKGGKTNICHNAVDRYVEAGDGAKIAMYWEGNEPDQDGKLTYSELLDKVCQLANYLKSVGVEKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADAIAQRITDCKPKVVITCNAVKRGKKLIPLKDIVDASLVESAKNGVTVGICLTYENQLAMKKEDTQWIAGRDVWWQDVVPNFPTRCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYAATTFKHAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVLVYEGAPNYPDPGRCWDIVDKYGVTIFYTAPTLIRSLMRDGSVYVDRYSRKSLRVLGSVGEPINPTAWRWFYNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQAVIVDEKGREMTGECSGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFSGYYFSGDGCRRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDDLRKSLIMAVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPAVVEQLISLSDS >SECCE6Rv1G0399210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:432070169:432074809:-1 gene:SECCE6Rv1G0399210 transcript:SECCE6Rv1G0399210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNSLEGVLLGMGNPLLDISAVVDEDFLAKYDVKLNNAILAEDKHLPMYDELSSKGNVEYIAGGATQNSIRVAQWMLQTPGATSYMGCIGKDKYGEEMKSAAKAAGVTAHYYEDEAAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVEKAKYIYIAGFFLTVSPESIQLVAEHAAANNKVFLMNLSAPFICEFFRDAQEKVLPYADYIFGNETEARIFSKVRGWETENVEEIALKISQLPLASGKQKRIAVITQGADPVVVAEDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQGKSIEDCVKAGCYAANVIIQQSGCTYPEKPDFN >SECCE1Rv1G0003050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:12318244:12334942:1 gene:SECCE1Rv1G0003050 transcript:SECCE1Rv1G0003050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRGSIAFFTTYRPAVPLDIYSCPVAPLPLSGQGEVLLTDGESYNQNCRPVPAAALRELLAFLRNKNPKLASQCGATPEDAVTGRVTGLVFVSERDDGLETLHVALRTKGAKKAVVLPLADVYGADTFGGARMEDSGCIAGGFQAGGRAVRHSLVYVSTKEPARRRRTPWTAVYKTNLADGKTQRLTPPGQYDLSPAVSPSGKMVAVANFQFNRWTGQIEHLKTDIVVMNVDRQAQGGLRRKIIIKDGGWPTWGSDKVIFFHRGIDKVGDDDKVKIIWVVFQYNIITEKFVQVTPESMDAMTPAAISETKVAVATIRQKSALTDAPRVEAQYRHIEIFDVNAGPHQPARITQKIRPLTDHYNPFVLDAGSRVGYHRCRTDALQNGSNVSNFLLRKSNVSDNFQKLQSPESTKEVGLFRVSGVFPTISKNGSKLAFVDNDFKAVHLVDEKGLHKVYEKQIGNSLFSIVWNQNPDKDTLYVCVGPSFNAAEPLHIYAIFNVSMRHTGKKADQISRLTDGDFNNAFPSSNPDGTKFVFRSTRHGGDKKHKNLYIMENSDVGEFGDGKVTRLTKGEWTDTHCSWSPQGDWIVFSSSRDKPDWAPEMDILDPGFFAIFLVKVSDPAVVVRVMRSSETIAGHVTHPMFSPDMRSIVVSADLAAVSTEPISMPQFLHSVRPYGDIFTVDLRVFDPNEDITKNEDIHEFHRITHSRYEYATPTWSKFATDDPNTQWSKLVTKNTGSFGAACPR >SECCE2Rv1G0072350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:54753192:54759941:1 gene:SECCE2Rv1G0072350 transcript:SECCE2Rv1G0072350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADDDGQIDDDEFYEYNPHPYGGGYDITATYGAPLPPSTSTCYPVSSPAGAPARSSPRPPAPAPVPAPATPQPAPSSPAKPRPVPSPEAARTPPFSPVPVPVPVPVPVPVPVAEPFYWPKPHDYGDAPRWPPVYPTPEVFRRWPYLEAGSHCCHSRGGPRDYWRQCMRGLDFLFGHADGYGERRIGTDCHGVPVYANKKGGVEDAVVVELPPPAIGNVQWHDAGEVPATGNAQWHDHAEVPSTGNVQWHDHAEVPAIGNVQWHDAGEAHDKSNWLSSYDNAKEDTYAYAHSTYGSYDTSYEQSYGVDPVSDEPTWFPNQSYQDVYSEEEPQYQEVLPSYGVESTFSSQPIYCYNQHSGEQPLHVQVEPPETFYSHKLEYHENFSTYTNHIDNSEISTQSREIQPYAYVPDSPVEAYQPSWSMNLGYYQDSAEEVTPQYDNHAFESGEYGGMASIFSSSSYPQQVEVYEQSYGDEYASLEQNFQSNWNAFSEDTSEITKSVDDCNHLNGSFWPFGEYSTYTV >SECCE7Rv1G0466630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:80409295:80412352:1 gene:SECCE7Rv1G0466630 transcript:SECCE7Rv1G0466630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVAPPPPLAGGRRGFGGRGVLRRRLAATPMKDEPVISTNGGKEEMIAHSVNVARKASIPGVSSNISNRTPVTPIPLHPAEPSDLRFNRLRPSIEKSDCKYTRYFGRYVAREAIMDEEYWIAAWLRAEDHYEDQSGDRYVESFKRKFASQEFHALKKRCSRQVGEKYTCFVAVKNDDVTRTVVNSVVGTVDLCVRHPLHGERYPAEPGNTPFYSRIYQPDQPKFGYLTNVCVAKYARRQGIASNMLLLAIDAARLDGAESIYIHVHKDNLPARRLYDHVGFKMVDMDGARQSSDLCLLSFSSTH >SECCE4Rv1G0220020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:34629141:34632867:-1 gene:SECCE4Rv1G0220020 transcript:SECCE4Rv1G0220020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPTVSTARRRRRPGRGAGALLALAVLLAAVVAAAAAASPEDAAAMRAVAKALGADKALTGWDSADPCSWPGVTCHSGGRVTAVQVKDKGLAGTLAPEVRNLTALMRLELFKNNLSGPLPSLAGLDSLQYLNIHHNGFTSIPEDFFKGLSALKEVYLDHNPFQPWPFPAGLGDCQSLTNFSANSVNLTGPLPDFLGSMPSLQQLNLAMNSLSGPVPPSLAGAASLEVLWLNGQNGIDRLSGSISFVANLTKVTQLWLHSNAFTGPLPDFSGLTSLSDLDLRDNQLTGPVPASLVNLKSLKNVSLGNNLLQGRFPNFSSTVTVMHGKNQFCFEDAGKLCDPRVDLLLEVEAGFMYPAKLAAGWAGNDPCSDWPGVVCDSSKNITSLNFGKLGLNGSISPSIGKITTLQMLILSNNNITGTVPKELAELPALKKVDLSNNNLYGKLPEFRKNVEVIFANNPNIGKDAPAPAPGGSNNGTAPGDGNGGSNKNSSSTGVIVGSVIGAVAVLGLIAALGFYCYKRKQKPSGRVQSPHAMVIHPRHSGSDPDMVKITVAGGNANGGAATSEPYSQASSAPRDIHVVEAGNMVISIQVLRNVTNNFSQENILGRGGFGTVYKGELHDGTKIAVKRMESGVMGNKGLNEFKSEISVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGPVSQHLFEWKEHNLQPLEWKRRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPADGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELVTGRRALDDTQPEDSMHLVTWFRRMQLNNDTFQKAIDTTIDLDEETLASVSTVAQLAGHCCAREPHQRPDMGHAVNVLSTLSEVWKPADPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFLASLDNTQTSIPTRPPGFADSFTSADGR >SECCE3Rv1G0155120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:63895695:63896793:-1 gene:SECCE3Rv1G0155120 transcript:SECCE3Rv1G0155120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGIVIDNPSKLGCKELKISTCKITKAGIGGPLVDFDGNFIGMNFYGLEEAPYIPVNIILKVLKNFDAQGTVARDDDDSPNRWPVPKPFWCYPRWHELEEEIDMEEEIIYHWQRQQF >SECCE5Rv1G0315230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:288079898:288085611:1 gene:SECCE5Rv1G0315230 transcript:SECCE5Rv1G0315230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESQAAAVQELRARFAAGCTRPAEWRAAQLRGVLRMATEMEAEICDALHADLGKPKTEAHVHEISLIKSSCLFALKNLKKWMKPQKVPAKLMNFPSTARITPEPLGLVLVISAWNYPLLLSLDPVIGAIAAGNAVALKPSEAAPAMSSLLAELLPRFVDGTCIQVIEGGVKETTALLNHKWDKIFYTGNSRVGRVVMAAAAKHLTPVVLELGGKCPVVVDSNVDLHIAAKRIAAGKWGCNNGQACIAPDFIITTQAFAPKLIESLKKVLEKFYGKDPLLSADLSRVVNASHFSRLKGLMNEEMVSDKIVFGGQRDEQQLKIAPTIFLDVPLDSAIMKEEIFGPLLPIITVDRIHESFGLINSMAKPLAAYLFTRDSELQNQFEGGISAGGMLFNDTSIHLTNPYLPFGGVGESGTGAYHGKFSFDAFSHRKAVMNRGFAGEARARYPPYSAPKLKILKGVLEGKLGDMIQAILGFPRGN >SECCE2Rv1G0086910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:216645134:216648545:-1 gene:SECCE2Rv1G0086910 transcript:SECCE2Rv1G0086910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COMPASS-like H3K4 histone methylase component WDR5B [Source:Projected from Arabidopsis thaliana (AT4G02730) UniProtKB/Swiss-Prot;Acc:Q9SY00] MSSATQQQPPPAAPPYRPYRQVRAATPHARAISCVRFSPCGRLLATASLDGTVALLSPSSLAVIANLRGHTQGVSDLSWSTDSNYLCSASDDRTLRIWDIRSILSAPKPADPNADRCIRVLKGHTNFVFSANFNPQTSSQVASGGFDCTVRIWDVSSGRCIRTIDAHSEPVTSVHFIRDGSIIVSGSHDGSCKIWDARTGSCLKTVIDDKKPAVSCSMFSPNGKFILVATLDDSLKLCNYATGKFLKVYSGHVNRVYCIQSAFSVTNGKYIVSGSEDRCVYIWDLQGKNILQKMEGHTDAVISVSCHPTENKIASGSLDNDKTVRLWVQDG >SECCE2Rv1G0125120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:838905983:838906813:1 gene:SECCE2Rv1G0125120 transcript:SECCE2Rv1G0125120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGNLDTWLHHQGNQTAATHLGLAQRISIATNIADALNYLHHDSGRPIFHCDLKPSNILLDVHMNACLGDFGIARFYIDSKLRTVGESSSVTVNGTLGYMAPEYAESGHASTCGDVYSFGIVLLEMLTGKRPTDDMFRNELTIVRFVETNFPDHTLNFLDSRLVNECNDAVDQVAAGPESPPIFQSLLSLLRIALLCTRQSPTERLNMREVATQMRKINVVNKGGKVKNSTSFKRLVSWASQRS >SECCE3Rv1G0213920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963235671:963236249:-1 gene:SECCE3Rv1G0213920 transcript:SECCE3Rv1G0213920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFTTASSFFFVVVVLLLLFSEVSLAATATADEDDNPKVKTLCTGTPFPELCASMITRDNKSKGANEESLVGMAALTSAKLLLNATGTARPAVQRDSHPGMTRADEICFETCYKELTNAAQTLDKLCMSNVQLPEIDNFIKFNKESHVEWNCERCRQGEDKKIADDVSKDNEAEKAMAILEVLVNKVINK >SECCE4Rv1G0261000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:699940905:699944084:-1 gene:SECCE4Rv1G0261000 transcript:SECCE4Rv1G0261000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVEGLAGKAADALVQTVTRMCGLDDDRETLERHLLAVQCKLANAEERSQTNAYLRSWMEKLKAAAYEADDVLDDFQYEALRREAQIGKSTSRKVLSYVTCRSPLLFRFAMSRKLKGVLQKIKGLVEEMNMFGLENSVNREGPQLPWRQTHSKLDESTNIFGRDDDKERVVKLLLDQQDQRKVQVLPIFGMGGLGKTALAKMVYNDQEVQEHFQLKMWHCVSDNFDVSAIVKSIIKLANGTCDQADSIELLQKKLGEVIGQKRFFLVLDDVWNEEKKLWEDELKPLLCSVGGPGSVIVVTCRSKQVASIMQTVEPVELAFLSEEDSWELFSNKAFSNGAEEQTELVTIGKRIVNKCGGLPLALKTMGGLLSSKQQVHEWMTIEETNFGDNVGGKYEVMPILKLSYKHLSSEMKQCFALCGLFPKDYEMQKDMLIQLWMANGFIQEEGTMDLTQKGEFIFHELIWRSFLQDMKAAVQSDGYTYGQIVCKMHDLMHDLAKDVSNECATIEELVEQKALVNNVCHLQLPKDVELEKTSRLFDGKTSLRTLIALSWMQQDFKRLRHVSLRALHWQGYCTTLKAVNAKHLRYLDLSRVIVSSTLLDSICLLYNLQTLRLNHCQGLRQFPEDMVMSLRKLIHIYLYGCFSLERTPRNIGQLNNLHILTRFIVDTRGGCGIEELKDLRHLSNRLELYSLRKIKSVNHAKEANLKQKQNLSELLFSWGLSEYDKPENEASNEEEVFQHLEPHSKIQNFELYGYGGPEIPRWMRDPQMFQCLRQLTIANCTRCKNIPVVWLSPSLEYLTLRNMGKLKTLCDNLCIEGGGRSTPLQIFPKLKEMVLKDLPSLEGWAENSAGVAIDRSVIFPVLEKLEICRCPKIASFPQSPVLKDLNLAGYYLEVECLMDIPRLPASLETLWIQCFGRLVALPSNLGDLAKLRELIVHSCSSLKGLPDGMDGLTSLRILRITDCPVIEEFPNGLLQQLPALNQLTVEDCPELERRCREGGEYFHHLVPIPHKHISAESEPESEVSEPEAESSGKKLLKKLLPSCVPSESDNN >SECCE6Rv1G0388890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:143714233:143714856:1 gene:SECCE6Rv1G0388890 transcript:SECCE6Rv1G0388890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGAATAVAAAVEAEGDAGARFRGVRKRPWGRYAAEIRDPAKKARVWLGTFDSAEDAARAYDAAARMLRGPKAKTNFPLSLPHHHQLPPSYSPYPVAAAAAVPTSVAAAAARPACSSLSSTVESFSGPRARPVLPPRPPAPPILDGDCHSDCGSSASVVDDDCADAAASPSCGLLLPFDLNLPPEFGGVACNDDDELKLTALRL >SECCEUnv1G0558720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334261914:334262129:1 gene:SECCEUnv1G0558720 transcript:SECCEUnv1G0558720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPTMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFTSYENARKDTKSRR >SECCE7Rv1G0517200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852497258:852497662:-1 gene:SECCE7Rv1G0517200 transcript:SECCE7Rv1G0517200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLALFLALNLVLLAAAQGCGPYCPPVVVPTPPIRPPPVVPSTGGGSCPINTLKLGVCADVLNLVKLRIGVPENEQCCPLLGGLADLDAAVCLCTAIRANILGIKLNVPIDLTLLLNQCGKKCPSDFTCPI >SECCE1Rv1G0060830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712292661:712294016:-1 gene:SECCE1Rv1G0060830 transcript:SECCE1Rv1G0060830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLLALLLAVAGLASSSAATVAFPKEARPTSSGYLPVDPSANASLFYAFYEASAPLADTPLLLWLQGGPGCSGLVGALFELGPYLAGPDGASLSRNPFAWNRRFGLLFLDSPLGTGFSAAPSPALVPTNQPAVAAHILAALQSFLDASPPSFRSRPFFLSGESYAGKYVPAAGAHIFAVNPTLPVKRRINLRGVAIGNGLTHPVAQVATHADSAYFTGLINARQRGELEALQAEAVALTKAARWREAADARGRVLDRLQNATGLATLYDFAKQRPYASAGVGKFVNRPEVKAALGARPDVTWEECSDAVGAAMHEDVMKSVLPEVEALLRSTRVLLYQGIRDLRDGVVSQEAWMKELRWGGLRAFLDADRAVWRTGEGEGEELAGYVQRSGALTHVVVYGAGHLVPADNGRATQEMIEGWVTQTGVFGSGMRSRGLLPVGRRIDAAAT >SECCE7Rv1G0512830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:815714658:815716929:-1 gene:SECCE7Rv1G0512830 transcript:SECCE7Rv1G0512830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGADEEERPVHQGCMAGFLHLFDRPHVLSGKRRLCHHPRRLLSSSSSGSATPSEMSMPLDRATPLPSSPERTPPAAPRPSLQLPPLDLKDRAGAAASWRLPRLSLDSRAVVDARGKLRQRDNRAAAPPSPGVPGSPSVVARLMGLDALPHGAADEEDGQHVARGGELRRSASERVPRDPARFRFVDPSFFEKPAPPVLLPQRPSSPTAEAAQAQRRSPDPAFHRAAALQRRSSHFDAREVFPEPAKRVDQSAGRGEIALCGEIDRRLRKRGIAEPVRDLETLKQILEALQLKGLLRHSTPPPPLSVRSHPPPIVVMRPSSRPPQPPTSPTRRLRVQVDNARRPRSPDRAASPARSPASPARRGPQSPQRRTSPDKRHQPLKRPNNAELPSIRPRIARHAAHNLSPDDDASTIFSDGGSSSSVSASASTRWDLERQRARLVDDPRTDRGLLERCDKLLSSIEAFTGAGDAAGDQQPSPVSVLDAATFLADEDSPSSSGSKRGMGRRAQSPRPVVSASFPEDDDEAVPEAWLAGPEGSDPDFAYVAEVVCLSNRMRSPDDVYRTVEKRRRRGEDTWQHRRLLCGAAGEALDRWRCAHPSEPVAWLRGEELLRHVWAEVQRAMEPAGLAAGDDLNDQTSEAIVRDLAADRRWSPSAEAAEAVLQIERLLFKDLVADAICELAEADRLRLPRRKLVF >SECCE4Rv1G0219300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:29304948:29306444:-1 gene:SECCE4Rv1G0219300 transcript:SECCE4Rv1G0219300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFRAQRPAQSEEMCDAAAAVVAARQGMEQPLTAVAEAFEELARGMEADGGELRLAPFGDTCALVSVLFSSLGIAFKFAESEYVTKVNDLIGASREYATLNDILDKDVENDSVKKQGSHSRNLRRVRLGLGLIKALFEQFLATEGGSLYDAATTAYGQVCAPFHSWAIRKAVGAGMYTLPSREQLIMRLNETDCSVQKEMRRYIDASSPIIVYIDNLFLSRNIVLDW >SECCE2Rv1G0136740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:913837027:913838556:-1 gene:SECCE2Rv1G0136740 transcript:SECCE2Rv1G0136740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ C76, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23240) UniProtKB/Swiss-Prot;Acc:Q9FMX6] MPALLLNSAAPLASPRPCSTSRPSRHRLRCPAAASSSSSSSSGGRAGSSWATDYDLYELLGVEPSSPQADIKAAYRALQKRCHPDVAGSGSGGDAGPSAHDMAVVLNEVYALLSDPAQRQAYDREHARRSEFQGYTGRPLYSSWRGGDAETRAVFVDEVACVGCLKCALHAGRTFAIESVHGRARVVAQWADPEDRIADAVQTCPVDCISYVQRSDLAALEFLMSKLPRRRVRVSEANAAGSPDIFAEVAKFKARFDKMENKSATRQSEESEATWRSRSSAVQTIMSMSNWWYWRPFRAPAGAATAAVPAPLRLLPPPPPSSPPPSSGPADPVTERLKEAAARRKAEGATASAVYARQRDEYWTPQRNLPSTASFPSPEAQSAAPPRRRVRRASGGERAPARSARIDLTVPLLMAIVAAGIAGYNREEMAGGVIEDHIGGAAALGVVNSSELQVVLAGVTWFVIGAAVAGFLQVVLGRRNE >SECCEUnv1G0541110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93431849:93433462:-1 gene:SECCEUnv1G0541110 transcript:SECCEUnv1G0541110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHKFIFPNFLSLFFHGGCGAASPPPHPSDVLVHKRAPPSARLTDATALVADVDGGLLLSSGSLFPYFMLVAIEAGGLLRGLLLLLLYPFIVCLGGDLAVRAMAFVAFCGLRATRFRAGRAVLPRWLLEDVGLEAFHAVRRRPSGAAGRRAPVVWASRMPRVMVEPFLKEYLEADVADVVAAREMKVLWGLYTGLMEEGDGEAASEARKKIMEGAVGDDVVGFSGGSMEFICNNTLSSLCKEVYVVSAEEKSKWRPLPRHEYPRPLVFHDGRLAFLPTPLATVAMLMWLPLGAALTVARIAVAMALPYKYATPILAATGQSWRLRGAPPPPPSSRGQLYVCNHRTLIDPVYVSIALDRPVRAVSYSLSRLSELISPIGRTVHLVRDRAHDGAAMARLLAGGAHVVVCPEGTTCREPYLLRFSPLFAELSGDGVVPVALAVETAMFHGTTAGGWKSMDALYYLANPRMCYTVEFLDRVDTAPVRDGGAASTDVANRVQRLMAASLGYECTMLTRKDKYLMLAGNDGVVRAKGAACQ >SECCE6Rv1G0405500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:531623059:531624978:1 gene:SECCE6Rv1G0405500 transcript:SECCE6Rv1G0405500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFILFLCLLVPFVLVCAVRGRKGAGGGRPSSGGGKKGGGSLPLPPGSMGWPYVGETTQLYSSKNPNVFFARKRNKYGPIFKTHILGCPCVMVSSPEAAKFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRLVSRAFSPEAIRGSVPAIEAIALRSLASWEDLQVNTFQEMKTYALNVALLSIFGEEEMQYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGAIVAHIISARRERERGSDLLGSFMDGREALTDDQIADNAIGVIFAARDTTASVLTWMVKFLGDNPAVLKAVTEEHAEIAREKALSGEPLSWADTRRMRVTGRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHNPDHFPSPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLCHHLATKYKWSTSKSESGVQFGPFALPINGLPMTFSRKDDNNNKA >SECCE3Rv1G0160630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:106321908:106325312:1 gene:SECCE3Rv1G0160630 transcript:SECCE3Rv1G0160630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDGRAADPSSYRDRRSEGAFGGGTRAFAPSGKPDAAAAAAAAELDGLPRFEKNFYVEVPAVTGMTAEEVEAYRRRREITVEGNDVPKPVRDFRDVGFPEYVLQEITKAGFTEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQETTKFGASSKIKSTCIYGGVPKGPQVRDLQKGVEIIIATPGRLIDMMESHHTNLRRVTYLVLDEADRMLDMGFEPQIKKIVSQIRPDRQTLYWSATWPKEVELLARTFLFDPYKVIIGSEELKANHAICQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGATGTAYSFFTAANARFAKDLISILVEAGQKVSPELANMGRGAPPPSLGYRDRYRGHGGGRSWS >SECCE3Rv1G0172890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:308810426:308821519:-1 gene:SECCE3Rv1G0172890 transcript:SECCE3Rv1G0172890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPDPQATSAPFPTIPAATHRPRVARPRRQAAPFRSDHPGASCPPSRRLGGDLASFAAGAGSRPSTAGGSRPSAFVFGADASTSNRAVAEDPASAASWSSSRGANFVFGSGVSARSEMKRSSSVGSSAASLCSLSAAADELVQDDSSGKQRDCAHASRGNDSVLEIDSFGASESNLKSHEVFRPSILHGVAKQRDEGRGMLPQTVGCKSTETNSSLVGQAVTATKYNDMNDSMEVLEDCGNSSLGDSVDKVYFTKDGSKLSAIGGNVKHDLFVFGELASAKHSLFAANTEQSNVKKLDSSDKEEVTCSSEQLGLSATEDGRCIKSQLAPGSRNWGDPHSRPCQVHETEKASNTAPFSIGAQDDIVKVSFTKLPDGMQTQVDAASGLGECRSFDERSFTLQDHNSASGDKGVIKAMSMNRRAVKPKKFSSTRQVSSLRNVLAADSFSGKVTPETNFSMKQSGKVGLRLEDSGNSGPEVADATQTAESSHDRTGLTFAANLESWGNSDLIFASSTFDRSKLGSQRQQNRSSEGIMTHTNFVQSLPTSAISLAHTKVSASQPDTVLAPQWTEYSKSEPTVVTCTKTGHFRYQEDCETWRIRGNQAYAAGQLAKAEECYTHGINSVSQNQASQKALMLCYSNRAATRISLGRMRDALSDCRKATEIDSSFLKAQVRAANCLLALGDVEEAQKGFEICLKSNHAANLDHKVIEEASDGLQKAQKVSTFMLQSKEYLVKKEFDKIPSALQMITDALSISIHSDNLMKMKAEALLLLRRYEELIQFCEETLQLAERNSVPMCLDEHLENINLDSYGFSVKSWRYYLIAKSYFFIGKLEEAHQFLKKYEQTTPAEYKCGKQSQQSVSLLSKTISELLRLKVAGNEAFQAGKYSEAVEHYTAALLSNAESLHFSAICFGNRAAAYQAMGQILDAIADCSLAIALDTSYCKVISRRASLYELIRDYYQAENDLRKLISLLEEQLQDSMSMPSEKLDNVRNNLHRANLRLSALERDARKRTSLNMYLILGIEPSCSAVDIKKAYRKAALRHHPDKAGNFLVRSENIDDTVWSEIVNAIRRDADYLFKIIGKAYAILSDPTMKGK >SECCE6Rv1G0412980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:619218437:619219375:-1 gene:SECCE6Rv1G0412980 transcript:SECCE6Rv1G0412980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRSAGRVPPPSREREHHPSFSAALLDAIYHSLDADACAPADELRRANVSPCEMPSPPPSRHRRPSTASSPSVSSARSPRPPKPPRPCRVLPHPQAPSLLLPPLPPISMPAPPAVESKENRKTRRKKRSKFACLLNALLCNRKPPTRSSSVDATATATRPLPPTVAVEPASARSILSSRASRRDSAAAAGGFLTPGRRAVRFSPAVEVVVDEEEHDHGARTTGRLRDASGSGTESSAAADAERRVEELLRALSVAEERERAKESSESSSDLFELESLPPALDNAELPRTTVAAAGLAPPRRRVLLDEMVV >SECCE4Rv1G0270840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:757797202:757801107:1 gene:SECCE4Rv1G0270840 transcript:SECCE4Rv1G0270840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQPPPSSSTSGAAVSMKDYLKRYQSGPAAAGDQKKAKKKKKNPKASAGGVLIVDEDPVWQKPVQIPEDEPSSGDEKPQVDEDIEVKRMRRMEAIRAARPYNSIANDGSGWVTLPVPEPAGSGSPCRRRNDTPSPERAGRKEDLSPPRRRQRRDTPSPKRGGAAGSDISPPRQRQRRPSPEGKGTGEGGDLSPPRKSRRQDDSSPPRRRARHDSEEPQDISPPRRRTRHDSEEPRNRSPPRRRTRHDSEEPQNRSPSRRRTRHDSEEPGDISPPRRRKHHASPQLDDLSLARRQNLGQSLGDGDISPPRKGRKSASDDLSPPRKERDTSPPRKVRKEGAPKETMRAGLMSAEEVKEDIRKIKEDEKLKFAAQDPSFTGKGAKVVFRDKEGKRINQEDIQKAKKDEKPKEKHIEWGKGLVQKRAAEARVKELEDVKDQPFARTRDDPELDGMLKNRLRWGDPMAHLVKRKDTDFLLNDLGDDEKMKESGFIVPQNVPAHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGYEKDMYKLKNDKQATEQEAYLWSVADM >SECCE5Rv1G0364990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803735795:803736325:1 gene:SECCE5Rv1G0364990 transcript:SECCE5Rv1G0364990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGMKRAREEEVLSLALSLTTDSATSSPTTSADSAAGAPVAVARKRVRRGRVVATSGEGEFVCKTCGRAFETFQALGGHRTSHLRGSHGLELGVGVGVARAIKQRKRQQEDKQQHDCHICGLGFESGQALGGHMRRHREEMALSGAIDRWVALSDQEAAHHNYADRPPVLLELFV >SECCE2Rv1G0132530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:892330340:892330564:-1 gene:SECCE2Rv1G0132530 transcript:SECCE2Rv1G0132530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELGRKLCLVGLCCIQIQSHDRPAMSEVIGMLEGGVDGLHLPSRPFFCNDGHTPVVESYNFCSELTAISEEDN >SECCE3Rv1G0203170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:881324554:881327142:1 gene:SECCE3Rv1G0203170 transcript:SECCE3Rv1G0203170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAGTAAAPRLSPQVICSVFVEQYYHILHETPDQAHKFYQDTSRIGRTGSDGVMEYVTTLPEISKKIMAMDFSKYLTEIETADAVLSHNGGVLIVVTGSLTMVDDFCQRFTQSFFLAPQDGGGYFVLNDIFRLITQRNLDNGRTQKDGPVAQSVADPLPDVVVERSATDLVAEVDVPNSTVNGTTVQSNPTANGAVENNVEPPVKVTKEVPKKTSVAVPPSPPAQKDNPPPAQKDIPKKTPVAASPPPPSPAQKDVTKKTYASIVKVMKEGPPTPVVKPKPSPKPVIKPPTKAVEGSEKSSVKPSQAAETTPAGTSVAKNKTSQDEQGFSIFIKGLPYNSTVQMVEEEFKKFGTIKPAGIQVRNNKIDQYCFGFVEFESQQSMQAAIQASPLYIGDTEVGIEQKRTSTRVVNGVVMNAGGGGRFQYGRGHRGDNFRGRGGGYMNSASYRGGDNFNRRDEGEDFSRRDDGDNFNRRNDGGENFNRRNDFRNRNEFSGRGRGPPHQGNGYHHHNGNGFQPRPFQNENGNGRYARVNNGPKQTPVAAA >SECCE1Rv1G0017330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:152507558:152508448:1 gene:SECCE1Rv1G0017330 transcript:SECCE1Rv1G0017330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARVFGDVGETLVLAHGYGGSRFIWDDVVPSLAEKFRVVVFDWSFSGAVGDRGCSERRLSYHDFADELVALMDELALTGTVFLGHSMAGMIGCIASLARPDLFSHLVLVGASPRYINDEGYEGGFERGEVDAMLGAIETDFTEWAPLFAETVVGVDHPAAVAKFAKQLAMMSPGTALHVMRAVLTCDVRDVLPDVKAPCTIVQCTQDAVAPLAVARYMQHRMAGCAGGGGAASVVIQSSSHFPQLTAPKEFVRVIEAILLDR >SECCE6Rv1G0394550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:300129667:300202004:1 gene:SECCE6Rv1G0394550 transcript:SECCE6Rv1G0394550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGHVLFLLRRYLGEYVEGLSVEALRISVWKGDVVLKDLKLKAEALNSLQLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFILAHPAPDGQTLKEEDREKLFEAKLQQIEAAETATLEATSKSSKGGPIPGGNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSKLAAVTVDEDGNETFDAGVALDKLRKSVELHMLAIYHDSDSNPWKLPKKWEDLNPGEWSEVFQDGIDDRPGNSIWAMNRRYLVSPINGTLKYNRLGQQERGDLNNPLEKASLVLSDVSLTVTEAQYYDCIKLLETFSRFRTRVDVSHLRPIVPVKEDCRAWWRYAMLAGLRQKKLCYWFSWERTRYLCQLRRRYVQLYATLLQQAPSVDIYEIRQIEKILDSKVIILWRLLAHAKVETVKSKETLHKKGSSKRRWWPFGWNSAGLPSEEGGGLEPQLDEEQLTKEEWQAINKLLSYQPDDELSFPVEKVSPNTIRFLVDVSIGQAAARIINIDHTEVMCGRFEQLQVVTSLYPKSTRCDVTLRYCGLSSPEGSLAESVVCEGKTNALDVSFVRAPIGMDLDWQFVAKISPCYVTVLKGSYERFLDFIKRSNAVSPTVAMETATALQIKLEQVTRRAQEQLQMVLEEQSRFGLEIDLDAPKVRIPLIGSEQFVLDLGHFTLHTRDGTRDEERQSLYSRFYIAGRDMAAFLVCDVAEGIYSIRENLSHSVLPGPTADANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYCKIVELLAVVNQLKGNNNEESGSYENGNLAPWHPADLAGDARTLVWRGLGYSLAEWHTCHVVLSGMYLYILESELSQNYQRCFSMTSRQVFDVPSASVGGSLYSIAVCSRGADMQKALESTSTLIVEFHNEIEKANWMKALVQATYRASAPPELNILGDPFSSAPETSTPRLSSLGSVDLLVNGSVIETKLSLYGKLDRKNRDPEELLMLELLGNGGKVNVVQSSRGLSVKTKLHSLKIKDELHGRLSMSTKYLACSVINEDLESFPKKNEDLQPEGSFTPDMEGHPKSFSVEEDSFMDALPDFTSDQGFNLHDHDTPSTSMSDLNEYTLCFDDDQQNLKPTEIFYEAQDNNVTDFVVLTFLSRTPDSYLYDGIDSQMSIRMSALEFYCNRPTLVALIEFGVDVSMVNSVPKSDPDMAGATHSAMPTGKEHTDRTVVKGLLGYGKRRTIFNIKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSVDGMLGNMRFCDMSLGPDHRWGWLCDIRKPGVESLIKFAFQSYSADDDDYEGHNYSLTGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFMDKVGGFEWLIQKYEMDGSSAIKLDLSLDTPIIIVPKNSQSEDYIQLDLGQLKVKNDFSWHGGEESDPSAVRLDVLHAEINGINMAVGVNGTLGKCMIREGHGINVGVRRSLRDVFRKVPMLSMKVQIGLLHAVMSDKEYNVITSCISTNLSETPNLPPSFRENVNRTKESIRLLADKVNLNNHLMLSRTVVIMTVNVQYALLELCNGPDAESPLAELALEGLWVSYRTTSLFEMDLYLSILKLSIRDIRPDTKSEMRLMLGSYSETSKLNTPDPSSDGGVSNLTMLILDYRWRSSFKSFVIRVQQPRILVVLDFLLPVVEYFVPSIGTITGREESLDPKNDPLMTSNDIVLCGPVFLQKENVIQLSPERQLIVDGCDIDEFTYDGCGGTISLCEELDKKGQLYSETIIIVGRGKKLRFKNVKIENGALLRKCVYLNTGSSYSISAEDGVEVSVLETSFNSDEDNRFQSEEYNRQFNALQPAAGSSSNQMLNFTFEAQVVSPEFTFYDSSKLTMDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDFSWKYTSVSEKTNIILTSSEICIHLSLGVASLLLKLQNQTLAALQFGNINPLVSCTNFKRVWTSPEGDLPGYNLTFWRPQAPSNYVILGDCVSSRSVPPSQVVVALSNTYGRVRKPLGFRLVHTIPGSLNLVDSKKSSEQNDCSIWVPVPPHGYLALGCVVNSGNQPPSSNVVYCLRSDLVTSATFSDCIHTLSSAPGILSGFSIWRVDNVIGTFHAHSSGNQPSRTEALDLHHVLLRNPNCYIIKDLAADSPVRSDQPTDQLSNSKSTSGWDVVRTLSRPSSYCMSTPHFERIWWDKGGDSRRPFSVWRPLPRFGFAPVGDCITEGLEPPTLGILFKCDDKIVSEKPVRFMKVAQIDKKGIDDIFLWYPVAPPGYASLGCVVTKTDEMPSKDSICCPKLGLVNQANISEDPISRSSSSKGPNCWSIWKVGNQGCTFLARPDLKKPSARLAYSIADHAKPKAPDNVTAELKLGSLSISILDSSCGMVTPIFDSTISSINLATHGRFETINVVLICSIAASTFNRHLEAWEPLVEPFDGIFKLETYDTSEHPPSKVGKRIRVSATSPLNVNLSSANLDLLIETLISWRRQIDLEKRSSMRNEDSLENLKIADDLSSSALDEDDFQRVVFENKLGCDVYLKKQEDTENTIQLLRHDNQISLLMPPARFSDKLNVLSNSTEARYYVVIQIFESKGLPIVDDGNDHTYFCALRLLIGSQTSDQYKVFPQSARTRCVNPVKTAELQTHHAKWNEHFIFEVPEQASANLEIEVTNLASKAGKGEVLGSLSIPIGRGTTTLKRAASIRILQQAADVKRVMTCPLTGKATGLDEGDRKGCGALVLSCCYIERSTQTDFQSWKDSISNAETGFWVGLTPDGPWESFTAVLPLSIIPKSLNSNHFAFEITMRNGKKHATLRSLAVIANDSDIKLEVSVCPINKLNNSMINDKSTSSTNNNVDEVFENQWYQPTSGWTSNHSSDHGIELGQWSTKDCSYSSKAFFEPRLPPDWKWTSPWKIEKSTFVDCDGWAYAADIQNLNWPSSWRSSKSPHDYVRRRRWVRSRQLLQEQSVEIARNVVAIVDPYSSTALPWTAMIKDMDLCLQVRPFSAKSDESYNWSQVLSLGCDSLPKQQQSSLSRQSTMKQSSVPSRNSVLRLAELEKKDVLSYCSPPVGIKQYFWLSVGVDASIVHSDLNMPVYDWKFSFNSILRLENKLPYEAEYSIWEKSAEGNMVERQHGIVSSGGSAFIYSADIRKSIYLTLFVQNDWILEKDAELIMDLLSLEHVSSFWMVQKRTQRKLRVSVEHDLGASDAAPKTIRLFVPYWIKNNSSIPLSYRVVEVEQAVNADADSLCRPDSLSRPDSLSRVAKSSKFSLRYSSKSLVRRGSVSQKNTQILEVIEDCAMNYIMLSPQDYVNNSTNTRESRDNNFRPAQVAICVAVGRCKQYSIGVSLFELENKENVDVKAFGSDGSYYWFSVQLKMASDRTKVVNFLPRALFINRTGRGIILSEYHSEVEEHLHPTDPPKVFQWRSEFGNEFLKLRMEGYKWSTPFSIDANGVMCVLMNSVTGNDQVFVRVNVRSGTKSSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDLGRRRLLEVLVDGTDATSSMTYDIDAIMDHQPLATSSGLKKALRITVVKEGKLHVTQISDWLPENRTRGQITERLLSPIFQPSEVDCGQSSQDLDSEFHVTLELTELGISIIDHMPEEVLYLSVQQLLLAYSSGMGSGINRFKVRMHWIQVDNQLPFVSMPVLFCPQKTDNQSDHVLKFSMTMQTNNSLDFCVYPYIGVQVPENCVFFVNIHEPIIWRLHEMVQHLKIDRICTSQPSAVSIDPILKIGLLNISEVRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYHEELCMRQSALMNSAISNIQKDLLSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKLKISSAIMAEEQLLRRRLPRAIGGDSLIYPYDEYKAAGQAVLQLAESGTFLGQVDLFKVRGKFASTDAYEDHFILPKGKILLVTHRRVSLLQVPMMTQRKFNPAKDPCSVIWDVLWDDLVTLETTHGKKDAPGSLPSKLILYLKAKPANSREAVHLIKCNRGSDQATIIYSSIDKVYRTYGPNAIKELLRWKVPKPYAPRNTNRHTVQDLSFA >SECCE6Rv1G0449260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:861043299:861046394:1 gene:SECCE6Rv1G0449260 transcript:SECCE6Rv1G0449260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGVIVLLGLVVVGAVVIGTRAAELPVVPAMFVLGASTLDVGNNNHLPGKDVPRADHPFYGIDFPGGARATGRFSNGYNVADFVARQLGFERSPVAYLVLKSRNRLIPNALKRGVSYASAGAGILDSTNVGKNIPLSKQVRYFASTKAEMEAAWGRHEVSKLLARSFFLLSIGNNDLLQSTPKSHADVVALYATLVANYSAAMTDLYGMGARKFGIINAGPVGCFPRVRLLNATGACHDGLNRLASGLAAAFKSGLATALAPTRLPGLKYSLADSFASSRAIFDNPQANGFVNVDNACCGSGRLGAEGDCNRNAMLCSDRDAYAFWDYVHPSQRAAEVAAQELFDDGPAQITAPISFKQLAYEK >SECCE7Rv1G0480830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:274630437:274630850:-1 gene:SECCE7Rv1G0480830 transcript:SECCE7Rv1G0480830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLTGAGTNAEFRFSPRSVDAFGSIVIAEGSADAAGQLYWVHAWTVGPDGVITQLREYFNTDLTVTRLSAAAATKNASAGSPSHSSSSSASSSPSSSTSSGLSSPAHTPKWPKCLWQSRRTDRARKSLPGLVLAI >SECCE3Rv1G0144650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5083622:5087608:-1 gene:SECCE3Rv1G0144650 transcript:SECCE3Rv1G0144650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTAFCLGGARGCSLGCLPAVRPAASPSWRWQRQRHAALRCQQRQAPAPEAQHEVNDRSGKNPSGFYPSVWGDFFLHHPDPAASSQKQQTWMVERVEELKKDVSKLMSISATYLERMNLIVALERLCLDYLFEEDINMALEEIYSANVSDFDLHTIAIWFYLLRKHGYKVSSEVFVKFLHADGSFQATTPRELLSLYNAAHFNTHGEIILDQAISFTKRSLESKLPYLEGLLAHEIQCALEIPLPRRVAIYDAKIYISTYEKEATMNTFVLELAKMNFNLMQHQYQQELKITTRWWNNLHIHSRLPFARDRLVECYLWMLGVYYPPSYSRGRIILTFVIYTTTIFDDIYDSFGTKEECELFTQWVERSFMSNWNPKASHVLPECMQYALGKIMESYEIIDNELAPEEKYRMTYLKNFIVDLVRNYNKEVKMREEKVIPVSIEEHLQVSARTSACHLLACTSLVGMDDIATKASFEWVSTVPKIVQSLCIIVRLLDDIMTYEREQMTLHVASTLDSYMKQHNVTMKIACQKIHELKEESWKDFNAEWLDCDGGQPRKLIETIFNLTRTMEFMYNKDDNFTNCHNLEDTIRSLFVETF >SECCE6Rv1G0425760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:709259564:709260748:-1 gene:SECCE6Rv1G0425760 transcript:SECCE6Rv1G0425760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFGYDPYEDYGYGYPASPYTYDPYYHRPAAADSFFHDAEQLMTERLRPARRRAPARHNAAFFPSFGAAEPATRTTARPRPSTSSSKSRPDYFEIEVTGDSNSPPAVPRKPAPSAEEAAVRVQAAVRGLLARRMVREVRTVKQLAEAVAARVASEAEALRADARARIGLGEELMRLLLRLDGVRGAREYRRQVTRRVLALQDAVDALEAAPAVVTVDAPEEEAESGMKMELPVEDNTASDFLAAETTDTVAMEVDAASPVVVDEAGQTATELVAEGEKPSEAEGEWEMVATGDGDVFTGEEDTAPPKALQEQAQEEKKTEEKKTVTTDGLDVKKLMELVAALCERSAQQCTLIGALAERVDTLERAVEADRRRRRNKKTNKDGKKNTSSFYSD >SECCE2Rv1G0100100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:534422365:534423989:-1 gene:SECCE2Rv1G0100100 transcript:SECCE2Rv1G0100100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPGQRQQPGVDRLSALPDELLVAILDGLDTRSALATTVLAKRWTRLPRLLSALEFRVTDMFPPPVNQQSPVFLEKYAPAVMARYNDAIDRFMEAAHADGSYIPQPGRRLRLEFFDPDEPAFVDRLIGTAVRSWGVEDLEVIVKPVAHHIAYFFPQHYLDDESAQFIRSLNLGGCSCLPPLHRYGKLTALILQDISPSVPVATYANVFSRCTGLRSVHLRRCHANIDNNIPSVMEVDAPASSIIELVIYHCSFQTIRLLALPALERLECSGSPFSLAFGNVPRLAHVVLRLGFFIMEGDPWDDRYDYTLDGLLVAINPAAVTVTDLVLRFTGTYRWVYPWLDGVTPFLVLRRLLVADVPSNWDVSWAYLLLEAAPSLEVLHVSVAPCAEDSPRDVDIMWRPSKFRQRHLNELVVVGFELMPTLACLVRLVMKTCKALRRVVLMKGGRIEDRGLGGGWEVVAPRESGWSYDEKVAAERLMSPCRICLSNG >SECCE7Rv1G0524700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886946008:886947564:-1 gene:SECCE7Rv1G0524700 transcript:SECCE7Rv1G0524700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKRFNLAAPLLLALTLLFCSCSMISSSSPQASSAGFLQCVTKANISVFEQGEDLFKAQLDQYIRNPKFLANTTGRPLYVVMPANAHHVQVAVRCGSLNGVPIRVRSGGHDYEGLSYRSVDTEGFAMLDMSELRAVVVDNQTSTAWVESGATLGELYYAISKASNLLGFPAGVCLTVGVGGHFSGGGFGTMMRKHGLSVDNIIDAELVDANGTLLNKTTMGDDVFWAIRGGGGGSFGVVLRWRVRLVTVPATVSVFKVSVSNIHGAAVDAVTKWQKVAPALPDELYIRALVQNDMAVFRAVFLGTCDVLLPVMSRSGGIPGMNLSRSDCKEMTWIQSVAYVNNATVGDLRKRTTSEFDSSHGFKATTDYIRRPIRREVWAKMFDKQLGQPEAQIVLVPYGGKMSSVPEDATPYPHRAGVLYSMQLYNYWPVDSSAHNGAMETKWVRDMYAFMAPYVSSKSRPRGAYFNCRDLDLGPGWGPKYFMGNYHRLTKAKAKIDPDNYFRNEQSIPLPQQAS >SECCE6Rv1G0426440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712771443:712772141:1 gene:SECCE6Rv1G0426440 transcript:SECCE6Rv1G0426440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMHRPTGEYRILLYYDNWVSRSHGACYIFTLGSGQPPRDIGCPRETEQLYFIGEAVLLRGSLHWHIEKRVSERNMVLVFDTIAESFRQIRAPVVPGHADLFEMDGMLGMYSFDDATTIIDIWVLRDYERVVWAFKSRIELPVEEIRVQCDMTQSRVQRDDRWHVVVPGGGELLVLVRFDKCLFQIDMDSKLVATSYHEQLNLTNLRLKQTLVPHTFFSTLEGYVVNAPPFI >SECCE7Rv1G0478630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:239801324:239803552:-1 gene:SECCE7Rv1G0478630 transcript:SECCE7Rv1G0478630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGAGAAPHPDILSRSGDNSGVPTLDQLLSLRSGGSVSTATSEVGPSGHVVVDVQPTADDNGDRSTTVDDSICRPAVHFVLAFEDLTYSVKRPTRSFNRSREVQATDATSRNTLLDSISGEAREGEIMAVLGASGAGKSTLIDALADRIQRESLHGAVTLNGDALDSRLLRVISAYVMQDDLLYPMLTVAETLMYSAEFRLPRSLSTSKKRSRVQALIDQLGLRAAANTIIGDEGRRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQGIAQSGSVVIMSIHQPSYRILGLLDRLLFLSRGKTVYYGPPAGLPPFFSEVGHPIPDGENPAEFALDHIRELEGTQAGTNDLVQFNKSWQEKPLSRAVSVASTSTLGKPSLSLKEAISLSIARGKLVSGTSAASPETAVTTEVATYANPWWVEVWVLTRRAFTNTWRTPELFVIRFGAVVVTGFILATIFWRLDNTPKGVNERFGFFAIAMSTMFYTSADALPVFLIERYIFLRETAHNAYRRSSYTLSNAIVAFPPLVVLSLAFTGITFFAVGLAGGAEGFVFFALIVLASFWAGSGFVTFLSGVVPHVIIGYTVVVAVLAYFLLFSGFFVTRDRIPGYWIWFHYLWLIKYPYEAVMQNEFGAEPGKCFMRGVQMFDGTPMGKLPVATQVTVLNAMSKSMRIDFNSSSCITTGTDILAKQAVDQLGKWGCLWATLAWGFLFRILSYFTLLLGSRNKRR >SECCE6Rv1G0420440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:677407585:677409101:-1 gene:SECCE6Rv1G0420440 transcript:SECCE6Rv1G0420440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLHDAPATRDREPRRSKRLQRSMPEAAPPPARRARRAEGPAPAREDEASVPAARVARSRSPPRRERYSEGASVAAPPPAEAPVPPPKRKDSSAWPRTAYRLRNRRVPDTGNSKPIPAPCYEKREQLKQDRPRLSKKQLEEDEFWQAPACARKGLERYNNMNQGDEHELVKAVDVNPFVFCGMWLHANFLARRKGANNCVDLVPKYFFVELKIDRNGLSCVSCIKIDSVESKNLGGCGVCPETFMHPAGGGYIGAQPRRNEPAAGGLQISFSL >SECCE6Rv1G0445640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:839124157:839127131:1 gene:SECCE6Rv1G0445640 transcript:SECCE6Rv1G0445640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 1 (EC 2.5.1.32) [Source: Projected from Oryza sativa (Os06g0729000)] MQQMATTATMLLGAASSPGAGAGLAAADAGFHCSRLLPRKGRQQRPRWVLCSLNYGCLGVGEPGEAGGRSAASPVYSSLTVSPGGEAAVAVVSSEQKVYDTVVKQAALLKRQLRPQQQQAAQPPAVARDLDAPRGGLGEAYARCGEICEEYAKTFYLGTLLMTEERRRAIWAIYVWCRRTDELVDGPNASHITPQALDRWEKRLEDLFAGRPYDMLDAALSDTITKFPIDIQPFKDMIDGMRTDLKKARYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATAESVYGAALALGLANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFKGVVTDKWRKFMKRQIKRARMFFEEAERGVSELRKESRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKAKKVLALPVAYGRSLRLPYSLRNNQT >SECCE3Rv1G0194640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:783263467:783264586:-1 gene:SECCE3Rv1G0194640 transcript:SECCE3Rv1G0194640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLLHPSTLVPASSPRLPRRGLAPPQLGPRRPRGVSLTVAAAASPDVEKAPSSSPTTPPDEPSDLSAVAESVKVLKDAAKTRKVPAPEVLAALAKIKKAKLDTSAFFETLGGTESPGRTWMLIFTAQGSRLEKGSYFPVTAVQRFDAAGQRIENGVYLGPAGSLTFEGRLSWKKKILAFVFERVRVKLGPLPSLEIPFGGGDVGREPSTKDPFFLWFYVDEEIAVAQGKGGGTAFWCRCKRVPA >SECCEUnv1G0530490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13438214:13443169:-1 gene:SECCEUnv1G0530490 transcript:SECCEUnv1G0530490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISAAAKATSAAYAHKKELAAAAPPQQLARSRRARAGRVRAVATPTRAPRSPASTGAVKSPMTTTEKILARASERASLEPGENVWVDVDVLMTHDVCGPGTIGIFKQEFGEDAKVWDREKVVIIPDHYIFTSDERANRNVDILRDFCEEQKIKYFYDIKDLSDFRANPDYKGVCHIALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVMGTGKALLKVPPTIRFVLDGEMPPYLLAKDLILQIIGEISVSGATYRSMEFVGSTIESLTMEERMTLCNMVIEAGGKNGVVPADETTFKYLEGKTSVEYEPVYSDAQARFYSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFIAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPNCGACLGGPRDTYARMNEPTVCVSTTNRNFPGRMGHKEGQIYLASPFTAAASALTGYVTDPRDFLS >SECCE2Rv1G0076070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:88051654:88052412:-1 gene:SECCE2Rv1G0076070 transcript:SECCE2Rv1G0076070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKVPLRYIGQDSTRRRTYETRRNYLMKKVGELGILCNTKACVLVYDEGASEPDVYPSHAEAVAILNKYKATPNMPQFKKVMSQEEFLTKCLTKLQNQANKLQSEKEDRMIRILLHKAMISANLNAEEVATVGCKSGKVHKSLIDCIAKINPQLQVFQPQAPYATDNIHMGSSSMYQAPPQQQAGWPSPVLQAQVPNVTDSMGMAPVPMYQAPPQKQVGWQPPVFQPPAPNVTNNIDMGPPPMYQAPPQH >SECCE5Rv1G0330990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:535286133:535287483:1 gene:SECCE5Rv1G0330990 transcript:SECCE5Rv1G0330990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLMSSPHGLTMPAQAHPSWCRSRVATRAGRWACKSAATANFDNTVTGTRAQERAEAEVARTLNLSGWVEKQVLPLLSPVEDAWQPSDLLPCFSLTSAGRSGEQQQPPSMMTMTTEELQDQASGVPDDVLVCLVGNMVTEEALPTYMCVGNRVAACSDDTGCSDLPWARWIRGWTAEENRHGDLLNRYLYLSGRVDMRQVERTTHHLLRNGMQMLRPSSPYHNVVYGSFQERATFISHTHTAKHAARHGDRCLAKICGVVAADEKRHETVYTKATAKFFELDPDGMVRALAAVLRDKITMPGQLMTDGRDADLFDHFSAVAQRTGVYTARDYGDMVEHFVRRWKVAGLGGGQLSGEGRRAQEYVCGLPRKIRRVEELAHDRAIKAAKEPEFARFSWVFDRSVCIRARSYRPSTKFHP >SECCEUnv1G0549660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:206391900:206392258:-1 gene:SECCEUnv1G0549660 transcript:SECCEUnv1G0549660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFNGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALVVH >SECCE3Rv1G0165680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:177400155:177401981:1 gene:SECCE3Rv1G0165680 transcript:SECCE3Rv1G0165680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYHEKEEHEKTCPGRAGAVEKQGRVLKMGPCGGGGGDAWEMDVRGVDRIIKVVLWHSGAVDAISVLYERDGREELTRHWGKLEGRRSEIFLESDEYLVGVKGNLGNFGGCFLLGTLTLTSNMRTFGPYGTREGPPFDLPAAGGKIVGFYGRSGGLLDALGTYVKMVN >SECCE4Rv1G0255990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:653342103:653342513:-1 gene:SECCE4Rv1G0255990 transcript:SECCE4Rv1G0255990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEATEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE4Rv1G0289780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:868048308:868048790:-1 gene:SECCE4Rv1G0289780 transcript:SECCE4Rv1G0289780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKGARKSLVSRTLERCRSGLNSGGRSSAAVAPGCFSVYVGPERERFVVRADRANHPLFRRLLDDAEQEYGYAAQGPLALPCAVDAFLDVLWHMDHDVQDDDDDDGEAAVAPSTPICGLQRAGSGSIKVRPAGYRVLSPAKSTPASFFFSQTAAGGRR >SECCE7Rv1G0484730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:345511808:345512041:1 gene:SECCE7Rv1G0484730 transcript:SECCE7Rv1G0484730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLQAHREIEDATTHGRLRDDLVEHHWQLDGRCIGP >SECCE5Rv1G0305210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:68556402:68558804:-1 gene:SECCE5Rv1G0305210 transcript:SECCE5Rv1G0305210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGDVAVMAAAEEEARRRRTRQAERVALPAGMVLVQALTVVTMLLSKVALNSGMHPLVLLVYRNLVAAAFVAPLAVVFEREMWKKVNPRVLGWISLNATFGVLLAMGLYYCGLRATSAAYAVNFLNLIPIATFIIAVALRAERLSLAAWASRMKLLGAIVGVTGTMVVSLCKGTHLLLPHLRQSSHANPHLAAPHDGRDMAIGTLFLCGSCVSYALWFVVQAKVAKVFPSRYWATALTCAAGSLQSAVAATVAVVLAPAGDGHGWARTWTLRWDLQLATVVYSGVFNTGVTFVLVSWAVERRGPVYPPMFNSLSLVATTAVDAVVLGTDVYLGGVLGAALVVVGLYAFLWGKSKELAAAKAVNAEQELRCAADADDGIA >SECCE6Rv1G0398100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:412398060:412400813:1 gene:SECCE6Rv1G0398100 transcript:SECCE6Rv1G0398100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVLVKKVLEDGGGKGEEGSKDEAAVRAEMIPAVSLEKEVEGGGSKGEDQSNGEASVDAEMNSEVSVKVETENGGGKGKEESEAEGEDGESGEATSSSEDDEEEDAEEEESSEASSSSDEEEQMANNHCGVGDMAAFIEKGKMMVGINDDDDEEEEEEASKGPINSKHEAEILPLVPKIEVQLEPHHKALPVGTISSIMGERVIVEGSVQHNPLNEGSILWITESRAPLGIVEELFGPVKNPYYLVRYNSVEEVPSGISAGTAVSFVMEFANHILNVKELYTKGYDGSGDNIEDQTDDPEFSDDEKEAEYKRSLRLAKGQTDRQLDSKKRSGDKKRKQPRDAGFHKGIPRTHDVVTPAHQSKHRIYRSDMAPVADNSARSRTSVPIMTPPVTVNPAMASAIQFADQKGGCFPNSSQQFLPQQPNVVWPGGFPPSMYPNMGINGAARAANFMQNILSGSNQYQQHYQNQNFGGFLNGIPMTPTQFIPLSGMPVNPMPFGGSQVNPPFGPTSELGMGQGNFGNLGYLAGHQGLPHPGLPNAQGYGCLPSSHGGGGQRPMQFNSGQFNQGSSSFSGRRPQQQGGQHSQGRGSGGHHK >SECCE2Rv1G0142710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:942510789:942511418:1 gene:SECCE2Rv1G0142710 transcript:SECCE2Rv1G0142710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKATAARCDLEHILGNETAEPKALPLSLLEAITENFSNELEIGRGGFAVVYKGKLGNREVAVKRLSKAYMHETEFDREIECLMRAKHKNIVRFLGYCDDRQRNMERCDEKLIMADVHQRLFCFEYIPKGSLDKWAT >SECCE5Rv1G0318390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:360320569:360330471:1 gene:SECCE5Rv1G0318390 transcript:SECCE5Rv1G0318390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKADAKAEPTGGGGGGGGSFTEERLSEKLNKLSSSAASIQTLSNWCIFHRKRARRVVDTWQRQFNNATTDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYENGGQDGKKVVARLIGIWDERKVFGTRSESLKDILGDNPPALNNNSTTSNPTSKPSSVSKSSQRDSSSIIKKLTVSGMPEKIITAYQSVLDQYFDEDTALNKCKITVGVLEKMNKDVDEACTNDIQQASSLISNLQEQEAILKQCIEQLESVDAARITLINSLKEALSEEEKKSELLRNQLQVARAEAEHAVQLRQRFGGAHATNGAWSTSSTLMTTIPSEQTAAMMQNAAISPITPQFQPPHPAIPLSATASAADDEPKKTAAAMADKLASLASPEQVLSSILASLAAEQAASMNSGSPSVELSEGPPGFQIPKRPRIENPTQTGDMGAPLFFGQLPQAAQQNGAAPTSLGGMQSLMQANQAPGAFAPPPPPLPPMLPPLLQQFSQNGGGMFGMGTFGMLASSMPPPLPNMLPPGFPRPSGPPPPPPLSPAQNQSQTQQQQQSPQAPQQSPTSTGFFPTPGIGFFPPVQMQQSPSVQRQ >SECCEUnv1G0529670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8827226:8834727:1 gene:SECCEUnv1G0529670 transcript:SECCEUnv1G0529670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MRGLTRAGKRAGEMALNAGGGAINWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPMLAAKRRILALNKKDLANPNIMNMWLDHFQSCKQDCISLNAHSSNSINQLLGLVELKLREAILKEPTLLVMVVGVPNVGKSALINSIHRIANSRFPVNDKMKRARVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLLHRREEFDEGPDDSCEKGPRGSLRRRQHVNNSDALYVQDLVIEVQRTLCSTAMEFTGNLDEDNELESLIDSQLVALRKVFRIPHKPLDESHGAASKKLLTLFRSGKLGPFILDDLPGQQ >SECCE4Rv1G0258070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:674357901:674358288:1 gene:SECCE4Rv1G0258070 transcript:SECCE4Rv1G0258070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCLPMAAAAMVLLLMVATAQGIRLDAGSKAALGKPVLNKSMEKEVVIRADGAEPSSGDEVEEAISEEKDRAGGHRTPEIHVDYYGPRGHNARHH >SECCE7Rv1G0484660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344277041:344280329:1 gene:SECCE7Rv1G0484660 transcript:SECCE7Rv1G0484660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSSGIVYGGLKYQARCITDVRADAGSTTFLAGTLSLKEENEVHLIRLLPGENELVCDGLFYHPNEIWDLKSCPFDHRLFSTVYTSGEGYGAAVWKIPELYGQSNSPQLEQLFTLDDHTDKIRCVLWWPLGKHDKLISIDDRNIFLWNIDPSNKSAKVISQGSADMLPNLRGGAWDPHNHNAVATITDSSLHFWDLRSMKRSNAIEHAHIRDVDYNPKKQNIIATAEDEFGIRLWDFRMLKHPLKDLPGHSHWTWAVRHNPEYDELILSAGTDSAVNLWLAKVGTDDSGPESPSGSPTRQEEPLLHAYTDYEDSIYGIAWSSHDPSLFASLSYDGRVVLESVKPHLQRK >SECCE2Rv1G0067570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19234293:19240876:-1 gene:SECCE2Rv1G0067570 transcript:SECCE2Rv1G0067570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPMVVVDVVEEEAVEIEGVKAPVDTSQPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAEEEEKLRQEFEREGRKLPPKQESQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDHGWKKIKVILSDANVPGEGEHKIMSYIRGNRNLCNELNANTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFLCGQVGHLAAKCEGKAKRKAGEFDEKGDEIVPKKPYQFLNIWTLREYLEYEFRMPNPPFKIDLERIIDDFIFMCFFVGNDFLPHMPTLEIREGAINLLIAVYKKEFPNMGGYLTDTCTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIKRDKAQAKRGDDLDPHVSGDLIVPVARFQGSRLASGAVASPYEHNGPHKDSMEKGGRAKKAHVSSSDSSLSAAVVEAEISVEEQARENKEELKSMLKVALRDKSDLFNSENPEEDKIKLGEPGWRKRYYEDKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGQLNISFDLGSPFKPFDQLMGVFPAASSHALPLQYRQLMTDPSSPIIDFYPIDFEVDMNGKRFSWQGIAKLPFIDEARLLSEIEKVEHTLTPEEARRNSTMYNMLFVNSLHPIAPYIYSQSSKFGHLPDNERNEIKEPLEPSASGGMSGYISLCGGDPCPPVFRSPVDGLEAIMDNQVICSIYKLPDPHKHIARPPAGVIMPKKIVEAGDLKPPPVLWHEDNGRRPHDDNRRHCDNSSRQNPAGAIQQGRQLGEAAHRLVANSLNIRGTGQYPPARPYQTIMSGLHYPNGMPPWMEQPAGHPSWHVPSDNLPYGQVPAYALPSGSGHQYTRDNHGRHHPYARDSHSDSRGAGRHPSRYHQNSSSNAYSSHPAPPSSGFGRYRQPPSYAGGYQHAPYARAQQWQQQQQPRSSYSGGGALPARPNSPPQQSQNSYGSLDRTSSRRPPGQGFPLWF >SECCE5Rv1G0326390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:478257816:478259000:-1 gene:SECCE5Rv1G0326390 transcript:SECCE5Rv1G0326390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAPDGGARANGEATSSRSSSGEEDTPRAVHRGNVNGGQPLPRRPLDDATRAGERWWPRQMTEDAARRLLAWTRDGGSVRALLVVSVGSVASVPLTVLLACAFLLAAATTTAIVTSIVMSLAAAGGFVSVLFAFAAAMYVGALSVAVLVISATTVATVVAITIASGWVAFFWIIWFSAKKCMGLA >SECCEUnv1G0547700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:173289731:173290159:1 gene:SECCEUnv1G0547700 transcript:SECCEUnv1G0547700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKSLLAACVNLEDALKSGEHKDIDGAELFYELIFIQDLVKKSMGPVDILEILMKRPFYPNAIIAYRILLTIPVTVATAERSFSKLKLLKSYLRSTMTQERLNGLATIALENDVLEKINYEDVIEDFISRNARRMTLFNRE >SECCE4Rv1G0282250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:828715303:828717282:1 gene:SECCE4Rv1G0282250 transcript:SECCE4Rv1G0282250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPAIVAAVLMAAAAAQLASAGFPNQYWPAPSTPSVPSTGFPTYGWEDAHATFYGSDSGLGGDFGGACGYSGSDIASLYSTRTAALSTPLFAAGDGCGRCYEIRCVQSKWCVPGSPSVVITGTNLCPPNWYQDSNDGGWCNPPRRHFDMAPPSFYKLAARVAGIVPVQFRRVPCQRRGGVQFCLMGNPYWLLVHVNNVGGGGDISQIAVRETGGVWIQMTQNWGITFQAFFELDRSVGLQFMITGGTDGKTIVIGDAVPAWWSTGLCYQGSNNFW >SECCE2Rv1G0083030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:160636220:160640858:-1 gene:SECCE2Rv1G0083030 transcript:SECCE2Rv1G0083030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQYNPRTVEEVFRDYKGRRNGLARALTTDVEEFFRQCDPEKENLCLYGFPNEHWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSMVAVHSDAWLLSVAFYFGARFGFDKSDRKRLFGMINELPTIFDVVSGKSKTKAPTNNNHSNSKSKSNNKMKTSEPRAKQPKPQLKEEDREDEAPDAGEDGGAAAGGGGGGEEHGDTLCGACGDNYGQDEFWIGCDMCEKWFHGKCVKITPAKAEHIKQYKCPSCMGANGGGGSGSNKRARPSS >SECCE1Rv1G0010040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:59592191:59597501:1 gene:SECCE1Rv1G0010040 transcript:SECCE1Rv1G0010040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSSWSTPPPAFGSVMGSSSSLFSTPASGAATGSSPYSFGIMPASGAAAGPSSSLFGSTPVATGFSPYPFGIMPASGAATGPSSFSFGSTPVATGFSPYSFGSTPVATGPSSSPFGSTPVATGFFPSSSGFTPASGAAMGSSSSWCGPAFGRSTNAFGHPIPHQAPFPSNTPFSSTTGQHYSYVPTFGAQSKPSFGPFGRVSKKGSRVSAYTRTPGDDPERNYYVSISAMPVFKTKSHEELRHEDYKKGDKGGFNLQESRVPLAYHSLQPQPQPPVNAFTNPVKPSLFSYSPEPSSAPVGLQAHGTTNPFWLRPPAPQSPLFSYPPEPIHKPSWFSSSSFAPSTTCWENVSNNTAAYTAPADTYSANGHMFSQNLFPSKSTQSGGSLLSSSVAHSAPAPSPNGHSTTINICQAEKTVELVLPVDITTVRVRFSPRNDDTGNRATEVHHNVKASATPVSFCVYPGENQELIIQSVEQHDKCQTEKASSAAGSAGEQKGYINGAVLARSNPFDPVITPFGGAPVSESVLPRLYKADYYTLPSIAELAAQESNEPGCCSHVKDFTVGRHGYGSIKFDGETDVRKLDIASIVEFKDREILVYTDESKRPPVGQELNKPAEITLLNVKCVEKKTGLQLTEGAEVDRYKEILAQWTKKNGAEFVAFDAVKGEWKFRIKHF >SECCE1Rv1G0050540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:647379653:647383959:-1 gene:SECCE1Rv1G0050540 transcript:SECCE1Rv1G0050540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLLVDGAAGAGKKGEDESLVLSEVKKQLRLAGPLVIGCLLQNVIQMISVMFVGHLGELALSGASMATSFANVTGFSLLAGMACSLDTLCGQAYGAGQHRMLGVYKQRAMLVLSLASVPVAALWAYTGRILLLLGQDPEIAAGAGGYIRWMIPALLAYGPLQCHVRFLQTQNVVVPVMLSSGATALNHPLVCWALVHGLRMGSKGAALANAVSFLTNLSILALYVRLSPSCTRTWTGFSRDAFGGLTGFLKLAVPSALMVCMEWWSFELLVLLSGLLPNPKLETAVLSICLNTNSLAFMAPLGLGGAISTRVSNELGAGRPAAARLAARVVMLLAVAVGASEGLVMLLVRNVWGYAYSNEAEVAAYVARMMPILAMSVVFDGLQCVLSGVVRGCGQQKMAAFGNLGAYYLVGIPAAFFFAFVFHLGGMGLWFGIWCGLVVQMLSLLAISECATDWEKEAVKAKDRAFTSSLPQDMTT >SECCE6Rv1G0407680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:561607085:561615592:1 gene:SECCE6Rv1G0407680 transcript:SECCE6Rv1G0407680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGNPNPNPGNPNPPFEMAMLFRPPPNPAPTAAPISGSGHLESAVSMPPPSSEFAATQPSPLPAMPSAPPARMLSSTSSKVPRGRLLGGGDKAVHNVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWRIDEGPDAENKPQITGKIEVAIQIVGDAEAYHPRICWHSHKQELLFVGIRNCVLRIDTTKVGRGRDFNAEEPIKCHLDELIDGVRLVGRHDGDVTDLSISQWMTTRLASGSNDGTVKIWDDRKLAPLSILKPHDGQAVYSVAFLTAPERPHHINLITAGPLNREIKIWASTNEEGWLLPSDSETWNCTQTLELVSSLEPKVEEAFFNQVAVLPQASIILLANAKKNAIYAVHVEYGSDPASTRLDYIADFTVAMPILSLTGTHESQPDGEQVVQVYCVQTMAIQQYGLELSLCSPPTSDTTAFGRDPAISRVYDTPLEVAGAESSMGTSFTDSYTVGASSKQSTFDQTAEFDLKPSAPPLTYPEGDGSAHLQSAPLASNTNLTGSGPSVGNRDKDQSAFDYPTSRITEPVALTRQDTPMPKPLADNALTRQDTPMPKPLALARQDTPMPKDNFGKDELRDSHSDVQMLPNPRMMFKVGGNATHLITPSEIISGALSAESNQISKSDGAKIQDDSISSPRVAEVEAKHVDASKSNHDLELEAVKEAQVVRENSEKDQNSSEQTVEMISERSVTTDKYSVEESRSPSDRLVPDHTGAAGENVSNKTVEKPGISGSSVSREQSSSYTTEKVFHPQASGQSSPSTSAFNSTESSHEPVSSAYPPIEPSSEVADMLQQLIAMQKDMQKQLGTIVIAPIAKEGKRIETSLGRTMEKSIKASIDALWARFLEENTKREKAERERMQQMTTLITSSISKDLPAMLDKLVKREVSSLGPVVARAMTPIIEKSLTSIVADAVQKAVGDKVVNQLDKSVTAKLEATLARQIQMQFHTSVKQTLQDVLRTSFESLLVPAFEQSCKTMFEQVDSAFQKGMSEHAIAIQQQVEAAHTPLVLTLKETITSASSITQNLTSELLDGHRKLLALVTSGNANAQNTNVLQPNNGPITGPPEVEAPLDPMKELGRLLSEHKFDEAFTVALQRSDVSIVSWLCSQVDLRGLCTMAPVPLNQGVLLALLQQLAVDIGTETSRKIQWMTDVAMAINPTDQVIAIHVRPIFEQVYAKLAHHRSLPTTSPLDNSNLRLLMHVINSVLLSYK >SECCE7Rv1G0490440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:491878134:491883237:1 gene:SECCE7Rv1G0490440 transcript:SECCE7Rv1G0490440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM2 [Source:Projected from Arabidopsis thaliana (AT1G03330) UniProtKB/TrEMBL;Acc:A0A178W785] MLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG >SECCE5Rv1G0349270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682669199:682670134:-1 gene:SECCE5Rv1G0349270 transcript:SECCE5Rv1G0349270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDLPSLAFAIARFLQKENRRFPRTHRHRPASCRRRRGLGARSGPHRRFFARNHRQNRRRGYARQGNRPLHGQAPTVHQAGPSAPATAANHAGPSAPAPAVADDNTVPATPPPSVFTIPPMDWLLAGPSAPFLGEEEFFPCELAPPPLPPYCVKHGFGSYPARTGAPPRKPSPTPSDELPEHFIPPGYGPVPDLPSPTPAAAGTGGYSSIPDLNINIKVEEEEIEDQGSSSTPPPTSPATPPPPPAPPLPPTPPPEARRILRQFAAAMAQNRAALRGAWSPDALGLTGAPGASSSGAGRAAKRGPPRFH >SECCE4Rv1G0231530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:185459322:185468075:1 gene:SECCE4Rv1G0231530 transcript:SECCE4Rv1G0231530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILKCFRGDEEEDHYPYYHPGSRPHYPQQQQQQQADGHGVASLAHDLLNFESASMVPEGLRQHVTASKKAQIKWYQNMLEAYKNARTPPRTPEEAAQLVVTALNWIQRADLEGILGFYNFPIPSLPAASSNHRPSSLPEGVQFVLNTLPVHNQNIGDGDGFTAYVATTDPRESGNVPIEVHEMVIARTEARSRRDYKSADALQSSLKEAGYKIIVCSDEEILARKYRIRMRGIDAPELKMTYGKESKNALVRLIGGKRTTIHVYEQDQFDRYVGDVYCSGVFIQEKMLKNGHAWHFTTYDKRPEFAKWEREARAAQRGLFASLNPEKPWDWRREQRNGGIQVY >SECCE5Rv1G0350650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691580978:691583161:1 gene:SECCE5Rv1G0350650 transcript:SECCE5Rv1G0350650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLLVASTPIVQVLLIGVIGAFLASGYSKVLTASARRDMNKVVFTVFTPSLIFANLAKTVTLSDVISWWFMPVNIAITFLVGSALGWLACKILKPPPHFRGLIMAFCSAGNLGNLLLIVVPAVCDEDGNPFGNDRSQCRSRGLSYSSLSMALGGLFIWTYTYSLMQKSGKLYHKMQSKSIQCPADSDEEHLEGFKAGDEEAALLASAGPDDEHNEGRQIEAPLLSCESDVANNKGFWTNLKEAVHQLVEELMAPPTISAIIGFVVGLVPWLKSLIIGDGAPLRVIQDSLELMGNGTIPCITLILGGNLTQGLRKSVLKRAVIVAIVCIRYVAMPVIGIAVVRAAHGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLVAAVALTTWSTVFMSILS >SECCE7Rv1G0525880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:892874948:892876165:1 gene:SECCE7Rv1G0525880 transcript:SECCE7Rv1G0525880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANKTYPSHKNANGEVDDEFYPLIRKYKDGRIERFMSSFVPASEDPAASRGVATRDVVIDQGTGVSVRLFLPAQAAEAGARLPLVVYVHGGSFCTESAFSRTYHRYATSLAASAGALIVSVEYRLAPEYPVPTSYDDTWAALRWVASLSDPWLAKYADAGRTFLAGDSAGGNIVYHTAVRATRDDSIMDIQGLVMVHPFFWGLDRLPAEKVLDGDAMFPPVWVDKLWPFVTGDQAGNDDPRINPPDEEIALLTGRRVLVAVAEKDTLRDRARRFVCSMRRCGWVDDSLTVVESEGEDHGFHLYAPLRATSKKLMRSIVQFINHRATSPSPAMEIPEGLGETMLGVPSRPFKDIFGYGMRMKRWNGTSFGLKVGRAKASTRTSYGLPLKQVSAPTSVRFVTRNCF >SECCE4Rv1G0221810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:52682661:52683002:1 gene:SECCE4Rv1G0221810 transcript:SECCE4Rv1G0221810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVTLVLLLSGLVVFGEIGGAAAACDVACFQGGHITCDNYPGQELDGCACECAPKDGKGCVLHLDDGVTHTNCRTPQK >SECCE6Rv1G0449760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863984910:863987008:1 gene:SECCE6Rv1G0449760 transcript:SECCE6Rv1G0449760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNTTPTIAELFSSTGARLVRVEVLLLFSTLAWVLLELFGSFRRRYSHGFFRLLVWTVYTLSTVLAPYTIGLLQTGLFRDQTFVFWGTIVLLTQVNSSSLSVYSIHDIEQRKRMLVQQVLQIFLVLWLILNGSSGNKSYNITIWIFFIYCIIQTILKFVNLRDASRKGRLVAHSKVIADYMVVEHQLPQQVIDPSTMEGYSYIFHGEMGLASLLPTAPEYRVELQEVTNKYITIDFAWRWIESRRFRHRETAEAYKDIALSFTLFKLMKRRFCGYRLGEAGLEKTLNLVLHGLLSKNGSYVRAFRVIEEELSFLYDFFYTRMESAWLSWFYSAIALSTAIYWNSTSGAFSRHYNSTLEQMVNGTDVIHWVTITLIAKLVLACMASPFITPHRMVVVEELCRNIRDEITTPRTETNNSRPMARNTCERKLRQYSLLLNFDYEPWNALSYLSLGSIETTRKGQKAGEKIKLANIVIVRVLSRFRNNNGKLENGQAALSSNQLGSRFSWACSLTTHVHTILVWHIATTISRNEVSLEGKVNRLVAVSLSDYCAYLVAFVPDMLPGHGHLAGCETLSSRCEKLMTGLHGSEGTILDMGAKLGRELRDMVPDEEQRWKVLAEFWAEFILFLAPSDNVEIHAEKLAAGGEFMTHLWALLTNAGILERPSSSSGVDVPPEGFNGTTSQDFEV >SECCE2Rv1G0106310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:634192167:634193171:-1 gene:SECCE2Rv1G0106310 transcript:SECCE2Rv1G0106310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLRRLLLSLALLAAAATPGAVAAAARLSTSYYSRSCPRVEQIVSDVVAAKQQANPSTAAGTLRLFFHDCFVSGCDASVLVSPLSSDRTPERAAEINLSLPGDAFDAVARAKAALEAACPGTVSCADILALAARDLVGILGGPRFPVLLGRRDARRSDARDVEGNLPRTNMSARAMTVLFARKGITPQEMVALAGAHTVGFSHCSEFAHRVYNYKGKSGAGHDPSLNPEFARALQNSCAGYESNPDISIFNDIVTPREFDELYFKNLPRGLGLLASDAALWEYPPTKVFVQQYADNRTAFFQDFAKAMQKLGTVGVKTGRQGVVRRQCDILD >SECCE3Rv1G0169290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:236118118:236123571:1 gene:SECCE3Rv1G0169290 transcript:SECCE3Rv1G0169290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAYPSKRPFQKKYSEHNGRAKWQKTKHAPSQQPQLTIQPGVPLIRILCPTEKCGNVIGKGGSIIAKIRQENGVKIRVDEAVPGCDERVIVITTVEKDKEASHEQGKENDGGTAVSADGEHEEEEDNSKEEKDDSDNAHGKEEQDDAERNDSKEENDDSSVAKTTKLEPEGVIPSAMNAVLHVFDRIFITESENGTGDASGQKTPVSFRLLVLDSQVGSLLGIRGSVIKQMSADSGCEIRVSKENLPLCALLKDELCQINGELDSVRKGLNAVAQVLFSHPPRESDVVPVVHPSGSSSRTFDRSDGLPPGMPPNFHLPFQGPSHARGPFDTIDQRPNVAPFSTFPDQRSNIPPFSAFPDALMHGNASVPPEPLTFRLLCSSDKVGSIIGKGGNSIKTIQKDTGCEIKILETIPKSDDHIIIISGPAHPGDGISPAQNAILHVQRKITPPTSNKEGPAISRLIVSPNQVGCLLGKGGSIITEMRKLSKAHIIVLSKDKIPRGVQEIDEVVQITGDSEAIQEALMQITARLRNNLFRDRMASMGPSMQPPFGLLDPQFGAFAGIHESTSPRIYPNASQFHKDFMGRPLDEMSAPWTTKDVGDPMSVSGIPGMAHRGMGGFSGPVHSSRPIITGNIMVPRSVIPALCGHDGGCLNMIREFSGAKITIDEPLADSMDTPVMISGTPDQMHAARSLVQAFVLSEPPAP >SECCEUnv1G0536350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63507958:63511347:1 gene:SECCEUnv1G0536350 transcript:SECCEUnv1G0536350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13410) UniProtKB/Swiss-Prot;Acc:Q9LYR5] MSSPACRPGLPAPRRQAPLLPRPAATPSVSKRDVHRTRPAHSTFEFSSPGRRRRTLLISSCHGGMVERRRLLLIPAISLTIGSLQYSLEKGAAKAEFTDMPALRGKDYGKTKMSYPDYTETESGLQYKDLRVGEGPSPKKGETVVIDWDGYTIGYYGRIFEARNKTKGGSFEGGDKEFFKFEVGSGQVIPAFEEAMTGMRPGGVRRIIVPPDIGYPDNDLNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELIRIIPSQ >SECCE3Rv1G0197210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:816824975:816827949:1 gene:SECCE3Rv1G0197210 transcript:SECCE3Rv1G0197210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYKDDIKYEEGFTLNSRGSRLFTCKWTPKKQQRKASIFICHGYGGECSISMADTAARLVHAGYAVHGIDQEGHGKSSGSKGYISSFGDIVKDCSDYFKSVCEKPENKTKKRFLYGFSMGGTVALQVHRKDSMYWDGAVLLAPMVKLGDGMRPHPVVVSALKMICAVVPSWRVIPAPDQLDKVCKDPQFKKEIRSNPYMYKGNLALQTGHELLAVSLDIEKNMHEVTLPFLVLQGEDDVVADPEGSRLLHERASSRDKTLKLYPGMWHVLMAEPLADVERIFVDVISWLDERAASVGK >SECCE7Rv1G0506030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:745283047:745284555:-1 gene:SECCE7Rv1G0506030 transcript:SECCE7Rv1G0506030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPRSRQEPRRMGNSAMVVTMLLSLCVLTFIKARYCSTPYPNKPAPLLDLEVEIDEDYDSSRYKISGPIGEEEFDPSRPTCYNTSKRSERCAAVGDIRVDGNHSKIYISPLDKEWRTKPYARRHDAVAMDDVREFALLPFGGSNDTVVPPLCTRNHSVPAFLFSSGGFAGNLYHDYTDVLVPLFTSTHHFDGEVQFLLTDIKDWWLDKFTPLFRQLSKYDVIDVDNDQEVHCFSRIVIGSTFHRPMGIDGTRSPGGETVADFKRLLRRAFRLDRVVASHDGSASLGKPRLLIISRKSSRRFLNERAMAHAAALAQFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLLQVVPFGGLEWLSRVTFKDPAKDFDVTYMEYNVSLEESSLKDLYPKDHFYLKHPYDVHKKGWNAIKTTYLDKQSVRLDLAKLTRTLEHARSLLPSPSSH >SECCE3Rv1G0157360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:81005307:81005969:-1 gene:SECCE3Rv1G0157360 transcript:SECCE3Rv1G0157360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSECGCYDAFCDRCCPCVSHDARETILCCAACLAVLAGAVLLAVLLAAYGFIRHAEVTVRDASLTRLALAVSPATAFAYNLSLTLTVRNKNWAMSVKNTKPLEARYSFDGQPFERIKLAEEGSTQPPGDTQVYHLVSGDEGRYVALGNAGVAEFKAENATGMFKLEVAVSGEVRYQAHYTKCKFEAKCPLVLQLAPPGTPAVVFQEVKCKPVTADKNC >SECCE5Rv1G0307350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:109719918:109724623:1 gene:SECCE5Rv1G0307350 transcript:SECCE5Rv1G0307350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFEDDEPPSKRARASSVESADLSESFCYSKSINPLGGTMARPLASQGKEVMVGSKGVIKRDEFVRIITKALYTLGYEKSGAVLEEESGITLHSPSVNLFRKQVLDGNWDNAVATLNKLGLLDENIVKSAAFLLLEQKFFELLRNDNLMGAIKTLRSEISPLGVNRKRVHEMSSCIISSPQNALLAFSKLGTEASDSRLKLLEELQKVLPPTVMVPERRLENIVEQALTVQREACYLHNSIDGLSLYVDHHCGRDQIPSRTLQVLRTHRDEVWFLQFSNNGKYLASSSNDKSAIIWKVDEDGELLLKHILTGHEKPVMMVAWSPDDSQLLTCGMEEAIRRWDIESGECIHVYERPGLGLISCGWFPDGKQILCGLSDQSLCLWDLDGKEADCWKGHRSSKISDFAVTKDGKVIIIMNRDSTILLFNRDTKQERLIEEDNTITSFSLSDDGDFLLVNLVSEKIHLWNIRNDPSRVKQYTGHKRNRFVIRSCFGGSDQAFIASGSEDSKVYIWHRATEDVIETLSGHSGAVNCVSWNPTNPHMLASASDDHTIRIWGVKKASTKRKDIGSSSSSNGTHMNGSANANGFVHQCNGSRSK >SECCE1Rv1G0011910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:84174862:84176039:1 gene:SECCE1Rv1G0011910 transcript:SECCE1Rv1G0011910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKLSAGAIAVSVCLSLAFFVGHGQPMLADGGGGKKHDVADTVKKEVAMAMKKNPRIGAALVRLLFHDCWVHGCDGSVLLDKTPDGGSTEKDAINNIGLEGFDLIDRIKGKVGKSVSCADIVVLAARDATFILSRGNIAYNVSTGRKDGVRSSAAAADAVLPPSTFNFTQLKANFAARNFTQTELVVLSGAHAVGIAHLSSFRDRLDNATATPISARYQKALADHVEEQKSVQGTLDPTEPNNIRDMDLSFRNASGYNATGVDTSRAARGVLDNSYYHANLQNKVLFRSDWELRNDTTGAAGRDLREFRDNAAGWYVLFGKAMAKLSEIPAEGSRFEIRKDCRTTN >SECCE2Rv1G0122880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:819746101:819746391:1 gene:SECCE2Rv1G0122880 transcript:SECCE2Rv1G0122880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSCAFFFDAEPVGEPGMPALDACALCAKRLARDSDVFMYRGDTPFCSEECRHEQMHLDAVCARQAARRLQRFTTEAECHRGQRQSRKVSIAS >SECCE6Rv1G0442200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814301222:814302400:1 gene:SECCE6Rv1G0442200 transcript:SECCE6Rv1G0442200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGVSVVDGDKECSCETSAVHADSDSGYHLLMVRGYQRTEEGVPTGDSITTGIFMVGGHYWYIHYYPNGLTPDCADYISLYVALVYDNDDAERGLAVEARFSFSLVDHVEKQNPMYICEASKTCTFSGGATSWGRNKFLRRDALERSSDLKGGCFTVRCDIMVVCQDSKIEDLSGIHHHFNNLLQTKVGADVTFEVGDERFAAHRCVLAARSKVFMAQLFGPMKEGTTTSTVIQIKDMEAKVFRALLIFIYTDVFPLPLREEDGMWEDEMSVVMEEAKEVKAEVQDELRLQCLQHLFVAADRYDLQRLKFLCEQQLSEHIGVTSVMSTLALAEQHHCQGLKEACFKFIQVQSPSCLQTVMSTNGWDHVYTTYPSVFKEFIANLASNQQK >SECCE3Rv1G0176470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:445204176:445214542:-1 gene:SECCE3Rv1G0176470 transcript:SECCE3Rv1G0176470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSAAEQHRHHAVGGRELVPLGALIKEESRTERHAVTRSRICAREDGGVGGEVGEAETRRPLLRYGCAAQSKKGEDFFLLRTDWARPSTSLFSSTTSHPPTFAVFAVLDGHNGDAAAIYTRDNLLNHVLSAMPRGLSREEWLHSLPRALVAGFVKTDKEFQTKGQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGAVSLLTVDHRLEENVEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNTGGRLIIASDGIWDALSSDAAAKCCRGLPAELAAKQVVKEALKTWGLKDDTTCVVVDIIPPDQTIRPLSPPKKMNKLKSLIFRKKAKDQPNKLTKQLSSAGIVEEIFEEGSAILSERLGNDSGSRRTSSSLFTCAICQADLEPSEGTSVHVGSVFSSSSKPWEGPFLCSDCRDKKDAMEGKRPSGVKVL >SECCE4Rv1G0245230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:526809892:526811199:-1 gene:SECCE4Rv1G0245230 transcript:SECCE4Rv1G0245230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLVALLAATLVAVQAGGQLGHAAPATAEVFWRAVLPHSPLPDAVLRLLKQPAADATSFVRDAEDRPPFDYRDYSRSSSDDEPSKRTGAASEAREFDYDNYSGADKLRGAAADTPFSYGYKKPAENLVDERRGATGEYKEPTETTTVFFHEEVVRVGKRLPFRFPPATPAALGFLPRQLADSVPFTTAALPGVVATFGVASDSDTVGSMEATLRACESPTIAGEFKFCATSLEALVERAMGVLGTRDIRPVTSTLPRAGAPLQTYTVRAVRPVEGGPVFVACHDEAYPYTVYRCHTTGPSRAYMVDMEGARGGDAVTIATVCHTDTSLWNPEHVSFKLLGTKPGGTPVCHLMPYGHIIWAKNVKRSPA >SECCE5Rv1G0305980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:80558682:80564806:1 gene:SECCE5Rv1G0305980 transcript:SECCE5Rv1G0305980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITADEDHAGWTLGDSGSDEEEEWTNRPFTVDDFPRVSYDRGEQTYRIYANPDFCHRGPTPLSLFRAYNDPLVDKERDWFCEEYELHDESEITVNNDCSNSCDRSTMVMVQFFDLKIAGYHHTQPGPAKIFGFFAARDRIKPLRNYVYKREIDNYEAVTVNRKMGTARLSLGSPARGINMTDHVLFEFKLCIRIEDQPGEGPKEELLIEGCTELSNMFRPSFVETQRLYGEKCGLDLKFAVLNSAVQAKIDVDIVYAPACGLILNLYAKTSGFGDIIRLFRGRSKAGGRFSSVVGVLINSYLDVCIEGSSREGFCQRSPQDGCGLDQKLPCYTWTGRFDACYRGTVVEKAKFDKFTTISVKVTWSMVDEERRIRYA >SECCE1Rv1G0007560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:40799490:40800146:-1 gene:SECCE1Rv1G0007560 transcript:SECCE1Rv1G0007560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCKSAIGCVDARVPVRASYVSLYKWPESDAEFVRSVALARRHRQHPPESPAAAGCHSGGMRRGAGVEVGGESPRVVDSYSCRQMYLRSYTFSTRKETVPERTMACLGRVRERAAVFPSFLPHRGGGGGSDAGSSFGSSSGGAGGGGHYSGRDQDGRRGTTGGSNGRRKRRRKKKGCAVVRRLHEASCGAVRAIFRRLLACTTTVDVEVAEPPPGR >SECCE4Rv1G0216560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:11427390:11427938:1 gene:SECCE4Rv1G0216560 transcript:SECCE4Rv1G0216560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTGALSLTLLFLLVAGAEAGKCKDVRATSTAACRQASTSKLLYDICIQMLEGSPDSGDVRSHALTAANAVLKSYAATGVAINKVIWSASERMKDALLFCTQYYYRAQIAFEAIKSLLEDNSDCDLETFEQVYAEAMVRLDDCRSKIIPLQGESPSTYNMVNTDGHRSFLAFRLGLLVANP >SECCE5Rv1G0323160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:430431689:430435379:-1 gene:SECCE5Rv1G0323160 transcript:SECCE5Rv1G0323160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate/phosphate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G33320) UniProtKB/Swiss-Prot;Acc:Q8RXN3] MQSAAAIGLLRPCAARPILKSPTPGAARLPASRVALRLSAAAPRAGLVAAAGLGRIGLVPASPEQEKRSDCLVAAAASGKAVGGEEAAEESGAALAKTLQLGVFFGLWYLFNIYFNIYNKQVLKVFPYPINITTVQFAVGTTISLFMWATGILKRPKISGAQLLAILPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAMFLGELPTPWVVLSLLPIVGGVALASISEASFNWAGFLSAMASNVTFQSRNVLSKKLMLKKEASLDNINLFSIITVMSFFLLAPVTLLTEGVKVTPTFLQSAGLNLQQVYTRSLIAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFKTPVSPINSIGTAIALAGVFLYSQLKRLQPKPKAA >SECCE4Rv1G0215940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8416730:8419022:1 gene:SECCE4Rv1G0215940 transcript:SECCE4Rv1G0215940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVTAGVSPCAACKLLRRRCSPGCVFAPYFPAGEPHRFASVHKVFGASNISKLLQEIPAEHRGDAVSSLVYEANARVRDPIYGCVGAITSLQRQVESLQTQLALAQAEMVRLRMANAYGAARHNGGGSSASGSPSSMSSPTKATPEHHHHGMAVDQPGVMELELECSRFWSY >SECCE7Rv1G0493890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:576673245:576676549:-1 gene:SECCE7Rv1G0493890 transcript:SECCE7Rv1G0493890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATVQGAPMTRPCPRPSVPLIVFLVLLASCYLALTRLPAAAPLAALIAPSAGHGSGRGAVDSCAGFYSGAGAAGRRAVTASVEEFGAVGDGVTSNTAAFRRAVAALEERGAGGGARLEVPAGRWLTGSFNLTSRFTLFLHHGAVILGSQDPEEWPLIAPLPSYGRGRERLGPRHISLIHGQDLNDVVITGSNGTIDGQGRMWWELWWNRTLNHTRGHLIELVNSTNVLISNVTLRNSPFWTVHPVYCRNVVIKDLTILAPLNAPNTDGIDPDSSSEVCIEDCYIESGDDLVAVKSGWDQYGISVGKPSSNIIIQRVSGTTPTCSGVGFGSEMSGGISNVLVRDLHIWNSASAVRLKTDVGRGGYITNITIANVTMEKVKVPIRFSRGSDDHSDDKYDRTALPMISDIHIVDIVGVDVQRAPMLEAVHGAVYEGICFRNVSLRAIRRQIRWQCESVYGEAHEVFPAPCEELRNNGSSASWCGLA >SECCE6Rv1G0402980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:493860534:493869242:-1 gene:SECCE6Rv1G0402980 transcript:SECCE6Rv1G0402980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMEPMAAAIGVSVPVLRFLLCFAATIPTGLLWRAVPSAAGRHLYAGLSGAALSYLSFGATSNLLFVGPMALGYLAMLLCRRRAGLVTFLGAFGFLIACHVYYMSGDAWKEGGIDATGALMVLTLKVISCSMNYSDGLLNEEEGLRDAQKKYRLAKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLEWTERKGIWAGSTPSPLLPTLRALVQAGICMGLYLYLSPMFPLSRFSEPLYYEWGFWHRLFFQYMSGFTARWKYYFIWSISEAAIIISGLGFTGWSDSSPPKAKWDRAINVDILGVELAGSAAQLPLKWNIQVSTWLRYYVYERLIQKGKKPGFLQLLGTQTVSAIWHGLYPGYMIFFVQSALMINGSKVIYRWQQAVGNSGLRTILSLVNCGYTMMVLNYSCIGFQVLSFQETLASYKSVYYVGTIVPILCVLLGYVIKPARPVKPKARKAE >SECCE2Rv1G0141630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:936052428:936058497:-1 gene:SECCE2Rv1G0141630 transcript:SECCE2Rv1G0141630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGEDRGVVVDIRSAAESAGDEGARDTPLHVVESLCMRCGENGTTRILLTLIPHFREVVLMAFECPHCSERNNEVQFAGQLQPKGCCYTLKVPKGQPEILNRQVVKSDSATIKIPELDFEIPPEAQRGTLSTVEGSIMRAVTELQALQDERKKVDPQKAEAIEQFLVKLRSLGSGEAAFTFILDDASGNSFIENPNAPSSDPLLSLRFYERTYEQQAALGFLAEPAKESGDSSQDASTVEGNSGGPQRIPHGSVGAVAGRRAIAQGNSDEITAALCRYSAPEEVDTLPSTCAACATECVTRFFSTKIPYFREVIVMATSCDACGYRNSELKPGGEIPAKGKKTTLLVKNVKDLSRDVIKSDSAAVSVPELELELSSGTLGGIVTTVEGLIVKICEALERVHGFQLGDSTYEWKKKKWDGFTERLAKLLNLEEPWTLILDDALASSFIAPATDSLEDDKQLTIEEYERSWEQNEELGLNDMDTSSADMAYNTTSAS >SECCE1Rv1G0055160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678362911:678363426:1 gene:SECCE1Rv1G0055160 transcript:SECCE1Rv1G0055160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWCERDEPNGSKAVSLLLRLSALILALASAVMMATADDCTIAGATPTAVTYRDYGAFVYLVWANVAAAVLEAAAVYLQLSGAGDDDDDGGSQVPGVVLVVVDVLAQALLYSSTGATYGCGNVGVDVCVAFGEQVGRSRLLSLGASVSLGLAAIVKDVSLPFNVWPGSSD >SECCE7Rv1G0475900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:194472791:194473216:1 gene:SECCE7Rv1G0475900 transcript:SECCE7Rv1G0475900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERVCHLGLEEVPAAAPSRLFTTPTPALLPLPPAKRHSAPPKSRATSVPPTRQSARQAAQKNTTPVVERATLRLVKGLGLLGPKERMTAKAAEALIRRFDEPLIDDDISTIAKLTRLNKDALRVAVGMAGPHAAAMEAVV >SECCE6Rv1G0444430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827840954:827848328:1 gene:SECCE6Rv1G0444430 transcript:SECCE6Rv1G0444430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNDNWINSYLDAILDAGKGAGSGSGGGGGGGGGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWARANAMRSPQERNTRLENMTWRIWNLARKKKEVEDAKRLKRRLETEKPRTDATAEMSEDLFEGEKGEDAGDASVAYGDSSAGNTPRISAVDKLYIVLISLHGLVRGENLELGRDSDTSGQVKYVVELAKALSSCPGVYRVDLLTRQILAPNYDRGYGEPSETLVPTSFKNLKQERGENSGAYITRIPFGPKDKYLAKEHLWPYVQEFVDGALSHIVHMSNIIGEEIGCGHPMWPSVIHGHYANAGVAAALISGALNVHMVFTGHFLGKDKLEGLLKQGRQTREEINMTYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVMLARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHMIHEFDMDGEEDSPSPASEDPPIWSEIMRFFTNPRKPLILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMSNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVLDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHAIADALYKLLSDKQLWSRCRENGLKNIHRFSWPEHCKNYLSRILTLSPRYPAFPSNENQIKAPIKGRKCIIVIAVDSASKKDLVCIIKNSIEATRKETLSGSTGFVLSTSLTMSETHSLLISAGMAPTDFDAFICNSGSDLFYPSLAGDSPSTSRVTFALDRNYQSHIEYRWGGEGLRKYLVKWASSIVERRGRTEKQVIFEDAEHSSTSCLAFRVVNPNYLPPLKELQKLMRIQSLRCHALYNHSATRLSVIPIHASRSQALRYLSVRWGIELRNVVILVGESGDSDYEELFGGLHKTIVLKGEFNTPANRIHTVRRYPLQDVIALDCSNIIGVEGCSADDLTPTLKTLGIHIDI >SECCE5Rv1G0350680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691693614:691693886:-1 gene:SECCE5Rv1G0350680 transcript:SECCE5Rv1G0350680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMSVAGSLEPRAKATLVLGGESFADSSESGTQSGQLASMREKSMVILKDCIIKHNVPKDVPDESIKGSSDDEGEALAKNPPKKFRKQR >SECCE7Rv1G0524590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886488116:886491222:1 gene:SECCE7Rv1G0524590 transcript:SECCE7Rv1G0524590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNNSIPPLVHDDVHKPRPYPASQWGDFFLDYKPCTPQQYLSMEGTAEAKKEEVREIIINTTKSSDLPQKLELIYTLQRIGIDYHYGKEIDELLCEIYDGNTKLLDLRTASLQFYLLRKHGYRVSSDVFSKFIDKNGNIESTDATSLLGLYNAAYLRTHGEKILDIAIISTKKILKSIVNHLDTTIAEEVRHNLETPLFRGTNRVETKRYISAYEKNSTRNETILEFAKLDYNLVQGLYCDELKDLTIWWNGFDIETHLTWARNRMVEIHFWMMGVLFEPHYSYPRIVLTKLFTLVSVFDDFYDNYSTTDESNMFTTAINRWEEHAVEQAPAYMRPFYKGIIASINQIEEDLKLQKYKHAELVKKLFIDAANCYNAEVKWRDQNYVPANLEEHLKISAPSTICMQISNIAFLLMGDVTSSETIKWAWGYPTIIKAVCIIARVMNDIVSHEREQASQHMVSTVQTCMNENGCTVEEANEKLNEVVEQAWMDIFESCMQPSVHPLVVLSRVANLARVTDFLYKHDDGYTLGYSVKGTLDSVYVHPMVV >SECCEUnv1G0530020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:10374070:10375193:-1 gene:SECCEUnv1G0530020 transcript:SECCEUnv1G0530020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADQLVPAVVAAVAVVCLLIAGRADGQYEYVPATASQEVEPLATIQNPGQFLSQPEEMPMPNNLLARPEYVQQTGFMPGASLAGAFTGGYFQQQPTTDPEPQPEAQPQPEPQTTKWVPEPETTKWVPEPETTKWVPEPQTPKWVPESQTTKWVPKPTSPETWQKPATPSVPAQQSTSGGSYAGGGASKQVDADGEPVDGLSPKAIDNIIKEHNEFRAREHVPPLTWNATVAQYAQHYANERKGDCALKHSTGPYGENLMYGEGKAWTWRHTVDEWSEEKKNYHYGSNSCDAGKQCGHYTAVVWKGTTAVGCGRVTCTTGDTLMVCSYYPPGNYDGEKPY >SECCEUnv1G0552340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:249562123:249562335:1 gene:SECCEUnv1G0552340 transcript:SECCEUnv1G0552340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAVGILEMTMGIFITLTKAYLFLFISITIRWTLPRMRIDQLLNLGWKFLLPISLGNLLLTTSSQLVSL >SECCE2Rv1G0131340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884108292:884109464:1 gene:SECCE2Rv1G0131340 transcript:SECCE2Rv1G0131340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGGALPVVDLAPFFGGDGADDTGARARATEAVRAACQATGFFRAVNHGVPHELMARALDLSVAFFALPDEEKAKVRPAEGASASPLPVGYARQPAHSADKNEYLLLFNPNLRLNHYPAEPAGFRDALEECYAKLTELGLLIQDILNECMGLPPGFLAEYNADRGFDFLTALRYFPATSSDENNGIGAHEDGNCVTFVLQDGVGGLEVLGEDGRWVPAEPVEGSIVVNVGDVLQVLSNKKFKSATHRVVRRPGAHRHSIAFFLNLHGDKWVEPLPEFAGDSGEPPRYRGFRYNEYMQLRMRNKTHPPSRPEDVVHITHYEIL >SECCE4Rv1G0215690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:5996860:5999686:1 gene:SECCE4Rv1G0215690 transcript:SECCE4Rv1G0215690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDVDAWNKHLMTPFSYGGPGSRVLITTRHDTVARSMKALHPYHHVDKLAPQDAWSLLKKQVLTSEENEPEVDMLEDIGFQIVAKCDGLPLAIKVMGGLLCKKEKTRRDWQDVLNDDIWSVSQMSKELNYAIYLSYEDLSPYIKQCFLHFSLKPKKIVINDTEIVSMWVGEGLVEGDTYSRSLEEGNKYYKELIVRNLIEVDPDYPSQLVCNMHDVIRSFAQFVARDETLVGHNGDTIKTNLRSPSYLRLSIETKGVGSDEFDWRYLREQKLLRSLILTGNLKSQPGDSLTIFPSLRLLHIDSANIAALVESMYQLKHLRYLALKRTDMCRLPENIHEMKFLQHISLEGCESFMKLPDSIIKLQGLRFLDIDDTLVNSIPRGFRALTNLSALYGFPAYTDGDWCSLEELGSLSQLNELSLQSLENVSSALLAAKARLNAKKQLTYLGLKCDGRVGDGLVQGEVSDSEEQIIEAVFDVLCPQPCIKHIKIKRYFGRRLPGWMTSTAMVPLESLKLLVLEDLPCCTQLPDGLCRLPHLEWIKVDGAPVIKCIGPEFVQQYNQLHRPSSQFAATFPKLQKLQFHGMEEWEEWVWETEVKAMPLLEDLLISHCKLGRMPPGLMSHAMALKKLTIWRVQCLHSLENFVSVVDLHLYGIPELAKISNLPKLQKLEISYCPKLKTLKEMDALRRLQLTVPFWENQLPVYLQTVNPSHLLLTCNLEVLTSMADGESSSDWDKFSHIKHVEAYAKDGADEKKWHVFYTSESCNIQTNIHQDRLVEEED >SECCE2Rv1G0106800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:638932303:638932656:-1 gene:SECCE2Rv1G0106800 transcript:SECCE2Rv1G0106800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDKSRSKAVTLAARAAGVTSMGITGDARDHLEVVGDGVDPVCLISCLRKKLGHAQIIKVEEVKKSEEKKKEDPKPPAPMPVPVHPPPCFYPPTSYYPHQYPPHFCDEQPGNCRTM >SECCE2Rv1G0079630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:121683838:121684952:1 gene:SECCE2Rv1G0079630 transcript:SECCE2Rv1G0079630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSELAILVACALLLAAACGGAPDDVPELVVGYYEETCAEAESIVRAAVSEAVAVDAGVGAGLIRLLFHDCFVQGCDASVLLDPTASNPRPEKVGPPNFHSLRGFEAIDAAKAAVEEACPGTVSCADIVAFAARDASYLLSGYRIDFTMPAGRLDGRRSNASDTVPSLPPASASFTELVDNFANKGLDAEDMVVLSGAHSVGHARCSTFAAGRTTVDGDADIDPSFARSLRRRCARAGNGTDEKEQDGPTVSQDAVTPTELDSQYYRNVLKRRVLLASDAALLETPEAARMVRDSASGGGRWEKKFARAMVKMAGIGVKKAGRHGEVRANCRVVNYGNP >SECCE5Rv1G0354520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:724232893:724233315:1 gene:SECCE5Rv1G0354520 transcript:SECCE5Rv1G0354520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDRSPVPAKKIWLAIASRLGLRPTAGLRKLRKEVRTCEYRDVHIMWEMLREMDPSVPLEEKEAAAAAAVAAAAGARKKKAAWSRFVYYCCAF >SECCE6Rv1G0427440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:719648464:719649894:1 gene:SECCE6Rv1G0427440 transcript:SECCE6Rv1G0427440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMVHMNQGQGERSYARNSGIQNAQQNRMKLLIERAVIDLCSSSSSTSLPDKMVIADLGCSSGPNALALVSVAVEAIHGYCLQFQQPPPELCVFLNDLPDNDFNTVVKSLVTLRRINSPVVVTGVAPGSFYERLFISSSVHLVCSSSSLHWLSKAPEVLTRNQIPAYYIDGDARREKLPMVLEAYAHQFRNDFRHFLELRAKELVPGGRMVVSLIGRHSDGIAPFHIWDILAQVLSIMASEGSIDKAKFDTFYVPVYGPSKEDLREVIQEEGSFSIKEFLVHDILSDLDSALVTPSWIANQIRAVYEQIVVQHFGDVMDEFVRIAERRWSLDGSLLQEEHAGLAMLTLSVAKAV >SECCE5Rv1G0368690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:830435595:830437979:1 gene:SECCE5Rv1G0368690 transcript:SECCE5Rv1G0368690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CSC1-like protein At4g35870 [Source:Projected from Arabidopsis thaliana (AT4G35870) UniProtKB/Swiss-Prot;Acc:Q9SZT4] MGPGAPSPEDAAGGEPEAWYGSIQYLVNISAVGAASCVLLFLLVKLRFDHRRIPGPSALAAKLLAVYHATAPQIALHCGADAAQFLLFERASFLVLAAVSAAAFAAALPLNLLAGDAAIADQFAATTISHIPRASPLLWLHLLLTAAVVAIAHLGISRMEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPRALAADTAPLREYFEHKYPGKVYRVIVPFDLCTLEYLADEWGKVRNKISWLEAKMDARSLFDEFVQDESGHLEAHWLVRRCKELWAMAAQRFGFTDDERLRKLQTSKLVIGSRLSDYKEGRAPGAGIAFVVFKDVYTANKAVRDFRMERKKTPIGRFFPVMELQLERSRWRVERAPPASDIYWNHLGMNKTSLALRRIAVNTCLIVMLLFFSSPLSILSGMQNAARIINVEAMDNAKSWIVWLQGSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFEFHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGRCYLDSADCKQIEHYLSPSFLSRSSLSSLAFLITCTFLGISFDLLAPIPWIKHILKKFRKNDMVQLVPEENEEYRSVDNGEETNGLISPLMSEREDSDILNGFEGHDLSMYPINRSFHMPKQKFDFAQYYAFDITIFALTMIYSLFAPLVVPVGAVYFGYRYLVDKYNFLFVYRVRGFPAGNDGKLMDRVLCIMQFCVIFFLAAMLLFFAVQGDSMKLQAICTLGLLVFYKLLPSGSDRFQPSLLEGMQTVNSFVDGPTDYEVFSQPDLDWNLYQS >SECCE3Rv1G0149810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:28961188:28964225:1 gene:SECCE3Rv1G0149810 transcript:SECCE3Rv1G0149810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGSSGSCCSSSSSSPVGLQQVFGSQAPAHGQHLGGSSSSYCPRPMAELLQVTWLPASGGGGNGGLLRALASLSFLLLLAYLSLFLLAKLFSRLHRAREHRGRDNRVESNSGKVDVAADDILLAGGERQQAADTLFWFDEAVFEDCALLGLGDEAKNHLLYTGAGGTAAQHCLEVAETSRAFHTAPPESTNRVSFAPREEEDHRDESDAATAGAAATAAQDQEEARVDVAVSVADEDVPMATDGHRQNIPVAASSSLPRNVSVQGNLLEKQRSRGGGDSDRGRRDGDHREDGLGVEEEPEEEEKADGFPEVKRLVNSHALADTKKLQLDGGARLRPRREEEDGDSCRFGASTLTSESTSKSSVEWQSSTVTTGKDAYSDLFSSSSRRSSARWESYTLFRKYDEDMVYFHRVGAQKLTETESFRSIKCQPRSMSQRITHKLSMAAPRPKPSSAEAPPAIGLRDPYPELERVYVAQICLTWEALNWNYTTFRRHNGGGVGGTMMLEARCCPARVAQEFQQFQVLLYRFMENEPFEHGRRPEVYARMKNSSPKLLLVPEFREEEDEKDDLISAVQFLHILEESIRTFMMFLRADKRSHYQMFREMVRRRTIAGDQSIVITLKRTNKTKKSRLKDLSRPRRCLKRIKLREQEEVAVLLGLIDLKVVARVLRMPEITDQQLHWCEEKMGRLRVDPQQGTMERDPSPLFFPAH >SECCE2Rv1G0089940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:264556492:264557931:1 gene:SECCE2Rv1G0089940 transcript:SECCE2Rv1G0089940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMAAPSEGAVDAFKQALATAASVSAYAMLARGMTRELLPDELRAAVHWGAAFVRSRLGAREKERHTIIIRRSVDKNQCHYDSASSQNNIFDAARTYLATKIDPRTMPRLCLARSLITEPDGSSSWSTLLSMEDGGSITDHFDGVEFRWVFMEAGGDDGGNRAKGGGETLELSFDAEHADTALDKYVPFISTTAEELQRQDRALKIFMNDYGSWQGMNHHHPASFETLAMDPGLKQAVLDDLDRFLKRKHYYQRIGKAWKRGYLLYGPPGTGKSSLVAAMANHLRFNLYDLDLSRVHDNSSLQRLLIDMSNKSILVIEDIDCSFDTMSREDRKDHPDEDDGREYQSGDEHKITLSGLLNFIDGLWSTSGEERIMIFTTNYKDRLDPALLRPGRMDMHVYMGYCCWEAFRKLAWNYHLIDAHPLFPGIQELLAMVEVTPAEVSEMLLRSEDADVALRLLMEFLQERRGAIKESEDKHDA >SECCE3Rv1G0162470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:131334730:131341546:-1 gene:SECCE3Rv1G0162470 transcript:SECCE3Rv1G0162470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQLDGGRGRLAEAVDAVRDHGVGALHLAAGGHNLEVCEYLVEDVGVNVDAVDEAGRTALVWAIVVEGHMDIVKYLLDHGANPDNVDRTGFTPLHEAVKRGHCEVVELLLSRGAYIDPFSTNHGTPLHVAAEHKQEWAMKILLDHHADCNKILRGFLTPLNIAIESRSVKCVKLLVKAGADVKGPRNVTPLLGAARLGLTDALKCLLDAGADPNDRDEHGHWPIQLAAYFGTRKDVEILFGVTSRIPAVHDWSVDGIISYVKAQPKLEDLPLSKMTVAELKKEGSKAMYKEDYNAALEIYNMAITLDHDNVDPIIIGNRGFCRLILHRDGALNDAQICRQIQPDCPHACWLEGYSYLLLQEFEKACDSFLDAVKLDPGHVGIEKALREGLRLLTESDADKKKGLEGPEYRPVYLYH >SECCE6Rv1G0441870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:812395476:812396696:-1 gene:SECCE6Rv1G0441870 transcript:SECCE6Rv1G0441870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRRGATLFEDLPEEIINKILVLLASKDVGRCRVVNTSWRSATSTPEFMLEHHRRQPSLPIVDGCGKPANYVVLGDAGAGASNQQLWPFLSSSKPHFEDQLNAVCDGFLIVYRGYKFYICNPVTRKHALLPQPQVGQGIHSTMVGFYQHHPTGEYRVLWVSRPRYSSESSLYVLTVGSDRPRRISVRMPTVSSSSAEQKLLNQLPSSYLSSAVHHRGSLHWLPYDDSHIKVGGGDIIVFDTETESFSWMCIPTQPCYYSKLFDMEGTLAFRCGSFPSFTSMDVWVMQDYAAEIWAFKYRIDVSTVEASRQLYLTSFKKKKSRPLESAVQLFNGMAVLNERELLVRFNHKHVLRCDIDGKFLGIVNIGKSQYRMEFTHYRLKESTVPIPGHEMQEDGEPPFSTGHV >SECCE2Rv1G0067930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20859825:20861112:-1 gene:SECCE2Rv1G0067930 transcript:SECCE2Rv1G0067930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWLLKHPCPATRPWTRTRNDTGLQVTSVTYRPTTCTGRSAAVAVKHEEEGADEEWLTYLEPAKLEVFDQLEPWAEANVVPLLKPSEVAWQPTDLLPDPASLGADGFHAACCDLQAHAAGLPDAHLVCLVGNMVTEEALPSYQSMANRFEAVHDLTGSSGTAWARWKRGWSAEENRHGDVLNRYLYLSGRVDMRQVESTIHNLIRSGMVLNAARSPYHGFIYVAFQERATFISHGNTARRAKEHGDVALARICGAIAADEKRHELAYTRIVGKLFEIDPDGAVRALAYMMRRRIVMPASLMTDGRDDDLFAHYGAVAHQAGIYTASDYRGILEHLIKQWGVEELVAAGLSDEGRRARDYVCVLPQKIRRLEEKAHERSRQKAQPTTSIPFSWIFDRPVNITVA >SECCE4Rv1G0266550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:732199867:732203412:-1 gene:SECCE4Rv1G0266550 transcript:SECCE4Rv1G0266550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGDQRQLGGHEDRLKDQMRDDHQASDGNFFKFLQNQSSAKKEAQQDKIASTRAEMSEVRKENERLKTMLSRMVEDHRSLQKQFDVLHQQGQGKNLAVGSPEHTSPADSITDPRFISLRLGTSASTSRQNMGEEIRASTDNPDGKGVSLGLSSVCKAVGAAGQSEMKVQPDVLTLSPGGSSEEDAGTETTTTSAVSKAVKNPRNTGGGEEPEEEVAQQPLAKKARVSVRARCDTPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVTTACPVRKQVQRCAEDMSILITTYEGAHNHPLSASAAAMASTTSAAASMLMSGSSTSLGFPSAASNLHGLRFGLPAAASDASSSQLAGGRPFFLPAGAGASISATPSYPTITLDLTSQSASQQAFSLGNSNRFSSSFTGAHHGHSGRYPSTSFSFSGSGATAWPAGVGSYLSYGSSSGAPYNGAGKSSFEAELSSIHGWQQGQGPVAALYQPAQVQQRAAQSNGGITGAAAPMVLTDTIAKAITSDPGFHTALAAAITSYVGKPAAGGGKGLEWGEHLGLGPSSAAATAFSSPLLARSSSTGAAQSGSPNGKKMSFLQPSLALSGSTTSATASTSPVKSREHKMD >SECCE4Rv1G0225780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:100179184:100182300:1 gene:SECCE4Rv1G0225780 transcript:SECCE4Rv1G0225780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAMMACRSKKPRQSFSGPAELAARLMKRLADANPDSNLVFSPLSIYAAVALLAPGARGDTLDEVLRLLGARSRDELEESISRVADDALQDLSGSGGPSVGFACGVWNDRSRPLKPAYREAVVSTYRAEARTLDFRENPEESARHINDWVAEATRNLITDVVSTEDVSMAPSAILANAIYFKGKWNLPFEERDTRDRPFHRLDGTAVDAPFMRNPERHFIAVHDGFKVLKLQYKMPQHQRGYHQPYRWTAGFKQPTQYSMCIFLPDAYDGLRSLVDEITSRPGFVHDHMPVFPVKVGDFGVPKFKIDFSSKIIGILKQLGLLLPFGMGSDLLDMVEADGTDYPLVIQEVIHKAVIEVNEEGTEAAAVTMMLAAPGCAMMMPEPMVDFVADHPFAYFIVEEASGVIMFAGHVVDPTNGKGPVRIVQQKQGGALNEQQRLSVGGYGLPLTRNPSVQFDVVGPTKNPCSGGLATLAAGLARRLAQESTDDNLVFSPLSIYTALALLAAGARDATLDEILHVLGAPSRGELEKFVSGMAVDALQDRSALGGPCVAFACGVWSDLMRPLKPAFREAVVGTYKAEASTVNFHSAPEEACAQINAWVAQVTRNLIDSVLPPGSIKTTTALVLGNAIYFKGKWEDQPFDRRHTVDKPFRRLDGSQVDVPFMQSWESQFVAVHDGFKVLKLRYKMAAPDHKEQGHPAPLGRVNSADPYNYNPVGQPGDYSGHAAAPYTQPQAYNHGAPSPNYSPFHTTTGQAMPGAPYFHHQYAPPPPFGRSFSPNAYPRSCPYGALPPPFGGPYFQYNYPRPYPYGALGCGPFGYPPNNPYAPHDPFGRVGPSGYPPIPYAVHRDPWSGITQPSQRAAPSVHSSFDHTGHTQFSMCIFLPDAHDGLLGLLDTIASRPGFLHDHLPKQRIDLGEFRVPKFKLSFHSSIVAVLKKLGLKLPFCLEGHLSDMVEDDGSGLPIVAEDVIHKAVVEVNEEGTEAAAVTMVTAPAGCAAGGSWPPPPRVDFIADHPFAYYIVEEATGAVVFAGHVLDPSNK >SECCE3Rv1G0177780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:481653682:481656047:1 gene:SECCE3Rv1G0177780 transcript:SECCE3Rv1G0177780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTRAEPVLVHAAGDVPAADEYYFLSNLDQNVAVLMKTVHVFSPSRARDDAAAVIKGALGSKGVAGPQATRAMAWGVGKKLSLYVKKNQKVLVHYYPFQGSLVVGADDGRLWVRNDRRGVPFVVANADCELKEVGDVVLSAPDAGAVQGQLVFVGRGDKDDDKLGAPLLSVQVTRFRCGGFVLGLAMNHCLADGVAAAEFLRSWAEMARGVPLSSPPFLDRTVLRARTSPTVAFPHEEFAEMEDVSGLLDEPRVHRAFAMDAGRLARLKRQASACSTFVALTAFVWRATSRAMRMRPEQKSKLMFAVDGRRRVDPPLPPGFCGNAVVFACCVSTAGDLLGGPVSAAARWIQDAIRRTDDAFIRSAIDYVEVGDGRAPSMTATTLVTAWNRLGFHAADFGWGEAAQSGPAELPRGEVVMFMRDVRDSSIVLLLGLPQSCMQEFQDMVDLI >SECCE6Rv1G0427800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720836548:720836958:1 gene:SECCE6Rv1G0427800 transcript:SECCE6Rv1G0427800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLERMIALDETHYQDGYKDGYDDGMVSGKEEGRQVGLKMGFQVGEELGFYQGCLDVWMSIIRLDQGAFSARVRKYMEQLAALLSNYPLSDPENNHLQDMMKEIRLKFRVITGSLGAKLGYEGRPTSSEQDHEDI >SECCE2Rv1G0133460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:896779344:896783432:-1 gene:SECCE2Rv1G0133460 transcript:SECCE2Rv1G0133460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSQLLLLLFLACILLLPIQAPAADKLQKGQNLTDSDGDTLVSAGGSFTLGFFSPGVSTKRYLGIWFSVSNDTVYWVANRDQPLVDKSGMLTVWSSDFFGSASTAVAQLLESGNLAVHSGSSDAYLWQSFDHPSDTLLPGMKLGKNFWTGTEWQLTSWRSVDDPSPGDYRRTLETKGLPELVLWRGNIKTYRTGPWNGLYFNGVPEASTYKEKYPLHMTCLTDRPTETTYGYTAAPGVPPTRVVVNYTGVAERLVWDADTGAWTSFFSRGARDVTCDAYGKCGAFGLCDPEAALSGFCGCVPGFSPVSTSAWQMKQYTGGPCAGATRVWSVVSGVKLPDTQNATVDIDITTLDECEARCYANCSCVAYAATDIRGGGNRSGCVMWADTIVDLRFIDSKQDLYLRLSKSEFGEPSVSKLPSLIGIVGVSVAVALIIILALLAFWFCIWRPRHGSVPNGPRGTDDVALDNRPPNIALPMTSIGPISLSVIRRATENFSIRRKIGEGGFSKVYMGQLDDGEVIAVKRLNASLLTDKGKRDFSREVEVMSTLTHANLAKLLYYCQEGIEWILVYEWMENSSLDCHIFGTRCNAANASRGEQSRPCSLLNWEQRLEIIQGIAVGVEYLHYMSVIHRDLKPGNILLSDTWNSKIADFATAKLFIDEETNPTLVFTPGYAAPEYSWEGALTYKCDVYSFGVVLLEIISGKRRTSMPMLLLHAWKLWNESRSGELFDPEVAAPRGDVLSGLARCIQIGLLCVQHLPEHRPHMSEVVTWLADTGSLPREPRRPTPNSGAAPNTQPTPNSGAAPNTQPGPSRTFLQWLFCSCGRASASPGTEATV >SECCE3Rv1G0188960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:720085125:720085364:-1 gene:SECCE3Rv1G0188960 transcript:SECCE3Rv1G0188960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSAISGGAARAAAVVWWPQRHQRLPLPADLLPRSLLDRAVELASKTAATAAPDLDPDMPCFVVPSSLPDLCDGLVGN >SECCE4Rv1G0260790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:698681754:698684024:-1 gene:SECCE4Rv1G0260790 transcript:SECCE4Rv1G0260790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRDGGFGGGGGGGAVRELVLMQQERRRRREEEEEEVRRQMFGGGASAFHAAAAAAALGQQQQQQQAAEYAELAAGGAAFYESEAGGSSEPEPHGAPSDRPRGGGGSGSKRTRAAEVHNLSEKRRRSRINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGALEPLQASQMFAALGVSGRNVAAPSSGAVAPPVNQSSGAHHSFDPLNSPQQNQQQPLVLPSCPKTTIPEPPFHLEPSQSHLQSFQLPESSEMMLRGDIMLKHHLTSAQDRADPSGNKMNSLRQESSMLNTHFDGCSRSKEQSHDMVPANTRHV >SECCEUnv1G0556130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:309158241:309160286:1 gene:SECCEUnv1G0556130 transcript:SECCEUnv1G0556130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDAVAPAAAAAPGLVVSFGEMLIDFVPDVAGVSLAESGGFVKAPGGAPANVACAISKLGGSSAFVGKFGDDEFGHMLVEILKQNGVNAEGCLFDQHARTALAFVTLKSNGEREFMFYRNPSADMLLTEAELNLDLIRSARIFHYGSISLITEPCRSAHIAAMRAAKSAGILCSYDPNVRLPLWPSAQAARDGIMSIWKEADFIKVSDEEVAFLTQGDANDEKNVLSLWFEGLKLLIVTDGEKGCRYFTKDFKGSVPGYSVNTVDTTGAGDAFVGSLLVSVSKDDSIFYNEAKLREVLQFSNACGAICTTKKGAIPALPTTATALELISKGSN >SECCE6Rv1G0390420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:183074079:183075652:-1 gene:SECCE6Rv1G0390420 transcript:SECCE6Rv1G0390420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLQWSRPASLLLLGAAFLAAAAVASANPAYNPDPYSVVDNFNRAVHRSTSPRRALSSEKKEKKHKYTGPCLATNPIDRCWRCRKDWATDRQRLARCAKGFGREATGGLKGKIYIVTDGNDEDFTNPRPGSLRWGAVQTEPLWIIFARDMVINASQEIIIQSDKTIDGRGAQVHIANGGGLTIQHQNNVIIHNIHVHGIKHTDGGNVSQTATHSTIRTRADGDGVSIFNATKVWIDHLSMENCEDGMIDVVAASTAITISNCHLTNHNDVMLFGADDNKPEDKVMQVTVAFNHFGRGLVQRMPRCRYGFFHVINNDYTHWLMYAIGGSSAPTIISQGNRYIAPPNMAAKQVTKRDYAPEAEWRNWVWRSEGDLMMNNAFFQASGGQINQKLNKKDLIKPKPGEYVTRLTRFSGTLACKPGSPC >SECCEUnv1G0539740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77302702:77304085:1 gene:SECCEUnv1G0539740 transcript:SECCEUnv1G0539740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSDATSEDSVMNISSSCDGIIKLPATIHDPNFNGTDADVNVLCEHGEPAERFVAFEGMHTGRRFLGCAKKEGINCGVVQWIDFEWPDSMEKALAKLWDMYEEIKSARTNDNLESSFAIHNLTEEKKKLQENYDSLYADVNALLDAQQQRGVESTNQKEQKKYLVLKIAELESVVCNLKAELSKKEEEKNKLQENYDSLNAQQQRGVELNNQKEQKQYVDLKIFELETIVGNLKAELSKTEEEKKKMLQKYDTLKNLTGAQANVIRNLKFNHLKEKERLTEERHKLQHHISELQQSEEKIKLKLQGVKAILDE >SECCE2Rv1G0070380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:35014006:35014602:-1 gene:SECCE2Rv1G0070380 transcript:SECCE2Rv1G0070380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMTHDDYVHLCLMALASVATGGDQVEAPKGQWIQTLPAAPVDCELRFRCSVCGKAFPSHQALGGHKASHRKREAPALPPLHASVSSVEETVASSTSSGVGRHRCSVCHRSFATGQALGGHKRCHYWDGLSVSLTASASGSGSSVRDFDLNLTPVPEKGVAAGMRRWGEEEEVQSPLPFKKRRLSSPSLELNLILLD >SECCE3Rv1G0193050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:765117182:765122018:-1 gene:SECCE3Rv1G0193050 transcript:SECCE3Rv1G0193050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18f [Source:Projected from Arabidopsis thaliana (AT5G54730) UniProtKB/Swiss-Prot;Acc:Q9FH32] MRNGAQAPRGTGGGGGGGLFSARSLSSYMRIVSSGASTAASTLRSAGASLVNSIASHDEDAGRDQVQWAGFDKLECGGDVLRQVLLLAYRSGFQVWDVEQADDVRQLESRHDGAVSFIQLLKKPIASKRGEDRFVDARPLLALAGGGTSTGSANGHDANGPVFNGTNGTYHNSGSEKLPTIVRFYSLKDHGYVHSMKFRSAVYSIRCSPRVVAVSQATQIHCFDAATLELDYTLLTSPIVSPISGYGPLGLGPRWIAYSGSPVPVPNTGRVSPQLLSLSPIVPPPSSNGSVVAYYAKESSKQLAAGIVTLGDVGYKKLSRYYSDLIPNGNGNIKQGNAGFKANGVTNGHTIDSEYAGTVIVRDIVSKAMVVQFRAHTSPISALCFDPSGTLLVTASIHGRNINVFRIMPSVDGSASEDGPNGTYVHLFKLQRGITNAVIKDISFSDDSEWILISSSRGTSHFFAISPYSGSTSFRYSDNNLAENNYVVDSSVKHVTHWSQNASPSLSLSQKMLSLSGPPVALSVVSRIRNGSNLLKGAVHGAAAFATGVSSPISGAIASTFHNCKGGVNSDDSLLCMKYYLLVFSPSGSIIQYVLHHSAEPDSGIDYPSDATSYGSQRETDTRFVIEPLQKWDVCQKKNRRDTAESNLYNDFDSGENNKIFQKVVRKIYPSNGAATERLKLSADENHNYYISESELQTHVVQIPVWSRSGVHFQVIGSDTLEAYATENISGEIEIEKVQTHNVESRSKNLIPVFDSLHTSRFQQTRVNTPDGNRSGLLQRHKSGFSADGRLSSRSSCSSLDCMSEVPNSSDDNSVGQYLVEDSAAAAAAVNKNLNVNHQAELVNNTESLNTEAQLGVVNSKDDCEDSELLPDLCQ >SECCE3Rv1G0163810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:152827552:152830568:1 gene:SECCE3Rv1G0163810 transcript:SECCE3Rv1G0163810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTEEERAVDEALGYPKAYARLCRAGAGGALGLPYAHGPPGAFLPYVLQPHEALRAKDLNETFPVVDAEAAPTANPRGFANLLWKQLDHLGNAGFDPALFRVDAYGNVLYLHADASSPLAWDVHHWFPCARGGRTVPSNLRIMQLQASRKKHNKLEFLVPWWDLQLGISVNQFLSIFASKNTDFRNRAFAFLFADGANEELSSLQAVEAHAFPHHFAEMKKKVGLAPAAIVSARGSDNSVLKSIDANRPVRSNYPLIAAKKFSGEKDENLAAHGGHGGNSMMKENNNPDVDCYISNPYLSIAMARDSLRQREEAKKKQAELTELENEVTEMQQKNEEERVAIQDLEAQLIKRRRRVEKCRRLADAQANYKTVLEKMIRDAMHQSVVYKEQLRLNQAATSTLMARLEAQRAMCDSSETELRKKYQHRDDLERQVKPLIDQARKRYRVDDEIPEERHCESFRYLPERVSRSSPLKQELRVFLEEAQRTSDAYNISQEGEEIGEGTSTMSYVNTEQPSKVISFPRRSISTDENRSYTERGRASVREKLEQSAIRERHRSRGRERKETMASRGVGTPTRSRDNKGKAAMLESETERSHHANQTVSFPRTPSVPPSPPYRATGVYGTPRYHTEQSLPVQKDDEMLHPRRVARAEDNENMNYRGKGHVDKWLHMLMEDQQEGNEVAYRSSEDHNAAEENSADDEHEIQSRNDDEDESCRNEITECNDDEDESCRNEITECVDEIVDVGGENAATHHGTPRCRDSFDIKEEKVERKIWFPRSDSGRGFRSLPSSPSKILGMRRGSDCAGRKPKVGGDDDRRYGYEDSVSTSSSKFLSKCKQAIKKAVHK >SECCE5Rv1G0376240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871850680:871850970:-1 gene:SECCE5Rv1G0376240 transcript:SECCE5Rv1G0376240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGKKQVVAALMLALVVLAAAPGGARAACQASQLAVCASAILGGTKPSGECCGNLRAQQGCFCQYAKDPNYGQYIRSPHARDTLTSCGLAVPHC >SECCE2Rv1G0103280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:588451840:588460128:1 gene:SECCE2Rv1G0103280 transcript:SECCE2Rv1G0103280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAPSVGAGANGSLAAAARAQVGPPTNPMATALLTDQYQFSMAYAYWKAGKHADHAVFDLYFRKNPFGGEFTVFAGLEECIKFIANFKFTEDEISFLQSVMPMCEDAFFDYLRGIDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLMEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGHLFGIPLRGTHSHAYVSSYMSLDEIPDRTLRNKDGSKICKDFVSLVKEWLCKIQAVESLRNVFGDTNQSELAAFASYALAFPSSFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFRAIEKEFSIPDFGKMIITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYSQAALGCVFKLVEINSRPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMIRESEPSPKAGERILCRHPFLESKRAYVVPQHVEELLHCYWPGSSDKPRAELPPLLKIRSRCMQQLEKLRPDHIRRLNPTPYKVSVSAKLYEFIHYLWLNEAPVGELQ >SECCE7Rv1G0508510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:772786872:772787708:1 gene:SECCE7Rv1G0508510 transcript:SECCE7Rv1G0508510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTSSPLRRWKRFFGAFDTVDAAIEAADPDMCRDELRRARGDVFEGLCNTADDGKAEKLCGVLDGLMAESLETLRLTPVTPKVLATTDLAKAVRALQKHESERVRVLARGIVSGWRASALDDLAGGNFDVPQHKETVEQQNVWATTTERSSSIEIDQQHASADLDSKKKKTVEMSSKASGLASGFNTAKPKEVTAVRLHANVPADPDARAMEAAKRKLHESYQQASDAKRQRRVQLVEAPEMVKQRRERSLAGCGSSMLKRTFSVSHHTTGQLHGV >SECCE4Rv1G0264510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:721025213:721028722:-1 gene:SECCE4Rv1G0264510 transcript:SECCE4Rv1G0264510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFYAVGFWIRETGQALDRLGCRLQGNYFFHEQISRHRTLMNIIDKAPHVHKEAFVAPSASLIGDVEVGQGSSIWYGCVLRGDANNVQVGSGTNIQDNSVVHVAKSNLSGKVFPTIIGDNVTVGHSAVLQGCTVEDEAFIGMGATLLDGVVVEKHGMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTDEEIAFIAESAANYSNLAKAHAVENAKPLEKIDFEKVLRKEVAHQDEEHGSMLSGTREVPPELAPPSPTPAQ >SECCE3Rv1G0201650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:866099034:866099954:-1 gene:SECCE3Rv1G0201650 transcript:SECCE3Rv1G0201650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTVEDLPADVLACALRRLDGPSLAAASCATAGLRALAAEPETWRALCVARWPSLLQTQQRDILGSAAVSPQRLFADAFPFPSSSTGAAPVTTAGQCLPGELISAVDVCHKGAPLFSRVVETSTLSSWFLTSPFRVDAVECKDPVPAASFSPADLELSWIVVDPRSGRAVNVSSRRAVAVDRHWYTGETLVRYAVVLGGSKFEATVTCSEEAGYLREVSLTVEDAENAAVSGEGSLRLLAAAMEGPRKGGEKEREEAKWRYEEFVRSKRGRKESKARREVLVDLCCSAVGAVAVLSFLASVVLR >SECCE6Rv1G0412290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613550375:613551621:-1 gene:SECCE6Rv1G0412290 transcript:SECCE6Rv1G0412290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVYGGGGGDLVRQRTCRMYWCYECARALRIISYPATDVFCPRCYGRFLHEIDPPPRPALPPPGFYPHHFAPHPTHPHYHDGNPRRWVVYGAAPTVPGRAYRQLPPPVREPAPGPTRVHAPVPAPPPRRRVPSPPPAPVARRPATPPAIDPGDYFTGGDLNRLVEELTQNDRPGPAPAPTSTIDSLPTVRIAAQHLSDDGGSQCPVCKEEFELGEAARQLPCKHVYHSDCIVPWLRLHNSCPVCRYKLPGGAAASSTRRASGSNGGGGARSRGREREPATTVRWGPLSWMVPARGTEEPDDGWEYGRRAHGHGHGRPEDGDAGAFYAWWRSLFLL >SECCE3Rv1G0185940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679414366:679418780:-1 gene:SECCE3Rv1G0185940 transcript:SECCE3Rv1G0185940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWISKVKEGQHLAEHELQTLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPDTNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTVDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTTEFNHVNNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFDENMDRDVKFFTETEENNQMRGPRTAVPYFL >SECCE2Rv1G0129620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:869593995:869594800:1 gene:SECCE2Rv1G0129620 transcript:SECCE2Rv1G0129620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFSAELRRVALQLMEAILEGLGLGKHYQHEEFERGLQLLQVNCYAKEPEGESAIGLAPHSDHGFLTILLASCPGLEVLDQRSNTWRVVEQPPNALHVHVGDYMEVLSNGRIKTVVHRAVLNPGEARISAASILSFAMHEKVSVARELVHEQDPEKYYMGSSFSDFLDYLTSETDKKRVSFLESVRI >SECCE7Rv1G0456720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11390828:11393491:-1 gene:SECCE7Rv1G0456720 transcript:SECCE7Rv1G0456720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREGDLSFPNNVVYAAKLVCSLGRLFFACMVAGGIQYAWALQLSLLSPYSQSLGIPHHHVSLAWICGPIAGFVVPPIVGYYSDRCTAMLGRRRPFIAAGCLVICLSVMLIGFSADIGRLLGDTKEHCTTSTGPRWAAMAVYIVGFWFLNFANNAAQGPARALMADLSAGNYRPSVGQSIFSGWMAIGNVLGYMAGADGEWHVWLPWLKTTACCEACANLKGAFLTAVIIIIISTSITMYIAEEKQLENAVEASSGRRGCISGFGGFSKSLKNLPPSMFKVLAVTAVTWLAWFPFIQYGTDWMGREIYHGEPQGPKAAVYYAGVREGAVGLLLSSMCLVAASFNTPDLCRRLTSRVVWSLSNFILFGIMTAMLILGLVSTKGYNASFTAGLTGPDPKLRVIALSLFALIGIPQAVLFSVPWAVASMVADDGGQGLAIGVLNTAIVVPQLIIALAAGPINKAFGKGNTPAFGIGCASAFICAVLALILLPKTRGTSNATARASRER >SECCE5Rv1G0302010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34793079:34794140:-1 gene:SECCE5Rv1G0302010 transcript:SECCE5Rv1G0302010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQGSIAMVPSRCTAETQTSRAKVAFQIAGYSRLKGLGRGKFLLSSAFSIGGYKWCIRYYPDGSADQGSEGYVSVFLVLMTKNANVKALYSLMRVNQVSGQSFVVLSCKAPQVFTRESICWGSRKFMKTTAEVESVYVRNDCLLIECEVSVIKETLNIHVPPSDLSDNLATLLEEKIGADVTFKVQGEVFPAHKNLLAMRSAVFKAEFYGPMGDNGEQDIIIDDMQPAVFKAFLNFIYTDSMPSMDDLEHDDKKEMVKHLLVAADKYAMERMKRICEGMLCKSLDVETVATILALADQHHCSNLKDACIEFMLSSNRMNDVIASQGYVQLKRSSPDIIVDVLERAAKSRKI >SECCEUnv1G0558120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:329828844:329829479:-1 gene:SECCEUnv1G0558120 transcript:SECCEUnv1G0558120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYPRLSASSRYSDADDDVARYRAFYGIAVACVAILLFCVLGVTVGAWMAGAFAAAVASLLVVLGCFAPRTSWVRRRTGRPVSPVLAFTARSGGRPRAPARCARAHVVDAPPAFAYVCPLEAGDSDGELAARSCVMCPVCLEDIHGGEMVRQLTACRHLFHVECIDMWLDSHMTCPMCRCVISPPPTVTAKASEPEEAPQSSDEALPPV >SECCE3Rv1G0197840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:824137005:824142431:1 gene:SECCE3Rv1G0197840 transcript:SECCE3Rv1G0197840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMAEAEHEGCVENRQPLAASSESVSEGSSYGGAGAPARMSPPVSSSVNSISGLRRTSGPIRRAKGGWTSQEDETLRKAVETFNGRSWKKIAEFFPDRTEVQCLHRWQKVLNPELIKGPWTQEEDDKIIDLVKKYGPTKWSVIARSLPGRIGKQCRERWHNHLNPDIRKDAWTAEEEQALINAHREYGNKWAEIAKVLPGRTDNSIKNHWNSSLRKKLDVYGTRNILAIPRLVGHDDFKDKQKPLASEGHLDLNTVPGITSKNLPEIAHHSNFSSHLQSYKPDHTKDCSGFLSISFLPTVRPLTSCQVSSVVNGSAVTSAAQGLESDSVCDKGLEIVSVHEKGLKVDSTLDTLGEPGSTQLEAVPAKGEESSLKNDAQSSLGPLCYQIPNMNDVAPASSSLFSEHQTVHRTSEHCRSGALSPNGCTTPTKGTMSVQLSVDSILKIAADSFPVTPSILRRRKRERPTPASDLKFGEPNTDSFYTPAGKPPATDTPESFRTASFMSLGSRDGLSSSARGFDVSPPYRIRAKRMCLTKCVEKQLDFSSSGLGTCGSEVLNSPHQNSQSTHSLSEASIMKEKELNGHVIQLETSTKNIAYTTNMDVT >SECCE7Rv1G0507180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:759126936:759132001:-1 gene:SECCE7Rv1G0507180 transcript:SECCE7Rv1G0507180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to P1B-type heavy metal transporting ATPase [Source: Projected from Oryza sativa (Os06g0700700)] MAGSARQEKSYFDVLGICCPSEVPLVEKLLEPLAGVHKVTVVVPSRTVIVVHDAATISQAQIVKALNQARLEASVRAYGGGADKINKWPSPYVLVCGLLLVVSLFEHFWHPLRWLALVATAAGLPPIVLRSVAAARRLTLDVNILMLIAVAGAVALKDYSEAGFIVFLFTTAEWLETRASSKATAGMSSLMSMAPQNAVLAETGQVVAAQDVKVNTVIAVKAGEVVPIDGVVVDGRSEVDEQTLTGESFPVAKQPDSEVWAGTLNIDGYISVRTTAMADNSAVAKMARLVEEAQNSRSETQRLIDTCAKYYTPAVIVMAAAVAVTPVIVRAHNLRHWFQLALVLLVSACPCALVLSTPVATFCALLTAARTGLLIKGGDVLESMAGIKVAAFDKTGTITSGEFSVAEFRAVGERVPRNQLLYWVSSVESRSSHPMAAALVDYARSNSVEPKSENVMEFQIYPGEGIYGEIDGQGVYVGNRRILSRASCETVPEVNDIKGVTVGYVACNKELVGLFGLSDVCRSGSAEAIRELRSMGIKSVMLTGDSAAAATYAQNQLGNVLAEVHSELLPEDKVRIVDELRARDGPTLMIGDGMNDAPALARADVGVSMGVSGSAVAMETSHVTLMSNDIRRIPKAIRLARSTRRTIVTNIVFSVATKLAIVGLALAGHPLVWAAVLADVGTCLLVIMYSMMLLRGAGGGREKKCCASSHSHHPKKHGSASHHCSEGPCKSAGGCADSSSAGGHACGDEHGRHGHGHGDRKEPGTPHHPHKHGCEGHGHGHSHCKEPSKLHPTDSHHCQDHGHGHSHCKEASSKLAASKNISHGHGQCKEKHDEHSTKSPESVQEHFILIDATAADQQQIQCSHQTEEHEEENCGHHGEAKACAPAPADCRIGGCCDTVGDEGCGTKARDVCSSRRAGCAAGETRRCCRSAMATGRCGGGGHTSMLKLPEIVVE >SECCE2Rv1G0137520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918289052:918290140:1 gene:SECCE2Rv1G0137520 transcript:SECCE2Rv1G0137520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRLLQGVVLPRTTGRCVGASSFSTSTDAIRATLFPGDGIGPEIAESVKQVINVAGVPIEWEEHYVGTEVDPRTQSFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRERVSAIHKANIMRKTDGLFLQCCREVAAKYPEIKYEEVVIDNCCMELVKDPVTYDVLVMPNLYGDIISDLSAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDISGKNLANPTALMLSAVMMLRHLRFNDQADRIHNAILRTIADGKYRTADLGGKSSTSDYTKAVCGHI >SECCE5Rv1G0319750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:378383796:378384332:-1 gene:SECCE5Rv1G0319750 transcript:SECCE5Rv1G0319750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQTGSSATPCASCKLLRRRCARDCVFAPYFPPEDPHRFATVHRVFGASNVSKMLQELPAAQRADAVSSLVYEATARMRDPVYGCAGAISYLQQQVSQLQVQLAVAQAEILQRINHPSPAAAFHLQELQQRQVQQQQQQTQMDDDDKAYSSLVMQNDLMSTLLLQEACLKKESLWT >SECCE5Rv1G0338980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604318829:604320175:-1 gene:SECCE5Rv1G0338980 transcript:SECCE5Rv1G0338980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKMAWWPLAATPRRLRRLVVAALFSTVPYGGCPLHAELARRGAPAAASLALYSRIRASTPPTPYTFSLLLAALASSASGGCTRPAAPVAHAHALKCGALAHPVVTNSLLKLYCALGLLPHARRVFDDSGAALDAASWNTMVSGYGKSGDLAAAREVFGRMPGRNLVSWSAMVDALVRAAEFDEALRVFDRMMGEGFKPDVVVLVSVLKACAHLGAVERGRWVHRYMETEGFAGTQRNVMAETALVDMYCKCGCMEEAWHAFDSVRCRDVVLWNSMIGGLAMNGHGERALELFQRMLRNGFVPNQSTFVAALCACTHTGRLDEGRKIFQSMRQHGIEPQREHYGCLADLLGRAGHVEEAETVLLDMPMEPHASQWGALMSSCRMHNDITVGERVGKRLIELEPQHGGRYAVLFNLYAVNGRWEDARAIRQMMVAKGAKKELGFSFME >SECCE7Rv1G0509970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:785481178:785482329:1 gene:SECCE7Rv1G0509970 transcript:SECCE7Rv1G0509970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLAEHLSYIPRSLSLIQLKEMSSFSAGHGRRPKKKLYHREPGLDKAMDLQKKPALLLRLRELILAQKKGSLLVRDLEKEVGFVQKWNFVSLIERHPNIFHVSGGSTSREPIAVTLTEKARNISGEEIQAQELMEPILVRNLRKLLMMSMDCQIPLEKIELIQPELGLPKNFKDKLIPSYPDFFSIRDVRGLDHLCLESWDSSLAVTAREEKLNFDGFQMDYRGVPKDGNILGPFAFKLKYPAGFRPNRKYLEEVVRWQKMAFPSPYLNARRVEPATPQARKRSVAVLHEILSLTMQKRLTSDKLEVFHNEYRLPCKLLLCLVKNHGIFYITNKGARSTVFLKGAYDDTNLIEKCPLLKFHDQFSSLIGRPCSDLNTPLAV >SECCE6Rv1G0446260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:843439707:843441038:-1 gene:SECCE6Rv1G0446260 transcript:SECCE6Rv1G0446260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVTASIPLPDELLEEIFLRLPTPDTLACTSATCTSFCRVIKGRTFRRRFRMLHRPSLLGFMDAAGFQPAQAPHPSAPLAGTLGLCAADFSFILAVISSSSYILPKEEGPRWRPRDARDGRVLLDWISLQPRVVKNWSYSEEGSEVSILMDRKELVDFFDDGRRLMWTKRGRCNAADFHLAVCDPLSRRYVLLPAIPEDLAAQPQDRLWEFEPVLAPNTSEENPFKVICIARYLKKLVLFVIKSTTMQWCLVESPVLPSLDDMSYFDCVRGCFCWTQCWFWSEKLMVLDTCTLRFSIVNFFTGYHLQLRDTYPGFLRRRPNAVVVGREGAIEMFSLVGQHGSFALHHTSLQDNSHEWKLEKIVQLPGQYQEYSISTVGAAEGFLFFQGAPLGIEFQNVDYYSMDVKTYEITKIYTKMGNLFDHKRAIPYFSFPRLLSEPTI >SECCE2Rv1G0140860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:933179706:933180788:-1 gene:SECCE2Rv1G0140860 transcript:SECCE2Rv1G0140860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEALSMSGLSAIPAEYVRSVDERQSLGDAYDQAAASWSTPGCQGIPVVDVAPFDAADPGSRSSAAVVDAVRAAAEHWGVMHVAGHGIPDDLIDALRGAGTGFFCMPIADKEAYANDPAAGRLEGYGSRLAGSAGDDGKREWEDYLFHQLYPDARADHARWPAHPPEYVPVTKSFGERVSELASRLLAILSLGLGVPAPTLERRLRLTDEEGAIEDDLLLKMKINYYPPCPQPELAVGVEAHTDVSALSFILTNGVPGLQVLNSAGAWVTARDEPGTLVVHVGDALEILSNGRYTSVLHRGLVNRRTVRISWVVFAEPPPDSVRLRPLPELLVDGAEPRFEPRTFRQHLDNKVLKKKD >SECCE3Rv1G0192190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:756217881:756221838:-1 gene:SECCE3Rv1G0192190 transcript:SECCE3Rv1G0192190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe(3+)-Zn(2+) purple acid phosphatase 12 [Source:Projected from Arabidopsis thaliana (AT2G27190) UniProtKB/Swiss-Prot;Acc:Q38924] MGLANRIGAVALAVACAVLLLGVACRAGQTSEYRRLLGQAIDMPLDADVFRAPPGHNAPQQVHITQGNHDGTAMIISWVTTAEPGSSTVLYGTSEDNLNCSAKGKHSQYTFYKYTSGYIHHCTIKKLKFDTKYYYAVGTEETLRKFWFRTPPKSGPDVPYTFGLIGDLGQSFDSNVTLAHYESNSKAQAVLFVGDLTYADNYPYHDNTRWDTWARFVERNLAYQPWIWTAGNHEIDFAPELGETKPFKPYSSRYHTPYKASGSTAPYWYSIKRASAYIIVLASYSAYGKYTPQYKWLEAEFPKVNRSETPWLIVLMHAPWYNSYNYHYMEGESMRVMYEPWFVKYKVDLVFAGHVHAYERTHRISNVAYNIINGLCSPIPDQSAPVYITIGDGGNQEGLATNMSEPQPSYSAFREASFGHAILDIKNRTHAYYAWHRNQDGAAVAADALWFTNRYWMPTDDSLDDV >SECCE1Rv1G0015760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:129109117:129112585:1 gene:SECCE1Rv1G0015760 transcript:SECCE1Rv1G0015760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLARRRAAEAVLLRRPHAAAWASACRGYAASGEESDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKSSFAHHGVKFSNLEVDLPAMMAQKDKAVSGLTKGIEGLFKKNKVEYVKGFGKLVSPSEVSVDLVDGGSTIVKGKNIIVATGSDVKSLPGVTIDEKKIVSSTGALALTEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEIRKQFQRMLEKQKFKFMLKTKVVGVDTSGSGVKLTVEPAAGGEQSVIEADVVLVSAGRVPYTAGIGLDAIGVETDKGGRVLVDNRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKASGVAYQVGKFPLLANSRAKAIDDAEGMVKVISEKETDRILGVHIMSPGAGEIIHEACLALQYGASSEDIARTCHAHPTVSEALKEACMNTYDKAIHM >SECCE2Rv1G0108030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:657205134:657206714:1 gene:SECCE2Rv1G0108030 transcript:SECCE2Rv1G0108030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCADFDALRASAADVRIVTSDGQSMAAHSRVLASASPVLERMIDRARRGWGADCTIRVLGVSFDAVRAFLHFIYSAKVAPEEEELVGAHGTQLLALAHAYRVGWLKRAAEAAVSARLTPERAVDMLKLARLCDARRLYLRCARLAAKDFSAVERSDGWRFARRHDPALQLELLQLLEDADQRKERWARERAAQEACRQLGEAMASLEHIFPGDGAVCADAPCAEAGCTCRGLQLLMRHFATCARKAAPGGCARCKRMLQLFRLHASVCDRPDQACRVPLCSHFKTKAQTEKADKTWRLLVKKVTRAKVMSSLASRKVVPEVVAMSWTRYNSRVAKLR >SECCE2Rv1G0135000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:904447854:904451249:-1 gene:SECCE2Rv1G0135000 transcript:SECCE2Rv1G0135000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRGSSCAVLALLALASVAAVSADVFFQEKFEDGWESRWVKSEWKKDENMAGEWNHTSGKWHGDAEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFTVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHTILTKDGKNHLIKKDVPCETDQLSHVYTLIIRPDATYSILIDNEEKQTGSIYEHWDILPPKEIKDPEAKKPEDWDDKEYIPDPEDIKPEGYDDIPKEVTDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIANPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNILITDDAALAKTFAEETWAKHKDAEKAAFDEAEKKKEEEDASKAGEDDDDLDDEDADDEDKDDKAGSDAEDDKDSDDEKHDEL >SECCE5Rv1G0357650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:748579913:748581345:-1 gene:SECCE5Rv1G0357650 transcript:SECCE5Rv1G0357650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKAEMSAMELEKVMSDGEVVLGGAGDEEDDDDVVLPGYRFHPTDEELVTFYLRRKVARKSLRMEVIREMDIYKHDPWDLPKASTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSATAGGSGSGVSVGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAAAAATNASPSMQEAEVWTICRIFRRTITYRKQQTWRPAPAPSAADSSSNTGSFGSSEVGDEYMNCLQAPAPAAPCIPQQQHVSQMDAVNGNNFFYRETMHNQQLQGQWNAAPAAPEPEENPRDTVSTAVSFHQNEHSHAVAASDFYLEEIARMMEVTDTAGFYDYRSYG >SECCE2Rv1G0076640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:92977136:92980897:-1 gene:SECCE2Rv1G0076640 transcript:SECCE2Rv1G0076640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHTLARAWEATVRKVQQHPQPGGRRRVAPMLPADDSETASSSASSSAGVDDADRHSHGQEEEYVERGLPNGDFYTGQWRAGAPHGAGKYLWTDGCMYEGEWRHGKATGRGKFSWPSGATYEGEFKDGFMDGHGTYTGAAGDTYKGAWSMNLKHGDGRKSYANGDQYDGEWRSGLQDGAGRYIWRNGTEYTGEWRAGLIHGRGELAWANGNRYDGGWEDGCPRGQGTFRWADGSVYVGFWARDGPNGIVQQKGVYYPSPAASSPTARDPRDEFARELPGFMAAAGAPESTPLQRPLNSSGNRTANGRASSVSGMSNCSGGDRKYDKICIWESDGDITCDIVDGAALVDDARRSVRTEDDGELMPPPSPAPRVAKWVSPRQAKQQGETIVKGHKHYELMLNLQLGIRHAVGKQGPVTLELKSSAFDPKEKVWTKFPQEGSKHTPRHNSCDFRWKDYCPQVFRTLRKLFKVDAADYMLSLCGSEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRSFEDTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSHNSIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFQEFQRQVDRDCDFLEQEKIMDYSLLVGVHFRHNGEKLLTEGCTDNDTNTVSTLRLSRGYTDQFLADANGLSKIKLGTSMPARAELTARKNDCEPQLIGEPTGEYYDVILYFGIIDILQDYDISKRLEHAYKSFQYDPTSISAVDPRQYSRRFKDFIYKAFQEDS >SECCE4Rv1G0255730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:649882791:649884542:-1 gene:SECCE4Rv1G0255730 transcript:SECCE4Rv1G0255730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDIIPPPRRATGGHSWQADKKRRARPDAAAGRSRRAPEEEFQEEGDAEFEADFEGFVEAEEESDGEAKPFPARTSAFSGDGLKATAAGDDERASGSAKRKRKSQFRGIRRRPWGKWAAEIRDPRKGVRVWLGTYNSAEEAARAYDVEARRIRGKKAKVNFPDEAPMASQQRCAEPTSVKAPEFNTEQKPVLNTMGNADVFSCPAVDYTLNQQFVQPQNMSFVPTVNAVEAPFMNFSSDQGSNSFSCSDFSWENDVKTPDITSVLASIPTSAELNESAFLQNNGSNSTVPPVMGNANVDLADLEPYMKFLMDDGSDESIDSILSCDVPQDVVGNMDLWTFDDMPLSAGFY >SECCE2Rv1G0130900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:879426780:879438690:-1 gene:SECCE2Rv1G0130900 transcript:SECCE2Rv1G0130900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKHAGRGKGRGGGGKGDRKKKEEKVVPSVLDVAVTTPYESQVTLKGISTDRVLDVRKLLASNVETCHLTNYSLSHVVRGQRLEEGVEIVALKPCALTIVEEEYATEEAAVAHVRRLLDIVACTTAFAKAKQPKPSSKNAQRPATPPSPPPGANGAGAGEAPAISAAHDMAAIGPPPKLGEFYDFFSFAHLTPPLHFIRRKERNGAAQDGDYFEIEVKVCNGKLLHIVASAKGFYLVGKPHTVSRSLVDLLQQLSSGFANAYEALMKAFVDHNKFGNLPFGFRANTWLVPPVYVDSATKSPALPAEDEHWGGHGGGCGRDGKHDRRRWAREFSILARMPSKTEEERVIRDRKAFLLHNLFVDTAILRAASTIRRLVDTSPQTGPNGSLVLEERVGDLHITVKKDEADASLKLEDKVDGVAIYQTDAMDISQRNLLKGLTSDESVVAKDSSTLGVVIVKHCGYTATVKVSGRTKNSSDGKQTSDISDHLDEIPNIDVDDHPDGGSNALNAHSLRIPLPKIINPEIAASNQHLASKPHVDNYARKLACTVLETSLRKLENTPNKNPRRIRWELGSSWLQHLQKKDASVSEDSEKNAKKSEKDSSVRGLGKHFEQLRKINKKESTIEDAKTVKEESDSNCLLTNGTEKSENVAFTEIRNLITEDAFCRLKSLEAGLHQKSLEELTKMAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRAFKHVVRAVIAAVDDMPNMSTVIAETLNILLGSPRLENDLDTDANEHNLRLKWVESFLSKRYCWTLKDEFAHLRKPIILRGLCSKVGLELVARDYDMNSPNPFDKSDIVNIVPVCKHVVYSSIDSRNLLESSKMALDKGKLDDAVNYGAKALSKVIAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGDDDLRTQDAAAWLEYFDSKALEQQEAARRGIPKPDSSIASKGHLSVSDLLDYISPDQERKERDMQRKCRRAKNNTRAHQGESVEEKENFQHDSGSLLEAENKDFQEAKVEASPRVVAVVAEETYAVHDELKQVDTLSPEEYSDEGWQAATLKGRSANVRKKSIRRRSSLTKLVVDRTEDGRTASAHRSGVQPQAKEHKEEAVNSPSQISFGNFIRSDKLNGDPIITEEKSSKGMSKSEWKVKPTGSKRPTSIASKLVSYKDVAVSPPGTVWKPILEQKETKEKDNEQDTDVAPSSEEGDRKLVDEEKEKSSDESGKEIVSTQPEGSSPPEPEKASDSNSDGSSSPGKKTSGSKLSASAPPFKPGSLLSVSHPYSTVAIYDASVVLQPIPSQAMEILPHAVDTRVPRGPRSTLYYRTGHSFQRKQGYTQSQSTILRGSTSPPTMNPHAAEFVPGKTAQQTDLAAGEQANSVTDPADQQLASHASGEVKVDVREADKAGQVEKTTPGKGKERAKDATRDSYKAELARQILLSFIVKSVHDSLGSTRPQPDRKPSGSDEASNEQSSNVTKTAVSPKEFDKQPKAAEAPKSEKDTEGFTVVSKRRKSKQHFVNPINGLYSQQSICTSVS >SECCE6Rv1G0446870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846535519:846555318:1 gene:SECCE6Rv1G0446870 transcript:SECCE6Rv1G0446870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGVSAVISKLSEVASAEATALLKVDGQIRTLRQRLGYLQAVVRGADQQRRGRASELLLLWVRETREVAFDMEDAVDEFHIKVETFQLKVKWGYAWYRSAVKLFNGLAMQIVARHVLSREITNINERIKEIECIKDTYNIGTTPSEKWSVSFIDDDTTWDIDDDGSGELRLDKFGSLKKSILDKEETISHRAVISIIGPSGAGKTRLARNLYNDLEIQEHFEVLAWIWLPPCIRFEQYVDMIYEKIRRQVDLKKNNESGSRSVCEGSGELKELLKEPKYLVVLDGVVDIGQWNSLLDLLPAGNPKSRVVVTSKLTTKEIKHADKKVAPTMLHALEMKQTTELFHKRVSWSPMPTEDGENTTGFEKRVHNISRGLPLAVVVLAGILRSKDYPSEWQDVLSEKLETSNGQPKAMRCLWSLAFDDLPHHLKSCFLYFATAPENMLLDPDRLVRLWMAEGFISPNKGRTLEEVGLGYLKELVCRGLVEVVEKDARGGIKMVALHSLLHSFAQSEAQECSFLDIHHHANILNPHVARRLAIHNFLDMYIDIPDQFPKLRSLFCDFLEDSVAGPVTEQDDGGDGQQVQQQSHWSKIAEWVLRACSGCSGSPPSGPTKLHQLSIIRRSRFLRVIDLHGLLLARLPDEIGSIIHLRYLGVRNCRLKGLPESISKLDNLQTLDVRKTKVTTVTDGLWEVSVLRHVLADTLRLTNGRPSARPMKNLQTLVGAEPDPDVAWKLDSCPLNIMIYLRTLAMSKVLEKHVYALSSALEKMEFLVRLSLSGSPLPASVFSNSSSRRLEVLTLDGRLDSSPASPFILPNLGKLTMWGSGNLMQDFVDQVSAMPNLSEMELLDGSYVGAEMVIREGGFPSLTKLTLANLEELDKLELQSGSAPYLAVLTKCGCTKMELIDSRGTQSPGNGTPLAIFNRRINKSAGNGDMPIIQETENQDITSRLVIADQPPRSTLVRMYRGD >SECCE2Rv1G0101180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:555732946:555737095:1 gene:SECCE2Rv1G0101180 transcript:SECCE2Rv1G0101180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFDSGSDGELLYPKQGGGGGGNGTGGRTAAAASSSGVGAREERPMVPPRVEKLPAGAEKARARGNAGMKELSDLRDANGNVLSAQTFTFRQLTAATRNFREECFIGEGGFGRVYKGRLDGGQVVAIKQLNRDGNQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGEQRLLVYEYMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGDDFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPGLQGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQIYDPNAIHASKKAGGDQRSRVSDSGRTLLKNDEAGSSGHKSDRDDSPREPPPGILNDRERMVAEAKMWGANLREKTRAAASAQGSLDSPTETG >SECCE1Rv1G0049050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:638859540:638860751:1 gene:SECCE1Rv1G0049050 transcript:SECCE1Rv1G0049050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMEQPWERSVKKPMAAAPTVDALGDDLLGEILRRLPDMASLASAALVCKGWGGVASDPAIFRRFLSLRTPLLVGVILTKRDRECTAHPSDLRFVRASATRNPKLASAAAHGDFYFRGHPEIEAINRWRLRVCDGGLLLLSCGRYSSTLAVYDPLERTAIFFAPPAGWHLVRYAIVADEVGPSFQVIATCPLHSHRFAVFSSGAGEWVVVNSLGYSWICADDGMAAGRFAYWRSNTKKNTMNENVEKIYVVDTKTMVSSVITAPFPVGESYCVADMAEHGGLCIVSSKEQSVLLWVRDINGGWVVKKEVSLLNQFGYLKRIRRDEWMKRLCILAMKVGYVYMEFWSIRKSESYILVLNLNTVKLQQIFRNDADERYRGAAFPFFLRLSPLPAADHDKELQDA >SECCE7Rv1G0482180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:302100068:302100628:-1 gene:SECCE7Rv1G0482180 transcript:SECCE7Rv1G0482180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSCFAPPAMLFRSFADAAIARALHFSLSAGCSPVPEPSIIGDLGACSAGATTAPPSSSGPVAMLPPAAPSARCRLGPAGGRAGKRRPRPSKRVPTTYISADAATFRLMVQHVTGAEAEPHVGADADAGLGVLLSPFDFDHLLPSDPAAAAAQVAAYALPAAAAAAEQPCFPTLDSWNVIYGKN >SECCE7Rv1G0462810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:48829443:48830724:-1 gene:SECCE7Rv1G0462810 transcript:SECCE7Rv1G0462810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAVASPAAARPQPRRRCLAAGSSCQRRTSQLHTSFSGVTVQLQKPLRPATSSRRSSSVVAMAMTSRPSIQFIQGTDEQTIPDVRLTKSRDGSNGVAIFTFDQPSVFDSSAELGDITGFYMIDEEGTLSSVDVSAKFVNGKPAAVEAKYVMRTPRDWDRFMRFMERYSQANGLQFLKK >SECCE3Rv1G0206930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:913371585:913374347:-1 gene:SECCE3Rv1G0206930 transcript:SECCE3Rv1G0206930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKKPRLLCLAVVAVAVAAVLLTASAKKSADVTQLQIGVKYKPESCTLQAHKGDKIKVHYRGTLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLRIPAKMGYGERGSPPKIPGGATLVFDTELIAVNGKTSAGATAESDDSEL >SECCEUnv1G0531850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17911636:17912637:1 gene:SECCEUnv1G0531850 transcript:SECCEUnv1G0531850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRFVTGMLLAAIGCVLSVAADNPTTAFPQPFLWQKAHATFYGGADASDTMGGACGYGNLFSEGYGTRTAALSTVLFNNGAACGQCYKIACDRKRADPLFCKPGVTVTVTATNFCPPNEALPNDNGGWCNPPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCVKRGGVRFRINGHDYFNLVLVSNVAAAGSINSMDVKSFDSEEWMPMARNWGANWHSLANLTGKMLSFRLTNTDGHMLVFNDVVPKGWTFGQSFVSKLQF >SECCE3Rv1G0153300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:53046409:53046795:1 gene:SECCE3Rv1G0153300 transcript:SECCE3Rv1G0153300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCIINGYMVPAGTRVVVNAWVIGRDPSSWEYAEEFIPERFIYEGSTMHVNFKGNDFQFLPFGAGRRMCPGMNLGIANVELMLANLVCHFDWELPLGLETKDIDMTEVFGLTVRRKEKLLLIPKSRT >SECCE5Rv1G0372050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851134954:851136024:-1 gene:SECCE5Rv1G0372050 transcript:SECCE5Rv1G0372050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSSSSAIKPIAETSSRCLNECVTAAHNFEVTRYSLLEGMGVNKFISSSNFSVGGYDWNIRIYPDGWKKEDQAAYMSVCLNICSKPTTGVKVKYTFSLLEKDGKVSCLCSDTQTFQSSFWGWPKYIEKSKLKELLSRNDDCFTLRCVLTVMKEPRTEHVSTVMVQVPHSDLHTHFVNMLKDGEGMDVTFSVGGQQFSAHRCVLAARSSVFKAELFGQMKETTMKCIKIDDMEPGIFEALLHFIYTDSLPSNCDLDQNVELQHLLVAADRYGLERLKVICEGKLCQKIDVQTVATTLALAEQHRAAQLKNACLRYLSSQEVLRAVKETDGFKHLTASCPGIMMDILEKVVPPSGV >SECCE7Rv1G0521850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874977332:874977970:1 gene:SECCE7Rv1G0521850 transcript:SECCE7Rv1G0521850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNALLKLWELYSDSRSDRRKDNLDNALTIHHLTQEKNNLEANYDKLVEDVHQLFNAQEDRMIDFSYLQSKMKNSEVSSSVVSDMRTEIEKKDAEIFKLQEKYEVLMNITKAQGTVIQNLKLNHLKEKEKLIQEHQSDAMKMLQLRVDELTKSNEKLIQENHQIELHIGDLKKGHQKLFVRRDQLKLQIAELLKVEEKNKQKLKGIQAILTD >SECCE7Rv1G0503780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:715870172:715871158:1 gene:SECCE7Rv1G0503780 transcript:SECCE7Rv1G0503780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLADEFFLGIDEDGPGSLGEPSHLSFSDAFEEEHHFTHSDISPDFDIESQTLTPAPGSPFSFDSDHDLDLDLSLGLGRLSPSFLRMRSLSPPRSPPFWDCLEEDLADDLADGLEWEEIADADDAGDASVPGGGGGGGAGGGGGGGDPHDDVFGFLSEGEILGVMEGIDSGEDESMFSDEPPFDFGDEGPELDDIFRTVGWEVLPVPLDEDEFEVLPGHMADVTVGGAPPAARAAVERLQVVAISGEEAAQGCAVCKDGIVQGELATRLPCAHFYHGACIGPWLAIRNSCPVCRYELPTDDPDYEQRRARRRSAGGSTAQLGTPMQM >SECCE3Rv1G0164300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:161737005:161740931:1 gene:SECCE3Rv1G0164300 transcript:SECCE3Rv1G0164300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase-like protein [Source:Projected from Arabidopsis thaliana (AT5G20080) UniProtKB/Swiss-Prot;Acc:P83291] MASLLMRRLAGTYRSRAPLVAAAVGGAALFYASSNPTIAHMDEKGEDASAKVALNPEKWLEFKLQDKATVSHDSQLFRFSFDPSTKLGLDVASCLVTRAPIGQEVEGRRKYVIRPYTPISDPDSKGYFDLLIKVYPEGKMSQHFANLKPGDVLEVKGPIEKLRYSPNMKRQIGMIAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKKELDRLASSYPNFKVFYTVDKPSNDWRGGVGYISKEMVLKGLPGPGEDSLILVCGPPGMMNHISGDKAKDYSQGEVTGLLKDLGYTADMVYKF >SECCE3Rv1G0144190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3285359:3286174:1 gene:SECCE3Rv1G0144190 transcript:SECCE3Rv1G0144190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFFLFTAILALVSWQAVATDPGPLQDFCVADNSSRVLVNAFVCKDPKAVTAEDFFLAAKLDMPRDTKMSKVGSNVTLINVMKIAGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPENKLFSKELKKGDVFVFPQGLIHFQLNPNPYKPAVAIAALSSHNPGAITIANAVFGSKPMISDDVLAKAFQVEKKTVDWLQAQFWADNHN >SECCE3Rv1G0188320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:712192163:712193138:1 gene:SECCE3Rv1G0188320 transcript:SECCE3Rv1G0188320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGDSSGGDKYRSHLAGEGEKNTVWRHGAPPTYDAVNSLFEAGRTQEWAKGSLEETVQNAIKTWEMELSHKARMEDFKSVSPGRFTLSVNGGRALTGEETLAMGSYNALLASPILPVTGAYDAAAETFESSHDLFRSAFPRGFAWEVVKVYSGPPVIAFKFRHWGHMEGPYKGHAPTGDKVEFYGVAVLKVDEQLRAEDVEVFYDPGELLAGLIKGPKEEDEEAAAAALAGRLREAATVSASGADAQPQACPFLGSGKQG >SECCEUnv1G0533470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:30726767:30728626:-1 gene:SECCEUnv1G0533470 transcript:SECCEUnv1G0533470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYIFQSLTSIAWVCWAFPKSVTAQQLGSGMKGLGLGAFTLDWATVASYLASPLVTPFFAIANIFVGYVFFVWVLVPTAYWGTNLYHAKTFPLFSTQLFMSNGTDYDIASIVNKQFQLDIGAYTELGRVNISTFFALAYGLSFATIAATISHVGLFYGKEIYQRFKVSRREDPDVHTRLMRTYEDIPAWWFYLLTVLSMAVALILCTVLIDQVQLPWWGLLFACGISFVFTLPISIITATTNQSPGLNVITEYAMGLIRPGYPIANVCFKVYGYMSMSQAVAFLSDFKLGHYMKIPPKSMFVVQFVGTIVAGTINLSVAWWLLGSVENICHVELLSANSPWTCPNDRVFFDASVIWGLVGPWRIFGPLGNYAAINYFFLIGAASPFVVYIFHRIFPDQKWILLVNLPVLINATASMPPATAVNYNSWLLIGTIFNFFVFRYRKRWWQRYNYILSAALDAGVAFMAVLLYFSLTMQNRSMDWWGTAGEHCPLAICPTAKGVDLGPDSVCPVF >SECCE3Rv1G0188940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:720069223:720069462:-1 gene:SECCE3Rv1G0188940 transcript:SECCE3Rv1G0188940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSAISGGAARAAAVAWWLQRHQRLPPPADLLPRSLLDRAVELASKTAATAAPDLDPDLPCFVVPSSLPDLCDGLVGN >SECCE5Rv1G0339730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:610292495:610293331:-1 gene:SECCE5Rv1G0339730 transcript:SECCE5Rv1G0339730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELLSPCSSFFPVSPPSGQFEHHHHQFIEFASCEVPEQWLLGDVVVPAKSEDAADIWPAGSLLSPDSELSELPAASLPASTESTPRPAAKRRGRKPGPRPEGPTVSHVEAERQRRDKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRARVARLEEEGKQAAAARWDTTSASLGGGASFQNFLAGDETVEVRKVGRDAAALRVTTAAGSAPYAPARLMSALRSLELQVQHACVSRVQGATVQDVVVDVPAALQHDDGAALRSALLQRLRDSA >SECCE5Rv1G0335320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:572600750:572609196:-1 gene:SECCE5Rv1G0335320 transcript:SECCE5Rv1G0335320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLRLNQAMSMQRDALRQEIQAHNGPAGSTTIERKDSPCEQSGSSQGGEIQYPGLHLPEDIWCRIHSLLPMKDGARAACVSRPFLRSWRGRPNLTFSLKALGMNKTMCGDAELARNFTSKVDHILNNRSCTGVKTLEIVLHSYDSKLYNVDSWLQTAVTPGIEELTVQLSAESGINYLSYKFPWSLLAYGSGNSIRNLNLSWCVLSPTVGLGLRSMTGLDLCYVRITDEQLGCLLHNCSALFQMQLSHCSPLTFLKIPFHLQQLRHLEVLDCTSLKVIEREAPNLSNFHFSRYCLIQISLGVALELKKLTMSCPGAVCYARADLPSSLPNIEALSLSSVNEKVNTPMLPSKFLHLKYLNIDLAAMTFSPAYDYCSLISFLDASPSLETFILNVLQTNMEHESVVGDTSNLRQMPGYHHENLKTAEIIGFSSAKSLVELTCHIIENTASLECLTLDTTRGHNPWGRCSSNKSGMCSPMRMYMPGARKGLLAIKTYIEPKIPSRIKLTVVEPCDRCHDLELAGRW >SECCE5Rv1G0364960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803621447:803621860:1 gene:SECCE5Rv1G0364960 transcript:SECCE5Rv1G0364960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMKQYRDQADAPSSLSLSLSLGVVADRSKKMRRGGADGEFVCKTCGRAFASFQALGGHRTSHLRGRNGLALALTAGSTVPATKMTTDQKQAHQCHVCGLEFAMGQALGGHMRRHREQEAATTAQAPPVLLQLFV >SECCE4Rv1G0218790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:24477417:24478703:-1 gene:SECCE4Rv1G0218790 transcript:SECCE4Rv1G0218790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVTKSSPPVLVVPSEPTPAGNIPLASADKSRLCLSFTSLHVFDIPIHEPAETVRRALSSALVHYYPIAGRLAVNAGVDSSDVQLACTGDGVAFVAAAACCTLEEARFLHAPLAIPLADLALRYGGRCQMPDPLLMAQVTEFGCGGYVLAVTWNHAVTDGFGIAQFLQAVGELARGVSPPSVVPVRYDGSLPEIPQLYAALGPYLKGRAHVDFAYTDVTIPWSFINRVKAEFSQQQQGGGQRSCSTFEVVTAAIWQCRTRAIRTADPGSPAPLVFTVNVRNHVGAKDGYYGNCVTSQLVMAESGAVAGGDVVEVVKLVRGGKEWIAATIKAGEAQVVLDEELIGALCGYGALILSSWGDIGLDAVDLGGGTPARVMANMEMTILPSCLTCLPCTSKCGGGDGVNVIAFCVSEEHADGFHAELARLR >SECCE2Rv1G0106530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:637009685:637017945:-1 gene:SECCE2Rv1G0106530 transcript:SECCE2Rv1G0106530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPTGGASASKKPKAKAKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKMIVLPEQTMASERAGMAVNKRGLTLRELLQQTVHYNPKVRRAAMNGIKDLVTKHPAELKLHKVAMIEKLQERICDSDKVVRDSLYSLLQSLVFPSLKEDNAMSTRSTLSLLMANVLNGMTHLSMDIQLMAFRFLELVVLNFPSSFPRYAEQAFNNFVAVLSNDRIHLQDKTKLNSVLAGLAHCLSLVARVTENDDASNRLVHNRPVGELWKPTLDEDNPGSGAFATSDVLMKLQNLIRILVNSIEVSASEICAKQANDAQSSEALLSALHCLHLICTTFIHEAKKSQMEFGRSNTQFGPDWLNSSVLVYLKKLWGVKRLFHEKGDDRFFVFNLKIAELFLCLSTCVDDTVFPAEELCQFVSSLFAKAKALRNKDLMETHLSPLITCIPGLIANCADDSKGYLLEAFTDAFRDSKVDCKLMLPYLDAVGEMLLPEKSRIWFTEIDLGLSEYRSAWIGELPGILLQSVDKAPSVTKVVLGLLLKIGQYFPTTEFGNLRPFIQLFGTKSSSGTVEVGPFVSLPHDCQELVISCLYYFSTLLPDAIEPLACCCLSGKLESLMLIRIIEVLQSTYKAGNLQITEQLSFLSLLMARFDISCGMSCTLEDAEKVSNWKTFKAVNHLILTYLSEMGDGSLVLELMWNNLSNEIVRKPSLHNMNGLFRIIVTLDAATSKLMNEDFIKLIAGYLTDAALDLSKTIEVGFQSDKTRLFQYFIKPCIIIFEQNDKVLCCALEMLKSFAEGDEHSFSSVSSLDYPRELPQRVCVVITILVFLFNDRRLHPNLSLSKTPIKGILHYIRHQLDSNVPDVTYGQKQKLKCAFEQLKTKALQLNCWDRNELEGLSSTT >SECCE2Rv1G0077890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:102761538:102764334:-1 gene:SECCE2Rv1G0077890 transcript:SECCE2Rv1G0077890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLIPTLPILFRSPSSSTVATGGNYSSRLPLRASPRPCLRHVQASRESKATPSSSIEGYEDAAPKTLSSYGPSLWGDYFLNYEPKPLQRSEKWMSARADKLKEDVDVLFKSCNCTVERITLLDTVQRLGIDHHFKKHVNTTLSQTFEGEFSSSSLHHVALRFGLLREHGLWVSSDVFDKFKNEDGSFREDITSDPRGLLCLYNAAHLLVHGETSLEEAISFARHHLELMRDTLKSPLAEQVKRALHMPLSRTFKRVETLRYISEYKHEEGHNPTLLELAKLDFNLLQHVHLKELKYLTKWWHDLYRYVGLNYARDRLVEGYIWCYAVYHEKDFALSRIFLTKQLMLISLMDDTYDAHATIEDCRLLNAAIQRWDESATSLLPNYLHRFYIELLKTFKEAKCEVAIRDSYHVAYARKAFQDLSANYLQEAEWLHQNHRPSFKDHMSLSAMSIGSPTICVGLMVGMGDLVTKESFEWVAGYPNVAIACGTIARLMDDIAAFKGGKAKGDMATSIECYMVEHRVTSVIAISKILSLLEDEWRNLNKALFQHHAQLPVVQRIINFANSMSIFYAEKDAYTFNIHLKDTVESLLVETFPM >SECCE6Rv1G0383810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:57473037:57478479:1 gene:SECCE6Rv1G0383810 transcript:SECCE6Rv1G0383810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAAAAPVVMVTNDDGIDAAGLRFLVDQLVAQGRYRVLVCAPDTDKSGVSHCITWRSALRCKRVNINGATAFGVSGNPADCASLGISGKLFDGLVPDLVLSGINIGNNCGLHVVYSGTVAGAREAFIYGIPALAMSYNWVAGQSNVNDLKVAAEVCIPLINAVMVEIKSGTYPKESFLNIDVPSDAAHHKGYKITKQGKYMARIGWEQTVYQKPSVESYQTASMDIDGEKDSDIGTSSANDLLFKRVIVKRSYDEEEGEDMDYKSLADGYITVTPLGALSRAEADVIPYYKACLSRI >SECCE4Rv1G0276260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797427058:797428031:1 gene:SECCE4Rv1G0276260 transcript:SECCE4Rv1G0276260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAGSDLLRPDPAATGLAIVIMGVSGCGKSTVAAMLADALGCSFVEADDYHSHANKEKMSNGVPLTDEDRLPWLESLRDAIRDRLGRGEDVAVSCSALRLKYREVLRQGDGAYKPGSYGTCRVKFVCLEASAEVIAERVRRRAAEGGHFMPASLVRSQLDLLEIDAAEGITVVDATVPAHAIVQATVAQFRDELASTAC >SECCE4Rv1G0232030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193289895:193291658:-1 gene:SECCE4Rv1G0232030 transcript:SECCE4Rv1G0232030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPVFPVFPDLPLMADDDSNCFAVQDHLALPYITQILMEEEDDVDEDSPALLKAQRPFAQILSSSTHASTLMADQGGALAIDVHSPSSPIFKFKGVDEVRSLLLLPDGDYSTHMFSTAFLRGMEEANKFLPTNCELTATGGHDQPKEKSGRGRKDRHGEVEADASRTSRLVEAGAGEVFDQMLIAMGNETGNSKKKGRKSKARVVDLHTLLIHCAKAVMDDRRSAGELLKEIKQHASPTGDATQRLAYWFAEGLEARLTGTGSQVYGLLTAECTSNVRHMEAYQLFMSTCCFRKVAFLFANKAIFNAAVGRSRLHIVDYGLHCGFQWPELLRWLSSRDGGPPEVRITHVELPQTGVYPGKHMEQIGNWLTDIAHELGVPFKYRAIMAQWQTICVEDLDMEPDEALAVNDQFNFRTLMDESVVIASPNPRDAVLGNISKMKPDVFVQSTVNGSYGTFLSRFREALFYHSALFDMLDATMPRESKLRLALERDVFGWMVLNAVAYEGEDRVERGETYKHWQIRNQRAGLRQLPLNRETVKMARDMVMGDYHKDFDIDEDHQWLLQGWKGRILYAHSTWVAEGASSHC >SECCE7Rv1G0455480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:7701093:7703026:-1 gene:SECCE7Rv1G0455480 transcript:SECCE7Rv1G0455480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKRMAIRHGSCYLRSVHEEGNGVVVQLGGDGGANDPRCRFYLEASKEHDHDAGLMHVVCCHNNKYWVPQQLRGHDGWIIGTADEPEEDLSKQSCTLFNLHILPAATQHEERSIRFLHCMLGNCVAVSPSSDTPSRGPYLHVEHEEADVVDAFTFLDLSQHKQLPKYLAFKGDNGKYLGVQSIVDGITAAYYGGQGAFTREYLQFASEDMVGDPRVVHTSFTNNDGTVRIKSNHADKFWRLGTSWIWADSDDRNHEDTLFQVIADDDSDEAGANFIILRSQGNNKICKRLPTDGATFFSKKDCLSASDDSITQSSRLQVKEPVLSRLIYDFEYHLHEARVYTKEVSEVYTGTYDNETDSIHTAKFTFNHQHTMTKTWSNSISIKVAGKTTFKAPVPCIVDGKIELSAEASYSHAWGGTETETRTRMTDYTAPVPAHTKLTVRAVASKGACDVPYSYKQKDVLFGGEVVIHEFQDGIFSGVSTDDLKVEVRGEKLECRDVVADAS >SECCE6Rv1G0387860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:124581926:124584540:1 gene:SECCE6Rv1G0387860 transcript:SECCE6Rv1G0387860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLRARPSASRLRRCLLSALAADGTTPPPRSGVVYGFGDNSHGAVGQPAPAADVYVPTPVPSLPPSVDAIAAGHYHSLAVSSAGEVWAWGRNEEGQLGRGLQALRNTWSNPEQVRGLENVQVRAVSASGVVSAAIGCDGSLWVWGRSKRGQLGLGKDIVEATMPSRVEALAGYDVVKVSFGWGHAMALTKDGGLFGWGFSENGRLGDMGQSTEAPSPKEYVGKTRDKYSSSMLEAVEKMVADKIRSEDNMPIIWEPSLVHEVTHLDVSDVSCGLDHSLILCSNGTVLSGGDNTYGQLGRKSGWAKLLPVDISYIPFSVSASAGHSLALCHISTKGTDNVKTGVLSWGWNCSSQLGRPGQEDAPALVNYLVGENPITAAAGRVHSIVLMSNGEVWAWGSGRNGRLGLGSSMDEAEPCLIDTLEGVEVLQVATGMDHNLILVKE >SECCE5Rv1G0343320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637683467:637688225:1 gene:SECCE5Rv1G0343320 transcript:SECCE5Rv1G0343320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAALILAVAAALLAGAAEASKWTLTKKGSVVTYDKYSLLIDGRRELFFSGAIHYPRSPPEMWPKLLKIAKEGGLNTIETYVFWNAHEPEPGKYDFEGRNDMIKFLKLVQSLGMYAVVRIGPFIQGEWNHGGLPYWLREIPHIIFRANNEPYKKEMEKFVRFIVQKLKDENMFASQGGHVILAQIENEYGNIKKDHIVEGDKYLEWAAQMAISTNTGVPWIMCKQSTAPGEVIPTCNGRHCGDTWTMKDQNKPRLWTENWTAQFRAFGNQLALRSAEDIAYSVLRFVAKGGTLVNYYMYYGGTNFGRTGASYVLTGYYDEGPIDEYGMPKAPKYGHLRDLHNLIQSYSRAFLEGKQSFELLGHGYEAHNFEIPEEKLCLAFISNNNTGEDGTVNFRGDKYYIPSRSVSILADCKHVVYNTKRVFVQHSERSFHIADKPNKNNVWEMYSEPIPRYKQTNIRNKEPLEQYNLTKDESDYLWYTTSFRLEADDLPFRGDIRPVVQVRSTGHALVGFVNDAFAGNGHGSKKEKGFMFQTPISLRIGVNHLALLSGSMGMKDSGGELVEVKGGIQDCTIQGLNTGTLDLQVNGWGHKAKLEGEVNKIYTEKGMGAVKWVPVTSGRAVTWYRRYFDEPEGEDPVVLDMTSMGKGMIFVNGEGMGRYWTSYRTADNVPSQAVYHIPRPFLKSKNNLLVVFEEELGKPEGILIQTVRRDDICVLISEHNPAQIKPWDNDGGQIKLIAEDHNTRGFLTCPPKKTIQEIVFASFGNPEGACGNFTLGTCHAPKVRDVVAKECLGKKACVLPVLHTVYGADINCPMTTATLAVQVRCHKKKGGPE >SECCE6Rv1G0430080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739697829:739698299:-1 gene:SECCE6Rv1G0430080 transcript:SECCE6Rv1G0430080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHLVHVKLLAADLLTLTPRHTSPPSFVRCGRTVARAEVVGVVVSRDRRQKFLRFLIDDGTGCVPCVLWLNHQYLNANSSSDSDPTGEMALKMSEVVRLGTLLRVRGRIVMYRGAIQIAARDVVLEEDPNVEVLHWLQCVHMAKECYDLPLPSA >SECCE6Rv1G0422520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:690045992:690047638:1 gene:SECCE6Rv1G0422520 transcript:SECCE6Rv1G0422520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPIQTIICLVCWSFGLFPFCASSGSRLLPDKPLSAGSTITSNDGTFALGFFSPSSSGKKHYYIGIWYKNIPKDNVVWVANRAMPIADPSSATLAFTNGSNLALSDTNGQLLWMTNISTAGNSSSEATGGEATLDNNGNFILRSSQGIILWQSFDYPTDTLLPGMNLRITHKTHALQRLVSWRNTQDPSPGNFSYGAHPDEFLRRFSWNGSTPYWRSPAWNNYLLVGRYVESVKSTIHFTLNTIDDEVYISFGLPEPSVSLVLMKMDYSGKIKLRAWNSNMSKWTDLRSEPNQECNKFGYCGPFGYCDNTQPIVTCKCIDGFEPNNKQDWTAHRFSQGCHRMEALKCGQGDRFLNFPTMKVPDESLHVKNISLDECIEECTSNCSCTAYAYANTSTKDINGDETRCLLWIGDLIDTEKLIGEGQNLYILLNFGR >SECCE7Rv1G0493240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:565883269:565886021:-1 gene:SECCE7Rv1G0493240 transcript:SECCE7Rv1G0493240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLRQLLVAVSAFLAMAAAQETGAAPMVPALFVFGDSLLDNGNNNNLASLAKANYLPYGIDFAAGPTGRFCNGYTIVDELAELLGLPLVPAYSQASGGSDQQLLQGVNYASAAAGILDESGGNFVGRIPFNQQIQNFESTVGQIAGSAAASIVARSIVFVGLGSNDYLNNYIMPNYETRRHYTPQQFADLLVTQYASQLTRLYKAGARRFVVAGVGSMGCIPTILARSVDGRCSEEVDQLVVPFNAAVRGMLDGLNAGLPGATFTYVDNYRLFKLMLAHPASYGFDVVDRGCCGIGRNGGQMTCLPFMPPCADRDRYLFWDAYHPTAAVNVIIARQAFDGASDVVFPVNVRRLAQL >SECCE3Rv1G0149850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:29453401:29456169:1 gene:SECCE3Rv1G0149850 transcript:SECCE3Rv1G0149850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGVIWLLILKLGDALANEAAVLGKSFLVEASALQGLFGEIRKMKEELESMQAFFRTVERFKDADETTVAFVKQIRGLAFNIEDVIDEFTFKLGEDREGMFLFKAIRRVRQIKTWYRLANNLRDIKANLKSAAERRRRYDLKGVERDTKLTRTGSSNRRPAESVHFKRADDLVGIAENRDLLKKWMKDEEQRHMIITVWGMGGVGKTTLVAHVYNAIKTDFDTCAWITVSHSYEANDLLRQIVEEFRKNDRKKEFPKDVDVTDYRSLVETIRRYLEKKRYVLVLDDVWSVNVWFDSKDAFFGGKLGRIIFTSRIYEVALLASEAQMINLQPLQNHYAWDLFCKEAFWKNESRDCPAELQYWANKFVEKCNGLPIAIVCIGRLLSFKSATFLEWENVYKTLELQFTNNCILDMNIILKVSLEDLPHNMKNCFLYCCMFPENHVMQRKWLVRLWVAEGFIEASEHKTLEEVAEDYLTELINRCLLVEVKRNESGYVDDFQMHDILRVLALSKAREENFCIVLDYSRTNLIGKARRLSIQRGDIAHLAESVPHLRSLLVFQNSLTFGSLRSFSRSVQLMSVLNLQDSSIESLPNEVFDLFNLRYLGLRRTKIANISRLIGRLQNLLVLDAWKSKITNLPVEITRLSKLTHLIVTVKPQVPAMQFVPSIGVPAPTGMWSLANLQTLLLVESSSEMVHYLGALVLLRSFRISRVQGRHCEKLFKAITNMVHLTRLGIHADDDQEVLQLDALSPPPLLQKLFLLGTLAKESLPRFFLSISKLKSLTILWLVWSKLEEDMFCYLEELQQLVKLQLYDAFDGTRMHFRATSFQKLRVLKIWGAPHLSQMTIRSGAMPSLVDLKLLLCPQLKSLPRGIEHVATLEELTLDSTAEELVDRVRRKKEDNISHVQRVYVGFVRNRELAAERIQ >SECCE1Rv1G0011770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:82320324:82322430:-1 gene:SECCE1Rv1G0011770 transcript:SECCE1Rv1G0011770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSSLLCAASLPLTLLTSYALQPLADARRGLPPGPRPLPVLGNLLDIGEHPHRSFASLADIHGPLMFIRLGTVPAVVATSPEAAREVLQKKNASLAARRGLDAWRVMDHDANSMVALPPRGKWRAFRQHSRAALLGPRPLDEHRAVREEEVRELVRRVSAAGGAPVDVAREAFVAMVNVLCLGMFSEKLDPAVVSELADVAEEAAVLSGLPNVSDFFPALAALDLQGVRRKAGKLLTWLYTLIDEQIERRKLSRADGDALRNDLLDVLLDMEGDVQDEDGWVMNQESIRGLFMELLLGATSVPTTIEWAMSELLQNPPIMHILQAELRNVLGSRPSTQMEESDTSSLPYLQAVIKETLRLHPPVPFATGLAEEAVEIQGYNIPKGTAALVNIWGICRNAELWDEPNMFLPERFLHREIEFFGADFELIPFSAGKRICPGLQLSSKMVPLILGSMLYHFDWTLPGEEDGAPVDMTEQFGLVLSMAVPLRVIPKKIL >SECCE1Rv1G0023870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:315142212:315145856:1 gene:SECCE1Rv1G0023870 transcript:SECCE1Rv1G0023870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLPQILATILVAYLTLALGVKGSTHQMSEEEDFQEIIKQHVKRTVSTKFGEVFDCVDIDHQPSLHHPLLKNHSLQMKPSSYPKGFHLKSSSSDNTTESQLPMVACPVGTVPILQKTNKVGQIPLWSNSLAAGGEIAGIKTEGDIYGARVTLNIYEPQVKGHGDFSSQVLTLMHGEDGPLEAIAVGSMVSQIFGDSFARFHIIWLGNNQKSCMDFHCQGFVQTVPHIGVGARISPVSTYNGKQVDLQLMLFQDPKKKHWWLFYDTKPIGYWPNLYFTKLRVKANIVEFGGLVNGPTIHQDPPQMGSGHFAAEGNGKAAYARDVKIVDSSYKLVDLNFDKSFAYSTKPPCYTVDSFDHNSDGAHVYYGGPGGCHPSMQ >SECCE7Rv1G0474020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:166080564:166081565:1 gene:SECCE7Rv1G0474020 transcript:SECCE7Rv1G0474020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIPEPEVPKPTPEPSSWLSLRVFYLRLSRCELDETMLDSLTITHAPLTSDTILEVNGDRPRSTDHNGLVSCPLRRDRVDAASREASFVSTATVRMSGSVRFEVRVGGGERLLVGIMELCDVAEEKKGWVMKCQVAMQRCSGFLKGGGEDAKSPMVEVYVASLFRGSPIVFTKAMKLRLRRTRQVKAPFMEPIPECGEHADDDAKETPPDQKHDPQESEYRGYKPEPGMDDVDYDSLYTRSAGLEGEDSELSWFTAGVRVGVGISLGIFLGVGIGAGLLARSYQSTSRSMKRRLISGLL >SECCE6Rv1G0446410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:844091457:844093615:1 gene:SECCE6Rv1G0446410 transcript:SECCE6Rv1G0446410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHPLSDEFYGGPRGGGLGSALLLSPERTPPSSPPPPASCCSPVDDGHDFLQHQVSRMDTLAGIAIKYGVEISDIKRANSLVTDSQMYAHKALLIPLPGRPMPSSVKLNGSSLRSKRAWAPNNQQNRDIVDSLDSVKSKQQQSSLAMSSLQSYYGLSSERGDDMDCSTEMSLYSKGSSQGIGSEISPNASPPPDRTQSTGRSNGVTKEKQDGSIRRRQKVEADTNDDLLSDSIKMIKSFLPRPVSSMRLSTDSSSPDSVAKSNGGSFLDGFKSVVRRSPSAPSFADSENGGVVSMWSSSKWTFNHESFTRPLLDGLPKPAPGRRMKAALD >SECCE7Rv1G0492330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:549130803:549131903:-1 gene:SECCE7Rv1G0492330 transcript:SECCE7Rv1G0492330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTISSCAYQAVQGAHRLKIRPFSHGTVGMDVNADGFIRSSTFRVGGFDWAILYYPEGYGDDSEGFISVFVEFMSKYGEAPAVVDIRLIDQVTGKPKSLLSSVFFEEDEEPFRFKSGSFAEATWGKERCIRREALEDSVYLRDDCLVIECVITVLSQLRVSETKPLCEIDVPPPNALQHFGKMLEDTSAADVTFNVEKETFVAHRAVLAARSPVFQKQFSEPMKEKKMSRITINSMEPVIFKALLHFIYTDSLPMMDDLNRAESNAVFQHLLAGADQYGLERLKLMCARTLVMNLNVENVASALWLADKYNCQKLKEACVNFMAPSDRVDAVVASQGYQLLKRGSPSPLADVWEKRSRARQSFL >SECCE6Rv1G0426540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:713096074:713109077:1 gene:SECCE6Rv1G0426540 transcript:SECCE6Rv1G0426540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLILPYKVGDAVETRSYNVGYRGAWFRCKITDMCIRSGHMECQVEYIDYPDERRKWNRLFKIPPKCRNQKASQNREIMLRPPFPQWCWENDIPEHGPQKDVVAVVSGPWKVGDLIDWWYTDCFWTGKIIELLDDDKLKIICPEIPLGEGGCWVADPKDLRPALDWSLEEGWSAPLSQENGKCWHTARVIAGNQDTLSSSSDEEDIEQSCDGNKVLQRCLNVSFGSPEQEIGKCLNGASDTPRELVDPDVKHPANTNGRYCMESQTDSEGEPQKCMNEEPGVSLEAICSKVQLAPNETGECCMNSQADCPTSPVANSGQSPQFITNEQSRPPSFKKLKTSSEPPDASLEVTDSKVQLAANETGECCMNSQADCPTSPVANSGQSPQFLTNGQSSPPTFKKLKTSSEHALVKPTGTLSEATMKLQELVNKIRRAQDLLQSVDYAPSSIKLEPWKFQENNPWPKRN >SECCE6Rv1G0391500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:224446204:224446596:-1 gene:SECCE6Rv1G0391500 transcript:SECCE6Rv1G0391500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPALMRQWPSPPLIPASTLLPVPATTQEDELLLAMAESDLEDKLNAIRKTHSNLVIIGKPTNDVKEEYDAEVEEDDVDNVDESDGDDFDQETG >SECCE5Rv1G0373740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861092294:861093812:-1 gene:SECCE5Rv1G0373740 transcript:SECCE5Rv1G0373740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSVQAMVEATGGADVPPRYLRPAEVVTGIVDGDGEAQIPIIDYRRLLLELDRRGEESARLHIACQDWGFFQLINHGVPADVVEGMKANIQQFFQLPAETKRRFAQERGQLEGYGQLFVVSEDQKLDWADMIYLYTQPPESRNIKFWPDQPVNFRSTLDRYSGAVKEIADSLLATMAENLGLKQEVIADRCVGGVQSVRMNYYPPCAQADKVVGFSPHSDADLLTLVLQVNHVQGLQIKRNGSWFPVRPVEGALIVNIGDIFEIFTNGRYRSIEHRAVVDPKEERLSAAAFHSPNIHAMIGPLKELTVDEDEAYKTVDHENFMRLFFATKLEGKSFLDRMKLK >SECCE5Rv1G0362160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:784194482:784195975:-1 gene:SECCE5Rv1G0362160 transcript:SECCE5Rv1G0362160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSAASYEKAKEVMAMATSVAASMMLVRSLANDLIPPEARSLLSYCLGGLQSRMAWRHTIVVEKTDGYYTNNVFYSVKTYLASRMEIDNATRDVQRLRLSSGGFGLDDDDDPEKLVLGMEEGEEMVDVYQGVEFRWSLHSHDLPGDSSSTLGRGGGKQYYELTFHKKNKEKAIKAYVPHILATAKEIRDKDRPLTIYMNDGSDWSPMDLNHPSTFDTLAMDRTLKRSVIDDLDKFIKRKAYFKKIGKAWKRGYLLHGPPGTGKSSLIAAMANHLRFDIYDLELTGVHSNSDLRKLLIGMTNQSVLVIEDIDCTIDLKQRGDDNNTSTKDENNKQVTFGEERIIVFTTNYKDRLDPALLRPGRMDMHVHMGYCTTEAFRILVNNYHSIDYHATYPEIEELMAEVDVTPAEVAETLMRSEEPDVALHALIDLLNSKKQLLAESAASSKEEEDCGDAKEEDEDEDCDDAKDSGDNDDKGDDET >SECCE5Rv1G0371590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:848001638:848001985:1 gene:SECCE5Rv1G0371590 transcript:SECCE5Rv1G0371590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGGAGEGNIDLNLSLQRASSPEWLGYFSCSYCDKKFYSSQALGGHQNAHKIERSVAKRTRELAYMRRQGQVGRREKDPTSNATGSSSHRRASPPEAARRDLVNEEIDLSLKL >SECCEUnv1G0537800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:70128367:70129803:1 gene:SECCEUnv1G0537800 transcript:SECCEUnv1G0537800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTVVLYPGAGVGHVGPMTELANIFLKHGYDVTMVLVEPPFKLSASGPTAIAASNPVISFHVLPSLLAPDIAASGKHPFLLMLQRLRYYNEQFESFLHAMDRKSLHSVVLDMFCIDATDVCVNLGVPVYTFFAGSASCLSALTQLPALIASRQTGLKELGDTALDFVGVPPMPASHLIKELLEHPEDEMCKAMMNIWKRNTETMGVLLNTFQSLESRAVNSLRGPLCVPKRILPPIYCVGPLVGKGAMDEDKAQRTECLEWLDAQPDRSVVFFCLGSKGTLSAEQLKEIAVGLERSGQRFLWSVRTPAGSDSPKKYMKAGLEPDLGVLLPPGFLERTKGRGLVVKSWVPQMDVLGHRATGAFVTHCGWNSVLEAIVAGVPMLCLPLEAEQKMNKVCMTEDMGVAVELEGYMIGFIKADEVEAKVRLVIQGMEGKQLRARLAALRDEAEAALEEGGSSQVAFAQFLLGVDNLGKQLGD >SECCE4Rv1G0270120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:752601344:752602489:-1 gene:SECCE4Rv1G0270120 transcript:SECCE4Rv1G0270120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPHSNGAAAAAAAAAGEENLSVPRSLAPPRRVALVTGITGQDGSYLTELLLSKGYEVHGLIRRSSNFNTQRLDHIYHDPHATPSAARPPMRLHYADLSDSSSLRRALDHVLPDEVYNLAAQSHVAVSFEVPDYTADVTATGALRLLEAVRLSAKAKPMRYYQAGSSEMFGSTPPPQSEATPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAIGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWLMLQQDKPDDYVVATEECHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPSEVDCLQGDASKSRKLLGWKPKVGFQQLVEMMVDNDIELAKKEKVLVDAGYRDPKQQP >SECCE2Rv1G0132100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888363742:888364046:-1 gene:SECCE2Rv1G0132100 transcript:SECCE2Rv1G0132100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAAQLKDRFFGLVDRVAGCGRAGVKAEGPKSAPAPAVQEHVEIRPRGPGVSGGSEAGVN >SECCE7Rv1G0512990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:817803744:817804517:1 gene:SECCE7Rv1G0512990 transcript:SECCE7Rv1G0512990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMEYASSTTTASSMFLPFLAMFAAIYLLGYFVVFRRWSPQQRPDASSCLTSLFHGTPATLLALRAVLSDSSPRAGDLALAAPNTPADDLVLDFSTAYFAVDLLHYLVFLPHEALFVAHHLATLYVFATCRAAVRRGACGLLALEVLAEATSLAQNLWTLAGIRRADSPLAARAHAALSLPFYVAYTAMRAVLGPVWFVRMVRFYAAGAGDGAVPTWAWASWSVVIGSGILLSVLWVGNLWFAYFRHRMASSKKEQ >SECCE5Rv1G0308610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:134844622:134847462:1 gene:SECCE5Rv1G0308610 transcript:SECCE5Rv1G0308610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGYVLGVLGGALLAHAAYATIQYRAVLKITEEEFSRPPMDVMVQLLLGLALCMWAGVSVPAKFLSVLPHSEENRIVSLPANLDFMIFNHRGRALPSDADLKLKT >SECCEUnv1G0557290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:321642298:321643518:-1 gene:SECCEUnv1G0557290 transcript:SECCEUnv1G0557290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSPLTEIPAHLLAEIFLRLPAPEDLARASATCVSFRRLATDGSFLRSFRRSHAPPLLGFLEYGRFRPALPPHPSAPAAHALAVAADFYFSFIDSYCHWTVQDSRDGRVLLDRDLRQHEQPPVFKDLAVCDPLHRRYVLLPPVPHDLAASLEHPFPMVSDAWCKAFFVPLGEEEVAAGETTFRVILVAHCKTSLAAFVFSSSTGQWQAAASKGWTDLALSEDDMAEMSWVHPFILRRHYAYGCFYWDWVDFGRKKLLLLDTRNLEFSIADLPPGEWSKKGGIAIVEVGEGRLGMFGFHDKTSSDLRYTVARNEGESPSKWKIEKTISLDSGYRYFIRDATQRYLLFTRIDASSSLTDRLTGYFSMDVKTLQIQRVYEKQNYRLHETYPYINFPPSLLSSRRI >SECCE6Rv1G0444020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826368438:826369679:-1 gene:SECCE6Rv1G0444020 transcript:SECCE6Rv1G0444020.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKKAALPLTDIPDHLLSEIFLRLPASEDLARASAVCVSFRRISTDGSFLRRFRRLHAAPLLGFLDRSGFHPVPPPHPSAPAARALDLAADFSFSFLPAPCHWAVQDTRDGRVLLDRDLGEEESPAAFRELAVCDPLRRRYVLLPPVPEHLTALLEHPVQMVRGVRSKTFLVPLGEEEAAAREAAFRVVLMAHCKTSLTAFVFSSSTGQWQAAASKAWSDLVSSRGDWAAMSQVNPFYLRRHYAYGCFYWDWPMIKSKKLLVLDIRTMEFSIADLPPGEWSNEGMAIVEAGEGRLGMFGFHGEIASTLSYTIAQNKGKSPSQWQVEKTISQDSGYIHSIKAATERYLLLTRIKASYLENRLLSLEKPRVEYLLMDVKTLQLQTLYEKQHYLMYDAYIYINFPPSLLSSRTV >SECCE6Rv1G0450010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:865583139:865599342:-1 gene:SECCE6Rv1G0450010 transcript:SECCE6Rv1G0450010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAALGRILHGHEKPKMLPLALLMDITKSFSFDQEIGRGGYATVYKGELPIGNVAVKRMSHLTKEAVFQGEVDILLNTIEHKNVVRFLGYCASTDQTAIKMEGSKKHTYADVPERLLCFEYISNGSLRNYITDELRGLEWDTRYGIIRGICEGLHHLHVENEIFHMDLKPENILLDNDMLPKITDFGLSILDGKSETISGDRHGTLGYIAPEYHFHGERTSKSDVYSLGVIIIELVTGEKRISNDKDNVLRRWRHRWTKSGKGTPLVYQQVAKCLEIGFCCQENDLSKRPSIQEIMHDITQMEGVNGITSNDCEYNFGQISPYSEDDMLGIEPVELHFPFQLNKRMTCVVQLINKTDYFIAFNVEHVTPRSYSAQPQEHIMPPRSKYTIKITVQPQGKAPRYRTHGFTVRSTKVNDGLAIEDITANMLSKEAMNVVDEVNLYMVFDAIETTPALRQIIDDADGKINCIDANQIEPWIIIGRQHGCVQIWNYDMQKVISSINVSASAAGVADVKFIARKEWFVAMTTDYCINVCKYEKKMENVRSFRGADNLGPGAWCSLAVHPTQPYVLSVLGAEIKLWDWDQAWNLKQTSEKASKRIYDVKFNPEDTNIIASASGDHSVKVWYLAPLSVKCTLSGHTSDVRSLDFFPHDGQQHLITGSKDKTAKIWDMEEEKCVQTLDHESAVYSVLSHPSLPVLITGTWDGAVRVWSSIDFRLRTKLQLGHGDWVAGFACLMGSGRVAVARKEGVSVMEICDEEEQGGSTDSKKISIPAAD >SECCE5Rv1G0305030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:64921551:64931192:-1 gene:SECCE5Rv1G0305030 transcript:SECCE5Rv1G0305030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MLAAAAVPPLPPLPRSCASWRRWIPSTPFSSREGPGWRRGTSGGAVHPAMARPPILSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPKFRGQVLNGNQLWDLIEGLEENELLHYTHLLTGYIGSVSFLNTVLQVVEKLRSVNPDLIYVCDPVLGDEGKLYVPQDLVSVYQEKVVPVASMLTPNQFEVELLTGLRITSEQDGLKACNTLHSAGPRKVIITSALIEDKLLLIGSYKRTEEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLEKAAELAVSSLQALLRRTVEDYKRAGFDPSSSSLEIRLIQSQDEIRNPQVTCNAVKYK >SECCE7Rv1G0492570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:553209778:553210056:-1 gene:SECCE7Rv1G0492570 transcript:SECCE7Rv1G0492570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRLTHHEGDEAAATTERTKRETAATRRRRRRRQGGGDAIAAIKRKMLRFSDAGERGRFKRSYLAGAGGDEQGDGASAVFFYLACIACSPA >SECCE1Rv1G0028090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:392967537:392971763:-1 gene:SECCE1Rv1G0028090 transcript:SECCE1Rv1G0028090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1A [Source:Projected from Arabidopsis thaliana (AT2G45240) UniProtKB/Swiss-Prot;Acc:Q9SLN5] MEKAASESSPLDCARCGKPASLQCPKCAQLKLPREAAAFCSQDCFKAAWASHKSVHTKVDALTSQLSQEGWKYCLKKGRTRTMELPRFDWTGPLRPFPISKMRLVPDGIEKPDWALDGIPKIEPDSDLQKRVEIKTPEQIERMRETCRIAREVLDAGARIIKPGITTDEIDRVIHEETIARGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNIDVTVYYKGVHGDLNDTYFVGNVDEASKQLVRCTYECLEKAIAIVKPGVRFREVGEVISRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRNKAVGIMKAGQTFTIEPMINTGLWRDRLWPDEWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVYPWLKPVSANSK >SECCE5Rv1G0346800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:663981450:663983039:-1 gene:SECCE5Rv1G0346800 transcript:SECCE5Rv1G0346800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRAKRVAIVGGGTSGLATCKHLLVRGFRPVVFEAGESVGGLWTRTLASTRLQSHTATYRYSDFPWPDSAGAHPRHDQVVDYLAAYSRRFGVDGCIRFRSRVVAAEYVGNAPEDALDRWERWAGNGEAFGDGSGAWRLTVRHRSQSETETQEVHDFDFLILCVGMFSGVPNIPAAFPGPEAFRGKVLHSMELSDMAHADAAALVKGKRIAVIGSRKSAFEIANDCAEVNGAGTPCTMVCRNPQWMLHRADIWGGVSLGYLYMNRFAELMVPRPGAGAASRVLAALLAPLAWAISAATGAWYRREIPMREYGMEPGHGFARCVASCLISKLPDGFYDRVKEGSVVLARSKSFGFRDDGLVLDDEKRVVPADVVIFATGFRGDEKLRNMFASPRVKDIIGASAPLYRECVHPRIPQMAVVGYAGGLSAIYVCEMEAKWVARLLDGAFRLPSVRRMEESCAEWGRYYARGSGGGGEEGQSLGPRLCAVHVWYNDELCRDMGCDPRRKKMKGQGLLAEWFQPYTVLDYADIQ >SECCE3Rv1G0202570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:875231070:875235687:1 gene:SECCE3Rv1G0202570 transcript:SECCE3Rv1G0202570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATFLHLLAPPGLRPKPVLRPRLRRLALSVSPSGPDEIPADDPPVLPSILVKNNEPEDVARRRSWVEHGWAPWEEAMSPEVAFARHSLNEGEEVPLTSPESVEAFRMLTPAYREKVESEPGYLERLFSMRETPEPLETTWAGRLPLRLVPPRDWPPPGWEVDQDELAFIREAHRDASERVDMEAAAAKGVTNVEKVEDAPQDLALERYKVFLKQYKEWVDTNRERLEEESYKFDQDYYPGRRKRGKEYREDMLELPFFYPGQICYGKVISIHLHQGAFVDIGGTHDGWVPIKGNDWYWIRHHVKPGMNVYVEILAKRDPYRFRFPLEMRLVHPNIDHLIFNRFDFPPIFHRKEDTNEEQLWREGGRPPIPRKKPLTDMETEPLVSDHPFVETLWEWHNAEQMILDHEDENPDKFKDVTYESTVDTSSFDEENRIQYTEGRVKETVLKKKVVNVNIKELDMKAARAEREEIKRLKVEAQERGEEYKIGKMRRNIEMDEYDLLQWRRSYEEREALLRDICCRKALGLPIEEPGRYDVDETVVYGKDYYDPSKPMYRYDYWGEPRNTEKVKLERDVERHNQQIIGDAKKWCETSYEDYVRRKTLREAAEARERRRRAAEPQEEEEYDDDMDLDFQKMTDPRAPHNRFYITK >SECCE1Rv1G0059280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704901579:704903627:-1 gene:SECCE1Rv1G0059280 transcript:SECCE1Rv1G0059280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGGAALQLHAAAAVLRTAAAAGKLSAGKAVHAHMLRASRFHVVLHNHLIAFYAKCGCVGLARRVFDAMPSRNPVSASLLMSGYASSGRHGDALALLRAADFDLNEYVLSTALSATAQVRSYDTGRQCHGHAVKSGLAEHHYVCNALLHMYCQCAHVEDAVKVFESVSGFDAFAFNSMINGFLDKGKFDGSVRVVRSMIGEVEQWDHVSYVAVLGHCASTKELLLGRQVHSQALKRRLELNVYVGSALVDMYGKCECASDAHSAFEVSPEKNVVSWTAVMTAYTQNELFEEALQLFLDLEMEGIRPNEFTYAVALNSCAGLAALKNGNALSASAVKTGHWGALSVGNALINMYAKSGSISDAWRVFLSMPWRDVVSWNSIIIGYAHHGLAREAMRVFHDMLSAEEAPSYVTFVGVLLACAQLGLVDEGLYYLNVMMKEIGIKPGREHYTCMVGLLCRAGRLDEAEQFILSNCIGTDVVAWKSLLGSCQVYKNYGLGHRVAEQILELKPNDVGTYVLLSNMYAKANRWDGVVKVRKLMRERGVRKEPGVSWIQVGSEVQVFTSDDKNHQWINQITIKLKELIDQIKVIGYAPNFAVVLHDVEDEQKEEHLMYHSEKMALAFGLIHSPEGATIRIMKNLRICDDCHVAMKLISLVTRRRIVVRDTVRFHCIEDGACSCDDYW >SECCE7Rv1G0455640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:7970850:7978966:1 gene:SECCE7Rv1G0455640 transcript:SECCE7Rv1G0455640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRACLLALVVALTFLFMEGRAVTAAPTTAGSLVQRRREVRSLLRRLNRSPVASIQSPDGDVIDCVHISKQPAFDHPLLKNHTIQMRPSYNPRGVHHDSNITPRAITQIWHQNGTCPENTIPIRRTKEEDVLRASSIRRFGKKMPRSIPHLNPTNDTNTPNVLRGHQHAVASAQYDKCYGTKSSFNLWKPWIARGNDFSLTQFWITGGSYNGNSLNTIEAGWQVYPNLYSDSNTRLFIYWTRDAYQTTGCYNLLCSGFIQTSNQITIGGSISPMSTYGGTQYDIDILVWKDRAGGNWWLQVGGYYVGYWPSSIFSYLADSASTIMWGGEVFSPDAGQTSTHMGSGHFPNEGFGKASHIKNIQVVDSSNCLNPPSDVGLITEQNNCYNVQSGTYGDWGTYIYYGGPGNNHNCP >SECCE4Rv1G0263500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:716988381:716991093:-1 gene:SECCE4Rv1G0263500 transcript:SECCE4Rv1G0263500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGALSPVLRKLGELLAGEYNLEKRVKKGVQSLRTELEMMHAVLHEVGKVPPDQLQEPVRIWAGKVRDLSCDMEDAVDDFLARVGEGSGSKPTDMRSRVNKFLKKTTTLFGKGKALHQISDAIKEAQDLAKELVELRKKYELDMRSTSNGATIDPRVLALQKDVGELVGIERTRDELVKTMICEDGSSEEQLRTISIVGVGGLGKTTLTKAVYEKIRAQFDCAAFVPVGQNPDMRKVFKDVLYGLDEEKFKDIHNTTRCEKLLIEQISKFLVDKRYLIVIDDIWEKDIWKYIDCALYKNELHSRVITTTRNVIVSEACLSSTNGTIHRMKPLSDEDSQILFHRRIFQSKENCPENLQIVSRDILKKCGGVPLAIITIASLLVSNQQVKPNHEWMDVYNSMGRGVTQTDIAKDMKRILSLSYYDLPPHLKPCLLYLSIFPEDFEIERDWLIWRWLAEGFIQHEKNETSLFEIGESYFNELMNRSLLQPAYINEEGSVVTFRIHDMVLDLICSLSSQENFISILDNAEWHAPNLQRKFRRLSLHNIKAKVQNHQFDSTRLSKVRTFAVFSPITCDWLPSLSSFQFLRVLDLGNCGSRKSSSGITLKYVANLIHLRYLGLKGADVCELPMDIGKLQLLQTLDIRRTSIKELPSSVVQLRNLICLCVSYEVRLPKGMGSLMSLEVLRVVGLSSSPHIVKELSHLTEVRTLRVDCDNMDEYLIDILIKSLGNLHKLQNLRIVDSGRLIDRMRESWVPPPNLCSFDSWGHSFHPAWFS >SECCE2Rv1G0129720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:870132887:870137526:1 gene:SECCE2Rv1G0129720 transcript:SECCE2Rv1G0129720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLTGAMGTLLPKLGHLLHEEYNLRNDVKKGIRDLKAELERMEAAMVKVSNVPLDQLDPQVKIWANEVRELSYAIEDNLDSSMVRIDGLEPNRSNTIKGFMKRTRDKLTKLKFHHRISNDIKDIEIQVRKVKERYDRYKVDDVVANLSTTKIDPRLSAMYNKVSDLIGIDKAMEELIERLSENSDVQEKKLETVAIVGFGGLGKTTLAKAVYDKLMKKFDCTSFVPVGQNPDMKKVLRDILLELDMQMCDAVSRMDERQLINQLRKFLANKRYLIVIDDIWDIQISETIKCALVDGNIRSRIIITTRLCAVAQHIGVVYNMKPLSDDNSTKLFYTRIFGAKGMSCHHQSPEISDRLLRKCGGVPLSIITIASLLVGKRREDWSKVYKCIGFGHEDNKVVENTRKILSFSYYDLPSYLKNCLLHLSVFREDQIIEKSQLIWMWIAEGFIPDKHGSRLYELGESYFNELINRSMIQLVQNQAGFLLDACCVHDMVLDLIRTLSSQVNFVTILDTKQNMISTPSRNISTIRRLALHKTSIEQNTNIEIGHVRSFMAIWSIETRLPSLLSFKVLRVLILECCHFSTGGYHLEHLGKLVQLRYLGLVGTYVAELPREVGHDLKFLQTLDVRGSGLGELPPSVVHLRKLLCLRATEATMMMGNIDKLTSLEELELYSVDKSPNFASGVGKMTNMRVLEIHFDSMDKNTETALVESLRNLGKIQTLEISCKEKRIVPFGVWEDCATPSELRKLLLSGMTLPRRPSWMKFSCVPHLSYLWFSVKVMEEVDMYTLGMLPLLRYLYLFVNEEEEEEKKEEHEEEGEEDNNYQSSSYVVGRDKFQMLRHLITSMEITCEEEEEEGGKNNYQSSSYVVGSDKFQMLRHLVTNIEIACEDGALPMLEELECCTSMGKYQDVGLVPQNMPSLKCVTYRLDCNGYSHEDVNEAEAALMHMAETHPKHPILKIVKFNYDFVRAYVVDGYPAEDGVRPTEHDIEIQITLLKSIGLKESPHVKLATRKYRQLQLRRLMTLVENSFHDSGPSKKQLLEGLMMSFDDGEVGEKMFGLPQEVWLDTYL >SECCE5Rv1G0343840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:641524375:641525928:1 gene:SECCE5Rv1G0343840 transcript:SECCE5Rv1G0343840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGEATATARSPSSPLHVVVFPWLAFGHIIPYMELSEHLARRGHAVTFVSAPRNLARLRPVPEDLRSRIRLLPLPMPAVDGLPDGAESTADVPPEKGDLLKAAFDGLAAPFAGFLAGACAGDGGEGEGATGFGKKPDWIFVDFAHHWLPPIAEQHKVPCALFSIFPASFIAFAGTKAANEARPRVTAQDLTAQPPWIPFPTPITHRLYEAEQMVYVFRPKASGLSDALRFWETERQCPLFILRSCREVDGALCPLIADLFGKPLALSGLLAPYDAARAAQEADDEESATLMRWLDEQPARSVLYVAFGSEAPLTADNVRELAAGLELSGARFLWALREASAPLLPGDGFQERVAGRGVVRAGWVPQVRVLAHGAVGAFLTHAGWSSLMESFLFGHPLVMLPLFADQGLTARVMAARGVGLEVPRDERDGSFGRADLASTVRRVMAREDEEGRALARNAREFQEMLCDRAKQEEYVDVLVEHMRRLP >SECCE5Rv1G0303590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:49739951:49741061:-1 gene:SECCE5Rv1G0303590 transcript:SECCE5Rv1G0303590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSSCHVVGILTSLFRRCYNRRCGYERIPPEEKEEIEKVQTQDECKSVLCEGCMKAMVECEEERDGEGPEGCADRLMARCRFQIRSGTTLAVWKDLHDASYMYTLAGSWRKAIQAYGELAAYSLRRGSELTAGSALLRAAKCYAEIQDKGAEDFAAMKLALEEALALFVKNGDLRLSATACSDLAEFHMEHHDFHNALVFYQRAAEYHGTTNRRANQFYSFRAQLVAYLLANEEALRASGVLPIHDYKRNSSAGYMKPSDPDWLLMLDQMLDTTSVTHD >SECCE6Rv1G0448060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:853338723:853340956:-1 gene:SECCE6Rv1G0448060 transcript:SECCE6Rv1G0448060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSMFGARPAGEPDPPEPEPEPSGRQLSDEDLLEELVATVGAAQAFQEFRRSQRKECFNLLRWLQLVLPLIQELREASPPRPLTDDAHRRLALLSRAFQAARRLLRCCHDGSKIFLSLESEAVQGRFRAVYEKINLALDGMPYSEIGISDEVKEQLELINTQLKRSKKRTDSQDMELAMDFMVVLQDKEDRSADRVILERLAKKLELQSLADLRAETMAIKKLINERNGQQPESTKQIIELLHKLKEVAGIDEKNILGEVHIPKYLEKCPSLMIPNDFLCPISLEIMTNPVIIASGRTYERRSIQKWLDAGQRTCPKTQQPLAHLSLAPNFALKNLILQWCENNKVEMQTRADEPPVEEEVSKEVLIPSLVKDLSSPNLDVQRKAVKKIRTLSKESPENRTLITDSGGIAALVGILQYPDKKIQDNAVTSLLNLSIDEANKVLIAKGNAIPLIIEVLKNGSVEGQENSAAALFSLSMVDENKVAIGALGGMPPLVELLKNGTIRGKKDASTAIFNLLLNHQNKLRAIEAGIVPVLLKILDNTKLGMVDEALSIFLLLGSNSACRGTIGTESFVETLVRIIKEGTPKNKECALSVILELGSNNNALMVHALGSGLHEHLKEIAKNGTSRAQRKANSLIQLAQKCES >SECCE2Rv1G0121280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:806848260:806848628:-1 gene:SECCE2Rv1G0121280 transcript:SECCE2Rv1G0121280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVATVADLGALISDACLDPEKLPGALISCGVAEAAAALCLIVISKPPGGLFLHHGKAPFVLYYGILVAVFIFGLLEASAGFWVSGDVVGRRAAGKTILWLGLLPIVLVAALGGVVILSMK >SECCE3Rv1G0192530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:759980257:759987871:1 gene:SECCE3Rv1G0192530 transcript:SECCE3Rv1G0192530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKRGYKFQEFVAHDAEVRSLAIGKKSSRVFITGGSDRNVNLWAIGKQTPLLSLSGHTSSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCLHTYKGHRGAIKSIRFTPDGRWIVTGGEDNIVKVWDLTAGKLLHDFKFHSGQIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEDTGVRSMVFHPDGKTLFCGLEQSLKVFSWEPVRCHDAVDMRWNNLADLSIYEGKLLGCSYHERRVGVWVADISLIEPYALGVLPKANFFAELVDSVDDNPVKPNGGTAKTIRAVATSHPKNMYKVQESGIAESRVRALHLTPGSTDKIKKDRSSSIPRRPDSSVKPSTPVRRMKPIDSPSTNLKTAERSFGQRDIQLLSRTGMAINSSTTKKAPPTESVAVKDIYTTSQAVSVPVVVPRDILEDKSAGSIHKGIGGRTAVPDDFYSPVHLRKRLPSGGTSDSVSSVKSMLAEPDVCSEGLSGLKFSFGLTPNDKKEESDGTDKGEIRQLAEKMDIIVSFDHPVQSNDDKAAYESPCSTTGTARVKYVRGVAVPLGKTKSLVERFEKRESSSIDCSSPTGSCGDHTVKADSPPTSSAEANRTYERDLPTVDEMTTPIDLVRNHDEFINVVKSRLTKLEMMRHVFNLNGIKGAIAAVAKLPDIAVQADVVSTLKGKLGLFNLDIFSSLLPVLAGLLNSKTERHAIVALEMLLDLIKIFGPVIHSTLSASSPVGVDLQAEQRLQRCTRCFNHLQKIVQALQPLMMRSGQSAQLAQELNMSLQDLVVF >SECCE4Rv1G0289290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:864734593:864735009:-1 gene:SECCE4Rv1G0289290 transcript:SECCE4Rv1G0289290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVATEKTPAGKKPAAEKRAKTASKEGGGEKKGKKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE1Rv1G0007510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:40623327:40638315:1 gene:SECCE1Rv1G0007510 transcript:SECCE1Rv1G0007510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEEQDHSEEEDDTSDGDAGALVTTGARFSCNDHESGGFEDSGDEIDCSSTPYHLMEKRSLQKSILLELELEHHLKIQEEVRSKLSSLEVCHQNEIQRTISAFARLQKYAESRKEIDRRLDVQFQRKIAEVLDKHLSMVQRDYEQKSQIVERRIRDDAAVEEAKKREQSMKDEKVKQERTRKEAEARQKATEAQKAGYEAAQNEALEKEAAILRADTVSTSSQISQNSVSHATRVNSIGVKSELPGIKVFADSIALEAESRRRSLHDQVPSNIYLSKEYSQYGRQIGKSIGKLTPTTDSVKARASDLIKALDGQDCPRPIACHLFADKMISIVKSRNTKDKTFGPLAFACGYVMLLVTNQVPDAMDYLLAEFHKVCMYTVPKHLHALNAQARNLDYYRLIGYQEEDEKSQSTESYLVNVVAYVKLYAAMIQTEIKGVRHPHGLAEGWRWLAMLLNTLPAITATAFALHAFLKMAGFALHKKYGSQFMKILDVISRRFIPALKEQGVKVQAEAISNLQNYVNDKVYLEEPEGRYLAQHLLSQVFM >SECCE1Rv1G0037740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:530632324:530632707:1 gene:SECCE1Rv1G0037740 transcript:SECCE1Rv1G0037740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPPPPPPPPLLVTPYGSRGGGDSSVSIDPYVPLIVTLIIITALMVASLVFANLCVKRWAFFNSSYGTQSFVKQELGMCTREGTIDVAYPVKKGVENAALEELVESDPPQNEEDDEDSSSEEGF >SECCE6Rv1G0425740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:709136947:709140866:1 gene:SECCE6Rv1G0425740 transcript:SECCE6Rv1G0425740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGATAIRVPYRHIRDAETELVRLNSTGSDAERPKEEQGGAASGEGGRKGAPKWRVVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCVLICAAVTLVGFSADLGYMLGDTTEHCSTYKGLRYRAAFIFIFGFWMLDLANNTVQGPARALLADLSGPEQCNSANAIFCSWMAVGNVLGFSAGASGNWHKWFPFLMTRACCEACGNLKAAFLIAVVFLLFCMTVTLYFAEEIPLEPKDAQRLSDSAPLLNGSRDDHDASSEQTNGGLSNGHADANHVSANSSAEDFTDAGSNSNKDDVEAFNDGPGAVLVKILTSMRHLPPGMYSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPKGNASERQAYDDGVREGAFGLLLNSVVLGIGSFLIDPLCRMIGARLVWAISNFIVFACMLATTILSWISYDLYSSKLQHIVGADKTVKTSALILFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVAPQIVVSLGAGPWDKLLGGGNVPAFALASVFSLAAGVLAVIKLPKLSNNYQSAGFHMG >SECCE6Rv1G0402680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:486971781:486972404:1 gene:SECCE6Rv1G0402680 transcript:SECCE6Rv1G0402680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSELLLPRLLLQVLLLLGHLHRFLLWAFHAVGLGDLIDLGGSAPTATPEEAQWHAHHGAAPSPSPSLQHRRPDFRALPPMAAVEEALPVLRFDELVASSPSVCGDGDCAVCLCGIDGGDEVRRLSNCRHVFHRGCIDRWMGHQQRTCPLCRAPLMPGDALWADLPDASDYDMSYPSPLPLAPTPTLLRPHELLLNGLGGFQ >SECCE6Rv1G0431380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746301134:746301838:-1 gene:SECCE6Rv1G0431380 transcript:SECCE6Rv1G0431380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSTSLPSRPHVSETEVEQELQSLEASISSSNSISTMCDGLRTLANIYDGLEEIICLPSNQVCSSQQRNILDGEMEGSLELLDLCSAMQEIFVEMKAIIQELQVALRKGDDAASQAKIQSYIRLVKKAKNHVKKTAKKAPADSSMVMLLAKAREISMSLLESTLRLLSKQIEMPKQSLVSKAFHKKKAVACKEEQLLELEFSIAALESGAGHLFRKLVQSRVSLLNILSS >SECCE6Rv1G0433780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:761595978:761602911:-1 gene:SECCE6Rv1G0433780 transcript:SECCE6Rv1G0433780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDEHGQAHRLESILTDTSAPMAERAWAAGAVELRLLSRLAAPAVAVYMINFIMSMSTQIFSGHLGNLELAAASLGNTGVQSFAYGIMLGMGSAVETLCGQAYGAHKYDMLGIYLQRSVILLGLTGIPLAVMYAFSEPLLLLMGQSPEIARAASIFVYGLIPQIFAYAVNFPIQKFLQAQSIVLPSAYISTATLILHVLMSWVLIYKVGLGLLGASLVLSVSWWIMVAAQFVYIVVSPTCRHTWTGFSWRAFSGLPSFFKLSAASAVMLCLETWYFQVLVIIAGLLPNPEIALDSLSICMTIYGWVFMISVGFNAAASVRVSNELGAGNPKSAFFSVWVVTGLSATISTILAVVILCLHNHVSYLFTDGEAVSDAVADLCPFLAVTLVLGGIQPVLTGVAVGCGWQQFVAYVNVGSYYIVGVPLGVVLGFFFNLGAKGIWGGLIGGTALQTAILLWVTIRTDWTKEVEEAQKRLNKWDEKKQPLLIGFKDNN >SECCE7Rv1G0454510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2865333:2869649:1 gene:SECCE7Rv1G0454510 transcript:SECCE7Rv1G0454510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAALGTAATLLGKVLTSLSAVPVAAYVDSLQLGHNSEQIKAKLAHTRGLLHNAHAHGSHVGDNPGLQWLLEKLSRDADQADDLLDEVHYFQIHDRLHGTNYAATKDLDGLLRHQALHAGSALRHTLGTWFQCCFCSPTPNRDGGDDAAAIAGAPRRVTLLHCFSCFSTPKSKRNGGGGGVGGGDAAAAGVGGDAAAAPAVAGVTNSNSANSATAEDGDTLLHFDRVSMSSKIKSLLQGMQSHCDSVSNLLGNIPSSSSTAVVLHRPQTGSMITQDTLYGRTGIFEETLNRITTVTTQTETVSVLPIVGPGGIGKTTFTTHLYNDARTEEHFQVKVWVCVSTDFDVLKLTREILGCIPATEEGGSSSVANETTNLNQLQRSIAERLKSKRFLIVLDDIWKCDSEDQWKTLLAPFTKGEVDGSMVLVTTRFPKVADMVKTVDPLELRGLESNDFFTFFEACIFGADNKPEHYQDEFAGIARKIANKLKGSPLAAKTVGRLLHKDLSQKHWNGVLEKHQWLKQQNNDDIMPSLKISYNCLPFDLKKCFSYCGLFPEDYRFTSSEINHFWVSIGIIDLDHQADRNYLEELVDNGFLMKKKMYWDDQDRYVMHDLMHELSQSVSAQECLNISSFGFRADAIPQSVRHLSINIEDRSDANFEQEMCKLRERIDIANLRSLMIFRGYREERIAKILKDCFKEINSLRVLFIVVKSAQCFPYRFSKLIHLQYLKITSSHSDREMRLPSTLSRFYHLKFLDLDYWNGRSDLPEDFCHLENLHDFRAESELHSNIRNVGKMKHLQELKEFHVKKESMGFELTELGALAELEGGLTICGLENVATKEEATAAKLMLKRNLKELTLYWGRDGPTADDDILDALQPHSNLRVLTIANHGGTVGPSWLCLDIWLTSLKKLILDGVSWSTFPPFGMLPNLKGLNLTNISGMHQFGPLCGGAPAKCCMRLKAVQLHKMPELAEWVVEPNCCSFPCLEKIKCINCPNLRVMPLSEVSCTNLRRLEVYGCPKMSLPSMPHTSTLTDLDVKRCDSESLSYDGKKLVVRGYGGALVYHNLDKVEDMAIANVSGISLTDIEKLKPLTELSVGRCDGLFPEELDGSFVLRSVESLQLDVSHLSSSKPSSSKVLNCFPALSVLSIVGDEDYEYEECVMQFPPFSSPQELTFSECKGLVLVPVENGGGIREDNSLLQLLTIYRCGKLFCRWPMGMGESETIICPFPASLRELYVWEPSMKSMAVLSNLTSLTTLSLKECSNLTVDGFNPLIAVNLIQLVVTCSNTLAADMLSEVASQRAKLLPAGYISRLEKLSVDDISGLLVAPICSLLAPALHTLEFQSDRSMESLTEEQEKALQLLTSLQKLTFSDCKSLQSLPQGLHRLSSLKELCVLWCVEIRSMPKEGLPVSLRKLHMDCRSAEINEQIEKIKRTNPDLSVSDY >SECCEUnv1G0557470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:324016680:324018756:1 gene:SECCEUnv1G0557470 transcript:SECCEUnv1G0557470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNNFRNGFSLSKKGYVGSCYAKCKPFSLGALLPQGLSGKILYVKLVLLILMCGSFMGLLHSPSIHHGGDQRSTQSPEMSTVMRTSDADEPDSGYMSDLRVDWSSVSMAVQQVAREGDGGLRVGILNFDGDEMDQWRTLLPPAASAVHVHLDRVASNVTWAHLYPEWIDEEELYHAPVCPDLPEPSPAPEGGYDVVAVKLPCSGAAGWSKDVPRLHLQLAAARLAASRSEERGRRAAHVIIVSRCFPAPNLFRCKDEVMRDGDVWLYRPDVGELRQKLALPVGSCRLAMPLRALGEAYVSAAPRREAYATILHSEQLYACGAMVAAQSIRMAGSDRDMVALVDETISERHRGALEAAGWKVRTIRRIRNPRASRDAYNEWNYSKFWLWTLTEYARVVFLDADLLVQRPMEPLFAMPEVSATGNHGTVFNSGVMVVEPCNCTFRLLMDHIADIESYNGGDQGYLNEVFSWWHRLPSRANYMKHFWEGDTAERAAAKRRVLAADPPAVLAVHFVGMKPWFCFRDYDCNWNAPELRQFASDEAHARWWTAHDAMPPRLQGFCLLDERQKALLWWDVAEARKANFSDGHWRDRIADPRKTICAGVGVEGCLRRKIQGRRVEGNRVTTSYAKLIDNF >SECCE5Rv1G0310720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:173716729:173719819:-1 gene:SECCE5Rv1G0310720 transcript:SECCE5Rv1G0310720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLSNSFELNKEERPPGNVDIELGLQGDGSGSAQPGFDGFYEQVREIQKLLETLTKLLKDLQNSNEESKVVTKASAMKDIKRRMEKDVNEVTKVARLTKSKLQQLNKENLANREKPAFGKGSSVDRSRTTMTITLTTRLRERISAFQTLREAIQTEYREVVERRVFTVTGERADEETIDKLIETGDSEQIFQRAIQEQGRGRVLDTLQEIQERHDTVKEIETKLLELQQIFLDLSVLVEAQGEILDNIEVQVIGATEHVQTGTNLLQKARVLQKNSRKWTCIAIIILLIIVLVIVLSLKPWAKK >SECCE2Rv1G0100410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:540920931:540932472:1 gene:SECCE2Rv1G0100410 transcript:SECCE2Rv1G0100410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMATSASVLTLPRLSISPTMLARALPTTTIMRRTRPLRAACAYTLQEGQSRRSHRLPCGLDLEVIAQQPPTPAPTPGRSERPPLVFVHGSFHAAWCWAERWLPFFSRAGFPCFALSLRAQGESSIPSDTVAGALETHTGDIADFIRKEVPVPPILIGHSFGGLIVQQYMSCLQGSEPLHPKLSGAVLVCSVPPSGNSGLVWRYLLTKPIAAIKVTLSLAAKAYANSLPLCKETFFSSQMDDELVLRYQNLMKESSKLPLFDLRKLNASLPVPSATDGSLEILVMGASNDFIVDAEGLSETARFYNVQPVCVEGVAHDMMLDCSWEKGAAIILSWLDKLAPRST >SECCE7Rv1G0463270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:51950233:51950796:-1 gene:SECCE7Rv1G0463270 transcript:SECCE7Rv1G0463270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQQIALLLTLILPVLATGDGSLAVSTPSAIIRTTCAALDEPGRFGYDECVGALAADPAVASAKNARELAVAATNLTVTNVTSIVLVLDDLVKNLGECLRIYRGMNKSLEAALGDLRAGHVEAASHIMFDAFHAPNDCDILLFQGSAGKNPLSEENTGADWLSRLAYAIASLQLPKPDPTLHGRQL >SECCE7Rv1G0496550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:613836017:613836553:1 gene:SECCE7Rv1G0496550 transcript:SECCE7Rv1G0496550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKGTGRRRQKRDHTRKNLQNRIRYRRDRLLQDAWEVSELFAPHLAILAFPASGKAKPLLFGNPTLDSVLRNVVVDASIGAETAEEAAARVAAMRREAGSIEARVAQEEARLRAVAEKVKVAQEEQGRAHWWEVDVDVLGEAELPEFATALDALRADVLRRLTELAEARKPPWRHW >SECCE6Rv1G0424860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702513572:702515236:-1 gene:SECCE6Rv1G0424860 transcript:SECCE6Rv1G0424860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETLECGGDAAAFVLSRLPHPDDAAAGARAAYVDAATGRALSFAGLRGAALSLASALRLGLGLRRGDAVLVALPSPDDDPLLLPPILLGVLAAGCVAVVAAPGARIDAVARESGAAIVVGAPGTGGELPLPLLLMSRSPDPRTLSAEELMDGGDPAALDAIAADRPSHWDPALVVHYSSATNEVAMRQSDLIGAVARAASPDEGRVCLATLPAWGTGVHGGLQLLSLGLPAAGATTVLLPPSSDLRAAVAAHGASDVVVTSEEAAALFAPGLPQGKLATLRKLTLVTPLTDDARHALHRRLPWVDVLVMSSMPDTGAATAESPEQVQVAPAPLGPLLLVQPDAATTQLKGKIPDQMPATNDSTSLVSPLQKIQKTVLSDVFAKSVAGKFLRRSPVACDKQAVSKL >SECCE2Rv1G0078740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:111441349:111446967:1 gene:SECCE2Rv1G0078740 transcript:SECCE2Rv1G0078740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAALGHMLRRVLAGLRALHPRTRRRRQGGAGAGAGAGAGAATVHKARVTVRRLGSNGRSKASTPRGGVDGSAPGEGRQPVTIRVATFNAALFSMAPAVAAVPEAGAERDAARRSGAGTGTGARPRPKGILKAQASLLSRTPSKARVSINVQDNEISLDRSGKLGSPRVRKPQSRLQQVVVGGLDASRRRSVEEVLRETGADIIGLQNVRAEEERGMRPLSELAGALGMRYVFAESWAPEYGNAVLSRWPIKRWKALRVADQSDFRNVLRATIEVPRAGEVNFHCTHLDHLDESWRMKQVNAMLRSVDGPHILAGGLNALDGTDYSADRWADIVKYYEEIGKPTPKVEVMKYLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPSSPYKFVPGSYTVVSSKGTSDHHIVRVDVAIVPDTRDADEQSAGGRKQRVVKMSKKGSRKGIWAAK >SECCE2Rv1G0138560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:922085355:922088338:1 gene:SECCE2Rv1G0138560 transcript:SECCE2Rv1G0138560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFVEKLVLSLLWISLFQGCMVQSVEYDHTASIECLRDPMKPLYKGGIIQNGEFNNGLMGWSTYRNIKAGVSKSASGNRFAVVQGAISSLFSAGDAAALQSHSVYQKVQIQGDTHYSLSAWLQVSAGAAHVRAVVKAPNGENITAGAIDAQSGCWTMLKGGMTAHAYHSGQGEVFFESDTPVDIWVDSVSLQPFSFDEWDAHARRSADKVRRSTVKVLARGPDGQPMPNTNVSIQVLRTGFPFGNTMTKEILSNPAYEKWFFSRFTVATMENEMKWYSTEWNQNQEDYRIPDDMLKMAQKYGVKVRGHNVFWDDQNSQIKWVRPMGVDQLKAAMQKRLKSVVSRYAGKVIHWDVLNENLHFNFFETKLGPNASPVIYQQVGQIDHNAVLFMNEFNTLEQPMDPNGTPAKYIAKMKLIQGYPGNGGLKLGVGLESHFSTPNIPYVRGALDTLAQLKLPMWMTEVDVVKGPNQVKYLEQVLREGYGHPGVQGMVMWAAWHANGCYVMCLTDNSFKNLPVGALVDKLIAEWKTHKASAITDANGQVELDLAHGDYKLTMNHPSSLAASHTITVDASSTEHTISLKL >SECCE6Rv1G0433370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:758318771:758337592:1 gene:SECCE6Rv1G0433370 transcript:SECCE6Rv1G0433370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLQAPDYRYVTEECLREWKGQSAAAFRLPDPVPRARFLYELCWAMVRGDLPPQKCRAALDSVVFVEEALQEESGSVLADIVAHLGQDITISGEYRSRLVKMTKSLVESSLIVPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLVREESEGYAKLVTLLCQVGSDLACQNASSATISIVKSLIGHFDLDPNRVFDIVLECFELYPDNSIFYQLIPLFPKSHAAQILGFKFQYYQQLDVNSPVPSGLFRIAALLVKSGLIDLDNLYAHLLPNDDEAFEHFGSFVSRKIDEATKIGKINLAATGKDLMDEDKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLAQLNPVEHVEICDALFRIVEKTISSAYSTYCQTHHKITRNIDTHMMDASSVSSPSYLVDLPKEFFQMLAACGPYLHRDTQLFQKVCRVLKVYHASSKESARTAGVMSPESQVEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYEVRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVLRTIVQQVEAYRDMINPVVDAFKYLTQLEYDILQYIVIERLAQGGREKVKDDGLNLSDWLQCLASFWGHLCKKHHSMELKCLFQYIVNQLKKGLGTELVVLEELIQQMANVQYTENMTDEQVDAMAGSETLRLQSSLFGSTRNYKVLNKSTNKLRDSLLPKDEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYAEFLSSAVTSSTYVQLVPPLEDLVYKYHIEPDIAFLIYRPVMRLFKSANGGEACWPLDDNEEGESVSSDEMILHGDSSQKLIMWSDLLNTIRTILPAKAWNGLSPELYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESDKHQHHVISVLQRLTREKDKWLSSSPDALKINMEFLQRCIYPRCVLSMQDAVYCATFVQMMHSVGTPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYHWKSDESIYERECGNKPGFAVYFRFPNSQRVSYPQFVKVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKITSIFPVMRKSGINIEKRVAKLKGDEREDLKVLATGVAAALAARKSSWVSEEEFGMGHLDLKPVPAKPIAANQYADPSTAKDHSVRAKSVEGRHERSENAMKPDAHKKNASTTNGSDSQIPSSSAQGKGSGVARGVDEPPKLMSDDGKVLKPTTELETRAPQKRAVQNAAKVSKHDVVKEDAKPGRSTSRGLNQQASAIPVDREVLSQAADGVLDTNPTSPLVGTNGNAHPAPRKVSASSQRSTVLAAHSGGTANPTGEGESADLIDSTVKQQKRSVPVEEQERTGKRRKGEIEGRDGDLTEHHTDKEKKLDSRSVDKFRSVDHERGASEEQNLIRTEKLKEKFDDKYDRDHREKADRSERRRGEDVVERPTDRSLERRERSIEKMQDRAPEKGREDRNKEERNKIKHEPIDRAHTIKNEPIDRAYAIKNEPIDRAHTVKHEPIDRAHTSDERFRGQSLPPPPPLPTSFVPQSVAANRRDEDSDRRGGSTRHTQRLSPRRDEKERWHLEENAPLSQDDGKHRREEDLRDRKREDRDVSSSKVDDRDRDKGNTVKEDSDPNSASKRRKIKREQQSALEAGEYAPSAPQPPSVGPGNSQFEIRERERKGAISQHRPSHADDLPRMHAKDSTSKTSRREADQTHDREWEEEKRPRTEVKRKHRK >SECCE2Rv1G0125290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:840193323:840193916:1 gene:SECCE2Rv1G0125290 transcript:SECCE2Rv1G0125290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIESSVAAPRLFRAGVMDWHTLAPKLAPHIVASAHPVEGEGGIGSVRQFNFTSAMPFSVMKERLEFLNAEKCECKSTLIEGGGIGTAIETATSHIKVEPAANGGSVVKVDSTYKLLPGVEVKDEIAKAKESVTAIFKAAEAYLIANPDAYN >SECCE7Rv1G0513800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:826527514:826532500:-1 gene:SECCE7Rv1G0513800 transcript:SECCE7Rv1G0513800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSPAGAVLPAQSPASPEAAEEHKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEIESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLNPQELKDPYLPAELGTANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTMQPPAQELFAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPCEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPTPFPLRLKRPWPTGLPSLHGGKDDDLTSSLMWLRDSANPGFQSLNFGGAGMNPWMQPRLDASLLGLQPDIYQTMAAAGFQDPTKMSPTMLQFQQPQNMVGRATPLLQSQILQQMQPQFQQQSYLQNMNGATIQGQAQSEFLQQQLQRCQSFNEQKPQLLQQQESNQQQSQGMQVPQHQHMQQQKNMANYQSAYSQLSPGPQSSPTALQTALPFSQNQSFSDTNMSSLSPSGAPAMHNNTLGPFSSETASHLSMSRPTAVPVPDSWSSKRVAVESLVPSRSQGTPHMEQLSSTPPSIPQSSALAPLPGRGCLVDQDGSSDPQNHLLFGVNIDSHSLLMQGGLHDENDSTAIPYSTSNFLSPSQHDFSLDQTLNSSGCLDESGYVPCSHTPDQVNQPSATFVKVYKSGTYGRSLDITKFSNYHELRRELGRLFGLEGQLEDPMRSGWQLVFVDREEDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKQGLELLSSAPGKRLGGGGGSSCDDYASRQESRSLSTGIASVGSVEVEF >SECCE3Rv1G0196050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:805536199:805542449:-1 gene:SECCE3Rv1G0196050 transcript:SECCE3Rv1G0196050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAGAMVAAAAAPARQAASRVDKATSHLLMGPDWAVNLEICDIINADVWQTKDVVKAVKKRLQHKDPKVQYYALTLLETMMKNCGEYVQFEVAEQHVLQEMVKIIQKKNDMQVRDKILLLLDSWQEAFGGPGGKYRQYHWAYLEVKRTGVVFPRRPIDAPPILTPPAIHNSQNYGSPGYASGSLNERMSSDVDTLSLGDLNNIRNVTELLSDMVYALNPSDPEAVKDEIITDLVNQCRSNQQKLMQFVSSTGNEQLLKQGLEINDRLQNVLTKYDAIASGTHLAVEAPVRETVESPREDPPAKPSSSPIEQNDASNEEEDEFARLAQRKNKSVISSDETSSSTSTADLALVPIDLPSSESPSSVASNALVPLDPAPVSSSTQTKEQDMIDLLSLTLCGPTDETSTDSSAQSQNGLQQPPDGQQNPTGVPQYPSTNQPYSVNQGYTPQNSNYVAPWAQTGPYPSQAQTGPYPSQAQTGPYPSQAQTGPYPSQVPAYASGYPVPPWATPPTANSNPFLSAAYQEQLPSSVSAAPATTYAAPSPSYSSPPASQPMQQYSSVGSPTSNGLTMPQAPNGNHQPKDSSAAASKPYYILDNLFSDLIDLKSTSGGNKTGTSLGSSNGGQPMIGGKK >SECCE3Rv1G0191820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:751653855:751655424:-1 gene:SECCE3Rv1G0191820 transcript:SECCE3Rv1G0191820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGDGHDDAAGALRRWLREAGGCLVVDGALGTELEAHGADLQDELWSARCLVSAPHLIRKVHLDYLDAGANIITTASYQATLQGFQSRGVSWEQGEELLRRSVQIAQEARAIFVEGRSKGPYAARDEYDGVASRARRPVVVAASVGSYGAYLADGSEYTGDYGRSITKEALKNFHRRRLQVLADAGPDLIAFETIPNKLEAQAYAELLEENDIGIPAWFSFTSKDGANAASGDPITECAAVADSCRRVAAVGINCTAPRLIHGLVLSISKVTSKPIVVYPNTGETYVAETKEWVDSTAAGGGAAGTDFVSSVGKWRQAGASLVGGCCRTGPATVRAIARALREGDAAADADTDDDYPAVAVL >SECCE7Rv1G0478810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:242006628:242010481:-1 gene:SECCE7Rv1G0478810 transcript:SECCE7Rv1G0478810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCTSSQKKGAAAGDRRKDPADKPSQIAPAASPSPTPTPTPTPTPTPSAEMSRLEASQGAMRPKFISSPGPQNRRLSFTYEELNAATLGFPRDHFLGEGGFGKVYKGVLNGNEVAIKILNPNGLQGNREFCTEVMVLSRMHHPNLVKLVGFCADDDQRLLVYEYMPLGSLETHIFDLPPDKKPLDWNTRMKILAGAAQGLKHLHVNCNPPVINRDVKCANILLGKEYHPKLADFGLAKLGPTGDDTHVSTRVMGTPGYCAPEYLESGQLTIKSDVYSFGVVILEVVTGRKALDQSRIRAERSLAEWAAPLINRREFAMLADPALDNQYSMTSLYQVLSVAGMCLNTTASQRPQITEVAAALTHISKSRRTRRPAHQQSAAQVHQPGEDI >SECCE2Rv1G0073030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:63725392:63726726:-1 gene:SECCE2Rv1G0073030 transcript:SECCE2Rv1G0073030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITAECEHCMEPAVLVTPSQPTPAHTLYLSNLDDQCLLRFPVEYLFVFAGDVDVDALRTALSRVLVDYYPLAGRLGPGDDGKLVVDCNAEGALFGEAFLPGLTVGEFLQESAKPHESWRKLLRRGAGPRSLIGVPPLVVQVTRLGCGGTILCAAISHCLCDGIGAAQFLHAWARVARLEAADHHVAPFHDRCVLRPRCPPHVAFDHQEYAMNSLPDGDERAAASSLFAGPLVPVSLTFTGAHVQRLKDRCAPWLEECTSFEALAAHVWRAWVRSLGPPSDLRVKLLFTVDIRRRVKPGLPGGYYGNGFVLACAESTAGQLAAPSGEQHAVRLVRAAKGMIDDDYVRSTVDLLELRRDAMPDLAASLVISAWTRIGLEEVDIGAGRPVHVGPLTSDTYCLFLPVVDDPRGTTALVSVPEVAAERLEDRCLHGFDDMHHVENEEQ >SECCE4Rv1G0268110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:739605710:739607638:1 gene:SECCE4Rv1G0268110 transcript:SECCE4Rv1G0268110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTLINLLNPVKEFIVRGVGVAPHLWNQWAVQILLLASFSLQVFLFLFGGTRRRGTSALLRVLLWLAYLTADTAATYTLGHLAINSGSHLHQLVAFWAPFLLLHLGGQDTITAYALEDNRLWLRHLQTLVVQFLGVAYVLYRYLSGSQGLISAGILMFIVGAVKYGERIWALKCANDDGIDCLTDKYDDGVLNSAASLDVKRNLEGFGMDEEEVVMHGAHVLLSICVSQFHDCTLKKTPLYQYKTLEYLVVSKKMYRVIEMELSLMYDIMYTKTVVIHTWYGQCTRLLSLLAITYTLLQFWFFINKDGYGRVDLDITYILVAGAFVLEMLSWIMAIGSTWTRHLLYLKGWNRLYRVTVCLRRFVKALSWRRWSDKVGQFNMFNYAGKHASTDMDRYKLKDLPPAILISAHMKDLVLNEIMKIVERHGGDAHRVYSLNQKVEEHELPVLDCDFDTRIIIWHIATHAILLCMGDRQRNDGNCREAIKMLSDYMVFLLVKHPEMLAAHTSRQLCLEALELLKFVDTKELAEYLRAEANPPAQGQRRQSKTGETGENNDPCQRAMELARTLLGNGWGRAHLLKVMFGAWVVMLCYAGSHCSRDSHARRLSSGGELLTVVWQLARHLSHYESEKREQGTYGEHALL >SECCE2Rv1G0087350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:224549760:224555027:-1 gene:SECCE2Rv1G0087350 transcript:SECCE2Rv1G0087350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPGSGSGGEPGRPWTATSTWAPAGGAAVEDAVSFETTEDDAETSPAAVVLARPPSDGSEDPTPCEVTISFAGKYEIHRVYVRSTARTYEIYYSTDLKGASKDYLCTVRCGLAAQEQQPPGEECVSQASSSAATGEKHEQEAKSVTSSSDEDSWVEVKIPESPLGNYTSESQEERNAIGSCQKNTLAHYEATAEITDANPCVSLTVRLLSLQSKTSVHIEEIYIFADHVESNSDDSVAGPGNMGGSSLLAMLVPGLMQISKSRDCKIDDKYFAEGLKAQLPEGCALKDSIPCGNIAKEAGLYGTNDSKFGPAGVQSRLPPAQSGTICDDDGNQHEFPLNDPKPLPLPVQTTENIQVTLEKDKTVSNLHPQASPIVNENVTQHNHIERMLDTLISKVEKVELCCSRFEDKMMRPLGSIEARLQRLEQQFDSFSVEIQSLRSSSARMSAPNVFSDTINSQQKEHNGGNSGTSASVMYRQPGLVMRAPEFSSEDYSNCDVADETTVSLHGPNVVPRVLKAPDFICEPGFTCEKLDGGPLPFERERKTSPGLVIKVPEFLNDEEDEVDEDKQTEADGVEDDRTNSNDTLSKSTDNSFKGKTPVSVDGALASALEAFLTSTKGTSPSKSVACTASNVSCGNTADSSSSFPSHGHLHDISTKDDFHGIFGDTKKISTSISFQEVDAAPLIYVSEANLVSSVEVNGQNIDMNPDKKAFASTELLGVPSQSHTGSIDDCTQVNGHTNGPSSDMIPLVTSTEPVDDSVQLSTHFGSVDGGTRAKPPTIFESVGEAAQVNESRPSFPLAEFLAARNACRNGTSEVCCGNDDAAISSFQRALTGSHMNLEGGDIKASQKNPIFQLSLLKKALEVDEGDEIFCDDISIETTFEPSSYAAPANGANRHSTNAMETLSDEDGVLENTKGSIRLSGGHSTNAMETLSDEDGVLENTKGSIRLSGGHSTNAMETLSDEDGVLENTKGSIGLSGGTDSVFCGSLYSGPSESFRKPEVEHSLSDLSSTEPYDGDSSREATSSGNAANVNHVEDLFAGNGAGPDVSPTVGSQENDILGMAFVSKRTSTSSPSLEVLLAESSDSESQISAVEDSDSDAAGLGSDNLFTTFPSSDDDAFAMDGPLLDVVDAPAPSEVNACASNKPLLDVVDLTNPSETYTPSVNEPLADVVDQPEPSSLYASAADELFASVDNLPKTSETFVGGSSGEHSDSLI >SECCE4Rv1G0241020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448241465:448241677:-1 gene:SECCE4Rv1G0241020 transcript:SECCE4Rv1G0241020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWRIINMTIAFQLAVFALIATSSVLVISVPLVFASPDGWSNNKNVVFSGTSLWIGLVFLVAILYSLIS >SECCE2Rv1G0071680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:46819380:46820102:-1 gene:SECCE2Rv1G0071680 transcript:SECCE2Rv1G0071680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMSCLTPPPSTWFLSASSPGPAYYADATITQALHFSSMSMSMPEHEYAYSPAASSPSSASAPSSSSLLADFPGGSSWFASTASPTTGSLACDSVLVASGAALSTPVGAAANKRRVGLGPNAAGAGRAGKRRARPSKRSPTTYISTDAANFRLMVQHVTGVQAETGPADGVLLPASSFDASSATLQLGCPPFDGAFAVPSDVDAAALHRHQQQQLVQQQQPCYPTLDSWSVMCESSQLI >SECCE3Rv1G0149680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:27639145:27643661:1 gene:SECCE3Rv1G0149680 transcript:SECCE3Rv1G0149680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPRPTSHLLPWRCLLLLLLILTLAVSSNGASATAKAKAKAPKPAGFGYKLVSLVQRPNGGGLVGLLQVKRRTSTFGPDIPRLRLFVKHETKDRVRVQITDAEKQRWEVPYDLLPREPAPPLGAGAVADGGAPFTAGEYPGQDLVFTYGRDPFWFAVHRKSTRQPLFNTSRAPLVFKDQYLEVSTRLPGDAALYGLGESTQPGGIKLRPNDPYTLYTTDASAINLNTDLYGSHPVYVDLRNLAGRGVAHAVLLLNSNGMDVFYTGTSLTYKVIGGLLDFYFFAGPTPLAVVDQYTAMIGRPAPMPYWAFGFHQCRWGYHNLSVVEDVVENYRSAQIPLDVIWNDDDHMDARKDFTLSPVNYPRPKLLAFLDKIHARGMKYIVLIDPGINVNNSYGVYQRGMDRDIFIKLDGQPYLAQVWPGPVYFPDFINPNGASWWIDEVRRFHELVPVDGLWIDMNEASNFCTGKCTIPTTHRCPDPTSKEPWVCCLDCKNLTNTRWDEPPYKINASGKSARLGYNTIATSAVHYNGILEYNAHSLYGFSQAIATHKGLQSIQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWEDLRYSISTMLNFGIFGMPMVGADICGFYPASPPPLEELCNRWIELGAFYPFSRDHANFASARQELYQWQSVARSARNALGMRYRMLPYLYTLNYQAHLTGAPVARPLFFSFPDFAPCYGVSNQFLLGAGVMVSPVLEQGASSVDAVFPPGTWYNLFDTSKAVVSTGSGAALFTLPAPLNEVNVHVHQGTILPLQRGGTISRDARATPFTLVVAFPLGAADADAEGAVYVDDDERPAMVLAEGQATYARFHAAVRGGREVTVRSDVAMGSYSMHKGLLIEKITVLGLHGAGRDLAVKVDGADDATAVATSSPYLAAAADAPQALHQGEEDAVEGEKRGVTMEVGGLALPLGKSFTMTWNMHIEA >SECCEUnv1G0544380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:128457406:128458566:1 gene:SECCEUnv1G0544380 transcript:SECCEUnv1G0544380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEMTTGSIETALMSDPTAKLPGDVLVEIISRVPYKSTRCCKCVSTRWRDLIAHPDHQEKLPRSTLAGFFYRTYDMDRCQYDSHGYRSLSGNWCPIDASLSFLPKYKKIELLDCCNGLLLCRCSKPYPEQPDYVVCNPATEKWVTVPANKWSSDSYARLGFDPAISSHFHVFELVPAAALNADLKFDYNIKEVGIYSSKAGVWAHQIEWNDPFQICNFSAGTFLSGVLYLCSDNNLVAAVDVEGNRRFIPAPTLHDACGRHDVYVSRGQLYVAYYGAAEASIWVLEDSSSEDYWTLKHNVSYLQMFGSRSKKRYGVISVHPEDDVIFITVESKSKLSGDRLQLKLFSYEIDSKELRFICDLGRISRRPYLSYIPLFSESLADGH >SECCE2Rv1G0115520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:751613910:751620787:1 gene:SECCE2Rv1G0115520 transcript:SECCE2Rv1G0115520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETL1 [Source:Projected from Arabidopsis thaliana (AT2G02090) UniProtKB/TrEMBL;Acc:A0A178VWA6] MRRDFEEISDDEWSNHNFKPSRVLKRPHRSPQPPARPPPPIDSFRYDPKASSAAGISNGAAVVLSDDDDDDDFDLGKGVKVRRAENSSRVLKRPQHKSPPRRATPSTQSSRHNPKPSKAAIRVALSDDDFEPEDDLDSEDDDFDLPRRSRPRPSTGRRLATASIDLSEEEEDLDTLEDSDLAEDDSDLADDDSDHPAPRSSRPRRTTGRRFVIEDDDDSDGSVAAGVVEVGDEEEDDGVNWSELENEDDEDGDYNGQSSVKAEEVEGDVVGKALRRCSRISVDLRQELYGSSARNCESYAETDASTVRIVTQEDVDAACTSEDMEFNPVLKPYQLVGVNFLLLLHQKGIGGAILADEMGLGKTVQAVTYLNLLQHLYDDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAFSKELSSLGKAGCPAPFNVLLVGYSLFERRSAQQKDDRKALKRWKWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGEVDLKKLLNSEDHELISRIKSILGPFILRRLKSDVMQQLVPKTQHVRYVSMGSEQFKAYNGAANEYRAICEARTAKSSGQFPQNVIGLIPKRQISNYFMQLRKIANHPLLIRRIYSDKDVDRIARLTYPKGAFGFECSLDRAIQALKNYNDFAIHQLLITHGDAGTKGALKDEHVFASAKCQALAELLPSLASNGHRVLIFSQWTTMLDILEWALEVIGITYRRLDGGTPVIERQTIVDTFNNDRSINACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKDSVDESIYAIARRKLVLDAAVLQSGADLDDRTDVPEQTMGEILASLLLV >SECCE2Rv1G0105440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:620590301:620590940:1 gene:SECCE2Rv1G0105440 transcript:SECCE2Rv1G0105440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSCLLLVSFFAAAYASSERAIAVAGAGGLLSDPEQQCVYTVYVRTGSIWKGGTDSAIGVTLLGSDGTGVRIADLEQWGGMMGADHDYYERGNLDIFSGRGPCMERAPCWANVTSDGAGAHHGWYCNYVEVTATGPHMGCAQQLFTVEQWLATDASPYRLYATVDNCGDKQAAAKSHEAAAL >SECCE2Rv1G0129990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:872186303:872189644:1 gene:SECCE2Rv1G0129990 transcript:SECCE2Rv1G0129990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLSVGAPDAAPELAKPSLPTTWLILHALFCATSMAVGFRFSRLVVFLLFLPTPPMNPSAHLVSLVTPPVMLASSNATATITTTTTTTTTVTTTTTVAESEAGANGHHQVHHGPVFVGRHAIRVRKWPHPDPSELLKAHSILAAVQEAQRRSRNRLADAPRPVIAVTPTTTSALQAPSLTSLAHTLRLVDAPLRWIVVEPGHRTDAVAAVLARSGLDFLHLVASDGASTARLRMHALREIRKEKMDGVVVFADENGILRTELFDEAQKVKSVGAVPVGILGEDEGTKESFLQAPACDEAGKLVGYHVSEETLLPAARSDMLLSTRMEWAGFVVNARVLWEGAAERPEWVRDLDAVDGGAHLDSPLALVTDAGQVEPLAKCAQAALAWSLRSDALHEVKFPHEWKFDAPLVSAASRQQSSVVNTEDGH >SECCE5Rv1G0370350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841641328:841643050:1 gene:SECCE5Rv1G0370350 transcript:SECCE5Rv1G0370350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVTGSPKCVAFRSKRTRKYLASVQAGSEESAGGGRFFEELSDGAYDVDVLASPYTRFYLEPSKEHDGLLHVRCCHNNKYWVAKHGGEGSGHWIIGIVNEPDDDLSKPSCTLFEPVPLTDTDNNLSIRFFRPQQTTSSESDMTKQKGTTEEAYLRLSTGGQEKAVDQVQSLHDFFAIDLSKQLVLPKYVAFKGDNDMYLRARVIQKRNYLEFSSSDIADSTVVNTIFPNYANGNVRIKSNHFNRFWRLSPNWIWADSADNSSRDRDTLFRVVMLPEYIGLQNLGNSRYCKRLTADRKTSCLNADVNTITLEARLRVEEAVLSREIYGVEFKLSQARIYGEKPLTFPSMTSTNDTNETHAKTLTLKYKEMQTKTWTSTVSLKIGVTAKLRAGIPVIAEGKVEVSNEFNSEYEWGSSIQTTASQEASYQAVVPPMTKVRVRAAVTQGSLDVPFSYTQRDILTTGEVVTYKMDDGLFTGMNNYNFQFEATQEPI >SECCE6Rv1G0443510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:823136759:823137682:-1 gene:SECCE6Rv1G0443510 transcript:SECCE6Rv1G0443510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDEKEAVIDDLMAFQESKEYYAKVGKASKHGYLLYGPPGTGKSTMIAAMANFLDYNVYDLELTAVKNNTEFRKLFIETTGKSIIVIEDIDCSVDLTGKRRKDKKASSAKDSDNDDKPKLPIDSEKDDATKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKIDPALIRQGRMDKHIEMSYCRFEGFKVLAKNYLDVIEHELFREILRLLEEIDMSPADVAENLMPMSKKKKRDPDVCLTGLIEALKQTKEDAVAAKVKEAEEAKEAEAKKAKEKEEAEVKKAEEDKGKDKAPEKPNGDIKQGDK >SECCE2Rv1G0119180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:789175642:789180718:1 gene:SECCE2Rv1G0119180 transcript:SECCE2Rv1G0119180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GalAK [Source:Projected from Arabidopsis thaliana (AT3G10700) UniProtKB/TrEMBL;Acc:A0A178V5L4] MVAPAGGGSPSRWPSEEELDIVRKNVVEISGRDAREVRVVACPYRICPLGAHIDHQGGIVTAMTVNYGVLLGFVPSDDAEVVLQSGQFKGVTRFRVDDLQKPIENRGNITWESYARGAVYALQNSGYDLRKGIIGYISGVNGLDSSGLSSSAAVGIAYLLALENVNDLVLSPVDNIQLDKSIENKYLGLENGILDPSAILLSRYGYLTFMDCKTASPSYVYFSELSKSQQPQGGLPFKILLAFSGLQHNLPKSRGYNMRVFECKEAARALLCASGSEDAPILRKVDPGVYEAQKCILDENLARRAEHYFSEMKRVAKGKDAWACGDLQEFGQLISASGRSSIVNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAIVESDRAEEAAAYVGAEYEKAQPELVSKIPADRRVLVCEPGDSARVILQP >SECCE7Rv1G0472720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:146781494:146787163:-1 gene:SECCE7Rv1G0472720 transcript:SECCE7Rv1G0472720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDPGPDIAELFCHYNALYFQDSLGACAVSWADEPLPYGDASGCDYYPGGGGCIVLLSKSLYEVHTYSDLKNVLLHEMIHAYVCIKDNNTDHSNHGGNFQKLMNTINSSSVPDRHRPLGGYNIITLHDIRKKCYKYECNGCGDFVRSTKIRGPSHDDCIERMGANSSCPNSACQWHRHKKGCSGSYYRVGKSAPACAEPGGSVASVEEALDERKAAKSASGSWHAKHTSNKSGTSNKHELEDAPAEFRHPTDDAVDKSGLGSSSGDRCNKKIKLSKDVRLGNLTGATVQQAPKRPRTDTAKRNQECSRQKKRKTSKWDGSYSIIVERLNYYCFDDSDEDEVPLINKRTEMRKRQRLLKNSQATESNDGRKDVCFDSCSQGSGDKNISESAPASQVEERSLPDHLVHNRVAAPGQTYHETVESAIVEIVDIPD >SECCEUnv1G0549870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:209673456:209674450:1 gene:SECCEUnv1G0549870 transcript:SECCEUnv1G0549870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMIAAVIVCVLVLALDITAGILGLQAQAAQNKTKKVTVLFIQCEKPVYKAYQLGLAAAVFLVVAHAVANFLGGCACICSQLEFIRASINRKLAATLIILSWIALIVGFSLLLAGAMSNSKSKTSCGFVHGKTLGLGGIMCFVHAGITVAYYVTATAAAHEIR >SECCE2Rv1G0065990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:11192471:11194671:1 gene:SECCE2Rv1G0065990 transcript:SECCE2Rv1G0065990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVLISRSGDGCGGGGGVGERKADHQERQGQVLELLLAALRKSVALPCQMADADDPSGAAAAGGWGMDIGWPTDVRHVAHVTFDRLQGFLGLPVEFELQIPCPAPSASASVFGVSPESMQCGYDDRGNSVPKILLLMQERLYSQDGLKAEGIFRITPENSQEEHVREQLNRGVVPDDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLNCNTEEQCVELVRHIPVTYAALLSWVVELMADVVEEEGSNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLVLKTLREREEDEGSYSTFSSSPTLSDGLDEVDREHDQGDGNDSGTEKYGDGSSESPKSVEKANNLITDSEQLIGSSRRHTSFEFRLPYTINNDDDDDKYPSLSDIEEGFLRRLECQEVSKGGDEDERSIFFASTKEAEQLSSSESTSESCRVSDQTSSTEEAVGTHSIEPTMQMETRTETTSEEATNAKEVS >SECCE5Rv1G0344660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:646786929:646788404:1 gene:SECCE5Rv1G0344660 transcript:SECCE5Rv1G0344660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPTPTLVLLPEWGAGHLMSMLESCKRVLLRGGRAFSITLLVMRPPTAQATSQVEEHVRREAASGLDIRFHRLPAVDPPADAAGVEDFIARYIQLHAPHVRDAVAGMSCPVAALVLDLFAASMVDVARDLGVPSYVFMSSTGAMLALMLHLPVLHRVVTVGFDELDGEVHVPGLPPVPPECLPCPVVDKKSPNYTWFVRLGDSFMDATGIIANTADGLEPGPLAAVTDGRAVPGRPAPPVYPIGPVLSLGSSASKKDSSSEPPHQCIAWLDAQPPASVVFLCFGSMGWFEPAQLVEITAVLERCDHRFLWVLRGPPSSQSGAGAPDGSEHPTDANLEELLPEGFLRRTEGKGLVWPTWAPQKEILAHPAVGGFVTHGGWNSVLESLWHGIPMAPWPLYAEQHLNAFELVADMGVAVPLKVDRKRDNFVEAAELERAVRCLMGEEGRKARERAAEMRDVCRKAVEEGGSSDAALQRLSEALHDGAVPPTI >SECCE2Rv1G0116440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:764256479:764257108:-1 gene:SECCE2Rv1G0116440 transcript:SECCE2Rv1G0116440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIAAPVPFAPFCRTPFSSTSLSASSPPFHPSRVLVRWEPPPRGCLKLNFDGSVYHDRSGRASIGGAIRDCNGHVLVAFAERTEHSTVGIVEARALIRGLRLAMSFFRGGLVVEGDDQVLVELLTGKEMQTRIPLAMQQEIMALLKHFSAYQLQHIYREGNQVAHVLCKEAYQQPGVWTSGIVPHAVWEKALEDMHGVAHERICKKSW >SECCE2Rv1G0086970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:218051227:218052968:1 gene:SECCE2Rv1G0086970 transcript:SECCE2Rv1G0086970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRGRSRSPVEIKDDHSKWSENGRKDNSALQNEFVRHSDRHSYGAPRESRRHDDYRRYHGKRADDNDRSHSRTSRSERESKADTYYYPSKRDDTSDRSHGDWRNVDSRYGGKSVKGEQRTKNQEKYRPPREYPRHDGTEYDKDADLRKETNSTRRHPENKEKFKQEDALKKRSGKEIEKSSCAAEPELETREKRRSLFSSVGPGVEIAQHMEMDTSGGIKEETMNDLNAAKVAAMKAAESVKKNILGFGVGTGRLSTDQKKKLLWGNKKSNPPETSTHWDSNLFSDRERQEKFNKLMGVKSSASASVQENKAVNKGEGPAEVKKQEELDTDLEKLYVAGLRRRDGRTVGLGL >SECCE7Rv1G0464180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59353966:59355018:-1 gene:SECCE7Rv1G0464180 transcript:SECCE7Rv1G0464180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEAPAGQASSSKHIVLVHGACVGGWAWFKVATRLRDAGHRVSTPDLAASGVDPRPLREVPTFRDYSKPLLDLMESLPPGEKVVLVGHSLGGVNIALACELFPEKVAAAVFLSAFMPDHRSSPAYVLEKFVEGGTLDWMDTEFKPQDPEGKLPTAMLLGPLITRAKFLQLCSPEDLTLGRSLMRVGSMFVEDLRLQPPYTEARYGSVRRVFIVLKDDSAIVEGFQRWMVQNYPVDEVKEIDGADHMAPFSTPAELAHCLADIAVKYAA >SECCE5Rv1G0330090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:524348213:524348984:-1 gene:SECCE5Rv1G0330090 transcript:SECCE5Rv1G0330090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVKVYGWAMSPFVARPLLCLEEAGVDYELLPMSREAGDHRQPDFLARNPFGQVPVLEDGDLTLFESRAIARHVLRKYKPELVEGDGSPESAATVDMWLEVEAHQHHPVLVAITMQCLVAPLLGNVPDQAVLDENLGKLRKVLEVYEARLSASKYLAGESVSIADLSHFPMMRYFMETEYAALVEERPHVKAWWEDLKARPAARRVTEFMPPDFGLGKKTEK >SECCE3Rv1G0185690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:676805336:676809071:-1 gene:SECCE3Rv1G0185690 transcript:SECCE3Rv1G0185690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAAAAEITVNGVLAKLEEEREAQKRYWEEHSRDLTVEAMMLDSRAADLDKEERPEILSLLPSYEGKSVLELGAGIGRFTGELAKTAGHVLAMDFIESVIKKNESINGHYENASFMCADVTSPDLVIEDNSIDLIFSNWLLMYLSDEEVEKLVERMVKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKEGHAVDQSGSSSELSLLTCKCVGAYVKNKKNQNQICWLWQKVNSTEDREFQRFLDNVQYKTSGILRYERVFGQGFVSTGGIETTKEFVDFLDLKPGQKVLDVGCGIGGGDFYMAENYDVHVVGIDLSINMVSFALEHAIGRKCAVEFEVADCTTKTYPDNTFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCRSPGKPSEEFAAYIKQRGYDLHNVEAYGQMLQSAGFHDVIAEDRTDQFLKVLQRELAEVEKNKDEFLADFGQEDYDDIVTGWNAKLQRSSAGEQRWGLFIGTK >SECCE2Rv1G0069350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:28011613:28014714:1 gene:SECCE2Rv1G0069350 transcript:SECCE2Rv1G0069350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEASMAREIAVKKLEDKDFAGARKIALKAQMLFPELENISQLLSVCTVHCAAEARVNGEPDWYAILQVEATTDHANIRKQYLRLAFSLHPDKNCFPGAEAAFKLVADAHATLCDQTKRSHYDIRRQYASKKVPKQATQQQKKSGTSKCDVPGYAPTFWTICAHCQMRYQYHNHVLNTLIRCLNCENNFFAYKYNLQEQYVPTSSSVPTNSQVPTKTFPSQQGRRVKLSSAQETTGTNPRMNVAQSDGYMKGYSRPAMGEKASQSRTTSGQSQFSAMNQEKPAVPTANEHMGGRSIPDPPDPSIIGRSKSGRADASAASNAMNVPGPAKLSSTGVNTYAKIRINVAECDIKGHDNPSGEKEANESYTTKGKVEIPAKTQSTSSAQTVNMNAGVFDRKNSGIEDVSTVSNAAGSPVLRRSARRTQDADGSSNLNYNSKKKRRKNNCPSNADLNGKQIFDDNVTNVDRQSVPSDVSGKVDIQEEAKTPDIGDQDNIRAEVTDTVGQNQPSYSVKLSFPDADFFDFEKLRDASLFATGQIWALYDNLDGMPRYYARIKSLDASNFKVHLTWLERIAMNEAEEKWSDEELPVACGSFSLGATEISQDRLMFSHIVSWTKGKRRKYEVHPSKGEVWALYKGWNMQWGSDADNHRSYEYEVVEVLSNFSVSAGVTVVPLVRIEGFVCLFATVKEKSEIVISPSELLRFSHSVPFYRTNGSEKVGVPGGFLELDTACLPIDLDAAFPCVSLNSCMSPGKKEGSTFIDSSTDSVSSGGKHEKNSSEPNTSLQRNPNGPNALGDFSEQNSPSVYTYPDSEFYNFEECRSCEKFERGQIWALYSDVDNFPKFYGWVSKVELEPFKVYLTWLEACPQLEQEKQWLEQDIPVSCGKFQIRNWKTMYETNDTFSHLVCTGHDPNKQIEIAPQVGEIWAIYMNWTPDWTPSSPHACGFEIGEIIERTEASTKVSLLTQVNGYTAVFKPVKRKRVVEIPTGHNLKFSHRVPSFCLKEENGGKLSGFYELDSASVPDVFLYKDAQ >SECCE5Rv1G0333220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:555323607:555325442:1 gene:SECCE5Rv1G0333220 transcript:SECCE5Rv1G0333220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVGAPQDKPAAAARSYWRWGKDDFFPEPSFASWGAYRGALAATPARLRDRLLAGRSTDAAELGAMRRRSENEMRRCLTWWDLTWLGFGCHLGAGIFVLTGQEARDHAGPAVVLSYVVAGLSAMLSVLIYAEFAVEIPVAGGSFAYLRVELGDVAAFVAAANLILETVIGTAAVARAWTSYLASLVNRPVSTLRVHVPSLGDGYNELDPIASAVILATAIMAMLSTKGSSRFNWVASTVHLLVIAFIIVAGFIHAKPSNLTPFVPYGMPGVFRSAAVVYFAYGGFDSIANMAEETKNPSRDIPLGLIGSMSVITAIYCTMALTLTMMQPYTAIDRSAAYSVAFGAVGMRWMQYVVAVGALKGMTTVLLVGALGNARYATHIARSHIIPPVFALVHPKTGTPIHATALITGASACVAFFSSLDVLASLLSISTLFIFVMIAAALLVRRYHARGVTSRAHGRLLAALVLAIIGSSAGIAACWGAAPERWTGYAVLVPAWAAATLGVQLLVPVARVPKKWGVPLVPWLPSLSIATNIFLMGSLGAQAFVRFGVCTAVMLLYYVLVGLHATYDVAHEEVEEQGGYGDDAAADEKAAAAGAATDVEKSGAGDAGR >SECCE4Rv1G0233820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:253596643:253620455:-1 gene:SECCE4Rv1G0233820 transcript:SECCE4Rv1G0233820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDVLSAKLLRSSRHPHAPFLPCPSLPSRRHRRPYSIYCRLTTSSLSSSSAATTSEGDANQDLSTLLSDESTTAAPGAGSRKKRSNSGASSIPSGVRLENISKSYKGVTVLKDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEEPDGGNVVKAKENMKIAFLSQEFEVCASRTVREEFLSAFQEEMGVKTRLDQVQAALERATEDMDLMGRLLDELDLLLQQSQDADLGMVDVKIQKLMPELGFVPEDADRLVASFSGGWKMRMSLGKILLQDPDLLLLDEPTNHVDLDTVEWLESYLKTQDVPMVIISHDRAFLDQCCTKIVETEFGVSKTYKGNYSEYVLAKAIWVETQHAAWEKQQKEIEHTRELISRLGAGASSGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGRSGRTVLAINNLKFGFGDKILFNNANLIVERGEKIAIIGPNGCGKSTLLKLALGTEKPREGEVILGEHNVLPNYFEQNQAEALDLEKTVLDTVAEAAEDWKIDDIKGLLGRCNFRDDMLNRKVRFLSGGEKARLSFCKFMVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFVKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELARAEELEEKAPKVKAKSKMSKAEKLARKKQKVQAFQQSKQKSKSMKNSKRWN >SECCE1Rv1G0060980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:713072109:713077214:1 gene:SECCE1Rv1G0060980 transcript:SECCE1Rv1G0060980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSLLASAVGMGVGVGLGLASARWAKPAQAAEGGGAAEVEAELRRLVVDGRDSEVTFDEFHHRHCYLSEQTKEVLISAAFVHLKQADLSKHIRNLSAASRAILLSGPTEAYLQSLAKALSHYYKARLLLLDVTDFSLRIQSKYGGSSKALVQNQSVSETTFGRVSDFIGSFAMFPKKDEPREPLRRQTSSADSRARGSDVASNDPLLRKNASMPSDMSDIGSQCSVHSARRASSWCFDEKVLIQSLYKVMISVAESDPIILYIRDVDHFLHRSQRTYSMFQKMLAKLSGQVLILGSRLLNSDAEYNDVDDRVSSLFPYHVDIKPPQQEIHLNGWKTQMEEDAKKIQIQDNRNHIVEVLSANDLDCDDLSSICQADTMVLSNYIEEIIVSAVSYHLVHTKDPEYKNGKLLLSSKSLSHGLSIFQETGLGGKDTLKLEANEDGLKGAPGSKKPENDKSPGKDGDAPPPKPEIPDNEFEKRIRPEVIPPSELGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARCGEHEAMRKIKNEFMSHWDGILSKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTQESRELILRTVLSKEKVAKDIEYKELATMTEGYSGSDLKNLCVTAAYRPVRELLKKERLKEMERRKTEAEQKTAAAAEDSDKPESKKVSSDNKENNSEKVDSSDSKEGSSESKEDSSETKAEGDKEAFVDLRPLTMEDLRQAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >SECCE7Rv1G0483440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:322927508:322931832:-1 gene:SECCE7Rv1G0483440 transcript:SECCE7Rv1G0483440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGALEDVAQPTTAVKGPKGEAERKQSDAHGRGGNGGGGAGGGGGGRGRKRKNKEVFIYGNYRNYYGYRIDRNIGEDPRLEIFRKEWFAGKDCLDIGCNQGLVTIGLAMKFVCRSILGVDIDKGLIETANWNLRRISRTGNVAPESAKVHNSSDSTTQSCREEVVSEMPNGNISKHEQPDLFEIVSFRSENFVQSTHKYTEQYDTIMCLSVTKWIHLNWGDDGIITLFVKIWSLLRPGGIFIMEPQPWTSYRKNRLVSEVAKENFNDICIYPEKFREVLLDKVGFRSAEVITNRLVGSVAGFDRPIEVYHK >SECCE5Rv1G0341480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:624968825:624969286:-1 gene:SECCE5Rv1G0341480 transcript:SECCE5Rv1G0341480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIFSFGLANGKHAIGSSEPLGSPMPDFPGTPNVEVLDGPDKPTAKPFDKPFDPVHDRKRKRGGLMEEEINVFCSMTEAVKEVATSIRECKPLDVHPDLYGAVMTHGGFSDEALMAALSHLLDNKAQGIGFVAMAYAHRMLWLRSWLGKHYY >SECCE7Rv1G0507120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:758474987:758476946:1 gene:SECCE7Rv1G0507120 transcript:SECCE7Rv1G0507120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACCCFVSQLLIVITLIYLVMTKSKVRTGTCSSATVPLPLPPGPWAWPLVGSLPEMVLNKPAFRWIHRVMKDMGTDIACFRLGGVHVIPITCPKIAREVLKKQDKNFSSRPLTFASDTISSGYKDAVLTPFGDQWMKMHKVLTSEIICPSRHKWLHNKRADEADNLTRYIYNLTTGGSSSTSGIANVDVRHVARHYCGNVIRRLVFGQRYFGEPQPDGGPGPMEVDHMDASLTLLGITFSFCVSDYLPCLLGLDLDGHEKIIKEANTKVDRLHNMVIEERWRQWKSGERQDGVQDFLDVLITLVNGEGKSLLSIDEVKAQCKTMILAAIDNPSNAVEWALAEMVNNPKLLAKAVEEIDRVVGRERLVQESDIMHLNYLKACIREAFRLHPVAPFNLPHVALADTIVAGYHVPKGSHVILSRLALGRNPTVWDEPLHFKPERHMGDNIDVVLTESELRFISFSTGRRGCIAASLGTTMTVMLFGRLLHGFTWTKPPGVSAINLSESKHDLFIEKPLVLHAEPRLAVHLYPLMHH >SECCE2Rv1G0068370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22939480:22940602:-1 gene:SECCE2Rv1G0068370 transcript:SECCE2Rv1G0068370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGSASGWLVLLLALLAVSLAPSCSAAELKTSPTEWSLHLPLPNGVTGAESLAFDARGQGPYTGVSDGRVLKWGGSAVGWTTFAYHANYRKFPMCTVPVAPSEETESLCGRPLGLVFHRKSGDLYIADAYKGLMKVGPDGGEAEVLATGAGGVPFNFVNGIDIDQATGDVYFTDSSVTYPRRFNTEIMMNADATGRLLKYDARTKQVTVLKDGLPYPNGVAVSHDRTYVVVAHTVPCQAHRYYLQGPKAGHYELLADLPGYPDNVRRDGKGGYWVALNQEKGRPGATTAPVKHLVGVRLDGGGVEVEELTAAKGVTLSEVTETKGQLWLGSVELDYIGLVA >SECCE4Rv1G0216950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13552959:13554308:-1 gene:SECCE4Rv1G0216950 transcript:SECCE4Rv1G0216950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKIESSKIVKPSYEDGEAPAHAQWVPLSVLDKVTYGEHVALIFAFRPPNPPNSEVQLGLAKALAVYREWAGQIGDGPDGRRSVLLNDAGARFVEATVDAPMAVSVPSEPSPVMRRLHPRIDDGPVELVQVQLTRFSCGSLAVGFAGHHQIADGQATANFLAAWGLATRRLPVPPAPVCDRGTRFAPREPPLMEFPHREVEYRAPASAKKRDTVDEEHEEFGFVTAAHDKVKVHRMHLSKEFVAQLKARASSGLPPSRRGYTTFQSVVAHLWRAITAARGLGAGMTTKVRISVNGRTRMRPAVPRDYFGNMVLWAFPRSDAGELVSRPVGHAAELVYRAVAGVDDAYFRSFVDFASSGAVEAEGLVPTADSEQAVLCPDLEVDAWLGINFHGLDFGGGSPIRVMPTYYPMEGSLFMVPSTLGDGSMEAYVALFDDHLAKFKRICHKIE >SECCE1Rv1G0009260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:53503659:53508700:-1 gene:SECCE1Rv1G0009260 transcript:SECCE1Rv1G0009260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQGNNLSDFFQANGHLVLQRVDNNYKLRSFTVKEMEHITDRYSISLGSGSFGDVYKGRLDDQRPVAVKRYKNGTKKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLYNILHCSNADGPIPFPLDKRLDIAIESAEALSCMHSMYSPVLHGDIKPANILLDEKYLPKLSDFGIARLLSTDEAQRTKTVIGCIGYVDPLFCQSGILTTKSDVYSFGVVLLEMITRKKATDGATSLTQCFAKALGEKKVRQLFDVEIANDKKKVKLIEDIAKLAATCMKLEDKMRPTMVEVADTLRRIRKALPQRKGESSAGINNGLIRRRKAEDVPTISLDEMKKITRNFSDGALIGESSQGRVFFKVLKYGRGYAFKSSKEIDLKIEAISRLKNENVVQLLGYWVEEKEYVLAYEYVSGGMLHDILHREGDKCVSGVRPLSWKQRVKIALSAAEGIEFLHQKAEPQVTHGNIMSSKILLFDKDSAKVGGVGIANVLVSDNMVHCHSFREDCDMDRVDGIRYHPDDYYVDLYAATGQCNAKSDVYAFGVVLLELLTGRQAVDHALPKGKQSLVTWVYNHGEEKSPWTWQHSIMEDNVLTKTSFSEDMVQRCVDPRLKRYYPRSAVTKMGAIAGLCVNYNPDLRPKMSTVVKGLRQIAAQ >SECCE6Rv1G0411600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:608765779:608772420:-1 gene:SECCE6Rv1G0411600 transcript:SECCE6Rv1G0411600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGREEEEEEVEEVEEEEAYESEVEEEQEEERGGTRPRGGGGKGSGVASFIDDAASEDDEEEEEEEEDEDDEDDDYEEGGRGRGRRPSKRKRSILIDDMAQVDDDDGEEEDEEGYEEGFIDDDARADNPDEDVQRGSRRHFNPNPMDDAEDMENWAEMLKWKYRTQSRSDYDEEGLAEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRQDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIFSSAKILLVPTKEMTDVLFVTSKSADLSRDAWVRLKLGIYKGDLAKVVDVDDVRQKVTVNLIPRLDLQALTDKLKGLKVAKKKSFVPPPKLFSTDEAREMNIPVERRRDKDSGEYFEMVGGLKFEDGFLRKKVSIKSISTQNVKPSLDELEKFGRVGDDVNEDVVRLSTLNRKKGHFMKGDAVVVVKGDLKDIRGCVEKVEDSVVHIRPKRSDLPKTLAFSEKDLCKYFNPGDHVKVVFGVQEGVTGMVVKVEGHVLTILSDTSKEHIRVFADHVVESSEVTTGLTRIGDYELHDLVLLDNLSFGVIVRVDSEAFQVLKGVPDKPEVVLVKLREIKYKIDRRASAKDSKNNTVSTKDIVRVIEGPCKGKQGPVEHIHKGICFIHDRHHLEHSGFICAKAQSCVLVGGSVVNSNRMGVDTADPRLGAFRSPARILQSPGGLPPRGPYMNSGGRFGGGGRGGRGHDALVSRCIKIKSGPYKGYRGRVKEVNGALVRVELDSLMKIVTVKREDIADTANVGTPFRETPMHPSRTPLYPIQTPMREPGATPICDGSQTPLHSQAWAPMSPPRDNKEDGNRGTWGAWGSSPAYQPGTPVARPFDAPTPGSGWESTSGTGFGDAPLNAPTPGAQPMTPIPASYLPGTPGGQPLTPGNAGMDVMSPMIGDEGGSNWLLPDVCVNVSTGDGSTNGVVKEVLLDGSCRVALGPSGSGDEVTALPDELEIIRPKKSDRVKIMNGSMRGLVGRLIGVDGSDGIVRVDSSLDMKIVDLVILGKLAT >SECCE4Rv1G0241260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448329002:448330501:1 gene:SECCE4Rv1G0241260 transcript:SECCE4Rv1G0241260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFPWLTILVVLPIFARWYTISICLLEFLLMTYAFCYHFQLEDPLIQLKEDYKWIDVFDFHWRLGIDGLSLGSILLTGFITTLATLAAWPITRNSRLFYFLMIAIPFTFFIMWGLELIPVYLLLSMWGGRRLYSATKFILYTTGGSIFFLIGVLGMGLYGSNEPGLDLERLINQSYPATLEILLYFGFLIAYAVKLPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGTYGLIRINMELLPHAHYLFSPWLVIIGAIQIIYAASTSLGQRNFKKRIAYSSVSHMGFIIIGIGSITNIGLNGAILQILSHGFIGATLFFLAGTASDRMRLSKISVNAKTLITFVMSIGMILTPIYLLSMLRQMFYGYKLFNVPNANFVDSGPRELFILICIFLPVIGIGIYPDFVLSLSVDRVEALLSNYYPK >SECCE3Rv1G0153240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:52907433:52908011:-1 gene:SECCE3Rv1G0153240 transcript:SECCE3Rv1G0153240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTSSSMLGGALLLLLVLSSANDVHGGSSSSAAPRSRLDELCISLGLGGWYVTPDMCVSALCIDPSCHSVRGLPELAVLATKLTVANATVAKASIKSALAHAKDVKVRKVMQSCLQLYVGAIPRLQWAARSVAAGQYRGVPDVLRAAYSDVAYACTSLAGEVALPKENSEFFMMAFVVDAIVDSMERRIG >SECCE5Rv1G0358230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:754998979:754999810:1 gene:SECCE5Rv1G0358230 transcript:SECCE5Rv1G0358230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGSAAFTNVARVLVCLEEVGAEYEIVDVDFHSKEHKGPDHLARNPFGQVPAFQDGDLTLFQSRAISRYVLRKHKTDEVNLLREGDPKESALVDVWLDVEALSFDPVMHSVFYQHRVAPALGRSPDEKIIGESVEKLRKVLDVYEARLSKHRYLAGDFLSLVDLSHFPETHYFMRMPYAAVFNAYPRVRAWLEELFARPAVKKVIALMAKDFN >SECCE1Rv1G0026990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:376527388:376528503:1 gene:SECCE1Rv1G0026990 transcript:SECCE1Rv1G0026990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALPLKSTSTDMPLGTAMHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKPTTPWGYPALGRRTRKRKKYSDSFILRRRK >SECCE7Rv1G0469060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:105605077:105606271:-1 gene:SECCE7Rv1G0469060 transcript:SECCE7Rv1G0469060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFAEGTSCTTTDPPLADSYRALLCRGELEGGGAAPAHAVESLTMLERDLPIIDLKRLASRDARESKACADAMVRAASEWGFFQVVNHGVGRELLDEMRQEQARLFRLPFGTKDKAGLLNGSYRWGSPRATSLRQLSWSEAFHVSLPSISREDCDYGKLSSLRGVMQEVADAMSRVAETVAGTLAENLAHEVGGETAFPAGCDGTTCFLRLNRYPACPFAADSLGMVPHTDSDFLTILCQDQVGGLQLIKDSRWVAVKPHANALIVNVGDLFQAWSNNRYKSVEHKVVANSKAERFSVAYFLCPSWDSPVGTCGEPSPYKPFTFGEYRRSVQDDVERTGKKIGLPNFLKRSTVDGMDDSIMDLPY >SECCE3Rv1G0147920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:16683454:16684605:-1 gene:SECCE3Rv1G0147920 transcript:SECCE3Rv1G0147920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRGRRSRPTSPLENDDMLWEILLRLPALPSSLPRASAVCKRWRGILTDPKFHRHFRAHHRNPPFLGVFECRDFDIVFHPALDPPDRIPPERFNLQRCSNAGYNNLLDCRHGRILFEDQEQQEVIVCDPITGEKRCVAVPPEYEPSFQPSTVLCAARDQGHVHGACHYSPFKVVLMSSSKDNYLLIWVYSSETDTWGNHISTAVPCKIYDASYSGSLIGNTLYWLCTGDRILEFDLDGQSLPMIKGPPVTDNLNNASRKIMQADNGDVGLAILNYPHFQMWQRDINYPVVPTWVLQKTIDMSIIPGLPPLVEGRAEEWETIEGYTKDAIFICVGGSLHMVQPDSMQSKRLQESDNFFCYHPFTSFYATGDCSSSYIVYMVAE >SECCE7Rv1G0484780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:347080413:347096745:1 gene:SECCE7Rv1G0484780 transcript:SECCE7Rv1G0484780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGMEVEVRVVGGARSCFVALPLHLIQALSRTSASGDLPPVLALDLRAAAGARWSLAWSGAASRSRAIEVAQELAECISLPDGTIAQLSVARSLTRAESVSIEPFSEDDWEILESRADLAEETILQQVGIVYEGMKFPLWLDGHNIVKFVVVSSSPKKSVVQLVPGTEVAVAPKKRKEKYQDVQKQGSLKEHVETKALLRVQAADRKYAHKFKSKGIELGVVLSYAVLIHPDTAARTSFGNLQLVTISSKSSPKELTEQGKEAAQKKGVSVPKRTREVVVYVLFSDSVAKGHVMLPYSVRHFISADTHSWVYVKKYKANVKKDEPIMKMCPLHFSMHEKYVHDNSDLGGHEKDTWRNTSIPSENGNFFQKAPNNEHLLSADADSISESMSEKKVLIKHWLIGQLKEMAFHAENSEISSVVLPAKVLIHFEAVDGKLSRGVDFLYLLTITFENPGYNNSQGNVEITWKAPTDDLENLELNFGRLELGGALSFDSVVDDVFNNAFKLTRSSLGWMENAMSDVTKRLSVLVSSTALKLFNRLKLPFPGHVLVHGPRGSGKTALTRAAAKYFEDHQEILAHIIYMDCSKLAIGKAKETRQTIEESISEALLHSPSIIIFDDLDNVISVSSDPQVSQSSSSSDSLVRYLTDILDEYKDKSRNACGYGPIALMASVQSLQSLPQELTSSGRFDFHVELRALAIPEREALLKHQVEEHKLQCSEEVISEIASKCDGYDAYDLGILVDRAVHAAASRFVLPSACLNSVNPTLVKEDFLKALHDFLPVAMRDLRKYAPDGNNGGWEDVGGLNEAVTIIKETLELPAKYPNVFTKAPVRMRSNILLYGPPGCGKTHIVRVAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFDSIAPQRGRHSAGVSDRVVNQFLTELDGVETLTGVFVFAATSKPQLIDAALLRPGRFDRLVFCDFPRWDERVEILKVHSRTVSLASDASLEDVASLTEGFTGADLAAILTDAGLAAVHEVLDSRQDGIPEREPCISKELLMSVAMKARPSTPADDKSGYDKEFGEFVSSRKSLSTKARESKGKKVTLA >SECCE7Rv1G0509460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:781007456:781009393:1 gene:SECCE7Rv1G0509460 transcript:SECCE7Rv1G0509460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRQRHFLPAKPRRRPKPQPKPAAEPSAPTYTRDIVRRVTNILRDHPWSAARPLLLSLPGLVWDSHVVARVLKTHPPLQKAFLFFRLAASPAADPRAAFRHDRYTYTSMLHLLGEAGRVPAMLRLLAEMLRAGVDPDAATFTTVMHWLARAGDVDAAMRVWEEMRSRRGRCRPTLVSYTACVKILFDAGRAAEGKRVFEEMVAEGLRPSCTTYTVLIEHLADAGKFQATLQIMSDMQDAGVEPDKPLCNILVKKCASAGETSVMTFILQHMKEKGIVLRRPVFLEALEALKASGESANLLWEVNPHLASEGMEYDPIFSHRSYITDKSTIIYLLASRNWSAIEQMVNELTTRNVKFESHILSDIIQASCANCRPSCGLTVLYYSLRVGSELDRSAYSCLLGQYIRNDSFDLVSKIVERLIKHGCNLGAYLLSVLILRLGSAGHSSYAAHIFGLSPADQNVITYTALMSAYFQAGEVDKALELFSQMITNEISASAGTYEVLIYGLHKAGRKQDSDRYRRERMEMQWHRQYRDKHSPEDSLCDHIFCGFHG >SECCE6Rv1G0380420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:22463747:22469461:1 gene:SECCE6Rv1G0380420 transcript:SECCE6Rv1G0380420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIAFIALTIFWKSNMHRDSLTDGGLYLGVLFFIVSETMFSNLGDLGGTIMKLPFFFKERDVFYPAWAYTLPTWILKIPITLVEITILVSMTYYAVGFDPNIGRFFKQYFLLLALSQMSSSLFRLIAGVTRNMFAANIFGTFTMLLLLLLGGFLLSSENFNKFWMIGYWISPLMYAQTAISTNEFTSHRWSKALPGSTESLGTSVLKSRGIFVEAKWYWIGLGVLIGYTIIFNGLYTAAFTYFKTYGRGFSSLTNKALDIKNAKLSRDAPSKRSHQKRVMNELESPTNNGRVSLPFVPLSLTFDNISYSVDTPKENKARGEKKDRLEILKGVSGCFRPGVLTALMGISGAGKTTLMDVLAGRITGGYKEGTITVSGYQKKQETFSRIFGYCEQSDIHSPHLTVLESLLFSAWLRLPSEIDSLTRRIFVEDVLELLDLTSLQGAQVGHPGGYGLSSEQQKRLTIAVELVANPTIIFMDEPTSGLDARVAAIVMRTVRNLADTGKTVVCTIHQPSVDIFETFDELFLLNRGGEEIYVGPLGEHSLNMITYFEGIGINRIQEGYNPATWMLEVTSTIQEEMLGINLSTIFKQSELYQKNEALIKDLSTPPPSSTDLHFPDKYSQSLVKQCLICLWKQNLSYWRNIHYTGSRYCITIIIALLFGTVFWDLGMKRARRQDLFNSMGSMYAAILMIGISNASGVQPVIAMERIVFYKERASRMYSSLPYTFAQVVIELPYVLMQTLIYGVLVYVMIGFEWTATKFFWYLFFMYFTFLYFTFFGMMAVGLSPSGGIATIISSASYGLWNLFSGFLIPVSRIPIWWSWYYWICPVAWTLYGLVASQFGDVEEKLETGETVAEFLRSYYGFRHEFLGVVAIVTVACTMAFALLFGFSIKYINFQRR >SECCEUnv1G0537050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67375190:67375675:1 gene:SECCEUnv1G0537050 transcript:SECCEUnv1G0537050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMILLNSSDGESFQVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKTLAKVIEYCKKHVQASPNPADSAAPAEDLKSFDAEFVKVDQAILYDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNITNDFTPEEDAEIRKENQWAFE >SECCE7Rv1G0505830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:741313119:741315617:-1 gene:SECCE7Rv1G0505830 transcript:SECCE7Rv1G0505830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAWRRSAAARAPAHLCLWLALVAATLVLAQGKKSNLSEVTHKVYFDIEIDGKPAGRVVMGLFGKAVPKTAENFRALCTGEKGMGKSGKPLHYKGSSFHRIIPSFMIQGGDFTLGDGRGGESIYGTKFADENFKLKHTGPGYLSMANAGKDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKVEAEGKQNGTPKSKVVIADSGEVPL >SECCE6Rv1G0402800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:488736885:488743186:-1 gene:SECCE6Rv1G0402800 transcript:SECCE6Rv1G0402800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRIRFGRQPPLSCSDTEEEEEDEEEEEEEEEEEEDEVPAASPLILPAARGGVSVVDMVAAALRRSLLLCSSVRAEEGTGTGAVGMQIGQPTEVRHVSHVTFDRFVGFLGLPADLEPEVPRPAPSASVSVFGVSPTSMQCSFDKRGNSVPTILLTMQRKLYLLGGLQAEGVFRINADNRQEQHVREQLNRGVVPDGVDLHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECSRVASIVPPVEAALLDWAINLMADVVEHENYNKMNARNVAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKEREEAAAATRGFTSSSGSPSDKDAPQALNHFGNPLNCSSQENVERPMISGATLDHFLFSVEQALHQDAQASTGEPKKCDTGAAHDKHNNEFIPVDSDFNSSIQDDSSSGNKFSNDNAEGLFDRFKFRKGVGRLCRHPVFQLSRSMKKSDEAGQACA >SECCE1Rv1G0026460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:371269250:371278429:1 gene:SECCE1Rv1G0026460 transcript:SECCE1Rv1G0026460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06950) UniProtKB/Swiss-Prot;Acc:Q8LPR9] MELSLVSARPSPRAAASPLLFAPLKPLPLLRFPPRKRTAFARLRSRRSRRVAASGEGEGDAADRDEEVFGSRSGQVFGGRRELTGVQPLVEALPPVARTAAELAVAVAAVAAGYGIGLRAGGGSRAAAFAGAAVLGGASVAAAVAVNSVVPEVAAVGLHNYVAGHDDPTKLEASEVEAIANKYGVSTQDAAFKTELCDLYGSFLYSVLPPGDEDLKGDEVEVIAKFKRGLGLDDVDAANMHMEIGRRLYRERLETRDRDADIEQRRAFQKLIYVSNLVFGDASAFLLPWKRLFGITDSQIDIAMRDNAKNLYAIQLKSIGRGLDIGTLIEVRRAQLACKLSDEIAADMFREHAKKLIQENISSALDILKSRNKATNSPTQVIEEVKCVLAFNSLLTVLSKHPQGDRFARGLGPISLAGELDHDRRAGDLKTLYRTYATDVLSDGPVDNEKLTPLNDLRNIFGLGKREAEAIISDVKSQAYRKRLAKSFNTDLAAASSKASFLQNLCEELQFDPELASKMHEDIYRQKLQQFVADGELSKDETEALTAFQVRLCIPQETVDAAHTDICGQLFEKVVKEAILSVDGYNAGRREAVRKAAQSLNLKKEAAMTIFSKAVRKLFLNYIQKAKAAGNRIEQAKELKKLISFNTVVVSELLADIKGELTTAEPATSSATSEPETTESEGEDEDYEWESLETLKKTRPDKELKEKLGKSSQKEITLKDDLPLRDRAELYQTYLMFCITGETTNVSFGTAISSKKDDSEYLMLKQLGHILGLTAKEAQDVHIKLAEKAFVEQAEVVLADGKLTESKADQLATIQKQVGLPSEHAQKIIKSITTTKLSSAIEASISRGQIGIQQVRGLKDANFQLDSLISEPLRETIYKKCAEEIFSSGTGEFDEEEVYVKMPADLMISAEKARSIVQGIAKVRLENALVQAVALLRQKKRDGVISSLNDLLACDAAVPASKPLSWPTPRELDDLYCVYMKSIPKQDKLSRLQYLLDISAEKAEDLRDAATAGTLPQTGQEEEELAF >SECCE5Rv1G0343180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:636295715:636296623:-1 gene:SECCE5Rv1G0343180 transcript:SECCE5Rv1G0343180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDRLTAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFSDEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLTSRGIDPVTHRAINSDHAASNITISFEAAQRDDKGAVFRRDAEPTKAAITHVDHHHRSNPQMDWGQGKPLKCPDLNLDLCISPPTPEVPMVDTKPVVKREAGVGVGVVGACFSCSMGLPRSADCKCSSFMGLRTAMLDFRSIEMK >SECCE1Rv1G0027410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:382510254:382511163:-1 gene:SECCE1Rv1G0027410 transcript:SECCE1Rv1G0027410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGLAEVAAKGVRTDKGFKEADKIKVAKALTAFVGYDVSNTQVHNHLRKWRTRWQRIVHLRGLSGALWDDDKKMIILEEQHYLGHTQDHPTDAELLNTPLEHYNYMELCFADKLATGRFSMGSGVPLGKLVDVEGKEKPIVVEGQGTSGEGFVNGPVGSEFLFVGASETNDPSPSTTKKRKRTSVMTEEDSIQVNNMSDAVREIASAINNTCHTETHPDLYKAVMDLVEFDLAERLAVLDYLTEHKGKGLNFVKMDEEVRKASFKRILEKNPDLV >SECCE4Rv1G0227400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:122437536:122439889:-1 gene:SECCE4Rv1G0227400 transcript:SECCE4Rv1G0227400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELVPGDHVLPVFTGECKDCAHCKSEESNLCDLLRINVDRGVMIGDGQSRFTIDGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGLGATLNVAKPKKGSTVAIFGLGAVGLAAMEGAKMAGASRIIGVDLNPAKYEQAKKFGCTDFVNPKDHTKPVQEVLVEMTNGGVDRAVECTGHIDAMIAAFECVHDGWGVAVLVGVPHKEAVFKTSPMNLLNERTLKGTFFGNYKPRTDLPEVVEMYMRKELDVEKFITHSVPFSQINTAFDLMLKGEGLRCVMRMGE >SECCE3Rv1G0188540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:715350355:715358200:1 gene:SECCE3Rv1G0188540 transcript:SECCE3Rv1G0188540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAAEAFSIRGFAAMMRAVDAAKCWPFGGSEEGYSETPQLPPMDPTPRSRWWADELAAARALPGVCAAGGDFAVGGGMGDGLGKATKRKGSRGSGGAERAKRRRRALQSSFFFKHKERTSKPQPASRLLQRMLHKGLLRKRKGCTIPTLRELVMRKKLQERQDHMSTHRNSLKKQSVGGMDHEESIKISRPTDDPVNPGCEVAKQCPPKDDIFGDLPLLESSQAMFHTGVDVLPTSIIEDSFVENQNGPDAISETEPLELLPIADISKQTSPPLEDLVKKEQSPDKESTCIFLNDSGRSHSSSAEFDGLLSHTSVTIAKTSLPEMQLKSTDVPALSSYCNEGAKSGSSNCAQGHLYTNTNCFQEMGRPGTSSVATGTRTDAIKKEDAAVHGVNNASVTMAKTSLPETQLKSTHVPALSSYCNDEAKPCPSNHPQGHCYTNTNCFQEMKRPGISSSAVRTITAAVTEDRDATVHGPNNTSVTMAKTCLPEMQLKCTHVPGLSSYCNDGAKSGSSNHPQDRFYTNTNCFQEMEGPGTSSAAVRTSTEAVAEDRDAAGHGLNNISVSMAKTCLPEMQLKSTHGPVLSSYCKDGAKSGSSNDPQGHFYTNTSRFQEMTRPGTSSMAVRTRTEAIEKDRDAAVHGKKSTGIPGRLVPTEYHPSGEGNLSSSVMSQRTVNAGINADGMSFCRSMPAQEYVPASIPCKVASNAYHESRKSVDTCTSLSMDDQGSWYSKNYPGRSPASIGLPFMELPGLEKMEISSYDPRTGENNFMNGRSMNIVRCQQQKQVSGMTSTMQSQNKIGFSDSQAGKRAPDGFVRRDNCHSYQPTMRLMGKTVSLCKGSMEKEVPTTGKWIDKNVIQGDRPSSVSCQLPPKKVFPYQDSVIPRTRVHESSDTLPRIPNSPFAEARPIVSDAQNHRLPQTSAVKDYTWNSGSQFGHQARVNKEYVIGNSRTRQLSQPPELISIHRNQYLHLGNPASSMYAEEHTFAGSAVNRSSPSFPQWSLNTNMQGKYQIPTSLVYEDPRSVRTHQSPCQVPGANLFSASNISFHDYGTKNAESRNSYQRAHPSLPSSAASKFHDYCTRNVDPRYSYQGAHLSLSTSVASKSIWASRSISAISPTCTRDVLNTDGRKGVSLVDERSKRPGCADNVSQQPAKRQLVADKPELTSSMFPCMEKYSFGWSVNDTVGPRMLDFSNKNSRTCHTNIKR >SECCEUnv1G0530180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:11553444:11557284:-1 gene:SECCEUnv1G0530180 transcript:SECCEUnv1G0530180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKEQEKLSQVKGVVKVRPKQDKLLGVKGKLLQSGLKSKIFRRKGRGICPEHVKHQTLCISEVRRYYKLASDEANHLDAYSKCRPVIGDGECFYRSFIFSYLEQVIDRQDTREEHRLLRRVSTQRANLHWNSEFSRSRRAFKELIEKVMKWKSTLSTSSRRKEKLLKFFSTYDTTQDIFVFLRLLVAIQICSHREVYEPIIQGPGGNYSLEVWCLQHVIPARVYADHVMMVALARALEVPLRVESLQRGYAPDIYTGPGVPCPGVTLLYTGNHYDILYPRAPSAGSSIHQASRREHPGDQSSSHQASQRKHPDDQSSSQRTF >SECCE6Rv1G0453620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:884086647:884093327:1 gene:SECCE6Rv1G0453620 transcript:SECCE6Rv1G0453620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGRTLLVIFQNGSDDEIDLARFGLPILERGGHTILWTFRGRFRLDPAIKDKVKSAHIYLYCYPRSGYDITKSDIIKLVHEEASQVRHDISPTLITDCWFYMCLLYFDHDNLIDYDENAHASNYWKSVWISVTSKNVKNQNIQTVPEETTSYFLTLEKSDQPTALPKHLFDQSSKLRVLRLSCCTFSFASPPFICCRNLKFILMDSCRDEVVEFIDDTDKKQLIEWEFLKSLWVLDIRDTDWDWILSPSKMVLMTELRELNLKAAGASSCVWDMAKLELTWLCNLHRLRVIDSSTFFTALVQDSFMGMQKLELLDLSGNSDMDVLPNISAASRLRVLIVDGCVGLQQVEPGALPKSLESFSFDGFGRASKWKSSLHMPEKQVRPSVCANHEPPKVSKISLEGCEQLKNIFLRGLPNLKELNLSTQQSKHSTSRQCKFCKLSASFYWVAGTFADSSGEASHQLVHIVVTDTKFLRAFESEIFDNTIGITESNQLFHIHLASSVGQRKLIGNKEGRVTIPSTVGYPYMDVLKEVIKKEGNGEAWLAQACTQHQPLGRHIEVAKGVCNLESEQQIKRRMEHLMAKAESFHMHDHPSVTTGNLETQIYELQFPNIRWCCIQRCPKLHTVFLVDQYPMKIGSFEKMETLHASHLLAVRCIWSRKLRFYKEKTGPFRAFQNLRYIHLHSCPRIKFVLPWSFHTLSSLETIHITYCGEIRQIFPKGEPYRDEVATSIEFPSLKHVHLHELPMLQHICEINMLAPALETIFLRGCWSLMQLPAISSGRALDKPLAVVDCEEDWWDKLKWDGLEASRPLFSPRHSRYYKKAMPRVSVLR >SECCE5Rv1G0330740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:532493455:532495020:-1 gene:SECCE5Rv1G0330740 transcript:SECCE5Rv1G0330740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGAGIGGKLTRTPSSLLRSPTVRNCSSFQAVLVEDPEPDDKKSQAPAQGKKALHPHGLRPGGPPHPALILALPLALLLLLLLLRDDRHLLLLAAAATAALAAAAAAARLLRGRLRMRRSSQSGSVQWFIGDEDDKPRDSKGRVAAAAAHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYRFANGHCYEGSWHEGKKQGFGMYTFRNGDKRSGEWDFGTLKSPLPPADPSVERAVQAAQRAAENAFHLPRVDEQVHKVVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >SECCE5Rv1G0346130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660412848:660413297:-1 gene:SECCE5Rv1G0346130 transcript:SECCE5Rv1G0346130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSRKLAQLAKKCRQMLVAGAGAHRRQASDTADNECCSTSSSVVADEGHCVVYAADGARFEVPLVYLGTTVFAELLRMSEEEFGFASGSEGGRITLPCDSTVMEYVLCLVRREASEEVEKAFLSSISRHCHSYNASCMAPFHQFALCT >SECCE4Rv1G0296010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:901323321:901327566:1 gene:SECCE4Rv1G0296010 transcript:SECCE4Rv1G0296010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFGGVSTCGLKAAAVPTGFAAKKQLSLVSPPSVSLPQRIRPGRKCSFRVKAAKELYFNKDGSATKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPRIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVILSQGMIAEGVKIVAAGANPVQIARGIEKTTKALVGELRKMSKEVEDSELADVAAVSAGNNYEIGNMIADAMSKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKINNARDLITLLEDAIKGGYPILIIAEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEIGLSLDKADKEVLGNAAKVVITKDSTTIVGDGTTQEEVTKRVTQIKNQIEASEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAALEEGIVVGGGCTLLRLASKVDAIKETLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLGNDNFRYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKESEQAPAANPMAGSGYGF >SECCE1Rv1G0018470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:194602801:194603346:1 gene:SECCE1Rv1G0018470 transcript:SECCE1Rv1G0018470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANARLGVLVMAAMLSASFLPLVTARGRGGPINPLVAGVCAHTPFPELCKGTAGRHSAKYPTIDNLAVLNMQVDAFAKRTAQARKYVSSASRKGTPAQTQALSFCDTMYMNTQDTIGAAQRAITFKDKGTAKIMLQLAVQDFQSCDRPFQQSGIPNPMLKYDEELSQMANNCMQLANMM >SECCE6Rv1G0403220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:499163044:499165526:1 gene:SECCE6Rv1G0403220 transcript:SECCE6Rv1G0403220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHAGEVHLQYFMPRQQQDATDLPDLAASASTSSTASPAAAMWEYHQPHAALQPSPSWSPYTGPSTAALLDGSTFAADSVAGAADMRLPVGEHVHGHAWSHDELSNDNTGYRENFLDLLASKNVTQEMFEDVPAGRYAAAPAALGARFEAGSDVSPIKYEVAPSPLFMGSGSNAALEAQGMNMMSCMPSYGDGHHHQRKEGISHQQQEQGNPMASFLQQISTRTSAAMHASLDYSGLGALDKICQEGRGMEAASPFSLRSLPDFGSLGGYKPTKESTLVPPYMRSADRADSSRQEREIVPARSSSSGSGPPAATDRKKRTSEERRESTVKKSKQEASTASPPKQPVPKVKLGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQLLSEPYTNASRNKGNCNNLLPWGDRAEASKGEGEHDLRERGLCLVPVSWTPEVYRDGNAMDYWTPAYRGCLYR >SECCE5Rv1G0361460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:779580447:779583104:1 gene:SECCE5Rv1G0361460 transcript:SECCE5Rv1G0361460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQRVLLIVLLLLSSARSTLEAEEPARALSVGDELVGETMPLRHGRRVYSLAGLRPPAWYEVKISYPASIPSSFSIRLVSDPDAAEGQGSSKNRRLLNTEKIIFKAESTRPVYVLVTVEPEGVVAKPNVQERELALFNIVCDELLLGIPRFAWWVGIAAVVCLVLASLAPYFLPIHKLLNYEGAKSSNADAAKLS >SECCE6Rv1G0439550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:797059255:797061443:-1 gene:SECCE6Rv1G0439550 transcript:SECCE6Rv1G0439550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGRWASPERTVVWTEPPPKTTSAATRRHAHAHGAPPAKVPVVYYLSRNGQLDHPHFMEVPVSNPQDGLCLRDVLDRLTVLRGAGMADAYSWSSKRSYKTGYVWHDLTADDPVHPASGAEYVLKGSELLRLLPAPAYPRDASAGSSSSSSSSSCQAGSENNKKMPAAAISRAHGRRKNWSSFDLGEYRVAAARGGAGADAATQTDERRGRRRGTEPSPPQEPTTELGADEISPPPSSSSPDTLETLIKNDVRVAAATNAVAARREEEDAGLAQQGVIAGGRMRASAVLMQLISCGSIPAAPKRDAGQGGARYTAERRLPRGRSDLSSSTGGADGFSGSIGVGASMEREYFSGSLVETKKTASGDRAGHGGELGALKRSSSYNADRGRESAMSTASTTTGEGCKMELAAEVDGVRARCIPAGRKPGGGSSSKKSPTTHVHVSSRRGDQPETTDDGRAD >SECCE5Rv1G0311420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:192910820:192911397:1 gene:SECCE5Rv1G0311420 transcript:SECCE5Rv1G0311420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHMKMAILRQEQTFRQQVHELHRLYEVQKRLMKEMQAVKMGPAQAREDTQPEPALGTDRPQWHINSGEKTAPFIEDFNLELTLATGGDTRKQEMTSNSESGATVTSSTSAESESGQRFPESNVDLRFQHESKRHDDQLTQSPWLYQCLSLKMA >SECCE3Rv1G0150140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30728569:30729912:-1 gene:SECCE3Rv1G0150140 transcript:SECCE3Rv1G0150140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKLVLNSNRFTGPIPALPNNITWLDISNNKFSETIPSNLGASLLEVLSVHSNQIGGHIPESICKLEWLVYLDLSNNILEGEIPQCFEIHNIEFFILSRNNLSGKMPAFLQNNTDLKFLDLSWNKFSGRLPTWIGMLVNLHFLILSHNNFSDNIPVDITKLGYLRYLDLSGNNFSGVIPQHLLNQTLMRTLQQDSVGMDGSIDSHGTTKLRISRTLSVEQLGHILSVQTKGQQLIYLFGRTLAYFVSIDLSCNSLTGEIPTYITSLAALMNMNLSSNQLSGQIPNMIGALQSLVSLDLSQNKLSGKIPLSLSSLTSLSYLNLSYNSLSGRIPSGPQLDTLSAENPSLMYIGNSGLCGPPVHKNCSGNDPSIRGDLESRKQEFDPLNFYFGLVLGFVAGLWMVFCALLFNKRWRIAYFRLFDKVYDHVYVFVVVKWASFTKSTDEE >SECCE7Rv1G0517870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856543641:856545509:-1 gene:SECCE7Rv1G0517870 transcript:SECCE7Rv1G0517870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYGGVYNIHGWGDPYFAVNTDGHLCVRPHDRDTAPGQEIDLLSVIQKAAATAADHDDKKRRFQFPMILRFPDVLRHRLDSLHAAFATAIEHTGYRSVYQGVFPVKVNQNKAVVQDMVRFGHQYGYGLEAGSKPELLIAMSCLTGAKPGAYLVCNGYKDKDYVALALAARAMSLNAIIVLEMEEELDIVVEQSRRLGVEPAIGVRAKLLTKIPGHFGSTAGKHGKFGLLADRIYEVARKLRGMGKLHWLKLLHFHVGSMIPSTNIVFKAASEAAGIYCALVNDCGAEAMTTLDCGGGLGVDYDGTRSGSSDMSVAYGLEEYASSIVQAVRLKCDDNGVPHPVLCTESGRAMASHHSMIILEALSAIPEPRDDGETTEQLHAKIHELASKQLQPRAVLNLKGDAAAGMSTMSSAHAVDIKKHGIEMYKLGKKLSKSVLADATTIYNYHMNLSVFSLIPDFWGIQQLFPMMPVSRLHERPTRMATLLDLTCDSDGKVERFIGGAETLPLHPLDPKLGGYYMAVLLSGAYQEALSSKHNLLGGPSLVRVLGGDNGKFILDTVDLGPSTEELIGTMRYDVKKDIGGVIEERAREKQVWEMVEALVENALNTMPYLVDYQHPPTA >SECCE5Rv1G0329800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:522028809:522033810:1 gene:SECCE5Rv1G0329800 transcript:SECCE5Rv1G0329800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGEGARAAAAAAGGGGSGGFIHSVVGLSSASTPLLFWLLTVALVAAIHVASAYMSSSSSSPRGEDKETEKRPRRGGFPGDERDGEADGHDDRVLQLMRSFSFVHASEEDFVEGLADYQRAFDDTPPEPVAPPSPPPAPDSPSSLCFSFQNQMPEIPREAPVVSTAVPVQEEEEHEEKRQGKESPIPFAPVATREHEHEAEEAEVLVDEEEEDDEQSGEAVDAEPKIVPATHNYRFLTERDFRGFVREPETMTVRVQESFVPPPPPPAQPEERRVVDVSSRRGSFVTANGHRPADKLVACDRVAPPAQSEERRVVDGSSRRAGSVTANEFRPADKPAAFDRVASPTKMAPSRRKPTSSVSKGSAASGRTSFASEFSGFGDSDSDSSASDDGYSVKDLVVDSDSDWFVSEKDCPAGVHDAASLRSYKAKVLKAMESLEEADLLQLSFQDSSATTVSLRSVAQASPDSIKYPEDMWSRTPSPESEYKEDDETGTPRETQEAEAKIIQGEGSSIDMSDDDERSSSSKKKMIAAPVYDTEFAGENSLEHSEKEIITINDHSYEAISDAKSSPEVTTDRELVVSSHQAVYDTERSPQPLERELVGTTGHSPELVSLDREEAASINGQSDADDKRSPEHPEQKFVLVEGHSHELVSDVWKEIAGAEEEEEGEVAYDFMGSPEPSEREFVSRNAHSDELSSDDHKAVARTNDRPYAVVSDDRTIREHSEQEFSRDDRQYGVIPHGENISEPTEDKHASADDHPAKAPRHVHFSVTEKAKSLDEEEDKEGKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSAGLPTILEESESPKAPMEDLKPWRIDAKFLREDPMDELNKFFKSYRERMRKFDILCFQKMYAIDFLQLRGPQQSANSLKALSPTALSILSHNFRSARWRSPEDPSDRLLKDLRCDLETVYVGQMCLSWEFLRWQYEQACDLPESDPYHSHHYNQVAGEFQQFQVMVQRFVEDEPFKGPRLPDYVKERCPFRNFLQVPVIREDSLKDRMEDQRKGNYVITSEELEVVMEESMHILWEFIKADKEPQTSVLKGLSTAHVELQDPRDEALVKAIHATLQKKEKRLKDLLRTGNCIVKKFKKPKEDRSDQNLFFSQVDMRLVARVLRMPRITGDQLQWCKAKLDKIMLVDNRRIHREASFLLFPCLEYKT >SECCEUnv1G0565390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:393834009:393834713:-1 gene:SECCEUnv1G0565390 transcript:SECCEUnv1G0565390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSTSLPSRPHVSETEVEQELQSLEASISSSNSISTVCDGLRSLANIYDALEEIICLPSNQVCSSQQRNILDEEMEGSLELLDLCSSMQEIFVEIKAIIQELQVALRKGDDAATQAKIQSYTRLVKKAKNLFKKNTKKTPADCKMVMLLTKARDSSASLLESTLRLLSKQIEMPKQSLVSKAFHKKKAVVCKEEQLSELECSIGDLESGAGHLFRKLVQSRVSLLNILSS >SECCE6Rv1G0443980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826188166:826191381:-1 gene:SECCE6Rv1G0443980 transcript:SECCE6Rv1G0443980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTSDDDSDISDSEIDEYEGKIQARLVSGDFKFRNGDSYGCPFCTGRKHKDYNMQSLLQHSSGVGAAPNRPAKDKASHRALAKHLKNGVAKPSEPQQPQEIALEPQQPQPQQIAVEPQQPLPNRHEKFVWPWMGVLVNVPTEWKDGRQVGESGNRLKGELSQFCPLKVIPLWNFRGHTGNAIVEFAKNWNGFRNALAFEKYFEAGGCGRRDWKQNQNQGPKLCGWVARSEDYHFPGLIGDHLRKNGDLKTIDDLESEGKRKNNKLVANLANQIEVKNKYLQELELRYNETTVSLEKMMGQREERLQAYNEEIRKMQQLARRHSEKIIDENQNLRSELESKMSELNARSKELDDLASKSSHDRSNLEQEKQKNAIKSNHLKLATVEQQRANEDVVKLVRDQKREKVAALNKILELEQQLEAKQTLELEIQQLKGKLEVMKHMPGHEDSESKDKINELGEELQDKMDELDAMESLNQTLVIKESKSNMEMQEARKELENGLLNLPGGRAHIGIKRMGELDLKAVSNVLGQKLSKEDAEVTAAILCSKWEAEIKNPEWHPFRAVMVDGKEKERIDADDAKLQELKNEHGEEIYSLVTRALREYNANSTRYPVGELWNFREERKASLKEAVQVVLRQWRANRRKR >SECCE2Rv1G0117190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:770631477:770632406:1 gene:SECCE2Rv1G0117190 transcript:SECCE2Rv1G0117190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSFGDSFSATSIRSYVAEFIATLLFVFAGVGSAISYGKLTQGGALDPAGLVAIAIAHAFALFVGVAMAANISGGHLNPAVTFGLAVGGHVTILTGLFYWVAQLLGATVACLLLQFVTNGQPMPTHAVSGISEVEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPMAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFSGHWVYWVGPLIGGGLAGLVYGDVFIASYQPVGHQEYP >SECCE7Rv1G0460360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:31187059:31188226:-1 gene:SECCE7Rv1G0460360 transcript:SECCE7Rv1G0460360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRCAPAPATTPRPRSRHRLRCRCCEDTLGVPRRRATASSSGAREQEEHQQQHYFPELRPLPYPAPPPRPRRIVLVRHGQSEGNVDESAYTRVPDPLIGLTPKGHRQAEDCGRRLHGLLSSGHGDDEEEDGDDDWKVYFYVSPYRRTLETLRGVGRAFDARRIAGVREEPRLREQDFGNFQDREKMRVEKETRRRYGRFFYRFPDGESAADVYDRITGFRETLRADIDIGRFQPPSPPGAPTAPEMNLVLVSHGLTLRVFLMRWYKWTVRQFEGLANLDNGGTLVMQTGEGGRYSLLVHHTVDELREFGLTDEMIDDQMWQRTARPGELNYNFITNGPSFFTHFS >SECCE4Rv1G0224160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:77685489:77689182:1 gene:SECCE4Rv1G0224160 transcript:SECCE4Rv1G0224160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMALSAFVTTPSSSYNNNLPGLTARRSQRWHARRLRSQVRAQAQQELQYNKLGDSDLLISEITLGTMTFGEQNTEKEAHDMLSYSFDQGINILDTAEMYPVPTKKETQGRTDLYIGRWMQSKPRDKVILATKVSGYSERSTYLRDNAEVVRVDAANIKESVEKSLSRLSTDYIDLLQIHWPDRYVPIFGEFSYNSTKWRPSVPFEDQLKAFQELIDEGKVRYIGVSNETSYGVMEFVQAAKLQGLPKIVSIQNSYSLIVRCRFEVDLVEVCHPNNCNVGLLAYSPLAGGVLSGKYLDANSADAKRSRLNLFPGYMERYNASLAKEATDEYVKLAKKQGLTPVQLALGFVRDRPFTASTIIGATTMDQLKENIDAFTSAPRPLPPQVLDDIEILFKKYKDPAIL >SECCE5Rv1G0351020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:695313488:695313721:1 gene:SECCE5Rv1G0351020 transcript:SECCE5Rv1G0351020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIQAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE7Rv1G0474940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:179791405:179794866:-1 gene:SECCE7Rv1G0474940 transcript:SECCE7Rv1G0474940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLESTMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIVFIGSPVTYDKKVLETIGKKLKKNNVALDVVDFGETDDEKPEKLEALIAAVNSSDSSHIVHVPPGDHALSDVLISTPIFTGEEGGSGFAASAAAAAATGATGYDFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDNKDHASSSTDAIMAEAELTLNAPADVDADLLKDDDDAQLLQQALAMSMDEGASGAAAVADAAMTEAAADDQDLALALQMSVQDAEAAGQSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGEQEEKKDKEDKPDKPEDGKN >SECCE1Rv1G0002620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9812014:9815653:-1 gene:SECCE1Rv1G0002620 transcript:SECCE1Rv1G0002620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILLSKMPCCSTPLSYLYPLLVSCLLLLKEAHAARHGGISLRTQHMALLHWKATLASPPLQMSSWQEDTSPCNWTGIMCTAVRHGRRMPWVVTNISLPDAGIHGQLGELNFSALPFLAYINLRNNTLRGALPPSITSLSALSVLNLPHNQLTGKIPSEIGGLQSLMLLDLSFNRLAGHIPASLGNLTKLTDLHIHQTMVSGPIPEEIGRLINLQNLQLSNSTLIGTIPKTLGNLTQLNTLLLYRNQLSGPIPQELGRLVHLKILQLGSNDFSGPIPISITNLTKMNQLFLSENRITGPIPPAIGNLSMLKQLFLYRNQITGSIPPAIGNLNMLNQLSLFENQIAGSIPPELGNLTLLSELYLYTNQITGPIPLELGTLVNLQLLDLSDNQITDSIPREIGNLMNLEYLSLYQNQISGSIPGSLGNITKLVELSLSVNQITGSIPQEIGYLMNLRHLGLYQNQIAGSIPKTFGKLQSIQSMEIFSNNLSGSLPHEFGDLVSLVELQLFNNSLSGPLPANICSGGKLQYLYVSYNMFSGPIPRSLKACTSLVRIGLERNQLTGDITRDFGVYPQLTGMRLASNRFSGQISPNLGASTQLTVLQLEQNMITGSIPPILSKLSNLVELRLDSNHLIGEIPPEICTLANLYRLNLSSNQLSGAIPTQIEKLNNLGYLDISGNRLSGLIPEELGACIKLESLKINDNNFSGSLPGVMGNLAGLQIMLDVSNNNLSGVLPQQLGKLQMVQLLNLSHNQFSGSIPPSFASMLSLSTLDVSYNELEGQVPTARLLQNASASWFLPNKGLCGNLSGLPPCYSTPVAAHKKGKILGLLLPIVLVMGFVIVVAVVVIIILTRKKRNPQESVTAEARDLFSVWNFDGRLAFDDIVRATEDFDDKYIIGTGGYGKVYKAQLQDGQLVAVKKLHQTEEEVDDERRFRSEMEILTQIRQRSIVKMYGFCSHPAYKCLVYDYIQQGSLHRTLENEELAMELDWRKRIALATDVARAISYLHHECSPPIIHRDITSNNILLDTSFKGFVSDFGTARILKPDSSNWSALAGTYGYIAPELSYTSVATEKCDVYSFGVVVLELVMGKHPRDLLDGSLSNGEQAMMVKDILDKRPTTPISTEENSLALLIKLALSCLESSPQARPTMREAYQTLIQRPSSSSTTVPFSALTLQQGMHVDIRSPS >SECCE2Rv1G0126720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:848438293:848443528:-1 gene:SECCE2Rv1G0126720 transcript:SECCE2Rv1G0126720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 2 [Source:Projected from Arabidopsis thaliana (AT1G18190) UniProtKB/Swiss-Prot;Acc:B0F9L7] MAGWISSKLKAAETLLHQIDQQAAESLGKSSSASDLTALQRPSSSPLLDAPAPRRPPPATPPPSLGLRIAARRRSQPPPPPPSAPRRSASAAADLSAQDQPGAEPAVAVEAKAEEDRRGREEAEKGGPSESGSGSDDDSDGSGSDDSEEERRREEERSRRRAERLAAMAARAIAEREEAVARLEGEKTSLEKLLAVREKEQAQEASELQTSMIETMEATEIEKQRHHSTRMEALVRLAELEVTNAELAKSLAREQWNLEVQVDQVAHLREEVDLKTFAQDKYKRKIAKIQKTSAPLVDEIESLRRLKLEDEIIDAEYTQTCDKIVSLKDKARKIEENIELTRRDMVQPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKSTLVLRMEAISRSLDTNASSLASSSSSSRIDIEAGTWQESYPSYSSPRLRDRIRTGQQHLGYAIRQLDSIFSAGHIFLRRNPKAQVGAAVYLLCLHIWVMYILSSHPAVPDTRPGATFSLESINKTSI >SECCEUnv1G0551380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:233278862:233281337:1 gene:SECCEUnv1G0551380 transcript:SECCEUnv1G0551380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIVVHAAAVDRRWLLPLAVGSALSLFLLVLLTTVPLPFLPSSSPSPALFVEHKLAPTPPASRAAGSLPRIAYVISGSAKDAAALRRVLLALYHPRNLYVLHLDAEAPESDRRDLAAGLAAHPVIAAVGNVRVVERANLVTYRGPTMVASTLHAAAALLWGHSGAGGSDWDWFINLSASDYPLVTQDDLIHVFSKLPRDLNFIDHTSNIGWKEFQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSRSLVEYSIWGWDNLPRTVLMYYSNFISSPEGYFHTVVCNAEEFKNTTVNHDLHYISWDNPPKQHPHYLTLDDLDRMIASDAPFARKFHADEPVLDRIDAEILSRVSPDAPTPGGWCAGSGDNGSDPCSAVGNTSFLQPGRGAVRLQRLVTSLLSEEKFHPRQCK >SECCE4Rv1G0231490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:185209215:185211660:1 gene:SECCE4Rv1G0231490 transcript:SECCE4Rv1G0231490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLARAARRGLLLLLVLHCCGGTPLSAASAAHRHVHAAGDGVIISQADYQGLQAIKHDLADPYGFLRSWNDTGIGACSGHWTGIKCVNGKVVAITLPWRGLGGRLSDRIGQLTGLRRLSIHDNAIAGAIPAALGFLPDLRGLYLFNNRFSGAVPPEIGRCVALQSLDASNNRLTGLLPASLANSTKLIRLNLSRNSISGEIPAEVAASQSLLFLDLSYNRFSGRIPDAFAGGSKAPSSASSDERKLEAITGTYQLVFLSLAHNTLDGPVPKSLEGLTKLQDLNLSGNSLNGSIPDNLGSLHDLKALDLSGNALAGEIPESLANLTTTLQSFNVSYNNLSGAVPASLLQKFGPPSFAGNILLCGYSASSPPCPVSPSPAPASPGQEPTGPRGGRTKKELILIIGGIVLGILILLSLCCLLLCCLIRKKRSSGSTGARSGKQSSSKDAGAGAAAAAAGRGEKPGTSEAESGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKEFEAEAAALGKIRHPNLLPLRAYYLGPKGEKLLVFDYMPNGSLSAFLHARAPNTPVEWATRMTVAKGTARGLAYLHDDATIVHGNLTASNVLLDDGSSPKIADIGLSRLMTAAANSNVLAAAGALGYRAPELSKLKKANAKTDIYSLGVIILELLTGRSPADTTNGMDLPQWVSSIVKEEWTSEVFDVELMRDATTGPDGDELMDTLKLALQCVDPSPSARPEAREVLRQLEQIRPGQEGPGDEAHVASASNE >SECCE6Rv1G0412400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614235936:614238370:-1 gene:SECCE6Rv1G0412400 transcript:SECCE6Rv1G0412400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGAGGAVVVDKAGEQAPPKTLVDWALKILDTADPDEKARLGDLAATQWLRGAIPLPYDPAQPARAPPDRPARSDAVRLLPPSQAPKLGKGGSAQSRLAMLHSLAHIESWAVDLSWDIVARFGAQLRMPRGFFDDFARVAQDEGRHFAVLSARLRELGSHYGALPAHDGLWDSAMRTSHCLLARLAVEHCVHEARGLDVLPTTISRFRAGGDEETAKLLEDIIYPEEITHCAAGVRWFRYLCLRSLASDPIAPPVPQPKPQCSAELPEGRTGDDHKTCQAVHDGLADKPTEDINSHDETVQQAEDALARCSLGENGGADEMVIIERFHSIVREHFRGPLKPPFNAEARKAAGFGPAWYEPLAVKEVETQAIQQSE >SECCE4Rv1G0284270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:839169532:839171535:1 gene:SECCE4Rv1G0284270 transcript:SECCE4Rv1G0284270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALDYLMYEHDSLKTVKLVAHGSSVSYGDASKSRVLWMLDKPNHHTQRIEVSPNSPVIFCDMHLWKIQLGNLCILRIAVDGLSVNDVDILRGLPALTALSLYVEKSPNIKIIFGTAAGFTALKYLKLRFMSGIAWLKFEADAMPNLWKLRLVFDAIPQMDQRLELFSKSEQWKQYRHGTALVSIEHMTGLKEVSAKFGGAAADLQYVSRIGVVSNHPNNPIIDVQQVDSGSHGDKRISARSPESASSPLHVPLPGGEVSWSNTIGVIGRDLFMYCLHRLSRWEYGAIASLNRDFNSVIRNGDIYRLRRKNEVAEHWIYLSRGKNPPEWEAYGPSTGRWIQVPKMPPAQSSYSYWESLGVGTELLVFGDRGRVALRYSILTNSWTGLADVMHTPRVRFGSASVGEKAYVAGGLDSSSGSVLSSAEMYDSETHTWTPLPSMNRARYGCSGAFMDGKFYVIGGNNNIRELLTCGEEYDSNLRSWRLMDNMSQGINETGDGAPLRLAVVNNELYAADYSENDLKQYDKLQNKWTALGKLPVQSKDEGSDMGIRACGDRLIVIGRPINSTDEKVVELHSWTPDGQSPVWNLFATRPYVGNRWIACAVMGC >SECCE7Rv1G0506250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:747502389:747502622:-1 gene:SECCE7Rv1G0506250 transcript:SECCE7Rv1G0506250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFCDNVGTRVQLERNHSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRIGP >SECCE4Rv1G0271020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:758549977:758551429:-1 gene:SECCE4Rv1G0271020 transcript:SECCE4Rv1G0271020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAMMVPDDVLAGVLRLLAPRGLAASRCVYKAWRRVIDDRGLLRADLLPRSLGGIFLNYLDLWFTQFLSHPTTGAAISGRLDYTVPGEPNLMPPIHVRDHCNGLLLLHHCVVNPATQQWAPLPPATDLPQPPPPGMFSFPREHLVFDPTLSPNYFEVLSVPDVPFKNNDECEEEVEWPPSTLILPVFSSMSESWEERTFGRERGGCRDVAWHGWAPGSFVQTSVCLLARSTLYIISPSNSTYRAIELPIEDGKFYLGKSVNGIYCASLFQEFQLQVWFLNDPCDHGQTEWVLKHDRDIFSILPNLNYDKQRDGPWILQEFRYWAKKDADDDSPIVYNNEATVEQKFVWDSDNDNVLEPGGRSNDCGIVFLGFHPYKEVVFLSDKFFRVLAYNWSSSKLQDLGKVFPKFYNDRESDFFHRYVEECFPYTQCWLGELPEKLNL >SECCEUnv1G0555200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:297505733:297505972:1 gene:SECCEUnv1G0555200 transcript:SECCEUnv1G0555200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLEQWRGSEADSGGDKQAEASGCRTPSGSKARAGADGGCPAPPRKRRAAPGAVSQQGGRGFYAGADVEAFFAANNL >SECCE4Rv1G0293610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:886039582:886045177:-1 gene:SECCE4Rv1G0293610 transcript:SECCE4Rv1G0293610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEPRQSSFGRLDAPATAPPARNGASSNGVRRRADSPVRGCGFPPLISPPRKAIVEDEEEDEDEEPEEDWRAAYGSQLQLEVEPSTRDPRDEGTADAWIERNPSLIRLTGKHPLNCEPPLARLMHHGFITPAPLHYVRNHGAVPRGDWATWTVEVTGLVRRPARFTMDELATAFPAAELPATLVCAGNRRKEQNMVQQTVGFNWGAAGVSTSVWRGVRLRDVLLRCGIMSKKGQALNVCFEGAEDLPGGGGAKYGTSVTREWALDPSRDILLAYAQNGEPLLPDHGFPVRVLIPGCIGGRMVKWLRRIVVTPAESDNYYHFKDNRVLPSHVDAELANAEAWWYRPEYIINELNTNSVITTPGHDEILPINAFTTQRAYTMKGYAYSGGGKKVTRVEVTLDGGESWTLCTLDIPERPNKYGRYWCWCFWSVGIEVLDLLGAKEVAVRAWDQTHNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFMNTIADKQFTMSEVRKHGSKESAWIVVHGHVYDCTAFLKDHPGGADSILINAGSDCTEEFDAIHSDKAKALLDTYRIGELITTGTGYNSDNSVHGGSSLSHLAPIREATKVTGAPIALSSPREKIPCRLVDKKELSHDVRLFRFALPSSDQVLGLPVGKHIFVCATIDGKLCMRAYTPTSMVDEIGQFELLVKVYFKDEHPKFPNGGLMTQYLESLQLGSCIDVKGPLGHVEYTGRGNFVINGKQRRARRLAMICGGSGITPMYQVIQAVLRDQPEDETEMHLVYANRSEDDILLRDELDRWAADYPDRLKVWYVIDQVKRPEDGWRFSVGFVTEEILRAHVPEGGDDTLALACGPPPMIKFAISPNLEKMKYDMANSFISF >SECCE3Rv1G0208270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:929443486:929447270:-1 gene:SECCE3Rv1G0208270 transcript:SECCE3Rv1G0208270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGGGGGGPHASRRNISSASGPRRRGPSMENGHDAAARRSSATISRTTSTVTGERTVKRLRLSKALTIPDHTTVYEACRRMAARRVDAVLLTDSNALLCGILTDKDITTRVIARELKLEDTPVSKVMTRNPLFVLGDTLAVEALQKMVQGKFRHLPVVDNGEVIALLDIAKCLYDAIARMERAAEKGRAIAAAVEGVEKHWGTSVSGPNTFVETLRERMFRPSLSTIISENSKVATVAPTDTVLTASKKMLELKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVGKVMTQSPECATIDTPILEALHTMHDGKFLHLPVLDRDGSVVTVVDVLHITHAAIATVGNSGATGSEATSSMMQRFWDSAMSSGPLDDDDDSRSEGSTKVASEVADMGRSAFFPPSGLSNTFGFKIQDKQGMMHRFNCDTSSLTELITSILQRLGDDIDKSNLPQILYEDDDHDKVILSSDTDLIAAVDHARQIGWKSLRLHLDYAGVGRRKRGAVSSDFEYAGRDAWASAFGTVAAGAALVAGLGVMAYLKRAG >SECCE6Rv1G0432120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749938702:749947534:-1 gene:SECCE6Rv1G0432120 transcript:SECCE6Rv1G0432120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQAIRALLLLSYLVLVTRGIDTTTMQRENDSQILAHRKVNKIVMMEGGDVYDCIDVNVQPTLVHPLLKHHKIQMEPSSLPVGQRIKSPSPNGLLQAHLSVVECPMGMVPIIRNNRRGHIAAHTTEQVINKDEQLEMVGIRYSDDLYGVRATINVYEPKVKKDSKDISQSGVLIDNGPTGREEGVGACYSVAPNSTGDSFARFHVVWRDGKRHKPCYDHVCPGFVQVSHRVGLGGRVFPVSVYNGPQYVIDIYIFKDPKTTNWWVMYGEEKTPIGYWPSSLFSHFKDKGTYSFWGGFVQGPTASSDSPQIGSGHFASEGYGKAAFMRSIQIVDHNNKLVIPNRYKDDVGTTDQKKYSTDGYKVDNHGMHMYYGGPGNLV >SECCE5Rv1G0362990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:790095472:790100413:-1 gene:SECCE5Rv1G0362990 transcript:SECCE5Rv1G0362990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLGSHVTVIPDWVGDLGESIGPVDYGCVRRCRHRRLAAYLWLHGFRDALRGLLNETDAYMSVIHLTRLVRQGLWDDAIAYVSRFLRPVSHPQSDEAQVLLHFLKHHAAFASMVAGVPDRNLSYFNHKYNTRYLKHDDSVSHDALRIRSIVLSILHSEQVRSSLDWERVRWKASQIVKHLAYKAPELKGLVILPGGSMMPHDVLPIGFRYRRRRHVKEQDLPQPKTLAKIFLRTKKRLPSSTRTHGINRGLTDKTRKWHADLIDESLQAGLELQSTGKEGVPSATVSQTMSNTLTNAGAPVPSVSQTTSGTLTVPAKIYGAPVAAVSQAVNLTGHAENAGISAVKNAGTNKVLSSENSNLRKHPRTEQATFEQGPRPKTQRSSGAFGEACLASVTKAGACSQAGIVLEAPKHEVEQS >SECCE1Rv1G0043000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:587844325:587848208:-1 gene:SECCE1Rv1G0043000 transcript:SECCE1Rv1G0043000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLDAEIGKNDYDWLLTPPGTPRVPALEVAQKIPSSNILPKRTLTRSSSTTRASRLSVSQTENGHSAAPTRPARSNSVTRPSIQSTLMSSNNRTSVLNASISSVSSRPTTPSRRSSTIAAPKQLVPASRPVPARSSTPVKARPSTPSKIRPSTPVKTHQATSNSTTDAAAGRTTSTQSSRPSTPNSRSRIMLNSSSSSIPAMSRPGPSVGIIPATSRPGASSSNGHGTSHPTSLSSGTVPSMSRSSSRSSTPTRQPAVRSSTPAMGRSPSFGRTSSSNSLTTSMNRPAASSGRSSAPSSAPSSRPSSPGPRPRAPVRSHDIPSSAPASRPSSPGPRPRAPVRPHDTPSSAPSSRPSSPSPRPRAPVRPLDIPDFPNETPPNLRTKLPERPLSAGRSRPGMASGIRSNPHAEQLAASAPAKKLSVPAASRNKFSDPPSKVPSRSNGHQNRQSERSIVDSQATRTARTGAVAGDNGFGRTISKNSLDMAIKHMDIRQNLGGIRGASLFPHSIRSSAGKVRPVRMSDPGHPTSNGDHPHYADNGSTNGHFFSGDSYGALSRNGGSSTDSPDRGSFGTKETSLSELDIYGSSRYEAMLLRGEDVRNTSWLHGGFDDDKPDQSPLFDHRFEPLPEPFSPF >SECCE4Rv1G0215650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:5886833:5888748:1 gene:SECCE4Rv1G0215650 transcript:SECCE4Rv1G0215650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYLGDLLKQAAEEELGMMLGVSGDIDKMGVKLGDLKNLLADAERRRITDDSVQQWVTELKRAMYEATDILDLCQLKIMERGSYTPDIGCCNPLLFCLRNPRFTHEIGGRIKKLNQTLDSIKERSSAFSFLNLTSYEDRTRVRPSAACRKTDPALERSGVVGEKIEDDTRTLVEKLTNKNNIDDIMVVAIVGVGGIGKTTLAKKVFNDEAIQHGFNTKIWLSVTKEFSEAELLKTAIITAKGKLPDGGAQDKSLLVPALAVAIRDKKFFLVLDDMWGDNEWNNLLKDPFSYGAPGSRVLVTTRHGTVARGLKAVHPYHHVEKLGPEDAWSLLTKQILTTEKSEPTIDMLKDIGLRIIEKCDGLPLAIKVMGGLLCQKEKSRHAWGKLLNDAAWSVSQMPEELNYAIYLSYEDLSPCLKQCFLHFSLKPKKVLFEDSEYVGMWIGEGFVHGDSDRLEELGIEYHRELVLRNLIEPDTSYPGQKFCSMHDVVRSFAQFVSRNESLVLNSGESTSNTFSMQRYLRLSIETKGVESDTFELRNLQEQKSLRSIILIGNFKIQPADSMAIFPSLRTLHMESIDCVAYLHCLNPCIN >SECCE2Rv1G0128800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:864955317:864956203:-1 gene:SECCE2Rv1G0128800 transcript:SECCE2Rv1G0128800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGACNEALCREAACAWQREGEDHTDTASCTSPSGASSGSLASDLGDGSSYSPPGDHSSESSSASSSTLQLDSEAEGPLYELSSLLAQLPARRGLSKYYQGKSQSFTSISYASSLQDLGKVTYNKRMKTCKSYTAGLGMNQRSNHLPRTCNKMIAKRPSKGSFASQMSRARSTNLLYSSANLAAHQNKEDAQMHMNS >SECCE4Rv1G0270220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:754012511:754013858:-1 gene:SECCE4Rv1G0270220 transcript:SECCE4Rv1G0270220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCRPLVSPPLPRALAAAAPVSVRRLPSPSPSSWSPTPLRVVRCMAKERRVRMVAKQIQRELADMLTRDPVMQRAVLPEAALGADRYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAMAGLKDKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILTILDKLKEEREQREGNGEEEDGEASDMAEEEDGDWEGDEPDEEDIIYVK >SECCE3Rv1G0186740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:690030735:690055247:1 gene:SECCE3Rv1G0186740 transcript:SECCE3Rv1G0186740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Voltage-gated Ca2+ channel protein, Elicitor-induced defense reponses, Hypersensitive cell death, Activation of MAPK cascad [Source: Projected from Oryza sativa (Os01g0678500)] MSEAEVPLITEEAVERGLASSGSRRLSDGAGGQGSRKYRRRSDALAHGDRYQKAAALVDLAEDGVGIPEDVLNDTGFGRAMSIYFVYLRLDWLWSLNLFALILLNFLEKPLWCRKDDLHACDQRDLYFLGQLPYFSKTESLIYEGLTLVILVLDIFCPLSYEGLNIFWRSTTNKLKILLLFILACDILVFAFSSQPFRLAPYIRVVFLIMTIRELRMCAITLAGLIGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKTIFTSYGVTLYQMFVLFTTSNNPDVWVPAYKISRWYSLFFIIYVLLGVYFLTNLILAVIYDSFKEQFAKQLVQVDSIRKNILQKAFDLIDTNGRGYLDREQCISLLNELNKYRSLPKTSREDFELIFAELDRSGDFKVTSEEFADLCNTIAIKFQKEPPPSYLEKFPFYSSPLCGRLKSFVRSREFEYIIVFVLLINLVAVIIETTLDIENSSSQETWQEVEFFLGWIYVAEMALKIFSLGFGAYWMEGQNKFDFVLTWTIFIGETLTFAFPSKLPFLSNGEWIRYLLLGRVLRLTRILLQVQRFRAFVATFFTLMSSLMPYLGIVFCILCMYCSLGLQIFGGIVYAGNPTLEETGLFSNDYLLFNFNDYPSGMVTLFNLLVMGNWQVWMESYWQLTGSSWSLIYFVSFYLISILLLLNLIVAFVLEAFFAEMELEKGEEVDIQNPTSGGIKKRRSMRVRSKGTMVDILLHHMLSNELVGSQNS >SECCE7Rv1G0477490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:220934840:220937701:-1 gene:SECCE7Rv1G0477490 transcript:SECCE7Rv1G0477490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRMSWARLLKWSLSYMDGARPSRDISEEERRWLAEAVERHMAEDVVGRLREIALLMRTPLSVLEAQGITPEDIEDLLAELQVHVESIDIANDLHSVGGLVPVIRYLRNTNARIRAKAADVVTTVVQNNPTSQQLVMEASGFEPLVSNFTSDPDLTARIKALGALSSLIRNNKPGVAAFRLANGYAGLRDALNTESARFQRKALSLTHYLLSESHSDCSVFAQLGFPRLMMRLVSSDDSGVREAALGGLLELAKDTTLGNRSLLAEQDRLRRLLWGRIQSIRMMAPEDLDAAREERQLVDSLWIACYREPSTLHREGLVVLPGEESFEQPPDVAGRFFEPLRRAPLQRAPPSPDERSDPGNGTVGGIVLLLGPAPGNSE >SECCE5Rv1G0307890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122286677:122288146:-1 gene:SECCE5Rv1G0307890 transcript:SECCE5Rv1G0307890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPHLKHLKPPYYLATNKFLIMATTMTLAAAVLLLQAAQRIAPTDELLSRLHAFRPINLPLAAILPTTMAIIYLVRHPRSVYLVDYTCFKTSYKYRAPKAAFLEHAHISPCFSESTTKFIARVIERSGMGEETLMPPALYYVEPSCSLDEGRSEAELVVFSTIDDLLAKTCIGLDAIDVLITNCSLFCPVPSIADRIVNRYALRGDIRVINLSGMGCSAGVTAVGLARNILQIIPSGSHVLVVSTETLGPNYYKGNVRSMHLGNILFRVGGSAMLLSTCKLKARFKLAHVERTLVGADDAAYRCVYQEDDPEGNIGLTLSKDLMAIAGETLKANMTAIGPLVLPTSELIKYFLFSTARKVLHQRKIRPYIPDFRMAFEHFCIHVGGPAVIDSVQLGLSLSDEHVEPSRMTLHRFGNQSSASVWYQLAYIEDKGRMRKGDRVWMIGFGAGYKCNTAVWVCIQPSPNANGPWASCVHRYPVDVSKEGLA >SECCEUnv1G0542110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98497382:98497723:1 gene:SECCEUnv1G0542110 transcript:SECCEUnv1G0542110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSISPKRILPSFHGPLTEEEMREDPGPEQVGLGYRDVSAVGVTEDVLVRFIVGYDILVDCWERPLYSKYAFLGALRSAAQMVPYEVSIGLILIVRLVSTFGSAKAIARIFP >SECCE5Rv1G0323570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:437515043:437516056:-1 gene:SECCE5Rv1G0323570 transcript:SECCE5Rv1G0323570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQHYATSSLVIGYALCSSLLAIINKYAVTKFNYPGLLTTLQYLTSAAGVWVLGKLGFLCHDPFNLETAKKFAPAAIVFYLAIFTNTNLLVHANVDTFIVFRSLTPLLVAIADTTFRKQPCPSKLTFLSLVIILGGAVGYVITDSAFSLTAYSWALAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMISPIFWFLTGEHKSVFSAVESRVEGWFQLDAFVAVALSCLFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVTIWDKHASAFGLVSLLFTLAGGVLYQQSVTAKGNIASAQHETAPEQLKDESDSAEYDEEKQELISS >SECCE7Rv1G0468930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104310290:104315666:-1 gene:SECCE7Rv1G0468930 transcript:SECCE7Rv1G0468930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKGPSGGDAAEEAGDGKKNGTPGAGAGGQEGGASASDATIPAAVPPPRMPWLAPGAKPKAVYHDPSIPRPQDVYLIRVNNCNSPFDHVWLERSEDGTRPVHPLEKLPVEQFIDRNVPESEPVRPADVDDTPFTLVEDLKGLTELVNKLKDVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIIDTLKLRIYIGSYLKELFKDPTKRKVMHGADRDIMWLQRDFRVYVCNLFDTGQASRVLQMERNSLEHLLHHFCGVTANKTYQNADWRSRPLSDEMIKYAREDTHYLLYIYDLMRLRLQRESTCENDLLLEVQKRSNDICLQLYEKELLTDKSYLHIYGLQEHELAAAQLAVVSALHQWRDYIAREQDESTGYVLPNKALIEIAKKMPTTTADLRRIVKTKYPCVEDNFDLILDIVWNATENSGAFEAIAEQLKKVRLGELDLKSIVDAGEVIEMAPSDADNVGINFDPADQYSLAPSSTANIRVTSNSRDSLMTDATLTGSIWLHDKTPTIPSSENKTSWSLSGLTRQSNKEAMSNNNKQETQGPVQELKRPAPFGALVGNSTSGRQTDYFGGFSNEQAKSNLDQIQSSAYYYSQFPDYSSLAGWSHHEPDGTHASGFMSGCYYGHGYQPINQSSTGTTGQPAARNNGGGFKDLKKQQQPPPHFKDLKKQQQPPPHSGN >SECCE7Rv1G0476810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:211429835:211431344:-1 gene:SECCE7Rv1G0476810 transcript:SECCE7Rv1G0476810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLQQPPAWFLWLASLGSLYVAAFLSRLLVYLTHCLRRPEDLRLRYGTWAVVTGPTSGIGRCVALELARRGLNLVLVDLDAANLHEVSGTIMSRHGVQTMAVVFDLSLVSTPKGDAALRRLRDAVKKLDVGILVNNAGVAKPGAVFLHEADVEAWVRMIRVNLWAVTEVTAAVLPVMVRRGRGAVVNMGSASSEAIHSFPLHTMYSATKRYVAQFSRSLYVEYKSKGIDVQCQAPFFVATTMASGFVATWQPSAFVPTANAYARAAVGWIGKGGPLCVPNLRHRLLGWLLAAVPGGVQDWVCLRENLRHRKMLRRARSLRASACRPHGHGMPSIE >SECCE6Rv1G0440510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:804732603:804732909:1 gene:SECCE6Rv1G0440510 transcript:SECCE6Rv1G0440510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSVVDPLREFAKDSVRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >SECCEUnv1G0551930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:242119446:242120029:1 gene:SECCEUnv1G0551930 transcript:SECCEUnv1G0551930.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNSHKSVIMGVVILVLVIQQAHGRNCYNACRVTGASRETCTSLCGCKILNKCVRPCDCFNLYPEADDAKVIEYCKLGCMSSVCNTTNTFIVGEQEKDVIESCTTRCYRVCTKDVEFATAIA >SECCE4Rv1G0216970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13566277:13568551:-1 gene:SECCE4Rv1G0216970 transcript:SECCE4Rv1G0216970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRSSAAVQQGQWYSVMDFHAAGDGATSDAEVFEETWKVACSSHSHSSEVIMYIPAGKTFLLNQTRFNGPCKSPITVQLDGDVVAPNSLYSKPSDLLTFYSVDNLTVNGSGQIDGRGAQWWDCYNQKSLSFAHCNNLRVTNICLKNSADKNMILYDCKQVQVHNVSITSPGDSPNTDGINMGSSNHVNISSCSMHTGDDCVSILSGTTDVTVTNTTCGPGHGISVGSLGGAGDGPSLVERITVSNCSFFNTTSGVRIKSWQGGQGKATGFLFTNLTMTVVRLPIDIDQFYCPRGNCPERDGGVAIMDARFIDIQGTSSQQEAIKIMCSKSVPCHGIYLKNVDLSWGNHTAPTQALVQNAYGSVVGTVKPQTQLAGN >SECCE5Rv1G0359870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:766112141:766112947:1 gene:SECCE5Rv1G0359870 transcript:SECCE5Rv1G0359870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDVAAADIPVPQVEVAADAAVDTPAAKPAKAPKAAKAKKSTGPKKPRVTPAHPSYAEMVSEAIGALKERSGSSTIAIGKFIEDKHKAHLPANFRKILLTQIKKLVAAGKLTKVKGSYKLAKAPAAAKPKTATKKKPAAKPKAKAAAKKTAAKSPAKKAAAKPKAKAPAKAKAVAKPKAAAKPKAAAKPKAKAATKKAPAAATPKKPAARKPPTKRATPVKKAAPAKKPAAKKAKK >SECCE7Rv1G0467300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:86415370:86415918:1 gene:SECCE7Rv1G0467300 transcript:SECCE7Rv1G0467300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSALTASAAASSFLRSLPPPSLSVHATLRPSPSLRRSSGHSRVVARASASGAAAEAETVFFDGGAHYGDLAANLVLGLTLLWLPLTLASVSRALLLRYRFTSRRVTVISGLSGDDRTDFPYSSVREVVVVPRFIGEWGDIVITLKDGTKVDLRSVPRFREVADYCRKMAAAEGGLGTR >SECCEUnv1G0571210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:498919009:498919479:1 gene:SECCEUnv1G0571210 transcript:SECCEUnv1G0571210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGAAAKGKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVIPKINPVLLPKKTAEKSPKEPKSPKKAAKSPKKAAKA >SECCE3Rv1G0154600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:61671090:61675582:1 gene:SECCE3Rv1G0154600 transcript:SECCE3Rv1G0154600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWNSDDSDEFDWESDGVAEPLSALALNNLDASGPSMLDVNGWAKGKAPSASLVEEYVEMGYPKEIVLMAVEAIGYRDANEFLDLLLTFKTLGNYPSMGNCFTSGCTPHDAADDDDDDDDIDFENLSDDDDAIDFENWNDDDDDIDFKNWDDDDDGAGETEDFPLAMLEKDEKIKSLVDVLFPDMAITRGGADDALFALRDSKNFSAHEIFNSLKRRKEGRFMEESKKKRKRCGGEAQGNWLPLNGSDDEPIVLPNPMVGFSLPNSMVQPVNRSLPEQAIGPPFFYYENVAQTPKGSWDTIKGELYGVEPEFLDSKYICATARKRGYIHNLPIENRSSLCLEPPKIIFEAFPHYRKWWPCWDSRKQLNCLTTCVPSSRLTELIEFVLSVAENPPSPRVQKYVMALCRRYNLVWVGKNKVAHLEPHEMEYLLGFPRDHTRGLARKWRYKSLGNSFQVDTVTYHLSVLRDMFPDGIKVLSLFTGIGGGEVALHRLGIHMRIVVSVEISEVNKRILRSWWKQTQTGELIQLPDVKTLTDDKIKSFIGKIGGFDLVIGGSPCNNLAGRNRFHRNGLEGEHSSLFYQYPRILNTVKSEMARIKLLAISHCCKPV >SECCE3Rv1G0159910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:100289356:100290681:1 gene:SECCE3Rv1G0159910 transcript:SECCE3Rv1G0159910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPLLVVVLVLTAQLCGCTAYVGDDGGFSVEFIHRDSPKSPFHDPSLTSYDRMLAALRRSTARSYAGGAVSEVVSTPFEYLMYVNVGTPRTRMLALVDTGSDVVWFKCSSNGTAGPPPGAPLSAAFDPSSSSSYGLVGCQSDSCRAIYGTSCGANSSCQYRSTYADGSTTAGILSTETFTFEDAPRDRPQLLVPRVSFGCATEVTGKAFRLTGNVGLGAGSGSLVNQIAAATSLGRRFSYCLAPFFVDASSILSFGARAAVTEPGAVTAPLIPSAVDAYYTILLASVKIGNSTMVPPKWSPVIVDSGTVLTYLDKGLLDQVVAEVARSVKLPRKQSPEKLVDLCYDVAGETEAWAKHFPEVTLGFGGGAAITLPARNAFVEARKGTVCLAMSAVTDERPVVATIGSIAQQGFHVGFDLDNGAITFAAADCASSYSSVSL >SECCE2Rv1G0088160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:239674234:239678753:1 gene:SECCE2Rv1G0088160 transcript:SECCE2Rv1G0088160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSLPFAALRRAADWRPSTATAAVSVSGGVMLNARARRGSRSVVRCVATAGDIPPTVADTKMNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKSTYQYDPVFALGFVTVYDQLMEGYPSNEDRDAIFKSYVTALNEDPEQYRADAQRMEEWARSQNGNLLVEFSSRDGEIESILKDISERAQGKGNFSYSRFFAVGLFRLLELSNATEPTVLDKLCAALNINKKSVDRDLDVYRNLLSKLVQAKELLKEYVEREKKKRQERLETPKPNEAVAKFDGSAYPLKH >SECCE2Rv1G0100900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:549834734:549838351:1 gene:SECCE2Rv1G0100900 transcript:SECCE2Rv1G0100900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLADRLRAFSTNRWLVFVAAMWLQSMAGIGYLFGAISPILKAALGYNQRQVAALGIAKDLGDCVGFLAGSLSAMLPAWAMLLIGALQNFLGYGWLWLIVTKQAPTLPLSMMCVLIFVGTNGETYFNTTSLVTCIQNFPKSRGPTVGILKGFAGLSSAILTQLFAVMHTPDHATLIFMVAVGPSLVAIGLMFVIRPVGGHRQVRSSDKNSFMFIYTICMLLASYLVGVMLVQDFLEVSDNVAISLTVFLFILLILPIAIPVVLTFSLKTEYPSPYEEALLSEALKGEASTSHEREDQPELILSEVEDEKPKDIDSLSPSERRRRIADLQTRLVQAAARGGVRVRKGPHRGENFTLMQALVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQAAGFQDAHIFVSLTSIWNFLGRVGGGYFSENIVREHKYPRHIALALAQLLMAAGHFLFAMAWPGTMYMGTFLVGLGYGTHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGLIASSFYDYEAERQAQRHQSSGSWSPQFLQGMGLLADGPLKCEGAVCFFVSSLIMSAFCVLGAGLSLVIVYRTKRVYAQLYRSVR >SECCE4Rv1G0256570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:657699133:657703967:-1 gene:SECCE4Rv1G0256570 transcript:SECCE4Rv1G0256570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAMFGSRRVDDDSVFRRQRTVRFRDERVKATMPIHQKQAGPAARKLGVGNWGKNRIFVAGKDLPHKRIIDPTSDFILLWNYVFRVTCFIALFMDPLYFYVPKIVYGQTTSCVAKDRHLAIIITVFRSIADLFYVIQIVIKFMTAYINPSSKSGVFGRGELVTDPNEIAKKYLRSDFVVDLVASIPVPQIITWSVIPAIKYTWSGHNNDILFLAALFQYILRLYLISSLNSKILKVTGAFSKTAWQGAVSNLLLYMTASHVLGALWYLLSVDRQTACWQKFCNKEDGCHNKYLSCNAKPDPNWAKNSTIFDACNASNKTLTTFDFGMFETLLSNRAPGHRFLKKYLYCLWWGLQNLSCYGQTLSVSTYIGETLYAIFLAVLGLVLFAHLIGNVQTYLQSITARVEEWRVKQRDTEEWMKHRQLPPVLRERVRRFIHYKWLATRGVDEASILKALPADLRRDINRHLCLDLVRRVPFFSQMDDQLLDAICGRLVSSLSTKGTYTVREGDPVTEMLFIIRGKLESSTTDGGRTGFFNSIILKSGDFCGEELLGWALVPRPTVNLPSSTRTVKALVEVEAFALQAEDLRFVASQFRRLHSRKLQHTFRYYSHHWRTWAACFIQATWRRHKRRKLARDLMMRESFSSMRSYEDGDSGGSFAEHGLSVKIMAAARKGSDGHRELPKFRKPSEPDFSVEHDD >SECCE5Rv1G0368210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:827122034:827123742:-1 gene:SECCE5Rv1G0368210 transcript:SECCE5Rv1G0368210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLDEQSFLDELMALRQEEAPAPAPWRAYPGSVMITTSDLLFYGGDDAAEATSGMDLAGPFLPQPMAPPPAAPPHRPHQEFNFDCLSEVYNHYRSCVGGVPGPGVVHGGGQALAQHPIHNAMGEDGTGSGHLHGGGGASSSPVPFVFGAGGAGEGSAMTRGVFSGAHHARSKLRGGTTSKNLMAERRRRKRLNDRLSMLRSIVPKITKMDRTSILGDTIDYVNELTERIKTLEEEIGATPEELNLLNTTKNFSIGSSEEMPMRNSIKFFIEKQGDGETRIDICCATSPGVLISTVSALDVLGLEIEQCVVSCFGGFAMQASCSQEEGRGRVTNTDEIKQALFTSAGYGGRCL >SECCE7Rv1G0501610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:685082487:685085591:-1 gene:SECCE7Rv1G0501610 transcript:SECCE7Rv1G0501610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAASASASLATAPHHALAAPRASVSVAVAAAGRNSGLSVSVGVRYVPGISVVNSQRRRMVAAAAATEMAPAARGEGGGKPFVDEMRAVAMRLHTKDQAREGEKEPQAPPVARWEPTVEGYLRFLVDSKLVFQTLEDIVDRAAVPWYAKFRNTGLERSEPLKKDLEWFVEQGHTIPEPTAAGTAYASYLEELSEKDPQAFICHFYNVYFAHTAGGRMIGKKVAEKILDKKELEFYKWEGTLSQLLQNVRTTLNQVASSWSREEKDHCLEETEKSFAYSGDLLRQIFT >SECCE2Rv1G0142400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:940161114:940169346:-1 gene:SECCE2Rv1G0142400 transcript:SECCE2Rv1G0142400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVQLVTLIILVLSSLLTCSLLGATALRGDASTDFQALSCFKLHLNTSAGLLASWKMDDSLQRFCTWSGVTCSKRHKSRVVALDLESFHLDGQLPPCIANLSLLTRIHLPDNQLWGPIPAELGQLNRLRYLNLSSNNLSGIIPSNLSSCSQLRVVDLGSNSIAGEIPPNLSHCSNMQQLNLGHNKLTGGIPQGLGTLRNLSVLRLLGNTLTGNIPVSLGSSPSLHFVYLTKNSLTGPIKHYWNRSSNRIILLQVLSLAANNFTGSIPSVFPNIMGSRLKYLILTSNNLAGTIPSTLGNFSSLSWLLLGQNNFQGSIPVSIGKLPSLQVLDLSYNFLSGSVPTSIYNISTLTYLAMGANMLAGEIPYNIGYHLPRLQNLDIGMNKFHGQIPTSLANTSNLDNLNLATNSFHGIVPSFGTLPNLITLNLGKNHLGAGDWSFLTSLTNCTQLVQLFLDANILQGYLLSTIAGLSKILEVLLLRENKISGTIPQEIEHLTNIQILYMEKNWLIGGIPESIGNLQNLFVLSLSHNKLSGQILLSIGNLSKLSELHLEENNLSGPIPRALGDCKKLGILNLSSNSFDDSIPKELFTLSSLAEEIRLEIGRLVNLGPLNIANNQLSGQISYTLGECVHLESLHMERNNFHGRIPHSFMNLRGITVMDLSQNNFSMKLLNLSFNNLEGPIPLGGMFQNKNEVFIQGNKKLCGTTPLLGLPLCNTILKIVAITALSLVISSCFGVIAFMKRKKIKQASHPSIKELKKLTYADLVKATNGFSSANLVGTEKYGFVYKVFKLDQLGATKSFLAECEAMRSTRHRNLVRVVTVCSTTDPVGNEFKALVLEFMRNGDLESWLYPTLLHEHHSKKQLCLGSRITVAVDIAAALDYLHNHCMPPMVHCDLKPSNVLLDDVMGACVGDFGLAKFLHGYYTSSGIDSSTSLVGPRGSIGYIAPEYGFGCKISMEGDVYSYGVIILEMLTRKRPTDDMFNDGMSLYKFVEKSIPENICEILDPRIMPYYGSQDEEAGCTLGQENYQMAEGTIICVRKIAKLGLLCAAEMPKDRPGMQDVYAEIAAIKEAFSAWHG >SECCE4Rv1G0227090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:117195651:117196694:1 gene:SECCE4Rv1G0227090 transcript:SECCE4Rv1G0227090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAETPDPLARGGAHSLNPPRLPPGVYFSPTREESVALLDRWIAGGKEVPADARGFVARADIYSDSPDALRRRHPPASARAGQRTWWFLCETRFQSPGAAAKRPDRKVGTGGCWRVDRSKAEGGGVRTYFVFFRGPSRKDKTPWVAHEFTSAKDDGAGKKGVPALYMLYVTPRATDDELRGVYGEDAVTVGPDGNKKPVRAAVPAGYINAVVALLSPGSVGDLGQERVEASSQAPPPPQTACLLHHDGQQGQNSTGAASPACLLHHDGQQGQNSTGAASPACLLHHDGQQGQNSTGAASPADLPGQYQQRRRHGRCFMGAAMPAAAFGQSQQQPAKTVEGESLEVV >SECCE5Rv1G0360360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:769179230:769182951:-1 gene:SECCE5Rv1G0360360 transcript:SECCE5Rv1G0360360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILWEKSRAWRWLVGRTRDSKPFFFTFAALCGVVPGVVGYGVMQLTSSRNDKLEAHLRSNARPETTMMGQINRERLAEFLGEIQRKEDTNDRYVAALKGETLTRKRYERIQPVPQQAAPAQEKAKDEQATKESAKAK >SECCE7Rv1G0515860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:841686071:841686784:-1 gene:SECCE7Rv1G0515860 transcript:SECCE7Rv1G0515860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPAIGDVAATVTVPTGVGPAGSQPAPPFPINPAQLNAQNQLIYEQVHQFYQRLQQQQQQQRKLQQFWAERLSDIEQVTDFKNHTLPLARIKKIMRADEDVRMISAKACEIFILELTLRSWMHTEESKRRTLQKNDIAAAITRTDVYDFLVDIIPRDEMREEGAGLPRAGQPPLLGAPADAPYPYYYPQQVPGAVMGYGGQQGHLPYVWQDPQEQQRQQGPHAEQQQSESG >SECCE4Rv1G0278680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:810063285:810064877:1 gene:SECCE4Rv1G0278680 transcript:SECCE4Rv1G0278680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAVERPHAVMIPYPAQGHITPMLKLAKLLHTRGFRITFVNTEFNHRRLLRSQPQGAANRLLGLPAFRFAAIADGLPPSDRDATQDTAALSYSTMTTCLPRLKELIIKLNEEADTSGGALPPVTCVVADGTMCFALVAARELGLRCATFWAPSACGYMGYCYYKGLIERGLFPLKEEAQLTNGYLDTIINWIPSMPKDLRLRDLPSFVRTTDPDDIMFNFFIHETTAMSQASAVIINTFDELDAPLLDAMSKFLPPIYTVGPLHLTVRNNVPEDSPLLGIGSNLWKEQDAPLQWLDDQPPRSVVYVNFGSITVMSNEHLLELAWGLANSGYTFLWNVRPDLVKGHDNAILPLEFSMATEGRSMLSTWCPQEKVLEHEAVGVFLTHSGWNSSLEGICGGVPMVCWPFFTEQQTNCRYKCTEWGIGMEIGEEVTRTEVEAILREAMDGEKGREMRRRALKLQESAVASARHDGRSMRNVDRLIHEVLLA >SECCE1Rv1G0035360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:497855080:497857047:-1 gene:SECCE1Rv1G0035360 transcript:SECCE1Rv1G0035360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDLSSELDPALLLSTSASSSSPQDSASPSFSFYPPSPPEYTLAVTNLSCPAPRRANAGLLPFLPSFSAAPPPAPAAEGLLNSVSFTASSSSILAVVGPSGAGKSTLLRILSGRGTGSEIAKAPTVCINGHAVTSRAQLRRTCGFVTQDDNLLPLLTVRETILFAARFRLGSSVTARERQERVEALMQELRLSEVADSYVGGGGGEAMSSSRGVSGGERKRVSIAVDMVHDPPVLLLDEPTSGLDSRSAMDVLALLHEVARARRQVVVVSIHQPSYRMLGYISKLLLLSRGAVAHCGSLRSLEDALARLGHKIPTQLNPLELAMEVTEQLQADRAKFTMLRDNDQYEEERESPGAANGSLQVPEHGYRSRAVEVAALTVRCWRTMYRTQQLFAARAAQAVVGGLGLGSVFFRVRADPDGLALRLGLFAFSLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVVANALVFAPCLLAVAILFSAPVYWLVGLRATLAAFGFFVLAVWLIVLMASSLVLFLSAVSPDFILGNSLICIFLGVFFLFSGYFIPKESIPRYWAFMYYVSMYRYPLDLLLINEYGGSASGKCVAWVGGDAMNGDGVCLSTGGDVLRGRGVDEGMRWVNVGVMLGFFLVYRIMCWAVLVRRASKTTL >SECCE2Rv1G0128240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:859081674:859087168:-1 gene:SECCE2Rv1G0128240 transcript:SECCE2Rv1G0128240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKGKKRAAAAAGGSQAAAAANGARPKRTKAPPKPKPETEYFPEQRNLEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKALEEGGKLYGKTVYVFGSTEPQLLDVNGESKIVLIPVIVAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALKHLKEERVKKFDYCMPYYMPLSPPEDEDDTVVNIMYPLEPPIVCDYDWDMDDMEDFIDEKVKDEVLPEDEKEKFKDFIKERVRERKRELKQAKEARKKAIDDMDPKLKEAFENIRFYKFYPVKTKDTPDVSQVQAKYINRYYRHAHELL >SECCE2Rv1G0070460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:35544367:35545350:1 gene:SECCE2Rv1G0070460 transcript:SECCE2Rv1G0070460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALDFMPAEKVPQAGSDALSATINNAAAAAAVEMQQPQQHRRLEGKVAIVTGGGRGIGEAIVRAFVRQGARVVIADIDDAAGEALAAALGGGCCTYVHCDVSVEADVERAVGCCVARYGRLDVLCNNAGVLGRQARPEGNGAKSGGIASLDAAEFDRVLRVNTLGAALGMKHAARAMLQRRVGGGGGSIVSVASVAGVLGGMGPHAYTASKHALVGLTKNAACELGQHGIRVNCVSPFGVATPMLVNAWRHGQDEEGSASAAASAEEVEKTEEMVRGLATLKGPTLRAGDIAEAALFLASDESRYINGHNLVVDGGITTSRNVIGL >SECCE5Rv1G0320930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:394765397:394765762:-1 gene:SECCE5Rv1G0320930 transcript:SECCE5Rv1G0320930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGGSSAAASRCRSTGATMSVAPASGCNSACCCRCINIYVNNNVQGVTNSVLFGSSVVMRDPGARVVPSRRPPRVARVCRGKQRRQQKKKKKTMKTTMWAAAAMVCLAIIVMVLSVKRM >SECCE4Rv1G0263260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:715443856:715456022:1 gene:SECCE4Rv1G0263260 transcript:SECCE4Rv1G0263260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIERIDNTTNRQVTFSKRRGGLMKKARELAILCDADLALVVFSSTGRLYDFASSSGMETILERYQEAKEEHCGVLNPKSEAKLWQREVTTLRQQVQNLEHNNRKLLGEELSGTTVRDLQFLVNQVEMSLHSVRKRKEQIMAAEVHELNQKGFLIQNENIELGKKLSIAHEHNIELQKKLSGAMASNEQQANGSISKAAVGLLLSTRVREPNIDLELRQQEHEDD >SECCE2Rv1G0090260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:273995299:273997764:1 gene:SECCE2Rv1G0090260 transcript:SECCE2Rv1G0090260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQDPSPAPLPAAARPKRTSSAPIRPSDYAHSPAHHCVALRDAAGLSAILTGLPPLAHPSRILSAADAAREARLSASVSAALDRRDVPGGDTALHLAVRLRLPSLASALAGAGADPTLQNHAGWTPLQEALCLGCKDIAAFLLRAHRLAAWAKLRRRAPALSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGANLRADTTLAGFDGLRIRRADHSFLFFGEETEAGGRRLPPGSLLVLHRGKREVHDAFAAAAAAGDEDAATSDAAAYRPGLNITSARLVPRTTWLRKEKTESVGEWKARVFDVHNVVFSFRTLKAASTGRKDLTFELAGEDDEEFLPLEIRDDDEDGDFLVADIPPPPPRRSCYVPGRRSVAGPPSHMGTPQRRRNSVDVPRRLPTCASVGRGEDGVFSRNATTGGAKWKEEETVKTLRPTVWLTEDFPLSVDEFLPLLDILATRVRAVRRLRELLTTKFPTGTFPVKVAIPVVPTVRVVITFNKFVPLVEPEEFFTPMSSPSLLASPGPGSIMPKPDTHKSSYLRWTSKNSRAKPVNLSQVADNADPFTIPSDYTWVNLGATKTQDKKSSKTSKKGKSKET >SECCE3Rv1G0197470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:819421870:819423713:1 gene:SECCE3Rv1G0197470 transcript:SECCE3Rv1G0197470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGVLDHFRSSWLYLTPVLAACVPIGVLRTYFNQYLRRPVRRLLPFVDPFVTIDIAAKPEDYSSYQGKVKSSDAYAEVLAYLSAVCSREARELRAEGAAEGHGFVLSLREGQQVADDFKGVTMWWSAVAEEKTTWRTLGRCCRLTFHERHRQLVVDEYLPYVRRAGQEVTFSNRPRRLYSNKKELSYHSMRDEVWSYIDFDHPTTFDTLAMDPEKKQMIMDDLDDFSNSKDYYRRIGKAWKRGYLLHGPPGTGKSTMIAAMANHLKYDIYDIELTTLETNSDLRKLFIETTGKSIIVIEDIDCSLDLTGSRGTKLPPPMAHDDAAAEAGIDNSRKRRNILTLSGLLNFIDGLWSAHSGERIIVFTTNHLDKLDPALIRRGRMDMHVEMSYCGFEAFKTLAGNYLGVDAHPLFGAVEELLRDVEMTPADVAECLMPSKRSARDADACLARLIDQLKERKAAEKDKEESKGAEEDDEQNAAKEENKRETEKVPSKSKKEKSKVASKPATRRVMTNGAHTGATGVSVSTCSTDHFLS >SECCE1Rv1G0023310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:303047943:303054953:1 gene:SECCE1Rv1G0023310 transcript:SECCE1Rv1G0023310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2444 [Source:Projected from Arabidopsis thaliana (AT2G18510) UniProtKB/TrEMBL;Acc:A0A178VZK5] MTTRIAPGVGANLLGQHSAERNQDATTYVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMLKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPEVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQKHRPHTMFATAPPTQGLQNGGVGAPVPRPFANGNVPGQMQHVRPPPPPVGQYPPMQMHGQPAWPGPPQSMQQVPPPMQQQLQYRLPGMPPPPQNMMPPPQNMMPPPHHMARPPPPPPNMQAPPMWRPPPPPQQGGGMPPPPMSMPPPPPPPSG >SECCE4Rv1G0245700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:535138834:535139301:-1 gene:SECCE4Rv1G0245700 transcript:SECCE4Rv1G0245700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEEDLGRVQDKEAHEIEVEKLKKELDSLGNQYSQLVDDVSKLFDYQDGKKSRDMDYTSQAINELKEKKHQLEEQAEIELQMEKLKLKKEQRCILQSQADIIQNTRKAMKEIQVERDLLKEEKKKLEHIIAELLKAGHGCKEKLDKIKEVVMEE >SECCE1Rv1G0061170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:713808698:713809027:-1 gene:SECCE1Rv1G0061170 transcript:SECCE1Rv1G0061170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTRNAIVAVLLLVVMVVAVSTPAVTAEELCNVKCSKNCKGEMEVCSNKCYEYCKYQVSAVEYVKMATKTLKEAAAASPEEAVKLKHQAETYLASAKSLSDKAGTPP >SECCEUnv1G0528160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3355731:3357590:1 gene:SECCEUnv1G0528160 transcript:SECCEUnv1G0528160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGRPPEAASVAAARKLHLLLRSRDLRPALEYLSTLPSPLTLLPNHAVNALLRALAAAGRVRAATDLFRRIPAPTAHSFNSLLAALLRRGRTRAANAVLAAFLRSPRATPDAATLNTLLHGLSTASPRPSPPALLRLFRFLPQTYAFAPDAISYNSLLSALCRAGDLHAARKLFDKMRVGDKDCKAPNVITYTTMIKAYCARGLADEALGVFNMMAADGVAPNRITYNTMIQGFCEAGRMELVKGLLETDSFKPDTCTFNTLMAAHCGEGRIKEAIDVFSQMVELRVSRDSASYSTVIRALCENRELVKAEALVDELLEKEVIKKRGGCVPLIAAYNPVFVYLCENGKANKARILFGQLLDRRSKVDFAAFKTLILGHCKEGDFEEGYQLVLSMLKRDLVPDDECYIAIVEGFSQKGRMKIAWEALHRMLNSGLRPSTSTFHSVLLGLLKKEGCAKEAADLIEIMLERKIRQNLDLSTNTIDALFKSSLNDRAYKVITSLYDQGYYIKMEKLIGDLCEENKFTEAAELTLFSLQKKGHDLGVSASSTVLDGLCAAGRASDAFRLFYELIESGGSCAAVEPRSLVALRHALDEDGKKTEADFVAKQMRRAAARIREMV >SECCE1Rv1G0062580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:720436434:720444242:1 gene:SECCE1Rv1G0062580 transcript:SECCE1Rv1G0062580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGVLAAAILKVVGDQIGSVIGGQIKLQKNLDEDLNGMKMTLESIEAVLKVGGRQSIIDEQTRLWLKRLTVAMYDISSLIDKFEDDTQAITEHSVLKSSPKKQLLRLVSCLHIGPKFRVANEMKMMRDKLNVIADQHHGFTLLAGTSTIQLSVTDIRETSSTMGDEEHIVGRRDVKNKILACLSESMTKEFTVLPIHGIGGVGKTTLAKTVFNDSQFRDYSQVWIYVSQTFDLNKIGNSIISQISKEKSPYTEQQMIHNSLRGLLTNGKILIVLDDLWEENESQLKDLLDMLKLVQSGKVVVIVTTRYEGIATRICTIESHKLAPLPDDQCWTIIMQKSDFKSRYDQEELQHIGMDIASKCGGVALAAQTIGHMLHSLPFDEWESLRNSDFWNLSYPDDTDLTHVLPSLKLSYSVMPSYLQICFAYCAIFPKGYKIVKDDLIHQWISLGFIKADTKSSTWQLGEKCIRQLLGLSFLEHSKSALEDKSVKKEDRDKERNGRQLM >SECCE5Rv1G0331790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:541239061:541247023:-1 gene:SECCE5Rv1G0331790 transcript:SECCE5Rv1G0331790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNTMYYYAVVLVILLAAGSLANAANQKDVLRAFIRSRAQTRGNGPAEPDTWADPISSFRHLPTKCEAPPAGSREADRIAALPGQPPRVNFDQYSGYVTVSEEYGRALFYYFVEAPYEAASKPLVLWLNGGPGCSSLGAGAMAELGPFRVNPDGKTLSRNRHAWNNLANVIFLESPAGVGFSYSNASVPSGDTRTAVDAYIFLLNWLERFPEYKGRDFYISGETYAGHYVPQLAAVILALRELGATNMNLKGIFVGNPLLDSRSNNTYGSLEFLWNHGVISDEVWGNINGQCSSGQLEGKACDDSFDEGDIDRYNIYAPVCIQSPDGSLHPSGYLPGYDPCIGSYIDAYLNSPKVQKAMHVRTHTQWSECSETLPGWTDSPVSMMPTIGWLVDNGLKVWIYSGDMDDACPITATRYAIKDLNLTITKPWRPWYTPDNEVGGYAQQYEGGFTFASVRGAGHMVPSSQPKRSLVLLYSFMKGVLPPGALPPGFSKWSWV >SECCE4Rv1G0251850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:608409732:608410925:1 gene:SECCE4Rv1G0251850 transcript:SECCE4Rv1G0251850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCERKPLAVVALALALLLGLARGDVVQFIFGDSLSDVGNNNYLTKSLARAALPWYGIDFGSGMPNGRFCNGRTVADIIGDKMGLPRPPAFLDPSVDETVIAKNGLNYASGGGGILNETSSLFIQRFSLYKQIELFQGTQAFMREKIGQAAADKLFGEAYYVVAMGANDFINNYLLPVYSDSWTYNGETFVKYMVTTLEAQLRLLHGLGARRVTFFGLGPMGCIPLQRLLQRSSTACQESTNKLALSFNKQAGAVIKQLAASLPNATFQFGDVYDYFQDIIDRPYMHGFNNSHAPCCTLGKVRPTLTCTPLSTLCKDRSKYVFWDEYHPTDRANELIALETLKRLNITIVANTTST >SECCE3Rv1G0160250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:103718643:103721957:-1 gene:SECCE3Rv1G0160250 transcript:SECCE3Rv1G0160250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRLDAVDISTSGSTWSIVLADSVPQLQVLSLFLCRMSGPIDPSFSRLRSLTIINLGFNEGLTGKVPDFFAEFSSLRILDISGCSFRGQFPTKIILMKSLRVLDLSSSPNISVCLPDFPVGYNLETLNLEGTTLSCDIRTSLTNLKSLKNLGLSTTGVSTELSLIVHNLSSLNKLQLYGRGMEKTVLSWIGDLTQLTYLELDGYDFSQSVPYWFGNLASLEGLVISNCNFPGTIPYQIGNLVNLQQLYLSYCNFSGSIPSTVGNLIRLEDLNIIGCNLSGQIPKILFALPALQILMLSSNQLSGALEEISAPWSSSLSLILLEKNELTGAIPKSFFHLNHLEYLHLDSNRFTGTIKLSSFWRLKSLRSLSLSYNMLSVVDEEDDNVLISLPNISDLKLASCNLTKIPRALRYLGGVINLDLSNNQINGVIPSWVWESWKDQLKILNLSHNMFTSLERSPSLLHMPYLSILDLSFNRLQGSIPIPVTLYFSSTFATYNEVLLDYSNNNFSSILDNFGKYVQNVTYLRLSKNKLSGHVPSSICSAIKLTVLDLSYNNFNGSIPSCLIGSGNLKILKLRENQFQGMLPEGIREECMLQTIDLNGNQIEGKIPKSLSNCQGLELLDVGNNQIVGSFPSWLGVLPHLRVLVLRSNQVNGSIRDIKGDRTISKYFTSLQILDLASNKFSGNLPKGWFNELKAMMKNVSDEGQVLGYETDSRSGFYQDTVTITFKGLDLSFIRILSTFNAIDFSNNSFEGHVPESIGRLISLRGLNMSYNKFTGQIPLQYRNLSQLESMDLSWNQITGEIPPELTSLTSLECLNLSYNNLYGRIPQGNQFSTFSDSSFEGNAGLCGVQLSKHCDNQSSVAPSEVAPPESDSLWQDKLGVILLFAFVGLGFGVGFALSFLLRLYCRVEGWICKQA >SECCE2Rv1G0133330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:896026959:896031029:1 gene:SECCE2Rv1G0133330 transcript:SECCE2Rv1G0133330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATSLLRLLLICFYLISIHTFAAAGVGDKLEKGQNLTDGDTLVSAGGSFTLGFFSPGASTKRYLGIWFSVSNDTVCWVANRDQPLVDRFGMLVLNDLGSLVLLDGSGRTVWSSDFSGGASAVVAQLLVSGNLVVHNGSSDVSLWQSFDHPSDTLLPDMKLGKNRWTGAEWQLTSWRSADDPAPGEYRRTLETKGLPELVVWRGNVKTYRTGPWNGLYFNGVPEVTAYAGKYPLRATRSPWEVTYGYTAAPGAPLTRVVVNHTGKAERWEWDASSWAWTRIFQGPRDPCDEYGKCGPFGLCDPEAASSGFCGCVDGFSIPAARTPSALEVKGTTCRRHAALDCAGGSTTDGFAVVRGVKLPDTQNASVDMGVTLDECRARCFANCSCLAYAAADIRRGGDGSGCLMWTDAIVDLRLVDMGQNLYLRLSKSELDEHKKFHVLLVATPIASIVIILLVAFAIWWRRKRTIIGAIPQSHAMAVPLVSLAIIKDVTGNFSESNMIGQGGFSIVYKGQLPEGRVIAVKRLKQSVLTTKGKKDFAREVEVMAGLRHSSLVRLLAYCNEGKERILIYEYMQNKSLNVHIFGNVNLRASLNWARRLELIQGIAHGIAYLHGGSGDNVIHRDLKPGNILLDDEWKSKIADFGTAKLFAVDQIGPDQTIVVSPGYAAPEYARQGNMTLKCDVYSFGVILLETLSGRRNGGMQGLLSHAWGLWETNMIAELLDTTMVPLSESEPELLSKLTRCIQIGLLCVQETPGDRPTISSVVSMLTSTTSQIDRPRRRPPLDCEGFVPSDSSHGLETELLIPTMIHLT >SECCE6Rv1G0425730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:709131318:709134489:1 gene:SECCE6Rv1G0425730 transcript:SECCE6Rv1G0425730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPLMRGAGPPRVFASGRAGRASPYALALAALLLASAFLLALIAFGAFSLPVSSPALPTTAGAGAETESSGEGSAAESDSSGGSSRAARSRARRDLSEGLGERGAQWTEVISWEPRAFVYHNFLSKEECEYLIGLAKPRMVKSTVVDSETGKSKDSRVRTSSGMFLQRGRDKVIRAIERRIADYTFIPAEHGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRMATILMYLSDVEEGGETIFPNANVNSSSLPWYNELSECARKGLAVKPKMGDALLFWSMKPDATLDPLSLHGGCPVIKGNKWSSTKWLHVGEYKA >SECCE2Rv1G0069040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:26305501:26307299:1 gene:SECCE2Rv1G0069040 transcript:SECCE2Rv1G0069040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKRARYCCVLCGLPKKGHVCRFGGGSSSARLVQGREEVEPPTDPAPAGAKKEEVTIITDLPNDVLGTITSALPIDEAARTQVISKGWKHIWRDYTPLNLDDRDLHKMAWAGDNLVDLISDILEVPREVRARRLSLSTLCRHSGDRDRYPIFDRWFRSKVLDHLEDFHFSYQRVNNTEPLQAGELMPPLPPSALSFSSLRIASFGCCHFPDTLGGITFPYLIDLTLCRTTNFENTLHAMIAASPNLGNLYLHRNYHFRRVHISSQTIVRFGMFVGLDSDVMQELIIVNTPSLEEVMLWDRGYCGPRIIRVLVAPKLHILGCLHSDIMSTVQPGKTILQAMVDSSLVSSLHNVKILKLVIHGLKLNHVIDVLQCFPFLQQLHINTLTSHVPNKQNDIPVATTVECVDRHLKQVVVRNYSGRKPDYNFAKFFLLNAKALESMKLHVPFSRNNEWRSRQRLKMDVKNRASPNAWVCFEDTE >SECCE1Rv1G0062240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718649195:718650681:-1 gene:SECCE1Rv1G0062240 transcript:SECCE1Rv1G0062240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPSGLFPASSSQLPDDLLIEIFLCLPAHPTCLIRTSLVCKRWHCLIKNRQFVSRFRTLHQKLPVLCFFSNSTSIPRFIPTGDPPNCVSTATFSLPDPCWQVLGCRHSLVLLVSSTWTQLQVWNPMTGSRQYVPATPDADRRFNHGIVPESQATVLCAAGHKDSGDCHSCPFLIVWVFTCTRYAYACRYSSESSSWHQMVSSPTPSDIDSRPGVLVGNILYWPLKSKYILAFEWDRRKLYHIECPEDTHSVYRRNVHIMKAEDGGLGLAALTEFNLRLWARETGPEGVMEWVLRRTIQLDAFLPPNVSLLPLVNNHSASGRPVRILGLIEDDDMFFMWTRDGVFAVELKSMRCNKVFEAQVPATIFPYTGFYATGDGDGAP >SECCE1Rv1G0062400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719480088:719480657:-1 gene:SECCE1Rv1G0062400 transcript:SECCE1Rv1G0062400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLKPGVPVTLQELAPSSEMFKQGASLRVTGILQSYDVDSAVAVIRDGSATLKIDTQHLRDISFRSGSTFQFIGELLIRPNVDAILQARVGRNVDGLDLNLYQQSLIVRRQHEARLLSSRSA >SECCE1Rv1G0003740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14955822:14959659:1 gene:SECCE1Rv1G0003740 transcript:SECCE1Rv1G0003740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTGAMGSLLPKLGELLMEEYNLHKRVKKDVEFLWKELGSMHAALIKVGEVPQDELDRQVKLWADEVRELSYNMEDVVDKFLVCVDDANRFKGLMKRMIGVFKKGKNHHRIADAVKEIKEQLQEVAARRDRNKLDGVPSNPTEAVAIDPRLRALYTEATDLVGIYGKRDQDLMSLLSLEGKDASRTKLKKVSIVGFGGLGKTTLARTVYDKIKGDFDCRAFVPVGRNPDIKKVYRDILIELGKSSSHPGNSHSDLVILDVTQLIDKLREFLENKRYLIIIDDIWDEKLWRYLNLAFSNSTNLGSRLITTTRDFDVSKSCCSSADDSIYHMKPLSTDDSRRLFCKRVFADESACPNEFEQVSKDILKKCGGVPLAIVTIASALASGQEVKPKSEWDILLQSLGSGLAEDNSLEEMRRILSFSYYNLPSHLKTCLLYLCIYPEDSEINRDRLIWKWVAEGFVHHGDQGTSLFLLGLNCFNQLINRSMIQPIYDSIGQVYACRVHDMVLDLICNLSHEAKFVNLLDATGNSISSQSNVRRLSLQNKNEDHQAKPLTYVMSMSQVRSITIFPPAVSIMPALSRFEVLRVLDLSDCNLGEGSSMQLELKDVGHLVHLRYLGLSRTKISKLPAEIGNLQFLEVLDLGNNDQLDELPSTVCNLRRLIYLNVYPCKVVPDGVLQNLTSIEVLRGILVSMNIIAQELGNLARLRELHIHFKDGSYDLNEGFVKSLCNLNHIESLSIYCNSGETSFELMDLLGEHWVPPVHLREFVSWMPSQVSTLRGWIKSDPSHLSNLSELILDSVKEVQQDDVEIIGGLLSLRRLNMYTTHQTQRLLVIRADAFRCIVVFDLDCGSAAQIMFEPRALPRAEEVQFSLGVRVAKEDGNCGFDLGLQGNLLSLLWRDVIVNMYCGGARVGEAKAAAAAMRQALDDHPNHPEIRIYTSLSIAKGAHDDDFCEDKMDDNRLYEYVLEN >SECCE5Rv1G0323590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:437620797:437624079:1 gene:SECCE5Rv1G0323590 transcript:SECCE5Rv1G0323590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVQERGATPADQTVQRTVTADTALINALDPLAKESLPVGAAAALNPLAREFEPWWRVGGASRRGLSADAPEFVVTQGAYLQDLTVVGYPARGTGVYIGNAVPTRTWRRSSRVSNYSQQGRARDSYRVQRIHDKEFVRRTICVSDIDHTVTEEMLAGLFGICGVVVDCRLSGDPTSGFRFAFIEFQHQEDAAVALHLDGIIIGLRPLKVAPSRNAIAPIKHSFLPQSEDEKERSSRTIYCTNIDHMITAAELKDFFQTYFGPVSHVRLLGHNNHATQIAFIEFVEVSGAITALSSSGIYMRGLAIRVVPSKTPIRTTFHDNSCLDH >SECCE4Rv1G0266110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:729347157:729351180:-1 gene:SECCE4Rv1G0266110 transcript:SECCE4Rv1G0266110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWELIEVAGNLTPLPHSTVDVVAAKIESKVANTVIRQLNQVCPLENLRHVKRVRRRIECEKSELSIILCLSTGPESCKNGFPEDVQKVVEVYQLSPFIAKVASYPAMSKEEWEEQCRLWPTSYHPLHDTSGASGFKEGELPSIFDFMRTAIQLSEVGNTAIIVDPSSMQIISKATDQTHQNGCFLKRNIRVDVGADGARSLAETTEDNDGRLLLTSSHVSHRLNLEVPCINSCGWTKQRSSEQKTLSSEGGFLWHPLRHAAIVAIENAAERDRKLFHTSTSPRTESNLNCDMENCSDNEPAKRPRIVTKDKEKAEHQECRSDLSGRNRSYLCTGFDIYFVWEPCTMCAMALVHQRFKRAFYAFPNPITGALGSVYRLHGEKSLNHHYSVFRVKMPEPDLNISSDYPEKGCSDFVPS >SECCE6Rv1G0431260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746075399:746076300:-1 gene:SECCE6Rv1G0431260 transcript:SECCE6Rv1G0431260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVRKVLYMYSVVRQAYERLVSVCGSPEQAQNVAALLVWLDQGTISAIHHVPAMAPDAVAVVAEEANAVLKCLRHRLPVLPPTPLISALCMQGGVLIEPGFFAIHQDLVVHGVAHFLDGAGKFVFDDRLHVLLRKSETGLVGNPPELMAPYTPQLVAVPEDCRSMFITFSKGMPPHREEIFEYFREKWGDCVVRVLMEKTKGSHMPMYGRIIFKTEAIVKLVLNGERLVKIFIGHRQIWLRKYVPRPTKATG >SECCE2Rv1G0127920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857030450:857031562:1 gene:SECCE2Rv1G0127920 transcript:SECCE2Rv1G0127920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGPSVAADGGDAAAVSGSISEVPKVSGTHEFTIREYSRTKGMGVGKSILSQYFSVDGRRWYIRFYPDGYSTADGAWIAFYAQTLYKPQFRPVRAEFTFQLLGPNGDVRHTRRSDRACKYDTFCNSWGIRRYITREQLESAALGAIHADSITVRCTITIHLARRRSLAINRPGLVQMPPPPPSNHGENALRFLASGKAPFDVRFDVDGEIFEAHRMVVAAQSAWFESLLYGHGREAGKDVLEVGGGIVTAEAFRGVLHFIYTDELPPEAAKGRGSYDLTLRLFEAADYYLIERLKLMCACRLGDFIKDSTVDTLMEIAEAYSCMDLEQACRNFADRRGLSLAAIKRRLVSGAAPATRRIMDVPAPSTSG >SECCE6Rv1G0403230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:499404132:499405208:-1 gene:SECCE6Rv1G0403230 transcript:SECCE6Rv1G0403230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGWSHFAPDLLVTVSGDLTELADIARFRSVCTTWRDAGVDAAAAPPSQPPWLLLPSSPSPLFFNPSEDRVYRNIHLPVPAEEPHRRRRRRRLSASPHGWILAIDPTDLAASLLHPFTGSVRPLPPLPAFFAETDDLAWDLSPHSVMASCGEVVLVCALDPLADSWAPVPAMPDCNVSSIDYAGGDFFVFEEDSCRTTIVDSITLAVTAIIPPPQVDIPTEARVVVAGEELFLVVKCKWMYVFGDEVDFSKAFSVNHRSTNPVWQDLTSIGERALFVDPLHGFAVGTAGFTNLESNTVYSVTTKEASRSSSVKYSVSAFNLGSRTTKKLPCRLNELDMAQRGGAAASWIIPSMNEV >SECCEUnv1G0539020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74810427:74811514:-1 gene:SECCEUnv1G0539020 transcript:SECCEUnv1G0539020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDVPAMGFFHGLMLEAKKHIAVRFDNDESMYKVAWDIIDKRWDNKLKTPLHLAGYYLNPHYYYPNKQDIEHDGSFRAAVITCICKMIEDEEIQDILIEELNMYQDQQGCFGHEIAIRQRRNKNFNPAKWWLNHGTSTPNLRTLASRILNLTCSSSACERNWSVFEQVHTKKRNRLLHDRMRDLVFVKFNSKLRNKRENKKRDPIEKEVEDVLADYDNEFITGKEPTSDADQEQEKSHEDASKEAPNRASSSQGQAKRKRSYRPRKKIKTVSLQSLMATRVEPMQQAPSSSESESDKAPSDSGDD >SECCE7Rv1G0473660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:159755067:159756107:-1 gene:SECCE7Rv1G0473660 transcript:SECCE7Rv1G0473660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRTIARSIWAAKNAAACAVAVPKPPPPIIPARQTLPVVEDCPTLAFLRPRSATARYSTVSVPLPHHCFPAFPVGDQLFNRLVDGLTPPPAAVPWRPGETGVTLQEARKVARAAEMEAARATLRANPQSVVSRSEYAALCVDIAGGAEGGRRLAVALDESGVVIVLADAVFLRPDQVAKAIGSMLPAPARAAAAGGDVEARTRELRVLEQQKAAIDSKAAAQVRRELWCGLGLLAVQTLGFMRLTFWELSWDVMEPVCFYVTSIYFMSGYTFFMRTATEPSFEGFYRSRFASRQRRLMKARQFDVARYNALKQGELRGVSGSAEHSDRGECDADVFRHATHAHQ >SECCE4Rv1G0245970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:539078000:539095277:-1 gene:SECCE4Rv1G0245970 transcript:SECCE4Rv1G0245970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPAVSTVDFSSAASSFSSPTPTSPRPRPSPFLRPLGEPRRAAVNAMATGSPEPLPSGEEGDGDAEVRIGDELRGISDQELEERRKRLQGNLNALSPRLPDGGKKYRRSLRAVLGELERRARLASDSASLRPRPRPPRPQGRLGEPDGNRGERMIQSSCAEPSGLSSKCNENHGVTKSDFLSAFEVDDEAGIDVEITSICPGKTKTPVENKGKSYEVSESCKTNAQPMPPKVSCIDNSIDVENMSSDDDFKDNSDIRICENASTPSRKRKGDDSVNFSMRLRPRKAQEVVLLDADAHHSESAEKPITKRDAMKIYYPSSEHSNSIELSHDDIKCLEPESLLSSPIMNFYIMYLQGPMSSISTQRGKYHIFNTYFFKKLEALKSKVDKSYFLNLRRWWKGIDIFQKPYILFPVHADTHWSLVIICMPAKEDHSGPIILHLDSLKFHNSRLIFSVVERFLKQEWTYLKENGSVAECPIRETVWKSLPRKIEKKSIPVPQQENEFDCGLFVLYYMQRFIEEAPERLHKKDLSMFGKTWFQPEEASALRKKMQILLHQLFEELNPSNGSTPEQTVGQSILEAKPANNVTEPTMLEHPLEVNSAEVTPTLEHPLEIASAEMTPMSEHPLEVSSAEMTPTQEHPLAGSSGEVASAQEHPLVGSSVEMEPTQEHPLVGSSAEMEPKQEHPFVGSSAETEPTQEHPLVGSSAEMEPKQEQPFVGSSAEMERTQGHPLVGSSVEIEPMQEHPMVGSSAEITSQKPLEGTSTRPTSFEHPLECS >SECCE1Rv1G0001820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6347061:6350160:1 gene:SECCE1Rv1G0001820 transcript:SECCE1Rv1G0001820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGNIAIVLGSGYLSTILTGGDAKKVPIIGDTLAHFVKNTGKAGKHDGSDQLASQINSIKEELQRATRHRDENVTVISDTSGSGSYTITAIVVAGLIGYAYIRWKGWKISDMMWVTKRGLADACDVVGKQLDDVSNTVQVTKKHLAGRIDRVDANLDETQEIIEGTRDEVAVIHVDLSAFQKELREVSRTVEIWGSRLSGIEDTQDHTVRATEALVGFSQQLEHGGQNPNIRQVSSFLPALGSPSSEQNIKKLPPSPLLSLQTVASVAELSEEESQDNHKVLPLSEGSMRWKLPGLGLSFLRSSSDV >SECCE6Rv1G0439780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:799407373:799407942:1 gene:SECCE6Rv1G0439780 transcript:SECCE6Rv1G0439780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKEGSGEEGSAPQQHGAVEASSSDRAIQTDVQCAAEPERATGRKQRLPDVYVSSILAMPIEEYHDLEMPSYLLSDNMAEILGVTEEWLEDQRRSQREAAVLHKRIHYDFLQYQAKVRDEWLEKGYIEVDDNYFTDLAEIEEESRAIQQEVDKKRRPAPAIASVDHSDDSDVAPPYDYEKQATRLLAL >SECCE6Rv1G0402250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:482011768:482014591:-1 gene:SECCE6Rv1G0402250 transcript:SECCE6Rv1G0402250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTSPSPPAAAMWAFAAAACVKLLLVPTYRSTDFDVHRYWLALTHALPARQWYTDASSQWTLDYPPFFAYFSRLLSLPAPFVDASLVSVPVPAAPPSSAYLLYLRLTVAFSDLLLLASVLLLARDARRRQRPFLALVLVLWSPALLIVDHIHFQYNGFLMGLLLLSLHFLEQGKDLAGGVVFAALLCSKHLFLVAAPVYFVYLFRHYCCGRGLVRGLGRLVLMGAAVVAVFAMAFAPFVYYGQMQQLFSRLFPFGRGLFHAYWAPNFWVFYIILDKILAFLLRRLGFSIEIPEASFTRGLVGDSSPFAVLPKVTPITTFLLVILAMTPCLVKAFTNPQSKHIIRWVAYASSCGFMFGWHVHEKASLHFTIPLALIAMDSLDDVRHYFVLSIVSCYSLFPLLFEDQEYPIKVLLLLTYGTLMWVGFSSHLIANSAPEGKKLNASDSKIKKRFTGWISLGYLLGMFAIEFWSRLFHHHVFGDRLPFLPLMMVSVYCGIGMMYSWMWQLSWIIRHT >SECCE4Rv1G0289060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:863420871:863421287:-1 gene:SECCE4Rv1G0289060 transcript:SECCE4Rv1G0289060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVATEKTPAGKKPAAEKRAKTASKEGGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE1Rv1G0015250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:122052246:122052965:1 gene:SECCE1Rv1G0015250 transcript:SECCE1Rv1G0015250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPTTPSFLRPPPLHPQRIRLPAPPPSASFRLSEILGGRGLCNGEVGIRKELLSPTPPPPPPSTPSGDSSPGSAEAEPPAVDPDAFEKEMMGLTGGFPGGEVGLKDFVAKNPPPAPKRTQTDGKASSAVVPAGRPRPPELPLFLPGMVVLVKNPNNAYHMYCGIVQRVTDGKVGVLFEGGNWDRLITFGVDELEGREKGPPMVNPKSVVLEALVEAMDEDEVAEKKKEEEGTAATRA >SECCE2Rv1G0089530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:258175697:258176416:1 gene:SECCE2Rv1G0089530 transcript:SECCE2Rv1G0089530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEELTLVGYWSSPFALRARYALNLKGLPYAYVEEVGLFDGKSPLLLASNPVHKRVPVLIHGGKPVPESQLIVQYLDEAFPASSPRFLPSDPHERAVARFWASYVDGELLSAWMPVYGGRTGEERAEGARRVGAALETLERAFGECSKGKGFFGGDTVGLVDIVLGGFVGWLQTSEAMCGVRLLDAAATPLLAGWAERFRALDGVKELMPDAQRLLEYNLMRRARLGLPPTPRPPQHQ >SECCE2Rv1G0127820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:856395906:856397570:-1 gene:SECCE2Rv1G0127820 transcript:SECCE2Rv1G0127820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASAEAAAITRRLASCNTGARERAVRHLLADFLPASAPHLSATDLLKLWKGLFFCFWHADKPLYQADLASRLAAAVSTAATPAAAADFLAAYLATIRREWSSIDVHRLDKFYLLNRRFLHHAFLFLGARSFAPDVTAQIVSVISEKAVLPEADNATGRGLGYHVADVFLDEILPVLPVSLKSMDLLLAPFFTVLEKSTDRVLVSKVRSSLFERFLESGSQLLEMTRKGEEVEKGSVEEKLGKVGLLFGFSKRFLDIGANAATVQGNRKVVFGLRDAFVKLEKGLELSGVKISEPEFQGTQVPTVAVVENGMDLDEAKVEKKKKKKAKKAALVEGGEEEEVKALKQEKKVKKDKKKKEKKEKKKKNKVEDSDGGDSSEQSIDATSEDQQMGDDTDAITFDEALMSNLQKQFEKAAAEAGMPVTPVTAKVAKKRKRSKSADRSSEASGGDVGSKGNVPAQDGDKSGKKVRFSMKSNLVWNPPTPLPPQCLRLPPSATPRGSALKSGVRPGPIKESSTPVKKAKAKAKSAKKLLKKSPSSAVKRLRKLQTFSA >SECCE7Rv1G0526720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:898376892:898383237:1 gene:SECCE7Rv1G0526720 transcript:SECCE7Rv1G0526720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVVGMAKSVVEGALTKAQAAIEEEAKLRQSAQRNLVFITGEFQMMQSFLNVADSDRLENPVVRTWVRQIRDLAYDVEDCIEFVVHLDKKNSWWLRLIKPASWLLRPCVDQGPLPLDEAVDELDRLKARVEDVSSRNTRYSLISDSGSKPVTVAQQGQKPSSRDAADGAAAFNSLFEAAFKTTQKGRQSDLTKLLTTSKGHDLRVISIWGTGAGTGGGEDLGMATIAWNVYVDTETCKNFTCRAWLKLTHPFNPHEFVRSLTAQFYTTTSVGGGVQALMKMEATRGGDPLKDFEQLVAENRYLVVLEDLSTMAEWDAIRSFFPNKKNGSCIILSTQQFEVASLSVGHPYQVQRLNQLSAEHSVYAFFTKGSQCEADKGMETDNAPTNNNGASTGSTCKKDAAQKWMDEHHLVGRKSETNDLNLKVILARRKTYHVMSVWGIAGVGKSALVRNMFCERIIKGNLFKKFGWVDVSHPFNLWDFSRVLLASLGSGYIEPSETANLCMMGSRNPIIECREILEKHRSLVVIDGLQSTKEWDLIKTELVDGSNHQNVIIAVSTEQEIATHCRGDKGKLVFNVKGLEADTAIELFKKVSEKDEGAELQQLTSICGGLPKVIVEIAGSFSKNTDRWKDALSTNNKIMSELENNREFASLRGLFGWMNTYFRKCPDSLKPCILYLPILPRNHLIRRRRLIRRWIAEGYSRDSHDESAEMTGEKQFCELLNLSIIQQPSALGLGDTRMVLCQVNGFFGEYIVSRQMEENLVFELSGSCAVTTQRTGRHLVISESWVRDRIVFESIDFSRLRSLTVFGKWKSFFVSEDMKLLRVLDLEGAFNVEYSDPKKMVKLMCRLKFLSLRGCHEINHLPSSIGGLRQLQTLDVRHTSIVTLPVNITKLQNLQYIRAGTTAPEKIVPRLSNFCGGRQMVGVVVPPGIGKLTALHTLGVVNVRASGTKAVLEDLKVLTHLRKLGVSGINKSNSSKFFHATEDLVHLESLSVRLEENSQDCLNDISLPLESLRSLKLYGLGDRLPDWKAKLTMLTKMDLQIAKLTEDHVSGQSEGVTPQGMRKPTIGVIKFLSELPGLCILRLRVDQLQDNQLDVSIIFNNLEVDSFEKMKIFEIACSSSSHVTFGEKTMKKLEQLKVDCCSGSSLLGLKHLPELKEILLKGSSGEAMKISTQNLQTTQSRSVKLDELRSLST >SECCE1Rv1G0006160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:30480541:30482013:-1 gene:SECCE1Rv1G0006160 transcript:SECCE1Rv1G0006160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPTPKNNLSNMASKHLKPLYQLVVNNFLIVVVAPVTAAVLLRKAAQLGSDELLSRLHGLRQVHVFLAVFLPFAIATIYLVRRPRSVYLVDYACCRPKSNCRVSIGSFIESARLSPYIDDGTFRFMTRMLQRSGLGDQTYLHPSLHHIPPRCCLSESRDEAEQIIFATVDDLLAKAGISPEAIDILVTNCSGFTPTPTFTDIMVNKYKLRADIRNVNMSGMGCSAGVISLEVARGLLQAAPRGAHALVVSMEITSFIYYTGPDRTMLLPGALFRMGAAAALLSTSRAKSRLRLTHIVRTLNAAKDRAYLCASLKEDEHGETGIYLSKDLVPVAGETLKANIAALGSVVLPPSEKLLFVLSFIARKALGRRIKPYMPDFRMAFEHFCVHSGGRAVIDAVQTSLCLSDENVEPSRMTLHRFGNTSSSSLWYELAYIEAKGRMRKGDRLWMVGFGSGFKCNSAVWECIRSPSDVTTLGAPWADSIHQYPVKI >SECCE2Rv1G0099990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:532095095:532096647:-1 gene:SECCE2Rv1G0099990 transcript:SECCE2Rv1G0099990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTVRDVLAFHRVDRAAYEHLVSLGAGRQPARDAVALLMWLHHSVGADAVASVCVQVRTPVDAARLVSDALAVLHGVAWPRPHRRQGAGMPLVCGGCGGTVAADARPFLAGLLQDPRARRGVAGVLRGVGALVFDDRLHALLRRHEKEGGAVPAELAAPYRPGDADDEGGRSLFITFSKGFPLTREEISAYFTERWGEGCVESVMIERAPPLGEAPRYGRVAFRRASVADAVLGGKRLVKLFVNGRQLWARKYVPKPHQPPLS >SECCE4Rv1G0225330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:91093324:91097056:-1 gene:SECCE4Rv1G0225330 transcript:SECCE4Rv1G0225330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAKRSGGEKGLLWRLPEVTSRELGKIGPAFGLGIGCGAGAGVGFFGGAGLGYGFPGLTLGFGVGAGCGIGLGFGYGLGKGIARDEKKRHSNVGKMFQETPNLPKDTIAGLFDELVVNTKKLATATSKQIEKWH >SECCE6Rv1G0406600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:547359916:547361608:-1 gene:SECCE6Rv1G0406600 transcript:SECCE6Rv1G0406600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHLIPNHAAGLTYANAYAAAREGHGGGGGVGDPFEAFPDAVLGLIVSKLPFRSAVAASSISRRWRGAVAAAPALDLDFAAAFPAAPRRRAAFAAAATAALAGSRRRPLRRLRLALDGFFDQAFAASAASHVASWLAAAAARGVEQLELHLPRSRLAVLPPSLLACTDLTSLTLRLDHNAVPLPSLCTLTRLSRLHLASVSLVGCGDFFEDLCSHCTQLSSLILEQCHIGALRLAGAPQLCSLDITSCSWTEQSSIAIAEMPALRTLRYSGAMANRHMINDADSLDEVVLAIEKPQALLEPNLRELLALVGNVRSLVLSPWCIEQFAHPEEWSKVRLNKVRRLACIIERREEGALSIAPLLTSCPNVQELSVSVVPSQSKRRRCSESEVQYRVIGGRGMIVRNLREIRMEYIDESKSGLDLVKLLLKKAQMLEMMTIVPSMDGLEQAKFRRRVLKFRKASRNVNIQFCATA >SECCE7Rv1G0521830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874965451:874972523:-1 gene:SECCE7Rv1G0521830 transcript:SECCE7Rv1G0521830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTTPPPPPPQEQEQAPELTGEKRKREEESPEAAAAEQPAMEGTTQPPAPQQEAEPAGEKRKREEESPEAATGDASAAAPAGEEAAAAPTGDGGERHPMSKTSLCSFFRRRGGGPDGCNHGEACRYAHTEEELRPRPDGTWDPTSDRAKKLRRVSEEEDGEAVDDKRGRWGEEEVTFDETSLDKCLVGLSRHWLTDRLKAFLDEQGISYAVAKKKKGMTVGFVTFESVEQLKNAVEVLKENRSGGKQIKIADANRRSHQKLHAEGPVSNNGAATENSSDTAAPVDGTSGAEAAGASKRTVRDAVTPLAHMTYSEQLEHKKNSVAQLLKKLTRNAKKACPADVTLPDWISNAKQNGGLSCKLEGILESPVINGYRNKCEFSVGHSLAGKKTVGFMLGNFREGVTAVEEPVDCPNVSEMSCKYAMMFQDFLQLSCLPAWNRVDNSGFWRQFTVREGRSPAQAVVAQDTQTQISEVMIIIQVCSTGVDEVLMKDEFAKLSKTLVQGAATCLPPLPLTTIVVQDHTGISNVAPADCPLIQLLVPEVDQLEEGAVDKTRIHDHISNLRFSLSPTAFFQVNTLAAERLYTLAGDWANLNSDTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNESAVVDAQRNALINGIKNCRFVCGKAEDVMGSLLTEYLGSPQQSIAVSEINSEVGVAGEKEDIVGGAENNGKSTDSSTEKNDNGESQQLRDQSSKELEGGHEQCNEAVHNQNTEEASSLVNEESVDAKADDCSERTKTCEDGTSISNNDVLAATASQFKNFVAIVDPPRAGLHPTVLKVLRTHPRIRRLVYISCNPDTLIANAIELCTPSTEHREKNKGHRGWRNMSSAGLARQRTKSMPNSEPFVPKRAMAVDLFPHTSHCEMVMLFER >SECCE6Rv1G0415280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:640402945:640404159:1 gene:SECCE6Rv1G0415280 transcript:SECCE6Rv1G0415280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELDEEIYMEQPDGFVVPGQEGKVCKLLKSLYGLKQAPKQWHEKFERTLTSEGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLDLIKEVKDFLSRCFEMKDLGVADVILNIKLLRDDNGGITLLQSHYVEKVLSRFGYSDCKPSPTPYDPSVLLRKNRRIARDQLRYSQIIGSLMYLASATRLDISFDVSKLSQFVSNPGDDHWRALERVMRYLKGTSSYGIHYTGNPRVLEGYSDSNWISDADEIKATSGYLFTLGGGTVSWKHVKRRLKSVRKLKNSGVITVDYIQTTMNLADPFTKGLSRNVIDNASREMGLRPTV >SECCE4Rv1G0217130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14417398:14420487:1 gene:SECCE4Rv1G0217130 transcript:SECCE4Rv1G0217130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPGIGCTTSTTSSSVLARAGSRCLAAASHAPSSTPALLLHVNGGGAGGRRQAHLLSRKAAGLLSGRSRRRDPRVVVIASASTANVTPASPRGVSASDVLWPSAGAFLAMAVLGRMDQMMAFKGVSLTVAPLGAVCAVLFTAPDSPPAKKYNMFVAQIGCAAIGVVALSLFGPGWLARGAALSASIAFMTITGSSHPPAASLPLLFIDGPKFHHLQLWYALFPGAAGCAILCLIQEVVVYLKKNCKF >SECCE4Rv1G0272990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:773380202:773380719:1 gene:SECCE4Rv1G0272990 transcript:SECCE4Rv1G0272990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE5Rv1G0360350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:769170408:769172291:-1 gene:SECCE5Rv1G0360350 transcript:SECCE5Rv1G0360350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSASGGDGCTAAAVREVMTARFARQVVLGRWFMVFACLLILSASGATYIFGLYSKVLKSALGYDQQTLNTFAFFKDLGANVGVLSGLINEVTPPWVVLAMGAAMNLVGYLMIYLAIDGRTARPPVWLMCVYICVGANSQSFANTGALVTCVKNFPESRGVVLGLLKGFVGLSGAIFTQLYIAIYGDDAKSLVLLVAWLPAAVSILFVHTVRIMPHRPVRRGEEETAASSSDPFYCFLYISMALATYLLVMIVVQNQMELSHPALVVSATALMLILLLPLAVVVKQEYRIKRELEESLLVPPTVTVEKPAAQLQMATTKTETKTETKTETKTEDATTTSAATSSSPPRPAPSSGCFGTSCLKEMFNPPAQGEDYTILQALVSVDMLVLFLATICGVGGTLTAIDNMGQIGQSLGYPPKSIKTFISLISIWNYAGRVTAGFASEAVLARYKFPRPLMLTLVLLLACVGHLLIAFGVPQSLYAASVVIGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGSVASPIGAYALNVRVAGYLYDVEAARQHGGTLDGAGDKTCIGVQCFKLAFLIITAATVAGALVSLLLVWRTRKFYRSDIYAKFRDAAATDGEPEHRPAESSAVNGSKE >SECCE4Rv1G0259610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:689053649:689059617:-1 gene:SECCE4Rv1G0259610 transcript:SECCE4Rv1G0259610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAVARQLAEIAAEPDRAAAYARLLHLQRACADDPSAAADLAAASPSALLPLLLRDAADHDEAVAASALKCLGFALYHPVLVSTIPGQLAQLVLATLVQLIMTTKMKAICNLAVWCISVQQLEASVVEDRATPLLNAIVYALDNPFGSLSTTFEAAQATMKLASQHPKGMRDLSSIWVPPIYRRLLSADKPERDMAERCLIKVSSVILPPQSPLSKEVALDLEQKLLSSMLNMLNDPSKKIQAVKSWGWFISLLGASAVSTRHLLNKILKVPEQLFTDPDPQVQITTMVTWRNLVDAFFGPQALENMDQGTVMSPIEPRAQASAQMKKIRLIMMPLCGVLSRSHNIALSSSCLSTWHYLLYKLGDLINHLSILEAAFGPVLKIIFSIGLANQNKPLWLFCINLFHDFISVRVRHLISPKENVCVPLNQNLLSQTCTHLKALFDVHQIKWLPWDVTSFDFQLEILGSIVNPELLHNMSADMAVIIMDSTTQTFRLLLQGVRVQCNSKFADDNVMICITKVCKFVKKVFLDTVGKQKSHSSRVLVQFCLQFVKCTIEELDNSLLASGKYELCLDIKQMKEIEYAECSPKLSHPRIRPLAYLELVSPAVYLTALSLSIVAQFTGELSPEDAEQLASIICPSDLLENFHAAVAFLYMDTMPPVDSELRMKWLVVWNKVSKRLNEQMISYLRVSCGASAHDILCQFFCYPLFAFVSPGRISTNWNAENSSEGYLNVTQDLEVELVIEVYRSFCTNSSYCSEPAYMVFLEHFFEYLIHRVDENMSSIQANLKYCLEKKFKNITFLSVLGNIVIGLLENAQNFNYANKEKEVTTNEEPAGCRGPNHMLSCLKLGNRFMKLSGLAFKENPAAQHQVTSRYFSSLSDFVGHLTSTEDILLLFEIIGDQFTEWLTLSSTSYGIIRQGETIHQLEKLWLNTVTCLTTSRLISDCSFLEKHHLLLQAAINHPHNPISFATTAIRSSSGSSNTSLPHTGRRSVSKAGELSLDRSGKDPNCASDAERAFALEESNISRMSVAPVVSGRGTVRSSTTDRGQSNGESLRVSAGLGRKRLKIMRYSGKGKGLGKVTDASFSPGWAEGEICRKPELILEMLKRKR >SECCE4Rv1G0294330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:889918035:889921370:1 gene:SECCE4Rv1G0294330 transcript:SECCE4Rv1G0294330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVMVSASTGAVNSLLGKVTAIMGEEFTKLKNLRKEVKFIRDELGSMKDALERLAYVDDLDPQTKSWKNTLRELSYDIEDIIDDFMQNIGGKNKNSGFVRKTIRRLKTLRARHQISGQIGEIKKRVLEASDRNKRYALDKIIPPSSNVVSIDPRVKALYEKAANLVGMEGPKNELVDWLIDEEKQLKVVPIVGFGGLGKTTLANEVYRRLKGEFDIGAFVPVSQKPNIPHLLRSLLSQLGVEPSIHARESHLIDKLREVLKSKRYLIIIDDLWDVTSWENIKCAFTENDLGSRVIVTTRSKRVAMACCPPSRDHICRDHILQMKSLSNEDSRRLFFGRIFGSEDACPHQLRDVSFEILKKCDGLPLAIISIAGLLASEGPKKEEWEHVRKYLGSMHGTKLTLDGMREILNLSYKDLPCHLKTCLLYLGMYPEDYTIKRSDLECQWMAEGFVSKENGQDMEKIARNYFNELVNRSLVQPVRFDGSGSVTQCKVHDMMLDLILSKAVFEENFLTVVDGSQDNTTQKYKVRRLSIRLNGAANATLLLGKISLSQVRSVMIFGWSYIIPPLSNFKFLRVLFVEDYRTTDLTGMSELYQLRYIQIDGTRDYVYLPTQIGGLQQLETFDIRRCASVPSNVVHLPRLLHLRIEHDSGLPDGIGKMKYLRSLDGFNLSVNSLDNFIGLGELTNIRDLTLTGNMVRHKDVLCSSLRKLCSLEFLYICTEGCMDGLSPPCSLQILATSWLPWGCWFSRVPNWMRELHNLRVLRFKVDELLTEDVDIVGELPSLTDLLFYVRRPRKEMIAINGTGAFPVLKRFGLTISSPSCLTFQSGAMPMLQGLYLTFGIKGWKQNGARPAGIEHLPALEEVSAEILDNGTTESEKCSAESAFRNAVNTHPNNPRIVSLLL >SECCE6Rv1G0401930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:475115971:475116660:1 gene:SECCE6Rv1G0401930 transcript:SECCE6Rv1G0401930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGAVSPATSSSTTCCSRGSSPSSPVATSSSDESSGGGVVAAAGGRKRPRRDLKHPTYRGVRMRAWGKWVSEFREPRKKSRIWLGTFDTAEMAARAHDVAALAIKGRAAAHLNFPETAHQLPRPASAAPEDVRAAAALAANMDRAPDVEAVEGTDTKAEEPAPAPAPAAHAVPDDHILDLALFDLPEFLHEFGFALPSSTYDAYSSWHDVGADDFRFEPAPLLLWEH >SECCE2Rv1G0122740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:818353866:818355471:-1 gene:SECCE2Rv1G0122740 transcript:SECCE2Rv1G0122740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSASAHGSGVALRAGGGLQGWAGSGGAKGGSGSGTGTGSGSGIGGDSTSTIGGGGASADAWTRLVSSGVEDDLVCAVGAGAGFGVGGLPYGYFLDACFLCRKPIASNRDIFMYRGDIAFCSEECRTEQMEADEEMERKEKSASAKKLSQRPPSLGEVESPPRPPKTRAGSILAG >SECCE1Rv1G0042650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:582545605:582547620:-1 gene:SECCE1Rv1G0042650 transcript:SECCE1Rv1G0042650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase S.6 [Source:Projected from Arabidopsis thaliana (AT5G42120) UniProtKB/Swiss-Prot;Acc:Q9FHX3] MPPPHPSTLFLPLLLLLAVHLPPAAATTSYRRISWASNLTLLGSASILPGAAAVALTTNSSDGIGAGRALFSEPVRLLLPPPDPRAAPAQASFSTRFTFRITPSPSYGDGIAFVLTSSRTFLGASSGFLGLFPSASASHDGELADVHTVAVELDTHRDVALRDPDGNHVALDAGSIFSVASASPGVDLKAGVPITAWIEYRAPRRRLSVWLSYSSSRRPDKAALSVDADLSGLLLAYMYAGFSASNGEGAALHVVESWTFRTFGFPNTSHASASPIPSPPPKDQAPLKSPLPLPENHHHRNLFYTVLGGALGGVVLLVLVVISSILWIRHPKRRTSEKPAVLFDDKNFHGMLSMEVVRAATKDFGSENVIGIGGSGAIVFEGVLPSGSRVAVKRFQAMWPCSKAFLNELRAMLDCPHHPNLVPLLGWCSSEHDLVLVYEFMPNGNLDSALHTKGGAILPWEARFRAVLGVASALTFLHDECEHRILHRDVKSSNVLLDADFNARLGDFGLARVVSHGGVPLATQPAGTLGYLAPEYVHSGVASERSDVYSFGVLALEVATGRRPTEKGTAVVDWVWSLWGRRRLVDAADQRLQGRFVAEEMRRVLLVGLCCVHPDCRKRPGMRRVVRMLDGSAPMTLVPDKKPPVVLKSPLNQTSSVNTMDTMNTAFYSCR >SECCE7Rv1G0466290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:77995449:77997804:1 gene:SECCE7Rv1G0466290 transcript:SECCE7Rv1G0466290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFRNVRPLLSRVLVRCEAPASRCYHGHASPQRLMQQGLVSSTSSSTPGSSFLPYIIRDAVRRASTSPRGTATHAAARVLRWYHEPRKAAAATAIALSATVMAVRSRYDREVVPCTGRSHLVVLTPEEERDLGESAFAEYMATYRNEIVDPRDPASVRVRLIAERILHAASRGLGIDDSRDAPMLRLTAKRPKWWTPQPADTKHLRGLKWELVLVRDDACNAGYNPSGKLMVTTGLLDSFKTDEEIAAILAHEIGHFIARHGADITQSERIPPFLRRIYARRLETEADYLGMLLLGAAGFDPHWAHLIIEKAAKIVRYSVSLKNSDHPTSKKRMQLLSKPKIMEEAMELYREVTAMDRVTDKYFQ >SECCE5Rv1G0332840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:552739091:552740344:1 gene:SECCE5Rv1G0332840 transcript:SECCE5Rv1G0332840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGNDAEQPTENQLESISRLTPDVLHEILLRFPVSTLQRLRQTCHQWRGVISDPCFIMDHANRAPEHLLLFLPRLEASASLKTAMPGRVKLFDEKWSVSTWPASAMDPDDHLFASCNGLLCFYRRYTLKIVNPATGQRLHLSKPDGGSFRDLYYLYSFGFHPATGEYKLVHFLREPRHGRPSGQPFRFDAIQVYTLGEDGWRDVRAPRESCLVNLGVVNVDGAMYWIAEEEGACCGVSVMAFDLKEETFVTFKPPPLRACGGATDGAPALSCYVTEVGKKVCLVTAPLSGSAPRWRRYNAEVSGRMDVWTLDSRGDDGWSLKHSVDLSPSAPRCVPQPCFVRGGKALLHGRDGDAFCRDLRGGEDGADTRLLNFRPYRYYETQAYLYKETLVPLDVYAGAAIVRAPHWPLPPPGS >SECCE7Rv1G0492890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:559043397:559045843:1 gene:SECCE7Rv1G0492890 transcript:SECCE7Rv1G0492890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPALYHTLLHFLNPIHSRHISSPPTRHRHRCLALSSAAALSRFSPARRASASQLALETGEEEQTQTPRWPATPAYSDDEDEEQEWAGGNGTAAHGEEEHYGGDPAVEDGSGWTRRQPRPRELFVCNLPRRYGVDELLELFGPYGTVLSVEVSREAETGISRGCGFVTMRSLTEARTAINALDGFDLDGREMFVKLAAHVVASRRNPSLSHTPPMKDHIFESRYKIYVGNLAWSVQPQHLREHFTQCGTVVSTRLLTDRKGGRSRVYGFLSFSSAEELEAALQLNNTEFHGRDIIVREAHVKSPDTLSQTLES >SECCE6Rv1G0410850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:603700007:603702633:-1 gene:SECCE6Rv1G0410850 transcript:SECCE6Rv1G0410850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIARAASFGGRATAAGWFSYRRITVAVCIANLVAALLVLRSLTSLAPAPKRVEVVQYTEEQIRRVEESIRIRRDAEPVELVQAVKKLRKVFAREEKRRKELPLELKLRVSYELVGRLNGLGDNSSAVQQREALESWRAETLKDAKSASIQNSSNLGLSTEEARLLKRALEFNWHALLGDIGLWIPLEVPHTEHDDKPENEPEEEEIIAGPPLHPQCNTELHSDYGGAAVKWGLTHHKESAADCCQACLDQAKNARPGELKCNIWVYCPSEFGCYSPDKYEHKHQECWLKQADQPKLNFKDKYSESYRDSHPRAPVVVPWMSGVTSA >SECCEUnv1G0552220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:247784675:247786240:-1 gene:SECCEUnv1G0552220 transcript:SECCEUnv1G0552220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVERWAGLGSAVATVIFLWSVVQNYVPPTFRLYLTTWAAKLAACFNPYLQITISEYGAERFQHSDFFLAVEAYLSDACARRARKLKAELGKDNKNLRVSVDDHEEVTDDFCGTTIWWYASKRQSKANIIHLYPGQDERRFYRVVFHRRHRDLVVDSYLPFVLGEGRAVTGKSVWSHVPFEHPATFDTLAMHPDEKEAVIDDLMAFQESKEYYAKVGKAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELMAVKNNTELRKLFIETTGKPIIVIEDIDCSVDLTGKRRKDKKASSAKDSDNDDKPKLPIDSEKDDATKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRQGRMDKHIEMSYCRFEGFKVLAKNYLDVIEHELVREIQRLLEEIDMSPADVAENLMPMSKKKKRDPDVCLTGLIEALKQTKEDAVAAKVKEAEEAKEAEAKKAKENQEAEVKKAEEDKGKDKAPDKANGDIKQDDK >SECCE5Rv1G0332480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:548501742:548505964:-1 gene:SECCE5Rv1G0332480 transcript:SECCE5Rv1G0332480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRTLGPSSVAALRPSPSRGLPLAALLPQGKRSSSARGVRWEAGRGRLVGARCASAVAEKTAGEEEEAAGEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSVLADGGELEIRIKPDPDAGTITITDSGIGMTKDELKDCLGTIAQSGTSKFLKALKENKELGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKTDKQYIWEAEANSSSYVIREETDPEKMLTRGTQITLFLREDDKYEFADPARIQGLVKNYSQFVSFPIFTWQEKSRTVEVEEEESKEGEETAEGEKEEKKKTITEKYWDWELANETKPIWMRNPKEVEETEYNEFYKKAFNEFLDPLAHAHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQDIADKDNKEDYKKFWESFGKFMKLGCIEDSGNQKRLAPLLRFYSSKNETDLISLDQYVENMPETQKAIYYIATDSLQSAKTAPFLEKLLQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDKEETKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLSAACKNEPDSTEAKRAVELLYETALISSGYTPESPAELGGKIYEMMTIALGGRWGRSGAEEAETNVDGDSSEGVVPEVIEPSEVRTENENDPWRD >SECCE3Rv1G0179180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:530196741:530199911:1 gene:SECCE3Rv1G0179180 transcript:SECCE3Rv1G0179180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g09950 [Source:Projected from Arabidopsis thaliana (AT5G09950) UniProtKB/Swiss-Prot;Acc:Q9FIB2] MHRKLPPFPHPTPRFSSSSSASPSPPPPRLLPPPVPLRDLLAHRLPLLHSPAPPRSSPPVHPHADLLLRRRSGEATPESLHLELVKRGLTHDLFLSNHLVNSYAKSSRLACARQVFDCMLERNAVSWTCLVSGYVLSGITDEAFRVFRAMLRVSSECSRPTSFTFGSVLRACQDAGPDKLGFAVQVHGLVSKTVYASNGTVCNALISMYGNCSVGLPIQAQQVFDATPVRDIITWNALMSVYAKKGYVVSTFTLFTAMPRDDTSIELRPNEHTYGSLITATTVSSCSSRVLEQVFARVLNSGSSSDLYVGSALVSAFARHGMLDEAKNIFLSLKERNAVTLNGLIVGLVKQHCSEEAVRIFMGTRDSVVVNADTYVVLLGAIAEFSVPEDGLMKGTEVHGHVLRTGLVDLKIAISNSLVNMYAKCGAIDKACKVFQLLCTRDQVSWNTIISVLDQNGHCEGAMMKYCMMRQDCISPSNFAAISGLSSCASLRLLSAGQQVHCDAVKWGLDLDTSVSNALVKMYGECGARSECWEVFNSMAEHDVVSWNSIMGVMANLRVPIAESVEVFSKMMRSGLAPNKVTFVNLLATLSPSSVLELGKQVHAVVLKHRAIEDTAVDNALMSCYAKSGDMGSCEQLFSEISGRRDAVSWNSMISGYIYNGHLQEAIDCVWLMMHSDQVMDCCTFSIVLNACASVAALERGMEMHAFGIRSQLESDVVVESALVDMYSKCGKIGYASKVFSSMSQKNEFSWNSMISGYARHGLGRKALEIFEEMQQSGANLDHVTFISVLSACSHAGLVDRGLEYFEMMEDHGILPQIEHYSCVIDLLGRAGKLIKIREYIRRMPMEPNTLIWRTVLVACRQSKDRDKIDMGLEASRMLLELEPQNPVNYVLASNFYAATGRWEDTAKTRAAMRGAAVKKEAGRSWVTLGDGVHTFIAGDRSHPNTKEIYEKLSVLIQKIRNAGYVPMTEFALYDLEEENKEELLNYHSEKLAVAFVLTRSSSGVPIRIMKNLRICGDCHTAFRYISHTIGRQIILRDAIRFHHFEDGKCSCGDYW >SECCE2Rv1G0121520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:809171745:809172512:1 gene:SECCE2Rv1G0121520 transcript:SECCE2Rv1G0121520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHFTVTFPPGSYYHHHHRYDAAAAAAPSSDFDTSDMDDMTFLNTLLESPEQHVSCSEPSLSSSSSSSDMTAVGAAALPVLAGGSSPKGRGHQAPVAMPIAKEFIGVRTRPWGKFAAEIRDSTRNGARVWLGTFGSPEAAAMAYDQAAFSARGDAAVLNFPVERVRESLRALALGAVVGSPVLALKRRHRMRRRSPNKKPVKQPRVAAVKEAARPATAGVVVLEDLGAEYLEELLWVSEPPMDHHLHETATASF >SECCE4Rv1G0283220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:834526820:834532505:1 gene:SECCE4Rv1G0283220 transcript:SECCE4Rv1G0283220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWKLFMVHTLLFLTSSSSQPINNQNSNYSADLSVCAFCFEFQFLSDPTRTLSSWSWDPVINDTSTATLPDYCEWSGVSCSNRRHPGHVTAIRLHGLGLAGTICPQLGNLTRLRVLSLSANNLEGEIPGRLSGCTSLRALDLRENYLSGSMPASLGLLSKLTFLNVSHNNLIGDIPMSFSNLTALIMLSMRKNQFHGQIPSWLGNLTSLTHISLGQNGFSGHISPALGKLDNLFTFDIRDNKLEGPFPPSLFNISSITYFNIAFNNFSGSLPLDIGFKLPKLKFFITIVNQFIGPIPASLSNASALEFLVLGGNQHNGLIPRDIGIHGHIRGFLLGYNFLETTEPSDWDFLASLTNCSNLEVLDLEENNLEGVMPVSIAKLSVELNWISLGRNKITGTIPAGLGKFKKLTKFILTDSLFTGTLPMDIGQISSLQYLDLSHSRFYGKIPQSLGNITQLSSLSLSNNFLDGSIPANLGTLTKLISLDLSANSLRGGIPQEILTIPSLTVLLNLSNNALSGSIPTQIGKLNSLSAIDLSKNKLSGEIPDTLSSCVQLNFLNFQGNLLHGQVPKGFSSLRGLEKLDLSDNNLAGPIPEFLQSFELLIYLNLSFNNLSGPVPNARIFHNATVLLLPGNSMLCGGPPSLQLPSCPYIGSNKATQHRRQVILFCMVGTLIFFMCSLTGCYLMKTRIKPNNVLDQGVGFHQEMHERISYAEIDAATESFSPANLIGSGSFGNVYIGTLNLDESLCTVAIKVLNLGKRGANRSFLRECEALRKIRHRKLVEVITVCSSLDRNGDEFKALVLEFICNGNLDEWLHPNSMTNSQNLRRLSLMERLCIALDVAEALEYLHHQIEPSIVHCDIKPCNILLDDDFVAHLTDFGLAKIMHSEECEHGGGGIESSSFVIKGTIGYVAPEYGSGSEPSTDGDVYSYGVLLLEIFTGRRPTDNFIDGVTSLVDYVRMAYPDKLLEIVDASATYSGDTLCNINIFLHPMFKLGLACCEHSPRHRMKMSDVVKELNAIKRACEAHMDVHEF >SECCE7Rv1G0521430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874053908:874055191:-1 gene:SECCE7Rv1G0521430 transcript:SECCE7Rv1G0521430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMTVKEVRKAQRAEGPATVLAIGTATPANCVYQADYPDYYFKITKSDHMADLKEKFKRMCDKSQIRKRYMHLTEEILQDNPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPHESHLDSLVGQALFGDGAAAVIVGADPDESVERPLFQLVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEDAFKPLGIDDWNSVFWIAHPGGPAILDMVEAKVNLNKERMRATRHVLSEYGNMSSACVLFIMDEMRKRSAEDGHTTTGEGMDWGVLFGFGPGLTVETVVLHSVPITA >SECCE1Rv1G0060650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:711213050:711213838:1 gene:SECCE1Rv1G0060650 transcript:SECCE1Rv1G0060650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAATGAALRLRLLFRMLRVGELIALAALLSWSSSRAPSVAAAALRVAGSLLFSPRFVFVLGNAIVLLLLALSRRERGDPSPASNSAASSAGAGVEQSETPAASFASFAVQTTPMPTQASEAPVAVALPAMAMAMATVPEEEEEVVKPVVSVTTRAAAAVSKARAPRRTRSEKMGRRGELSRRSASPEPLPLMRRSESDNGRRRRSSVTARDVAEVGVARGWAGTDDAEEFRRTVEAFIAKQTRFRREEESMAGTLVLVE >SECCE2Rv1G0084400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:174780326:174781549:1 gene:SECCE2Rv1G0084400 transcript:SECCE2Rv1G0084400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPHVMEGIEEMQQTLSEIDRRTLDALRYAFRLGYRSPSSSQDGQDMDAFIASVLPPPVSEDGDHDRHRTELPFAGEDCFRDEDEDVHVDASRVLKMDWASCYVPHSDHDAHFGDADHGVIGVADGVSAYSAEGVDAGAFSRSLMASAYQDALETAPRAICPYTLLQRAYEGAASSDVPGASTAVLVSLVGDTLRWANMGDSGFAVLRGGAIVHRSRPQLARFNCPLQLAAKGADSVTEAEVGETPVRDGDIVVVATDGLFDNMFDAELERVVRMGAALGFSSKNMADIIAGIAYEMSLSKVKDSPFSVGYRKHTRSQRCGGKEDDITVVVAFIVSTQVVGVDKVEYDAASNSNWMDQVKMKKRAYELKNVSRPSLGAKADDSGNRRKTLQRSNSGPISRARGRWY >SECCE2Rv1G0077870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:102737282:102750713:-1 gene:SECCE2Rv1G0077870 transcript:SECCE2Rv1G0077870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAEHRVQMQAAPPAGKVVPAAPEKGLNRFAHSIASMERVGSALGTLAFTWATVVVLSGYPTVLHVLDFWFATAMIFLEGLRMFSRGNRLDYQLFFHTRGALKSIGSNELSLLVCFTNVLVCMNIRNKYASTPIPPLLVAILAIGPFLCLRLLPSNPLRKAVSLWSPMVAVLLLYPPLCHSIDVTDEGNLNIRKLSFRNSTAKWTLYLVLLAVVLLQIISRLQFQTISKLVDWAPGNKIVFWRRVVLNLCMFATTMMLMFTFLGITKFIIAMLDIMALVIVSFGNLQIPAAVLRIVLGLLGGLKVDDHYKENLGDMKNLVPSLCIFYGMVLGQGILYTIAGILEIFSFILRRSLARQAGFNGPQGVEYVNSYYAYVFNKCMKGAVLAPEKTSLITFVVDSLNSDSPKKQLSGLKMLHKVLKKEILKTKAISELTTSMKTVACLVNMLGWTSERDKDVRLFATKVFAELADNLRIVSVPGAMQLIGSLLETAHELKERDPLGIDSPEPKQDNLIQQVGGNEQSSRVINWLKKTAKYCLIPRDEPHNMDEPKPHIFRFWKQSVAKEEPSKDQDLLPILGMLILDKLASFDLENCIEICRDPGLTTKVIEFTSNRPNMTYSNETHKTLLKCSSLKLLCRLAKTKGKIGVTLRQNISEHPFLLSNLVEILDNNDSSHELRELTTELIKNIAMEENIKEEIGHIPVIISRLMDAFLSQGALSRTDSAQMLQMTSGQALAILAMESANNCLIMLEEPHSFIKELTVMIHGDRYRYVASSLLRNMCVHARPELGNSDLKEISYILREVLEGIMDAEGTELEALVGLSSQICNVIPGDFARELEHGQIKERFIKRLVDALNSNMIPTAHCPGIRRAIVEHTICMMECNPEYAGCFKDCWMMEALLMVERTPSRAEKYRFFSGDAGLMEHSIPLSTLVARAKELMDHE >SECCE7Rv1G0466620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:80082227:80082550:-1 gene:SECCE7Rv1G0466620 transcript:SECCE7Rv1G0466620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSSTEKLVCVALAALAVLSPLYMDRELEAEEEEEEEGWGLLLPSALWLPALLVVLILAINVACFVDRRVVRFDPYWIHRVGGSSCGLMATLLLLGFVLKCKASF >SECCE5Rv1G0362210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:784329888:784330688:-1 gene:SECCE5Rv1G0362210 transcript:SECCE5Rv1G0362210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKCTARKSTGGKAPTKHLRAFYAAARKTAPATGGVKKPRRYRPGTVALREIRKYQKGTELLIRKLPFQRLVREIAQFCKSDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAVHAKRVTIMSKDVYLARRIRGERL >SECCE6Rv1G0443210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:821625308:821627197:1 gene:SECCE6Rv1G0443210 transcript:SECCE6Rv1G0443210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIDHGASDFMVKPVRIHDLKNIWQHVERWRNPKAISHISDLDSDVQRVQPTTRDKNKVSGNKRNVQDDSSENNESTYISATRRKPRMTWTIGLHNKFLEAINQIGLDRAVPKKVLELMNVDYLSRENIASHLQKYRLHLKRVKSNSSGDAYERRNSSYNINNKGNFMHNHEHGRWSVSSRDTDSWSTNNYGATSHLAPPMNIQSNFYMGSYLHDGRMTMYVGKQPSDGRRSIGFGDPPASLYNNLPNEIMLDEFPSSNYSNSCAGLVCGKIMEITKGKTPSNLQSSFANTTFAGGRSLVSSQVNFPRINQLESYAMSSDRLPLQNEKAPFISNTTSVGGFTENMAPFNMASNSSSVGTMLNGSSTLEASRTSIEDTHLVNSERTTSMLHNFQSDDFVSLTQLLDDGDGASILPMQEGTLDQQPLNDQLNEINAFLMDDIFPNMQMEDFTGDDAIVDEA >SECCE5Rv1G0325640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:467524596:467525306:1 gene:SECCE5Rv1G0325640 transcript:SECCE5Rv1G0325640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGERLVIGTLLAAAFLAASAAGTTLTLHNMCPYPVWPLVTPNTGFPSICDNNIRLEGNGHGLVSFPFPPTFWSGRLVARTGCTSTSPSRCETGDERPVGVVQLTVHSAEGAPRPDLAVYSVSLVDGFNVPAVVSPQAIGGDGPCPALGCAADLNAGCPPAQRVVGAGGRVVACNGPPGYFKQRCPLTRTTPFDREPVQQHCYAPGELKVVFCQPAMVDAAADAAQPDIVVAEN >SECCE2Rv1G0133280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895844294:895849550:1 gene:SECCE2Rv1G0133280 transcript:SECCE2Rv1G0133280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLHSPRGVRQHEGDQSTAAALDLLYLLSIHTFAAAGVGDKIEKGQNLTDGDTLVSAGGSFTLGFFSPVASTKRYLGIWFSVSNDTVCWVANRDQPLVDKFGMLVLNDLGSLVLLDGSRRTVWSSDFSGGASAVVAQLLVSGNLVVHNGSSDVSLWQSFDHPSDTLLPDMKLGKNRWTGAEWQLTSWRSADDPAPGEYRRTLETKGLPELVVWRGNVKTYRTGPWNGLYFNGVPEVTAYAGKYPLRATRSPWEVTYGYTAAPGAPLTRVVVNHTGKAERWEWDASSWAWTRIFQGPRDPCDEYGKCGPFGLCDPEAASSGFCGCVEGFSVSSTPAATTPAQAVKDTTCRRHAELDCAGGTTTDGFAVVRGVKLPDTQNASVDMGVTLEECRARCFTNCSCLAYAAAYIRGGGDGSGCLMWTDAIVDLRLVDMGQNLYLRLSKLELDEHKKFPVLLVATPIASIVIILLVAFAIWWRRKRTIIGAIPQSHAMAVPLVSLAIIKDVTGNFSESNMIGQGGFSIVYKGQLPEGRVIAVKRLKQSVLTTKGKKDFAREVEVMAGLRHSSLVRLLAYCNEGKERILIYEYMQNKSLNVHIFGNVNLRASLNWARRLELIQGIAHGIAYLHGGSGDNVIHRDLKPGNILLDDEWKPKIADFGTAKLFAVDQIGPDQTIVVSPFVIRQTGYAAPEYARQGNMTLKCDVYSFGVILLETLSGRRNGGMQGLLSHAWGLWETNMIAELLDTTMVPLSESEPELLYKLTRCIQIGLLCVQETPGDRPIMSSVVSMLTSTTSQIDRPRRRPPLDCEGFMPSDSSHGLETELLIPTTIHLT >SECCE2Rv1G0083380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:165454697:165455290:1 gene:SECCE2Rv1G0083380 transcript:SECCE2Rv1G0083380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVFEREPCPGRIIDDAGGAFAMGAVGGSVYHFLKGLRNSPNGARITGGMQAARINAPRLGGSFAVWGTLFSTFHCTSAYVRRKEDPWNSIIGGAATGGFLSMRQGVKAAGRSALMSGFFLALIEGAGLMANRLEPQNPPPLPADDPNMAAAISMGGGGYIGKEEEKKPSSSAGMSEILESFETPSPPIPSFEFK >SECCE7Rv1G0453960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:558831:575445:1 gene:SECCE7Rv1G0453960 transcript:SECCE7Rv1G0453960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPPHLDIDLNEPPPPSPAPAVLQSPPREFAAHTATPPPPPQIPPTANVHAQSLLPHQARELALAYHRAESWRLAAATTSAPATAGSSLEVPPPPVLQSPAFAPPPLRPLALRSPAFAPPPLPPPVLQSPAFAPPPPPPPPPQLTPPADVQAQRLLAHQAGEIARAYHRGERRRSAATAGSSVEVPRPAPAQHLCAACGLPELPGSTIICDACERGFHQGCVNVVRRPPVAVKEGWMCPECAVGAVAVQDDIIRQVSVADGARLTELVTPHFEGLQLNNTTPFDGNHSMPASSLPRFSMRQQFPPVDQNFIADGNIEHSSNHTGWRRSDFPQKSAFRKFSEKHEFGSSSTFMEPSFFTEAPDPSRKETRNPPKPPKFLAENCNRQAHHGSVGLPVQYQDFFITSLGEIDKQGSYHNCHQIWPVGFTSYWHDRVTGSLFECEVCDGGSSAPLFKVRRLPCSLFPLPEASTILSQNGARMADTTATKESGSFIGDTANDMDDNIYMMIDIPSETKQDFLSCLSNDTEDKRTSLGCNDLQNSNMMSQILPSNSENVPPSKEANINDQIGEFTFVGTSSSSVWGMISSAMVEACEKMYKEHGHLVFSCTHSRENHLLNKGSGCQNFDGPYAPLNRFCSFNGPSIPQVTEKKNDVESTYTLLKNWLYHDRLGLDLEFVQEIVESLPRSRSCVNYQFLCNRAEFHSSLTVSSGLLLSVHKDGQSSGDTPYGRHGAVTGLQDDAQPSGSRIRKLPPGRPISHKLQPESAADVFQIWEFLGRFAEIIDLKEVPSYEQLEDELADPWPISASQKETLSKGIQQCRDYSSPMNSPANASISHSNSESGLSNNEENVSVFIPVETFSMKEARLDKLAAQTLGRCTGTILPKVHLALIKVLFGEVLSKLNIDPKESRPRRGRKDTESLISTKEFSFDMLTANKLTWPELARRYILAISSINGCMDVSSSQEGVKLFRCLHGDGGIMCGAVPGVAGMEKDAQLLVEAENLICSSLSTEGNKYSAEVPSADNRTLPDWAEALVPVRKLPTNVGTRIRNCVYEALDRKPPEWARKILEHSISKEFYKANASGPTKKAVLSVLSEANRVTVPQKTEKPRNERETISISEVILKKCRIALRRAISSDQYKLLENLLGSILVNSNEYEDEGILGFSGMVPRPLDFRTIDIRLAMGAYHGSWESFFEDVQEVIRNLHTACADRTNIVEMVLEFSKSFELHKTEVLNIVQKFDCYLSRENTGSEIPEELQVILAATSKLPKAPWEYGICKVCGIDRDDHIVLLCDQCDAEYHTYCLSPPLTRIPLGDWFCPSCMLKPEIFKRQRKGTESRAFHGKPSCVSAQRKSHFVQGVRDLKPQQKGPYHDMLIKLAAAVAEKEYWELSTQERIHMLKFLCDEMLNTVLIREHIENCQDKFNDLQKKFYALNFELKDLKHQEEMRTAYGGMDTLSDQISKVQESIGTVESELNMASLRRDFLGKDSLGRLYWVLGRAGKRPLLVADGSMLISNERNPPSTSGCKGWNSASVVVYESDEEIRILVDWLREYDRKEKELKRDIQQYWQRQRHFHHLGNFVLSDPPVSSKGSSNSSEQQLMELPSTKAAAILGKTCRCDCLEPIWPAQHHCAACHETYFTSTDYEDHAGKCSTSESTKPMKSCPYDFEVICRNFATNDSNKETVKDIGLIGSNGVPSFVPSRAAFIDPPVILNKSKKQDDIPNDDLVSSCLEECQSMSSAQKLGQEGSNSAQNCTSCDENVSKTKEPAPDTDKPTRLLAINGGLVPESSLKPVTGRNNLVLKQQKINLLDIHAALPEEALRASKSQQIRRRSWRAFVKHAQSISEMVVATSILENTIKSEFLRNDWWHWSSLTVAIKTSTVSSLALRIHTLDNCIIYTNEPNTMPADGTQVVNMGRSMKEPEASAL >SECCE2Rv1G0070060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:32948382:32954047:1 gene:SECCE2Rv1G0070060 transcript:SECCE2Rv1G0070060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPSMVLRVDRDKGYIDLSKRRVSEEEAATCEDRYNKSKLVHSIMRHAADTLGVDLEPLYQRIGWPLYRRYGHAFEAFKLIVTDPDAVLDVLTYEETVVGPDGEEVTNTVPAVTPEVKDALVKNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKEAMRKAEAAGNADCPVKIKLVAPPLYVLTTQTLDKDQGIAVLTNATKICAEAIEKHKGKLVVKEAARAVSERDDKLFADTIEKLKLAGEEVDGDEDSEEEDSGMGDVDFTKAGAGGD >SECCE4Rv1G0262250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:706777242:706784383:1 gene:SECCE4Rv1G0262250 transcript:SECCE4Rv1G0262250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSITGSSWVTALCGSPLICSGQDATACVLKQMLDSTTCTNHLAATGIVALLVLALALQLLVKIPKSRASAQQLVALSSPLHLAAVVFSGILGLAYLGLGLWMLGSDFSQDASTVYFPHWWLATVSQGLNLILTSLAFSIRPRFLGAAFVRYWPVLLAVYAAFICSSSVVDIVAEKALTIKACLDMLSLPGAVLMLIYGIRHSHDEEGYGGIGNGLCKPLNTETDDEAADSDSQVTPFAKAGFFSEMSFWWLNPLMKTGYENPLEDNDMLLLGATDRAQNQYLMFMEKLNCKKQSPSQATPSFFWTIVSCHKRAILVSGFFALLKVLTLSTGPIILKAFINVSLGKGTFKYEGYALAALLFVCKCCESLSERQWYFRTRRIGLQVRSLLSAAIYKKQQKLSNAAKMNHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNAVGAAMISSLVVIILTVLCNAPLARFQHKFQSKLMEAQDVRLKAMSESLVHMKVLKLYAWESHFKKVIEGLREVEYKWLSAFQLWRAYNSFLFWASPALVSVATFVTCYLLKIPLDASNVFTFVATLRLVQDPVRTIPDVIAVVIQAKVAFTRISKFLDAPELNEQVRKIYYGGIDYPIAINSCSFSWDENTSKPTLKNINLAVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTEGAIQVCGKIAYISQNAWIQTGTVQDNILFGSSMDRQRYHNTLVRCSLVKDLEMLPYGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMSALSDKTVLLVTHQVDFLPVFDSILLMSDGEVIQSAPYQDLLADCEEFRDLVNAHKDTMGVSHRKNNIPHQRSKEVSIKETDGIHGSRYTESVKPSPADQLIKEEERETGDAVFKSYMLYLRQKKGFLYFSLCMISHIIFVAGQILQNSWMAANVQNPHVSTLKLISVYIIIGACTMIFLLSRSLTVVVLGIQSSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDIPFALVVSLGTSLNACSNLGVLAVVTWQVLFVSVPMIVLAIRLQRYYLASAKELMRINGTTKSALASHLGESIAGAITIRAFEGEDRFFAKNLDLVDKNASPYFCNFAATEWLIQRIEIMSAAVLSSSAFVMALLPQETFSPGFVGMALSYGLSLNTSFVFFTQSQCNLGNQIISVERVSQYMDIPSEAAEVIEENRPLPDWPENGSVEIRHLKIRYRIDAPLVLHGITCNFEGGDKIGIVGRTGSGKTTLIGALFRLVEPDEGKIVIDSVDISTIGLHDLRSRLGIIPQDPTLFQGTIRYNLDPLGQFTDEQIWEVLAKCQLLEAVQEKEQGLDSHVVESGSNWSMGQRQLFCLGRALLRRCRVLVLDEATASIDNATDVLLQKTIRTEFKHCTVITVAHRIPTVMDCDMVLAMRDGNVVEFDKPTKLMETEGSLFRELVKEYWSYTSNTNI >SECCE2Rv1G0117890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:777787972:777789628:-1 gene:SECCE2Rv1G0117890 transcript:SECCE2Rv1G0117890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHIHSLMPVKDAGRAACVSKRFLHSWRCYSNLKLNKRTLGLTGDKYEGSEIYHINKVDQILNSYSKNGMKVKSLQLHLFHCSSVSAFYLDRWLRIALKSGIKELSFSLSRSMKEEYCFPCSVLFDEQAASSIEHLNISKCTFHPMETLGYFERLKSLDLFRVRITEEGLWKLLSKSFSLERLDIFSCNEIICLKIPCTLQKLNLLIVTSCQKIQVIDISAPNLFTFHYVGFPPEIYIGDSSQLTEVHLSSLYPSGIISYGRAKLPSIASNVERLTLLSYGENMNTPMLPDKLLHLKSLKIVLNGSGPCSPVYDTFSLVSFLDASPALESFILHIERNAIIHCYDAGGDAYLRGKPAYQHDHLKQVMIAGFRLAKSLIKLVIHILESAPSLECLTLDTTPGGHVRKLGDTTICSAARMWGKCCWMSERTLEEANRAVEAASRYIIGRVPSTVEFEVLEPCRQCCS >SECCE3Rv1G0166850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:194406063:194411595:1 gene:SECCE3Rv1G0166850 transcript:SECCE3Rv1G0166850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATATEASAPAASGGASRRGHPFPWLDVAISEPYYFLHLIAFFSYFAARTTAPSAEDDAELHSRLIRREIQAVLVFLVLFTVKIVKEEHWETFIADSLLYAKGLLLVVTFVIDYWLTVGYLLGFIVIYAMAQQPPYDGPGHLNHLTPLQLESLLTEEPTTRFWLVEFRTSFLTPCIQASSVMPELSNLYSNKNISFGLVDLGHFPNAAARFGISMWDHLPTYILFDKATEVARLPEIGNGTKVFVPKVTKKLLCQHFDLDRRLIEFLST >SECCE7Rv1G0458920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23492573:23493436:-1 gene:SECCE7Rv1G0458920 transcript:SECCE7Rv1G0458920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCWLLLQFLAFLLPAVSATSCHADDLRALQGFAGNLSGGGVLLRAVWSGVSCCGWEGVSCDGTSGRVTALRLPGRGLAGPIPGASLAGLAQLEELNLANNKLIGTIPSWVGELDHLCYLDLSNNLLVGEAPKSLIQLKGFATTGWSLGKAFTNMPLYMKRSRRTLQQQQQPNIISGTNNKVRSGRTNVVSGNDNTVIFGNDNTVAGSNNTITTGSGNTVTGSNHVVSGTKHIVTDNNNVVSGIDNNVSGSFHTVSGTLNTVSGSNNTVSGSNHVVSGSNKVVTGG >SECCE2Rv1G0079270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:116971458:116972255:-1 gene:SECCE2Rv1G0079270 transcript:SECCE2Rv1G0079270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVRSRCVTTGPGQRDLFHPIYHLPVGNKLFTMSSFSFEMLCLEELSAKISHRNSSKEWSWKELPQLPFNVCDVTSYSLHPEERTFLISTKDTTEATFSFDTERHSWKLLGNWVLPFDGQGHFDQHLKAFVGLSKEPETLGQIYCCDVPIYGTREGRCPVPSMNLCKENLFISGDPMDKHVGATLVYMRGVSKFCLVQCVNKKVSVPGLSLRGSWMYRLTTFSLSLDDQRKELTTGDTCRSQYYKVPKAITKSSLIQDPVAFWL >SECCE3Rv1G0152350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:46886022:46887425:-1 gene:SECCE3Rv1G0152350 transcript:SECCE3Rv1G0152350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGTNIIQDESPNLSSNPLYYQPSSENLLLDMGMQQPFSATALPFDPAHSTSIPHMDWNPGTMLDNLTFIEEKIRQAKDVIRSMVSDDSQLSNQQQQQVNADLTCLIVQLISTAGSLLPSLQNSSFLSHPPATVQMANLAASGSGTSSSSVRNRAVSEDHKEEMHSPDYEELFKGLTDYAVEVEGIEIDNSLLVDEHDAKDGNEAGIGIDADSLPPGSYELLQLEEDEILAPHTHFCAICGKGFKRDANLRMHMRGHGDEYKSPAALAKPPRDESVDHAAAMKRYSCPVAGCKRNRLHKGFLPLKTILCVKNHYKRSHCEKSYTCSRCHAKKFSIMADLRTHEKHCGQVRWVCSCGTTFTRKDKLFTHVGLFKGHTPLLPADEPEGSQQAGSHQEPAKGNSFIWGNSSGNSGGLDSCTDDFLSTENFGSFSFGLGQFDGFSEDHSEGSFMMFPPGHYQSAGKNGES >SECCE1Rv1G0028590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:404220299:404222006:1 gene:SECCE1Rv1G0028590 transcript:SECCE1Rv1G0028590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEAMEALRVISLLSMLLTATLPQAISCSLVTWSSLSLAERIALEQPAVQKVLYGTPLKQRRCSCDGEKGPTTENAPSVKEQEESVSPETKKSSDASTHRDADKKSTKGG >SECCE7Rv1G0456880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:13116920:13117451:1 gene:SECCE7Rv1G0456880 transcript:SECCE7Rv1G0456880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVITPWLLIKAMAKRFRDAQSGGSVVCLTQIIGAERGLYPGAAAYGTSLGAVHQLVRLSAMELGKYKIRVNAVCRGLHLGDKFPVSVGEEKAEKATGEVIPLRRWLDPEKELAAMVLYLVGDDSRFMTGTTIYVDGAQSIVRPRMRSFL >SECCE7Rv1G0473250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:155491840:155501928:1 gene:SECCE7Rv1G0473250 transcript:SECCE7Rv1G0473250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAWAEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLIERYNAAAGEGTALCGIFSEIHRAWATVDNSFYVWRFDKWDGQCQEYHADEQAICAVGLARAKPGIFVEAIQYILILATPVEVMLVGVCCSASADGTDPYAELSLQPLPEYMISTDGVTMTCITCTDRGQIFLSGRDGHIYELQYTTGSGWRKRCRKVCLTTGLGSLLSRWVLPSAFNFSAVDPIVDMVIDEERNTIYARTEGMKLQLFDLGASGDGPLKKITEEKNLVDPRDAPYGGRRPNASRAARSPKPSIVCISPLSAMESKWLHAVAVLSDGKRLFISTSGGSSSSVGLNSGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFATASRSSKALRETVSALPVEGRMLCASDVFPLPDAAFIMQSLYADVECLSAFRKPSEKASIKLWAKGDLPTQHILPRRRMVVFNTMGLMELVFNRPVDILRKLFDGNTLRSQIEEFFNRFGAGEAAAMCLMLAAKLLYTEDSLISNTVSEKAAEAFEDPGLVGMPQLNGTTALSNTRTQAGGFSMGQVVQEAEPLFSGAYEGLCLCSSRLLYPVWELPVMVVRGLIGSNDHGDGVVVCRLSTGAMKVLESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKTGPTIGAGIHNNGKSPYRIRDMDSADQSASSKKPRSLYTSAELAAMEVRAIECLRRLLRRSGEALVLLQLICQHNVARLVQTLGNDLRKKLVQLTFHQLVCSEDGDQLAMRLISSLMEYYIGPEGKGTVEDISTKLREGCPSYFNESDYKYYSAVESLEKASMTNNQDERDILAREAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDARHHDTITAQRVQCYEIVMNALRTLKGAGRSGAPGPMTALDPASRSKCIKQIIQLSVQWPDTAFHEHLYRTLIELGLDNELLEYGGSDLVAFLQSAGRKHQEEVRGAPRLDDLGAPISTSQTKYLELLARYYVLKGEHVAAARMLLILAERQCSNAEEAPALDQRYQYLSNAVLQAKSAGFAADSSRNPIDSSTVDLLEGKLAVLRFQMQIKQELESMASRLETIPGSSESPSDPFPRDNILADAESAKEAKDKAKELSLNLKSITQLYNDYAVPFNLWEVCLEMLNFANYSGDADSKIVREIWARLLDQTLTRGGLAEACSVVKRVGSKLDPADGACLPLDIICLHLEKAALDRLSSGQELVGDEDVARALLGACKGQAEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMSVIAHKLGTTTAGASFFLDGTFSLNQTGSLQKGVRDKIISLANRYMTEVRRLNLPQNQTDNVYRGFRDLEQKLLSPY >SECCE1Rv1G0010610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:64044186:64047501:1 gene:SECCE1Rv1G0010610 transcript:SECCE1Rv1G0010610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAQSSFALDLLRRLLCAHTPGNAAGGGSTSTVHADAAALRHGDGAAASKEEEEGATARSPCIVARLMGLDAMPTPARDQQQALRRSRSASSVEGWSSPTPCCFGDAPRRRVVRTTSASFRDRPTYLRRENDEFLLLSFSPDDDGGDDRDAAGWPRSDDDDDAETAGGRRGKGTVGAGAKKQRRGRRRLSRRRRGDEEAESEPGRSRRPAAAAEECGLENSSPVSVLEARDGQEEESTTTTTSSSSVEELEPCSPSSDGGETRPAPRRQQQQRSRRKLLQANSDNLGGDPRPAAASSSSSCVSKCSDRGRRDRRVMNKAEAIAPDATGTWRIICRMVEQDICGMNWQAMDNGNIAAEMASKILDQLIWEQTAELMQLTVV >SECCE4Rv1G0259470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:687259581:687289114:-1 gene:SECCE4Rv1G0259470 transcript:SECCE4Rv1G0259470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMVWVPILEEGVFRFDASEAVRAAAGPSLSFAEPRRREVALRDGAGRPAVVPECEVGGDDVHRVLIKLPPGTSFYGTGEASGPLERTGKRVFTWNTDAWGYGPGTTSLYQSHPWVLSILPDGKSLGVLADTTRRCEIDLRQESTIKFAALSAYPVITFGPFDTPAQVVASLSHAIGTVSMPSKWALGYHQCRFSYKSSKRVLEVIRTFREKGIPCDVVWMDIDYMDGFRCFTFDNNHFPDPKSMVDDLHSIGCKSIWMLDPGIKKEKGYFVYESGSENDVWIKKADGSPFVGEVWPGDCVFPDFTSERIRTWWARLVRDFISNGVDGIWNDMNEPSMTTTTKTMPESNIHRGDADIGGVQNHSYYHNVYGMLMARSTYEGMVMSNTEKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSLSMVLQLGLSGQPLSGPDIGGFAGNATPRLFGRWMGVGALFPFSRGHSEAGTVDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYVSHKKGTPVAAPLFFADPQDNELRKIETSFLLGPLLVCASTLPDKGAHECAHKLPNGIWLPFDFGDSHPDLPVLYLRGGAILPVGLPIQHVGEASLEDNLSLLVALDENGKAEGVLFEDAGDGYGFTHGDYLLTYYVAEVHSSVVTVKVLKTEGSWKRPNRNLNISILLGGGAMISSCGVDGEEVHCTMPSEFEVSSLVATSELDLKERLKTIRPIPDMDEPSGQEGAELSKTLIILKSGDWFLKIVPWIGGRIISMTHLPSDSQWLHSRIEIHGYEECSGTEYRSAGCTEEYKIVRGHLEQSCVEESKVCLEGDIGGGLVLQRHISIPEDNPKTVQIDSSIQARSVGPGSGGFSRLVCLRVRPTFTLLHPTEVVVAFTAINGSNQEISSDSGEVTLEGGLRPNGEWTLVDRCSGLSMVNRFDQGQVSKCLVHWGTGDLKMELWSDERPVSKDTPLRICHQYEVTQTCQIETHS >SECCE2Rv1G0088280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:241657842:241668956:-1 gene:SECCE2Rv1G0088280 transcript:SECCE2Rv1G0088280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDLSLMCPEFIQSFSAGMAASGAITSALRLVTRAAFEKSRDGLRKGAMLFFATSCFFELLCLVLYAHVFPKLPIVKFYRAKAASEGSLTVAADLAAAGLQRHPKLSAEDDPAIPERLSNKQMLHVLMQNMDYALDMFLINLLMLSIFPGFLAEDLGSHSLGSCPTYNGSDLVGRYVPLVESLKVTSRRGLLAAALARYLLLPAFYCAARYGGGAWMITLVSVLGLSNGYLTVCVLTEAPTPYKGPEQNALGNLLVFCLLAGICLGAVLDWLWLTGKGW >SECCE3Rv1G0211250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:948230966:948231280:1 gene:SECCE3Rv1G0211250 transcript:SECCE3Rv1G0211250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVRRLASQRAVVIFGTSNCCMCHVVKTLFTEMGVSWTVHELDKDPRGKDVERALAGMVGRSPPVPAVFIGGALVGPTDKVMALHLGGQLVPLLRQAGALWL >SECCE5Rv1G0304500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:59379923:59380171:-1 gene:SECCE5Rv1G0304500 transcript:SECCE5Rv1G0304500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGFSYAQVHVKQERLRRKIGDGEKAAATTAMSKSMAGEEERKKKEGSTSEEEKKAACNSWTAGRVRPFASSPEPKAGRR >SECCE7Rv1G0455160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5556132:5556581:-1 gene:SECCE7Rv1G0455160 transcript:SECCE7Rv1G0455160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWAQHSRRGWRHGWAARALSSATLPPWRLLAFFAIVVSFLATSSYVDYRAVERRAEIGARVFAAPLAAMAAFLLFAALGYWRRRTRWALRRHVLSAQSQPAVASSQSSSGASPWGVAAMVAVLLVMVTFQPSVHSMWFRPLWSSDYS >SECCE3Rv1G0164860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:167222970:167224544:1 gene:SECCE3Rv1G0164860 transcript:SECCE3Rv1G0164860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLHRLPLLLLLLVLSTASASATHSPETTTASSRAHHHHHRSPFGTATAHFHPVPSAAPSMHQNHLHADSQSLLSAVDVDPVLADAQATDAADARPAPLVPPQAEAASPPPTRVLPVPDLREATPQPQEEGSAPTTPAAATTTTTSTLRPLPATVAATASPPPHPAVSDAEQGLQQLSRVLTSLGYNEMASEAPLLARAPPLARWPGAITVFAAPDAFLQASCPMCSRRHLLERHIAMGYYPYSDLAAAATMKIPSASVGFCIKVATERGPFGIHYARIYADGVEVSHPELYNDGRYVVHGLHGFLRPLTHSCFDGPHHHHLTGRSAAASAATDASVVRIMIRDAMARLRDGGYGFMALAMRVKFAELEKFSNLTLFALDDPAIFVGGGHGYVSAVRFHIVPDHRLTHADLHRLRPVTTLPTLAGEGQSLVVTHATDSASSNDDVRINYIPIKEPDVVVNSRIAVHGVYVPFPRLHLADLAASVAVASATDQRIDTCGAGGHFGDCASSAITSPKRPVAHGK >SECCE4Rv1G0273710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:779382884:779383955:-1 gene:SECCE4Rv1G0273710 transcript:SECCE4Rv1G0273710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCEIARLPEELVSAALSLTSPRDASRAAAVSPAFRAAADSDAVWACFLPPLADLPPLADGEQPPARGKKDLFLRLSGSSVLLPGGLMSMWLDRETGAKCYMVAARELSIAWRDTPQYWTWIPLHESRFSEIAQLISVCWLEIRGKIHSKMLSQGSTYTVYIVYKLEDDSYGLNLPVNASLSVGGSVFAYTVCLQRDPSMIYPEDVVLPHERVDGWMELKLGEFVCEAGEDGDVSFGLSETENLHCKGGLILQGIEIRGKN >SECCE2Rv1G0120820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:802487617:802488993:1 gene:SECCE2Rv1G0120820 transcript:SECCE2Rv1G0120820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSSSSRLRVLDTAVVTPSGPPLPPSSLPLTFLDLQWIHSPPVERVFFYHLGAPHADLVVSSLKASLSTAIGVFFPLAGRLRATTANGRPDIFYEPGDGVAFTLAEYDADLSDLASDHHPRQVAELAQLVPRLRHGDADGGAALLSVQATVLLPRRDLALGVTVHHAACDGAGSTSFLHTWAAACAGADKPLRPAPVIDRTLITEPAGLYEHYTNNLMSTDEIEFVKMSPDQLLATFTLSSEQLQGVKDAVASEAARRGQKPPRCSTLVAALGFVWWCYRRAKAETGDGAVDPQSPTYFIVPVDYRQWMKPPVAATYLGNCIGPAIGVAAKGELASAGADGLFTACAAIAAGIEKALVSPEWETTVERVKEAGARGVLSVAGSPRLRVYDVDFGFGPPAKVEIVSVASTGAMAVAESRRGGLEVGMSLPPAGMAAFRRCFADAVAWLSSSHSTDTR >SECCE3Rv1G0181030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:603740793:603747314:1 gene:SECCE3Rv1G0181030 transcript:SECCE3Rv1G0181030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMAPRWRCLLAVVVAAVLSAAAGAGAQETCSGAVPPPPKRGAWMSIASFGGSGDGRTLNTAAFAAAVASIDRRRARGGALLYVPPGVWLTGPFSLTSHMTLFLARGAVIRATQDISSWPLIDPLPSYGRGRELPGKRYISLIHGNGLQDVFITGENGTIDGQGSVWWDMWKKGTLPFTRPHLLELMDSSNAIVSNLVFQDSPFWNIHPVYCSNVAIRNLTILAPHDSPNTDGIDPDSSSNVCIEDCYISTGDDLIAIKSGWDEYGIAYGRPSSDITIRRITGSSPFAGFSVGSETSGGVENVLAEHLNFYSSGFGVHIKTNSGRGGFIRNITVSDVTLDNVRYGLRIAGDVGGHPDERYNHSALPIVDSLTIKNVQGQNIKEAGLIKGIPNSAFSRICLSNIKLHGSSPVRPWKCAAVSGGALDVQPSPCTELTGTSGMSFCTNSL >SECCE3Rv1G0190510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:740524097:740524423:-1 gene:SECCE3Rv1G0190510 transcript:SECCE3Rv1G0190510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKAKLGELMWEHRLRAAAAVAFLAVAVVSISAVGPRLGAVVSFFWPLLVSTGFFLVAITVLLRISPPPSGAEESGKEIIDFVAGCRPEHLLPEASAAVEVPPEPEI >SECCE4Rv1G0280100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:818474106:818476390:-1 gene:SECCE4Rv1G0280100 transcript:SECCE4Rv1G0280100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMAAPTADLPAADQLEPPMAASRTLIRAILAATTDALAGERVVVGGWVRSGRVQCGGTMAFLAVNDGSCHASLQLVVEAARVAHPPLARLAATGTSVLVSGVLRVPPGKSKERIELGVEAVIDAGEVHDPAAYPLPKTRQLKLDHLREFLHLRPRNDTIAAVARMRSELTFATHSFFREMGFLCVHTPIITTNDCEGAGEMFQVTTLFSQAQKADRELKLKLAPHHEHDADDEEEATVSFENDFFRRQAFLTVSGQLQAEAYACALSGVYTFGPTFRAENSHTWRHLAEFWMVEPEMAFANLQDIMNYAESYVQYLCKWLLEHCIEDMEFMAKTHDKSAIQRLELVSSTPFERLSYTKAVEMLMGSAGSKKFQTKVEWGIDLASEHERYLTDVIFKKPVIVYNYPRGIKAFYMRLNDDQKTVAAMDVLVPKVGELIGGSQREERFDILKQRILDAGLPMESYEFYLDLRRYGSVKHSGFGLGLERMLLFATGLDNIRDVIPFPRYPGKADL >SECCE3Rv1G0164360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:162183428:162184148:1 gene:SECCE3Rv1G0164360 transcript:SECCE3Rv1G0164360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEQEQQAKTSTTSSLPSSSDRSSSSGPNNLKEGGAESDEEIRRVPEMGGGSASSGAGDGKQLQLAAASGGQAPAGKKRGRAAGDKEQNRLKRLLRNRVSAQQARERKKAYMTELEVKAKDLELRNAELEQKVSTLQNENNTLRQILKNTTAHAGKKPSGGKGGDGGSKRHHHFGKS >SECCE3Rv1G0181080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:604519986:604523835:-1 gene:SECCE3Rv1G0181080 transcript:SECCE3Rv1G0181080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MADQKVAQLGPGAACCGWNHCGRRLAAGTVDGSVSVYDSQPSPSSKWQAHEHAIVSVVWLPPDYGDAIACVCADGTLSLWEEIAEDDQLPIWRKCKVFEGGSSHILNVQFGLLLSSLKMVTAYSDGQVKVYELLDSLELDKWQLQAELQNITDPVSRIGKPACISASIAWSPRRGEGQQASFAIGFNSDSPNFNSCKIWEFEEAHQRWLPLIELGSPDDKGESVHAVAWAPNIGRPYEIIAVATCKGIAVWHVGFNPESDGRLSTENVALLPGHNGEVWQLEWDMGGMTLASTGVDGMVRLWQANLNGVWHEQAVLDCIGSHQ >SECCE6Rv1G0381430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:32601921:32604944:-1 gene:SECCE6Rv1G0381430 transcript:SECCE6Rv1G0381430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLASSIVKAVLAKFGSSVWGELALLRSFRTDLKAMEDEFATIRSVLADAEVRGGTGDSAVRDWLRRLKNLAHDIDDFLDACHTDLRAARRRRSRGSPACGSTATCIVSSVVMAHRLRSLRRKLDAVAAGRDRLRLNPNVPPPAQPVAPPKRETISKVDEAKTVGRAADKERLMKLVLDAASEEDVSVIPIVGFGGLGKTTLAQLVFNDRRANDEVFDLRIWVSMSVDFSLRRLIQPIVGATKLKRDLTSLEAIANFLSETFTGKKYLLVLDDVWSESQEEWERLKLLLKDGKRGSKIMVTTRSRKVGMMVRTVPPFVLEGLSDDDCWELFKGKAFEEGEEDLHPKLVKLGKGIVQKCGGVPLAAKALGSMLRFKRNEESWIAVKDSEIWQLDKEDTILPSLKLTYDQMPPGLKQCFAYCASFPKNYEIDRDKLIQRWIALGFIEPTKYGSQSVFDQANDYFEHLLWMSFLQEVVEHDLSKKELEEARNVKYKIHDLVHDLAQSVAADEVQIINSKNANGRAEACCHYASLADDMGPSEVLRSTLRKVRALHSWGYALDVQLFLHSRCLRVLDLRGSQITELPKSVGRLKHLRYLDVSLSPIRSLPNCISNLHNLQALHLSNCGNLYVLPMSICSLENLETLNLSSCHFQTLPDSIGYLRNLQNLNMSFCSFLCTLPSSIGELRSLQDLNFKGCVNLEILPDTMCRLQNLHFLNLSQCGILRALPKNIGNLSNLLHLNLSQCSDLEAIPDSIGCITRLHTLDMSHCSSLSELPRSIGGLLELQTLILSHHARSLALPIATSHLPSLQTLDLSWNIGLEELPDSIGNLHNLKELILFQCWNLHKLPESIANLMMLERLSLVGCAHLAMLPDGMTTITNLKHLKNDQCPSLERLPDGFGQWTKLETLSLLIIGDTCSSIAELKDLNLLSGCLKIECCSHKKDLTNDAKRANLRNKRKLSNLTVSWTSSCSSDELKNVETFLEVLLPPENLEVLEIYGYMALNFPAG >SECCE6Rv1G0411330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606493778:606494884:-1 gene:SECCE6Rv1G0411330 transcript:SECCE6Rv1G0411330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 [Source:Projected from Arabidopsis thaliana (AT5G06690) UniProtKB/TrEMBL;Acc:F4K3Y1] MPLLAPGPRALCRDLYSAAAREQAAPTSVPACSTVGGARALGLGLWRGGGRGARAGRGVRAEAAYFWDASVPVQMGEIDSMEKLDAALASSAEHNQPIIIDWMASWCRKCIYLKPKLEKIAGEFPGVLFYFVDVNKVPQAVVKRGNISKMPTIQLWKDGEWKEEVIGGHKAWLVMDEVREMIQKYK >SECCE2Rv1G0084520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:175872438:175874497:1 gene:SECCE2Rv1G0084520 transcript:SECCE2Rv1G0084520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGVLFLCLLVLSGPYAAAAAAGEKATVSVRAVTAISHTDDDFICATLDWWPRDKCNYGMCPWHNSSIINLDLYSPILYNAVKAFNSLRIRLGGSLQDQITYKVGKHYADCPSFRRNDARLFGFTDGCLAMNRWDELNIFFRRTNTTVTFGLNALRGRRKAAENGSTLHVGGWDGRNARDLMRYTVSKGYRVESWELGNELCAGGVEAKVKAAQYGKDVLRLKRMVDKVYNGTGHHPKVLAPGGFYDGPWFSEMLRVSGPGVVDGVTHHIYNLGSGKDKDLINKMQDPYYLDKVAQTFSDMEATVRESGPWSSAWVGESGGAYNSGGKDVSDRFANSFWYLDQLGMSSVFGTKVYCRQALVGGNYCLLNTTTLAPNPDYYSALLWHRLMGAGVLQTTAAAGSPYLRSYAHCSKKQPGVTVLLINLSNSTAFDVTVAGDMDLHPPPRRLLQAEASGGKEAVCGGRREEYHLSPEGGDIQSQVVLLNGEPLALGPCGQIPELRPAIAIDGCTPVHVEPHSIVFIRFTGFKAPACA >SECCE7Rv1G0497470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:626011806:626015745:1 gene:SECCE7Rv1G0497470 transcript:SECCE7Rv1G0497470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEGSGASCSAAAAAVEQQVRVVRCPKCEKFLPELPNYSVYVCGGCGTTLQAKKHSASESCLDKSDVVHVKYLEVLESSPEKKEPVSEANFETISENSQRAQATPEEKLVPPKMMSEHRDSRCSSGDDQIPREPSTLMFEAMLRDDGTEIRGAKYRRTRSEEKGEAKQPVTPSDGTRISIADTIPPNAYLGDHQMRSRFGDANGSQRADGRNMDGPSSVSGLEKDRAELLRMLDELRDQVQRSCEITEKPSVGASTSRVADASSSYSPHEQLSRLRHGSPQLQRNSSQHSPSLSGQTPGIPHAYASVPSQQDLHGYREPVTHMGAPCYPVGQYPWRNCDSYFFGQHNHDPLVSYHHGGFYHQPACSCLHCHHREFLPVQGAPMGFNHHRVPYPGAYPVNGSVIFGMQNSNSRGINASMHRGHMRGNLSKKPPQTCEPIACGAPFTICYNCYEVLQLPKKCPLPGKDEYKLRCGSCSHAIVVKLDGSRLDVSAPSPISHMSAASKNNSSDVQGSNAYSATDERLLPLYSFSAGSHCSQERDLHSNSSDTEKVQGVSSSSSIFEDENNPARSNSQRGTPGSSDLPLEAQVVSRVPSLPLQQHFGHSPSERVVNGSGKGSRSTRSEHEKTVLTETCRHNTIKDVRVVSVMSLSDDEYEDLDCSQDPGAGAQHVDHPIVTKTGDSFFSNLMKKSFKINNGMGNGRAKVFINGYPISDRAVKKAEKIAGPIYPGEYWYDYRAGFWGVMGQSCLGMIPPFIPQLNYPMPKNCAGGNTGIFINGRELHQKDFDLLVGRGLSESPDRSYKVEMSGKVYDEVSGEELYCLGKLAPTVEKMKRGFGMRPPRTIH >SECCE7Rv1G0506540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:749181484:749182503:-1 gene:SECCE7Rv1G0506540 transcript:SECCE7Rv1G0506540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMDPFTAELLSGPPIPVALSGSGAQTRAIDDYLRAIGALPALRPVDHHAAMEEPVPSLLLGSLVPVFDTPESNNSATRPLLSDYDADIDFNLRELEMNVVEPPRPDYLHTVQGDRISPSIRANLVIWMDGFTRYYGLAPGTLHRAVSYVDRVLSERSLPTTNMEYELHLLGATAVFTAAKYEERDTIFKVNAAKIADDCGFATSKEVIDIECKMLAVLRYELSRPTAYTFVDHFTRYSKGERDLEVQKLAHQLAETSLVDYRCLQLMPSAVAASAVFLARLILNPMASQVRQWNREFTELTGYKPTDLIIGIESLYMMNPDPRFAILSAFLHDEQEL >SECCE7Rv1G0468990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104604472:104605203:1 gene:SECCE7Rv1G0468990 transcript:SECCE7Rv1G0468990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTYVDFVPSHDLVEDSCKHTLVVNLPGFKKEHLRVQIDNYGRLRVSGERPLEDGQWSRFRKEIQVPEGCDAGRIRARFEKDGVLNVTMPRLTPLVDEPKAAADAAADAEAARHAAAAAEEKKRQEEMDEERARKRHAGDEDSHASDEGEGAHQAASAGRQAYGFARDRSRSGMVRALLLAVAVAFVGAAGLYARYRWMDPSAETAPADGAIVGLFDY >SECCEUnv1G0558410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:332388605:332392601:-1 gene:SECCEUnv1G0558410 transcript:SECCEUnv1G0558410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLLFHCSAPLPHRPLRMSYPSPSRRVACSASTAEGYISAAPILLPDGPWKQVEGGVTAAKGFKAAGIYGGLRAKGQKPDLALVACDVDATVAGSFTTNVVAAAPVLYCKRVLGSSKTARAVLINAGQANAATGDAGYQDAVDSAEAVAKLLNVSTNDILIQSTGVIGQRIKKEALINSLPRLVGSLSSSTEGSNSSAVAITTTDLVSKSIAVQTEIGGVPIKIGGMAKGSGMIHPNMATMLGVLTTDAQVRSDVWREMVRTSVSRSFNQITVDGDTSTNDCVIAMASGLSGLSDILTHDSAEAQQLQACLDAVMQGLAKSIAWDGEGATCLIEVTVTGANNEADAATIARSVAASSLVKAAVFGRDPNWGRIACSVGYSGIPFNADQLDISLGVIPLMKNGQPLPFDRSAASKYLKDAGDIHGTVNIDVSVGSGGGTGKAWGCDLSYKYVEINAEYTT >SECCE5Rv1G0357530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:747514897:747525306:-1 gene:SECCE5Rv1G0357530 transcript:SECCE5Rv1G0357530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSSSVERATSPVLIGPDWATNLEICDTLNCDPGQTKDVVKSLKKRIAHKNSKVQLLALTLLETMIKNCGDIVHMHVAERDILHEMVKIVKKRPDYHVKEKILTLIDTWQEVFGGARSKYPQYYASYQELLRAGAVFPQRSNGSVPIFTPPQTQPLQNYPPALRDVDHEAPESSAQDFPATSLADIQNARGIVDVLSDMLNALDPSKRQELRQDVIVDLVDQCRTYKQRVVQLVNSTSDEELLSQGLCLNDDLQRVLGKHDAIVAGIAVRVEKQRSLHSAPAKPDTTKEALQRSSTTTSASKQSPSEQLALPAPAPPSSSIQKVVVAAPAPSFDLLSGDEYIKPEPENSLALVPVSEYSASDQNVLALADMFQENSAASDNRNHNQITNSFISPASRANPAPAHPVLPQQPTTYSNGDIVPYGQQSQLNATRPWNGQPAYGVDSQRQTLNYGVEDQNRGLPPAPWEIQHLTGNQRQPAQIGQGLMSTQKMPGGMHLPPVLGSQQPPQFAPNMQYGGMYPNSMQINQGMAMNSQPIVGGQFYGMNYQQLYAAQMAGYGYGQQSGAGAYYIPNAAYAYTSANELSQRMNGATPSFPLKQQNKASRPEDSLFGDLLSMAKMKQSKPAKVGGL >SECCE2Rv1G0087610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:228984257:228985786:-1 gene:SECCE2Rv1G0087610 transcript:SECCE2Rv1G0087610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMGSSDSSSGSAPPRHQPPPPPQQGSAPELPPGFRFHPTDEELVVHYLKKKAAKVPLPVTIIAEVDLYKFDPWELPEKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILASGCGREKVGVKKALVFYRGKPPKGLKTNWIMHEYRLTDASSSATTSRPPPVTGGSRSASLRLDDWVLCRIYKKINKAAAGDQQRSMECEDSVEDAVTAYPPYATAGMSMTGAGAHGSNYASLLHHQDSHEDNFLDGLLTGEDAGLSAGATSLSHLAAAARASPAPTKQFLAPSSSAPFNWLDASTVGILPQARNFPGFNRSRNVGNMSLSSTTDMAGAGTCAVDNGGSNAINVMPPFMNHLPVQDGTYHQQHVILGAPLAPEATAAATSAFQHPVQISGVNWNP >SECCE6Rv1G0388090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:129301083:129304306:1 gene:SECCE6Rv1G0388090 transcript:SECCE6Rv1G0388090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLKQPLVLSAQSSNVAPPPFATSAAALGQQRRASGTGRSRSGRRLTARRISCASTEEAVGVSTSVTTKERSLTVTAVVTAQKPTSVYAARGLDDIQDLFGKTLLLELVSSELDPKTGREREKVKGFAHMTLKEGTYEAKMSVPASFGTVGAVLVENEHHREMFIKDIKLITGGDESTAITFDVASWVHSKFDDPEPRAFFTVKSYLPSQTPPGIEALRKKELKTLRGDGHSERKFHERVYDYDTYNDLGDPDKNIDHKRPVLGSKEHPYPRRCRTGRPKTLYDPETEKRSSPVYVPRDEQFSDVKGRTFSATTLRSGLHAILPALAPLLNNSQCFSHFPAIDALYSDGIPLPVDGHGGASFNVINDVIPRVVQMIEDTTEHVLRFEVPEMLERDRFSWFRDEEFARQTLAGLNPICIRRLTEFPIVSKLDPAVYGPAESALTKEVLEKMMNGRMTVEEAVEKKRLYLLDYHDVFLPYVHRVRELPDTTLYGSRTVFFMSDEGTLMPLAIELTRPQSPTKPQWKRAFTHGSDATESWLWKLAKAHVLTHDTGYHQLVSHWLRTHACVEPYIIATNRQLSRMHPVHRLLHPHFRYTMEINALAREALINADGIIEEAFWPGRYSIELSSVAYGAAWQFNTEALPEDLVSRGLAVRRDDGELELAIKDYPYADDGLLIWGSIKQWASDYVDFYYKSDEDVAGDEELRAWWEEVRTKGHADKKDEAWWPVCDSKENLVQILTTIMWVTSGHHAAVNFGQYHYAGYFPNRPTVVRRNIPVEENRDDEMKKFMARPEEVLLQSLPSQMQAIKVMATLDILSSHSPDEEYMGEYAEPAWLAEPMVKAAFEKFSGRLKEVEGTIDQRNNNPENKNRCGAGIVPYELLKPFSEPGVTGRGIPNSISI >SECCE4Rv1G0248630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:573647727:573652096:1 gene:SECCE4Rv1G0248630 transcript:SECCE4Rv1G0248630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSGGQARKAALAESIAAISEVMSTMASADVGQEKFMDAGSRLQRVPPATLEVQRVQNSPTNVMHIAEYLAANVDLAKDLVARCSAVAQQLMDEDLQSITEDLDNVIKNIGHELSRIPASAFGSSRCADGAAGAHLQVNGHGQHPSDQRSCDGYSEGDMTIVVANDRPKRRALHDGDMPRLVDFLQGMYHESHEFGGQSFSSLPEVAEYVEPLYDSFFCPLTNKVMVDPVTTESGVTYDRRTIEEYFDNLTDDSEPVICPVTKIAMQSKTLRTNIPLKSTIAEWIMRNEATRIRIARTALSLATTEAMILEAIHELKLLARTRRKNRDQMHKIGITKFLARLLEHKDALIRCDSLELLCLLVEDEAGKEIIAKTRAVSRTIKLLSSSSSDERHAAICFLLELSKSELLLENIGSTAGSILILITMKFNNSDDPITSEKAGEVLQNLEKCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVGYLGELVQKQEMTINIAGSASEILIKMVHNGNASICKAALDVLVQISSHQPNGKTLVDAGAVPVMVEALFIRKIDDEPMGSKTEAAAVLANIVKSGMNPEAIVVNKEGHVITSKYSVYNFAHMLRCSMPDDLNLNILRVLLALTALPRPLATVVSVMKEQDSSQTVIEFMGSPSEPLGIAATKLLTALSPQMGHTIAEKLCKVPGQLGRLIRSISQLGRITERHAVSATLLSKLPYQHLTLNLALLHQNAVPTMLAKIEEMQRGGMRASRHAKPYLEGLVGSLVRLTTTLHDQDVLQAAMDHNFTSVLTDLLVRSSGSDEVQRLAAVGLENLSQQSANRSKPPSEEQQPKKNILRRLRTGRVHDNRKPPAHSRLCPVHRGVCSSAATFCLVEAGTVECLLGVLESNENSHVVEAALGALCTLIDEGVDVVGGVAVLTEHDAPRHVLRLVRQHRNDEQGSGAVLRRCFWALESFLENGSDRCVKEVTSDRALPSALVGAFHKGDTATKQVAESVLRSLHRMPDYSATYMSVEL >SECCEUnv1G0553030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:262889899:262891884:1 gene:SECCEUnv1G0553030 transcript:SECCEUnv1G0553030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNTFSWVKEQITRSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLASKPVDIEVPQAVLPDTVFEAVIRIPYDMQLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLAFQSYRPDKKNILVIGPVPGKKYSEIVFPILSPDPATKKDAHFLKYPIYVGGNRGRGQIDPDIRNNSDIYFKCISHFCAAGEIVFPILSPDPATKKDAHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSTGIVRKILRKEKGGYEISIVDASDGRQVIDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQVLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >SECCE4Rv1G0289840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:868725644:868726141:-1 gene:SECCE4Rv1G0289840 transcript:SECCE4Rv1G0289840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMITLKSSDGEEFQVEEVVAMESQTIRHMIEDDCADNGISLPNVDAKILSKVIKYWKKHVQVSPKLADSTDASSSTSTAAAAPAEDLKSFDAEFVKVDEATLFDLILAANYLNIKGLLDLTFQTVADMIKGKTPEEICKTFNIKNDFTPEEEEPVGF >SECCE3Rv1G0167570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:204943310:204948113:-1 gene:SECCE3Rv1G0167570 transcript:SECCE3Rv1G0167570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALACASNVRRLLLHPGAGAPARSFYAQPYQAKVGVVEFLNGVGKGVETHAAKLEEAVGGELQRLLETRTLRLKKLGVPCKHRRLILSFAHKYRLGLWKPAAEARKVQ >SECCE3Rv1G0207560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:922527517:922531454:-1 gene:SECCE3Rv1G0207560 transcript:SECCE3Rv1G0207560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMALRPHAVTPPLAAAAGRGARRSGTVRVLAVASSASAKIESKKTFAPPKEVHVQVTHSMPPQKMEIFQSLDGWARDNLLLHLKPVEKCWQPQDFLPDPASDGFHDEVKELRERAKEIPDDYLVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDFGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLALADMMRKKIAMPAHLMFDGQDEKLFDHFSMVAQRLGVYTARDYADILEFLVGRWKVPELTGLSGEGHKAQDYLCTLAGRIRKLDERAQSRAKQAGKMPFSWVYGREVQM >SECCE3Rv1G0199050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:842080330:842087297:-1 gene:SECCE3Rv1G0199050 transcript:SECCE3Rv1G0199050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFAFGNSGGAGSTSSSPFSFSTAPSAFSSSPAPAFGSSPAPAFGSSPFSAAATASSAPAFGSSLFGSTPASASAPAAGTAPSPSAFGFGATGFGFSQPAATPSASPLFGASPTSAAATTPSIFGAATTTPSPFGASATSSAATTPSLFGAVSSPAATPGLFGATTAAASTPSIFGAVSSAAPTPGLFSGAATGFGFGSSATGSAAASTPSFGFGAPAASSTATTSASAPALFGAATGSSLFGSTSTAPLFGTAASSPATTATTAPSLGFSLAPAATASAPSFGFTPSPGSTTAASTTSSLFSSGPSASAFSFSNSASAAPTTPASAPASGFSLATSQAASAPSLFSNTGAAASSSASSLSSPFGASFATPTPTFASASATSASAVPSSTAAPATSGSSLFSGSGFSFTVPPASSAAAVTTTATTITTSAATTPSTTTTAFPSFSLQASTPASTSAAQSTLLGGSTTAASTLATIATTSQATSSTVQASSTGASTSAITPAAPQAAKLPSEIVGKCVEEIIRDWNNGLQDRTAKFRKHATAISEWDRRILQNRNVLIRLEADVAKVVETQTSLERQLELIETHQNEVDKALQSMEEEAERIFQDERVLLREDEAASARDIMYEQGEVVENELQHMTEQVKSIIQTMNSTQGGELEATDTMAPFDVAVRILDNQLRSLMWIDEKANEFSNRIQRLPNNSTVAERDSGIPRFWLS >SECCE1Rv1G0029970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:422179587:422183220:-1 gene:SECCE1Rv1G0029970 transcript:SECCE1Rv1G0029970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGTVTPGLVVTATDPIRSFLSSAAGSPDLSDDLRDLASALSSESAVPYRSIRTIWCADCCRGRPPLRQLLQGAQFVLSSPKPREKSDELKARLEKLREMQERKEYAELVKDVAPKEDNTEPFSSYKDQLGFGLHVVVIMFTGYLVGFATFKALFSNSPVMNAAGGILGVVGGMLMETVLFIIRSSSKELSSSVPRSKKLQ >SECCE1Rv1G0039610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:550810453:550810908:-1 gene:SECCE1Rv1G0039610 transcript:SECCE1Rv1G0039610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATAPGVSFAKAGAGSSAIAPAIRSASFIGYAKQTANLSGLRTSNKFRVSAAAVHKVKLISPDGEEHEFEAPEDTYILEAAENAGVELPFSCRAGSCSTCAGKMTTGEVDQSEGSFLDENQMGEGYLLTCISYPKADCVIQTHQEEELY >SECCE1Rv1G0031410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:442231116:442231901:1 gene:SECCE1Rv1G0031410 transcript:SECCE1Rv1G0031410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein CcmE homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G51790) UniProtKB/Swiss-Prot;Acc:Q96326] MAFSRLLPSRRLLSALLQAPAPIPAARATGAAAAATPFAHTLQPARFFSATRRAGPGAPRQRAADIGARARQLQSRRLWTYALTFGCAAGFVVTVLATFQDQLVFYLTPTDALARFATDPSKTRCRLGGLVLEGSVAHPSSSNSEIEFVVTDLVTDVLVRYEGALPDLFREGHSVVVEGFLKPLTDDLRRDWAGRKVSDKALEGQCFLRGTEVLAKHDEKYMPKEVGEAIERNKKQIEADAAAAAAEENAAVAVDGAKANS >SECCE7Rv1G0511650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804664167:804665762:1 gene:SECCE7Rv1G0511650 transcript:SECCE7Rv1G0511650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSVGGGLPEQDLPVCVTGATGYVGSWLVRTLLRRGRRVHATARDPAKAWRMLSAMEGKERLRVFRADMAEEGSFDDALTGCTVLFHVAASMDLHLSPDQHDAEERVRSQVLEPATRGTINVLRSCVRSGTVRRVVFTSSVSTLAAAGAESAVVDESCLRDLGDVWATKPIGWVYILSKRLAEEAAFGFARENGLRLVSVVLPTVAGPFLTPAVPTSVQLLLSPVTRDPKLCALLASVHARFGCVPLAHVQDACDAHLFLADAPAAEGRYLCAGGSHTTAHIARLLASHYPPFKFNHEKRLGDDLDGASPESVVSSKRLLDVGFRFGHDAGDILRDAVAQCVDHGFLEPPGGCD >SECCE2Rv1G0089190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:254148398:254149444:1 gene:SECCE2Rv1G0089190 transcript:SECCE2Rv1G0089190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAVLIERGMASEVARLALVSAAVAAAMAYARLASSRLGPGLPRLAALLPVLLLLPVLPFTFSSIHLRTISAFFLVWLCGFKLLLLAAGHGPLHPALPAVRFAACAALPINIRGRAQSSRSLPPGFLLSYAAKAALFAALVSLRGLRSRMPAYAVVAFDGAHVYLMLELFLESAAAFARTLLGAELEPQFDQPYLASSLRDFWGRRWNLMVPGALRPSVYRPVRARLGDSAGVLATFLVSGLMHEVMFYYITLEAGTGEVTAFFVLHGACVVAERWWVRRSGAWRPPRAAATVATLAFVTGTASWLFFAPVIRSGLDKAIVAECEGMLALLEAAVRSLAARLLWTG >SECCE4Rv1G0267030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734302591:734302848:-1 gene:SECCE4Rv1G0267030 transcript:SECCE4Rv1G0267030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVVTPNDLDRHYYNSVLSHTFLFTSDAALLTSEETARMVVDNANIPGWWEDRFEKAMVKMPGIEVKTGDQGQIRKNCRTINYY >SECCE3Rv1G0144000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2992292:2993743:-1 gene:SECCE3Rv1G0144000 transcript:SECCE3Rv1G0144000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAISAVASEFVNRFISFLINKYSYSSHVRLEEKVERLQHLLMRVHTVVEEADGRYIMNSRMVKQLQLLSEAMYQGYHVVDKFRYQSFVDKGISEVCNSSVLPFAIPLKRTRTIACTRKEKLVNLDLDGALKSMQSVVANMMEFVVLLGGCERMVRRPYDSYLYYENIMFGRHAEKQTLLNFLLQQNTPGDEPAVLPIIGGCTVGKKTLVAHVCGDERVQSRFSSILHLSGENLLKILEHESTMLGKMLVVVEFASNGDDNDWRTFHSFVKRLARGSKVIIISKLQRLARFGSVKPIFLNNLPFEELSYLFKTLAFGSANPEEHPRLVPIAHEYARMLHMEESLLVINPVADVLRNNLNVQFWLFLLKKRRRMIERNLSIYGVGLKIQMEQGYPLDLTDYALNPLRAVPYSAIVPRKKDLPTVTLGDLVADPSVRPKGEFNLVTWESRIPPYNSSSYFVPDWVQDMPEGTLLTGRKRREFHV >SECCEUnv1G0540160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78546486:78546917:1 gene:SECCEUnv1G0540160 transcript:SECCEUnv1G0540160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAASLVVRSPRQTVSLLRSRRPQFGRPERERGRERRPRRGREPEPASGPKPSEVYGFVGSITVVIATAVYLAWAYTPEPALRSLGITYYPTKYWALAVPSLAMVALALSLLAYLGSNFVATPPPTSFTTIFGTIFTSAAS >SECCE5Rv1G0324880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:458657975:458659573:-1 gene:SECCE5Rv1G0324880 transcript:SECCE5Rv1G0324880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKASIHKKETHGTSDDISENTPVEKVRAPNLFERAKEEIEALVAAVHEKMEHHSSPRMKEGELHKDSKEHSEANMHKKIHENETHGTSNDISEDMPVSKVKGPNVFERAKEEIEAIVEAIHPKKESDK >SECCE1Rv1G0051860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:657413449:657414170:1 gene:SECCE1Rv1G0051860 transcript:SECCE1Rv1G0051860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQDQASFRAGEAKGHTQEKAGQVMGAAEDKAYEAKDRVAALAGHSSGQGQGATEATKHKAGEATDKASQTAQAAKDKAAGTAQAAKDKTSETAQAAKDRTVESKDQTGSFLGEKTEMAKQKAAETAEAARQKATETAQYTHDRTYDAAQYTKETAVDGKDKTGSVLQQAGETVVNAVVGAKDAMANTLGMGGDNTNTTTTTEKITRDH >SECCE1Rv1G0036410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:509434260:509436392:-1 gene:SECCE1Rv1G0036410 transcript:SECCE1Rv1G0036410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSLILTDDTSPSPPKLLQHGINRSRGPQPRRRGCRGEESSSSAPEGDGGRMVRDNPTVAALSALLARSASLYAAAALHARLLRSSRLFSHPFLTNCLAAAYSRLGATPAAIALLTHAPGGAANRFSHNILLAALLKSRDLLAARRMFDEMPLRDTVAYNSMISGYAQSGHADEALRLVRRMRELGVRPSAFTFSIVSSAVCSAPHGMQVHAAAVRHGSAQHNAVVGNTLVDMYRRVGLLKYAMRVFWNMNELDMVSMNSVMSVYKDDGQSSAVFECFRLTRSHGFSVDERSVSTVLTACTDIQDLAMGDQLLALCVKTGLLSNSIICSAVIGLLSMSDRLPDAVRLFGGLTKWDSETCNAMISCYARSGLMDQALGLFVIALRNAVLPTEFTFASVLRWSSCFGLMEQGTQIHALVYKCGFEDDMIVATALIDMYCKLGSLKHARKLFDSVCVKDLVLWNTMIIGLSQNGGGREALKVFWWMLDCGVKPDRITLFGALSACSLGGLVNEAMDIISLFKAKYHVVPGLEHYSCVADMLSRAGLFREAEDLVQHKLQKCNTAALLNILEACMIQGDFTMAESIAENMLKLKPRSSLPYTVLARTYGARCKWESMARMWRSMEALGAKKVEECSWLCIKNEIHVFTSEQILHQGSEATYAVLDLLFWDMMDEISMMDHIHAPGCVDIIHTQDPKKSKGFDCLQQFLDCTL >SECCE7Rv1G0524410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885956306:885957298:1 gene:SECCE7Rv1G0524410 transcript:SECCE7Rv1G0524410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVFGTPISEQTVIATGEYEEPITQKDVADYAMKMINAGGKDVNAQKFVDNLKERYGNGIAVKCLIYNATGATLSLVTYNDWHGHIYDTPYPSDIQNGQWGAFLHVHPRGAAAGSAGAVVYRTSNDDTSCDWLFSWTVPYSGSNGVYTEIREEEHYPSVGSWDFIYNEKLENSNANSTDGNYGYVSKVDIGEGSTMNARGVFQFPY >SECCEUnv1G0561140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:352144546:352148919:1 gene:SECCEUnv1G0561140 transcript:SECCEUnv1G0561140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLSLAPPTAVLPCLPRRPTASRLSPRVPSRATLAVCLVAKPKVPLPIASPSPLGDDPAKWDPAECDAILRGGEQVASVLQEMLTLMEDMEMDGAFAPVAVELVAQGVIGNRVDEMESGFLMALDYMIQLAQKDGDDERNSLLEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKESRHELLRRVAAGGGVFENDKGLKCQIPGANLNDIANQADDLLESMETRPTVPDRKLLARLVIVREEARNMMGGGLLDERNDRGLNTLPQAEVNFLSKLVALKPGKAVEKMISDVMHGKEEGADNTESTNAGPNSDLEPSTGTSGRENATGRKPQPVRPGMFLETVSKVLGGIYAKNTSGITAQHLEWVHQTTLKVLQEMAF >SECCE4Rv1G0219820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33780461:33780916:1 gene:SECCE4Rv1G0219820 transcript:SECCE4Rv1G0219820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYSRVWLPSLLLVCALVESSYGSRPPPRELQMAGVLSPTMVHGAVEAEPHPRGDGAAKVRPSTEEGPAGHRGANADDDDDDAASVMGVGGVVSEQRKGSEAPVLQQALGRMLGSKLARRVLGGEAEDSAAGPSCRSNNAHITCAPPAQH >SECCE2Rv1G0083960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172344981:172345340:1 gene:SECCE2Rv1G0083960 transcript:SECCE2Rv1G0083960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRNTAIFLLGLLLSCVAMSSGARILEEETAPSKGEEHLPELPMLPKVELPPFPEVHMTPKPELPSFPEVHLPPKPELPKFPEVHVPAKPELPKVELPLTPKMPTIPEFHCPEPEAKP >SECCE1Rv1G0030310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:426926491:426928845:-1 gene:SECCE1Rv1G0030310 transcript:SECCE1Rv1G0030310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MAAMIMGVSALRSHHPLRLPTTRPAAALPRATSPTSHQPRSLTLLRCRCRCRRSLPHCSCSSSPRASLSPGAPDSAAFMALAPNNAALRHRLVAPNSTAGPGDGAATATGGLPSVLGIAHLAVSLGIVLATDKYLKQAFVAASIKFPSALFGMFCIFSVLVVLDIVAPALAKGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDIPAASGLKICVITLGGWFASLAVAGYTALTVRKIVKTELIAAEPMGKPSAFATLEFWAWGAVFVASFATAFVNPTALGSTARTCLPFMLASTVLGYMVGSGLPSGIKKLLHPIICCALSANLSAVAYGYLSGSGIDAALGDYLTKVPSNPGAGDVLMGFLGSVILSFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAILGRLIGLEPTLTISILPRCITVALALSIVSFFEGANTSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLICSSPAVRQSLVFIAG >SECCEUnv1G0532140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19517562:19518798:1 gene:SECCEUnv1G0532140 transcript:SECCEUnv1G0532140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERDVERVSRLNRMYYGTEAHCISELRMRKYVFHKLCANLRCRGLLVDMFHVTVEEQVAMFIHVVGHNWKNRSIGFEFYRSGETVSRYFNAVLDALCILPRDVICIRTIETHSKITSSSRFHPYFEGCIGALDGTHIPACVPIHMQDRFRGRKSFPTQNVLAAVDFDLRFIYVLAGWEGSAHDSYVLQDALSRPNGLKIPEGKFFLADAGYAARPGILPPYRGVRYHLKEYRGARDAENPRELFNHRHSSLRTTVERAFGALKNRFKIFASQPFFPLKTQVKIVFACCALHNWILEDGPDEYVYDDATWYSALPRSRRHRRDVYEESQAWARKRDELAQKMWEDKLAELAAQGQDD >SECCE2Rv1G0131350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884117205:884118310:1 gene:SECCE2Rv1G0131350 transcript:SECCE2Rv1G0131350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVVDLAPFFAVDGADDAGARARATEAVREACQATGFFRAVNHGVPRELMARALDLSAAFFALPDEKKAKVRPAEGASASPLPVGYARQPAHSADKNEYLLLFNPELGLNHYPAEPAGFRDALEKCYAKLTELGLLIQDILNECMGLPPGFLAEYNADRGFDFLTALRYFPATSSHENNGISAHEDGNCVTFVLQDGVGGLEVLGEDGRWVPAEPMEGSIVVNVGDVLQVLSNKKFKSATHRVVRRPAAHRHSIAFFLNLHGDKWVEPLPAFAADLGEPPRYRGFRYNDYMQLRMRNKTHPPSRPEDVVHITHYEIL >SECCE1Rv1G0017840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:165229805:165231939:1 gene:SECCE1Rv1G0017840 transcript:SECCE1Rv1G0017840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) UniProtKB/Swiss-Prot;Acc:Q8VZU9] MNSTFKIMYYSSLPPISKAYGTLCFFTTVLVQLQILNPALLYLSYPYVFKSFEIWRLFTSFFFLGKFSINFGIRLLMIARYGVQLEKGSFEKRTADFLWMMIFGAISLLVLSSIPYLETDFLGIPMVSMLLYVWSREYPNSQISMYGLVQLRSFYLPWAMLGLDVIFGSPILPGLLGIMVGHLYYFFTVLYPLASGKNYLKTPMWVHKIVARFRLGVQANSPVQPANTGPSAFRGRGYRLNQ >SECCE5Rv1G0312590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:238224700:238225306:-1 gene:SECCE5Rv1G0312590 transcript:SECCE5Rv1G0312590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETCLRSWALHAPLAGTRERLRSGSYAPSRPRTASPAVVSPSPYPSALVATRRPSRFACKCKNVVDEVIVADEKNWDNMVIACESPVLVEFWAPWCGPCRMIAPVIDELAKDYVGKIKCCKVNTDDCPNIASTYGIRSIPTVLMFKDGEKKESVIGAVPKTTLCTIIDKYIGS >SECCE4Rv1G0221550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:49891175:49894333:-1 gene:SECCE4Rv1G0221550 transcript:SECCE4Rv1G0221550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLPLAMLVVLSAAAAAPQLVGAARGDHSLGIIQKDIIQTVNNHPNAGWTAGHNPYLANYTIAQFKHMLGAKPTPPALLAGVRTKTHSRSEELPKEFDARSKWSGCSTIGKILDQGHCGSCWAFGAVECLQDRFCIHHNMNITLSANDLVACCGFMCGDGCDGGYPISAWQYFVQNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWEEKKHFSIDAYQVNSDPHDIMAEVYKNGPVEVAFTVYEDFAHYKSGVYKHITGGVMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGKNECGIEEDVTAGMPSTKNIAGSAFAM >SECCE3Rv1G0174100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:373978717:373978908:1 gene:SECCE3Rv1G0174100 transcript:SECCE3Rv1G0174100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSGICPRTTCGGTEGTDSASVPHPLRKKLLNHHKIKKYDALCLTLLVIVPCCCSRCSFVRV >SECCE2Rv1G0121740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:810193317:810194748:-1 gene:SECCE2Rv1G0121740 transcript:SECCE2Rv1G0121740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLARRHGQLLLLRLGELPVVVASSADAAREVMVARDVDFATRPISRMLRMSIPDGTEGIAFAPYGDKLRQIRKICTIELFSARRVQSFRTVREEEAGRLLLAVAVAAASSTPVNLSKQLSAYAADSSVRAIMGSRFKDRDTFLMILQRGIKLFAGMSLPDLYPSSRLAMLVSRVPGQMKRYREERDAFMDAAVREHRENRAADDDKEGLLDVLLRIQREGHLQFPMSIDNIKSAVGDLFAGGSDTSATTLEWTMAELVKNPRVMGKAQDEVRRALAGQPKVTEDSLGGLSYMRLVIKEVLRLHPPAPLLLPRECMNDCRVLGFDVPKGTMVLVNAWAISRDPAHWDAAEEFMPERFERGEIDFKGADMEYTPFGAGRRMCPGMSFGLANVELALAGLLYHFDWELPGGAEAGELDMTEKMGVTVRLRRDLLLVPVVRAPLPLD >SECCE5Rv1G0324050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:442040447:442048840:-1 gene:SECCE5Rv1G0324050 transcript:SECCE5Rv1G0324050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEIHAFGRSLRRESSVWSRGGEELFSRSSRDEDDEEALRWAALEKLPTYDRARTAVLAMPEGELKEVNVEKLGAQEKHALLQRIAWVGDDHERFLSKFKDRVDRVGIQLPTIEVRYDNLNVEAEAYVGSRGLPTILNTYANVLEGLANALHLTPNRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTSLLLALAGTLPSSLKMSGDIIYNGHTMDEFVPRRSAAYVSQHDLHMAELTVRETVNFSAKCQGIGHRFDLLMELSRREKEENIKPDPEIDIYLKAAATGEQKAEVVTNHILKVLGLDICADTLVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHIIGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESMGFKCPERKGVADFLQEVTSRKDQGQYWLNSDKTYQYVPVKEFAEAFQAFHVGQAIKSELAVPFDKNGSHPAALKTSQYGASMKELLKANISREILLMKRNSFVYIFKATQLTIMAIIAMTVFLRINMHHDSVTDGGIYMGALFFGILMIMFNGLAEVGLTIAKLPVFFKQRNLLFFPAWTYTLPSWLIKTPLSLLNVTIWVGITYYGIGFDPNVQRFFRQFLLLFLMNEASSGLFRFIAGLARHQVVASTLGSFCILIFMLTGGFVLARENVKKWWIWGYWISPLMYAQNALSVNEFLGHSWDKTIPGFKEPLGKLVLESRGVFPDTKWYWIGAGALLGYVLLFNVLYTVCLTFLDPFDSNQPTVSEETLKIKQANLTGEVLEASSRGRVTNSTIASRDTEDGSNDESTSNHATVNSSPGKKGMVLPFVPLSITFDDIRYSVDMPQEIKAQGVAESRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAFSSWLRLPANVDSSTRKMFIDEVMELVELYPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGRQSCELIRYFEAIEDVRKIKDGYNPSTWMLEVTSATQEQMTGINFTQVYKNSELYRRNKNLIKELSTPPEGSSDLSFPTQYSQTFLTQCFACLWKQSLSYWRNPPYTAVKYFYTTVIALLFGTMFWGIGKKRHNQQDLFNAMGSMYSSVLFMGVQNSASVQPVVAVERTVFYRERAAHMYSPLPYALGQVAIELPYIFVQSLIYGVLVYAMIGFEWTAVKFFWYLFFMYFTLAYFTFYGMMSVGLTPNYNVASVASTAFYALWNLFSGFITPRTRIPIWWRWYYWLSPIAWTLNGLVTSQFGDVTEKFDNGVRVSDFVESYFGYHHDFLWVVALVVVSFALLFAFLFGLSIKLFNFQKR >SECCE4Rv1G0285190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843240705:843243281:1 gene:SECCE4Rv1G0285190 transcript:SECCE4Rv1G0285190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRRRRRRRARLPAAAPLEDDDLLCEILLRLPPQPSSLPRASLVCKRWRGLVSDPGFFRRFRLHHRRNPPLLGFFGCFDGLSFLPTLETPNRVPPERFSLQRDEDYDHAVSLGCRDGLMLIFLRKLPEVLVWDPVIGDQHRIAIPAALDTKRNRINGAVLRPAGDAGHFQVVMVVADGDDERHIQATACVYSSKTGLWGNLISTPIPYQASDRPYHNPTMVCMEDAVLAGDSLHWELIGNMAGILEFDLEKQSLAVIRVSVDIYGQGNCFKVMRAEGGGLGLLVLSDSDYTAQLWKRKTDCEGVASWEPGRTIELDMLRSLRSSEEIGPLYILGYAEQNNVVFLNTDNDVWMIQLESLKFKYFVKARVFHSYHPFESVYSAGTCVGGGHGGAALVR >SECCE6Rv1G0420820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680312410:680314101:1 gene:SECCE6Rv1G0420820 transcript:SECCE6Rv1G0420820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDSSPHSAADDALLHHHHHHPDATTTNNSSPESAAAAAQTVAPAAAVPPPVPAVPAKVRLMVSYGGRIQPRPHDNQLSYVNGDTKILSIDRPLRFPDFAARLAGLAGARGDLCVKYQLPGEDLDALVSVTNDEDLEHLVIEYDRLHLFRAPAPAPGSGGSSRGGSTPRLRVFLFPVAPRPASPPKQPPPQQLELQREWYLDLKSASPPPAPQQALPPPPPLQMQNQQMQPPQLMMKQEAVPVQSPPPAAPMAVPQSVMAATKTGPDYLFGFDYGFMPPPAVKVKDPAGDPPKMRDNVPVEIPTKNEDRHPNPAADNSNNNNAMVSPAVSPAEFPRQIPDLEKLQLADNNATQQQQQPPPPAPAPAPAPQAAPAPAPVVAPAATVPAPLSRNGSDDSLTRAYPPATATPTAAAEYYVTKFPEKPPVPPPSSAPPAAAFLPVPGRYGSVAPGSGADPGPFFFIPAPPHGYYTSANPGGTSYYAVPHNNGNANGNGTAPPPAVSSAQGYPQVAYDSNGRAVYYTGLLPQYPSAVNGGGGMSASSAVLGTEPSKPVAVKPTVS >SECCE3Rv1G0162260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:128335824:128338970:1 gene:SECCE3Rv1G0162260 transcript:SECCE3Rv1G0162260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G57520) UniProtKB/Swiss-Prot;Acc:Q94A08] MTVTPQITVSDGRLAVRGRTVLSGVPDNVTAAHASGAGLVDGAFVGATAAEAKSHHVFTFGTLRDCRFMCLFRFKLWWMTQRMGTSGRDVPLETQFILIEVPAAAGNDDGDSEPVYLVMLPLLEGQFRTVLQGNDQDQLQICIESGDKAVQTEQGMNSVYIHAGTNPFDTITQAVKAVEKHMQTFHHREKKKLPSFVDWFGWCTWDAFYTDVTADGVKQGLRSLADGGVPPRFLIIDDGWQQIGSENKEDPGVAVQEGAQFASRLTGIKENNKFQSEHDQDDTPGLKRLVEETKKEHGVKSVYVWHAMAGYWGGVKPSAAGMEHYESALAYPVQSPGVTGNQPDIVMDSLSVLGLGLVHPRKVYNFYDELHAYLAACGVDGVKVDVQNIVETLGAGHGGRVALTRAYHRALEASVARNFPDNGCISCMCHNTDMLYSAKQTAVVRASDDFYPRDPASHTVHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFDLLKKLVLPDGSVLRAQLPGRPTRDCLFSDPARDGASLLKIWNMNKCAGVVGVFNCQGAGWCRVAKKTRIHDEAPGTLTGSVRAEDVEGIAQAAGTDDCTGDAVVYAHRTGELVRLPRGATLPVTLKRLEYELFHVCPIRTVAPDVSFAPVGLLHMFNAGGAVEECTVKTDEDDKAVVVLRVRGCGRFGAYCSRRPVKCTLDSGDVEFSYDADTGLLTVDVPVAEKEMYRWALEIRV >SECCE6Rv1G0392990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:272408339:272447453:1 gene:SECCE6Rv1G0392990 transcript:SECCE6Rv1G0392990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSPSKCCFCSHFKGCLRSHRCLDQTPDSRRESRGKSSWGRAKTDSSASDGSSDDLEGDDGFGQMNITRESNVGIKCLSRVSSQFLPPEGSRKVRVPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQLVKRRLCENLLRDSRFRTDAVQALRSAFLATNSQLHADSLDDSMSGTTAITILVRGKTLYIANTGDSRALVAEKRGEDIIAVDLSRDQTPYRTDEVERVKECGARVLTLDQIEGLKNPDVQCWGNEESDNGDPPRLWVENGMYPGTAFTRSIGDSVAESIGVVANPEIFILELSASHPFFVIASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIILVHINGLTDSGSTHTVLNVSLQPSQQVELVGSESPSITSLNPNNQCSRHDLSRARLRAIGSSLENGQLWTPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQRNVLLDCMQRVEATPRDIVVQQGGEGDCFYVVGNGEFEVLAIQEEDGKEVTKVLHRYTADKLSSFGELALMYNKPLQSSVRAVTNGTLWALKREDFRGILMSEFSNIPSLKLLRSVQLFTRLTVLQLSQIADSLVEESFADGQVIVDKDDDVSSLYIIQRGQVRLTVAADSVNSDSWDLLSTHGKQVQHSQESGNYVVEIDEGGHFGEWALIGETITFTASSVGDVICSTIAKEKFDLIVGSLPKPSQADSKLKSSLIPKENQHCADDDLPFRRVQQSDLEWKECIYAADCSEIGLVQIRGSDKIKSFKRFYIKRVNDLRKEKQVFQEKDMMKTLSKSACVPEVLCTCADQSYLGILLNCCLCCSLASILNAPLSESSARFYAASVVVALEELHRRSILYRGVSADILMLDRSGHLQIVDFRFAKKLEGERTYTICGIADSLPPEIVLGRGHGFTADWWALGVLIYFMLQSDMPFGSRGESELEPFGKIAKGRLIIPSAFSVEVADLITKLLVVDENTRLGATGADAVKEHPWFDGIDWKQIVDGTSRVPQEISNRIDIYVETLQQDLTVPPSILTEDPADLTTPEWIKDW >SECCE7Rv1G0478990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:244114664:244116613:-1 gene:SECCE7Rv1G0478990 transcript:SECCE7Rv1G0478990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLGQPQVQAAAAFGDTTLTKVFVGGLAWETHKDTLREHFERFGDILEAVIISDKLTGRSKGYGFVTFKEADAAKKACEDGTPVINGRRANCNLASLGAKPRPQPPHLLRPSPPTTPAPHHMPALPSPRHQPAPAIAVGSRGVSPVPWYYHPSTTPPPPPQAAHYGHGAHQQYHSVLPFYPAAANYGYSPNYVTDLSYNAKLGQAAAAPGAGGSYMQPQGHFSYPAAAAQGGMLAPNGMMPVYPYYHYHYHGSQGLGVPAAHFFPPVSAAVPTVPAIISKPTVMVPPKVEQVAGCS >SECCE3Rv1G0166710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:191312273:191313439:-1 gene:SECCE3Rv1G0166710 transcript:SECCE3Rv1G0166710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRALLASFLLAALATQAFVAVSARSVPTDKAGQDDVKKPDCVPSLDPHNFPGHGGTTVPLPSHGGSSGTPPYHGGSGTTPSHGGSGSTPSHSGSGSVPDPSHGSSGTPPSHGGSGSLPDPSHSGGGYGSTPDAPSHDGGAYGSSPTPSTGGAYGSSPTPSHDGGAYGSSPTPAHDGGSYSGTPAAPSHSSHGSVTPTPLIPVDPNSLGTCDYWRTHPMQIWSALGSWPSSVSHFFGAAGGAVAGGPSVSIQDALASTRTDGAGALLREGTAALLNSMTRPGFAYTTQQVRDAFAAAAAGGSDSAAAAQAAAFKKANEGRKA >SECCE4Rv1G0242870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:490803889:490805714:1 gene:SECCE4Rv1G0242870 transcript:SECCE4Rv1G0242870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSDDDASQYQIRSSKNMTYKVRGDMKKLLPVTTFLLGAGLTAALVFLNATLDVDWRPPALASWGTGTQPAPNPKTKALTELAEVLKNASMEDGTVIMTSINKAYAAPGSLLDLFLESFRVGEGTAHLLDHVLIVAVDPGALRRCRSVHRHCYLLRRSPDAVDYSGEKHFMTKDYLDMMWGRNRFQQTILELGFNFLFTDIDIMWFRNPLRHIAITSDVAIASDFFNGDPESMGNRPNGGFLYVRSANRTVEFYRRWRRARRRFPPGTNEQEILGRAQGELSRRSGVRMQFLDTAHCGGFCQLSGDMGRVCTLHANCCTGLANKVHDLRNVLRDWRNYTAAPPEDRRVGGFQWTRPGRCIR >SECCE3Rv1G0194780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:785267249:785270150:-1 gene:SECCE3Rv1G0194780 transcript:SECCE3Rv1G0194780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MAMASPPACSLLPAISCAPAYASVPRAPRFLTCPRAVTAHRPLPTASSPKVAAPAAVEIPEEYVDDVEAVNIAMDVTQLIGKTPMVYLNNVVEGCVANIAAKLEYMGPCRSVKDRIALSMISDAEVKGLISPNKTILVEPTTGNTGIGLAAVAAARGYKMIATMPSSIDVERRILVRAFGADIVLTDPTTGLKGAVDKAEEIVSKTPNAYMFQQFNNSANSEIHFQTTGPEIWEDTLGTVDILVASIGTGGTITGTGRYLKMMNRDIKVIGVEPAETSVISGDKPGYIPSILDVQLLDEVVKVTTAEAVDVARLLALKEGLLVGISSGAAAIAAINVAKRPENAGKLIAVIFPSFGERYISSILFRPIYNSVRRMRKR >SECCE3Rv1G0208820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:934911975:934912927:-1 gene:SECCE3Rv1G0208820 transcript:SECCE3Rv1G0208820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHWLVQGCSYGDSLVFQFFGLGAQVPDDDGDELDGMDEALCPVDSFQQGPILDDEINEAIVRPLVHGVKLHAIVDACHSATVLDLPYQCTVSKQTGRWRWRDERAMTGACKGTSGGQAVLISGSSNGKSNMSVLPEPYATIGAMTHSFIRAVECEPRTTYGRLLTSMRAIMRDSGGNCNLQGPIGASIHRVANFSGVEEPQLSSAYKFDIEREPFCM >SECCE1Rv1G0062130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718459263:718460913:1 gene:SECCE1Rv1G0062130 transcript:SECCE1Rv1G0062130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYDKTIATAASLAASLMLVRSLANELLPSEVRDALSSALASLRSRMTWQHTIVIEETEGWSSNRVYIAVKAYLATRINANINMQRLRVSSSEDAEKMVVSMEAGEEMADVYEGAEFKWCLVTREVSADPNNGGGGAREIRSYEVSFHRRHKEKALKEYLPFIVATAKAIKDQERSLSIYMNERYDEWSPIGLQHPSTFDTLAMDHKQKQSIVDDLNRFIKRKDYYRKIGKAWKRGYLLYGPPGTGKSSLIAAIANHLRFDIYDLELTGVDSNSDLRRLLVGMTNRSILVVEDIDCTIELKQREEEDEEQSKSSSTEKKKAEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCTTEAFRILANNYHSIDDHATYPVIEKLIKEVTVTPAEVAEVLMRNDDTDVALHDLVELLKLKKKDATEIKTEIKQPKEKKDATDDIKIEGTQVDGKKDGDEIKSGSEQVEEKNDDKEVVVKNVLTENNGSS >SECCE3Rv1G0192170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:755548755:755552487:-1 gene:SECCE3Rv1G0192170 transcript:SECCE3Rv1G0192170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSTATASASGPAPASPCPAPSRPTDPDFLSCILQPPTTSSSSSRPDPDYAALRRLLLRRKSSSALNHRMEWRCNGKGYVAYRNFLLRRIDGGAASSSAHSTPGNSGRWFASPTTFSEADSWSSIRDLRNNSGMLSRTLSVSSKQSEPERHVRFAEPAYSFVGMHCIFDNCKASVTVLKFGRTNSDLLAYGSSDGSLTVCQVSEPPSVLHKLTGHSKDITDFDFSSNNQYIASCSMDKTVIVWEISKGTCIKVVYGVSSQLCICFHPVNNNLLLVGNANREINAINFSTGRVISKLTCDNAVTALDIDHTGQLIFAGDAQGYIYTISVNSHTGSLSRTHKNKSCKSKSSVTTIQYRTFSLVARCPVLLSCAQDGNLYFFSIATNSHGYLTLICSLKLASPVQNIRASFCPLLSLEKGEFIVTGSGDSNVYFYDLARPKNSCVNKLQGHGSPVMGVAWNHGENLLASSDSDGTVIVWKRAKTN >SECCE3Rv1G0167930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:211389830:211392138:1 gene:SECCE3Rv1G0167930 transcript:SECCE3Rv1G0167930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like enzyme, Auxin biosynthesi [Source: Projected from Oryza sativa (Os01g0224700)] MDCFAEAEGKRSHDPLYCNPHSPPATGFPVDNIPVPVVIVGAGPAGLGTAALLGEAGVPYKLLERCSCIGSLWRHRTYHRLCLHLPKQFCELPLMSFPKSFPTYPTRDQFLEYLESYARRFNIEPEFRQAVVSAEFNGDFWWIRTKEVTSLPIGGEQATHSGKTTLYRCKWLVVATGENAEPAVPEIEGTGRFKGQLMHSCEYRSGEGYAGKQVLVVGCGNSGMEVSLDLANHNALASMVVRGTLHVLPREMLGRSTFGISLWLLRWFHVQTVDRFLLMMARFIMGDTTNIGITRPSLGPMELKGVSGKTPVLDVGTIAKIKSGSIHVFPAVRCFHEHGVEFIDGRTENFDVVILATGYKSNVPYWLKEKTFFSEKDGFPRKSKEWKGKNGLYAVGFSRGGLLAVYMDATKISEDIVQQWRDMCMEDVRETSSNRRAPCPI >SECCE7Rv1G0465010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:65957154:65958320:-1 gene:SECCE7Rv1G0465010 transcript:SECCE7Rv1G0465010.1 gene_biotype:protein_coding transcript_biotype:protein_coding METITACASPAMQQAAKTPSASLSRAIAASPARYRPSLLVIFSACLVLIGAGGPLLLRVYFVHGGQRLWLSALLQISGWPLLLPPLCVSLFRGRRHGIANLLLPPRLVGAAAVLGGFYAVSCYVYAMGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVLLLTIGPAVLGVGPGAGKPAGVTSKTYWSGFGEAIAAAALAGLVLPLFEVAMERYGRRTGPAARSPPPYSTVMQMQAVMGAAGTVVCLLGMAIKSDFGALRSEAATFGLGETNYCLVLLWDAVSWQFLNLGIMGLITCASSLLAGIMIAVLLPLSQILAVLFLHEKFDGPKGIALVLSLWGFASYMYGEKVQQKKAAAQKSELLQQQVASKTEDLELAAP >SECCE3Rv1G0157500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:82302942:82304160:-1 gene:SECCE3Rv1G0157500 transcript:SECCE3Rv1G0157500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLQPWLLLLILPLLSLLLSPAAPAAVFNNNFVAVGGTDANHLVNQGTSVRLVLDRSSGAGFSSKLAYGSGWIQMRIKIPAGYTAGVVTAFYLTSEPEYGDHDEVDFEFLGNVEGKPVALQTNIFLHGKGEREQKFDLWFDPSADFHDYKILWNPYQLVMFVDNTPIRVLKNLTPGQFLMRPMKIRGSIWDGSDWATDNGKYRVDYNRAPFTAVLQGFDVYGCPATGAAPCGSLSLSWNTIRGLTPAQEAAYKNAKRKYMTYDYCTDKSRPSFHLPGECSNN >SECCE1Rv1G0051280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:652662031:652662342:-1 gene:SECCE1Rv1G0051280 transcript:SECCE1Rv1G0051280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE4Rv1G0225580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:96044154:96048689:-1 gene:SECCE4Rv1G0225580 transcript:SECCE4Rv1G0225580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESSRQQGSKGDSNSNSNSMAAASEVSVDWRGRPCKPQKHGGMKAAVFVLGIQAFEIMAIAAVGNNLITYVFNEMHFPLSKSANIVTNFIGTMFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPACDMKAAGQCEEVAGVKAGIFFAALYLVALGSGCLKPNIIAHGADQFRRDGEEAVDGGKRLSSYFNAAYFSFCVGEMVALTVLVWVQTRSGMDVGFGVSAAAMAVGLVSLVAGVFFYRNKPPQGSICTPMAKVFVAAVAKRKQVCPSSRATLQGISSTGAHEMRLNTTNICRIKKFRFLDKACVKAQDSGSKESGWGLCTAAEVEQVKVLLCVVPIFACTIVFNTILAQLQTFSVQQGSAMDTRLAANFHVPPASLQAIPYIMLIVLVPAYEAVFVPAVRRLTGVGTGITPLQRIGVGLFAVTFSMVAAALVEARRRHSSVSGDGRTLSIFWIAPQFLVFGLSEMFTAVGLIEFFYKQSLSGMQAFLTSMTYCSYSFGFYLSSVLVSLVNRVTSRNGGNGWLSNNDLDKDRLDLFYWLLAALSILNFFNFLFWSRWYSNSVETVQVAGVGGDGGSEQEDEKGSA >SECCE3Rv1G0209690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:940065339:940071565:1 gene:SECCE3Rv1G0209690 transcript:SECCE3Rv1G0209690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQASARIDLECMLLDETKDPRALPLPLLEDITKSFSADHEIGRGGFAVVYQGVLDNGMVAVKKLSNTYLYENKFQTEIQCLMKVKHRNVVRFLGYCCDTQGQVATYEGNFVMADIQERLLCFEFLPKGDLSNYISDASSGLEWRDRYKIIKGICEGLNYLHGNGIVHLDLKPANILMDAKMVPKIGDFGLSRCFQEEQTCTIATTFAGSLGYLAPEFSDHIITHKYDLYSLGVIITEILTGEKGYSDVEEVLESWSNRLEKSQRQQVRVCAEIAVQCTERNPKRRPTSTQYVIDRLTETESAGTMELLDVYLVELRFPVELNTDEHAVFRLMKKNTKPWRCFASIPLYGIVPPKSTTYTLVVTAQQQERLPEETEYDLILQSSLSGDKSIPTLRDQSKYDKFFDEAKESGNAVREVMLKTVFASQGPTTSVTISRRLKDQRIISLKNTHGMLCSLDAQPTEPCIVTSHQHGDVCVWNYDPQRQTDSFNVSKESIMPSYSLSNKVHSVKVISRKKWFVAGTSDGVIHVYNYDNKIQKLRSFRAASDCFITSMAVHPTRPYVLSSAHRDMKLWDWDNGWECTQSFVQEHSDTIQQVAFNPVDSNIFASASDDHTVKVWSIDSPESKYTLSGHQDKVNCLNFFTCNDRQYVITGSDDHTAKIWNMEEKACVHTMQAFVSPVISVMAFPDSSYLVTGSRDGSVHFWSSSEFSLERIVNFGSGGAIWGLGCFMGSRRIVIGQEYTVSIMAIDNEEEPFASEEDSDEKSI >SECCEUnv1G0550950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:226935142:226936486:-1 gene:SECCEUnv1G0550950 transcript:SECCEUnv1G0550950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLMEITKVDLRGLEPGGPGWGEARDAVTASMVAHGLVVVAHDALGPELRQALFSRAMPEIFALPLEAKQRNVAALGPFTGYISNIPGMNWESLRLSDANDAGRVRDFADLLWPQGNPAFCETIVSAAKNVFELQRTVERMILEGLGVQEEHIDAHFDALAHAARLSRYGVPPDTGTSMSMQAHCDDSVITTIVQHEVEGLEVQAKDGSWLAVPPDPATLAFVAGDMFAVVTNGRVPPCVHRVRTPSNRERLAALFGCRGKHGVVLSAMGELVDKDHPLVYRPCKNDEYTKFKHSEEGRKFGDPLKAFCGVEKDGGSPME >SECCE5Rv1G0341050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:620074133:620075155:-1 gene:SECCE5Rv1G0341050 transcript:SECCE5Rv1G0341050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAAAPSVSHLLPAPKPKPRTPPRLSLLPRRRSRAAGAISASASAASDFLAPVPSLKSRLAAGDTLYGLFLLSFSPTLAEIAALAGYDYVVVDMEHGPGGITEALACLRALDAARTPAVLRLPEACPVWAKKALDLGPAGLMLPAVESPAAAAEAVSHCRYPPRGVRGAAYPIVRASAYGLDDSYLSRCEDDTLIICQVETAAGVAEIDAIAAVEGVDVVQMGPLDLSASMGYLWDPGNRKVRAALREAERKVLEARKKKDAAPETNAAYLGGFAMPNDPAEQLKHRGYHMVAGAVDIGLFRKAALEDVKRFKEASMEIGEAEGEEEDEKEDGYWSE >SECCE2Rv1G0109670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:679607909:679608987:1 gene:SECCE2Rv1G0109670 transcript:SECCE2Rv1G0109670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEKPAVGGAYWGVGARACDSCATEAARLFCRADAAFLCAGCDARAHGAGSRHARVWLCEVCEHAPAAVTCKADAAVLCASCDADIHAANPLARRHERVPVAPFFGAAADAHKPFVSSGTQAGAAAAAEDDGSNDAEAASWLLPEPDQKDGANGATADVFFADSDHYLDLDFARSMDDIKAISVQLNGQPEIDLNGGNKGFYSDHSTNHSVSSSEAAVVPDAAAAAPVVSRGREREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRVKGRFAKRTGAADADAMEEHEEMYSSAAAAVAALMAPGPDHDYGVDGVVPTLV >SECCE2Rv1G0117470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:772748931:772751504:1 gene:SECCE2Rv1G0117470 transcript:SECCE2Rv1G0117470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKLVNAILFAVLGVVVALFLCYSVRCYRRRRRRGRAVLPSHGARADRFQAAGSSAYGTGVGEELLTFPGGEGLTVAAILEAPGEVVAKSAHSTLYRAGLSSGEAVALLRFLRPVCSAGAEEAAAAARLLGAVQHPNLVPIRALYVGPRGEKLLVHPFYAAGSLRRFLQEGINDSQRWEIICKLSIGIVKGLDHLHTRSQKPIIHGNLKTSNIMLDADFQPRVSDFGLYLLLNPAAAQDMLETAAVQGYKAPELIKMREVTRESDVYSLGVILLEMLAQKEAANGSPNARDIHLPASFKDLVLERKIADAFGSELIKQSKNSGNEAKLNAFFELATACCNPSPSLRPDSKRILKRLEEISR >SECCE6Rv1G0390370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:182263709:182264377:-1 gene:SECCE6Rv1G0390370 transcript:SECCE6Rv1G0390370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPRPLATTVFVCRMMAVVLQAAALTCVVLAGDLWFSTFIFLKALLVAELALNAARLIYDAAQVFFQKPLPAFRWQMMRLVVADWVIMLLLLAAGSSSLAVEDFVENSMRRCAWLHPGTCERYKASGVLTLLAWAFTDLVACVMLRLQRIWDEDAAAADA >SECCE2Rv1G0115290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:748582180:748586378:-1 gene:SECCE2Rv1G0115290 transcript:SECCE2Rv1G0115290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKLELLMPLMAQYKTPAWATLVAGFFVLLALSLSMYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLVNPNISVYCGILREGYEALAMYCFGRYITACLGGEEKTIAFLKREGGSDSGQPLLHHASDKGIIHHHFPVNFILKPWRMGTRFYQIIKFGIFQYVIIKTLTASLSLFLEAFGVYCEGEFNLRCGYPYFAAILNFSQFWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYSLGLLRSPLAQSLQLKSSIQDFIICIEMGIASAVHLYVFPAKPYELLVNHSPGNISVLGDYASSDPIDPEEVKESNRPSKMKLPQFEPDERSATNIKESVRDFVVGSGEYVIQDFKFTVNQAVRPVEKRFDKLLKKKDKYKNTQDDNWVSAASPERPVRGIDDPLLSGSASDSGVLKGKKHRRAVSTVATADSWGGGGDKTPDGYEIRGRRWAVKN >SECCE6Rv1G0387080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:105174220:105175260:-1 gene:SECCE6Rv1G0387080 transcript:SECCE6Rv1G0387080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSRLRLVTVDVTGTLIAYRGQLGDYYCTAARSAGLPCPGYARMHQAFKAAYADMTTKHPCFGHASRMPDHRWWNMCVRDSFHRAGHKYDDATFERIFGQIYAAFGSPAPYSVFPDAQPFLRWLRGEGVMAGVVTNADCRYRDVVLPALGLNQGSEWDFGVFSGVAGVEKPDRRIYEMALEAAGGVAPEEALHIGDSMSKDYAPARAVGMHALLLDRFGTADAEGWRRSGAVVLPDLVSAREWLTRDPPGVHGGTCNCNAWSRRSDYSEK >SECCE5Rv1G0305650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:75566561:75567886:1 gene:SECCE5Rv1G0305650 transcript:SECCE5Rv1G0305650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELAEPTIKEAFGKCVQQGASRIIVSPYFLSPGRHWKQDIPSLAAEASKEHSNVAYIVTAPLGLHELMVDIMNDRIKYCLRHVAGDAGECAVCAGTGKCHLYS >SECCE1Rv1G0010530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:63246236:63246857:-1 gene:SECCE1Rv1G0010530 transcript:SECCE1Rv1G0010530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDKRTGTPSPLLPRTASSVTYCCGRCGYDLKLSSSARDTAGIVGAGAGGARRRYWRSAAVVVFDAIDDARFGHLDEFRCLDLRARRLFARRTRLLCRKCGAHVGFGYDDNTAATKAPRYHIKIRALHPASSDDHAFSGAATPPSHAPADPRS >SECCE7Rv1G0474420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:171637058:171640513:-1 gene:SECCE7Rv1G0474420 transcript:SECCE7Rv1G0474420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEETRLLLQEDGDQDASQYTGDGSVDIKGCPATRRDTGNWRACVFILGNECCERLAYYGIAKNLVTYLKIKLHQGNLEAARNVTTWQGTCYLSPLIGAILADSYWGKYWTIAVFSSIYFIGLAVLTLSASLPALQPPSCLGTVCPEPSLLQNGTFFLGLYMIALGTGGIKPCVSSFGADQFDDSDPTERVKQGSFFNWFYFCINIGAFISGTVIVWIQDNSGWGIGFAIPTVFMALAIASFFSASDMYRFQKPGGSPLTRVCQVVVSAFRKWHVELPHDTALLYEVDSQNSAIEGSRKLEHTSELEFLDKAAIISSTDAKSDLITNPWRLCTVTQVEELKILVRMFPVWATTIIFNAVYAQNSSMFLEQGMVLDKRVGSFNVPPASLSSFDVISVMIWVPLYDRVLIPIARKFTGREKGFSELQRIGIGLVLSIAAMVSAAFVELKRLEIATSEGLIHEKSAVPMSILWQIPQYFLVGAAEVFTNIGLLEFSYDQAPDAMRSLCTAFSLVTVSAGSYLSSLILSLVSYVTTQGGDPGWIPDNLNEGHLDRFFWLIAGISFVNLLVYISCAMKYKYKNV >SECCE7Rv1G0503630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:714936102:714938617:1 gene:SECCE7Rv1G0503630 transcript:SECCE7Rv1G0503630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLASGRGCLLRGISRHRPAQQGFELGCYTACFRRYKAHFSLDGIEDAAESAVGPQQPPVSLAKSLASLTEESTMATQSQRKPLSRMERKRLAELRIKKRVKAQYLNGKFYDLMGKVVASTETLEDAYDIVRLNSNVDLASARDDVCFIALAEQLRSGDFDIAANSFSVAAKRQGGEHIVLPRLNLKVIQEAVRVVLEVVYRPQFSKISHGCRSGRGYHSALRFISTEIGVPDWCFTVPLYKEVDSDVVLKLILQIQEKIVDDQLVAFMQDMFDAEVINLVFGGFPKGHGVPQEGVLAPILMNIYLDSFDHEVFRICMKHEGLYSGAKSVTDNQGSKLRLWFRSQMKDRDINNEDQTEGQPNMRLYACRYMDEIFVAVVGSRDIAETVKSEVVDYLSKSLYLKVDDGLCLVPVKKDSQGLQFAGTIVKAATKESAALKTVHKLKEKVRLFACQKQEIWDAMNLRLGKKWLAYGLRRVKESEIKSLGLSTPLLDHIAQFRKEGMKTDHWFKTLLKVWMQDINAKNEANEEVLLSKYIAEPALPQELRDAFNNFQKQAKDYISSETVATEALLSSLKNQESMYTCPDDAAIKIYAPLSYIKKCLNRYGVTNLEGFPKHVSALVLQDDELIISWFAGIIHRWVRWFSEVDNFKELQLMLVECVRKSCIRTLSAKYRMYEKLTEKRFELDDYGIPMVEDFEAMIAQLEPNSSSVSTDEALTYGISSSGLCVLTLSRVRVPARKFNCFVMGCQSSSPSLYIIHVKEKQRFPGWRTGFSSSIHGSLNGRRIGLCTQHVKDLYLGQISLQSVDFGVLIR >SECCE2Rv1G0077090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:96860100:96862602:1 gene:SECCE2Rv1G0077090 transcript:SECCE2Rv1G0077090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLLLPNAAAAPWCAQPRRRPSRLSVRASASSPSAPRRETDPRKRVVITGMGLVSVFGNDVDAYYARLLAGESGVGAIDRFDASGFPTRFAAQIRGFSSEGYVDGKLDRRLDDCHRYALVASKKALESACLAAGSSAMEKIDKERAGVIVGSGMGGITAFSDGVENLVTKGYRKISPFCIPHAITNTSSAMIAMDAAVGFRGPNYSISTACATSNHCFHSAADQIRLGRADVMVAGGSEAAIIPIGLGGFVACRALSQRNDDPGTASRPWDKDRDGFVMGEGAGILVMESLENAMRRDAPILAEYLGGAVNSDAYHMTNPRPDGSGVSVCIRQSLEDAGVTPEEVNYINAHATSTPAGDLAEVNALKQVFKDPSRIKLNATKSMIGHSLGAAGGLEAIATIKAITTGWVHPTINQFNPEPEVDQFNTVRSVKQRHEVHVGISNSFGFGGQNSVVVFAPFKS >SECCE6Rv1G0411220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606237833:606239865:-1 gene:SECCE6Rv1G0411220 transcript:SECCE6Rv1G0411220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAASTTPLLAPYKMGKFDLSHRVVLAPLTRERSFGNVPQPHAILYYQQRATKGGLLIAEATGVSDTAQGYKDTPGIWTKKQVEAWKPIVDGVHAKGGIFFCQIWHVGRVSSHTFQPNGQAPISSTDKPIKPATRADGIGLAKVSTPRRLQTDEIPLVVDDFRAAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEVVQAVAEEIGADKVGIRLSPFASYSEASDSNPEALGLYMAQALNKLGILYCHMVEPRMVNIGEKLETPHSLRAMRDAFKGTFIVAGGYDRDDGNKAIADGYADLVAYGRLFLSNPDLPRRFEIDGPLNKYNRDTFYLSDPVIGYTDYPFLPSDV >SECCE5Rv1G0307900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122289697:122290499:-1 gene:SECCE5Rv1G0307900 transcript:SECCE5Rv1G0307900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETADHLVLSCSFAAAFWQKVGVATAGADVGGLDQLAAAACLVVRSAPEFVMLCCWHLWKHRNAVVFQRQQPSLARTLACCREDAVLWRGRMKSSCREHVDSWLDVLA >SECCE5Rv1G0308400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:129592077:129595260:-1 gene:SECCE5Rv1G0308400 transcript:SECCE5Rv1G0308400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPHRSPPRRGYGGSPVHRSPPRRGYGGSPPHRSPPRRGYGGRGRSPPRRGGYGGRKEQGSGSLLVRNIPLSARAEDLRVPFERFGPVRDVYLPKDYYSGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEDMRTRTRVRGYSGGHEGRRSSHYGRSRSRSRSASPRPRGGGRARSRSYSPAPRRRDDYSASPRGRESHRTKSPVRHPKEHEEDKRRSYSPPGRDGDQRDADNGYEKRSPPADSDGSPSRPRVARPSSGSPPGSRSRSPDASPVRSD >SECCE2Rv1G0129590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:869293016:869294686:1 gene:SECCE2Rv1G0129590 transcript:SECCE2Rv1G0129590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQDMLHHIHSLMPLQDAGRAACVSRRFLRSWRCYSNLKLSIQTLGLTDDKSEGSEMHFINKVDKILNNYSDNRMKVKTLKLNLWDCSTVNASYLDRWLKIAVKSGVKKLHLSLSPSMEEEYCFPCSVLFDGAAASSIESLNITNCTFHPIGTLGCFTRLKSLVLSDVRITEEGLQHLLSKSFSLERLEVFSCNEIICLKIPCTLQNLKFLMVETCQKIQVIEISAPNLSSFHHHGFPPEIYIGDSSQLTEVYLLSLYPSGIHSYVRAKLPSIVSNVERLTLVSCGENVNTPMLPEKLVHLKSLEIELHGFGASSPVYDIFSLVSFLDASPALESFIFRIGQGVKTQHCDAGDDDEYLRWKSAYQHDRLKRVMIVGFRSANSLIKLVVHILESSPLLERLTLDTTTHGGGRKLGDTGICTAAKNRGKCCWMSKRAFEEANRAVEAAGRYIAGRVPSAVEFEVLEPCRQCHTSNPVDVVLNES >SECCE6Rv1G0407290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555659270:555662685:-1 gene:SECCE6Rv1G0407290 transcript:SECCE6Rv1G0407290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLQYATLFFIVYNYLLYLNANLHCCSLLLLVYAVMLIVPTADFIQGDSMESSKAIMERQTARRAPTNMTQILESLFASVNLAKDLTVRCRGRALQLTDDEMQSIAHDLESVIRNICDHLGRIPASALGSNAYPDVAIKSNTRKGYTDVAMSMNAVTDMPNRRSVYENDMPRLVDFLQGMYHESHEFGGQTFNSLPEVTEYVEPLYDGFFCPLTKEVMTDPVTTESGVTYDRRAIEEHFERSADSSEPVCCPVTKAPLQSKAVMSNASLKSVIAEWGMRNEAMRIRVARTALSLSTTEAMVLEAIHELKLLAKLRGKNKELMHKIGVTKVLSRLLDNHNEQIRLDTLELLSLLVKDEEGREIIGKTKAIARTVKLLSSSTVDERHAAVSFLLELSESQLLLENIGSTAGSILILTTMKINDSDDPVAAEKSGAVLKNLEKCPKNIKYMAESGYLEPLWSHLVEGPEEVQMEMVSYLSELVLYQELTIEITESTAGILIKMVRSCNTVVHKAALDVLVQLSSHHPNSKVLVEAGAVLVMIEELFIRKIDDEPESYKAKAATALANIVESGIDPDTTVVNKEGHVLTSKYCIYNFVCMLKCFIPDDFNLSIIRLLLALTAFAKPLDVIVSVVRENHRGHAIVELMSSPMEALSIAATRLLITLSAHIGHTIAERLCKTQGQPGRLVKSISHARQITERHAASVTLLSRLPHRNISLNLALVQEGAVPVLLSGIEEMQSSAKRRSRYAVQYMEGLVGSLVRLTATLYNPTVLKEAIDHSLASVLTELLAGAAGSAEVQRLAAVGLENLSYQSIKLSQMPPEEDPRPKKKTMLKRLMTTRAHGNKNPQHQHIVCPVHRGVCSTVTSFCLLEAGAVEGLLGSLESDSPRVVEAALGALCTLVDDRVDVERSVAALAELDAPRRVLGALRQHRENVLWQKCFCMVDKFLAHGDDRCVREVTGDRVLPAALVSAFHKGDAGAKQAAEGILTRLHRMPDYSATYMSVEI >SECCE2Rv1G0108870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:669406783:669409154:-1 gene:SECCE2Rv1G0108870 transcript:SECCE2Rv1G0108870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARASPSFLASTSSTPTPSSQTLPPSASFGRSQRTGGSLTAASPNCTVRRSVMAAAAAAVPAVKLEDAEALIDSVETFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLSVNEEEIFASSFAAAAYLQSIDFPKDKKVYVIGEEGILKELELAGFQYLGGPTDGDKKIELKPGFYMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAVLGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDVLFGQNGGCKTLLVLSGVTSEQMLQSPDNKIQPDFYTNQISDFLTLKTAAV >SECCE2Rv1G0131130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:883237412:883243008:-1 gene:SECCE2Rv1G0131130 transcript:SECCE2Rv1G0131130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEASASSSAPAPPPADRIRVVGAWAGPLEVDLGAWTVAMLRAEVARRAGDVEPDRVGLIFGGRVLKDDPAGVSLQQAGLKGNAKVLSTLASPDRGKALAAEAAAAAAEEEHSNKLVRLWDAAQALSQRHSDGSLLDENFNIALEDQSGQKVMFGSADDMKALKMALMLHQKAKVLIKKNMYKEALDVLMMSEEAFSLCDNKLIERVDNVPMLQLDIVWCYFMLRDVSRLEVAGARLNKARLGFELSHGKDSTRFRLLQAARHADLALYVRLELLEGVVAYYNGNTEKARGSLSSAQSKYMQLQVPDEAIAMLTDMGYDARASKRALKMTGYDIQSSVDLLCEEREKKIRRREQDIETQREIMEQRRYGKTPMNKAVDMQKLKGLTTIGFEKYLAAEALRINENDAEKALDLLTNPELNCALQSKIQSRRKRPLHALGAAGPLRAGAAAPVAAAAAGDAPPQIPDLNAAEGGSGDQEQLVNNEKEAANNDAEALPNDAEAGADEEAVNQDQDMGDEEEEEELIEEEAGASHAQAPARDVAMENELANELTGDALDDYDIDVANEGQAIAEYLSLLESAAAAASS >SECCE5Rv1G0307610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:116019255:116020490:-1 gene:SECCE5Rv1G0307610 transcript:SECCE5Rv1G0307610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRPPKHVCSKCYKNFPSGRALGGHMSLHWRKVKQPKGTPSPPATVVDLNVLLLGPSDEETLLRSSGSQCQPYSKVFSACDSLRKHMREHREKNVLSKPVKEAAGPMEALVIAAGGCNVLLSPVKRKRSKRGAPPLKFNEMDAAASLLLLSEHSSKISAYEDCYTEVMDSLPPNVSKDVKLNAFDHKLVRSAEFKKPKGCKCSAYDICYGQCVQDNNLIPNVAKEKTSIPNIAKNSACEDCFGQCEKDSSLIRNVDKKERSLIANVPEKDNTLISIVLEEVELNVLDHVLAGDAELRKKQRTDNSVEMKCADLSAAVKVKRHQCNACGKSFGSGSALGGHMRWHLPRSNDRRHGFAESPDSVVMEEQKQKLELDTKFLDLRLPGLTDITIFSGLKSEPEPWRVASSVH >SECCE4Rv1G0258240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:676622882:676625955:-1 gene:SECCE4Rv1G0258240 transcript:SECCE4Rv1G0258240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPELEVEIVSEEEMAMIEAALAAAAASRPLFSAAAIRGAATLSCAAFPPAADIEDSAPPPRRSLLSRFRERRALAVTDITATEWCDKQMEFVLEHGKPEMTQAMKAGSDRHAQLEQEVIERVDIAVRSAEESWAVKFMNFIVGSNQLLFNGMTRELPVIGVVEGSWMVGIIDELRMPVDGISFHPILVDTKTRFKATIPSEAQKRNGRLQLMCYKYLWDSLITEKFPAENFFSYFDLNPDFLLSDDVKRYISSIGFDAQTFGDVMKYYKITCHTLSRSQEQLILRYELQEDHSLLEEYQFSYDAQWFKDQIQEALSFWLGAREPKYVTEEEGWKCRFCKFAPGCPKIASTSRC >SECCE3Rv1G0159980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:101284912:101288877:1 gene:SECCE3Rv1G0159980 transcript:SECCE3Rv1G0159980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRKAETYNVAAPKQDRSNTRWHGDQSFPARNLGEDALAGVIFGCTNKTMNECLSKQLFGLPACHFSYVKNIKPGLPLFLFNYSDRKLHGIFEAATPGQLTIDQFAWSHDGRTKTQYPAQVGVSIKTQCLPLPENIYKGVISGNYHKFRHFHFELDHAQTRDLVSLFVPAPVVAVPNERNLSVLPAPNRCSLSGPLPSTTGAQLVRGVVSTESGSTDGEQFAGSAYSLDRNADHASASRTSKSNFDEESSEWDDLDDGVTEKGTKSVNDGHPHINPVHGQQHDPMDVLQKLQELSLLRQEKTQPSEVAVDSTSGRSKLQESPLGATFAKDPSNTTLGGDAPVKDSTSFEQRYGNAELLQIINELSKKTEAIGKKQIESDEEILVLRATVKDMERKIQELQYQYGKLQLEYSAALLGEPRNILEGPSIFLIGGHNGITWLPSLDSFYPTTDKLVPLRPMSSARSYAAVAALNDHLFVFGGSDGDSWYNTVECYNRVSNEWMACPCLKQKKGSLAGATLNGKIFAIGGGDVSRSFSEVEMFDPVLGSWIYSPSMQQCRFAPAAAELNGILYVVGGYDFSDGSYLQSAERYALGGYNGDQMLSTVEIFDPRANSWRIGSPFSVPRAYGCAVTVDDNVYLIGGSESNGETVETVEVYKERQGWTIPGYKAIGKRTFASAIVV >SECCE2Rv1G0115140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:746778778:746781473:-1 gene:SECCE2Rv1G0115140 transcript:SECCE2Rv1G0115140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVVAALACVFSLVLAAQAEAPYRFFDWEVTYGDINPLGGVPQQGILINGQFPGPEIDCQTNDNLIINVRNRLPEPFLLSWNGIQQRKNSWQDGVSGTNCPIPPGHNYTYHMQTKDQIGSFFYFPSLAFHKAAGGFGAIRINSRPRIPVPFPTPAGEFTVLIGDWYNATHKALQAMLDDGKQLPAPDAILINGKGPRRANFTVEQGKTYRMRISNVGLRSTLNFMIQDHNVTLVEVEGTHTVQNTYTSLDVHAGQSLSVLFTADRPARGYHIAVSSRFTNHTLNSTAVLRYAGSTGSVYGPLRAVNQSDVDFSLNQARSIRTNLTASGPRPNPQGSYHYGSINVSRTIRLANSAGQVGGKLRYGVNGVSYLDADTPLKLADYYNISGVFRMGGIPDAPAATHSGAQNRSGADAALKKATAVMDSDHRTFVEVVFENSEDSVQSWHLDGYSVFVVGMDKGAWSEESRKGYNLVDAVARCTVQVYPRSWSAIFIALDNVGMWNLRSEDWVRRYLGQQFYLRVYTPTHSFRDELPVPTNALLCGRATNSSRLPFSKY >SECCE1Rv1G0031500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:443549221:443549847:1 gene:SECCE1Rv1G0031500 transcript:SECCE1Rv1G0031500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAHARRLAASRDDPSAAPTSARSQFDLSSGAATAVVFLSIVLCFILLCTYCRCARQRAMAAARGGRAMRHGFPSALLRPADGAVLPMVRYADAGAGAGAKKGQQPEGDCPVCLEAFGDDDGVKVVPACGHVFHGPCIDRWLDVRNSCPVCRCVVVCYYADHAGPDAGGDGDDQEVVLERVVAMIEAIREEEAAARRAPATARAGG >SECCE5Rv1G0352320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:709083398:709085041:1 gene:SECCE5Rv1G0352320 transcript:SECCE5Rv1G0352320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCCRVIVSQPPVLRPHKGNRAASRFCTAGFELPEWFRNPKKDGDSFDDDDHDEFVLPIKSNSVEERSHGGSSKPLSIRPEAASHEDAEFEADFDEASRILTSCFASPEAIVIAMDCCPVRVSDRMVDKILRRFGSDWVAAFGFFMWAGAQEGYCHSADSYNSMVDILGKFKQFDLMWGLITQMDEIGGLVSLATMTKVMRRLAGASRWTDAIDAFNKMDQFGVVKDTTAMNVLLDTLCKERSVKRARGAFQELRGSVPPDESSFNTLVHGWCKARMMNEARDTMKEMEEHGFKPSVITYTSLIEAYCMEKDFQTAYAILNEMRLKGCPPNVITYTIVMHALGKDGRTQEALDIFDKVMSDGCAPDASFYNSLIYILGRAGRLEDANSVVDKMRMTGVPPTVTTFNTLISAACDHSQAENALKMLVRMEEQSCKPDIKTYTPLLKLCCKRQWIKVLRFLICHMFRKDITPDFSTYTLLVTWLCRNGKPAQSCLFLEEMVLKGFTPKKETFDLVTEKLDKANLHSAKKKVQLLTLRAATVKHTGSPY >SECCE3Rv1G0207160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:918382121:918382870:-1 gene:SECCE3Rv1G0207160 transcript:SECCE3Rv1G0207160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRPELRRAMTLKEQLSTPAEPAIRDFLRIPDDDDDDGGVEDRPCTLMDAIDRDVRGEGGAGGAINWRPLRHRLWLRRAAGAWHAPVCTTKPAAAANGASPRNSNKYNYSTGEASAAFSRAPSLPAAVDSEEEEAEDNYLQPAPASASTSLMTLMEQAGGHWDEAGEDDGASRCGAVEDNDEDGHGERRQEEEEEEVQVCCVCMVRHKGAAFIPCGHTFCRLCSRELRHTRGNCPLCNVFIQDILHIF >SECCE6Rv1G0453740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:884469995:884477892:-1 gene:SECCE6Rv1G0453740 transcript:SECCE6Rv1G0453740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQNSFQVYGAVALMDEGLAASDPGPSVAKRARFMGPHASSSSGADPEMEVRALVSMASGLYPLARVEALSGLAAVLEKMNSGGGVVECCYGCAVKLLRDEDEGIRLAAVRLVGLCTEKFAMREQLGGDGDQMDRVFLQLSSMARDMCTEVRIEAFNALAKMQRVSEGVLLQSLSKKIIKTDTGSASSIKGKKLPPKLSFPCAAGIFAHGVEDEFYQVRTVACKTLGALAKLSNQYAQKALDLLMDMMNDDTEAVRLQTLQTLFDMATYGCLSMQEKHMHMFLGILMDANVVVRNAARKILGSVNLPKLQMFKSALDGLIAGLEKNPEDQGIYGVLFSIGKNHGSFSANIAKHLAKEINMASDGELILDKPRIKALLMVSVSAAYDDKDKKLDIPAIIFSHAIPLFGMISCALAEHEQDSLLSYLYRQAGMQFWEKKLVSAEGGDYECFSVETVVETTGKTTKYLDEVVIMQSTRLILETVKGAWAVIKPCSIGEVQCTLRTCKEEVNILAVNSSGSTSAVLSFVCDYLDAVQLIVEIWWFIQLDDSHAFGPTSLDILLEKLDTSVRRMKCCYAGLNRELEVQVLEFALLAILCRLSEFGTCSKLVLDKLHWIINHIDGLCADGSYELSDFSKEVKKVFDGNFIDCTRIVNICTFLEIFDLKPARDFEMLNATTAVLQVRDTDSENPLSYVYGLPVGVTFDMSLCNTSSHDRIWLRMVAGQSVQHFFLDLSCFEGNDKMKSCSRVIPFYATPMACSFVLRACLVIECPFGSIGTHQEGHGGPRDCGVVQLCDELDVYFVSADTEQRQWSK >SECCE5Rv1G0358140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:754111600:754112685:1 gene:SECCE5Rv1G0358140 transcript:SECCE5Rv1G0358140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAYLGDGPAGMIAELALASDVADYVRFRAVCQPWRRCSPDPRAGGLDGRFLPRKWIMLDKAHVGPRRHRFLNVSTGECIRMDLPELAEHTLLSLTPEGLLLLLLEPTLVVRLLNPLTRQLTDLPPMTALLRPGQHRSRRCGLKIGETISVSGVGLVADASMVAVSFFDPNVLVVAKPGDESWTVVGNGCMSSTMPFAGRFYCANYSGVMVLTTNSDQQPPRLHMVAERRKLCDRFQMIDNHHHLVDNGGELMLVHRTFLYQYSGYERSYDVYRVDLEAGALVPIKGFNGRAEISVSAETPFSSIAADTIYPGRDCDGQNQGYNIADGSTCSLGLDELVCPPSIVDCLRFCIKGVGRWLA >SECCE5Rv1G0331510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:539214918:539216429:-1 gene:SECCE5Rv1G0331510 transcript:SECCE5Rv1G0331510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVQAATDEEAQAEAAHFVFVPLMAQGHIIPAVDTALLLATQGALCTIVATPSTAARVRPTVESARRSGLPVSLVDFPLDYAAAGLPDGVPGGADNMDNVPPEYMRAYFSAVALLREPIEAHLREHAPRPPTCIVSDFCHPWTVELAASLGVPRLSFFSMCAFCVLCQHNVERFNAYDGVLDHNQPVVVPGLEKRFEVTRAQAPGFFRGPGWEKFADDVERARAEADGVIMNTFGEMEPEYVAGYADARGMKVWTVGPVSLYHQHAATLAARGNTAAIDADDCVRWLDDKEPGSVVYVSFGSIAHADPKQISELGLGLEASGYPFIWVVKDAGRHDEAALAFLRGLEERVAGRGLLIWGWAPQALILSHRAAGAFVTHCGWNSTLEAVAAGLPVITWPHFTDQFLNEKMAVEVLGIGVSVGVKEPVMYRMDQKDIVVGRETVEAAVRSAMDGGEDGEERRRRVRALAVKARAAMREGGSSHANIRDLAKCFEVGATQGTVK >SECCE7Rv1G0476460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:204897038:204898570:-1 gene:SECCE7Rv1G0476460 transcript:SECCE7Rv1G0476460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVLGLSHWCSLLLLLSLVTAPRGLEVGDLFSPGKAPAGKQDCSLTCESKFCTVPPVLRYGKYCGILYSGCPGEKPCDALDACCMVHDHCVAANNNDYLNTRCNENLLGCLDGVNPAGPTFPGNRCGVRETAFVIKGVIETAVLAGKILHKRDIGQ >SECCE7Rv1G0494020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:578242377:578249631:-1 gene:SECCE7Rv1G0494020 transcript:SECCE7Rv1G0494020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDMNPRREVLDGNLTPHSLHDADHQGQNGTRNPSKSSSNNLSTDDNFFSDTSLHKHEDVSSDPSASSVDDRSVRSGDDSDGAESTNGKINNTDLPGFENDSIWTPPKAADKGDETDSVAGNIAYDDDDDDYGDGIKWGQSSFPAPGEEHEDSPNPRDERENAMLGAMNGQLKILVSRFLASAGIPFGKGESTESWLDIVTSLSWEAALLIKPDAKIGNEMDPGSYIKVKCLASGTRWQSEVIKGLVFKKNTAHKHMPTNCHNPRLLLLKGVLGHSDVGLSSFNSMNQEKGQLERTISKVMEICSPNVIMVEKTVSRDIQELLVKEGCTLILDMKLSRLQRIARCTGSPIISFPEVLDQPKLKQCDYFHTEKFIEEHNIASEGGKRLSKTLMFLEGFPRPLGCTILLRGANTEELKKVKQVMHYTVFAAYHLILETSFFEDQRVFLNDKNTSQDTMMGPPAIDYGTSVLGGAIPPSHDDSPALRLYHATCNIYADGKKALSYTDVDVPTLLTESSLDELREGANILYSSTPLLRTGRLPSPVSGPLREFTGKLLQETADNQIEGIVESKDKIVSNGFHVGSKVEESAVSSENLDDTEDILKQEMTQDVTEASSRMCDKHEESPVVAEDGEHHGTTIISKENISNKDQANDALDSHSILVLTSSQCIKKQVISDDQSSLSRINYYGNSDDQSRLSRINYYGNSDVSLGRYLQDILQNKKPSCSSCEAHIYSYTHQNGNLTVRTKHLVSQYSLPGKSEGKIWMWTRCLRCEHELGVSKSTPRVLISSEARNLSFGKFLELSFSSHSAARRLSICGHLVNRDCLRFFGLGSTVAMFRYSSVQIYTTCKPQPTLHFENPSRQNWFEEERRHVFARGMTLFSEVASLLQHLKNQYHGMTTANFCAFLPVTDFSELEDLLMKDKADFEDSLAKTTNQNGRSSSSVHELLNINWSYQDILLELYAWDRRLHELIKCLSAGQGNVANGKDPINTVDKINEASYEIDKQISELTCDRTMKAGGAAGTTECKSNKICVDHYKSSENAAPMLNDSQGVGNSELSCNGGSKDEGSFIGTSQVEVVDSMTQIQKMPSFDVSRDIKQQGNGMLVHPVSMEQEQHSSTLRKFRSSDWDEKERWIWSSFHESQLAYRKSIQIGSLDKFELVNRYSPSHLSPLFEKHEEADSPHFTVGPGGNILCVLEHEVSSIIARALAISEERRQDTTVVNEAEDAKREHTKTIEKSYSLLSESSIGSSPWSSIDSEASISSSILSYSSGDLSGYDTSPLLSLVHPEIPVNGKVSLKCKYSVTSIYAHKFYTLRRKCCPSELAYITSLSRCKKWDAQGGKSKALFAKTLDDRFIIKQIKKTEFESFIKFAPDYFNHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEIKMDLMVMENLMFGHNVSRIYDLKGAMFSRHITNSNDPDTVYLDQNFVEDMNVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVDKQKNELVFGIIDYLRQYTWDKQLETWAKSSLVPKNVLPTVISPKDYKKRFRKFMGKYFLAVPDTWSPENSSGPYKSFGHSNNKLAEAHNGDNVLQHPIEADARI >SECCE5Rv1G0372360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:852429326:852429682:1 gene:SECCE5Rv1G0372360 transcript:SECCE5Rv1G0372360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRMLAVAVLAVLFAGAMAVKVKLTVEKGSDTKKLALKIDYTRPSDSLSEVELRQHGSEEWQPLTKKGDVWEVSCSKPLVGPFNFRFLSKNGMKNVFDEVFSTDFKIGKTYEPEY >SECCE5Rv1G0301200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29428567:29431493:1 gene:SECCE5Rv1G0301200 transcript:SECCE5Rv1G0301200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAEGEGSRTIMAAEEEEEWKLARESKCRFRHCECSWRLYCSPRIPRVAPGRDYSSIPAGADHRPAWSLLVGLKDGAVLRLKRLRVARSGRILGRSDDALEAFHDIKTTTGGRFDASAAMAPDGRSLCILRQEDDGDREQFHALHLTLQQQQQTHTSPDQLLHLPLPEIQAGRSRNCMPISAGGYIWALCPIVEYGVKLSLLMRPLPGGGQWEQVGNSCPHKDDRDYSWLAGGFLQGYAVLPGPLILVSFKQDGLFYTFTPGSGNWTPVLTAETRPPLKYIPIRGRAVYMEQDKAIYTLLGNTIYAYKLSYIHQGDGDDHGRVRLRLDPPITIDSVSPFNSCNGCGFLTRLDGRLMCSVWISLASRQQFDQCQCDNLHAIVTTFNLHDPAEGGIKVLHSSFRRVDMEPNPEDQEFCFLQEYEDMDSQVLLQHQEGQEEYLTSSQQHVNEPPSNKLDCCRDFIETEDCPRLCFRCGTNGHFARDCSLRSHEEPLPAMAPHTWVGRASMQHRVPFERRPIATTSINKDLFIICQAGSQLVIYHTGIMDETSMLQGGEDGKPLQTSCYVAPYVGDGDHWHFFLHSASKIHAVSTKKDGMLEFSLNKDRTLAMDRLSIRRLPSADNFVLFITVGGETIALTDTLEVYHQTRFSYGSTFWLRCKTDESHVLERKVMVSGYVAVNDDSFIVSDALTGSCLLFDLCAKQWRVVMPWAAFSEDLPRTSPTRSPLNGRCVFVDGFIYTCRDGGLAAYQLFDKDHSVYLSEPIFLPFSWLVDDCVGEDMCLDYAGKDVDSGAILFYVVELQSGYPPPKHDVQITIVQVKTKATTSNKKREPVEVAPLDCVTRFIHHKEAAVIKCCFAL >SECCE7Rv1G0521350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873453396:873454370:-1 gene:SECCE7Rv1G0521350 transcript:SECCE7Rv1G0521350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRRVLVLCRLSPASLAGLAARFSLLDRHASPLSMEAFLATAAADDDPPRLALVPGGGVRVDAAFLDDVPSLRCVVTVSAGLNHVDLPECARRGVAVANAAGVYSSDVADHAVGLLIDVLRRVSVADRYVRRGLWPERGDFLPLGSRLRGKRMGIVGLGSIGSAVARRLEAFGCVVSYHSRRRKHDVSYCYHPAVRDLAACSDVLVVACALTAETRHVVDRRVLDALGSGGVVVNVARGPNVDEAELVRALAEGRIAGAGLDVFEDEPNVPAELLAMDNVVLTPHRAAFTPESIADLDRLVAGNLEAFFAGAPLLTPVAASD >SECCE5Rv1G0329750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:521181012:521181293:-1 gene:SECCE5Rv1G0329750 transcript:SECCE5Rv1G0329750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVVLRRCEPIVRFSCCGVRYGECRRNHAASTGGYAVDGCREFIAEGEEGTFGALKCSACGCHRSFHHRVQVYEVAWDCESDTSSSSSSSG >SECCE7Rv1G0477440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:219631740:219634587:1 gene:SECCE7Rv1G0477440 transcript:SECCE7Rv1G0477440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGSSTGAGGSSTRPANGAVAVNSAATVGSADARFHSQQPHQVRQSRWAGCFSGLSCFGSQKGGKRIVPAARMPDGNASTNRGNALGRNSNQNGAMNLSLLAPPSSPASFSNSALPSTAQSPNRFLSVSANSPGGPTSNMFAVGPYANEPQLVSPPTAFSTFTTEPSTAPLTPPPELAHATTPSSPDVPYARFLSSYTGPKTAGKEHNMHYLSTTYSGGLGLQGSYPLYPGSPSSSLISPASVTPRTGLSSPIPEQDVPTAHWKISRSVCDTPYSIASPIPEQEVPTAQWKTSRSACDTPYSNTSPSNIFGLDSAAPRNSLLDSNFFRPAASAQFYLDQAQQSFPYNGGRLSVSRDKQDADEVEAYRASFGFSADEIVTSQHYVEIPDALDDGFSISPFGNNAPATEVSPFNDLPGELQKVDKMDKSLFSVKDITSPKKSPDQISGGSPHKVLHLDIFKGTKAGHLSEDDTAAKDCHPFRKARDEISLKPIEVRKRSPPSQACSDAEIEYRRARSLREVNSVLSWRSTLSRQLQ >SECCE6Rv1G0446490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:844502975:844503679:-1 gene:SECCE6Rv1G0446490 transcript:SECCE6Rv1G0446490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKVNMEYIANGSTRKATFKKRGIGMKKKARELSTLCGVDVCYVMYYPEGESSQVPEVYPSIPEAMRVIDRFRSTPELDRCKKKIGGEDYIRERISKLQEQLSKARRDTHRHETKLLLHDVLADHRQSLAGLNIEQLASLGWMADNYIKKVSECIASNKSRQRADPLPCAATDANVVAEAPPQHGWATEVVKAGPDVNSSAYCGNAGGDVTQISHLAAGFAWADPGPAFPRI >SECCE1Rv1G0048300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:633600591:633601316:-1 gene:SECCE1Rv1G0048300 transcript:SECCE1Rv1G0048300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAPKQLLPMAPRDPNSPSSSTSSSSPSSAASPSHHRPSPLPPSPRPVPRTIDTTPFPTTFVQADTASFKQVVQMLTGADMPPPAPSSQPQAQSQRPPAAANNKAGAPCRPKKPAFKLYERRSSMKNLKMIAPLAMAAAAGASPRKALPELLSPSVLDFPSLALSPVTPLVSDPFNRSASASPAEQEAAAERAAIARKGFFLHPSPRGGAGEPPPRLLPLFPVTSPRMASASAAAPSSE >SECCE7Rv1G0526810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:898721774:898722860:-1 gene:SECCE7Rv1G0526810 transcript:SECCE7Rv1G0526810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNYRNPHPPGMQMPPPNPQPGPYDNPLYGASSGLIKTGLGVYGEKFLGSSSEFMQSNISRYLSNPQYYFHVNDQYVRNKLKVILFPFLHRGHWTRISEPVGGRLSYKPPMYDINAPDLYIPFMAFGTFIILAGFTLGFMGKFTPEAINLQFTRGLIGWGLQIVFLKGLLYSMGGGEVPLLDLVAYSGYLFAGLSLAIVARLLWAYSYYVMMPWMSLCMGIFLVRTMKRVIFTEMRGSERHSTRQHYFLLFMAIVQFPLFFWLGSIGA >SECCE7Rv1G0458600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22197382:22202954:1 gene:SECCE7Rv1G0458600 transcript:SECCE7Rv1G0458600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGGVIAGAVAKQILSKLWVGGDYAASEIALQWRFREDVQELGEKMKYLEAVLGDADERSRQQGRDGGREYELWLTKFKRAAYDVEDVLDELDANELINRTQSKVSLWFSGNNQLLQRMTMPHEMKNVTKKIEEIKEEGRKVLNLVPREARGEGSRNNQILAANWNAHGMETGMVGRGVEKEKIISLLLTSEEANQQDISIIPVVGLGGIGKTTLVESVMADKRVSVFDVSIWVKVSKQFDLHKIASAILKSMMNSTVNLDNCDLQKVLQKELATRRYLIVLDDLWEEDGDKLENLKRMLQHGLKGSRIIVTTRNRSVVQQLRTGFLANARRICPVPESEIVELGVLEPGECWELMKQRAFGPDDDHSGLEEIGKQIAEKCGGLPLVANALGQVMSELRTAGAWEHVRDTKVDLGLREGHQKETLERLMLSYYYMKPEFKLCFIYLAVFPKGFFMDNNHIIQQWNALGYINSRHDGQRCINYLLGMSFLRIPGSASASPSLLHFKAPLELVMHDLVHDLASLIVADEIIDLDATKSTSWSKLRYYRHAQLTNYMNNSEFFKHLPSKIRSLHFRDLGGQQLPGKAFSRSKYIRVLDLSGSSDKGKPAPSNIVLPSSVNRLKLLRYLDSTGLPITALPKYFHTLQNMETLILSKCSLTTLPDNICRLKKLLYFDLSSNSTLKKLPESLGELSELSFLSLSGCSVLEELPESIGLLTCLYHLDISDCCALQQLPDEFGNLRNLSFLNMSSCCMLTKLPDSVSFPCLEHLNLSSCHELVNLSIDFGHLQKLEFMDLSGCFKVSKLPESFCQLKHLTYLDLSDCQNLEELPKCFDLLFELEYLNLTSCPKLRQLPESLCKLFKLRRLYLSYCLRLNELPSSFGDLNLQVLHMNGLVLKDGCSDSIGNITSLTELVIDTGTSEFLDEVKGIREGLNLVGRVKHYVHKIESRKCSNIVDLAGLTCYELILEGLENVSDPKDIDNIKLRDKSDIRELKLWWQSTGGISVLDRLVPPRTLENFWIVGYGSKYFPDWVLNISFYLPFLSELTLKDLEACDRLPPFGALPNLRKLGLARIPNIRKIGKEFYGEGRPCMKLRVLLLKSMQNLEEWWTTESSTENGEFLIPILHYLEVMDCPNLKFLPYPPRSMDWSLINSDKVLPEQGFGKLSSSIRPCKMGLERFSFSEGMLGRLKHFATLEKFFIISVSGLSTLPEVMQCFTSLTELNLSSLNDLETLPVWLDQLRSLEEIYVQHCSKLTSLPENMKRLTALTTLVLKQCNGLETLPSWLGQLSSLQVFVIKDCPNLTCLPESMRDLAALKILRLAQWKGLKILPECLGQLTSLEEISIHNCPNLTSLPESMRDLPSLREFWLIECNGLKTLPEWLVQLACLEKLIIGAYPNLKSLPVRRRIWSHSSMNRFMSKSDCKVPRGGCLQDCRIPKLYYKNC >SECCE6Rv1G0416070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:646453507:646458222:-1 gene:SECCE6Rv1G0416070 transcript:SECCE6Rv1G0416070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAHENAASNHNKNPPMATASDDKNAVRKDKKKAAMTAEFQLKKYLLLLATLVATVTYVAGLNLPGGSWTEDAPGGQVAGESILRETYYYRYIVFYYCNAVSFAASLVVSLLLLVLQEESRRFHGPLRLIMVIDLFGLMGAYVAGSSRDAFTTVCAAVMVAGLAAYVTVAFLCYVVCSFPFCYKKEESQHQVTAKKNEESQDREKERLAAYLKDQVAEHEILMVLAIFVATVAYVAGMNPPGGFWRSTEEGHHTGGDPILQGGHSGRYKSFFFFNTTAFVASLLAIMLILDYKKLNITILGKYGAGRFGLYVSLVTAIVGLGGAYAAGSCRDPESTAYVVSLGVTILIYIVLQMALEKAIGRVTTAIRLPDITKLPCIGGMCNYLKKWLATTTRFFTAGSTSCSRPSTLPPHEKEKDNDDENKKLNKAREFIQLLAILAATITYQAGLDPPGGLWLESGEGHTVGDSILLTTHPIRYKAFFYSNSVAFVASLVIIVMLQSERLVRLHILEAAMILDLFSLMGAYATGSCRDASTSIYIVAMAGAVLIYVVIHIVFFTLDPNEMNKDVLDKYREVLLLLAILAVSITYQAGLTPPGGFWEMDDEQHGHHAGFSILQDKYPLRYKAFFYCNAASFMASVALIILLVNPNLYKPAIECYALFVCMVGGMFGLMAAYAAGSSLHLRASIIVLVLVALVFLFVVYLAGSQYNQKPPGQGDHAGKNKKEGDADNDDSADNDKAKYLMLLGILAASVTYLTGLKPPGGLWRDDKNGHSAGSPILFDMDRRRYMVFFYSNSTSFMASIIVIALLLRLLLRPKSSHHCDAEAGGNPPFQKENKPHCSLHMAMVLDMLALLVAYAAGSARKWGTFWKVILLLLPIVIFLWLLLLCKNRNTAKPKPGVVTTPNPEASNSQH >SECCE2Rv1G0125070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:838786532:838787363:1 gene:SECCE2Rv1G0125070 transcript:SECCE2Rv1G0125070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILYLCKDILKIQKFRRLASYAGFYSFTTLVTYAYTSNTTRAGISRADQYYAAYPSGTDLLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKSPYAYHAQYMSHLLSHGQLDGSG >SECCE1Rv1G0047150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:621798132:621798731:-1 gene:SECCE1Rv1G0047150 transcript:SECCE1Rv1G0047150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLLHLLAIATLPCSANNATRLVYDTEGNELNSKSNYYILPAKPASGGGLKAVPTGLRCLHFVVQERGETLLGTPLRFTPLLEHASATEPIRLSGDIWIEFHNLRSFCSERLDWHLTEQVPESSSGEPKHVAAGSEEGLRSFGVFRVERHGTDLSGYKIISCVDKGPCRDLGLHVYKDKTWLTVSNKPFMVVFRKRTI >SECCE2Rv1G0107490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:649425033:649429158:-1 gene:SECCE2Rv1G0107490 transcript:SECCE2Rv1G0107490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQQGTPPAFRGLVPLGRTRDLVIKVNYCGTLKRFSASVNGSNLDHNLAVLRSKIANAFKLSPEEQFILTYTDEDGDVVMLDDDDDLRDAAVSQELNPLRIDVQLKSSTAGARQPNQQASNSRSKMSAAMEDQLAQVKSAIDEALKFVPEQVPAVLAKLSHELRSKAASSAPPVRELLDRIAKLMAPKSGMQPTSGLSDSSSGSSSGNSQTLTDMKNNHASESATVSASHSQHAKSSRALGLKSVLVEKTNAQVQAPGCTSIGVPSVLVGSGGKLLYHKKRTDALNKGKSHAQSMGKSAVSSSVPPVPSFAPGGSTLGSANGYMPFGSVREIYGDSSSAFPPPRGPPSSSIPTFQTNPILNPYSSVATHGLQKAFPPPPAYDYNQFRFSRPSLVNPYGTYQDPYSFGSYSGYGIPLQSVHKWVECDGCGVTPIVGSRYKSTVKHNYDLCSACFYRLGNEAEYARIDKPLSVTERLRNQNKDKRFLQLDCRFVKDLTVPDGTRMAPSTPFRKIWCMLNNGNIVWPYGTHLAWVGGDQFARQSLVKLAIPEAGFPLGGEIDVCVDFVSPAKPGRYISYWRLTSPDLQKFGQQVWVLIEVEEPVQASGDNQTAVIDLNLPAESNPATSRPSIVQQPVQISGNKGSPAVNFFSLPAEGSTATWTSDSASDSDDDGIPLRDVLATLRRKGSKAVGSVVPSAPAADEPVQVPIAYPQAAGEPVQVPIAYTQAADEQVQVPVAYPHASPAEAPGMPAGVAAPEAAPLPEPISVPEPLPAPTLVNSPVAPLSMPLPDETINNNMEEKLLRELADMGFRQVDLNKEVLRQNEYDLQKSVDDLCGFHEWDPLLAELKELGFDD >SECCE4Rv1G0251320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:601170140:601172630:1 gene:SECCE4Rv1G0251320 transcript:SECCE4Rv1G0251320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMEIEVSADDVAYEEDVLRDPFRLSGWLRYLSVAAPAKRAAIYERALRALPGSYKLWHAYLTELGDAARALPVTHAAHAGLNAAFERALAAGMSRMPRVWHMYASSLLHQRLLTRARHVLDRALRSLPVTQHHHVWPLHLRLASLPGCPSPTALRVHGRHLQFDPGHAEEFIAFLVSAGRWRDAAHHLAAAIDDEGFVSVKGTTKRQLLLDLCALLAHHPEEVAGMPVDAILRGSIRKFPEEAGVLWTCLASHYARRGLHGKARDVFEEAMTTATTVKDFRMVFDAYLHFEHAMAAAELELGQADTVDVQDCWLADRDSTDLTMARLERLLERRPELLNSVLLRQNPHDVQAWHERAKIFHKDPARHAATYVEAVKTVDPAKATGKPPHTLWLALARMYEDRGSVDCARDVLHRATQANFTAAEGRAHMELRHQDPERAIDLIRQATTPPSMEATARVAAAGDEDVRAKLHRCLKLWLVYLDLMTTHGSLESTCAVYDKMHDLGVITPLLVLDHASLLEQHGRFEDAFRVYERGVRSFKHPHADAIWESYLTKFVQRHGRSRPERVRDLFQAAVLQSPPERKKAVYLRYARFEEDFGLASRAMKVYEEAAGAVPAGDRLGVYEVYVARATELYGLLKARDVYRQAIVGGGLPDEGARAMCARFADLEIGFGEVARARALYAYAASFTDPEVCPEFWKRWNDFEVQHGDESTFREMLRAKRTMAAVARDGAGARCAAGTDVVEQGLRPCAGQRFDGAQQCKRIRLV >SECCE3Rv1G0160280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:103892670:103896354:-1 gene:SECCE3Rv1G0160280 transcript:SECCE3Rv1G0160280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAKQHHLHVLLLLLLLICSYSIDASAKHNTAVPCHPDQASSLLQLKQSFIDVDAKLASWRAGSDCCHWDGVACDVSSGRVVSLDLGGYNLKSRHLDPAIFNLTSLRNLSLAYNDFGAANLQSYGFERLTNIIHLNFSYTKFLGQIPVGIARLKNLVTLDFSDNYGLYLQEPNFQTFMANLSYLRELRLDAVDISTSGSTWSIGLADSVPQLQILSLIMCGISGRIHHSFSRLRSLTIVDLRSNEGLTGKVPDFFAEFSSLSILAISGCSFRGQFPTKIILMKSLRVLDLSRSPNISVCLPDFPVGNNLETLNLAGTTLSCDIWPSLTNLKSLKNLGLSTTGVSTELSLIVHNLSSLNKLQLYGRGMEKAALSWIGDLTQLKSLRLEGYDFSLTVPSWISNLASLQGLKIWSCNFLGPIPYQIGNLANLALLDLSGCNFSGPIPSAIGNLVRLEFLNIVDSNLSGQIPKSLFTLPALQTLDLSSNQLSGTLEEFSAPWSSSLFSISLHQNELTGAIPKSLFRLNHLKYLQLDSNRFTGTITLNSFWRLKSLSSLSLSYNMLSIVDEEDDNILTSLPNVNYLELASCNLTKIPRALRYLGGVINLDLSNNQINGVIPSWVWESWKDQLKLLNLSHNMFTSLERSPSLLHMPYLSILDLSFNRLQGNIPIPVTLHFSSTYNKVLLDYSNNNFSYILDNFGKYVQNVTYLKLSKNKLTGHVPSSICSAIKLTILDLSYNNFSGSIPSCLIGSGNLKILKLRENQFQGMLPEDIREGCTFQTIDLNGNKIEGKIPRSLSNCQDLELLDVGNNQIVGSFPSWLGALPKLRVLILRSNQLNGTIWDIKGDRTINKYFSSLQILDLASNNFSGNLPKGWFNELNAMIENVSDEGEVLGHQTNLPDGFYQDTVTVTFKDLKLIFTKILTAFKVIDFSHNSFDGPIPESIGRLVSLHGLNMSYNNFTGQIPSQFSNLSQLESLDLSRNHITGEIPHELTSLTSLGCMDLSYNNLSGRIPQGNQFSTFSDISFEGNADLCGVPLSKECEYQNSVSPSEVAPPEYDSLWRDKLGVILLFAFVGLGFGVGFALAFLLRLYCGMEGWVSKQS >SECCE6Rv1G0404030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510944700:510945131:1 gene:SECCE6Rv1G0404030 transcript:SECCE6Rv1G0404030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAIPKIGSRKKVRIGLRRNARFSLRKSARRITKGVIHVQASFNNTIITVTDPQGRVVFWSSADTCGFKSSRKASPYAGQRTAVDAIRTVGLQRAEVMVKGAGSGRDAALRAIAKSGVRLSCIRDVTPMPHNGCRPPKKRRL >SECCE3Rv1G0160170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:103401436:103403072:-1 gene:SECCE3Rv1G0160170 transcript:SECCE3Rv1G0160170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVRKMQQRVRKAREETERWDDLNSRLLSQFSNAAAIITRLPVLGDAKNYGVLRCVPNIREDLLGAQMESLELIFVSMREALEEFSGIAKGLSKVLRDTNQMVRGGLALTAKQLQLQVGILPTIADCLGGLQTLSDMHQAEYALKSSIISLLTWKSSSSDIAAVRQLLVDQPNIPKDEVQSIFDIIFADEIC >SECCE1Rv1G0015320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:123282590:123285787:1 gene:SECCE1Rv1G0015320 transcript:SECCE1Rv1G0015320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSGEGAAMSPPSSSSVGGGGGGGKRGRDPEEDVYVDNLHSHKRYLSEIMASSLNGLSVGDSLADNIMESPARSESSSCVRDEIISQYSPMSEDSDDYRYFDTQLNPNGSQGDAMVSPSTSPMSSPHRFQKPQPSFLPSSPYPLPSCSLSSVACSHPRRGSENEGRFPSSPNDMCHGADLRRTALLRSVQMRVHGPHAYDPSFVSRQEQEHVHEHDDEHGHEHLEDLGGLERPSCRKSIDNESTGYQGAGNSYGRQEHDIEYIDGCTADDVLSGPKFKQEDDNRGNSDASMDKAR >SECCE4Rv1G0290820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874214553:874215596:1 gene:SECCE4Rv1G0290820 transcript:SECCE4Rv1G0290820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSNAISELASVPDMYVFPPEKRASFHDDSSIDEVALPIIDLHHGALSDSRLRRRVAAEIVQAGKDFGFFQVVNHGVGEDVVQRFHEVVAEFFAMPAEEKLVYCSDDQSKPFRVASKTPWDRNDTRYWRDYLKLICHPIDDELVHHWPTKPVSFRSSLAAYSMALHGLTQTLLQLIAEGLGLDADFFGGDLSGGNTQMNVNFYPPCPDPSVTMGLLPHCDRNLLTVLSQGNVAGLQVRHNGRWLPVRPVPGMLVVNFGHQIEIVTNGALTSVEHRAITNSATARISVATLVHPTMDCRIGPAREMVSEANPAKYREFVFSEFFEAFDAAAANREDVLRSFRIHRD >SECCE3Rv1G0192490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:759039862:759049575:-1 gene:SECCE3Rv1G0192490 transcript:SECCE3Rv1G0192490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADEPAMDFDDGGFGGAEDNLSMPLGDFMAFLESEPADDDGEDPQPEANQGSLELPVDTTGSATGIGSHEEFLEDEMQANRGSLEAPVDTIGFANEYESYEEYLEDEVLWSNISHIDPSQYQMEAMVEQGDGTFNDSDAKPYGLLGSDLSGNCRTSHHNNQPLPRGALNHINAAQEASASPYEVLSNCSYVSEQMYFGKAQVKAESHLEGMGPPTNTYLPCNQFQEQSGLSEVKSEDTGAIFDNTGQEGNQFIPTNTFSLDHNAAIPDISYTGLNIGEATGSMNNGNSSCLTVQGDYHLQGEFGECPKAEYGSLDMAGEMSLHDLPHNNQSYEMDQIQQNICESSSMQTGSPDQYCDDTSLSGYYMSSPDSLSCEQIQSEYIGIKSESSTDSSPIPSSRNSTTEDADKYLGGSTKQLLNSSLVPISHQHQYRSLTDQMPPAFHEQYDIHRSGNSFTRGNLSRSCFGANVNGDSDLFNLSGHRAPGHVLPPQGIQGKVNNFQQSLSANPFVPRFGGMAYKSHDERVTLRLALQDISQPKSEANPPDGLLSVPLLRHQKIALSWMVQKEKNGSHCSGGILADDQGLGKTISTISLILTERAPLPRSTVIKPELCEAVSLDDDDDDPTDLCLKRRSQTCSSEVTTSSTVKTENHIVEIKARPAAGTLVVCPTSVLRQWAEELRNKVTSKANLSFLVYHGSNRTKDPNELTKYDVVLTTYSIVSMEVPKQSSPDSDDEEKGKADRYGAPVSGSKKRKASSSKKTKKATTEKSNLPEKPLARVAWFRVILDEAQSIKNYRTNVAGACWNLRAKRRWCLSGTPIQNAVEDLFSYFKFLRYEPYCNYKHFCTMIKMPISRNPINGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTISLKAVNFTSEERAFYNTLEAESRAQFKVYAAAGTVRQNYVNILLMLLRLRQACDHPHLVKGHESSWTSSLESANKLPMERKHELLVCLQSCSAICALCNDAPEDAVVTTCGHVFCNQCILEQLTGDDSICPVSNCRVRLNSTSLFSRGTLEFSLCKSTSEFQSNDSCTEMAHTENQTGIDSSYASSKVRAALDIILSLPKVDPTKSSDSKKTIGLASENINGKSSEYADTKTTEKAIVFSQWTRMLDLLEVHLKASHVTYRRLDGTMSVAAREKAVNDFKTVPEVSVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTVKDTVEDRILALQEKKREMVASAFGEDKSGGGQTRLTVDDLNYLFMV >SECCE2Rv1G0092050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:339585533:339587162:-1 gene:SECCE2Rv1G0092050 transcript:SECCE2Rv1G0092050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAARWWKDAAISVGMVAVQLAGAAYMVVLTPILALGLDPLFLVTFGSLSTGIITLPFALNLDRNKWPSELSNRLIIELVVLSLGGVTVFQALMLDGMKKTSPAIASAMLNLAPGFIFVVAGCLRFERVDLKCRYTRAKIIGTLLCLGGALTMSILQSSAAPPGRRSPDLAAWVVGCLCLLGAVLVLSGTIILQAATLIRFPAPFTLCALTSLVGALLTGMFQVVTTGRLGPGTPQISTGIILSLVFVGSLVSSVSIMYQTWVLEKKGPVLVSLFSPTQTVGSAIFSALFLGRVVQPASILGMVFLFSGLYAVLWAKKKERQVLPPADRVVADDIEKPLLLPR >SECCE6Rv1G0377910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:4010205:4010759:1 gene:SECCE6Rv1G0377910 transcript:SECCE6Rv1G0377910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMISSMLGRKQPPAQPQPQQHSAGHKANGHGGGGDEAVEPVSIDILEPFMEAISLTAFGGGGCRPALGLPFSTASMDWKETPTAHVFMADVPGLRREEVKVEVEQERVLRISGQRARAAEDKGDRWHRVERSAEKFVRTVRLPPNADVDGGGVHAALDNGVLTITIPKDDGKKAYGRTIPITN >SECCE7Rv1G0494340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:581480836:581486223:-1 gene:SECCE7Rv1G0494340 transcript:SECCE7Rv1G0494340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAMSISSRRSPSELSRPTPPSTIIPKSHPLPPRCPDPAAAPSSAVRPTPPGLSGRAGTRPPALSSGTSRPDCVLLDSCGYGSVDVDDNDTTAQTFSVATGTIRVTFVISDLPCLSRFYVRCLDLPTNPFITEPKVVCSAENLTLLSFHLSTSWLPEHFIYMAGLNGRPPSLEALPDISRYLHRERIQPCLIGVLPDSGGTDFVLAAFTRDILQYKLHIFRSKQRAWTTELLFPDLPPWLTKKGATVSPSKVIALQGGVLGFVDLWKGILFCDVLSELVKTWFIPLPKLLPNNRVNYNRLVARPIRDVTCPDGLVIRCVELEDLYAIKTSIPDASTKDLLFDFDAVDPTEEKEVQEFVGWRLITWSREVFWDYWCKDSVVHLDELGNVSLPHPDSVCDGAAKLPLKTLKTCYPTACGDGIVCLMSKKNHRDHDAWILTVDMRTKRVGEPVPFNAKRSSDRNPTYIPCALNKYLNNESDLAQVGLQNARFRGHFSLQLPLQNSNKHSNISQQKRQRLLSPQKANNHPNAYQQKRQNIDSNEASGSCTSHQITREILDANVRWTKYANPPDYLFGLMGSRDEGDWGD >SECCE2Rv1G0115830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:757399246:757402771:-1 gene:SECCE2Rv1G0115830 transcript:SECCE2Rv1G0115830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLELASLRITTTIPLSPRIYSTIFLEAMAAMAYIALWGAALGAIVAFVHWVYRWRHPKCAGTLPPGSMGIPIIGETLQFFAPNPTCGLSPFVRDRVKRYGSMFKTSIVGRPVVVSADPDVNHYVFQNEGKLFESWYPDTFTEIFGRDNVGSLHGFIYKYLKTLVLRLYGQENLKAVLLAETDAACRGSLAAWAAQPCVDIKDGLSTMIFDLTAKKLIGYEPTKSSESLRENFTAFIRGLISFPLNIPGTAYHECMEGRKKAMKVLKGMMKERMADPERKCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTLGVKLLTENPRVVDALTEEHEAIVRNREDPDAPVTWAEYKSMTFTAQVIMEIVRLANIVPGIFRKALQDVEIKGYTVPAGWGIMVCPPAVHLNPEIYEDPLAFNPWRWQDKPEITGGTKHFMAFGGGLRFCVGTDLTKVLMATFIHNLVTKYRWKTVKGGNIVRTPGLGFPDGFDIKLFPKN >SECCE1Rv1G0035100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:495449178:495454951:-1 gene:SECCE1Rv1G0035100 transcript:SECCE1Rv1G0035100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWCSPASAEPRSVQLLLLGVALVAASFYAGTLFQSSASPALILPPSVSRSPGSSNPQDASEFTNKVGVTYRTAPISVPDSGLDVCPLEYNEYIPCHDAAYIRSLRNLDRSRHEDLEAKCPPREESLFCLVPPPNDYKIPIRWPTSRDYVWRSNVNHSHLSEVKGGQNWVHENGKLWWFPGGGTHFKHGAPEYIERLGNMTTNSTGDLRSAGVVQVLDVGCGVASFSAYLLPLDIHTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPYPGNSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPIIWEKLISITSAMCWKLIAKHVQTAIWIKPEDESCRQKNADMGILNICDPSDTSSWQAPLMNCVRLNTDQSKIQKLPSRPERLLFYSRSLEIIGVTPEKFENNNQFWRDQVLKYWSFLGVEKTSIRNIMDMNANYGGFAMALSTDPVWIMNIVPYTTINTLPVIYDRGLIGSYHDWCQPFSTYPRSYDLLHAFNLFSHYQGHVGGCLLEDIMLEIDRIVRPQGFIIIRDENTTLSRISDLAPKFLWDVTTHTLENEENRPEQVLIFRKKFWAIV >SECCE7Rv1G0492400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:550348119:550354280:-1 gene:SECCE7Rv1G0492400 transcript:SECCE7Rv1G0492400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPPTTSSKPPLPPRLAVPLALALLLALAFLADFLSYSIAISPAISRSSKTVKEGNNNDDTKLRNESPPVRHLNATFSDLPAPRWDWEEMPPAPVPRLDGASVQIGDLLYVVAGYESLDHVHSHVDVYNFTSNTWTGRFDMPKKMSNSHLGMASDGRYIYAVSGQFGPQCRPAVNRNFVLDTKLRKWDELPPLPVPRYAPATHFWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALENEWQAEIPIPRGGPHRACVVANDKLFVIGGQEGDFMPKPGSPIFKCARRHGVVYGDVYMLDNEAKWKQLSPMPKPDSHIEFAWVVVNNSIVIVGGTTEKHPITKKMILVGEVFRFDLEILKWSVIGRMPFRIKTALAGYWDGWLYFTSGQRDRGPDNPTPKKVVGSMWRTKLHV >SECCE5Rv1G0302370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:37840425:37847234:1 gene:SECCE5Rv1G0302370 transcript:SECCE5Rv1G0302370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPAGGVVTPVQFPCEQGLDDSPAESSSFRSPSSFPRTKRTKWSDREPLDCSASARDGARVNLLDRCSDRRQIASCSGDQPQSSGMFVATQGNVCPVNNGSGIYSQSGMGYSNGQNGTYGAYPQHQSLEGCMYVNEHGQMCGPYAPKQLYEGLSSGFLPQDLAIYALVGGQMLNPVALSFLEQFLSQWDSAGAVNTQNESKENKTVARTDKMAFPDALSGDESCWMFEDSDGSRHGPHSLAELSYWLHSSYLQDLSMVYHVDSKFGPFTLVSLIDWWSGGHTEHSEASENDSGSAGDVMGDIVDDISHQLHAGIVKSARKIFVDEIFSCVLPEIIACRKTEKQLAAKSKLLVVKPDSKKASALKGKAHAKFTNHKKGNSYNTVRATSPVSVQSTAVHAKFADILSAVWQTLYYESMKNIWDGILYDPVMHYCGAWIKRNHHSSLPCTSIPGASDNGNKQEADGLKVICDSEALECDMDFPPGFGPTLECASPVSPPLLDIGSCDDKNSWWRESSSTMYFDPSSGVQVMLANELYVAAKATLFHHFREVIAEEITNWFCFGREDITEQERIRTPVHAPDSPSSAGMDIHETPPIPPEIAQDKSLDIAKMTTDAITSPAEMALDAITSPSEMALDAITSPAEMSVDAITSPAEMAVDEVLVTAEIATDTVPSPADMVAGATSSVSDVATDKMLTYHVEHQSPSSSYASIFEKLDVSEAAELDESFDEVPPGMETGSASVLVMDKNKYRPSKSINSMPGISRYITVAICRQTLHKNVIEEWASLLSDTISESLDSWYTKQTVVPKNMDESLRPNKEYAYYRKRKLRNSCEAVSSKKAVGTPTDEQLSKPLRELVERKVHLKNVQEPRKAGKSKKSSKSRTKTLDNVVNTLNIEQGLKRLSNDVPTTSKSRTKTLDNAVNALNIEQGLKLLPNDVPKASKSRTKTLDNAVNPLNIKQGLKRLSNDVPKASKSRTKTLDNAVNPLNIEQGLKRLSSDVPKTSKSRTKTVDNVVNPLNIEQDLKRLSNDVPKRQRTSHLTRMPLVDNEVPFENVSVPTKLAKKRKNKNMSTDSSQKANPLILCPESDGCARASSNGWEWRNWARTATPSERTRVRGYRVRSILSTSANNVWKNPQVKGTSARTNRVKLRNLLAASEGTELLKITQSKARKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGELIRRPVSDIREDQYEKSGIGSSYLFRLDDDYVVDATKRGGLARFINHSCEPNCYTKVITVEGHKKIFIYAKRRIYAGEELTYNYKFPLEEKKIPCHCGSQRCRGSMN >SECCE3Rv1G0214160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:964866753:964869332:1 gene:SECCE3Rv1G0214160 transcript:SECCE3Rv1G0214160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVECADVIHGDYFMGGMMVINGLMAVVLLLSGLFHSFLRRVGQPSIISHILAGVVVGPTFLGRAVDLRRLGMQNAGSALGDTIYYLRIVFMFFLGLEMDLRYLRHNLRRSLLLACGGSAISFLLAVAAGPFFYGMLHPSSAATFHPQKLYASTALFILVLTSTASPVLIRIVTELKLTTSETGQLAIGAAFATDIASLTAISMMVVNPTIFGHDGKPLHPPSSRSSCSTQLLLFLWMALVVCAAVGVAVRAARLINRMKRGRQYVSKYELLGMLLLIVGLSLAVQFMGYSASMAGFLIGLAVPREGPLARTLVDRLTYPVHQMVMPLCFGAIGARLDFAEIGRFTATQFAFAVAFTTAVSSAGKVGGTVLVGRWLLGMSAREALVLGFLLNVKGYSDILAINLADKSNVWGDTAQVVLVVASIINTFMAGPASAAIVRQQRRAFKYRSHCLQELRVEQELRVLVCVHGAGGVQAMLTLAELSKGSSAPVAVYLLHLVELQTARKYAITHHLYSHSRSRDPEEDAWGYSWEMEQVTAAVHAFTTYDAAAPVPVRQMTAISSLASMDEDVRNGVEDARASLVILPFHKEQRYDGRMVSRRDGDGRRQLNQRILQRARCTVGILVERRLPSISISNTPERDLDLDLDLEEESGRASLQQHQVVAVFLSGADDREAVAYATRLSAHPSVSVSVSRFMLPEESRTVMSEEEAEDEEFMAEVRARFVSAGRVSYTERYVSNGVETLESLSGMVGECSLFVVGRGGGEGGGGAARAAMTRGMAGLEVDEEEECPELGAVGELLASDDFLGCCASVLVLQQHKLHRRMRTWKKQQSQSQSQSSNAVLLFPDPDQHDDIFKWNYD >SECCE3Rv1G0169050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:230443880:230448441:1 gene:SECCE3Rv1G0169050 transcript:SECCE3Rv1G0169050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTECRGPQQGGSSCWATGTAAWSSSQQKRQRCEGSSSDQVGSSTSASVQMSESDLPDTDYVENEEEDYYMDDDDDDCEDENGDDSEYEFDEADFNQQLADKFDDLDLPPGVEATVPWLQKLAANDEQDGASDELLEDEITKKYKAFQQFYTVQNFSDHHYANKSVGKTSREWAKRIQHDWKLLEKDLPASIFVRVAEDRMDLLRAAIIGPKGTPYHDGLFFFDAHFTSNYPSEPPLVYYHSGGLRLNPNLYNCGKVCLSLLGTWSGSGCEKWNSAHSTMLQVLVSIQALILNEKPYFNEPGYAGSANTATGQQHSVEYNKTTFLHSCRTMLYSLRRPPEHFGDLVAGHFRERGRTILAACKYYLEGNMVGSEVPEEEEAEYDGTGASSSSSVPKKQEVMRVDPLGRRTQFTDNLKTLFEDLLMEFNVKGADTRKFLEEKVKKNLPAA >SECCE4Rv1G0252360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:613687671:613689808:1 gene:SECCE4Rv1G0252360 transcript:SECCE4Rv1G0252360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPLPRHAEAPNPKLPTTSACLLRPRGRLLLGSSRAATKNLSASSQDASSLRLASVAAPAPRASVRRPDGGGLLLLSVAASAVAISASFIFFSAIPSMLDCKRAAESLEKSFDLTREKLPETMASVRVVAKEIGALSVDLSDLSQELTKVVRSSLSIVHTADTQLRQLATSAQQGTVQGVANQKKAVGEPLMATTVREVRELIADIRSGFGAAFGITSLFMWASKFWSKRPTNNSQ >SECCE4Rv1G0221090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:45751271:45756756:-1 gene:SECCE4Rv1G0221090 transcript:SECCE4Rv1G0221090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAISRLGARLHRQASPATPPRRALSTGRGKRSSPTAPPPEPEDEGLMRGLFVLSRDPAHPPRLLVVQPRLRRGALLDSKLSEALNLASSLEESRDGFEHAESAAKGAPPHLVVQNPASRGRNHADTYFGPGTVDNIKCYLRALDEKEELDAVFVNTLLSGVQQRNLEVAWGKPVLDRVGLIIEIFNAHAETKEAKLQSELAALMYMKTRLVRVRGPGGKLAFGASGEAEVVSARGRGSGGRGFMSGAGETELQLQRRRIQERRLSLLAQIEDVRRTRAIQRSSRKRHGGSFGQDLVTVAVVGYTNAGKSTLVSALSGAGLYSDDRLFATVDPRLRSVILPSGRKILLSDTVGFISDLPVQLVEAFHATLEEVAEADMLVHVLDSSAPNLEEHRSTVLQVLQQIGVSQDKINNMIEVWNKIDLVDNIALTDGIEDEIFLTEGEEEEDLFSEDDVSSEQSSFDSLDDTVDSESLSEKSCENDDDKVASEESIAEPIEMKAMNSELLPKECFREPSGPEAISTSGCTLTEPVSTCHVKTSAVSGTGLQELLELIDTKLNGQQTVVQRSYGPFDRKWRPSSMDDEKAAEQ >SECCE3Rv1G0183540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:651347770:651348993:-1 gene:SECCE3Rv1G0183540 transcript:SECCE3Rv1G0183540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAPTKKARDAATAVVPVPSPFEYHVYGPRKLSFTSWRDLLSSSWKNPDYRRMVIACFIQGAYLLELDRQEKRDERTCLAPQWWRPFKYRLVQALVDERDGSIYGAVLEWDRQAALSDYIPFRPTRAPAAVVALRGTLLKAPTFRRDVVDDLRFLAWDSLKGSVRFAGALAALRAAARKFGVANVCVGGHSLGAGFALQVGKALAKEGVFVECHVFNPPSVSLAMSLKGFAETAGELWGRVRAWIPYMGTQAAGDAGGGSNSESEAKASLARAGMAKWLPHLYINTNDYICCYYSDAASGTATVAVGSGGGSGTSKAGVARMVVVSKGPSKFLAAHGLEQWWADDVELQVALNHSKLVDRQLRSLYAPPPAAPGARS >SECCE5Rv1G0363690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:795858372:795859939:1 gene:SECCE5Rv1G0363690 transcript:SECCE5Rv1G0363690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRLLLAVLVVVVALSALSLGGVAAAENLTLARLFPTSSYHGAAVTYNVKNYGAKGNGATDDTKALMAAWKVACAAAGAVTLQVPPGTYYMGPTQFHGPCKASSLTFLLQGTLKAATDLSRFGNDWIEFGWVKGLIVAGQNGAAIDGQGAASWPFNKCPIRKDCKVLPTSVLFVNNENTVVKDISSVNSKFFHFALLQNKNTQMINLRINAPGNSPNTDGVHIERCSGVTIVDTKISTGDDCISIGQGNDNIDIQRVTCGPGHGMSVGSLGRYVGEGDVTRVHVKDMTFDGTMNGVRIKTWENSPTKSLAAHMVFENMVMKDVQNPVIIDQKYCPYYNCEHKYVSGVTIKNVTFKNIKGTSSLPVAVLLRCGMPCQGVVLQDFDLKFKGAGTASSKCENAKAKYVGYMYPKPCV >SECCE7Rv1G0459800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:27140975:27143077:-1 gene:SECCE7Rv1G0459800 transcript:SECCE7Rv1G0459800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAALLLLLLTAATALSAAAAGTIVFTTLGRSRYAFDVYALPLAPSLSLPASPATELRLTDGASVNYNGNFAPSSTALLFVSERNGSLNIHLTPVPPSSSASSASRREALEVNDEDSSPPAAPTPLLPWDPVALRDRPALTRDGSRLVYVSTAVPAAAPRRSWAAVYSTHLPSGRATRLTPPGVADFSPAVSPSGDWTAAASPGERGWAGEVQDLRTDVYAFRTSDGSRRTLLVQDGGWPCWADERTLFFHRRDSDGWYGVYRVKVELSGDGLLSAASSVERITPPGFHAFTPAASPGAPGLVAVATRRPGSDYRHIEVIDLSSGADNAAYFEVTRPVAPRAHHFNPFVSPDGARVGYHRCRGSGNGDSPLLLESLKSPAPESLFRVDGSYPSFSPDGKRIAFVGLPGLYVVNSDGSGGRRKIFSGNAFPTSWDWKRKGVIYTSIGPGFASESTEVDVVAVSLGDEDGGSSQVSIKKLTIGGENNAFPSPSPDGKWLVFRSGRSGHKNLYIMDAEHGEAGGIRRLTDGPWTDTMCNWSPDGEWIAFASDRHNPGGGSFAIHMVHPNGTGLRRVVHSADGGRTNHPWFSPDSKSMVFTSDLAAVSAEPISNPHHYQPYGEIFTINIDGSGLRRLTHNSFEDGTPSWTPHFLEPRDVGETLRASGSCAFQDCHWLSIEDDDAQPASAALRYAHGNKKIGC >SECCE5Rv1G0297910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:6892978:6894330:-1 gene:SECCE5Rv1G0297910 transcript:SECCE5Rv1G0297910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSESNPMLVDSFQPPSIPELHASAGDLPGRILLATRVYFDRGDECTRAEAATSKGQKVEISIRFAAPPDLSYVCVHCPELKQAYFAEEPRVVRSEKQFLLVHLPLTFGPRFTLWEDERNEYFLYTAAAKPSLRWIPAPKPRGVTPAKIGICCPDDADECILAALSVPRTGDGYELHTYTSKSVDWAVKPARLEKPPKREELLPASAHKVVPLGGTIMAWVHLLKGVLTCNVATEDDVASVSFIRLPHRMPGNQSEYISPWKIRDVICSRGSLKFVEVEDLYTKEDRAPAAPSDPDIFYDGLDSDTDDLADSLPNPPTWIGWRAVIWNKALSEKFWRKGCEAHVRDILVKNPDHLALLAQLGRNDSVVHDSAALINLLPNFPTLSIFGDDVVYMNSLVKKNTHQAWVVAIDLTNRIVMSLAPYPGSEKNPDCPHVPSVLSKYLNCASANY >SECCE1Rv1G0013150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:100995491:100998295:-1 gene:SECCE1Rv1G0013150 transcript:SECCE1Rv1G0013150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTPVKASKNSELKKSAAILTIANGHACKKDAIGGEHPVHDIKSTGTWICRNLACKAVVTAEDSFCKRCSCCICHQFDDNKDPSLWLVCASENDDKQCCGSSCHIECALQQKRVGCFDLQKIIHLDGSYSCASCGKISGILGYWKRQLVIAKEARRVDILCHRIYVSYQLLEGTSCHTELHNIIQDAKAKLECEVGPLDGMSAKMARGIVSRLSGGSNILKLCSLAIQKVDGLLSSPSPGLHLRGSLPAACRFKFVDITSSSLVIILKETKLASSDTIKGYKLWYWKSREQPSMDEPVILSRDQRKILVYNLATCTEYSFRIISFTDADATIGHSESKCYTASKEVFVKSVTQKTTEICPQTQTTDRSQACMSTGFRIRDAGKILRRAWAEEGCFEDMYEGSCDISATEADQAENSEQDHSLSGACRKLQFNAFSVPDLNAPMPMDIDSSPEKSYDLNNRLLRSNDSGGSEACEAVRSAEPAAVESRPGGKAKQPNGAQNESCEQDGVSAICRQKQLLKRPRVLDEDYEYCVKVIRWLECDGHIETDFRLKFLTWLSLRSTENEHRVVNTFIKTLIKEPSSLAEQLVDSFGEMINCKRPKVGFGSELWHLDKQ >SECCE2Rv1G0077560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:100379097:100385737:-1 gene:SECCE2Rv1G0077560 transcript:SECCE2Rv1G0077560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWKLNKRRSPTRHPPPRDTSTVHAITVQRPPVSGQLLLERACCTVVALATSALCHWAWNCYQSRKCLRTYGRDMTDEGDPVIGRDDEIDRVVDILCRRTKNCAALVGAAGVGKTAVAEGLAQRIASGAVPDALVGARVVELDLAAMVAGTQYRGMFEERMKNVIKRAEASNGKIILFVDEMHMLYSAGSSRTNCTSASNMLKPALARGRIRCVGATTFDEYRQYVEKDPALERRFQKVHVGEPTIEATIAILRGLKQRWQHHHGLEIQDAALVAAARLGARYITGRQFPDKAIDLIDEACTFIARKMKQIDNQVLVDDNTTPSSLNDANKNVVVVNQKSSSTNRVKKATVDPNDVAQVVSRWTGIPVATLCEEEKDKLIHIGDRLHERVIGQDETVNLVAQAVLRSRAGLDQPSQPTGSFLFLGPSGVGKTELAKALAEQLFDSEKMLVRIDMSEYATAGSVTRLIGAPPSYIGYEDSGQLTEKVRRHPYSLILFDEVEKAHPSVFNIFLQILDDGMLTDGKGRTVDFIRILSSL >SECCE7Rv1G0495370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:597402142:597402657:1 gene:SECCE7Rv1G0495370 transcript:SECCE7Rv1G0495370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLVLAVVAPVGLTCCILKLAGVPWPIIIRIAGVLLAFLFIAALCQRAQARARSQRQLQQDPEGGQSSMAALPREPAVGLGRAAIAGLPVYKYEKLRCGGGEGHECAVCLAEIKPKEVVKQLPACTHLFHDRCIDEWLWSHRTCPVCRSPVDASTVPAVEVAARAMQSV >SECCE7Rv1G0524990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:888030257:888031926:1 gene:SECCE7Rv1G0524990 transcript:SECCE7Rv1G0524990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSLCFVALATVVVTWLVKLTASYCTSSKAKKPQLLPPGPWTLPIIGSLHHFMSVLPHRRITELCRLHGPVMLLRLGEVPTVVVSSAEAAALVMKTNDLAFANRPRSVTQNIYSCGGQGIALAPYGEEWRQMRKVCVVELLSAKQVKRLEGIRAEEVSNLLQSITASARAGGVINLSEKVSAFSNNIVSRGLFTERCARQDEYLGEIDTMASLMSGFSLVDLFPSSRLVRWLSNGERNMKKCCARMHGIISDIIDGRKVAQHSADAEDLLDVLLRLQAEDSLPFPLTTESIGVLIFDIFTAATETSATVLEWAMSEIFNHPKVMAKVQSEVRHVLGRGKAVITNNDLGGLHYLRMVIKEVLRMHPPIPLLVPRESRKDCEIMGYHIPKGTTILVNAFAISRDPKYWDSPEEFNPQRFENNNMDYYGTHFEFTPFGAGRRQCPGILFGTSTVEIGLTNLLYHFDWAHPNGAGESVDMSEKFGIATGRRSDLQLIAIPYVPSSST >SECCE1Rv1G0016900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:144860032:144860625:1 gene:SECCE1Rv1G0016900 transcript:SECCE1Rv1G0016900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMLLYRDLQVRLFNDLNTRRFRVYLFRDAAAAPSPTSHALGKPSPMRRSVTSPALLPAKPANVDGRPSHGLAAPAPSLVPRITTSPNPLWETSTAGTAPSKPPLAPALARRIASSPLLMADSTDDTTSLTTTTSTSAAIATQCTQPHPAVFRPAELSYPVCQAAPVFLVPVMPQVIIYQSTIILVPVFNSKVAVG >SECCE4Rv1G0287960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:857499785:857503149:-1 gene:SECCE4Rv1G0287960 transcript:SECCE4Rv1G0287960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCQSCKNVYDEEDAGTCKECYEEASETEEELKREIDDLRSRLLFLRLPSPTLDASAVGHSDLLLHAIPASSPSPSPSGDADGARLDTPAVPAHRVILASRSPVFRAMLDSEMEEGRSGVIKMYDVSYDVLRAFVHYMYTGEALLDEQMACDLLVLAEKYEVKQLKTYCEKFITSKVNNENAIVHYAFAHRHGAKQLLEASMSALMESMPTLAEREEYKELVEKDPRILVEIYETYVSRQDNTAAERDSDCCCRK >SECCE7Rv1G0498040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:634658427:634660053:-1 gene:SECCE7Rv1G0498040 transcript:SECCE7Rv1G0498040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLGVGVVSDSVAPLLVASLLAAVAFFFLTLVRHGGNGKHGRLPPSPMALPFIGHLHLIRPPPHRAFDRIINRYGPLVYLRLGPSTHCVVIGSADVARDVLKFEGSIPERPLTAVTRHLAYDSAGFAFAPYGPHWRFMKRLCMSELLGPRTIEQLRPVRAAELAGVMSAAAEAAAKGETLDVSRQLIRMSNNAIMRMVASALPGDMADTARDCAKKVAELVGAFNLEDYVGLCRGWDLQGLDRKTRDVRDRFDALLESMIKAKEKERRQEADETKTKDLLDILMDAAADPAAEVKLTRENIKAFILDIFTAGSDTTATTVEWMLAELLNHPDCLQKLRAELDAVVGRSRVVGEPDVAQMPYLQAVLKETLRLRPPAVFAQREAIEPIHVRGYTIPVKTSVFFNIFSIGRDAAYWEEPLEFRPERFMPGGAGEAVDPKGQHMQLMPFGSGRRACPGMGLAMQAVPAFLAALVQCFDWEVPNPPLDMEEEAGLVTARKQPLVLLPTQRLHPLPLP >SECCE5Rv1G0300870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28387806:28388405:-1 gene:SECCE5Rv1G0300870 transcript:SECCE5Rv1G0300870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQKRAGSMEDAVQQVSEWALLTASYAATYAIVHAVDRYLVPCSQSLFRPCDQLTDADPAEHTTLWIGMLCCATLQAAAAVLAVRLPCHRRWVRPAFAYLALALTIVIHCMYAVAVAARLFLIDDPQDLFIMIFYTVALVFFMAGDIRSFLALLRGEE >SECCE6Rv1G0449660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863652433:863652801:1 gene:SECCE6Rv1G0449660 transcript:SECCE6Rv1G0449660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASSGSAHDDELSLELTLAAAAPALGTPEGFFLCVHCDRMFRTSQALGGHQNAHKQERAVAKRRREAAAAATRAQKSRSRKAGRDAATATTRMPKPADGKQGGSSSKRGDDDEVDLSLRL >SECCE2Rv1G0089650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:259481622:259483751:1 gene:SECCE2Rv1G0089650 transcript:SECCE2Rv1G0089650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGGAKAYGAVVLIRVMYSGMHIMSKIALDQGMNPFVFVFYRHTTAALVLIPIAFALERQKTKPVTLKIAGKMFVHALYGVTACGVLFNLGLNYASATSSSALYNVQPVVTFILAVIIGMESMKLKKLHGNLKVAGILCCVAGVTVLAFYEGPMFRSFNHHRLFQHGGGSSSGTGSYSKNQWVFGIFLMTLSNILAGLWTVLQGPLIEDTSKLMNTTLQISCASVQAFVVAVAAERDFSKWKIGWNISLAAIIYSGVIVTALSYYMQMWTIAKRGPVFLAMSMPLTLIFTIIISSFVLGEAVSLGSIIAGILLIGGLYNVLWGKSMERKDDDTNKMGGGKPALELQDKEQAQVPDDAAAKV >SECCE1Rv1G0008620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:48063983:48065879:1 gene:SECCE1Rv1G0008620 transcript:SECCE1Rv1G0008620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAFLLPALVLVAAAATTEATKNLVVTRGCVTSCGGVDIPYPFGIGTGCFRKGFEIECINNGPVLAGTSIHVVHLSGDPAESLVMLPVGWQCYNTSSPDKVEASSHGETEMNKHGVYRLSNTHNMLVILGCNTMGNIGSVRTTRDVDEYSYYMGCMTFCNYSATAQDGLCASVGCCHVDIPPGLTHNYFYFRVYDHTGMMDFSPCDYAFLVDRTNYTFRRSDLLRDINRTSPVWLDWAIRGDDDSTSLSCAEEAKATTTEYACVSNHSDCVNATNGPGYNCRCSKGYQGNAYLVNGCSNIDECADPAKYPCKGVCRDAEGSYQCTCRPGYVSDDPKTQRCTPKFPLAAQICIGATGGILLIAFMAFVIVIRKEKRKTREFYKKNGGLTLEKANVIKLFKKEELKPILKSSNLIGKGGFGEVYKGVVDNKLVAVKKPISGNVLETKQFANEVIIQSQVIHRNIVKLIGCCLKWTPPC >SECCE3Rv1G0162780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:138960608:138963490:1 gene:SECCE3Rv1G0162780 transcript:SECCE3Rv1G0162780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPQQRHKPTDTEAKVKHGGDKLHCLKPGKYTNKSSGNIGAKCRREDGQSFTGSKIALKGGSFMEVPFSDSTNLSVEPPNYSKKMKLQFFPMDEAIQMVLQQEKHNPYLELTLAPRKKMSSVVQHLNTKWGRSSCAKGELMLFPYSARPDTIVSSEKWTVNDSCTAADVYVAVGSPSTFRLRYGWFEHNLKQQSSEESLAPVHSAEKTIGDKPSDHFVFPNKPSDPFEFPNKPSDPFEFPNKSADPFEFPSKFTTPSNVCNTEQTVVDNQSKVTPLSWIDCISNISFGALLSQAVPSQDSKQLPLQNSSILQQIPATCDSFDAAIASLIAHQQTSNQPKVSNPSVWDAEETCHAFPRNQTSARMLSSAHGNSSAITSSILGAIPESDTDGNQRCSTEGRTEKSNPQIPGLGNNDNVKPDVPMPESTGEPELLAFDSRLLSGTDSLGLSGLLANSLDAFPKFTVS >SECCE4Rv1G0242610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:484430922:484433551:-1 gene:SECCE4Rv1G0242610 transcript:SECCE4Rv1G0242610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRRSVCAVAAVALVAAMLSSPGEAAYSGGMSPGYYKTTCPQLEDIVLKEVTRKKNETIVTIPAVLRLFFHDCLVNGCDASVLIASRNEDAEKNSPDDESLAGDGYDTVNRVKAAVEQKCPGVVSCADILALAARDVVHLASGPYWSVELGRLDGLVSKASDVEGKLPGPDMHVKELTDIFYHNGLSQRDMVALSGAHTVGFAHCSRFTKRLYNYSSTVKLDPSFNPEYAKRLMEACPRNVGPTIAVNMDPFSPVVFDNIYYQNLKNGLGLFTSDQVLYTEGASRKTVEEFADSEPKFFQAFVESMMKVGRLGVKTGSGGEIRRDCTAFNH >SECCE5Rv1G0364460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:801572737:801573762:1 gene:SECCE5Rv1G0364460 transcript:SECCE5Rv1G0364460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQATEILFWTCQTRTCSPGTKSAIKKRYFHHTEELLQGHPEFLDRTLPSLKARLGITATAVPELAAAAAAAAIAEWGRPAADITHLVVGAYASAHMPGADHRVASLLGLDPSVRTTMLYVNGCASACAALRVAKDIAENNRRARVLVACAELTLIMFRGPQEAHLDTIISQALLSDGAGVVIVGADLEGSEGSLFEMVAASQTVVPATAHAAVGQFGEVGFLFHPCIEMPAIVRRNVERCLVDDALGPLGLSGRWNDLFWAVHPGGRAILDGVEEVLQLEPKKLAASRHVLSEYGNMSGVSIIFVLDEIRRRHDDHRGLGVMLGIGPGLCLETMVLHACM >SECCE2Rv1G0083760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:170479504:170480715:-1 gene:SECCE2Rv1G0083760 transcript:SECCE2Rv1G0083760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELNEEIYMQQPDGFVIDGQEGKVCRLIKSLYGLKQAPKQWHDKFNTTLTSVGFIVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLKVIEEVKSFLSQNFEMKDLGVADVILNIKLLRDNEGGITLLQSHYVEKMKATTGYMFTLGGGAVSWKSCKQTILTRSTMEAELTALDTSGVEAGWLRDLLMDLPVVEKPVPAILMNCDNQTVITKVKSSKDNMKSNKHIRMRLKAVRKLRNSGVIALEYVHTAKNLADPFTKGLSRVVIDNASREMGMRPT >SECCE2Rv1G0123340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:823466100:823466357:1 gene:SECCE2Rv1G0123340 transcript:SECCE2Rv1G0123340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATPTQSTGAKEEAAARQSLIGISQSTPAAGEALSVKSPNGRMEHGQDDGGAADKYRSMLMSISNQSPEARQATPCPHGNAA >SECCE4Rv1G0238640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:383079295:383083732:-1 gene:SECCE4Rv1G0238640 transcript:SECCE4Rv1G0238640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGHRNSHGKRQSDYAENGGGKRRNPGDDTYAPGPDDTVYRYLCTSRKIGSIIGRGGEIAKQLRSDTQAKIRIGESIPNCDDRIITIFSSSRETNTIEDTEDKVCPAQDALFRVHEKLATDDGPVNEENEEGLGQVTVRLLVPSDQIGCIIGKGGHIIQGIRSDTGSQIRVLSNEHLPACATSGDELLLIIGDPMVVRKALLQVSSRLHGNPSRSQHLLASSLGSRLGSSSTAPVVGITPMVSPYGRYKGDMVGDWPSIYQPRREVSSAKEFSLRLLCAAANVGGVIGKGGGIIKQIRQESGAFIKVDSSSAEDDCIITVSAKELFEDPVSPTIDATVRLQPRCSEKIDAESGEPSYTTRLLVSTSRIGCLIGKGGSIVTEIRRTTRANIRIISKEDLPKVASDDEEMVQISGDLDVARHALVQITTRLKANFFEREGALSGFQPVIPYHPLHASLSDEPKYLSRDNKSAGHDYPYSSGYRASDDVLPVDRYANYGSSQVSGGGYGAYSGYSGGSSSNGLSGSTYLSSGKRYGY >SECCE4Rv1G0266420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:731649670:731652429:1 gene:SECCE4Rv1G0266420 transcript:SECCE4Rv1G0266420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFHSSPLEDEDLLREILLRLPPQPSSLPRASLVCPRWRSVVSDPKFRRRFREHHRKPPLLGFFAMHDNKDSVFTPLLDPPDRIPAERFAMPLSLSEGWDFMGCRHGLAVLINRSRREIIVWDPLSGQQRHMPFPKGLNNNARLPYWHATVLCVDAEDGHVHGDCFSSLFKLVLICACRTHAFGVVYDSASGVWGNIISIVTTGLMSSRPGVLIGSALYWFIHGGGVLAFDIERQSLSIIEKPTDVHYSHFLTFQLFRIQDSTLGIAVIPMSEHNIQLWARKSNSDGLVSWLLQKTVELDELFSPSPHMKTRLLMRGYDDDTNVIFLASDLDDFMLQLETMKVTHIGIGNPWRLKICYPYTNFFTTVRGVAGGDGGAEHVNT >SECCE6Rv1G0382320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:41040531:41041228:-1 gene:SECCE6Rv1G0382320 transcript:SECCE6Rv1G0382320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTTISSASKESLEAEELVDTRLSLVIGARSQPPPVQPPLLPPEARKRGEKCIGGSTRQHGKRARAVHGGSDIDDDDDGADAAGRARKKLRLTAEQAALLEKSFRAHNVLSHGEKHVLAGQLGLKPRQVEVWFQNRRARTKLKQTEIDCELLRRWCERLSDDNARLRRELAETRAVLLVGGSQDSRLTGCPSCNRLAGGRRAA >SECCEUnv1G0529500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8150305:8152779:1 gene:SECCEUnv1G0529500 transcript:SECCEUnv1G0529500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLYDAGDGSAGNPTAEDAAGNSRGEDVAANSRGDDDFRSCCGDEEEWEDTEESFTAGVSEAELDETSVRLFFKGVSSSDTADGKKLSGIGVVMERTPGVPLHRVQKKLDFYVDELVAEHLALMDGLLVALQKGIRKIFAFTNSEKLYFQIAEAEILEDQLLVALGHRILELVEKLEDFDLILLPSFELERPLKLAKEAIGIVYVPPYEVATCPLCCEERRGSHMIKVGCSHKFCYSCLIVYVEGRLHAAKLPIRCPQLRCKYHISAGECKSFLPVSSYESLESAFAGGSSTYDMEGFYCPYPNCAVSLDLSQHFSRASSSNQSDLNCIECPECHGDICINCGVPWHIMMGCDEYQSLPVEERGAGDLSLHRLAQNNSWRRCQRCRRMIELTQGCFHMTCWCGHEFCYSCGAEYNNGIQACQCVFWDENNAEPSAAQSCQTSEIWAWDTFDCMPTAADGYSEQERAQLALIQRFLAGGFSLSGDHHHLSQQSSPPRCAADSYIVDTMKDLHQLPWLERFVSVMSDTYNDDYIQ >SECCE7Rv1G0524770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887026663:887029486:1 gene:SECCE7Rv1G0524770 transcript:SECCE7Rv1G0524770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVGSPFRPALAAQHRRRGRPGAVTLRCSKVAAAAPILDDLRLQCATPLPLLRHVAGAMAAGMRAGLAEDGAGELKMIPSYVYSLPTGSETGLFYALDLGGTNFRVLRVQLGGKDKRVVDTESEQVSIPKEIMHGTTEELFDFIAARLSNFVAKEGGNFHLQEGRKREIGFTFSFPVKQTSIDSGILIKWTKGFAVSGTAGKDVVACLNAAMERQGLHMSVSALVNDTVGALAGAHYWDEDVMVAVILGTGTNACYIERTEAIPKLQHLGLGTGNTIINTEWGAFSDGLPLTEFDRDMDAESINPGEQIFEKTISGMYLGEIVRRVLARMAQESDLFGHSFADKLAEPFVLRSPHLCAMQQDNSDDLGEVESILRDIIGINQSSVAARRVIVEVSDCIVKRGGRLAGAGIAGILQKMENDSKGLILGRRTAVAMDGGLYENYPQYRSYMVEAMEELLGPRDMEHIIVEHTKDGSGIGAALLAAANSKYAAARLSA >SECCE5Rv1G0372970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:855719798:855722284:1 gene:SECCE5Rv1G0372970 transcript:SECCE5Rv1G0372970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQGILLLLLHLAMVLPHAAFSPDFHFFLACGANSSVSFPSDSPARTFVPDAAYLSPAGAPAVSASSTLASLPALHAAARAHISAFSYSLAYPASPDASSFLVLRLHFFPFFPTASTTSSQYIINILSARFTVSILNAYPLLSSFRPPAAGVVKEFFLPRGVLGGNVTVTFTADAGSSAFVNAVELFPSPLELLWKNTATPVGAAVSDDMELWQQQPLETVYRLNVGGPKVTTGNDTLWRTWLPDGPYLYGGQSVVTSTSSPIIYDTSNGYTREVAPNIIYHTQRAANVTDFLTASTPSLNYNLTWTFPAEPGSRYLVRLHFCDYEMVSSVVGVGIVFNVYVAQALGTRDLAPNAQATQSNEALYLDYAAMAPRAGNLTVSIGTSSKSTGGAILNGLEIIRLPPVDLTSRGWHGGTTRIIVITVSAMLGAAVLACVVQCFFGVPYTKYGASGWAEQLNNRWSRERKTGGMKSVSTKLHISLAKIKAATDNFHERNLIGVGGFGNVYKGVLGDGTPVAVKRATRASHSQQGLPEFQAEIVVLSGIRHRHLVSLIGYCSEQAEMILVYEYMEKGTLRSHLYGSDEPALSWKQRLEICIGAAKGLHYLHRGYAENIIHRDVKSTNILLGSENDGGTGGGIVAKVADFGLSRVGPSFGETHVSTAVKGSFGYLDPGYFKTQQLTDRSDVYSFGVVLLEVLCARPVIDQSLEHGHINIAEWAVRTRGKGGLDKIADPRIAGEADEESLRKFVETAEKCLADCWVDRPSMGDVLWNLEYCLQLQESDITRDDAFDDNSSVATQLPEDVVVSLLMDGAEESGLSMSTTARCSHS >SECCE2Rv1G0110650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:692826320:692828193:-1 gene:SECCE2Rv1G0110650 transcript:SECCE2Rv1G0110650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23360) UniProtKB/Swiss-Prot;Acc:Q3ED65] MGTLAAAIPVTAAATAASRHAGGRRCRRGSVAVRCSSAADERQALFSRIAPVYDHLNDVLSLGQHRTWKRICVSWSMAKRGDRVLDLCCGSGDLAFLLSQKVGLDGEVMGVDFSAQQLQTAASRQDQRWKACYKNIKWIEGDALDLPFMDRYFDAVTVGYGLRNVVDKPKAMREILRVLKPGSRASVLDFNKSSSFFTASLQSWAIDNVVVPLASSYGLTEEYKYLKSSIAQYLTGEELEKLAKEAGFSSAKHYELGGGLMGNLVATR >SECCE3Rv1G0169410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:237594933:237595289:1 gene:SECCE3Rv1G0169410 transcript:SECCE3Rv1G0169410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFAPICIYLVISPLVCLIPLGVPFPFASNSSTYPEKLSAYECGSDPSGDARSRFDIQFYPVPILFIITDPEVTFSFPWKVPPNKIDLFGSWSMMAFLFILTIGSLYEWKRSASDRE >SECCE5Rv1G0308820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:139099515:139099994:1 gene:SECCE5Rv1G0308820 transcript:SECCE5Rv1G0308820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPLALLILVAVSTSAMSAAGQGCDGHKVTVQNLCGHDLNLGLNAVSNSKMLFPNGWLLPNGKHESFDVCAWSGSVSAQGAAVAKFHIGHEGGAYYEVSTDQASMPIRVSVTPHGSPLQGHCPTAGCNSGNHCFEHSVPGGNCHGVTEIKIIYYNP >SECCE6Rv1G0398190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:414649394:414651000:-1 gene:SECCE6Rv1G0398190 transcript:SECCE6Rv1G0398190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGGGRAAAIAVPLIAFVIVAVAAVVLPSTVPGGRVGSHSREYGYRHQEHFVRDVPGEPQKAMLLPATTQAINKNKKVGGVIEEGLARSRAAIRRAARAPRDESVRRIRSFRDVGDAFAPRGAIYRNARAFHRSYAEMERRMKIWTYEEGEPPLAHLGPGTDIYSIEGQFLYEIEDPRNRFAARRPDEANVFLLPISVCNLVHYVYRLNTTAYLAPLRRLVADYVRVVAERHPYWNRSGGADHVLVSCHDWAPLVSEGNRQLYGNAIRVLCNANTSEGFRPRKDATLPEVNLADGVLRRPTFGLPPENRTTLAFFAGGMHGEIRRNLLQHWVGRDPDMHVHEYLHAGQDYHALMARARFCLCPSGFEVASPRVVESVFAGCVPVIISEGYPLPFSDVLDWSKMSVTVPAARIPELKAILRGVSERRYRVLRARVLQAQRHFVLHRPARRFDMIHMVLHSIWLRRLNVRLPY >SECCE5Rv1G0372300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:852086981:852087790:1 gene:SECCE5Rv1G0372300 transcript:SECCE5Rv1G0372300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSALLVAAVLAVVVCGAHGIPKVPPGPNITASPASYGNKWLDAKTTWYGKPTGAGPKDNGGACGYKEVDKAPFHGMTSCGNIPIFKDGRGCGSCFELKCTKPEACSGEPTIVTITDKNEEPIAPYHFDLSGHAFGSMAKKGEEQKLRDAGEVEIKFRRVKCKYPPGTKVNFHVEKGSSPNYLALVIKFLQGDGDVVGVDIKQKGEDKWIELKESWGAVWRIDTPDKLIGPFTVRYTTEGGTKTTVEDVIPKGWKPDTSYEAKGGY >SECCE2Rv1G0138350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921203485:921204874:1 gene:SECCE2Rv1G0138350 transcript:SECCE2Rv1G0138350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGSGGGKRLRTSPSEADGSPRRRRGSPSVFSPSPSPYRSPSPLPSPSTYRSLSHSPSPCRSWSQRPPSDDGADDLSRSRGSDDLDRHGRRPAWRPHANKELGEHGRTGEFSVRIDDYDRLFTCKACRRMLSPPVYQCPFAHVTCSRCHEEVGDNRCSCCGSGTGYGRNRVVEEFLGRIRFSCRNKVHGCEAFLPHHEMREHEQTCRHEPIFCPVPQCGFANRAVALMTHLTLRHHWDTIRFHYDENFRASALASTIFQSRDDGELFFLDSFSEGRGIALSMICIRPENAREQEFVYELKTPVGNGGRRPWLQMQSTARNTSLRHGLGEKEKVFLLVPKDLPGTEEGNVEVCIRKLGHQRDSV >SECCE7Rv1G0500870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:676189873:676191764:-1 gene:SECCE7Rv1G0500870 transcript:SECCE7Rv1G0500870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKATTAVTLRTRKFMTNRLLARKQFVLEVIHPGRANVSKAELKERLANVYEVKDPNCIFVFKFRTHFGGGKSSGFGLIYDNLESAKKFEPKYRLIRNGLATKVEKSRKQIKERKNRTKKIRGVKKTKAGDAKKK >SECCE5Rv1G0366860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:816366954:816367370:-1 gene:SECCE5Rv1G0366860 transcript:SECCE5Rv1G0366860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVTGEQDELNLELTLRIPVAPGPGDGFFLCAYCDRKFRSSQALGGHQNAHKHERSIAKRRRLIAAAALGHGASAPAARDEGQTGYGGRGGGDFLSADGKARRTEPWKSTAVGKACERGRTSSEHGAADDVDLALRL >SECCE1Rv1G0037650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:529350854:529355701:1 gene:SECCE1Rv1G0037650 transcript:SECCE1Rv1G0037650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASARLLRRLSSSSTARSLRRLPFHPSPSPPPHPSPLPPLRTVTRALLPHLAAPRFSTISCASTPSLRLGECGAPGTPAIPFPENSEGEEEAESVAARHDTDAFAAVELALDSVVKVFTVSSGPNYFLPWQNKAQRESMGSGFVISGKRIVTNAHVVADHTFVLVRKHGSPTKYKAEVQAIGHECDLALLTVESEEFWEGMNSLDLGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCSLGISCQATENIQIRECFGMRPEMTGVLVSRINPLSDAYKILRKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPEETAVIKVLRDGKEHELNVTLRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGEDWYNTSPRRLCERALRELPKKAGQQLVILSQVLMDDINVGYERLAELQVKKVNGVEVENLKHLCSIVENCTEENLRIDLDDERVIVLKFQNARLATSRILKRHRIPSAMSNDLVDEQASKGETEASCAN >SECCE1Rv1G0033750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:470723589:470728496:-1 gene:SECCE1Rv1G0033750 transcript:SECCE1Rv1G0033750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKARAREASESNQKNEHRSICLHSFSDLSHVSAATFMYLLKDCYFYGTHKATAKFRILQQQVKRALNNAPQPGPFTYIVQCMYIIPLLGQSHAEGFSHMLISSLRHLKSVESVQKDFIDAKCLAARLVLDILASVVPHEERILVKLLETFDIELKDMAHAFCGSELGDEDLVAAREHLKQHVQYFMKSESYVTAVALMTRFSIQCCDESFLIKLIGSKQYKAAEEWAAFMGKEMIILIIQKYLDVKLLKSANELVKQYDLAEEFPDVNYLYKESSLKKLAEKGCWDVAEVRAKKDTKLMEYLVYLAMEAGYMEKVDELCERYSLEGYVNSLVPEEVMCHSDYLELKKLILEEIVWVDEINGLLSATSYIEACKIIGVDCEWKPNYEKGSRPNKVAIIQIASDKKAFIFDLIKLYEDDPKGLDCCFRRIMCSSNILKLGYNLQCDLHQLSQSYGELLCFQSYEMLLDIQKLFKETTGGLSGLSKKILGAGLNKTRRNSDWEQRPLSQNQKEYAALDAAVLVHIFHHVRGQPQFGVNEGRQVEWKSHIVSRVNRARSPLRF >SECCE1Rv1G0045100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:608413538:608428314:1 gene:SECCE1Rv1G0045100 transcript:SECCE1Rv1G0045100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEEEQLEEEEEVAETGIDSQVHMACVMQGRRVGVSYYDSNTRQLFVLEIWEDSAGGFPLIDLVKCQAKPSTIYASTKTEEELLLALQRNDSNDDPPVVKLMKSSTFTYEQAWHRLIYLKVAAMDDGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDSERLLDTLEQMEGGASIAIDSVAQISFYPLVYDCMQHIFRSYFGTNSRNLCSSTATDRFLKLDAAAHEALQIFQVDKHPSYMGIGRAKEGFSVFGILNKCVTPMGRRLLRAWFLRPIIDIEVINTRLNTISFFLCCEEVMSALRETLKSVRDVPHMLKKFNSPSSICTSSDWHTFLKCICSLLHINKIFEVGISEHLANKLQHMNIDLVEKANSSITAELDYVSNLVIGVIDVQRSKEKGYETVVKENLCDELDELRMVYEGLPDFLEQVSANENASFPFSLECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLQDFEFAFSEDGEERKFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVLQFLPQLTKAVNFAAELDCILSLAIVARQNNYVRPILTEDSILEIQNGRHALQEMTVDTFVPNDTKIRSAGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAVVGLTDRIFCAMGSKSMTTEQSTFMIDLHQVGAMLRHATSRSLCLLDEFGKGTLTEDGIGLLGGTISHFANYDYPPKVLLSTHLTEIFTENYLPQSEHIKCCTMSVLNPDGQTSNEDIIFLYRLVPGQALLSFGLHCAQLAGVPGEVIQRAASVLEDIHSKRPVRRMICDNLAAKDKQYQDAMAKLLAFDPRKGDLNHFFEDVFPPEV >SECCE4Rv1G0275710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:795080981:795081892:1 gene:SECCE4Rv1G0275710 transcript:SECCE4Rv1G0275710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRRRPEPAAIDITWVSCRGVRSSLPFHTPCLYASVSVTPSSAGKNIRRHRVKTPTDRAGGENPEWDERLRLRLPSDASPASEQEAAANKKDRHVDDQDDGVLLVRFELKAEVAVLGDVLTASAVVPLSDLVADGRTHRVSYQLAASSDGRQPNGVISFSYAFHHGSAVDDDQEDRSSDGELVSLASLAPAQPPPQSTVLSSGMYPLIDWGPLEHLAVYPQVNADTVTSSSSPEPVAVYPPLQETSSRGIYPMVGEPDSSLYPAVDFAPVSCYPPTMAPYYYGGGFGCQAALAWDGRCLYG >SECCEUnv1G0560230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:345529684:345529875:1 gene:SECCEUnv1G0560230 transcript:SECCEUnv1G0560230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKKRTSMSKKRIRKNIWKKKTYFSIVQSYSLVKSRSFSSGNEQPKPKGFSGQQTNNKILE >SECCE7Rv1G0498850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:646939167:646943884:-1 gene:SECCE7Rv1G0498850 transcript:SECCE7Rv1G0498850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPNGDGGKERAGENGNGGGVPLEMPEIKYTKLFINGAFVDAVSGRTFETRDPRTGDVIASIAEGDKEDVDLAVKAAREAFDHGKWPRMPGSERGRIMMKYADLVEQHAEELTLLESLDAGKPRMATRAVDLGTSIRSLRYFAGAADKIHGETLKMSRQFQGHTLREPIGVAGLIVPWNFPAIMFFSKVAPALAAGCTMVVKPAEQTPLSALYFAHLAKQAGVPDGVINVITGFGATAGAAIASHMDVDMVSFTGSTAVGRLIMEASARSNLKPVSLELGGKSPLIIFDDADVDMAVDLAISANFFNKGEACIAASRVYLQEDIYDRFVKKLGQRMESWVVGDPFDPRVNQGPQVDKARYERVLGYIEHGKREGATVLTGGKPCCQKGYYIQPTVFTDVKDDMIIAKEEIFGPVMCLMKFRTVEEAIARANDTKYGLAAGVVTKDIDVANRMTRSIRTGVVWVNCYFAMDSDCPFGGRKMSGFGKDDGMHALDKFLAVKAVVTPVYDSPWL >SECCE6Rv1G0423130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:694073182:694074881:1 gene:SECCE6Rv1G0423130 transcript:SECCE6Rv1G0423130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLGLLKVKVVRGLNLAICDPLSHSSDPYVVIRLGQQKVKSSIKYKSINPEWNEELTLSITNWTLPVKIEVFDHDTFTKDDTMGDAEFSILDFVEIAVKDLSHVRDDTVMKTFHPADDNCFSADSHIIWKDGKVTQNIALNLRNVDTGELVMHLEWVSLPGMSR >SECCEUnv1G0531070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16032827:16036748:-1 gene:SECCEUnv1G0531070 transcript:SECCEUnv1G0531070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRRRSGMGTAVPLSFLVLVLVLVLMAVARVAEAEGGDVDGANAGEDEAFEKRFLKLWTDGGGGDEEDHLRWYGDDDDDYGDIYDDEKVEEMVVEEEEEDGEGKDGTMLGATRCPNPNKKKKKKKRNVVKVDSFGAVGDGCADDTEAFAKAWEKACSLKDAVLVVPAGRRYKIGPSRFMGPCKERLVVLIHGTIVAPEEPSEWDPRSRRLWLLFGGLVGARIQGEGVIDGSGSKWWANSCKVDRSKPCKGAPTAVTIDSCRGVRVRGLTIQNAQQMHLTVSRSRGVRLDGMAIQAPGDSPNTDGIHVAESTAVTITGARIGTGDDCVSISNASFAVKMKGIVCDPGHGISIGSLGQGGSYAAVEGVSLDDARIARAQNGVRIKTWQGGAGYVRNVRFSNVLVEAVDHPIIIDQFYCDSRTPCANQTSNVAVSNVMYRNISGTSTRDEAIKLACSDAVPCSDIVLSNINLLGDDGAEVQAVCNCAMGLGYDPVRPAVDCLRNNACGGGGGGLKLGVEEPSTTAAPLHTEL >SECCE4Rv1G0286860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:851679735:851709072:-1 gene:SECCE4Rv1G0286860 transcript:SECCE4Rv1G0286860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTISRIRLENFMCHSSLDIELGEHVNFITGQNGSGKSAILTALCIAFGCRAKNTQRAATLKDFIKTGCSDAVIAVDINNQGEDAFKPDVYGNLIKLERRITKSSSSTILKDQHGRKVAHRKDDLNEIVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQRVSELLETIRDRVNKADSDVQELEKSIKPEMRELDELREKIKNMEHIEEIANDIDNLKKKLAWSWVYEVDQQIEEQTKKLQKLKERAPLCQERIDRNAVVIDKLKKELIEKEENLRSLVGKTCEENNMKKSMEDNIAEAVKRKIELEAEHERGARMLQRKNGRMNQLQAQLHLQMQHMQFTQAEDSQMEKDVQNIQQQIDYLHSDVTRLREDEKEFTEELSGIQKSINEISKEIAQNDKKIKQVKSDIGDLQLQQSNKVTAFGGRRVLKLLESIETNQKRFKSPPIGPIGAHLQLASESWSVAVDRALWRLLDAFIVSCCKDLHVLRECASKVYYHNLRIIVYDFTRPRLIIPDGLLPTTKHPTVLSVIQSENHIVLNVLVDQGHVEGQVLVKDYEVGKSLAFDDRMRNIKEVYTSDGDKIFSRRQVETFNPPPSKKGRPNRLCSSPGKRIAELNNEADGMQRTIWEKNGQRSKLVKDRRDLEQKIANSKRKREREEYLLEKERMKLDDAKRASAENKRHATVDNTELEEDIKKEKNIIVESEVLLQKINSKLAAASREVNDRREAYKSFMDSVNEETGNRISANDELELIKRKLDAAEQVLLLILKS >SECCE5Rv1G0308010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:123557909:123563442:1 gene:SECCE5Rv1G0308010 transcript:SECCE5Rv1G0308010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALVLSGDCLVVAPSTAAAAAAASGTPSSDEGKGVSVGELEEALRRRGPDSLGCHRLHLCVADGTIVGATDAVDCDGGDGVGGGAGATELCFIGSTLQLRGAEPVSQPLVAQSGSVLVYNGEIYGGINIANDQNDTQSLLSSLESCCCCDLHVADRDETYPCRESVGKSVPQILSTIKGPWALIYWQTDSKTLWFGRDAFGRRSLLVHWPTPDDSRFILSSVSPPSFSRNNSEVNGLESGADSDVSDHTKVSYWEELPCGIYSIHLKDLDNNAACTKEGCVVEVREHDWTDSSLHTLIRWERKLTVPTVDGLSSCFRNSREAEDSTSNEFDIRDLPSDISLSPGIQPAHRVLIALREAVMLRTNVNILYKGDLNKLKEAELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGQLAPDRVSSLAGLKELQRISPLRRWRLVEIDSNLANLKEESEHVMSLIYPSNTYMDLNIGIALWLAASGDGWVNGQDGDRYMHKSTSRVLLVGSGADEQCAGYGRHRTKYRVGGWVSLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDESVIRTLLEIPLWDIAKLDEPVGKGDKKILREVAKLLGLQEAAFLPKRAIQFGSRIARESNRKNFGSNRAANLASAGSVEVHKRNH >SECCE7Rv1G0482510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:306813337:306816949:1 gene:SECCE7Rv1G0482510 transcript:SECCE7Rv1G0482510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQQQLQSHNQLLASRQNFPSERHLLLQGGIIPGESGLILSTDAKPRLKWTPELHDRFVEAVNQLGGPDKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNLHVQANVGNSRTAVGCTIAAEKQSEGNGSPVGHHLNTQTNKSMHIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAHETLAKQNTGSGGLETAKMQLSELVSKVSTECFHNAFTSFEEIEGSQMLRRHTMQLGDGSVDSCLTACEGSQKDQDILSMSLSAKKGKEIGGMAFDLQMKERGHEDLFLNKLSRRPPNHQEGHERRDSLSMTYQATKLDLNMNDTNNGTQNSKKFDLNGFSWS >SECCE3Rv1G0192320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:757376307:757398618:-1 gene:SECCE3Rv1G0192320 transcript:SECCE3Rv1G0192320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIFGDKVILEDPVESWPLCDCLIAFYSAGYPLEKAEKYADLRRPFIVNELDPQYLLHDRSKVYEHLKLFGVPVPTYAVVRREHPNQELNYFIEQDDFIEIHGKRFCKPFVEKPIDGDDHNIMIYYPSYAGGGMKELFRKVGNRSSEFYPEVRKVRRDGSYIYEEFMPTGGTDVKVYTIGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPTEKQIARNVCQAFRQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSYKYYDDAACILRKMFLDEKSPHIYTIPAHLPWRISEPAQPSDAVRNRERGTVGIPMQSEELRCVIAVIRHGDRTPKQKVKLKITQEKLLKLMLKYNGGKAHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEVEHAEKLRQVRAVLEEGGHFSGIYRKVQLKPSNWAHIPKSSGEGEEEVPSEALMILKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGDLTPILVSLVSKDSSMLDGLQDGTIEISEAKAQLHDIIISSKVANGGDPAGFPWMVDGADVSTNSAQLLTDLAELTKEITSQVKRLSDDENEEVAIDSDSPNHPYDQAKALWRTAIDMDRIAAGLPCGSESFLLMFARWKKLERDLYNERKKRFDTTQIPDIYDSCKYDLLHNSHLNLNGLHDLFKVSQLLADGVIPNEYGTNPKQKLKIGSKIARRLLGKILIDLHNTRREITEVAAESSTRHDPIVVSSTKRKDRCSYDSVRNESFEGSSTDEKSVDLDSHNETKYCLDPKYANVMDPERRVRTRLYFTSESHIHSLMNVLRYCYLDESLNGEESLICQNASDHLFKTKELDYMSYIVLRMFENTEVPLEDPKRFRIEMTYSRGADISCLESATNTDCFLPDEHTMQIMEPEQLREVGSYLTLDKFDKMTRPFAMPAEDFPPATPSQCLAVRFCEDNELQGARLVNLYPCSYYQHCY >SECCE6Rv1G0420920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680845700:680847372:1 gene:SECCE6Rv1G0420920 transcript:SECCE6Rv1G0420920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAAAAIYRLPEECVAHAIAMTTPGDALSSSAVSPAFRAAADSDAVWDCFLPRDHAAVLARADDAGDCRECPESKKELFTRLCSRPVLLDGATMSFGLDRRSGAKCWMLSARALSIVWADDPSCWTWTADLPGSRFPEVAELVDVCWLEITGKLQLSLLSPRTTYAAYLVYAIADDYYGLECNIGILPPKATVTVVVSGNGTKPTSTSTEHTICLHHMQGEEETAMHRRRQEYVRPRKNYGWKLVREADMDIRCPRQRGDDGWTEVELGEFAVAGDEEGVVEVSLKEVECRRWKRGLIVQGIEIRPKHTN >SECCE7Rv1G0484450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343879999:343880715:1 gene:SECCE7Rv1G0484450 transcript:SECCE7Rv1G0484450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLRSGSAPSSPRSNKTEVEQQLQSLSTTISSPSATIDTMRDGLRRLADIYSCIEKMVCTPSSQVSLCQTLQRVAVEAELGRSLVVLDLCNAMQETFMKLKMTVQELLLVLKRGEDASAQVKAYIHLAKKAQKQFKKISKKTASDNGCRVVMLLAEAREITISLLECTSCLLAKQIEMPKWSLVSNTLQKSRVVCEEEQLQALECSIGDLESGVEPLYRRLINSRVSLLNALSSSS >SECCE4Rv1G0244870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:522208174:522208620:1 gene:SECCE4Rv1G0244870 transcript:SECCE4Rv1G0244870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAMFRSSATPPPSHHTTDQIPPLPLIRCPECNAGYVMWFVSGTELNPGRHFYKCERHAHRVCRFWKWENKYIQYLSERWGHLISHASVHRHVALLEQNHAFLKNIVMLCLTNLVVMVTIFLLKF >SECCE7Rv1G0522930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879569402:879569665:1 gene:SECCE7Rv1G0522930 transcript:SECCE7Rv1G0522930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQLKASRIKILAALLFFAMVTQGSAIRVKGTVRNDITGEAVSAKTTLSAGSSTTVDNHHAIPRDQYSSHGGEDGSGGSGGDTTKN >SECCE6Rv1G0444830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:831887452:831888754:1 gene:SECCE6Rv1G0444830 transcript:SECCE6Rv1G0444830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVADPGPTKDEGVGSKGEMDLPVADPGPVRDEGALLQCPYCDSEAMHKLAQFLLPGLAAVCVDGTTGDLFRSPSVVAVDLRKEMVDYITQRSETFIADTLIEAEVEQNAENQMPDDPYEIISIFMDDFSRTKRNIIGHVSGWLLSDSREDKIDDFVQEMDMTRFWPLERREGIAEVLLKNVDIKTKFHCPEKYEDEDRLAHHKAQCSFRPVTCPNDGCRAKVSVRCMQDHDAACLFKILQCEQNCEKRLLRRDMDRHCVTVCPMRPMKCPFGCDSSFPEHNLEEHCSESLQQHLLKVLQVIHKKGLTADQLNDRALELEKSEDRGKLVKARDARSLTNIVKDLEAKKTPSS >SECCE7Rv1G0480210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:266885672:266887583:-1 gene:SECCE7Rv1G0480210 transcript:SECCE7Rv1G0480210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVTQDNRRMLSAKVEKLEAEVSEFRKTFEDKQEQEKAMLEILVRMEQEQKVTEDARIAAERDAAEQKYAAHLIQEKYEAVLIALSQMEKRAVMAETMLEATKQYQAGQVKAIQTFAPKSPHADLGKINQEPNQDNKKVGLLSRGLGWLEKSKGKSNPTETNED >SECCE6Rv1G0431350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746236036:746236737:-1 gene:SECCE6Rv1G0431350 transcript:SECCE6Rv1G0431350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPHVSETEVEQELHRLEASISSSNSISAMCDGLRSLTNIYDGLEEIICLPSNQACSSQQRKMLDGEMECSIELLDLCSTMQEIFAEMMVFIQELQVALRKGDHAASQAKIQCFTLLAKKARKHFKKSAKKPAFDKMVMLLTKAREMCISLLDSTLHLLLKQIEVPKQSLVCKAFYKKKAIVCKEEQLQELECSIQDLQNGAGDLFRKLVQNRVSLLNILSS >SECCE4Rv1G0225280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:90819959:90820570:1 gene:SECCE4Rv1G0225280 transcript:SECCE4Rv1G0225280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSKKALVTAAVLALAVLAPTVAGLVPYGVSSGLWDLLDDPFRVLEQTPLAVQRPSSAGGPGASISSAVTLARCDWKETPDAHVISLDVPGVRRDDVKVEVEENRVLRVSGERKADEEKEGERWHRAERAAGRFWRRFRMPAGADVDRVTARLEDGVLTVTVPKIAEHQRREPRVINIAGEDANSGGKGADAEVRPSKAEM >SECCE4Rv1G0217860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18835879:18836553:-1 gene:SECCE4Rv1G0217860 transcript:SECCE4Rv1G0217860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSKTLVAGLFAMLFLAPTILATDPDPLQDFCVADLDGKAVSVNGHTCKPMSEAGDDFLFSSKLAKAGNTSTPNGSAVTELDVAEWPGTNTLGVSMNRVDFAPGGTNPPHIHPRATEIGIVMKGELLVGILGSLDSGNKLYSRVVRAGETFLIPRGLMHFQFNVGKTEASMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLTKALRVEAGVVELLKSKFVAGF >SECCE7Rv1G0492670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:554579967:554584588:1 gene:SECCE7Rv1G0492670 transcript:SECCE7Rv1G0492670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRNEGGFLTQEQREKLRIAVQNAETLSLTSPRSPTGSTTSALLQQYELHQQQVRAAAVAARGGGGGGGAKHARRSHSGKAVKLKKDGAGGKGTWGRLIDADTATFLDRNDPNYDSDEEPYELIEAPATTPLEDYKKSLVTIIEEYFSTGDVKQTGSDLKELGYDDFHRYFVKKLVSMAMDRHDKEKEMVSVLLSSLYGDGLSSTQLKLGFVMLLQAVDDLAVDIPDAVDVLALFIARAVVDDILPPAFLNKAKGSLTESSKGIEVLQIAAKSYLSAPHHAELLERRWGGSTHITVEEVKRRITDLLKEYIKNGDTAEACRCIRELAVPFFHHEVVKRAVTLGMESPAAETLIVKLLKEASEEGLISSSQMVKGFSRIVESLDDLSLDIPSAKSQYQTLVSKAVSEGWLDSSYEHSGANGNVQDDDHEKLMRYKKEAVSMIHEYFLSDDIPELTRTLKELGLPEYNPIFIKKLITIAMDRKNREKEMASVLLSSLSMELFSSEDIVKGFIMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEIGSILPPNCSGAETLNMARSLASARHAGERLLRCWGGGTGWAVEDAKDKITKLLEEYESGGDVGEACKCIREMGMPFFNHEVVKKALVMAMEKKKECMLSLLHECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAREKFLSYVEYGKKNGWLVPSFGVAAST >SECCE5Rv1G0299000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14582290:14584516:1 gene:SECCE5Rv1G0299000 transcript:SECCE5Rv1G0299000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMVELGPGVVEVEVGAAETTVPPAKRRRVLALRTLKAAAAAGRGPRLAGRPSAIQRLFQACRAVFRGPGTVPKPAEVALLRAMLDRMRPEDVGLSSKMPFFRNRDVPATEGTPAITHTTIYKSEKFSMVLFFLPTNAVIPLHNHPGMTVFNKLLIGSMHAKSYDWADPDDPANEGGTSSPDVRLAQLVVDDVFTAPCDTSVLFPTAGGNMHRFRAVAPSAFLDILGPPYSIEEDRDCTYYTDIPYSQHHMTSNELNNTEQEGRRLAWLKEVEMPKDLKMCSVRYGGPPISGR >SECCE1Rv1G0032540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:456577119:456580581:1 gene:SECCE1Rv1G0032540 transcript:SECCE1Rv1G0032540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNRALPIEDGEVLPAAGGNGEGGNGGSGDGFNRSVEQEIDDMRRRLRELEETEREMLAVAAAAASHEDPSAAATALEKAEVDARSIYVGNVDYGCLPEEVQEHFQDCGTVNRVTILTDFYGNPKGYAYVEFVEAEAVQIALRMNDTELHDRRLKVCPKRTNVPGMSHHRGRSPFQPYYPPYPTYGRSPRFRRSPRFYPYY >SECCE2Rv1G0097540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:462500337:462516939:-1 gene:SECCE2Rv1G0097540 transcript:SECCE2Rv1G0097540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKTPLLLLLFFAAGEAAGTTLTATPAKLTQSDQEIKIRWSDLPSPDGLDHVAIYSPPSSSDRDFLGYIFLNGSASWRSGRGELTLPRLPNLRAPYQFRLFRWPAREYSYHHVDHDGNPLPHGRHRVALSGEVAFAGSAARPEQVHLAFADRPDEMRVMFVCADAGKRAVRYGLEKEEEKGWTEVGTEVRTYEQKHMCDAPANDSVGWRDPGFIFDGLMNGLEPGRRYFYKVGSDPGGWSETYSFISRDSEANETIAFLFGDMGTYVPYNTYIRTQDESLSTVKWILRDIEALGDKPAFISHIGDISYARGYAWVWDHFFSQIEPIAANTPYHVCIGNHEYDWPSQPWKPSWSTYGKDGGGECGIPYSVKFRMPGNSVLPTGNGAPDTRNLYYSFDSGVVHFVYMSTETNFVQGSDQHNFLKADLEKVNRSRTPFVVFQGHRPMYTSSNEARDSAMRQQMVQHLEPLLVMYNVTLALWGHVHRYERFCPMKNSQCLNTSSSFVYPGAPVHVVIGMAGQDWQPIWQPRRDHPDVPIFPQPGISMYRGGEFGYTKLVATREKLTLIYVGNHDGQVHDMVEIFSGQTSTEASATEAVNQTKLSSGASAKLKISPLYLEIGGSVMLALMLGFALGFLLRKKREAAQWTPVKIEES >SECCE2Rv1G0139180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:925783030:925785807:1 gene:SECCE2Rv1G0139180 transcript:SECCE2Rv1G0139180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIWMVTAAGAILLLWAVSLGRILSSPAPYCLPPSPRFPPALHGDRKCRNVLLVLAHRDDESMFFTPTILFLESNGHKVHVLCMSLGNADGFGDTRKEELYNACATLKIPAEQVAVLDHQNLQDGFHEEWDHGLLTELTMEQIQLWDIDTIVTFDSYGVSGHPNHRDVHHGICKLLHEKQQENIEAWELVSVNMFRKYSGAVEIWLSALISSNSKQLICCLVNCSPSRTFKAMAAHRSQFRRLFVTLSSYTYVNMLQKF >SECCE4Rv1G0231160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:179304816:179306355:-1 gene:SECCE4Rv1G0231160 transcript:SECCE4Rv1G0231160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPASTVLTLLGFCASVLFIVFVCSRLICALTRRVRRGRRPSPPLPRFPPVTGRAGHSFHVPFDRQGHAAGGGGVDPAAVAAFPTRAFSAPGRPRGEAADASAMCVVCLAEYEDDDVLRVLPYCGHDFHVACIDIWLKQHSTCPVCRVSLRNDPGRKHAAPPMPSAVIVIPPCSPEVSRSDPCRCLFSGRGHSPTTSSQVLTNEPGQANQIQVVCHPSEDRGNNATPSEVGSPGENNNQTVKLNIDSTPVVGMLP >SECCE2Rv1G0073500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:68521001:68528297:-1 gene:SECCE2Rv1G0073500 transcript:SECCE2Rv1G0073500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-CoA dehydrogenase IBR3 [Source:Projected from Arabidopsis thaliana (AT3G06810) UniProtKB/Swiss-Prot;Acc:Q8RWZ3] MATMTSELLRPVHAAQALDEAALLRYAAAHVPGFPAPSPSLALTQFGHGQSNPTYCIEASAPGGEPRRYVLRKKPAGAILQSAHAVEREFQVLKALGAHTDVPVPKVFCLCTDASVIGTPFYIMEYLEGALYLDNKLTGITPDKRRNIYLAAAKTLAAVHKIDATAVGLHKYGKRDNYCKRQVDRWGKQYLHSTGEGKPARYQKMLDLIGWLKENIPEEDSSTGLGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSCMPYIIDATLSENGSYGGFEHSGIPDGIPQLEEYLAVYCSMSARPWPAANWKFYIAFSLFRGASIYAGVYHRWTMGNASGGERARFAGKAANVMVDCAWDYINRENVLRAHPATGMHASKAPRQGFHVEQEDLTLANGQGKFVPSEKVMQLRQKIMKFMKDHIYPKEDELYKHAQSTSRWTIHPEEENLKALAKEEGLWNLFIPLDSAARARKLLLEDQSHVSAGSSNDLLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCGAPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECAISRQGDFYVINGRKWWTSGAMDPRCKILILMGKTDFSAPKHKQQSMILVDINTPGVQIKRPLLVFGFDDAPHGHAEITFDNVRVPVTNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNMMVERALSRTAFGKKIAQHGSFQSDLAKCRIELEQTRLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAIQVHGAAGVSSDTVLSHLWATARTLRLADGPDEVHLGTIAKLELQRARL >SECCE5Rv1G0327610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:493835715:493836176:-1 gene:SECCE5Rv1G0327610 transcript:SECCE5Rv1G0327610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter 5 [Source:Projected from Arabidopsis thaliana (AT5G20650) UniProtKB/Swiss-Prot;Acc:Q93VM8] MMHMTFYWGTSATILFDGWRTSAWTGYLLSLLALFLAAAFYQYLEAFRIRVKLLAGAKADPLPPPAGSDARAPLLAAGSAAFVGGRWSARVATAALFGVNAGIGYLLMLAVMSFNGGVFIAVVLGLAAGYLAFRSGDVGGDDLVVVDNPCACA >SECCE2Rv1G0073460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:68328834:68329991:-1 gene:SECCE2Rv1G0073460 transcript:SECCE2Rv1G0073460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYSEAIYSVRVGIGSGETQHFYKLALDLVHPLTWMRCHPCLPKMKQDGSVFNTAVSPHYHFIASTDPRCMAPYKRAGDGRGRCTFDIKFQYERSRAHGLLSTDDFVFDGSGPGSPISGVHGLVFGCAHTTHNFNNHDVWAGLSDSGLAASRFSYCLVGKEHKNRRGFLRFGADIPDQSHAHSTKLLHGELAQGGGMYYVSLVGISLGGRRLTAITPAMFERHPHSLHGGCTLDVGTADTLMTLAAYHVLEAEVVAHMHSRGVHRATVPGVQKMKLCFHGTWQSIRAHLPSMTLHFYPESAMLFIKPELLFVAVTHEHTHYACFVVMPYAERTVIGAGQMLDKRFTFDLQHNRLFFAPEECHLDTSAVSS >SECCE3Rv1G0157270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80369830:80372906:-1 gene:SECCE3Rv1G0157270 transcript:SECCE3Rv1G0157270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKGRDPPPTIQDATTQINKRGESVDEKIKKLDEELARYKEQIRRTRPGPSQEAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVGFAADGLRDAQQTMGAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQESLGRSYNIPDDVDEEDLMGELDALEADMEFESAAVPSYLQPDEESDLNLPAAPTYPAAVPVNRHQEEELGLPPVPRASLRS >SECCE5Rv1G0303380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:48576887:48577170:1 gene:SECCE5Rv1G0303380 transcript:SECCE5Rv1G0303380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSWPERNANAINCRYTTINRETSKFCGCLQQILNRQESGRTIEEKTNDAHILFKEMDLKKRGL >SECCE3Rv1G0161930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:124302444:124308628:-1 gene:SECCE3Rv1G0161930 transcript:SECCE3Rv1G0161930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHLFHNILLGGRTGTNLGQFKVHSGGLAWKRQGGGKTIEIDKADLTSVTWMKVPRAYQLGVRIKDGLSYTFIGFREQDVSSLRNFMQKNLGISPDEKQLSVGGHNWGGIDIVGSMLTFMVESKQAFEVSLADVSQTQMQGKTDVLLEFHVDDTTGANEKDSLMDMSFHVPTSNTQFPGNENRTSAQMLWEAILARADNGSGSSGGAVVTFEGVAILTPRGRYAVELHLPFLRLQGQANDFKIQYSSILRLFVLPKSNNPHTNVVVTLDPPIRKGQTLYPHIVIQFETETVVQKNMKLSRELLDEKYKDRLVESYQGLVHEVFVKVLRGLSGAKVTRPGSFRSYKNGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILDEEIEFLEFQRHGAGGASMSSQYFDLLVKLKNDQEHLFRNIQRSEYRNLFNFINGKSLKLMNLGDGQGTSGVTDVLQDTDDVAPDPHLERIKNQAESSEDSDEEDEDFVLHKDDGGSPTDDSGGEGSDASESGGEKQKSSKKEARSSKPPVKRKPKGKDGEGSGKRKSKGMDGEGSEKRKPKKKKDPNAPKRPMMPFMYFSMAERAGVKDSNPDLAPTAVAKKLGEIWQKMSTEDKQPYILQSQADKKRYEKESAAYRAVVPVDVDAGSGNGSD >SECCE7Rv1G0459430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:25574037:25575985:1 gene:SECCE7Rv1G0459430 transcript:SECCE7Rv1G0459430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSCSFVSLSQLLIVITLIYLVMSKGKGRSGTCSLPLPPGPWSWPLVGSLPEMVLNKPAFRWIHRVMKDMGTDIACFRLGGVHVVPITCPKIAREVLKKQDKNFLSRPLTFASDAISCGYKDAVLTPFGDQWMKMRKVLTSEIICPSRHKWLHNKRADEADNLTRYIYNLTTEGSSSTSGLANVDVRHVARHYCGNVIRRLVFGQRYFGEPQSDGGPGPMEVEHMDASFNLLGLLFSFCVSDYLPCLLGLDLDGHEKIIKEANTKVDRLHNTVIEERWKQWKNGERRDEVQDLLDVLITLADEDGQPLLSIHEVKAQCKDIILAAIDNPSNAVEWALAEMVNNPELLAKAVEEMDRVVGRERLVQESDIMQLNYLKACIREAFRLHPVAPFNVPHVATADTIVAGYRVPKGSHVILSRLALGQNPTVWDEPLHFKPERHMGDNINVVLTESELRFISFSTGRRGCIAASLGTTMSVMLFGRLLHGFTWTKPVGVSAINLSESKHDLFIEKPLVLHAEPRLGVHLYPLMHR >SECCE2Rv1G0118160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:779973519:779974907:1 gene:SECCE2Rv1G0118160 transcript:SECCE2Rv1G0118160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRARARPCHAAGDLADDVLRDVFARLPGFQDLLRSAATCKRWRRLITDRDFLRRIGLWPETARRPSVLVGIFSQDAHPAGPSSFMPRKPGSAPQFLSLQLQAGGDGRLAFDSLVADEDGLFDFARPLASRRGLLLVRIIRPTRVGNGIDRQPLHLAVCRPLIDKRSTRVLPPPLLDSMAFFAGDLTGCALLTDADYGDAGGPDNRRQPNFQVLLICANRDRVVCACTYSSATGSWSGPIKCPQDSAMRWRCGARAGVVTGDTAHWLYMDNTDFYTLSINATTSHVSMAKIPVSRFGPSMPLSHRPLLCIAAGEGRLSFVTIQYRGVLELWTKQEQNDEDEAGWQRSRLTDLGSKKRIGSVFFAESRGALLINQDGTFVAIDLKSKEKMLVDLKDEKLRHLGNRCRLMFEGRCTSTGCTGWHEYDRTCPSRPPVLYEIDWVLSGRVFVASSQLGDEIGTD >SECCE3Rv1G0148590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19279405:19279842:-1 gene:SECCE3Rv1G0148590 transcript:SECCE3Rv1G0148590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTLQMRPRARSLWLLVRRLLCRGSKLHRPPAGAGDQQGDGCGERRSLLGRSGSLEDLLGPDVAGAVRRSARKDVQHALLPERQRQHHPDVAEARPAQATAEEQPLAASAAAVQQYRRFMFGGFRRRLMMRRQWRPMLVAIPE >SECCE7Rv1G0518350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859033446:859042003:1 gene:SECCE7Rv1G0518350 transcript:SECCE7Rv1G0518350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSSSAAAWWLATTACSPPPLSSASLPDRLAFLLLSPCPQRALLAALDLLFLLAALALALRARLSRHAPRHKESEEPLLAKPARSRGRGFRHRLALGASSALAAASLVLLALAFLLLPASGDGAERAWATVQRAFLAAQLLAHLAAAGTVAAEKAGAARAHPPHLRLFWLGTTLLAALFSGSAAARFAAGQPVLPDDPIAFAGLLLSLPLLYFAVDGSSGLGDSSAGTVISEEERDGASEAATSYATASWLSLATFSWINPLITKGYGAALGDKDVPPVAPADTAEAAYALFVSNWPAAPAPGSKAGHPVITALLRSFWPQFLLTAALGVAHLSVMYIGPSLVGRFVQFVRSGRDMTEGLRLVAILLAGKAAETLASHHYEFQGQKLGMRIRAALLAVVYRKSLRLSTGARRAHGAGTIVNYMEVDAEEVSNVMHELHSLWLMPLQIAVALALLYTHLGPSVLTAVAAIAVVTVVVAIANRRNMEYQFKFLGKRDERMKAITELLNYMRVIKLQAWEETFGSKISELREAELGWLAKSMYFMCANTIVLWSGPLIMTVLVFGTCVLTGVKLDAGKVFTSTAFFRMLDGPMQSFPEAIAAVTQATVSLGRLDRYLLDAELDDSVVEHVPDTVTGPDQVVVEVRNGMFAWDVRGKKENEKEEEENDDGEDEEDEKIVEEAPVLETVLKGINMEVRRGELAAVVGMVGSGKSSLLSCIMGEMDKVSGKVRVCGSTAYVAQTAWIQNGTIQENILFGQPMDAERYKEVTRSCCLQKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDVYLLDDVFSAVDAHTGSYIFKECLRGMLKGKTILLVTHQVDFLHNVDNIFVMKDGMIAQSGKFDELLEAGSGFSALVAAHDSSMELVEQSRQVEKTEHSQPAVVRIPSLRSRSIGKGDKVIVAPEIEAATSKIIQEEDRESGQVSWRVYKLYMTEAWGWWGVVGIFGLALVWQASDMASDYWLSYETSSGIPFNPSLFIGVYVAIAGVSMVLQVIKTFLETVMGLQTAQIFFRKMFDSILHAPMSFFDTTPSGRILSRASSDQTTIDVVLAFFVGMTISMYISVLGTIVVTCQVAWPSVIGVIPLLLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFTETVVGATTIRCFKKENEFFQENLDKINSSLRMYFHNYAANEWLGFRLELIGTLVLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYIAISISCMLENDMVAVERVNQFSTLPSEAAWRKEDHLPPNWPTHGDIDISDLKVRYRPNTPLILKGISVSIRGGEKIGVVGRTGSGKSTLVQALFRLVEPAEGKMIIDGVDVCALGLHDLRSRFGIIPQEPVLFEGTIRSNIDPVGQYSDAEIWLALERCQLKDVVASKAEKLDALVADSGENWSVGQRQLLCLGRVILKQNQILFMDEATASVDSQTDATIQKITREQFSSCTIISIAHRIPTVMDCDRVLVLDAGLVKEFDAPSRLLEQPDSLFGAMVQEYADRSSNL >SECCE4Rv1G0293260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885225080:885225580:-1 gene:SECCE4Rv1G0293260 transcript:SECCE4Rv1G0293260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSKPLALLLLVAVALSAMMTAMPAAGQGSCNGHKVTVQNLCGHDLNLGIEARSNSKALFPNGYLLPSGKHESFDVCAWTGSVSAQGAAVAEFHMDHEGGAYYEVSTDQASMAVRVSITPHGTPLQGHCPTAGCDSGGHCFEHSVPGGNCHGVTEIKIVYYSP >SECCE1Rv1G0027960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:391384084:391385538:-1 gene:SECCE1Rv1G0027960 transcript:SECCE1Rv1G0027960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to actin [Source: Projected from Oryza sativa (Os10g0510000)] MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSIIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRKCF >SECCE7Rv1G0475220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:183446820:183448686:-1 gene:SECCE7Rv1G0475220 transcript:SECCE7Rv1G0475220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDGCRRRLQRTLVLCLTAALCVLAHASAGTPVSLLGINYGRVGNNLPPPQAALPLLQGLGISRVRLYDPEPGVLRAFAKTGIELYVGVPDQCLAAVAEPAGATSWLKDSILPYLPDTKIVALTVGNEVLTGNNTALTRNLLPAMESLHGALAAANLDKQIAVTTAHNLGVLGTSYPPSAGAFRKDLLQYLCPILDFHAKTGSPFLVNAYPYFAYSDDPKGIHLEYALLEPSYPGVPDANTGLHYPNMLVAQVDAAYHAIAAANSAAARVVEIRISETGWPSAGDANEKAASPESAARYNSNVMRLVAEWKGTPLKPNVPLRVYVFALFNENMKPGPASERNYGLFKPDSTPVYPLTYKPSHGDYTPGGNSTGGDNDYYDISAASPEPTSRRWTWAQAAVAGGAAAAALMVAA >SECCE6Rv1G0449290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:861095540:861097522:1 gene:SECCE6Rv1G0449290 transcript:SECCE6Rv1G0449290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLVVVLLGLVIVGAVGARAAQRPVVPAMFVLGDSTLDVGNNNHLPGKDVPRANEPFYGIDFPGGARATGRFSNGYNIADFVARQLGFERSPLAYLVLKSRNYLIPSALTRGVSYASAGSGILDSTNAGKNIPLSKQVQYFASTKAEMEVVWGTRKVCKLIAGSFFLLGTGSNDLFQTRPKSQADVAALYATLASNYSAAIIDLYEMGARKFGIINTGPVGCVPRVRVLNETGACNDGMNRLAAGLAAAIKSGLATTLTPTRLPGLAYSLADSFAGSRANFENPQAIGLVNTDSACCGTGRLGAEGKCMRNATLCTDRDSYAFFDNVHPSQRAAELSAQALFVDGPTQITAPISFKELAHQR >SECCE2Rv1G0067920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20792534:20794955:-1 gene:SECCE2Rv1G0067920 transcript:SECCE2Rv1G0067920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNTIAQVTIPALLLRNNRRTTCTGRSAAVAVKHEEEGADEEWLAYLEPAKLEVFDQLEPWAEANVVPLLKPAEVAWQPTDLLPDPASLGTDGFHEACCDIRARAAGLPDAHLVCLVGNMVTEEALPSYQSMANRFEAVHDLTGSSGTAWARWTRGWSAEENRHGDVLNRYLYLSGRVDMRQVESTIHNLIQSGMVLKAARSPYHGFIYVAFQERATFISHGNTARRAKEHGDVALARICGAIAADEKRHELAYTRIVGKLFEIDPDGAIRALAYMMRRRIVMPASLMSDGRDHDLFAHYGAVAHQVGIYTASDYRGILEHLIKQWGVEELVAAGLSDEGRRAWDYVCALPQKIRRLEEKAHERTHHKAQHTTSIPFSWIFDRAVNITLP >SECCE1Rv1G0041190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:569253593:569256083:1 gene:SECCE1Rv1G0041190 transcript:SECCE1Rv1G0041190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLSGVEGGHGGMDPEGRDELPPVDAATDGEAMAQGSFGEADFDAMMVESGGLQGEEEGSSLAEIQHIAADTAEIQHIAADTAEISDPAVPQHDAEAGSNEDDQRTRYHLPPLDRHGFRVSDLVWGKLQGHPWWPGAIFDPSDASELALKYQKEGHHLVAFFGDDSFAWCHESQLKPFMDNCIEMEKQGNSDAFTSAVNSALQELSTRILTATSCSCLPEYLSENGMCYLRGNPGLKAGVTCSKVNQAEILQYFSPEHLIHYMKLLALSPCQGGVLQDLVIACSQLMSFYRSKGYGEIASFQSGSAWAEDGIDTTATNEVQPSHVKPKRGRGRPRKRKPDDNIELAEGRPIVKPQNEPIVHNNIGETECGEVDISVKKPAKRRRVQRRHGVDTKDLLSGMAASTEMSMIGKTEGDRSEEWKFLPCPKEETTDHMQDAYWSGLSLHTVSTHSLKGASGKTRPIRRRRPTRRTCAPLSDLSSPVQNMQPETLDVNRKIEVIKRSIIHVDEKMVHDVNPTALILCFGKSIALPSEMDFIRVFSRYGPLKETETEVHKNTNTAKVVFRKRVDAERAFSVAGNFGSFGPSLRSFRLANMPFSLRTAELNYPRLRPEDSGMEIPACRVSGGAPDSVQIDIIDKADKGETVKNPSVEHTEMVKLAGQDEGNTEHALHAGGRNEESPGVLSDTMQTGIVDDTPKHELVCVHGVVVASEDTMEVDNTNETREETSIPQDLRAQAYTAYVPKQSVGDEYTFQGIEGVNS >SECCE4Rv1G0231980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193073303:193092218:-1 gene:SECCE4Rv1G0231980 transcript:SECCE4Rv1G0231980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSALTACRLLLITKPNARVLPATAAAIRLSSRASTVAATATATVGPPMEVPSDWTAARVREVFIDFFKSKSHTPWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDSQLGRLSRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEGAIGYAWELLTQVYKLPTDRIYATYFGGDEKAGLAPDTESKNIWLKYLPNERVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNRESDGTLKSLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPLFDAIHKLAGAGIQPYSGKVGSDDVGKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLMAKQGFFSSLVDVFVRVMGDVFPELKDNEKKIKDIIKDEEASFENTLAKGYERFKKAADAVKENGGAVLSGQDAFVLWDTYGYPIDLTEVMAVDFGLSVDMEGFNASMEEARQKARNARYKAGGKSIVLDANATSQLRNQGLASTNDSPKFQHKVHSSVVKAIYTGSEFIATASGDEDFGLVLESTSFYAEQGGQIYDTGSIEGPSGSFTVNNVQVFAGYVLHIGSFLEGPDSKALSVGDEVKCKVDYTRRTLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIEYIVNQQIKDELVVSAQEIKLADAKRINGLRAVFGEIYPDPVRVVSIGRKVEDLLANPESKEWLSISTELCGGTHISNTRDAAAFALISEEGIAKGVRRITAVTAECASQAMKLASSIDTDINEASKLDGATLEKKIGSIKNTLDAAAIPAARKADLRGNISKLEDQLRKAKKKMGEENIQKAVKTAIDAAEAALSEGKPFCVTHVDVGVDTTAVREAVVKAMNRFKSLPIMVFSTDEASNKAVIYAGVPPDAPNGFKVLDWLTPSIAPLKGKGGGGKNGLAQGQGSDASRVKEAMELATQIASMKLS >SECCE5Rv1G0306300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:90628626:90630005:1 gene:SECCE5Rv1G0306300 transcript:SECCE5Rv1G0306300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPKRSASAGLPEDSLVEILARVPARSVYRSKCVAKAWRDLIEDPLHRKKLPQTLQGFFFIDKETHRQRVRFINLLTSRSVPLQIDRNLSFLKESPGIGTLDFSDSCNGLLLFEHKEKPWPYNLLGYVVCNPATKQWVEVPRDGPPLPLYLRQPKRFNYLVFDPSVSSHFHLVQFTWEFVRLVKFGEEFVVSHGDSDEDDEENGSDGYCDDYWGRRHQQRYNYNEDEDEDEGEDEDEDEDEELSRTSVHVYSSETGKWTHTQSDWSQIQSDRNKHDLEGWRLQGLIPESFCCAVLNSMLHFIISDEGQIAAVDMQGMTRKIIPVPTMPERLHWLEPGYVAQSQGRLHYISQAVDGQLSIWVLEGYDTQEWVLKHSVSFTELFREKRCTGDKKVYSVVAMHPDGNVVFIVQDWNQKLISYHMDHKLVSIMGTLKNDASDLHVVPYVPCFSESPALPNKH >SECCE2Rv1G0066090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:11902707:11906182:-1 gene:SECCE2Rv1G0066090 transcript:SECCE2Rv1G0066090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGARASDSVSESRWSKLAMTLPVRNVQALAASTGELTAKAIERYIQPDIDAFAVLDEHSDEVPVIDVGKLSSPESVEAEAAELKFACAEWGFFQVVNHGIPDEVIMGMKHDIQKFFQLPLDVKNAYAQRQGDLQGYGQAFVVSGDQKLEWADMFALFSQPPEARDMSYWPCEPHTFRNFIEKYSSEVMKLAHSLGVFVAKTLDINPELVEDKHVAQFLRMGYYPPCTPMPEKVLGFSPHSDLSFLTILLEVNSVQGLQIRRHGAWIPVKPCRDALLVNVGDLLEIMTNGRYKSIEHRVTINAHNERLTVSAFHLPNYDGIISPILETREEKLLYRTVKVEEYARLFFTNKLEGKRALDHAKLSQ >SECCE4Rv1G0284920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841258775:841259476:1 gene:SECCE4Rv1G0284920 transcript:SECCE4Rv1G0284920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPHISETQVEQELQSLEASISSSNSISTMCDGLRSLANIYDGLEEIISLPSHQACSSQQRKMLDVEMGCSVELLDLCSAMQEAFAEMVVIIQELQGALRKGDDAAAQAKIQSFTRLAKKARKHFKKTTKKAASDKMVMLLTNAREICISLLESTLHLLLKQIEMPKQSLVCKEFHKKKAVVCEEGQLPELECSIGDLQNGAGNLFRKLVQNRVSLLNILSS >SECCE3Rv1G0170190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:249916221:249922211:1 gene:SECCE3Rv1G0170190 transcript:SECCE3Rv1G0170190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNNPFQKSLPGTRTRSLARLAPLPLVRSTQIHKPATCNSFSRQQTRSPAGAARRSGAGMDAGDLSIVHHIGLVLVALWAAASFGWCHSVVFLIAFLYLYMVNSRCAMRLRKRIQHAEMKSAYQRRLLSDGESVRWLNHAVKKMWPICMEKIVSQLLRPIIPWFLDKFKPWTVSKASVQELYMGRDSPIFTSMRVLPETSDDDHLVLELGMNFLSAEDMSVVLAMQLHKSVGLGITANMHLTRMHVEGKVLLGVKFVRSWPFLGRLRLCFVEPPYFQMTVKPLVGHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMLVINMEKFSSTPSENDWFNIEERPPVAYVKLEILEGLDMKPADINGLSDPYVRGRIGPFKFQTQIQRKTLSPKWFEEFKIPITSWEASNELVMEVRDKDHMFDDSLGECTVDVNELRGGQRHDKWISLKNVKKGRIHLALTIEDVSEETEATSLEESPAKTDTKLPLPTSVDRKSDAAKLLEERKVIMDEVEHIDYDGQEQPGGVYVHRPGTGVPQTWESRKGRARNPDTEILQEVDISKEAPPTPTQKIGRGGMFNMGSFFRRNSKKGTPRDLIDPSIPTSPGPQSATELDPNLPRTPRQGSPDLDPKLPRTPRPNLKELGEKRTSVKIVVSDDASKRGDAESLTEDMAKVVEKNAGEPGRSLTSTLSRKISMKRRDDKTPDVPEQADAYGRELVVNEGPVTIEGKPMDVHPTTEDGNVQDVAVEAENTTTRT >SECCE1Rv1G0015220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:121854920:121858527:-1 gene:SECCE1Rv1G0015220 transcript:SECCE1Rv1G0015220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWQADDLLVKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFATYSKTIAVVMTVAKAATAVVSCITALMLVHIIPDLLSVKLRERYLKDKAEELDKEMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVELGRTLGLAECALWMPSRSGTALHLSHTIHNSASIGLVVPINLPVVSKVFNSNRAESIPHTSPLASIKSDTSRYVPPEVVAVRVPLLHLTNFQINDWPELSAKSFAVMVLMLPPDSARKWRTHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDAARREAEMAICARNDFLAVMNHEMRTPMKAIVSLSSLLLETTLTAEQRLMIETILKSSDFLATLTNDVLDVSKLGNGSLELDIAPFNLHAAFTDVVNLIKPVAACKRLSVMVSLAPELPACAIGDRKRLMQIMLNVAGNSIKFTKEGHISIAASIARPDSLRDPYASNLNPVPSDGSFYLVVQVKDTGCGIKPEDMAHTFRKFAHGENATTKLHNGNGLGLALSRRFVGLMQGDIWLESEGVGKGCAATFFVKLGTPKKPNANANPRRMMAPLQPSKGAGGPGADALSISIRDGDSRNPRARYQSNA >SECCE3Rv1G0187740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:704584083:704588168:1 gene:SECCE3Rv1G0187740 transcript:SECCE3Rv1G0187740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPEAARPMSGHGKQQPLLRYPALAAGNGGGRGSGMGRRRYLAFLAIAAALVASYHLLHAPTPSSRYHALFLTLGSNASAAAHLRALTLRPHVAGTQANALAAEYVRATLSSLSFPTRVTPYSVLLSYPVHRSLSLSAGPGRPNNVFPLVQETYPGDPYAEAAAEVVPTYFAYSGSGSVTAEVVYANYGDRKDYAYLASRGVDVAGKVVLARYGDIHCEDMVRNARAAGAAAAIIYTDAKDFGGPAGKGKRKWFPNTRWLPPSGVQVGTLYYGNGDPTTPMWPSCAAGEDCERLSMEEVEGSEAMPGIPALPVSGRDGETIQKAMGGGVAPPEWQGGEGAPVYRIGPGPAVLNLTYIGNNTLATIENVFAVIEGKEEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAERLSQLEKKGWRPRRTIIVCSWDAEEFALIGSTEWAEDNIDMLASRAVAYLNVDISVFGPGGLMPRATPQLDELIKEASRMVPDPDDPSHTLYDYMIRRNPPIARVAGAGTDFAAFVQHIGVPSLDMSYGLFSEYPVYHSLYDDFVWMERFGDPLFHRHVALASVWGLIALRLADDEIIPFNYVSYASELEESSKVVEDGCPGCAVSFSPLHKSIKQLEKAAMKIHMEKKVLQAEKWGLSTRERTLKVREMNDRLMMAERAFTNREGLAGRPWYKHMIYASSDQDDWGTKAFPGIVSAIANAQKLNTSESWRLLQHEIYRGARAVSKASAVLDGRLT >SECCE7Rv1G0499760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:656783150:656785599:1 gene:SECCE7Rv1G0499760 transcript:SECCE7Rv1G0499760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDQSAGCVSAATKGSKLRYPLRSAGRGKLDPAAAGEAPPSGPASRRAKPSSDVTKSCVLDLSAVKDKSAKPPRRHSIPTKPGVSPRPAPTGTITPVSGVRSRRSDSLGRLGTPTSEASMSTARRKFSTLSSVSYWMTQIRLAEAASKHSISLGFFKLALESECEPLDRMREELKTYVARHGLATELEEPVKEILQVYDIVEDFEKLKISLDSSQEPKKSDKAALGAANVTPKGNLKPRSLNSVATQSKDGKKENIQKEKPDAKIRGSYNRNPAKNAPAKEGAKNTAKKTKKQAKEQQDDCNGGSEVSPVDADQESVDVVKEITYEDKENMGDAEMAIDAGNAVAQEV >SECCE5Rv1G0334470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565969598:565970674:-1 gene:SECCE5Rv1G0334470 transcript:SECCE5Rv1G0334470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLVRAKVPIGVLGLPFLSGVSAGGDAEDLRFDLSTAFPSGPGLRLSYRPNDPLQPFALSIRTGLGALGSPIRAPLALSAEFNLLSSNPPAFSLLFKPRLGDFSLANSVRSPPVPDTPALPLPHKLSDLTNGDDHDHEGHKEFSLNGNGFAANVTAAGNTGGGVGMLLSGMRLTTRSMLPLWNRASLRFQWGLRVPPELKAALADDGYGRKAGSLAVSKLPLLVMNKITIEHTPRRHPMSEEDKKGKSVPVAQGEEFSLLKRQLEALNDESIMLRHTVEGLRAEIGVSRAISVPSKVDARRMPALSPPLQNPFPVKPDLHGNGKELTAGGPNDASEELKKALEARRK >SECCE2Rv1G0076010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:87419449:87428798:-1 gene:SECCE2Rv1G0076010 transcript:SECCE2Rv1G0076010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKGFLAKSDNAGTKKSPLQIQMLESFYSEVQYPKPEDVTEYAASVCLTYNQVRIWFKERRRKERRHMEAAEVHMETQASARSNWPRCSSSRSSNSSQSPTQDTTGHHSYQDQSVLKKRKIMSPTAQRSTLPFENNDPVRKHGKGKGLMTVWHAMYSQTAEIQDCSSFIDESGCLRSLRPFEDCGGKLAQRQTLPRKKVNKKSGPPPSKRKVPCGRVTDLTEHPPVECHLSVDESESSELRTEHATLVDDEELELSELQAGPNPLRCSAHLSSTGRHGCPLCKDLLARFPPPSVRVKQPFPTNPWESSPEMVKKLFQVVRFVYTHFGSMDVHPFTFDEFAQAFHDKDSSLLGKVHVSLLKLLMLNTERGSGSVFVPRSSKDSRFLSFLNFVTEQEFDVNFWIKSLNSLTWVEILRQVLVASGFGSDHHMLNRNFFNKEKNQMVKYGLRPRTLKGELFALLSKKGSGGLKVAELAKSPQIVGLNLSGASEVEQLIFSTLSSDITLFEKIAPSAYRLRVDPRIKGKEDPRSDTEDSGTVDDDGDASSSGDESDGPQESYPEHESRIIRWRQKNVHKNMNKCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALIDVVSGAGSVPRLEEPQSVLSNIQRAQSHASGGKIKKCTRTIYQSSDEYLNRPGSSHSFDSSMQGQSASLGSQDYIADSGANESPTGFAHQPQIVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQDLLSLLSVLDIRGTREAHLLASMKKRQSCLFEGMKKHLEDGCVVALTASSDSSRSETSSGNRYSPMPCSGDGASPLSDIDSASVPTYLAGNLQNASSAIGIEVGRSDEKMSKWERLQALDKWIWTSFYSSLTAVKCGKRSFKESLVHCESCHDLYWRDEKHCRICHSTFEVGFDLEERHAIHVATCREPEDLYDVPNHKVLPSHLQALKAAIHAIEAHMPTTAFAGLWMKSSHNLWVKRLRRTSSLPELLQVLVDFVGAIDEDWLYQSSSAVSFSSYLDDITVYFQTMPQTTSAVALWVVKLDALIAPHLAQADSGRGLGKGSIQTRAQACLR >SECCE1Rv1G0007980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:43362479:43363239:1 gene:SECCE1Rv1G0007980 transcript:SECCE1Rv1G0007980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKYFLLALLALSASRAIASDPGQLQDFCVADRTSQVFVNGFACKDPKTAVVEDFYFSGLHMAGNTSNKQGSIVTAVNVAQIAGLNTLGVSLARVDYAPYGQNPPHLHPRATEILTVLEGSLYVGFVTSNPDNKLFSKVLSKGDVFVFPQGLIHFQFNIGNNEAIAIAALSSKNPGVITIANAVFGSKQSISDDILAKAFQVDKNIVDHIQAQF >SECCE1Rv1G0032120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:450996886:451003942:-1 gene:SECCE1Rv1G0032120 transcript:SECCE1Rv1G0032120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQCVPSWDLDETVGAGLNPVSAGSAQRMASGDSGLTEPLAMPMPDQYDEVAELTWEKGNIFWQGLLNRSVPKYPAAPAPAQMHAIGGTGDHRETLEAVVGEAAARLSSQSTSHLGQPLPTAAAPWLRVGAPADGLVPCARGDDPAEGDVRRKRARVVGEDGRVCASQGSAAPGRGESSLLTLEPCGTGADDLCGFTTTNNSTSLDHGSPETENTSFGGGASDSRCFSRRSQRDGLCDEAENVVIKGEAPMRSSISTKRSRAAAIHNESERKRRDRINQKMQTLQKLVPNSSKTDKASMLDEVIDHLKQLQATVQMMNRMGSMMMPMAMPQLAQMSVMANMAQMAQMAQMGLGMMNMAAGPLAQPAYPGLTQPMMHPSTPFVPMQPWNAAAAADRQKQPAAAAAAAVPDAYSAFLACQAVQQNAQQAQPNGMEAYSRMMAMYQKLSQQHTQPGSSKE >SECCE2Rv1G0122120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:813274712:813275803:-1 gene:SECCE2Rv1G0122120 transcript:SECCE2Rv1G0122120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALARRAVPPFLRLRSTAGDDGHWIGRLDHKDWLAPNEVLKIFASIRDAALITSVFRKACARRDYKPSEALYSLMIDRLAGARRFGDVEELLARARAERFRFSDDFFYRLIKMYGNVANHPEKAMETLYAMPDYSCWPSTKTFNYVLHMLVCRRQYEVVHEVYASAPRLGVELDTCCFNILIKGLCQFGRFNEALSLLDEMPKQECRPNATTYSTLMHFLCRNCRADEAFELFERMRKEEIDADTVVYNILISGLCREGRVTSAYDLFKSMSSEGCHPNSGTYQVLLDGLVASKKFVEAKDLVGMMSAEGLRPSFSSYKLLIDGLCSVNCLDDAHHVLKQMVDQGFVPRMGTWTKLLTSLC >SECCE6Rv1G0389890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:165638248:165639519:1 gene:SECCE6Rv1G0389890 transcript:SECCE6Rv1G0389890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PUB24 [Source:Projected from Arabidopsis thaliana (AT3G11840) UniProtKB/Swiss-Prot;Acc:Q9SF15] METSGGGTPQHHFLCPISLQPMQDPVTAPTGISYDRRAIERWLANGHATCPVTGRPLSLTDLTPNHTLRRLILSWHPASKVAPDVAPTLEPDDPEVAVLVAKVMSPTSCPTADVLCEAAASAAVNITARRCMVRTGVHWRVLRLISSCGKTSLRAVIPTVEACLVLLDALNVSADELRPLISGNHDLVDALTHVLVTLENGTYSGGGGNTTRDGAVRLLDSVVASADVGLLERLRPELFRAVTAVVRDRTVSPGGTRAALRALLNACPRGNGKNRVLIAEAGAAHEAIELELSSWPSRPSGKSRRVTELVIALLARLCACAEGRAAVVAHPAGIALVAKRALRVSAATDTSAVRVLAAVCGRAASPEVVREMARVGAVGKLCCVLQADCDRDVKETARTVLRMHSGVWCGSPCVSAYLLSRYL >SECCE6Rv1G0421320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683292238:683293311:-1 gene:SECCE6Rv1G0421320 transcript:SECCE6Rv1G0421320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGHKVALNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYFFGGGIQAAPAGATQYGRPVRVVDLGVTHLPREVFEDYLRDIAPRYTAATYSLLTHNCNNFSNEVAQFLVGAGIPDYILNLPNEVMSSPMGAMIMPMIQNLEATLRNNAAPQTTQFVPTPASVSVPQPAAASKTPAAPTSSTTAAAPSAAPAGSSSKQEEKKVEKQTPEKAAAAAAAPTVADPLGSARGKVQEEVMREFAALMASGTLRASEAAALAMRRVMERHGDGASMQA >SECCE2Rv1G0110380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:689327950:689332307:1 gene:SECCE2Rv1G0110380 transcript:SECCE2Rv1G0110380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYAALLHQPPASPSPCTSGRAGSCRVRPLPLLQRRATPLTASARISPRCSYAAGGGSAGAGAGEQPAAALRRVLETPGAHQAPACYDALSARLVERAGFRACFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAVSIPVIGDADNGYGNCMNVKRTVKGFINAGFAGMILEDQVSPKACGHTQGRKVVSREEAIMRIKAAVDARKESGSDIVIVARTDSRQALSLDEALWRARAFADAGADVLFIDALASREEMVAFCAVSPGVPKMANMLEGGGKTPILSPLELEEIGYKIIAYPLSLIGVSMRAMEDALVAIKGGRIPPPSSLPSFEEIKDTLGFNHYYEEEKLYVDSPAQPSFGSGNYDYTSEASSSGDTKPRTEKSQEPIIDILPQLYDPGSAGGRGPSSGMWSRTLRLKITGRDGVQKIDARIPAGFLEGMTKVIPGLAGTNIMERLRNAPMDSDNPQNGQILLDFEDGMGDRIQVFIA >SECCE1Rv1G0042530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:581296480:581299615:-1 gene:SECCE1Rv1G0042530 transcript:SECCE1Rv1G0042530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLASSRRLLQAALAPGRAHSSLSAAAVAAAPENGAGAPKMPPFAYTPPPYDGPRVAEIAAKRAEFLSPSLFHFYDRPLNIVDGKMQYLFDENGRRYLDAFGGIATVCCGHCHPDVIEAIVNQAKKIQHSTVLYLNHAIADFAEALAAKMPGDLKVVFFTNSGTEANELALMMARLYTGSNDIISLRNGYHGNAAATMGATAQCNWKFNVVQTGVHHALNPDPYRGAFGSDGEKYARDIQETIDYGTTGRVAGFISEAIQGVGGIVELAPGYLPAAYDMVRKAGGLCIADEVQAGVARTGSHFWGFEGHGVTPDIVTMAKGIGNGIPIGAVVTTPEIAKVLTRRSYFNTFGGNPVSTAAGHAVLKVLEKDKLQENAFVVGSYLKEQLNALKEKHDIIGDVRGKGLLLGVELVTDRQKKTPAKAEIAQVMSHMKDMGVLVGKGGFFGNVFRVTPPLCFSKEDSDFMIEVMDIALSKL >SECCE7Rv1G0498530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:641146944:641150755:1 gene:SECCE7Rv1G0498530 transcript:SECCE7Rv1G0498530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIAASAFFPGSPAPAPPPKGALGERPDSLDVRSMAAKQASSSSAVRAGRTRAHAAVPKVNGSGGKSALADAEHDAVPSAAQPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLVDTLGFGTIIHDGVMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKVVGLLGDGFGSTPEMSKRNLFWVVSQMQAIVERYPCWGDTVEVNTWVGSHGKNGMRRDWHIRDSVTGHTVLKATSKWVMMNKLTRRLARIPDEVRTEIEPFFSEYAAIEDQDHLKLPKLPEHDRATSAKYVRTGLTPRWADLDINQHVNNVKYIGWILESAPISILENHELASIVLDYKRECGRNSVLQSHTTVHTDCADDESGETTLHCEHLLSLESGPTIVKARTMWRPKGTKSQETAALSW >SECCE7Rv1G0502490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:696624723:696627809:1 gene:SECCE7Rv1G0502490 transcript:SECCE7Rv1G0502490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAGTAAAAEPTRRLLLPLAVSFLLCALAAGTKPPPPPSSYIVYLGAHSHRRGVSTEEASTLATESHYDLLGSVLGDREKARGAIFYSYTKNINGFAAKLEPAVAAAIAKRPGVVSVFPNRGMRMQTTRSWEFMGLERAGVVPQWSAWEAARYGGDAIIGNLDSGVWPESLSFSEGEMGPIPDTWKGICQNEHDPKFKCNSKLIGARYFNKGYAMEAGSPPPDRLNTPRDDVGHGSHTLATAGGSQVNGAAAFGYGNGTARGGSPRARVAAYRVCFNPPVNDVECFDADILAAFEAAIADGVHVITASVGGEQKDFFEDSVAIGSLHATKAGITVVCSATNNGPDFGTVSNLAPWVVTVAASTTDRAFPGYLIFNRTRVEGQSLSETSLRGKSFYPMIIATDAVAPGRTVEDAQVCMLDSLDAAKVTGKIVVCVTGRIRRTEKGEAVRRAGGVGMVLVNDEEGGSTVVADAHVLPALHINYTDGLALLAYIKATPSPPSGFITKAMTTVGRRPAPVMAAFSSVGPNVLNPEILKPDVTAPGVGIIAPWSGMASPSNRPWDQRRVDFTIQSGTSMSCPHVAGIAGLVKTLHPDWSPAAIKSAIMTTATDLDMEQRPILNPSLQPATPFSYGSGHVFPARALDPGLVYDACYGDYLNFFCALGYNTTAMGKFNETHYACPAAPVAVRDLNYPSITLPDLAGPTTVRRRVRNVGTPRSTYTAAVVREPEGVQVTVTPCTLAFGAVGEEKEFQVSFVARVPYVPAPKGAGGYGFGAIVWSDGPGNHRVRTPLVIRRRKM >SECCE4Rv1G0229260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:146115161:146116191:1 gene:SECCE4Rv1G0229260 transcript:SECCE4Rv1G0229260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNPLSQEHPNAWPWGVAMYTNLHYQQYHYEKEHLFEKALTPSDVGKLNRLVIPKQHAERCFPLGGDSGEKGLLLSFDDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKHLEAGDVVHFERVRGLGTGDRLFIGCRRRGDVSAPTAVAPPPAVRVVPASGQSPREQHQHQQPWSPMCYSTSSSYPTSPATSHAYRHSAEHDHSDMHHAAGESQWDADTRSCSPASAPTRRLRLFGVNLDCGPEPEAEAAPATPTMYGYMHQSPYAAVSPAPSNWGSS >SECCE5Rv1G0357510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:747438030:747444223:-1 gene:SECCE5Rv1G0357510 transcript:SECCE5Rv1G0357510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRADPARGRLAVLSSHLLGAGADPAAALERSPALAAPPGTRAGVLAVVDTRTGKRYEVKVSEDGTVRATDFKKITAGKDDKGLKTYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEEVAESSSFVEVAYLLMYGNLPTQSQLAGWEFAISQHSAVPQGLLDIIQSMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQVRDKQIVRVLGKAPAIAAAAYLRLAGRPAVVPSNNLSYSENFLYMLDSLGNKEYKPNPRLARVLDILFILHAEHEMNCSTAAVRHLASSGVDVFTALSGAVGALYGPLHGGANEAVLKMLNEIGAVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAVALEKAALSDEYFIKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDNKIMRPQQVYTGVWLRHYTPVRERVASNQGEELGQMATSNATRRRRAGSSL >SECCE7Rv1G0513470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:822173121:822183309:-1 gene:SECCE7Rv1G0513470 transcript:SECCE7Rv1G0513470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGSAVYQVVEAMAPLYTAAALGYASVRWLKAFSEDQCAGINRFVASYAMPALIFDMVSTNDPYAMNGRLVGADTLQKGVMLLVLVAWAAWSSRRRRETSAGNGKVAASPLQWVVTAFSMAQLPSTIIMGVPILGALYGPTSKDLMKQIVVMQLCVWYNVVIFMYEYMAATDGSTRVGPAPASPENVESTEVAAAQPGMAVASQTTLPAVVGEVSPTHGADVEDAVAEDVALPAPPSMSHVAFVTGKKVLKIPNTFATFLGLVWALIAFKCKIEMPKIIADSLFTIQTTTVGLSMFSSGMFMAQQPRFIPCGYVIASVSMILKFLIGPMAMFLVSFAVGMHGTLLHIAVLQAALPLTVISFVYAKEYKVHADIMSTGVILGIFTSFPVTIVYYILLEL >SECCE6Rv1G0426770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:715854271:715855548:1 gene:SECCE6Rv1G0426770 transcript:SECCE6Rv1G0426770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRSSAAALAALMALAVMLGASADDGGQPIVARVSKDASTSLYTVAIKVGGVPLLLDLAGPMLWLANCPTPHRIIPCVSPACDEVSNTYRPPGCPKPRPRADGQCACPAYPRNPVDGRCRSDDATTITLAANTTDGQNPLSPVTFRAVGSCAPAELLESLPAGAAGVAGFSRLPLSLPTQFASLLKVANEFALCLPSGGDGVAVFGGGPFQLLAAPPVELAERLRENPLPLLKHPYNGGYYFRITGIAVNQQSVATPPGVFDLHASSGTGGAVFSTVAPYTALRWDIYWPLRNAFDAATSGIARADKVAPFDLCYQASALTVTRVGYAVANIDLTLDSGRNWTLPGASSLVQVNDNTVCFAFVQMESSMPAAIDSPAVILGGHQMENNLLMFDLVKETFAFSGLLLGIRTTCSNFNFTMGSSY >SECCE2Rv1G0124630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833352058:833355534:-1 gene:SECCE2Rv1G0124630 transcript:SECCE2Rv1G0124630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPGKDHEDVLKDVEFREQPARVDLGRLMEIANTEKGASQMQCFVKHWEYKRANTARLLNEELARLSEQRKEVEQNKQQMLEDDKRYNALKCSSQESSDPSHDQEPEDDAEYDTVSYWKRRALRSEKAHEASLQRERSLGEKLEEYIKKFQPETPIEELSGMLKRADYFLHLILQSAPIVIAHQDAELRYRYIFNHFPTLADEDVIGKTDHEILSGESIDEMNKVKREVMANGIPTKREFVFDTPLFGPKTFVVYIEPVFTKSGETIGVNYVAMDITDQVKIREKMTDIRVREAVQKAKETELSRSLHITEGTMQAKQTLATMSREIRSPLSEVLRRAEILAATKLDQEQHQLLEVILSSGNAVIQSIDDILGQSKVEPGVMKLQPVIFRPREVVRHVLQTASSFMKRELTHEACIGDDVPSEVIGDALKIQQILTNLISNAVKFTEEGRVGIKLNIVDKQQLEYEIQHIRPHFANPINTVTEYHAAWPSNCDKDTLRCSNHEDAHHNGIPSNENSTGEAVWLHFDVYDTGIGIPEKSLPSLFEGYMHANDAHTTKYGGTGLGLAVCKQLVDLMDGTLNVVSYENEGSTFTVMLPCTIPAREAQ >SECCE4Rv1G0266600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:732636250:732637127:-1 gene:SECCE4Rv1G0266600 transcript:SECCE4Rv1G0266600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLRVTTSTSSERWSSMDAKRRPRGVLDLEAQFAFFRSQHRHPVNAAAHALLAGPILFTNLLILHFLPLPSPLDPALALALAYAASYLAVDRRAGALAALLFLGAWAASRALAARLGFALSWKLVLATQLFCWTWQLLGHGLFEKRGPTVRELPEVFLMEPFLILLQILNKLFGYEPYPGFSKNVDKKMEADLSLRKSRELKQSKMN >SECCE4Rv1G0264600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:721396466:721397680:-1 gene:SECCE4Rv1G0264600 transcript:SECCE4Rv1G0264600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELKEEIYMDQPDGFEVPGQEGKVCKLLKSLYGLKQAPKEWHEKFERTLTAAGFIVNDGDKCVYYRSGGGEGVILCLYVDDILIFGTKLDLIKEVKDFLSRCFEMKDLGVADVILNIKLLRDENGGITLLQSHYVEKVLSHFGYSECTPSPTPYDASVLLRKNRRIARDQLRYSQIIGSLMYLASATRPDISFVVSKLSRFVSKQGDDHWHALERVMRYLKGTASYGIHYTGYPRVLEGYSDSNWISYADEIKATSGYVFTLGGGAVSWKHVKRRLKSVRKLRNSGVITLDYIQTSKNLADPFTKGLSRNVIDNASMEMGLRPTA >SECCE4Rv1G0295070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:895795441:895796925:1 gene:SECCE4Rv1G0295070 transcript:SECCE4Rv1G0295070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAADALLPLDASMFAAESRAVVDFLEVYYRDIESYPVRPDIEPVRLRALLPDAPPEDGEPVDVILEDVRRHIVPGLTHWQSPNFFAYYPANASTAGFAGEMLSTGLNVVPFTWAASPAATELEGVVVDWMARLVGLPERFLFSGGGGGVLHGSTCAAVVCTLAAARDRALSSLGHEAILRLVVYASDQSHYTFQKGARIVGIQPANFRVIPTSAGSAYGLTADSVRDTVKADVASGLVPLYLCATVGTTGLGAVDPVRDLGEVARRYGMWLHVDAAYAGSALICPEFKDYIDGAELADSMSMNPHKWFLTNMNCCCLWVVSPALLTSALSSNPEYLNNVGREGAAEVVDYKDWQIALSRPFRAMKLWVVLRRYGAAGMRAYIRRHVDMAKWFERMLDADERFEVVAPRRFSLVTFRLRPRQEGHDDMEMEALNRKLLVAINASGRAFMTHFVVDNKFVIRMAVGAAMTEMRHVQDAWELVQDKAKEVLATP >SECCE1Rv1G0050180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644968034:644968685:1 gene:SECCE1Rv1G0050180 transcript:SECCE1Rv1G0050180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAKCVLVFSLSAVLLSVLGTGASTMGLPPSQSPVNFSIGVQGMVWCRTCRYSGYNADMDASPLPGAVVYLQCRHGPRRLKRVGGVTGQGGYFIIQSSQMASFTSKECKVYVESSSSAVCSLADQPPAGKGLPLKFESFVNLGDGLQALYSVGNFMFRPTDPNKCY >SECCE1Rv1G0040820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:566395042:566395482:1 gene:SECCE1Rv1G0040820 transcript:SECCE1Rv1G0040820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKAEKAPKGEKKPKAEKRLPAAKEGGGSDKKAKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE3Rv1G0213020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:957786655:957790000:-1 gene:SECCE3Rv1G0213020 transcript:SECCE3Rv1G0213020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEAALVSVATGVLKPVLEKLATLLGNEYKGFKGVRKEIKSLSHELAAMEAFLLKMSDVEDPDVQDKVWMNEVRELSYDMEDAIDDFMQSIGDKDERPDGFIEKIKSSLGKLGKMKARRRIGREIQDLKKQILELGERNERYKSREAFSKTINATVDPRALAIFEHASKLVGIDEPRAELIKLLMDDNGCATTQHQPKIVSITGPGGMGKTTLANQVYQKIKGQFECCAFLSVSRSPDMMNILRTILSEVSGQRYSNTEEGSVQILITKINDFLLDKRYFVVVDDIWDMDTWDIIKCAFPATSSTSRIITTTRIKNVAHSCLSSFNGLIYNISALGMVHSRQLFHRRIFKSDQDCPSNLQEISEQILKKCHGLPLAIIAISGLLANTERTEDVWNQVKDSIGRALERNPSVEGMMKILSLSYFDLPPYLKTCLLYLSMYLEDSIIVKEHLIRRWISEGFIYKEGRYTAYELGERCFNELLNRGLIQPGLTNADGIVECCRVHDTILDFIIFKSIEESFVTLLGVPILTIVKKSKIVRRLCVQGVEEGNSALLIADLVFSHVRSLTMVRGMLEIPSLEEFRHLRVLDLNYCSKLEDHHLENIVRLFQLRYLNLKGTKISKLPKQIGRLECLEVLDLSWTSVKELPASIVNLKKLMHLIVDDNVQFPEGVAKMQALETLEYVNASIQPLDFLCGLGQLMNLRNLWLILDFEFYSDTEDTNMVEEEHNKAIVSSLCILGTQNLRSLTIKIGRDESLCLPALEDLYIEVFPYAPHVPTWVGSLRNLQRLRIEVKGVKHDYLCILGALPSLLFLHLEDETESNEKLRISGEVGFRFLKIFIYKVFYEPVDLMFGTGSMPKLEKLELYRFRLVEANSLGFGIENLPCLTSVKCMYVQGDDGIVEAVKTAMERAASTHPNHPCVKLCIVRVGQTR >SECCEUnv1G0537350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68662696:68664831:1 gene:SECCEUnv1G0537350 transcript:SECCEUnv1G0537350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLLLFPLSFLFVHYWFTGKTGKTQQQEECLPLPPSPPALPIIGHLHLIGALPHVSLRGLARKHGPDVMLLRLGVVPPIYVVSSPRAAEAVLRTHDHVMASRPRSLVNDIIMYGASDVAFAPYGEYWRQARKLATTHMLSAKKVRSFRGAVMDEVSIVMAKISDAAAVGGTLDMSELLRSFAYDMACRIVSGESFLKERESKLCRDLANDTSRLLGGFNVEEYFPILTRVGVLKRAVCAKAERVRHRWANLLEELIDDHVSKGKTTFDHKGGDFLDILLSAQEEYGLTRDHIKALLTDVFFGLTNTSSNTLEFTLAELMRRPCLMKKLQDEVRSIVPLGQGTVSETDINKMMYLRAVIKESLRLYPVAPFLPPHLAMGDCNIDGYMVPAGTHILVNVWAIGRDSNSWEDAEEYIPERFIDEGSVVHVNFKGNDFQFLPFGAGRRMCPGINLGITNVELMLANLMYHFDWELPAGVERKDIDMAEVFGLTMRRKEKLLLVPKSRM >SECCE6Rv1G0423400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695993241:695994095:1 gene:SECCE6Rv1G0423400 transcript:SECCE6Rv1G0423400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGASNAPVFPVPRQQAPSSATLLFPPPTTPLAPHYYSSSSPATSSRLAHHVTLSLTSLPILVLTVLGILTTSALLLTYYVLVIRCCLTCHATSSDHDSGAPRSLVISLTRRRRSAASDYELPVVHGPEPDEPRGGLGELAIRALPAFRYSKAAKDDDATGMGDAGECAVCLGEFQEGERVRLLPGCLHVFHAECIDTWLHGCASCPLCRAAITATTGKQAPLGMDRPPRREEVVIQVQAATGEEEDTPTRQREDGTAAAKSSAHFHGECSGGSSNESHCGR >SECCE3Rv1G0194250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:778617893:778618717:1 gene:SECCE3Rv1G0194250 transcript:SECCE3Rv1G0194250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYATPQSTPPMSPLHGAATPRTPGAYSEMPPPSPRPAITLTPPPSKKKQRRTAARSLRAIRAVRALFRSLPILAPACRFHGIVPRHGGPSRMHDGHVSGASRTTGTLFGYRRARVTLAVQETPGSVPILLLELAMQTGRFMQEMGAEHLRVALECEKKPPGAGAGIGRTRLLDEPLWTAYVNGRKIGYAMRREPTEDDLTVMQLLRTVSVGAGVLPNDVMGCDTAEGQEAGDLAYMRARFDRVVGSRDSESLYMLNPDGNNGPELSIFFIRI >SECCE7Rv1G0464220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59642959:59646468:-1 gene:SECCE7Rv1G0464220 transcript:SECCE7Rv1G0464220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAGRRRRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHSSLRENPSIHIHEMKSVQFSGISKISGALALLLKAAIQFVMLIWFLCFKIPRPDVFLVQNPPSVPTLAAVKLVSWLRGSKFIVDWHNFGYTLLGLSHGRSHVIVKVYFWFEKHFGRMADGAFCVTKAMQHELAQNWGIKATVLYDHSPEFFHPASLTEKHELFCRLGSSICGAMGSADCISVEKEVEDKNTTVLTSTIDGGVSLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEEDSMDEGQLWIDIKNGKQFDYPRLLFIITGKGPDRKKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNSNGLLFSTSSELADELMMLFKGFPEECDTLKSLKDGALSTGSSSKWSTEWETNALPLVNQVIG >SECCE6Rv1G0452740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879215364:879215876:-1 gene:SECCE6Rv1G0452740 transcript:SECCE6Rv1G0452740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRMLTSTTTLMVIMCLLILSLSVSSTTANCGCCISFAAKTCCSTCILAGGSDFACKNTCCFPCILADSVKYFNLTVVAKMNEMGMESKMEGLD >SECCE2Rv1G0118790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785576318:785576527:-1 gene:SECCE2Rv1G0118790 transcript:SECCE2Rv1G0118790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVFIALVLFVLLSPGLLFQVPGKNKFLEFGNKQTSGVSVLFHAVIYFALIAIFTLAVHVHVILG >SECCE6Rv1G0444550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:829040923:829043251:1 gene:SECCE6Rv1G0444550 transcript:SECCE6Rv1G0444550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSGPRRSSTQEPDGPCDGADRISALPDDLLLDVLARLPCAGAAARTRVLSRRWSGLWARLRQIVFRDVPFPSLEAALVRIPPPPPAVSLLEIRVPPKQRRRGESAFPKPNQNQDRAGSAVVNSLLRAAALLAPEKLVFCLPSYLIGRHHLTVDLPRFDGATSIALDFPSPFSLRLPAGADFPTLKALSLTYCITNLNAWLSCCPRLRTLRLCRALYPSHKCDIRVNSPSLQELVVYREASLTQHVDIVAPALKELTLSFSTMTLASISVLAPLVEKVSWQCCYLGSYIVFGFWGINKLQLQTADRLGQLSSLQIHAYADMSFFHAEAGNFAQELEKHMVAAFSVLELHLTAKGHAFGGFVFHLLGMDRVRCVTQRLKIILRKSPMKGGCSPLCACEFPNWKPQIICLAALEEVEFNGFEGEDHEFDLLKLLLGCAPMLKRMIVKLSQETSASNDGCGKIYNIFEACSSVQCDVYDNSGLMLGCYN >SECCE1Rv1G0010310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:61507927:61510425:1 gene:SECCE1Rv1G0010310 transcript:SECCE1Rv1G0010310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILLCNSSTMPMSRIGLRRRRLSSSFSTSTSTSRSSRSWSPHAAFAAATERVRAGTLSPEDAHHLFDQLLQQTTPVHERSLGGFLAALARATPSAACRGGPSLAFALFNRLCREEAGRRVARPTGYTCAILMNCCCRVRRPDLGLALFGRLLRTGLKTDEIVASTLLKCLCCAKRTDEAANVLLHKMSALGCVPDEFSISYNIVLKSFCEDSRSQRALDLLRMMAKEGGGCSPCVVSYSTVIYGFFKEGKVGKACNLFHEMTQQGVEPNVVTYNSIIDALCKARAMDKADLFLRQMVHRGVQPNTVTYTSIIHGYSALGRWKETTQMFREMTSRGLVPNIFTWNTFMDSLCKHGRSKEAAELFYSMAAKGHKPDIVSYSILLHGYATEGCFADMVDFFNSMIGNGIVPNCHVFNILINAYAKRGMIDEAMSILTEMRGQGVSPDVCTYATVIAALCRMGRMTDAMDNFSQMIGKGVQPNRVVYHSLIQGFCTHADLGKAKELVSEMMNKCIPRPNIIFFNSVMHSLCNEGRVMDAQRIFDLVIDIGERPDVITFNTLIDGYCLVSKMEKALGVLDSMVSAGIEPTVVTYSSLISGYCKGGRLDDGITLFREMEHKRVKPNTVTYNIILDGLFHAGGTIAATKLFNEMIESGTAVSISTYRIVLGGLCRNNCTDEAIVLFQKLGAMNVKFNIAILNTMINAMYKVKRREEANNLFASLPAYGLVPNVSTYGVMVSNLLIEGSLEEAENMYSSMEKSGCVPSSRLINDIIRALLEKGEIVKAANYMSKIDGKIISFEASTTSLMLSLFSEKGKYREQLQLLPVKYHFFGGVI >SECCE1Rv1G0023470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:305104991:305110465:1 gene:SECCE1Rv1G0023470 transcript:SECCE1Rv1G0023470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSDYYVVKKGDMVAVYKTLNDCQAQICSSVSGPATSAYKGYCWSKEKAEYLSSRGLINASYTISAAELREDLLGALVPCTFQEITATSSNQRAPNLSAIGSDIRYQPGIHNDIKYEPGTQPVDLNYSAAGSGQAQGYSDQEHAFSGLEAKPRSSSYSSPNNLNHTGAFDAQPVSKQYMVCVVHFDGASKGNPGKSGAGAVLMTEDGRVISRVREGLGVATNNVAEYRGLILGLKYAIRLGFKRIKVYGDSQLVCYQVKGTWQAKKENMMELCKEVRKLQENFISFEVHHVRREWNSEADRQANIAITLTSGAVSEERGDGF >SECCE2Rv1G0138190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920870731:920872330:-1 gene:SECCE2Rv1G0138190 transcript:SECCE2Rv1G0138190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVFIVGVGPAGLATAACLSQFAIPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKNLFVKYLDDYVERFNIQPQYLTSVESSTYDNHEKCWSIVANDMSKCTTVKFTAKFLVVASGENSAENIPMIPGLENFLGDAIHSSSYKSGKSYSGKNVLVVGSGNSGMEIAYDLATHGANTSIVIRSPIHVMTKELIRLGMSLAQYLPLNLVDKLLLMAADLIFGDLSRHGITRPKMGPMTLKSETGRSAVIDVGTVGLIEKGIIKVERSISKIKGNIVEFQCSKNISFDAIVFATGYKSTTNIWLKNGESMLNGNGLPIRKYPNHWKGENRLYCAGLARRGLAGIAADAKNIANDIKSVIYSMSS >SECCE7Rv1G0526680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:896265420:896266987:-1 gene:SECCE7Rv1G0526680 transcript:SECCE7Rv1G0526680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGITLETLPEELPLDFLKEITKNFSNELGSGAFGSVYKGILAGGGVVAVKKLAENSPVPRDEIFKKEVQNIMVLEHENIVKFLAYCREGQNRLVQSNGRDIIAEITETLLCYEYVPNGSLEQNLFGGSTDIDWDTRFKIIKGVCEGIRYLHELPSPVLHLGLKPQNIFLDGNMAPKIAEFGFSRIFGENQTRMNTRSVVGSVGYMAPEYLYNGEISARSDIYSLGLIIMEISTREKNSSSTNQKHARKYIDAVKENWSQEKIMSEYPELEDADFSQIEACIKIALQCVEIDQKKRPSIQEIISNFQ >SECCE7Rv1G0457800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18724068:18728140:1 gene:SECCE7Rv1G0457800 transcript:SECCE7Rv1G0457800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEARGDLRSILPQLPVVLRGGALFWPAAAQEQLRALSLGPDVSRVTSGDVLADALSDLRQALALRALPARAAEGYALFFDDLLSRAHARDWFSDVLPRLARLLLRLPALLEGHYAAARAATGLRLLGSQDAGFVLLGQELATALLACALFCLFPTAARGEARLPAINFDALFAALTNNARQSQEHKVRCIAHYFERVTASMPDGFVSFERKVLPRISLSGSVTYPDSDAWMKSSVPLCPFRVISSGLIEDEEQEALEVDFANKYLGGGAISRGCVQEEIRFMINPELIVGMLFMASMEDNEAIEIVGAERFSQYMGYGSSFRFVGDYLDTKPLDAMGRRKTRIVAIDALDCPTKLQYETSGLLREVNKAFVGFLDPSKHQFSVKPFQDSNTKDNSPSVSSDGCIGVSTGNWGCGAFGGNPEIKSIIQWLAASQAHRPFVNYYTFEDASLKRLEEVIQWVLRHGWTVGELWHMIVEYSSQRLKRETFEGFLTWLLPEDRGNSDADYMCE >SECCE2Rv1G0081980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:147081696:147082878:1 gene:SECCE2Rv1G0081980 transcript:SECCE2Rv1G0081980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASVLATALAFASILITGRVQSVGVCYGMNGDRLPSPAEVVQLYKSNGITAIRLYKPDVETLQALSGSNIDVLIDVADETVARLATSVPGAQLWVRFYIQHYPGISFRYISVGNELTGAATQNIVPTIKNLNDALDAAGIKGIKVSTTVRLDVLASSSPPSSGVFKDGYMNQVVTLLGATGAPLLVNVYPYFAYIGDQKDIDLNFALLQPSSTVIRDGGLSYTNLFDAMVDAVYAALRKANVQVPVVVSESGWPSAGGVGASVANAQTYNQNLINHVGKGTPYSPQPLETYLFAMFNENLKTGAETEKHFGLFNPDKSPVYPIRF >SECCE6Rv1G0402540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:484700378:484700999:1 gene:SECCE6Rv1G0402540 transcript:SECCE6Rv1G0402540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQMDAYVLFRHAAEMMVAPRIAYDEQDEDIGSASDSECTSRSSASSSSDLADDASSSSSSSSSGDHFEMSALMTQLPIKRGLSMFFDGKSQSFASLAAVASLEDLAKPAKKRLNPSRSCGGGLDAHRGRFLSPRRHCPKAAAARKASARAALSVLGTSPRTARLAANALIFS >SECCE3Rv1G0175140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:418328669:418328902:1 gene:SECCE3Rv1G0175140 transcript:SECCE3Rv1G0175140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIQAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE5Rv1G0327100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:489443639:489445069:1 gene:SECCE5Rv1G0327100 transcript:SECCE5Rv1G0327100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPRRARVGEPAADLLTSLPPPLLDCILNRLDLPDAVRTSALARAWRRRWEALPYLCLSFVDNPGTAPVAVDRVLVRYPGRISNFSFHVDEHSFGRVDDWLVALCDRGVRSINLRCASPFILHSSLFLCVQLTHLELYRCGLPPFAVGFTGFPMLKDLKLSFVEFPENGERQLEAILVGSPLLETLNLHFVDIRGNDAYSNAWVIRGANLRSLTINSDFDYDWQVKEMPYLHEADIDVGNYVSSTNFRGFLASFAQVKKLSLCACYASSTGGGLLETLPCTFDNLKSLTLWTRFYDMRSIVLTFCVLRNAPNLEELDITTYRDGDAAETNAEFLNTQWTDAFCANLQVVKMKNIGWLQNEMYFIELVLSKAVALRTMHLSLGCRRSKSNEDALCELRAVLAWSLLPPLFT >SECCE2Rv1G0132050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888202536:888202840:-1 gene:SECCE2Rv1G0132050 transcript:SECCE2Rv1G0132050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASQLLDVFYDLVERVTGYSPRAEDDKDLQKHNKLATTEAFRTEEVVEIRSRNHPDVSGGSGGQVNMDGM >SECCE5Rv1G0332400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:548129426:548130013:-1 gene:SECCE5Rv1G0332400 transcript:SECCE5Rv1G0332400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHYHHGEVASLHCLSPPNPPFHTHYHHPGMIAASMTPPPPFHFSPAAYEYEDEPMMQEALAAIGNNSPPGSGGADDDIHGQALASAAEEERRRRRMVSNRESARRSRMRKQRQLSELWARVAHLRGANRRLLDELNRAMRNCGDVRRDNDRLGAEKAELEARLEQLMQQAQQSNNNTSSEEPCEKNDAAAAAE >SECCE2Rv1G0134350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901076305:901077741:-1 gene:SECCE2Rv1G0134350 transcript:SECCE2Rv1G0134350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQSQLSKDPHNHYFDLGAARQVPETHAWEGLHEHLVVDGGVGAGEDAVPVVDMRDPRAAEAVAHASKKWGAFLLLGHGVPTELMAQVEAGVAGLFGLPKPEKMRAARQDGDPVGYGLPHIAAYLSKTLWSEGYTLNPANLRAELRKIWPDAGHDYCHFCGVMEEFHKEMRALADKLMELFLVALGLTTEQIAGVEAEHKITQTISETIHLNWYPKCPDPTRALGMKAHTDSGFFALLMQSQVPGLHLFRQGPPGDRWVEVPAVPGALIVNIGDLFQILTNGRFCSVYHRAVVNRDRERISVAYFLGPPADAKVAPLKEVMGSTKAAYRALTWPEYIAVRKEAFANGGADLEFTKGGTALEMVSINPNDHNGANSHPGISS >SECCE3Rv1G0182670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:635255420:635256730:-1 gene:SECCE3Rv1G0182670 transcript:SECCE3Rv1G0182670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHFLVKVLFGPVPVYFSALAILILLTNAQYFGLTGVGVPHATKLASSTPVVSVMKYCDIFRGEWVPDAEAPYYTHKTCGMIQEHQNCLKYGRPDLGFLKWRWRPSGCELPRFDPVQFLQFVRHKSLAFVGDSLARNHMQSLLCLLSQVAYPKDISANPTDQNKVYHYRAYNFTINMFWSPFLVRAREPDHDDPAHTGHYSLYLDEPDDKWVSQVPRFDYVLVSAANWFSRPSLFYEKRRLIGCSFCSRQYGVPDLTLYYSQRKAWRVALRAINALDKVKGRVIVRMLSPMSHFENGTWDQGGNCKRTEPIRSNQTVMEGRDLQFYTAQMEEYRAAEKAARPKGLRLMLMDATAAMLMRPDGHPSRYGHWPNEKVQLYNDCIHWCLPGPIDIWNDLLFQMMLV >SECCE4Rv1G0220610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41247321:41249105:-1 gene:SECCE4Rv1G0220610 transcript:SECCE4Rv1G0220610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g47530 [Source:Projected from Arabidopsis thaliana (AT3G47530) UniProtKB/Swiss-Prot;Acc:Q9SN85] MALAPRLPRALRPAPSPSPSAAAAALLASASPLPARRFLQLHAHLLRTGVLPLAPAAASAFLSLAAASLPPLRALAVLRHHLTPASVPSTFCCNSLLRSLSEPDALGFLRRMRGLGRRGNAFSLAIILKPCRTLAHARQLHANVVAEGHLRDALLATSLMRSYATCGAGDSARQLFDEMLVKDTVAWNVLIACYARDKRTKDTLRLFDEMRKGEGEAGPDEVTCILLLQACTSLGALDFGEKIWEYAVEHGYGGELKVRNSLITMYTRCGCVEKAYQVFCETPRKSVVTWSAMISGFAANGFGEDAISAFEDMSRSGVAPDAQTFTGVLSACSHSGLVDEGFRFFDMMRCEYQMMPNVRHYGCIVDLMGRAGLLDEAYQLVVTEMKVAPDATIWRTLLGACRVHGHVDLGEKVIGHLIELKAQQAGDYVLLLNTYAAVGDWIKVAEVRKLMKENGIQTTPGCTTVELNGELHEFIADDDSHPRKAEIYGKLDEINRHLRIAGYVPNVSSELHDLDSEGKECALTYHSEKLAIAFALLVMPQRRPIRLAKNLRVCVDCHNFTKVFSGVYNRLVIVRDRTRFHHFEGGQCSCNDYW >SECCE4Rv1G0272010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:765369760:765369999:1 gene:SECCE4Rv1G0272010 transcript:SECCE4Rv1G0272010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSSPAEIAGFGYATHLSLRSVGGKQVTEQKQLEEKVERTPWPEKKQEARPARFAPEFDGLNCFECIVSS >SECCE3Rv1G0153180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:52702332:52703441:1 gene:SECCE3Rv1G0153180 transcript:SECCE3Rv1G0153180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVSALRSAGRQHVTASTVAAREPITGSHVFRIHGYKQLRKTVPNGEAVESPTFEVGGHGWKVQCYPNGEVKDADGSTSIFLTSLGDATAEFKLSVLDNDGKPSLIRTSEQRRYSADVGRGWGWKKFLDKEDPKESKHLEDDCLTLLCDVTVDPGLRAQAEVVVAAVPPFDLRGQLAEAFWNKHPTDVTVHVGGETFAAHRWVLEARSPVFRADLEGNATGELRVDDMDAEVFKTLLQFMYTDSAPQLDAAPTTKMAGNQLPHMMNQQHHQAEATTAERLLVAADRHGLEDLKLACEKALRPRVDMASVAAMLALAERHGCALLKEACMELLSCPGNLKSFMATHGFEQLRRSCPAAALDLVIKQLS >SECCE7Rv1G0524830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887249186:887250511:-1 gene:SECCE7Rv1G0524830 transcript:SECCE7Rv1G0524830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIKRMVRGAAVFVTLAAIAVIVRALIRKRRPRITYGPMHERDRARFDYLDQKIWQSDVLCKNMLRFERAAFFRLCGILRDRELLEDSPHLSVEQQLAMFLHTIGHNVRNRVISANFCRSYGTTSIYFRKALHAIGELRNEYIRPPSLETPAKIVGNHRFDPYFKDCIGAIDGTHVRAGVTKDVEHSFRGRKAFTTQNVMASIDFDLRFTYVLAGWEGSAHDATVLADALARERGLQVPPGKFYLVDAGYGAKPGFLPPFRGVRYHLNEWGNNPVQNDRELFNLRHSSLQVTVERAFGSLKRRFKILDDAKPFFSFPVQVDIVIACCVLHNYALSQGIDEFIIPEVTWTTQPIRTTRQQASDHRATVDRRLQIAAQMWEDRQIMYANL >SECCE5Rv1G0331010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:535503823:535507740:1 gene:SECCE5Rv1G0331010 transcript:SECCE5Rv1G0331010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Heat stress transcription factor Spl7 (Heat shock transcription factor) (Heat shock factor RHSF10) [Source: Projected from Oryza sativa (Os09g0456800)] MAGPAAQQQKGGGGGGATRVGGGGPAPFLTKTHQMVEERGTDEVISWSEHGRSFVVWKPVELARDLLPLHFKHCNFSSFVRQLNTYGFRKVVPDRWEFANENFRRGEQSLLSGIRRRKATATTTTPQSSKTCGTSVNVAFPPPLPALPPASASTSGTGNDHTTSSASSPTRPDLSSENEQLRKDNHALAAELALARRHCEELLGFLSRFLDVRQLDLRLLMEEDMQGGGGAAGARSADQEHCCEKKVKLFGVILKDASTRKRGRCDEAAASKRSMKMTRIGEPWVGVPSSCPARCGGGN >SECCE1Rv1G0055030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678111134:678111511:1 gene:SECCE1Rv1G0055030 transcript:SECCE1Rv1G0055030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLPLRCTCMEAMVVHATVAVKAKRKKPVLSNNVGGGRAGQDRGKEKKEAARPGCRVEELAAGEDGGVRLKVVMKRKDAAEFMARLEKRAAERKARMEELVNGGVMSPCRDAWRPRLATILEN >SECCE4Rv1G0219630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33059436:33060449:1 gene:SECCE4Rv1G0219630 transcript:SECCE4Rv1G0219630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIREKRLPQLHLSLPVPPRAAAHELGVLGRRHNPTAAKASTPSALSSQFRLADFDTLTVLGRGNGGTVYKVRHRETSALYALKVQHYGDPTAAAEADVLSRTASPFVVRCHSVLPAAASGDIALLLELVDGGSLDSVRSRRGAFTEAALAEVAAQALSGLAYLHARRIVHLDIKPANLLASTAGEVKVVDFGIARVLARAGDHCTSYAGTSAYMSPERFDPEAHGGHYDPYAADVWSLGVTLLELFMGRYPLLPAGQQLTWAALMCAVCFGEPPMLPDGAASPELRGLIAACLQKDYRNRASVAELLAHPFVAGRDMAVSKCALRKLVDDASSSL >SECCE3Rv1G0172380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:290826062:290827183:-1 gene:SECCE3Rv1G0172380 transcript:SECCE3Rv1G0172380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFSYQEIEAATGGFAAKNVVGKGSHGCVYRARLRGGAGHGRRLVTVAVKKASHPQGEAKLANEIGVLTAARHHPGVVSLVGAVAAGRTPLLVMEFMPNGSLHDLLHRSPRPPPWPRRVEIALDVARAMRALHGAAPRVIHRDVKTANVLLGRDGRARLADFSLAVRVAAAGAPRPAPAGTMGYLDPSYTEPGRLGPESDVFSFGVVLLELISGRKVMDVNASPSSIIAWALPLIGAGLARQVFDGRVTAPAPVTDAEAAIARVLSVAARCVSESVERRPAMAEVESELRGALESAGWHRRGRDVVERVCRRVVSWGIQLRVKTTRRSKVECTELSGSSEGGGAPSRADSCHLPRGPTAYESDRLTQQSSML >SECCE6Rv1G0416690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649782475:649783811:1 gene:SECCE6Rv1G0416690 transcript:SECCE6Rv1G0416690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERSTVEALPLPPRLSASPTSSHATVGALLTNAAGASRIRRECRSPRSLLSRILGRGSGGFGCRLRIPSYCSSGAGAAAKEKEDAVEEEVAASKVVMASKQETEVRESPRSSLQLQGMKAAPEVSAASLGLGAGLVLLLSRGAAELSRMAELGAQMERLVMDVRAEARGSSRSDLSDGGHVDDGASVVKERIAFSDAGGGEDASLSRGSRDAASACGDASVGDAVAAGMDQMEAELEAELTRLQLDSDDGDGEEECVTPRRDQQLEYEAKSDMSSESGYPACVGIDGVLDAAAIECKEHEDSEKEGEEDTDEEDEGSKPCYGGVPARVLERRLHELLQSRHQQRIAELETELQRAQRKLRDKEREVSRWRDTAKLVSRHEDESRLR >SECCE5Rv1G0362620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:787452121:787453068:-1 gene:SECCE5Rv1G0362620 transcript:SECCE5Rv1G0362620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAPPASGAHQFLDTALPYADDVKWLVPDHLATLAEAFPSLRPRTALFTHDDGRAVRLLQAAGTIPIVHAGASYDLPAVVWLPERYPRCPPLVFLAPALGTVLRTDHPLVDRSGLLAAAAAPYLRSWAFPSSNLRDLVRSLSHAFGIDPPLLHAEVAYRRDALAAMACADVASLRAAGEAEVEALFAVQSELRGRGLAADSLVRRAGKEVDALERRLQDVTVAAYALEAWVAANRTTVPAHGDAQAGAAVQPADALSVQRLECAAMDLALEDTMYALDEAMQGGAVPFSGYLRSVRALAREQFFQRALWTKLC >SECCE2Rv1G0133180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895105002:895109008:-1 gene:SECCE2Rv1G0133180 transcript:SECCE2Rv1G0133180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATSQLPARLLLQLLLICFFLLSIAAGVTDKLERGQKLTDGDTLVSAGGSFTLGFFSPGVSTKRYLGIWFSVSNDTVCWVANRDQPLLDKSGTLVLNDVGSLVLLDGSRRTRTAWSSNFLPASAAAVQLLESGNLVVRNGSSSTSLWQSFDQPSDTLLPGMKLGKNLWTGGEWQLTSWSSADDPSPGDYRRTLQTSGLPEILLWYGGVKTYRTGPWNGLYFNGVPEARAYADKYLLLVTTSPWETTYGYIAAAGAPLTRVVVNHTGKAERLEWDASSRAWNRIFQGPRDPCDEYGKCGPFGLCDPEAASSGFCGCVDGFSAANTSARGVKDSADGCRRAAALECAGGTTTDGFKVVPGMKLPDTQNASVDIGVTLEECRAKCLADCSCLAYAAASIRGGGDGTGCVRWADAIVDLRLVDRGQNLYLRLSKSEIETDARKRFPTLLVATTLPSAVTILLLAFMIWWRRKNRIIGAIPQNPTMAVPSVSLAIIKDVTGNFSKSNIIGQGGFSIVYKGQLPEGRTIAVKRLKQTALTTKGKNDFAREVEVMVGLRHGSLVRLLAYCDEGKERILVYEYMKNKSLNIYIFGTPNLRASLNWARRLELLHEIAHGVAYLHAGSGESVIHRDLKPGNILLDDDWKPKIADFGTAKLFADNRTGPDQTIVISPGYAAPEYVRGGEMTLKCDVYSFGVILLETLSGQRNGSLQRLLSQAWELWEQNGIIELLDTTIVPLPKSDPEILPDLKRCIQIGLLCVQEMPDDRPAMSEVVAMFTSTTSQIDWPRRSVLDSGMAMPLNPSLDLETAHLNPTIIEATSPSSRSSYCCLASNQSN >SECCE2Rv1G0126180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844949412:844950074:1 gene:SECCE2Rv1G0126180 transcript:SECCE2Rv1G0126180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGECGCYDAFCDRCCPCVSSGARDTILCCACCLAVLAGVVLLCVLLAAYCFIRHAEVAVTDASLTRLALATAPATAFAYNLSLTLTVRNKNWAMRVKNTQPLEADYSFDGQRFERVRLADEGSAHPAGKTQVYHLVSGADSAYVALGNAGVAEFKEENKTRVFQLEVALSGEVRYQAHFTKCKFQAKCPLKLQLAPPGTTAVVFQKVKCKLAPADRNC >SECCE7Rv1G0490960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:512673038:512673556:1 gene:SECCE7Rv1G0490960 transcript:SECCE7Rv1G0490960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYCVILPQPLILVLQLLDFLRHAILLCLSSLGLAAPPVADDHPAYAPLPDLWAVAEAAAPSSSLSLAAGPAPTPAAIKARLPAVRYADLRSRRCAAGAAAASTCCAVCLGALEVRHRVRELGNCAHAFHKACIDKWVDKGQATCPLCRALLLPADTDAGKLPSFSF >SECCE5Rv1G0338750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:603092319:603096006:1 gene:SECCE5Rv1G0338750 transcript:SECCE5Rv1G0338750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLVRRVLQEREASPQDPAAAAEDGLVVVEEEEEDEEEVGSPTRVAARNPFDLLDDDDEEPEEDKEDEVHTDQTLSYTEQKQYVQKKPNGAVPETNKKSKKKKKKGKAEPPVSTKSRDEKSLDSILEDLAIEKRPMQKSVHQNDRATGKEIETNETTAGTSSVLAIDPKHLKAENEMRRIFGSKVVDSLENQRNMPGTSRQVRGVRRAGLNPRRTLLVSPPGFWPPWDKSMSMDLVETKGSLNYFSYVYDPSVSHVQELFEAAKSANDLNAIAAILAKYPYHPDSLLTFADLFKYSGEHQSSADAVEKCLFALECAWHPLFNPLQGNYQLKYGHDMNKPFFTALFSHMKNLDRRGCHRSALEACKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSFSLAISRFYLERDAASENVSDHADKSTAVDLMKQALMLHPLVLSKIVDKAPLKDSSWTQILKNVFFGSAKPGSPSLEHVINIYVERHYIMWRFPELQNLLKEAALLVIESLKQDGREAQDWACVRKEAFSSEKNEYSHLLVSDFSDTTPSLPPEELRPFMVGPGMGHDMPPVEQEAGPERIRAPREVAGRNAALVFLESLLPWVDYGDNHHDGNDQDNDD >SECCE6Rv1G0435820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772946768:772947185:-1 gene:SECCE6Rv1G0435820 transcript:SECCE6Rv1G0435820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSSSSSSKTEAGGEQHRKEEKHHNHKHMEQLAQLGAVAAGAYALHQKHKAKKDPENARSHRIKEEIATTVAVGSAGFAFHEHHRKKDAKKHGHHH >SECCE7Rv1G0472960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:152163139:152165829:1 gene:SECCE7Rv1G0472960 transcript:SECCE7Rv1G0472960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVALLVPPPPPTLGRLPAPRSRHRAFLQRSCALGSSRVTAMSSSADPSLATEAPRAAVTSERKLNPDLQEQLAKPYLARAMAAVDPSHPEGSKGRDTKGMSVLQQHAAFFDRNGDGVIHPWETFQSLRAIGLGSPSAFGTSILLHLVLTYPTQPGWMPSPLLSIHIKNIHRGKHGSDSETYDTEGRFEPAKFDAIFSKFGKTRPNALSEDEINAMLKHNRNMYDFLGWAAANLEWKLLHKVAKDNEGFLQREIVRGAFDGSLFERLQESKKST >SECCE5Rv1G0342170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:630950731:630951858:-1 gene:SECCE5Rv1G0342170 transcript:SECCE5Rv1G0342170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAPAIVGFSDLPTEALDEIARRVGPLDNVLCSAVCRPWRRALRTTRLRLLGRRPDRPHQIYIENLLWRAPVVKVSPNYIETYRYGTQRGPYPVETVVDRDVARSRIIGSSYGWAVTVDDDTWDMFLLDPFTGRSFPLPPFSDSLPGKQRLEPKGGTFWKPDRNSRLWRHMFRKAALAPGRRLGTYAVMLLHSNGRGLSYLAPGATSWAGLRPPRGMPHKYLDVILHKGAFYTVSCYGEVNAWVPDGDGGLRARPVTKPLREQVWAVLAESMSGDGILMVSSTDTRESSDEDNCYSFSSTDDYWGRPKSVRRWVESDRQWLPVESREEMNLIVGDGCCLYVPARYGGRYDGWRSSGSHWFLPYVPRLDPAFWH >SECCE5Rv1G0329040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:512127186:512129369:-1 gene:SECCE5Rv1G0329040 transcript:SECCE5Rv1G0329040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRRGRATDDAGDGQRFPDPPPHGDPMLVMRDALLSQLQKDRLRQEIIVAELAKIERAMALHAATGGQQATPTPAGECLTTCEGKAARQNAASDEQRLPNSTGAVPETKTLAVEKWELTGITIPVKKPKTPMKWNCAVCEVQATSEQSLQQHYAGQKHLLNVATLDQRATANGRKATTAAEPSLGTEQKKTSIKWSCNTCQATGSCQLALEAHLKGKRHQQNISATSMPKNVAAGEAKSHAINVPKRSEKPPSAWSCSICQAICTSESDLNSHLRGTRHQAKVQSFLEGKNMARKEKLNPDSRWVCRICQAHCTCESDLENHLAGKRHQLNIQVLSAKTKQEKNNAPRVGQEPPSEWNCTVCEAKCNSKSQFEDHCRSSRHQQKIEEVLGKGKAVKASSRKAANELLSDCSNKKNADAEKLEKQQIIYFCEQCNLQCNSGTMLAHHRAGRKHREKLHEKK >SECCE6Rv1G0415730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:643835401:643836537:1 gene:SECCE6Rv1G0415730 transcript:SECCE6Rv1G0415730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVGKSLARDGPGSVKLLPEVDDDLWDAYNLIAAGDAVEAVTVRKITRSGGRDSERVKLTLEVAVESTDYDKDGSVLRVRGKNLTKNEHVQIGQYHTLEIELRRPFVLRKDAWDWPALDTIRKSCDETAANADLAVLLMQEGLAHLFLVGRSVTATRARVEVPIPRKHGAGAVAAYDTALKDFFQRVLAAFVQHVDFGLVQCVVIASPGFTKDQFRDHMLLEAARRGELRAITEHKARIVLAPAPSGYPHSLKDVLAAPSVMSLIKDTTAAQEVPALQEFYAMIAKDSARACYGPKHVEVAHERLAIQTLLLTDTWFRNSDVAARRKCVDLAESVKKIGGKVCVFSSMHVSGNQLEQLTGIAAVLRFPMPDLDDIEM >SECCE2Rv1G0096510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:440374979:440376033:1 gene:SECCE2Rv1G0096510 transcript:SECCE2Rv1G0096510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPHQIHQPPPPPPPPTDPNPVASTAANARRNPAPLPLPLPLPEIAVPPVQPKMIIKGMLGRYERWNPVHPTAGAFWGVGLGFGCGVGWGPGFGPEVIGYVGAGCGVGFSVGITLAGIGVGLPQHGIIKNHYHAGGFASNVPFESARFYLLTMLKGMVWDAISYASNVSATRKESRQKLLRCQENPQVSGGVDLPKLGKGMSSSFRSTMECIKAFTNQHWPP >SECCE2Rv1G0070860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:38072704:38074053:1 gene:SECCE2Rv1G0070860 transcript:SECCE2Rv1G0070860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRKRSNLAGRHPLPQRRREDEWRDWPNLPTVLVEDIAGRLLSHDVSEYIRLRAACKEWRCCTADPREGCNHLDPRFRPRRWIMLSNRTDGDGRRFLNLSTGASALVDLPELSEHHLETSTEGLLLLRDKASHAVRLIYAGVSDETSPSSVVLLMADRHLGRAIAYANPGDQRWAVVDDEMWRPGIPMLRRFSSASTMEGRFYFATVEGYIIQVRLCPEPRLVPVVVDQPNTNYNMFCYLVPPDDDDHRCGGRMLMVRYYLSLYHLSDEEQRIMKRRRKVMDVIRVEHLVREHRWNLIQVFEVDVAGKRLVPVENIGRHRAVFVGDVACFSLSARRFPCVAGNAVHMGALGARCPPVGVRYLANKTADLSFVFTTDVPGLQDHISVKQHRQRIPELNLLPLARPCTLQEYLVCCAGVLGGLKD >SECCEUnv1G0553570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:274252231:274253415:1 gene:SECCEUnv1G0553570 transcript:SECCEUnv1G0553570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKFERNKPHVNVGTIGHVDHGKTTLTAAITTVLAKTYGGSARAFDQIDNAPEEKARGITINTSHVEYDTPTRHYAHVDCPGHADYVKNMITGAAQMDGAILVVAATDGPMPQTREHILLGRQVGVPYMIVFMNKCDMVDDEELLELVEMEVRELLSAYEFPGDDIPVIKGSALKALEGDATWEAKIIELAEALDSYIPLPERAIDKPFLLPIEDVFSISGRGTVVTGRVERGIVKVGEEVEIVGIKDTVKSTCTGVEMFRKLLDEGRAGENVGVLLRGIKREDIERGQVLAKPGSIKPHTKFDSEVYILSKDEGGRHTPFFKGYRPQFYFRTTDVTGTIELPEGVEMVMPGDNVNMVVTLIHPIAMDDGLRFAIREGGRTVGAGVVAKVIA >SECCE7Rv1G0515200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:838745418:838747181:1 gene:SECCE7Rv1G0515200 transcript:SECCE7Rv1G0515200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLSRRRLCTTSCACRCVCPWTTSAMRKHSHLLWYRFSSRFLWHRFSSHLPSLSPIRLRVTNFGTHLPTERSRKRGGCGIHDALRVLHLVPGKAYDGKEEGPSHHRLINDCMHDILGVQSESHFMRNGKVQFVSSSNNTEQILPDTVDSHDISASPSMNKLARGNKFMLLVELHRRGISVDTSILASAMSFCAVKQSIRGGVQLHALLVKVGYELSVLSGTSLISLYARCCQLESACQVFQNMPVKNTVSWTALISGYAQDNKVEPCLQVFQLMRQSACRPNDITFATIFSVCTNHALLALGKSVHSLELRMGFDLCVHVSNALISMYAKCGSIDKAQSIFESIACKDLISWNSMIFGYSQHGLAEHCLSLLKEMEEEHIVPDAISFLGILSSCRHACLVEEGRRCFKAMIRLGIEPELDHYSCMVDLLGRAGLLDEAWDLIHTMSTSPNAVIWGSLLAACRMHGNIPIGIYAAEHRLKLEPGCAATHVQLANLYASIDCWSDVARVRMMMKERGLKTNTGCSWIEIGNKVYTFTAEDRSKSHQVNNVLAVLDCLRSHMAYKYDLLIDGLEFDEPESFKVSSSTE >SECCE4Rv1G0264070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718872262:718874171:1 gene:SECCE4Rv1G0264070 transcript:SECCE4Rv1G0264070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSTLQSLLLLIILSSSCCLSANAGSHVAEGNHHELNHFMVVATSSLKHIPEDAVCSGHKVMPSRNSTAWVPMNRPHGPCSSSSSRATTEDKGVDVDDMLLWDQLRTSYIRTQLSVDVGSAGAGVPIIARTTKVSNQDYMPASQAAVGQNSGTSKSIQKSDQTATGEHHATASQTVVVDTSSDIPWVQCLPCPIPQCHLQKDPFYDPAKSSTFAPIPCGSPACKELGSSYGNGCSGSMDQCKYTVNYGDGRATSGTYVTDTLTMSPTIVVKDFRFGCSHAVRGSFSDQTAGVLALGGGGGSLLQQTAEAYGNAFSYCIPQPSSSGFLSLGGPAEASSKFARTPLIKNKHAPTFYVVHLEAVTMAGKRLGVPLATFAGGAVMDSGAVVTQLPPAAYAALRAAFRSVMAAYGPLAAPVRNLDTCYDFTRFTEVKVPKVSLVFAGGATLELDPASIMLDGCLAFAATPGEESVGFIGNVQQQSYEVLYDVGGGSVGFRPAAC >SECCE2Rv1G0109680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:679659325:679661160:1 gene:SECCE2Rv1G0109680 transcript:SECCE2Rv1G0109680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGVAIPYPKPPQSPRLLHRSRHCSGHRLLLATSSPFRSDSPPLACPRRKRSSVAAIHASSSDPALYSFPSSPTPAPRPPPASAPPEPPSTVARAGRSKKKPAGGRIEGGGDVRREAKSKAKRRSRRLSENAFYRRKRRAASGQADAFTDEELEMIGLGYDRSVRFMDGPDDPRLRHPHDWYRFGAFGPYSWRGIVVGPPIRGRFSDDRVSLMSEVADHDEWDRNEQFEMSNQFSHRLNELDDAVGFRYYWVFVRHPRWRPDEPPWQQWTLSAEVAVQASKDERLDKWSLMGRFGNSTRELITRCAAWTRPDILYVKRPLYQSRFEPQEEFFSRLRPLVDPSTENQFLFDLEQDGRVIRTTYFGGLCRIVKASPKSYVDDVVNAYSKLSEADKSRCLEFLLTNHPMELLHPYTKEWKVKLEEMELGCDAPDESDDEGGDETGSEVIDWVEDEEVDVIDETEDDDYEDEEVVDISEEVEADEIIESSEENEDYWDEQWDKAMKSSDKMEKLVKDRVEKSYEYNKRQMQQQKDMESEMGTSNTMIMEQEQTEEDEVKLVQQESASSRSALVRVKREPPPGLFLRASVRPFTYRNLVKEIVLMRHQIIDGEIV >SECCE6Rv1G0387930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:126327417:126328781:-1 gene:SECCE6Rv1G0387930 transcript:SECCE6Rv1G0387930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGRSNAAALAVALCAALLGTTAWATAPYAPKPVAGGAPSVPAGPLDIVLLGAKGDGKTDATQAVMKAWKNACGATGTQKIIIPAGNFLVGALELSGPCTSSIIIRLDGNLLGTGDLNAYKKNWIEVMRVDNFAINGHGTIDGQGPLVWEKNQCSKHYDCKILPNSLVLDYVNNATIRGITLKNAKFFHLNLFNCKTVLVENVEITAPGNSPNTDGIHMGDSEDVTIKSTNIGVGDDCISIGPGSKHIKIHGSRCGPGHGISVGSLGRYKDEKDVEDIQVTNCTIKGATNGLRIKSYEDSKSSLRATRFVYDDVRMDNVSYPIVIDQKYCPNNICAKAPGASKVVVADIVFKNIVGTSATPEAVTLNCVNNVPCQGLQLVNVNLKYTGTNNKTMAVCKNAVGKSLNVVKELACL >SECCE4Rv1G0229910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:155578170:155581371:-1 gene:SECCE4Rv1G0229910 transcript:SECCE4Rv1G0229910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEAQNLRFVRCPKCLQLLVEYPSIPVYQCGGCGTILRAKNRGAPMTQPDSVSGEQNNFPLSLEGSPQTSKSICSDELKVVSADMQPSENLVEGNIFSVSKDVISCENVDAEMTMSVGESAASGPVDGEENCSLSVGNAQNPEFMIEEADNKGTAANSSMKLIENVQSVEISEDADGENGYIMDDANDASVASKAAAVHSIAGEELGDDSSNNVTGEIENMAEEKKIAGNKNMNCHEAAETNKLYKEDGAKSINMVARREERLQPYEGSCIESFEDLIEELERSLSLSDDEEDFSDVADNNGLNDALHNQIGSRRFLSVGKMNDSPRSDPHGRLIEELERYFSDPEEPLEDHIAVAGKDIQDKIYVKEHDKDTQFLVNESANAFSDADVPSEHHIGVADKDTQEKIHVKEHDKNPQFLVNESANACEADGKYVQSEQNFEKNELTAYGTKELEKGWTGDDNKIVCVHGNEHFVLTNTDSPGTIHENEIDRDAQYLDTESAKPCEGSTSSFGDGRLKSGQSFQQNKLATEGPEEKGDSCIEDDNKINCFHANEYVMVTDKDVAEIIHENEHDKDPQYLGTESANLCKEDISSFNDEHLKSGQIFEQHVVTSDGTEEKKQGRTEDDNTTICVQADNSVADARFSSLSNERIHCNSTSFSKKKEEISCRYQDSLLRQGLSLDSEDFRSIQNFIESQMDGTSSSLSSGSPSQGDLIIKTSSKFKTVDQLERLKKMDDLRGQLNRLSSHKGLEKRYQKKDLEYQPQQLNTYDAEQQFRSVDADSIPSSCTLDSYYGHGKPPRYPPPNPFSPPHSCAHCHFGHVKAHIPQSFDAWEFNSYYQSSQAGSSIPDHDSLKSSFKEQRRVVRKHILRPLSGASPYTVCNSCFFLVQMPSDIYVSKRKIGKMQCGKCSKVIVLSVPAVNHADANTSKELTQKSSKANNRIVARTESASYPVSVSEEYGASLTRSLSTRAGPSLAATQSSKKVSDSALHLLMGYDSASQLLRHSRAFERRSRVFDDGYESFESMVPVSNRVSRRKNM >SECCE7Rv1G0468970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104479449:104480181:1 gene:SECCE7Rv1G0468970 transcript:SECCE7Rv1G0468970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTYVDFVPSHDLVEDSGKHTLVVNLPGFKKEHLRVQIDNYGRLRVSGERPLEDGQWSRFRKEIQVPEGCDTGGIRARFEKDGVLNVTMPRLTPLVDEPKAAADAAADAEAARHAAAAAEEKKRQEEMDEERARKRHAGDEDGHASDEGEGARQTASAGRQAYGFARDRSRSRMVRALLLAVAVAVVGAASLYARYRWMDPSAETAPADGAIVGLFDY >SECCE3Rv1G0197190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:816806195:816807671:1 gene:SECCE3Rv1G0197190 transcript:SECCE3Rv1G0197190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQSDEQVVDDPAMVDITPPHPDEHFGGEPAVEDTAPPRFSDEQQLGSVPQTTLMPYTGVDSSLRAMAGQAEGFGRHAIGGLHGDVYHVTTLNDDGPGSLRDGCRRQEPLWIVFDVSGTIRLGSGLRVSSYKTVDGRGRRVTLSGKGLLLRECDHVIICNLEVEGGRGHDADAVQIKPGSRHVWVDRCSLRDFADGLLDVTCGSTDVTVSRCRFSAHDKAVLIGASSEHVGDRRIRVTIHHCLFDGTRQRQPRVRFGRVHLYNNYTRGWGIYAVCASVESQIVSQCNIYEAGEKKKVFMYMTEQAADRDCSSSGRIRSEGDLFLNGAQEYTENDLETAEDGQWDFEVRDCYKPWSVQPATMALKELLESCTGWQPVPLPEDDVCFTRAPAAATTSV >SECCE2Rv1G0107610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:650913310:650918575:1 gene:SECCE2Rv1G0107610 transcript:SECCE2Rv1G0107610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OSIGBa0116M22.9 protein [Source: Projected from Oryza sativa (Os04g0479000)] MSAPMEISFDPHPSHPALPAFPSSPPLEPAAAATPPAVSSSPQPTAEAVVAPTPPDDKVLVSVEVLLHATSTARAEDVRAAVERMLESRSLSYVDGPVQIPPDDSFLLEHVKRIQICDTDELIENHKILLFWQVKPVVHVFQLNEDGPGEEPSEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTERGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFNSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKAWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCIDELFRVGILTYPQGGDLPCILSYSTLKEKLHCPEAAELHTLHLSKLLHEGAELCDGLSGRSLRKLPFLAHASVPNPSCCDAASFMHTLIQTARRELLESRG >SECCE1Rv1G0023220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:300475653:300494601:1 gene:SECCE1Rv1G0023220 transcript:SECCE1Rv1G0023220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPSTVTVQVKFAGRTIPVEVPTSASTAELKLLLQPLTNVLPRGQRLICKGKVLADAASLSSMQVGDGSKVMLIASQGLHQGEGPITKNSSGLAPSAKRTSNVKDNQTLKPEIISKSRAERWKITGVIALSGSSLKAVPEEVWDCGSSIRVLDVCNNSIEAIPQKIAALKSLNKLLLTANDISDGGISWEGLSCVDTLTVLSLSQNRLVTLPPSLGTLTFLRELRIANNMLGSLPVEIGLLKQLEILIANNNRITSLPSSIGDCESLFEVDLSSNLLAELPKACGNLHNLKTLHLRNNGLNSLPPTLFKKCWRLTTLDLHGTGITNDILRQMEGWEEFDERRRQKYQKQLDFRVGSSGVFDEGADDDNRHA >SECCE7Rv1G0523060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879995554:879996360:-1 gene:SECCE7Rv1G0523060 transcript:SECCE7Rv1G0523060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLIRLCSEAEGKLEAHYSDVLAAFDNPLDHPCMFPYYNNYINLSKLEYELLARYVSGGIAPARVAFIVSSPLPFSSFVLAARHLPDTMFDNYDLCGAANDCASKLFRADKDLGACMSFHTTDVADLAGELATYDVVFLAALVGMAAEEKAKVIAHLGAHMADGAALVVRSAHGARRFLYPMVDPQDIAGGGFGVLAVCHPDDDVVNSVIIAQKSKEVHANGLRSGRRGQYAHGTVPVVSPPCRFGEMVADVTQKREEFANAEVAF >SECCE3Rv1G0159190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:94321594:94322100:1 gene:SECCE3Rv1G0159190 transcript:SECCE3Rv1G0159190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRVYDRALVDPDGKRRAVHVVDGPVVANGGFIRAPRRPTNQSKSGGLRALGKDALAPEDEEPQDLPPKDKRFGYYYSKGWQSPFKYEATSGQMLSWKYDAYEHEEVHARQPPPPAARSGGRSACKGSRKFKHDEIKTYYLDAADVVDEQRDCLCDFDS >SECCE2Rv1G0113010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:718431210:718433350:1 gene:SECCE2Rv1G0113010 transcript:SECCE2Rv1G0113010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAPPGGEYAAKDYSDPPPAPLFDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQADPAGPNAADAACSGVGILGIAWAFGGMIFVLVYCTAGVSGGHINPAVTFGLFLARKVSLVRALLYIIAQCLGAICGVGLVKGFQSAFYVRYGGGANELSAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNEKAWDDQWIFWVGPFIGAAIAALYHQYVLRASATKFGSSASFGSR >SECCE2Rv1G0078150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:106057428:106061744:1 gene:SECCE2Rv1G0078150 transcript:SECCE2Rv1G0078150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRAASRPRSSQQDLVRSIKDSLLALDTKTGAKALEDVEKNISTLRQTLSGDGEVEPNQDHVLQIALEICKEGVLSLFVQNLPSLGWEGRKDLAHCWCILLRQKVDESHCCVQYIENHVDLLDFLVVCYKNLEVALNCGNMLRECIKYPSLAKYILESNSFELFFQYVELPNFDIASDALNTFKDLLTKHEDAVSEFLISHYEQFFELYKRLLTSDNYVTRRQSVKFLSEFLLEAPNAQIMKRYILEVRYLNIMMGLLKDSSKNIRICSFHIFKVFVANPNKPRDIIQVLVDNHKELLNLLHALPASKGEDEQLDEERDLIVKEIEKLVRLSV >SECCE2Rv1G0081410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:139436064:139439153:-1 gene:SECCE2Rv1G0081410 transcript:SECCE2Rv1G0081410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHAAFAAQDAVTAVAAPAQPGRHFASLPPRRARDCRKAALGRMDLATSGVLMAGSLLDSMKASSPRHPMSPAGADHEEWTEKHPSALEWFEGVLAAAEGKQIVMFLDYDGTLSPIVADPDRAVMTEEMRDAVRGVAQHFPTAIVSGRCRDKVFNFVKLEELYYAGSHGMDIKGPTKVSNHKAKADEVLCQPATEFLPVIQEVYERLTAKMESIPGAMVENNKFCLSVHFRCVEEAEWDALGREVKAVLDGYPDLHLTKGRKVLEIRPSIKWDKGNALEFLLESLGYAGRGDVFPIYIGDDRTDEDAFKVLCNMGQGIGILVTKFPKETSASYSLREPAEVKEFLRKLVKSNGTNKGQ >SECCE7Rv1G0484760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:346455841:346456519:1 gene:SECCE7Rv1G0484760 transcript:SECCE7Rv1G0484760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDREEEPPRTGKGGGDKLILRGLQFHGFHGVKQEEKKLGQKFVIDVDAWMDLAAAGDSDDISHTVSYSDIYRIAKGVVEGPSHNLLESVAQSIASATLLKFPQISAVRVKVGKPHVAVQGVVDYLGVEILRHRKA >SECCE3Rv1G0166260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:184439931:184441073:-1 gene:SECCE3Rv1G0166260 transcript:SECCE3Rv1G0166260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLARVAVVVLAAAAAAAVVVVVDGQMSPAFYDATCPGLQPVVRRGMALAVQKEARMGASILRLFFHDCFVNGCDASVLLDDTANFTGEKNAGPNANSLRGYEVIDAIKAQVEASCKATVSCADIVALAARDAVSLVGSGTLLSLFLASWPVALLKLAACVQLGGPSWTVQLGRRDGRSASQNAANTNLPPPDARLADLLTRFSGKGLDARDLTALSGAHTVGWARCTTFRTHIYNDTGNAAVDAAFATQIRAKACPSAGGDGNLAPLELRAPAAFDNGYFQDLVARRVLLRSDQELYGSGAGNGSTDALVRAYAANATLFAVDFAAAMVRMGNLALTGKNGEVRLNCRRVN >SECCE2Rv1G0113430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:722411291:722415893:1 gene:SECCE2Rv1G0113430 transcript:SECCE2Rv1G0113430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPLHWMGSFKYLVFAPAAVQTAHRVITKGWGDMNLAYTAMLPALLLRMIHNQIWISLSRHQTARRKHIIVDRGLEFEQVDRERSWDDQIILSGLFFYLGYAAIPSARFMPMWETKGAIIMVLLHIGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVVHPFAELLSYFLLFSIPILIPLYMGYGSIMGIVLYLTYVDFMNNMGHCNFEMLPKWIFQVFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPFYDYIYNTMDKSTDELYERALIGKDETPDVVHLTHMTTLQSTYHLRVGIASIASKPSDNPVWYMWMIWPMAWLSMVLAWVYGSSAFVVESLKLKKFKMQTWVIPRYNFQYGLIRERGSINRLIEKAILDADGRGVKVLSLGLLNQARQLNGSGELFTHKYPKLGVRVVDGSGLATAVVLKSIPSDTKRVFLCGGSSKVERAVATALCQRGVQVIMNQKKEYDMLKLRVSESSIAYLKFSSDETPQIWIGDIIDDKQQMGAPKGATFIPTSQFPLKKIRKDCTYLSIPAMKIPEAMQNVHTCENWLPRRVMSAWRIAGMVHALEGWAIHECGDDMMDIEKVWSAAIKHGFTPLSKV >SECCE4Rv1G0273580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:778169920:778172145:1 gene:SECCE4Rv1G0273580 transcript:SECCE4Rv1G0273580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAAAAQCLLGLVRRRAPPPPLFPSLPLPRRSGAVAVRMASSDAPQLGATVEVPGAAGPVRVVAAPGLPEADFRKALDSALFRRWLESLRAEKGLLAHGKLSLRQVLIQGVDMFGQRVGFLKFKADIVDQDTQAKIPGIVFARGPSVAVLILLESKGQTYAVLTEQARVPIGKFILELPAGMLDDENGDFVGTAVREVEEETGIKLNLEDMVDLTAYLDPATGCRMLPSPGGCDEEIGLFLYRGHVDEETIRALQGKETGLRDHGELIKLRVVPYDQLWRSTSDAKALSAIALYEMAKKEGVLPPSPPSNGSPSNL >SECCE1Rv1G0055860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:683351015:683358219:-1 gene:SECCE1Rv1G0055860 transcript:SECCE1Rv1G0055860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEKERKLLHLEEALLNENVEPTFLPLSLLKDITMNFSKHELLGTGGFASVYKGTLRNGVVAVKKISRITATGQSDFMREATCMMKGKHKNIVRFLGYNIGTERLHVLEKTTPYMLLCSEYISNGSLQEYIKAESFRFQWSTRYRIIMGICEGIEYLHRRNMVHLDLKPLNILLDHKMSPKITDFGLSRYFSEDQIKESRQLAGTKGYMAPEYVYHGVVTPKVDMYSLGIIIMDLLVGHDVCMGFVKDQTAGGFVVDEVLETWKEALGTPEGYHTEHTYKYINEVRRKQVQVCAEIAVECMDKDPKDRTEIKVPECVIPCSYESSVYEGNSRSKLSSMLSLWKTSSSNEERAPGQQRPAASDIINRLRETEITSTWSSLWQISAGSTGAELLEIDRRVLCFPFNTEDEVETSCLLNLTNVADCIVVFQIVPQFAEFYRLEGSRGFGTVQPMETHVVNIIIQGPQQPPDTGILNIVTVAMRGEMKGGDSSEMNHEVHKVMPTAVICPSSGTMKPKILCSRDKIESVRFIDVHPREPWILMSQGQKVSIWNYQTQVIEREFKVDKEGCYQHDAVEVKPVKFILNTKWIVMGDSSGHISVIRFDTAEEIKNFDAHDGNAIESLAVHSTQQLLLSADNSGMIKLWKWTDSLSLIEHKKYDAGSRTVTQLKFNTNTFLSSQVSGVIKVWSIDGTHPIATIPAKPQSNESWGLCGPFDYLPTDGDRKYMITTTTNGACIWDLQTEMMNNVLPQKLSKVHTLTWRSDEKIDAVACHPTLPLIIIVTSDSLETSSLCFWTSINHSFFLKVDPSCKGLVGSWARCRI >SECCE6Rv1G0386910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:103426882:103429113:-1 gene:SECCE6Rv1G0386910 transcript:SECCE6Rv1G0386910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRPPGHLRLAFYVAVVVGVGLCAPAAVLVAAINGDKTEGEVLVAFRDKLRASDGSPPGPLRSWGTPGPCHGNHSSWYGVSCHGNGSVQGLQLERLGLAGGAPDVGSLAVLPGLRVISLSDNALTGPFPNVSTLGVLKMLYLSRNRFSGEIPADTFRPMRGLRKLHLHKNDFSGRVPSSITSPRLLELTLANNHFDGPLPDFSQPELRFVDVSNNNLSGPIPAGLGRFNATMFRGNKFLCGKPTDVVCEPGLSPAGGMSTFMTIVILLIILGVLLAAAGIAMGVLGRRRRRRRRAKRTDGCVTLPNGEVTPSNPVLDTAPAVSISQATVVGPAAAGGPAKRGTRRDEHGRLVFIQESRVRFEIEDLLRASAEVLGSGNFGSSYKATLQEGPEVVVKRFKDMNGVGREDFSEHMRRLGRLSHPNLVPLVAYLYKKEEKLLITDFVINGSLAQLLHGNRGSMLDWRKRLRIIKGAARGLSHLYDELPMLTVPHGHLKSSNVLLDGTFQPALSDYALVPVLTATHAAQVMMAYKAPECVGSHGKPCRKSDVWSLGILTLEVLTGKFPACRQGRQGTSDLAGWVNSVITEERTGEVFDKDMSGGKGNEEEMLKLLQVALSCCEADIDKRLDLKTALAGIEEIREPEPESSSTLTGEGESKS >SECCE6Rv1G0439870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:799902835:799905027:-1 gene:SECCE6Rv1G0439870 transcript:SECCE6Rv1G0439870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALRAKQIAERKFESKDLQGAKKFALKAKSLFPDLEGIMQMIITFDVYLTSELKVAGENDYYSILSVDTSADDETVKKQYRKLALLLHPDKNKSVGAEGAFQLVKEAWTVLSDKAKRLLYDQKRKVVVLQQKTAQSNRTSATNGFQNFAAKSPAFKPRANKPKTGSTTSAARQRPPPPPQRPPPHHQAPAPPPAMKDTFWTSCNKCKMNFEYLRVYINHTLLCPSCREPFLAKEVPVPPTEDVHAVRGSNISGAPQDASTGRNFQWGPFSRAAGAASATASSSAAAQAANVVHQTYEKVKREREEAQAVARREEAFQRKYNPLKRQADASMNHGMGDNAFGKKMRTDAGVGSSIPSGPWAQFARMPVGTIPFSTNGAFEFQIVNGVPTWKPRPVTRLSVTKTFSNSDLKRILIDKMKSDLKEKLSRPIQVTVDGPASKKHIEGSKASDDSTANKDVCVDPEENGSADSTAAENEDEDPMSYTVPDPDFHDFDKDRTEECFQSDQIWASYDDDDGMPRFYTFIQKVISLNPFKVKISYLESKTNSEFGPLSWVSSGFAKTCGDFRIAKHEICDVVNMFSHQIKWEKGPRGAVKVYPREGDIWAVYRNWSPDWDADTPDNVLHVYDLVEVLDDYDEDHGISVIPLVKVTGFRTVFQRHQNRSVIKRIPKEEMFRFSHQVPFYRLSGEEAPNVPKDSYEVDPAAIPKELLQGMTETAEEAEGTSKSS >SECCE4Rv1G0266780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:733379112:733382086:-1 gene:SECCE4Rv1G0266780 transcript:SECCE4Rv1G0266780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLSVSLPSPQPLSLLPRHARAAHPHSHAPAPPLSTRAPPSSACSAVPARHSDYFDPRAPPSQRDAYGQPPLEREPPVPGGQAGRVFASYSIYKGKAALAFDPRPPQFVPLESGAYKVAKEGFVLLQFAPAVGPRQYDWTRKQVFSLSVWEMGTLLTLGLTDSCEFFHDPFKGRSDEGKVRKVLKVEPTPDGNGRFFNLSVQNRLLNVDENIYIPITKGEYAVIVSTFNYIIPHIMGWSTFTNSIKPEESQPYSRPQSSPELEWRR >SECCE7Rv1G0523300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:881636051:881639756:-1 gene:SECCE7Rv1G0523300 transcript:SECCE7Rv1G0523300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASLLRSFSSQGRLRRSKNGRSSRLVVRADAKEIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGNPKVVNDGVTIARAIELANPMENAGAALIREVASKTNDSAGDGTTTACVLAREIIKLGILSVTSGANPVSLKKGIDKTVQGLIEELERKARPVKGSGDIKAVASISAGNDELIGAMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKSIVEFENARVLITDQKITSIKEIIPLLEQTTQLRCPLFIVAEDITGEALATLVVNKLRGIINVAAIKAPSFGERRKAVLQDIAIVTGAEYLAKDLGLLVENATVDQLGTARKITIHQTTTTLIADAASKDEIQARVAQLKKELSETDSIYDSEKLAERIAKLSGGVAVIKVGATTETELEDRQLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTYVPAIKETIEDHDERLGADIIQKALQAPASLIANNAGVEGEVVIEKIRESDWEMGYNAMTDKYENLIESGVIDPAKVTRCALQNAASVSGMVLTTQAIVVEKPKPKPKVAEPAEGQLSV >SECCE1Rv1G0036670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:511110300:511111375:-1 gene:SECCE1Rv1G0036670 transcript:SECCE1Rv1G0036670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP18.3 [Source:Projected from Arabidopsis thaliana (AT1G54780) UniProtKB/TrEMBL;Acc:A0A178WHT4] METLLSPSALLGPLRGSRKPAAPAAISCSLKKQTRAQAGVAWRGDGDGGVGGWASFLHHGLAAAALSLALTLSPAPAPAVASEFDVLNDGPPADTYVVDDAGVLSRVTKSDVKRLARDLEARKNIRINFVTVRKLTSKADAFEYADQVLEKWYPTVEDGSNKGIVVLVTSQKEGAITGGPAFVQAVGDAILDATVSENLPVLATDEKYNEAIFSTAKRLVAAIDGLPDPGGPAFQESKRESNFKSKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYISKK >SECCE4Rv1G0292990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884358332:884368019:-1 gene:SECCE4Rv1G0292990 transcript:SECCE4Rv1G0292990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEVIKADTIEVAVEKILIELAANTISSGENTIYFDGWDGLGASAVLQAVADRLTISNEPLTRLTVLEFEKIIYIDCSKWVNRRAVQREIAEQLKLPSWVFEMLDKQDEEDDFNGLDQSSRSEMGQVVRETYQTIQNRRFLVILHNGSNEEINIFKFGLSLYGYANSKMLWTFQGRFRLDPKMIDNVKKSTTTHVVLSASRDGRDLQELCPYLVRHEATQVSCNKHGHGIIDPVIAAECVLYVLKQCCIGSHIIDFDWVMHTSNYWACLGIIALTDIEKAWKVGDALQRELRLWHNNNRLNNHGSTTMLLSHPATSMERMQYWISTETCGFIQSHSGVILDNMFQYSHSLRVLKLSWCTFSFSSPPFLCCHSLRFLWLEHCQDHLTRSTADHHPDYADAYKEKGELDNESTRLWACFQSLWVLDLRYTDCDWILSARVMDLMTQLRELNVMGAKNWNMTYLRGRLRNIRKLRIIKSICFLHNDVLSEMESLELLDFSGNTITKGMTSLSGPASNNSIKTITIGGCDGLKVVTFRHCKELKNLFLKGSLGSLEELELSGTRVKILNLAGVEARSLPKKIILQDCQKLRAILWPLNVTEHGLPKQKEEMFKGGWQISLTDTRLLRSLSPLVHFLMEATVHIDICPAATEGINIQGTSNDTPPQVQPHTSIVMGSMYRDTLKDGPVAAVMFKLLEDAPDATTGTLLLPDFICEYVTSLHVYDNPSITSVPVSPHGLVLDSLIWCRGLFCLETFWASQLLSAVYIWDKPVKSKFKLIKILHLDYCPRLVHVLPMFIWERGTFGTFSMLETIEIVYCSDLREIVYCDDLREVFPLGPEHQQQDIILEFPKLRHIHLHELPTLQCICGRRMSAPKLETVKIRGCWSLRRLPAVGRDTKPPKVDSEKEWWDNLEWDGLEKYHHPSLYEPTHSLYYKKAQLPRGTVLR >SECCE4Rv1G0216730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12275226:12275933:-1 gene:SECCE4Rv1G0216730 transcript:SECCE4Rv1G0216730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESAAVGGSSSDSAGGSFECNICFELPQEPIVTLCGHLFCWPCLYRWLHVHANTPECPVCKAIVEEDKLVPLYGRGKDRVDPRSKNTPGADIPQRPTGQRPATAQQADPNNNFGNAHANPWFMGMGGAGTGAGVPLANGRWGNYAFSAAFGGLFPMLSFQMHGFPDPAAYAQPAGFHYGFGHGHGFHGGHMGHAVPRQGPLGQQQQQADVYLKALLIMVGVLVIASLLAA >SECCE3Rv1G0199390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:844798407:844801690:1 gene:SECCE3Rv1G0199390 transcript:SECCE3Rv1G0199390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGKKTKESHRQGRGRRGSQVGEDDLPSSAYDAPPRRQEDSDGDDSDETAAEDEHDGDAEAGDRQGQWQVGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYIGGRVPLHLQEDFCGTALLSTEWLRTDTRRTAVGLDFDRESLEWCLENNLSKIGADGYSRLLLFDGNVLQPNESRLVKQKINDLVQGLSVTSDDGSTETNSCEQSDSSFTKCAGNSTMSDAVLPGRDIICAFNYSCCCLHKRKDLVLYFKHAFNALSKRGGIFVMDVYGGTSSECKLRLQRRFPSFTYFWEQEEFDILTRETRISLHFQVGKKQMIRHAFTYHWRLWSIPEIKDCLEEAGFKSIHVWVREMPDTKSSGNAKEYTADRDVKYEELQHFNQADAWNAYVVGVANI >SECCE2Rv1G0107860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:655303072:655304143:-1 gene:SECCE2Rv1G0107860 transcript:SECCE2Rv1G0107860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF2 homolog [Source:Projected from Arabidopsis thaliana (AT1G78790) UniProtKB/Swiss-Prot;Acc:Q8L7N3] MEDEETREARDETFNPDLIRAIFKLVWSRRTDRGGAVDEAVDVEPAAETSRRNRSTSANASALQVSCELLRIFVAEAVQRCAVIAEAEGTTTIEPTHLERVLPQLLLDF >SECCE5Rv1G0314780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:276304131:276305837:-1 gene:SECCE5Rv1G0314780 transcript:SECCE5Rv1G0314780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCEAEVADPGEHRRRLAAGDDDGGDGREEHALSPPPPSTTMWRRRQAQEMSAMVSALAQVVAGGVGEGGMASSAKRPAEHEALAEEAWWSTSYGGGDGDGGAPSASPFLADYTAAPAASYSPHGAAAGDEELPSPSSAESAGSGGTPRKRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDDAEAAARAYDAAALGFRGSRAKLNFPESATIPPQQPPPAPALAMPPSQRPEALLESQAYSHYARFLLPSTGEPSRLPASSAPRTPAPPVIYSFGAGASYPLQPESRGEGTSTECPAPVVTSAAAWAAYSHEQPQRRDDPSV >SECCE1Rv1G0035350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:497806655:497815120:-1 gene:SECCE1Rv1G0035350 transcript:SECCE1Rv1G0035350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGNGSRRIDPGHVHELVIRQKPYDLLHYLNSIPGASEDPHCRQIKYVAETLLLLVRRGSVPGDKTLIVDWARNAWLNATQSSLFSSHMVGGPSNFTSDAGYNMHPHNSSSYSGRMLTNESGSNELRHAARHNQLSASNPRNHTATFPPKQANSTDVMLDGTPAFNSGTHASAHVPLWNNSKLGHNMHPHNSSSYSGRILTNKPGSNGLRDTATHNQLSASYSRNHTATFPQQQLNSNDVMLDETSAFNPGTHASACVPSRDNYSLGHNMHPHNSSSYSGRMLTNEPGSNGLRDTAMHDQLSASYSRNHTATFPQRQLNSNDVMLDETSVFNPGTHASAYVPSRDNFALGHNMHPHNSSSYSERMLTNEPCSNGIEVREAAMHNRSSASNSWNHTATFPLVQANSNDVMLDETSAFNSRTHASAYVPLRDNPTLAQAFNELLHNIFSPQKNGTRAVGPLWLRHPGSEQKRKVLPTVDIKGKRPASMKVTTLRLNVASLVAEDGINSAAGVLIRDGSTSQFVGASCFSPILRREPALLLAAACCKGIKIALSYQPASITLESHLLPLLNPLYASSDQPPDVVQLKEFLSQGYPHFVVRDISEESNRAACDLALNVLLLRESYMFFNDPPEWLVPYLDQ >SECCE4Rv1G0255360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:646020354:646020848:-1 gene:SECCE4Rv1G0255360 transcript:SECCE4Rv1G0255360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPKLAIVLALAMAAAMANLSQAQNSPQDYLSPHNAARAAVGVGAVSWSTKLQGFAQSYANQRMNDCKLQHSGGPYGENIFWGSAGADWKAADAVNAWVSEKKDYDYGSNTCAAGKVCGHYTQVVWRASTAIGCARVVCNNNLGVFITCNYEPRGNIIGQKPY >SECCE6Rv1G0396180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:359881431:359882840:-1 gene:SECCE6Rv1G0396180 transcript:SECCE6Rv1G0396180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAAASASTALLFPPSTSSSKPRLPLSTSLGFSAPVRSRRATAAAGSSGRRPGLLVVRAARGKFERNKPHVNIGTIGHVDHGKTTLTAALTMVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPSIVVFLNKKDQVDDEELLELVDLEVRELLTAYEYDGDNVPIVSGSALRALEALMATPGLKRGDNEWVDGIFSLIDSVDTHIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGDPVDLVGIRETRSATVTGVEMFQKTMDDAIAGDNVGLLLRGMQKEDIERGMVLAKPGSITPHTKFEAVVYVLKKEEGGRHSPFFPGYRPQFYMRTTDVTGNVTNIMNDKDEEAKMCMPGDRIKMVVELIQPVACEQGMRFAIREGGKTVGAGVINNIIQ >SECCE3Rv1G0207300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:919725125:919727684:-1 gene:SECCE3Rv1G0207300 transcript:SECCE3Rv1G0207300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGPGLAGEPAPASSSASSSGSRASRPRKGVRLRPLHRRRGPATSTLASRGGDGEGEDNGGGAAQDDLALPLGMSFAAVLARVMNSSNDSGERLHPVILSKICTSAVKESLANTYGDRFDSFMRNFENSFSSTLRTLHRINEIPVYERSPTPEYSSTHGGSGAVGNLSAVDLQNHTKEIEQDLMNSVESQLVLYARDNRQLVDRAHNRSSREADQCILSAFERSVKEQARSNELKECEISLSMRKLQLKQSELALSSSSHMLEKIKLSLGFQKASFQGEKFKTQMQDTRHAEILRTLIDFLVSAVVIMSVCFAYGTYVHSYQRITDVTAACSAASRGSKSWWVPNSVSNFNSGLQFVRCHVIAATRMCFGIVMIVAIAWLASQRSALTGSNMPITFNFILLGVICGFAGRFCANTLGGDGNIWLVWWEVLCSIHLIGNCYPSVIYHVLHGPITITHSKTGVGLPYWVRRCIFYAALGLVIPVLTGLLPFASLSDWRDHFSEEIKSFFVGDEVEA >SECCE4Rv1G0241940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:464635435:464637410:1 gene:SECCE4Rv1G0241940 transcript:SECCE4Rv1G0241940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRGVAAMAPLLVLLLLLQGWSAMGSPRLRDRWEGGEGEWRPEEEAKSGGGGGGIGKGLFLLDKVEKVVESEGGSVHVVRGLPPSGVPEAPWQHGGWSARGGACREGLMHIGFITMEPKTLFVPQYIDSNLILFVQRGDVKVGCIHKGGLVEKQLKMGDVLHIDAGSTFYMVNTGKGQRLHIICSIDASDSTGFGPYQSFYLGGGGKQTSVLAGFEPKILVTAFNTTYDELERILPVKPQGPFVSYTAESGGKEHGQGDKRDVGENGRESEPWRAVGRGDDDERGSRQSTWTWSWRKLMGRFLGGASSSASTAQLNKKSDKTVRAPEPYNLFDHEPSFRNTYGWSISVDKHQYEPLDHSDIGVYLVNLTAGSMMAPHVNPRATEYGVVLGGEGVIQVVFPNGSLAMSAQVRAGDVFWIPRYFPFVQVASRGGPFVFFGFTTSARRNKPQFLTGTTSVLRMMLGPELAAGLGVPEKELREVVEAQKVAVIEPPLPEKEKEPFVMKQVARE >SECCE4Rv1G0286660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850215199:850223409:1 gene:SECCE4Rv1G0286660 transcript:SECCE4Rv1G0286660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MAWLPSGAAAGPALRGARASPALSSGVAAAVMLYKRALPPLHSARPSAAAQRFFYQLLERGASTVYLGRWVHSATCSVSVDDRPHVELTDGSNGDLVQKLEMVGAFQRIPMVMPATDILMSAQRKSRNVPPTKGIQNLAKRERNKGAKQLDALMKELSVPLRTYTENFPRRRDLHPYERSLIELTFGEGYYEKVLGRVDALRKKITSVGKQHASVCAKSLTKREAEERLTEGRKELEEVFQRGQDAIEDLINVAKALRSMPVVDPHIPTLCLVGSPNVGKSSLVRILSTGKPEVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRHDDDRNNIERLTLAVLSYMPIAVLYVHDLSEDCGTKVADQYITYKHIKERFGDRLWIDVVSKCDLLDRATPSRFDDAADDGADDELRRYREFGPEDAIRVSVQSQIGTQELKQRVHHLLTSQMARIKATGSDDEAVSEVR >SECCE7Rv1G0498710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:644374511:644381971:-1 gene:SECCE7Rv1G0498710 transcript:SECCE7Rv1G0498710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLSRIPISTAAFFSSSSSQTLAPSPSLAPLRRRFVAMAAVAAEEFVKGRVFPNGVAVITLDRPKALNAMNLEMDLRYKAFLDEWETNPNVKCILVQSSSPRAFSAGMDIKGVAAEIQKDKSTSLVQKVFTAEYSLICKIHEYAKPYICLMDGVTMGFGIGLSGHGRYRIITERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGAYLGMTGKRISSPADALFIGLGTHYVPSGDLGSLKESLLSANFTADPHKDVESLLTGYKKEPESVPQLEKLLPDIVSSFGPDKSATESVEELKKRSQSGDATVSEWANDALAGLKKGAPFSLCLTQKHFSRVASSYGNNENYLSKLAGVMKLEYRIALRSSVRSDFAEGVRAVLVDKDQNPKWSPATLEDVNMDEVESVFEPLAADAELNV >SECCE7Rv1G0468840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:103997096:103999641:1 gene:SECCE7Rv1G0468840 transcript:SECCE7Rv1G0468840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRRRASRKGGGGHGLESSGEMPQEHEHPAAEDIHESDPDECVAHVKKAELHIQDIHEAKLLIQELNRSGVGEDISDEEFLAYFDQLAPGPPWIDLKAGLTEEDLVQQYVDHALCRFRYYKYKPKEEPHGDNLLEEEEDDKEEREYLATLTEEQLLFFEEDDATSEEDDNLKDKTEDDCTMEFLEGKGFFISFEKDGTLDWFFYPAYCECASLSDYQRLVLKNYGGIEYSMWSDYHSYRHSYDIEREYLKYCGELSKRLKWMEDYVDICRSSVKWGKISSRGAFQAIKIAATSFPKITPTLAYNGFDEYKERICYYHTWFKEYDRLYFEIWRRVTKGTSFRKAMEDVCKMNNFPVRQGLMQTALDHEYTMTLMEEDFHTCTAAIRPGVKEDKAKELIADGVKKLVNMPKSYEDYIRKKIEIASIIGILPSDKTVATV >SECCE1Rv1G0021080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:263988721:263991915:-1 gene:SECCE1Rv1G0021080 transcript:SECCE1Rv1G0021080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLMAAAAAGVEPFPSVDKCDASGRGSHAVAADLDGTLLRSRSTFPYYALVAFETGGWLRLLLLLLLAPLATLLGIAASEAAAVRVLVFAATAGARVSSIESAAQAVLPRFYAADVHPGAWRVFLACSRRRVVLTSTPRIMAEPFLRECLGADAVAGTELATWRGRATGMVHPRRGVLVGRRKAEALHEIFGEDGDVPDVGLGDHRSDYPFMCQCKEAYIVPSAPVEAISMDQLRRQVIFHDGRLALRPTPLAALLTVIWCPAGFVLACLRIAAGALLPMPWVYYAFWALGVRVVVKGSPPPRAGSTAGRTGVLFACSHRTLLDPIFLSAALGRPVAAVTYSLSRLSEMLSPIRTVRLSRNRATDAAMITRLLQEGDLAICPEGTTSREPFLLRFSALFAELTDEVVPVAMESRMGMFHGTTARGWKGMDPFYFFMNPSPVYTVTFLSKLPSELTCSSGGRPSHEVANYIQTLIASTLSYQCTSLTRKDKYRALAGNDGIVEVKPMNKAH >SECCE7Rv1G0483710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:325802431:325808662:-1 gene:SECCE7Rv1G0483710 transcript:SECCE7Rv1G0483710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGGADPARQHLSPQGGGGGQPPVPRSPTPLDLAAASVYHHRRLSPSPRPPAHPQVRLPSPYGQIPSGAAAHHARSLSQPLFFSLDSLPPPPYADLAGPPAIPPSPPSSSSDPPPFGLPPRRAAHRRSQSDIPFGFSQLSPPLPPPAPVKREAATGQDGGRLEGDDAALYDLVNAYMDLDGMDALNSSEDRHDDRDSHSRASGTRAASAAESSENEAESQSTSGERKDGAKSRHCRSLSMDSFMGKLNYATGDDSPKLPLPSSSGGLSRSGSGSMDGGGAASLFGTEFANGEFTEAEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSGGLATQNNELKIRLQAMEQQAQLRDALNEALTGEVQRLKLATGEITDARMSKAGLQQQMNSQLIQMQQLQIQQQQQQQSSQTQQAQQQQQQQQQSQQSA >SECCE2Rv1G0138110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920761369:920762968:-1 gene:SECCE2Rv1G0138110 transcript:SECCE2Rv1G0138110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVFIVGAGPAGLATAACLSQFAIPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKNLFVKYLDDYVERFNIQPQYLTSVESSTYDNHEKCWSIVANDMSKCTTVKFTAKFLVVASGENSADNIPMIPGLENFLGDAIHSSSYKSGKSYSGKNVLVVGSGNSGMEIAYDLATHGANTSIVIRSPIHVMTKELIRLGMSLAQYLPLNLVDKLLLMAADLIFGDLSRHGITRPKMGPMTLKSETGRSAVIDVGTVGLIKKGIIKVERSISKIKGNIVEFQCSKNISFDAIVFATGYKSTTNIWLKNGESMLNGNGLPIRKYPNHWKGENRLYCAGLARRGLAGIAADAKNIANDIKSVIYSMSS >SECCE2Rv1G0101910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:571556726:571561383:1 gene:SECCE2Rv1G0101910 transcript:SECCE2Rv1G0101910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACCCSSSSAPPASILATGAGLRRRFSPAPAAASGGRAVALAPPLRASSAALLAAPPRRGQQRRGAAGLVVRAVFERFTERAVKAVVLSQREARGMGDEVVAPHHLLLGLVAEDRSAAGFLASGVRVERAREACRAAVGKGGPAQAATGLATDVPFSGASKRVFVAAVEFSRNMGCNFISPDHIALGLFDLDDPTTNSILKSLGVVPTQLAKQALTRVKGELAKDGREPLGLSSFKLRDKSTAGNGRTAIAKYSNKKKEKSALAQFCIDLTMRASGGFIDPVIGRAKEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVGKRILSLDVALLMAGAKERGELEARVTSLIREVRKADDVILFIDEVHTLIGSGIAGRGNKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVYVNEPSQEDAVKILLGLREKYETYHKCKYTLEGINAAVYLSVRYIPDRHLPDKAIDLIDEAGSRARMESFKKKKEEQCSIILKSPDEYWQEIRAVQAMHEVALTNRLKYSLNENDQENEVNVEVLDDSKASPTTTHSASADEPSVVGLEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRTGMSDPDRPIATLLFCGPTGVGKTELTKALASTYFGSESAMVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLFDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNTLIVMTSNVGSASISKGTMNMGFQTQNDTEENTYAVMKSLVMEELKAFFRPELLNRMDEVVVFRPLEKTQMLAILDIILEEVKGRLLALGIGLVVSDAMKNMISQQGYDKSYGARPLRRAVTQLVEDVISEAILSGQYKPGDTIMMDTDDKGKPCLSRLNDQTVQVSDPTPTL >SECCE7Rv1G0481150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:281034436:281035153:-1 gene:SECCE7Rv1G0481150 transcript:SECCE7Rv1G0481150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCAYHARRRVGRDNCLSVSCTLSRRISACGMDKKFGGMAPKKPLISKDHERAYFDSADWVLGKQAANSSSTAAVESLKPKLKRTPHPQLPPRKPTCASG >SECCE6Rv1G0443700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:824010211:824011416:-1 gene:SECCE6Rv1G0443700 transcript:SECCE6Rv1G0443700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSQKIESTLPDIPGHLLEKIFLLLPSPEDLARTSAACVTFRGVVTDGSFLRRFRRLHAPPLLGFLDLDGFHPALPPHPSAPAAHALALAADFTFSFLPSHCQWTVQDGRDGRVLLERKHGKDEEDLPILPELVVCDPLHRRYILLPSVPDDLAASVEKQGRFFGSFCEPSLIPPNEGETEETAFRVIWLTRCGINLAAFAFSSSTGLWQAAESQPWTNLFIGHLALYFLKRHFACGCIYWASPMNKKELLVLDTRTMKFSIVDFPPGAWLQLQVAIVDAGEGRVGMFSTHDGNAFYESILCYMVRQNKGESSGQWVMKTISLGYGYRHYIRAATENHLILLRMDAQWPNVALSLPQSKMDFFSLDVKTMQLERLFAKHNERSAVHIYTNFPPSLSSPTV >SECCE5Rv1G0370750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:843759199:843761007:1 gene:SECCE5Rv1G0370750 transcript:SECCE5Rv1G0370750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLFPGLVWFLCLFLAFFCLPLLGICNESESDRQALLCFKSRLSAPAGVLSSWSNTSMEFCDWHGITCSAKSPRRVVALDLESQGISGTIAPCVAKLAWLARLQLSNNSFSGGVPSELGLLSRLTNLNLSTNTLEGTIPPQLSACSQLQVLDLWKNSLRGEIPPSLSQCKALQEIDLSNNDLQGSIPSAFGDLTELRILVLAYNMLTGNIPPSLGSCRHLTYVDLGSNFLGGVIPESLANCSSLQVLRLMSNGLTGKLPKALLNTLSLGAIFLEKNNFVGSIPSVTVTPSPIKHLDLRYNNLSGRIPSSLGNLSSLVHLLLTRNHLVGSIPESLGYIPTLEILALSLNNLSGPVPPYIFNMSSLKSLAIAQNSLVGRLPFDIGYTLPKIQDLIFTENSFDGPIPASLPKAYHLRWLYLNDNSFTGSIPFFGSLPNLEELDMGNNKLEADDWGFVSSLSSCSRLSMLALDGNNLKGKLPSSIGNLSISLEWLFLSSNKISGPIPPEIGNLTSLNSLYMNYNLLAGNIPPTIGKLHNLVYLYFAQNRLSGQIPDTIGNLVQLSVLELDHNSLGGRIPASIARCTRLTELNLAYNSLDGRLPS >SECCE1Rv1G0036720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:511679896:511683358:-1 gene:SECCE1Rv1G0036720 transcript:SECCE1Rv1G0036720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BI1-like protein [Source:Projected from Arabidopsis thaliana (AT4G15470) UniProtKB/Swiss-Prot;Acc:Q94A20] MASATEMQPLAPSGYRRAPEMKEKVEASSIDLEAGNGETLYPGISRGENALRWGFIRKVYGILCAQLVLTTVVSAITVFHPSLNATLSNSPVLALVLAVLPFILMIPLYIYQHRHPHNLAILGLFTLCLSFSIGVACANTEGTIVLQALVLTSAVVVSLTAYTFWAAKKGKEFSYLGPFLFSALTILVVISFTQIFFPFGPASNAVIGGFGALVFSGFIVYDTENLIKRHTYDEYIWASVELYLDILNLFLTILQMLRQNDN >SECCE2Rv1G0123740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:826730190:826733776:1 gene:SECCE2Rv1G0123740 transcript:SECCE2Rv1G0123740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKSAHVCSYDWAASGLADGKPEGKVSSKPSAKPEVKSNGKGTPPTPRGETPPTPKGDRPRKPAVPKANAAHGTPPSAPRTADKSPRSADRKSPKGATPTRITTTTPPPPEKQGKAGKPSPEQQAAKPSQELQAQLDAVQEALKKAMGQLVEKEEEKGKVLEELECAKKVADEANAKLKEALDVKSRTVEIEKVHSMEPEQLSNDDSARGEEDELRRKLKSMQSQQEADAAALHSTVEQLEKARYELADAIDAKNWALSQADDAMRACEVNTQKIELLNAEVERLKGLLDSEVESKSREAADRIGKLEAENSVLKLELEKAKLAEKKVIELERVVEQLRVDVANAKKECSKSDDLADELKKKVQLLEFQLEEADQSLILKGKSLDSVMEELDETSTLLKDKESEAAVLHDNVRSLEDEVTRLKEEIDVTSERLDAAEKDTADLIAELEELRLKLQAVEKEKAEALNNDQLATSEITALTEQKNELAKELEASKDEVEKVKKAMEGLASALHEMSAESREAQEKYLIKQEEIEHARAQVEELNNSLQNAKESYEVMLDEVNYEKVCLKKSVERMEAEAKNVSEEWQSKELSFVNSIRKSEEEIVAVKAQMDKYLAVVNEKEAENAELLEKMNHLEAQLVEANKASEEAKAETRQLKDKLLDKENELQNIQEENEDLQAKESAASEKIKELSSLVPNGTTNGSNKEEDNENGGGVDDEPVVVVAKMWENSKVTDYDSSKEKENDGESEVDLESNKGDSTLDSNGLQSTKMNNGSTSPTKQQQQKKKKFKFSGLLKKKSSN >SECCE7Rv1G0465390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:69516724:69518950:-1 gene:SECCE7Rv1G0465390 transcript:SECCE7Rv1G0465390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGIALAPLLVSPLAPPSPRGGAAVSAEPATRRRALRRVRCSAAAASGGGGDAGELSRATLLWRAAKLPIYSVALVPLTVGTAAAYNHAGLFFAGRYFGLLAAAVLVITWLNLSNDVYDSDTGADKNKKESVVNIVGSRAVTQYAANLSLMLGFGGLFWAFAEAGDVRFIVLVLCAILCGYVYQCPPFRLSYRGLGEPLCFAAFGPLATSAFYFSNSSRSISSGTTLLPITKTVIASSILVGLTTTLILFCSHFHQIDGDRAVGKMSPLVRIGTKTGATIVTVGIGSLYTLLGAFGISRYLPPSCIVLGALTLPLGKWVLDYVQRNHDDGSKIFMAKYYCVRLHALFGMALASGLALARNGILA >SECCE4Rv1G0233590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:244583341:244583580:-1 gene:SECCE4Rv1G0233590 transcript:SECCE4Rv1G0233590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAMCEIASAINNTCHAETHPDLYKVVMDLIVFDQNDRLAVLDYLTEHKAKGLNFVKMNDEVRQASFKRILKANPDLL >SECCE2Rv1G0106640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:637944063:637951678:-1 gene:SECCE2Rv1G0106640 transcript:SECCE2Rv1G0106640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHTRYVSVPSLGNAQNTTMDASSSAAAVRERKRQREGGAVPSMAGGEEQYVYLPIAEALKASGFRVCLFAAVIEIGAAFRSRGTDFSLTLRIADQSRTSGISVTFFANNTALLPCVRSSGDIISLHDVVIKVLHGEFFVTVEKRFSSFALFGGMVSTEFRPYQISMKHQGSKHDNQILTQMRMWLVYHPPGLKDLELQLRDIKSDSTFDLVCKVLHVCEAPSGEWIFYVWDGTDTPATELQTLLDMEAVTPTPLHPEEVPLPREVLCTLPCVGTVLRVFSNRFSKEIPHLQKDIYWARFCNITCKQEFGMWKGSLLPSTRIRLLSNEDGSVIERLKTYDGRFATQVHREPMASLHMASDITDVEFKRAGYTTLMESLTHEQVTHKFKTLVRVVAAYPCQGKELHSLLTGDYCLRLTLEDPTARIHAYVHKDDAVSFFGGFLTLAALTRKMNRLMGVPEPEDDAEEGVAGGRNPPWIWCCLKSYRLDKYDPWGSRRYRIFGTEIRD >SECCE5Rv1G0353000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:713012879:713015739:1 gene:SECCE5Rv1G0353000 transcript:SECCE5Rv1G0353000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRRITSVLLMFCLVVLVRAEYAKYKDPKQPLAVRIKDLLGRMTLAEKIGQMTQIERVNATAEAMSKYFIGSVLSGGGSVPAPQASAEAWASMVNEMQKGSLSTRLGIPMIYGIDAVHGNNNAYRATIFPHNIGLGATRDPMLVKRIGEATALEVRATGISYAFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTTLISGLQGDAPSDYTGRPYVGGSKKVAACAKHYVGDGGTYMGINANNTIIDTHGLMSIHMPAYYNSIIRGVSTVMVSYSSWNGEKMHANHFLITDFLKNKLKFRGFVITDYEGIDQITSPPGVNYSYSVEAGIGAGIDMVMVPFAYTEFIDDLTYQVKNNIIPMSRIDDAVYRILRVKFTMGLFENPFADPSLAGEIGSHEHREVAREAVRKSLVLLKNGKSASTPLLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGEPGNNNTAGTTILSAIKSTVDPGTQVVYAENPDGSVVDAGEYDYAIVVVGEPPYAETAGDNLNLTIPEPGPAVIQTVCKSVKCVVVLISGRPLVVEPYIGAMDAFVAAWLPGSEGQGVADVLFGDYGFTGKLPRTWFRSVDQLPMNVGDEHYDPLFPFGFGLTTEARK >SECCE5Rv1G0323880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:440765622:440767232:-1 gene:SECCE5Rv1G0323880 transcript:SECCE5Rv1G0323880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKAFAERESTFFMETSALEAMNVENAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKAGCCSS >SECCE7Rv1G0517390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:854042259:854043641:-1 gene:SECCE7Rv1G0517390 transcript:SECCE7Rv1G0517390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSILALALLVVLVSFSHGITALVSSSPPPFNGSGGGGFSLRVIANHQPVHYDLASLQRAKEQVKCRIKHQILPTFDEIRPFMCPLDDMVYGVTVAVGTGDGLQNYQLALDMGGGLSWMQCLPCRSCRPQLNPVFDPTKSPTFSTVSANNHLWCRPPYHALPNGACGFHIAYRDATGASGYLVRDTFSFPTGNNQFVPLPGIVFGCAHSIERFHNHDTAAGILGLAMGPLGKPPTAFTEQVLPAHGRRFSYCPFKPGTSLYSYLRFGNDIPSHPPPGVPRQSTLVLAPARNSEAYFVKLAGVSIGVNRLRSVTPNMFRRTAHGTGGCVIDIGTKMTAFVHAAYVHIEQAVRQHLEHHGAHIVVVRGHKCVQQPAPHHNILPSMTLHFENGAWLRVMPEHVFMPLVVSGHHYQCLGFVSSTDLTVIGARQQVNHRFIFDLHDTIPIMSFNAEDCHLEGA >SECCE6Rv1G0381160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:29905402:29905888:-1 gene:SECCE6Rv1G0381160 transcript:SECCE6Rv1G0381160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQRRKGPDVVGSFGLLQPLAYGLKLILKEPISLSSANFSLFRMAPVATFMLSLVAWAVVPFDYGMVLSDPNIGLLYLFAISSLGVYGIIIAGWSSKMGGGRSVAYDIGTNWRGLTGLGLINPFFHLSRGRSLFRAGIEKWKS >SECCE1Rv1G0045210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:608970581:608972248:-1 gene:SECCE1Rv1G0045210 transcript:SECCE1Rv1G0045210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLWHLGDEFRGQSKVVEDRQWSLMTSKLAEITKSKAERMNDFEYARMNTVPDVKQWDKLSYHQEDNKMDHLNLGLMNLDLKMNDLKMNEAAMKYPFRNMAYNMNPMYPKGNNGNVNSFKMNVGVNKYPNNQNGKEANGKHNGGNNNNGGNSNNNSVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRRLCKALEEDAFRPVLHHYDGPKFRLELSIAETLSLLDLCKTEDA >SECCE2Rv1G0090290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:274759961:274766271:-1 gene:SECCE2Rv1G0090290 transcript:SECCE2Rv1G0090290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRNGNASGSGGSRRRRLDDDDDESVPSDNTSDSDFVADMEDDVADDDDEFASDGDAPAPAVVIEVVAPPRPVLPPRRRKSKWRPKKKGKRDEDGPPLPWEEWEEANTKWLDERAGAVEETDAPAAEVVPTAEPAPEVLLQLLRFQKEWLAWALAQEASVSRGGILADEMGMGKTIQGIALVLTARRLRPPGSPPPSPPSSSRGLPMRRVGCTLVICPVVAVIQWAQEIERHTAKGSARVLLYHGARRGSQKYDFDTFDFVVTTYSTIEADYRKHIMPAKIRCEYCDKLFYPDKMKVHLRYYCGPDALRTEKQAKQKSKKWADTKAKGKGKGKASASKSKSGIEEEEEEDFEELGSKSRGKSPLHSVRWERIILDEAHFIKDRRCNTARAVFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCDCQILDTNMKKKCDCGHSSVRHFCWWNKYIATPILYGSASFEGRRAMTLLKEKVLKGIVLRRTKIGRAADLALPPKTVTLRRDSFDRNEMEFYEALYTQSCTQFDSYVVAGTLLNNYAHIFDLLTRLRQAVDHPYLVAFSKTAESREGCKNQQNGATESQCGICHELAEDVVVTSCDHVFCKTCLMEYSATLGNVSCPSCSKPLTVDLTMENSRRKVPANLKGGKRSGILGRLQSLADFKTSTKIDALREEIRNMIEHDGSAKGIVFSQFTSFLDLIEFSLQRSGIKCVQLNGKMNMVEKGRAIDTFINDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIRSTRFVIKDTVEERILQLQEKKQLVFDGTVGDSPEAMSKLTEADLKFLFQN >SECCE5Rv1G0360390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:769212473:769220769:-1 gene:SECCE5Rv1G0360390 transcript:SECCE5Rv1G0360390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGGGRGGRGDQQYGGGRGGAPAGGGRGGGRGPTGFVWPPPGAPSAPRPAAPAQYAPAVAIYHNPNATGPHQGAYQHGVVVRNPAPAPYANVRASTPAVTIRAPSPTPVTVRAPAPTPSPAAAPFQPARASAPAPTTPAAVAKELEQKLFVTETALAPPAAAAAAAVAATQVGQAEAEKAPEVDLAPVSKKGLAHPARPGAGTVGKKVMIRANHFLVNVADNNLFHYDVSINPESKSRAVNREVLSELIKLHGKTSLGGKLPAYDGRKSLYTAGSLPFESEEFSVTLVDPEKKDKEKAEREYKITIRIAGRTDLYHLQQFLKGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVVQFVQEFLNLRDSSRPLTDRDRVKIKKALRGVRVETNHQQDQIRRYKITGITPVPMSQLIFPVDERGTRMSVVQYFMQRYDYNLKHTSWPCLQSGSDARPVYLPMEACKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIREMVLHNKYAEDKFAQEFGINVCSDLVSVPARVLPPPMLRYHDSGKEKTCAPSVGQWNMINKKMINGGIIDNWACVSFSRMRPEEVHRFCCDLIQMCNMTGMSVNPRPLVDNRSASPNHIENALRDVYRRTTEMLSKQGNEKQLQLLIVILPEISGSYGKIKKVCETDLGIVSQCCLPRHAARPNKQYMENVALKINVKVGGRNTVLERAFVRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVNKDPQRGTVNGGMIRELLIAFRRKTGRRPERILFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYMPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDLMICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGDSSDGGSTPGSSGQAAIAREGPVEVRQLPKIKENVKDVMFYC >SECCE3Rv1G0202870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:878648407:878648922:-1 gene:SECCE3Rv1G0202870 transcript:SECCE3Rv1G0202870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQRFKLLATRCAAGAPSPSRSPAPSYATSPGCRLRRRRGSRLRRFLCRRVGGGLPEPAGRREEDKKPLVGRGSRTLRDLFVASPEAGRTRRGCGCDADSDDDDEEDEAGSARSGGASGTASGGGGGRRFRPAGGGLRSLLMRRSWRPVLVAIPESEGGKIELGAIEE >SECCE6Rv1G0401900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:474902142:474903149:-1 gene:SECCE6Rv1G0401900 transcript:SECCE6Rv1G0401900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTKPHPALILPSASLPNPNLNAARVRPLASSAPHGRSGLRVSASSSPAASAALNRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQASVIRDLVLLSCVGLRPVLVHGGGPEINSWLQRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKQLVSLISLAGATAVGLCGKDARLLTARPSPDAAALGFVGEVTRVDPSVLHPIIASGHIPVIATVAADETGQAYNINADTAAGEIAAAIGAEKLLLITDVSGILADRDDPRSLVKEIDIAGVRRMVAEGKVGGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >SECCE7Rv1G0457320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:15899273:15907465:1 gene:SECCE7Rv1G0457320 transcript:SECCE7Rv1G0457320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASSAGQMAALRPPPRLLLLTPRPAALPLRRRPGPLASSARRRGGAGRVCVPRCTGATPPLQTSAGGPAVQIPARRKCSPFLESALLPGGSELAVHEWKAVPDIWRTAAEKYADRVALIDPYHDPPSELTYKQLEQQILDFSHGLRAIGVAPDEKLALFADNSCRWLVADQGIMATGAINVVRGTKSSDEELFQIYSHSESIALVVDSPQFFNRLAESLISRINARFIVLLWGDKQSLNSKAVLDIPVYDYNDITELGRENRNALCYSSELSEQGQQGVFEAIGPEDVATLIYTSGTGGTPKGVMLTHRNLLHQINNLWEIVPAVPGDRFLSMLPPWHAYERSTEYFIFTNGIQQVYTTVKHLKADLQNHQPHYIISVPLVYETLYSSIQRQISASSHARKTVALALIKISLLFMEAKKIYEGTVLSNSPVKPSFISYMFNYLRARIVAALLWPLHNLAKMLVYKKIHSSIGISKAGISGGGSLPMHVDKFFEAIGIKVQNGYGLTETSPVVAARRPFCNVLGTVGPPIKHTEIKIVDIETGEVLPDGSKGIVKIKGLPVMKGYYKNPSATNKALDEEGWFNTGDIGWIAPHHATGPSRKCGGMLVLEGRAKDTIVLTTGENVEPAELEEAASRSSLIDQIMVIGQDRRRLGAIIVPNNDEVLAAAKRKSGLDGNNGLVKDTVTRLLYDELRTWMAGCSFQIGPILIVDEPFTIDNGLMTPTMKIRRDKVAAKYQSEIEALYK >SECCE4Rv1G0222300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55990430:55992762:-1 gene:SECCE4Rv1G0222300 transcript:SECCE4Rv1G0222300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGLFQVLPRLVVFDLDYTLWPFYCECRSKRESPSLYRHAKGIMCALKEKGVDMAIASRSPTSDIARVFIDKLELQPMFVAQEIFSSWTHKTEHFQKIHRKTGVPYKSMLFFDDEDRNIQSVSKMGVTSVLVNNGLNLDMFKLGLSNFATTVAAPEPAPLPENK >SECCE4Rv1G0260430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:695872631:695874520:1 gene:SECCE4Rv1G0260430 transcript:SECCE4Rv1G0260430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGIAGVQESSDMSISSDDDGIKQQRKSNVELAYDRAEAQPDGSLGEPEVGMSFDTENEVREFYNTYAKAKGFGVTRRSSNRDDNGQLKYLTFSCSRYGKTQSNSGNMLKPSRTAGTGCKAKINITRAPDGRFHLSTVILEHNHILSPLEPRRFRCNKKLDFRVKQRLELNDPAEIRVSKSSTIPRVTHFDIEKQFQSAYTNSKFKEFQEELIQTMYCDRKLMQKEGAIETYEITEDVLIDEDKGWRKDIVHHVYFSEEEFEVKCSCRRFEFTGILCRHVLCVLTHKKIKEVPPQYVLDRWKKNVNRNHNFIRCTYGGMEDTPAAERFDRLCNSFYPVAEIGAMSDDSCNALMEELHTLKIRFSSNSSSENGKEHVATREDAPSNGKTTSKTIPSPIAVRCAGCPPSPRKQSMLDKLICQANEKKKEAEQKASSTNLNKKRPRKNKKSSVGDILEQHVMEHSSQQPVCLYGPASSITSQRTFDLAITTRNINPSMTATIPSGGSSTMVMPQILGEYTLVPFQVQQGSAIVSSSAELHFDGIGGLNNTVDRS >SECCE6Rv1G0402600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:484993828:484996547:-1 gene:SECCE6Rv1G0402600 transcript:SECCE6Rv1G0402600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPVPLPTAFAGWWEHVNGSPAWQDGIFWALAALYGLIAASSFIQVARIQHRVPEYGWTTQKVFQFLNFLVNGARCSIFAFRRQVQLVNPQIFQHVILDLPGLAFFTTYAMLALFWAEISYQARGLDTDGLRSGFYTINGVVYAVQVLVWVLLWMNPNPSMLLLSKLFIAGLSFSAALGFLLYGGRLFLMLKRFPIESKGRQQKLSEVGRVAAICSCCFLARCVMMCFAAFDKEADLDVLDHPILNFIYYLLVEIVPSALVLYILRRIPSKLKLSHYHPLSSG >SECCE4Rv1G0286210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:848446273:848453706:1 gene:SECCE4Rv1G0286210 transcript:SECCE4Rv1G0286210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLLDDKFPLVRRITCQTISRCSKFIIQSCDHEQFAKIIMGLLRRILDTNKKVQEAARSAFMTFGKDAAESNGIEIILEQLILELGKHQTQDLWLISEALCSLADVVGAKLNKEEHLRIVVPPLIKKLQQLQKSNKDILPLLECFTSIAQAAGSGFFQFAEPVFQNCLDLIKFRQLANADPAAPHAPDDKDSIVYSLDLLLVLAEALGADTESLVAQSDLIDLIMRCCMDEVAGVRQSALALLGRISMVCHTLVLPRLEEFLEVTAEQLIMQSVKAAPSVANNACWIIGELAMKFGQETSSPVVTTILSRLLPILRSSEGLDNIFVGNTAITLGRLSLVWPMTVSAHLKRSMQAWCNALCMARGDLEKEDGFSGLCEMVEANLSRAVGSLAHVCRAFASLKDIQSEALPSKVRGALNNLKQSAGDARWGQCMSTLDPSEVQRLASLMAETEPQ >SECCE5Rv1G0344830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:647516101:647520535:-1 gene:SECCE5Rv1G0344830 transcript:SECCE5Rv1G0344830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MATNGRPAASVDPDVALAYKFPEVSFAYDEKDVALYALGVGACGADAVDDKELHLVHHRDGQRHIKALPTFVSLFPNKNSNGRGIVNVPGIHFDASLLLHGQQYIEIYKPIPSCATVVNKVKVAGLHDKGKATILEIETTTSLKDSGEVLCMNRSTIFLRGAGGFSDSSRPYSYTTYPANQISRISIPNSAPSAVYEDQTQQSQALLYRLSGDYNPLHSDPMVAQAAGFTRPILHGLCTLGFAARAVIKSFCNGDPAAVQNIFGRFLLHVYPGETLVTEMWVDGQRVQYQTKAKERDRAVLSGYVVLKHIPSSL >SECCEUnv1G0556480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:311835361:311836566:1 gene:SECCEUnv1G0556480 transcript:SECCEUnv1G0556480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLMGLPGSLLTEILLRLPEPEDLARASAACPAFRRLATDASFLGRFRRLHAPRFLAFIDLDGFQPALPPHPAAPAARALASAADFSFSFLPSHCRWIPMDVRDGRVLLGRDHGKDARPPICRELAVCDPLHRRYVLLPPVPDALVASVERPRPAPRATQALRRASPPYPQRERCGGGRDGIHEDGVLHCRAPILWLGHPGCGHCGGRLGLFGIRDGTAGGSKHDLCYSVRQNTGKNSGQWQMVKTFSLGPQGLHYLKAATERHVLLICSEAPRLVGLSMVMPDLLEYISVDVKKLQLERVCVKPFGKSLSRTRIYAHFPPSLSSPTV >SECCE2Rv1G0141340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935131995:935133564:-1 gene:SECCE2Rv1G0141340 transcript:SECCE2Rv1G0141340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIHHERFGNAIFDIVPVVVLIALVVLAEIREIASYICSNLTKVTLICRYINHASWQKSRIMQKCIRRVLQCRCKILNHLEDKMNQCSILVLHQRKTPMGLLHRLKPGQKVKVPRAVKAAIMNALRSHERSGDNNHMPSLCTRPQLKTGDNLLWVVDGTKGIANTILVCHIATSILELRSAGSCLPFSDHKTAAIHLSRYCAYLVANYPELLPEDDEWCKSLYKTIKKKADHIIAGVSAVEVEYQKLVELMSASQNHQVLKDGAKLGQQLAELNQGETNTAWELLAGFWSEMMLYIAPSENIDGHSEAIARGGELITLVWALLAHLGIFSRAAGDPASITVEVV >SECCE5Rv1G0353300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:716248139:716253883:-1 gene:SECCE5Rv1G0353300 transcript:SECCE5Rv1G0353300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALVLFSHAGRLYQFSSSSNMLKTLERYQRYIFASQDAVAPTRDEMQNNYLEYMELKARVEVLQHSQRNLLGEDLAPLSTTELDQLESQVGKTLRQIRSRKTQVLLDEMCDLKRKEQMLQDANMTLKRKLGEIVLEATPDPPQQQQQQQQMWQGDRGVPSHTPPQQPEHFFQALECYPSPQPVFRGMDVNQPPPAWMA >SECCE7Rv1G0524400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885953751:885955500:-1 gene:SECCE7Rv1G0524400 transcript:SECCE7Rv1G0524400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQVDLSPGQLHKLADLIYRQEVQKLQELEFESYAEQEKYLSDAKDARDMVYHILDSAQDMIAQAEADDDATKQDIAKDVYGYCTMAIGTSLKFVRSYDTRLTYLDKLKTHSDDLIKQLKWLDAATQLDEAERLAIEASMYKKAALDTAEKFQHFIPNQFSKLLKENNILFDDLVTDNMAKLGFSGPFKNLDDIEKLQVYENIIADAGHGKPVVTYTFEALGKAGVAVLVFTAAAMVWDIYTAEDKLEEGVRDSVNALTAVVNLEVEAIVSAAVEAGFATLDMEIASVAVTVIGSVAGFGVGVLIGMAAGALLDLVFSSGTTSKVEITDGLTVCRVAPMPDGLKLARLVKHNYPDL >SECCE7Rv1G0499480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:654016334:654016697:1 gene:SECCE7Rv1G0499480 transcript:SECCE7Rv1G0499480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQGYPQQGASATAYPPPGQQPQAYVAPPPPAGYPQKDQQYPADGDADTTTSRGGHGHQHGGGFWRGCCAALCCCCLLDACF >SECCE5Rv1G0299310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:16032567:16035050:-1 gene:SECCE5Rv1G0299310 transcript:SECCE5Rv1G0299310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALLILAAVLLLCAAECRRVHAWKRSYVVYLGAHPYGREATAEDHERATESHHELLASVVGSKQAAKDAIFYSYTKNINGFAAYLEEEVATQMAKHPDVLTVMPSKMMKLHTTRSWGFMDMERDGQVLPDSIWKHGKFGQNVIIASLDSGVWPESNSFSDEGMAPVPSRWKGSCTDTAKYGVPCNKKLIGAKYFNKDMLLSHPAAVDGNWTRDTEGHGTHTLSTAAGRFVPRASLFGYANGTAKGGAPRARVAVYKVCWTGECATADVIAGFEAAVHDGADVITVSFGVDAPLADASSYFHEAVTLGSLHATIHGVSVVCSGGNQGPFEDTVVNSAPWVTTVAASTVDRDFPDQLTLGNNAKLRGISLEASDLHSDKLFPVINASSAALPNCTVNLATNCAMGCLDPAKAKGKIVVCVRGGDIPRVMKGMTVLNAGGVGMILANGEMDGDDIQADPHVLPATMITYSEAVSLYNYMSSTPEPAANISPSKTELGVKNSPSIAAFSAHGPSGTLPYVLKPDVAAPGVDILAAFTEYVSPTEVAGDKRRSEYAIMSGTSMACPHVSGVMGLLKAARPSWSPAMMRSAIMTTARTQDNTGAPMREMDGKEATPFAYGSGNVHPNRAVDPGLVYDITPNGYFTFLCSLGFSTKDLSRLSSGKFTCPAKPPPMEDLNYPSIAVPALRRRMTVKRRLKNVGRPGTYRASWRAPFGINMTVDPTMLTFEKAGEEKEFKVKMASQKDKLGRGYVFGKLVWSDGTHYVRSPIVVNALD >SECCEUnv1G0556360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310685762:310687201:-1 gene:SECCEUnv1G0556360 transcript:SECCEUnv1G0556360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKAGVGFQAGVKDYKLTYYTPEYETKDTDILAAFRVSPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEDSQWICYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPPTYSKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKSQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTTLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHSGTVVGKLEGEREMTLGFVDLLRDDFIEKDRARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIRAACKWSPELAAACEVWKAIKFEFEPVDTIDKKV >SECCE5Rv1G0368220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:827160830:827162252:-1 gene:SECCE5Rv1G0368220 transcript:SECCE5Rv1G0368220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLDEQSFLDELMSLRQEEPPAPAPWRAYPGSVMRTTSDLLFYGGEDAAEATSGMDLAGPFLPQPMAPPPAAPPHRPHEEFNFDCLSEVYNPYRSCVGGVPGPGVVHGVGQALAQYPLHDAMAEDGRSSGNLHRGGGAPSSPVPFVFGAGSAGESSEMTRGVFSGAHPRSKLNGGTTSKNLMAERRRRKRLNDRLSMLRSIVPKITKMDRTSILGDTIDYVNELTERIKTLEEEIGATPEELNLLNTTKNFSIGSSEEITMRNSTKFFIEKQGDGETRIDICCATSPGVLISTVSALDVLGLEIEQCVVSCFGDFAMQASCSQEEGRGRVTSTDEIKQVLFTSAGYGGRCL >SECCE3Rv1G0185890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679117759:679123772:-1 gene:SECCE3Rv1G0185890 transcript:SECCE3Rv1G0185890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHGASLRALLAGAAVAHLSSSPIVRASPCAPPQPLRLRAFASHSASEPPPPPPSSPSPSTSRVLASSAAACDCKEGAKPAICTADELHYAPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAVGFDLSPGASFARHMSMQGFDTWIVELRGAGLSTRGSELAAASTKSDMSSNSGVDKILTQKVNVVPPAKDMSTNEPQSSEVPVLTDTNVLETNTSEEPQLVTKLANALAQLSVTFSGYVRDSQLRNITDSFFDRVTELVPDASLTSSLEEVADKFLGLMELPQTSAIYDQISQLSQRLVKILGEGQQNVSPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAIDYIKQQSVPRDGKLLAIGHSMGGILLYAMVSKCGFEGADPELAAIVTLASSVDYTTSDSSLKLFVPLADPAEMLRVPAVPLGTLLSTTYPISSRAPYILSLLRSQISAKDMMDPELLSKLILNNFCTVPAKVLLQLATSFRDGGLRNRAGTFFFKEHLRKIKVPVLALAGDEDLICPPEAVYETVKVIPQHLVTYKVFGKPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDDVSS >SECCE7Rv1G0459920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:27883512:27886348:-1 gene:SECCE7Rv1G0459920 transcript:SECCE7Rv1G0459920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQTSQPPQAAAAAPDAGGGEKQLALEAPPQPVREDYVQNAVKFLSHPRVRGSAVVYRRSFLQNKGLTNDEIDEAFRRVPDPQPTTPSPYTHNLDRSYYNGVIRTAPQLEPQHMMKPLTSHPSRNPTDKLAGYCGSADPPPLLPDDMLMEILLRLPPEPIHLFYASFVSKHWRGLIHDARFLRRFREFHGKTPPILGFFTNEPHSPLFVPTSDGFALSTTTMSHRDWWALDCRHGRALLNGLASGTLLVWDLMTGSKCYVPLPMQVCDGQLRCSGAVLCAAGHANYDHDCHSHPFLVAFLFSDYRDSITSAWLYSSETGVWGEITSIHVPNSYVKGEQTLLVGNTLYCPLNDDGIIGFDLDKNTLDLAAEVPYIYAQSHIIIIPADDGLVGFARVDGSSLRFWSSVVKIDGGLSWTHRRAIDLEKLLPPEILEACMVPVAPVAYAEDAHVIFIYVNHSMCMYMIHLKSMRLEEVSRKGVYRSVLPYTTFYTPGITTGGGDDQAELLNNN >SECCEUnv1G0544160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:124905910:124906641:-1 gene:SECCEUnv1G0544160 transcript:SECCEUnv1G0544160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVVASNRIPLVRAMENLLAASSGSALRPAAVAGGVRGYNAGAPLRSYDRDEAVEDTRERDVAAVPSFFSDVFRDPFSAPQSLGRLLSMLDDVAAASPGGARAAPLRRGWNAREDEDALRLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKESEQDAPRYSGRLELAGDVYRMDQIKAEMKNGVLRVVVPKVKEEERKDVFEVNVD >SECCE1Rv1G0043910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:597324128:597325399:-1 gene:SECCE1Rv1G0043910 transcript:SECCE1Rv1G0043910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAESRLPLAAIVLATFALTLLLTQVAANQDVDALSALRRGLQDPNGALKNWDPNLVDACTWSHITCDRNNKRVIRIDLDQKNLSGPLAPELGKLDRLQYLEIDHNRLTGPIPRELAGLSNLKHADFSNNNLCGPIPTSGAFQRIPRSSFANNPRLGRKC >SECCE2Rv1G0130610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:877688911:877696166:-1 gene:SECCE2Rv1G0130610 transcript:SECCE2Rv1G0130610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSAVAPTIGSRVSAARVAVVELEDDDDDTAASTPPPRSRASRGLLDASSSSPAFPKRRLPMSPICIDDDTPPPPPKRREPGSAAPGTGTPPSFVPCSLAPRPRAAAPGSFFPSPFRAAALQIPGPSAPGSVDLDIVVLETPGFTPVRRVGASPGFVPCSLSPRSGGDGPRTPDSVLRRNIDFDDAADLGFPGSASPGSADPDIVVLETPGFTTSRPAGPSSVPVGTPPSFVPCSLAAQSRGAAVPNTPVLPRSFDFDAADLGIPGPAFPGSVGPNAETRFFTNSRLDVAGPSAFRGASSPISLDSDDELDDFGYKEPSDNLILPCEESMQEEERNDNTEEGNTKQERMRLIKEGKAKMVEEKKRQREENKLMKEAIKAQKAEQKKYAKEKEEWESGKHALKSIVAEIDSTIIETGSVGGTLLTRFAEKGLKYRVQVNPIRGSILWKMEVPQIGQDPASVSEVPYILFVLQAEEFCDLINSGSFWDHVHLVQDRYPTFTVCFVTNKLMNYINKCERAQYRNAPNFNSWKRPPVEEVLCKLATHHVKVHSRQCADEAEVAEHVVGLTSSLANCRFRKPLSWLTVHANGAVIPKNFIDKDLAKKNTWMKALIAIPKVQPRFALAIWKKYSTMRSLLKVYMDSTKTVREKELLLQDLMCEDRVGEESRRLGPVCSKRVYRTLMAEDGAVEADAAAE >SECCE5Rv1G0317990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:356399501:356401969:1 gene:SECCE5Rv1G0317990 transcript:SECCE5Rv1G0317990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLPRITALPSRRRSHNPIPPALAESLARVLATRSSNPAWARSLAALLPSPLSDGGLADAVVSLRDPDLALALLSWSRSHSSSRHHDGDKLPPPTPIAHSALLRLLARSGRFDAVDSTLQDMSLAGVAPTYACLGELVAAYADAGIETKATEMCERVRGQYGMLPAAIHSNCLLRLLVERRQWDDAHKLYDEMLAKEGGADDYSTCVMVRGLCLEGRVEKGVKLIEARWGAGCVPNAVFYNVLIDGYCRRGDMGRGLLLLGEMEIKGLLPTVVTYGTLMSWLGRKGDLEKVTYLLSEMRERRLFPNIEIYNSVIDALCKCRSARQAMVVLKQIFASGCDPDFITFSTLISGLCREGRVQEAERLLREAIRREVNPNLFSYTSLIHGFCIRGQVMDASNLLMEMMGRGYTPDVVTFGALIHGLVVAGQVSEALLVREKMAARQLLPDANIYNVLISGLCKKHMLPAARNLLAEMLEQHVHPDKFVYTTLIDGFIRNESLDEARKIFEFMEQKGVRLDVVGYNAMVKGYCQFGMLNEAIACMNSMRKVGCVPDEFTYSTLITGYVKQGNMSGALRLLCDMTKRRCQPNVVTYSSLINGYCKLGDTDTAEDIFTNMQLEGLFPNVITYTILIGSLFKRDKVIKAAAYFEHMLINQCPPSDITLHCLVTGLINSMACIVSSNCSGTVQMHDKGPLLDIFKGLVNGKWDPRNAAYNAIIFSLCRHNMLGNALGIINKMANKGYSPDSVAFIALLYGFCSVGKSRDWRSILPNDFRPDQLEIASRYKILFDQYVAKSVGCEVSSGLRLYLEECRSVKQMEHKITCS >SECCE6Rv1G0403710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:509209428:509222265:-1 gene:SECCE6Rv1G0403710 transcript:SECCE6Rv1G0403710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKGARNKAKAQAASQEAVSVEPAVPVPDVVEEAKPENGEVIEAPAAEVAAADVEKEEGDAAEAAQAAEKPAEGELHLYPVSVKTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHTKDGSTHQLEDYNEISEIADITAGGCSLEMVAATYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQAKNADAGKTAHQELDGLNFMEDTTVALTNLLASAPAEIKCVDSIVFSSFNPPPSYRRLHGDLIYIDVVTLEGSKHCITGSSKSFYVNASNGSVLDSRPLKQSHEASTLVGLLQKISAKFKKGFREILDRKASAHPFENVQALLPVTSWLGSHPVPEHRRDAARAEDSVVLSYGTELIGMQRDWNEELQSCREFPHANPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEQISKDQKPDCQNGSGRSTPVPSPGLGAKADSGVEPDSKIEEPNSVLEGPTEAQIADSEQATYASANNDLKGTKSYQEADISGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNESFHAKVVEAAKRLHVKEHEVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGLQHRFCVLRPELVASFVEAESIKKSPTQKVPDVPTESNGQESDDLASASDATAASVEEHDKSDESPASTPAESNDSTAEILFNPNVFTEYKLAGSPEEIEADEALVKKVGSYLLDTVIPKFVQDLCSLDVSPMDGQTLTDVLHSNGINVRYLGKVAGMIKHLPHLWDLFSAEIIVRSAKHVVKDILRQSPDHNIAPAVAHFLNCFFGKVLAASTKGSTGSPQSKTQKGQKSSQSASSRKGQSAYSQLTSDGVWSDIKEFAKHKYQFEAPDDARVGAKRVAVLRNLCQKVGITIAARKYDLHSTTPFQPSDILNLQPVVKHSVPTCTDARKLMEAGKIRMAEGTLNEAYALFSEAFSLLQQINGPMHKDAANCCRYLAMVLYHAGDTAGAIVQQHRELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDASNMSTALRYLQEALKKNERLLGPGHIQTAVCYHALAIAFSCMGAYKLSVQHETKTHDILVKQLGSEDSRTKDSENWLNTFKGREQQVIAQKQKGQGTAAPSTNAVEFLKAHPGLFQAMKAAAIQSGGDGPATVSRGRGSDERAAKAAAEARKTAVARGVNLRNGPTASVSDINQILNLINTAASASAASSGNAQATESEAPQSNGPALNGAKEAKDTSRPSAKADGQAPVGLGASLELKKQKSKQKA >SECCE2Rv1G0134740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:902997233:902998210:-1 gene:SECCE2Rv1G0134740 transcript:SECCE2Rv1G0134740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQTATASACIPETARGTHVFTVAGYRLHKGLGAGNFIRSATFAVGGYDWCVRYYPDGQSADTNGYVGVCVELQSKKSVVRALYNLSLTNQATGVPSLIFSRPWSLPAFNSRKKNKDMLHVKGPRTFMKKDLLEASPYLQDDCIVIHCDMTVVLKDIPAVAMATKKAPEIQLPPSDLSNNLAKLLEGKKGADVTIKVSGQTFYAHKLVLAMRSPVFDADLNGPMWDNEKQTIQIEDMQPAVFRALLHFLYTDSLPPMDDNKEMMRHLLVAADRYAMGRLKLICEIILCKSLDAKSVVPTLALADQHGCSKLKQACIEYIKIFN >SECCE7Rv1G0481120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:280467698:280468406:-1 gene:SECCE7Rv1G0481120 transcript:SECCE7Rv1G0481120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHARLSRGWCGLAVLCLRLCASSCNPDVAPIEPLPARSLQCFEDGQVYGCCQGALRLDPSGVIGVPLGAVDYYCGGACVVETEDVLNCVASALDGFRFHNGASVEDARYALRRGCSHTIKRGDFNALEPPMGDYPDIYGDYRGHACSTTPPRSINMLAFLGGVWLLLIQGR >SECCE2Rv1G0102380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:576840532:576843949:1 gene:SECCE2Rv1G0102380 transcript:SECCE2Rv1G0102380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAKRPPTPAPASGGGGMAWKLSLALFVILAALLYKQIQPPAPKIVGSPGGPPVTASRTKLKDGRHLAYLESGVPKEKAKYKIIFVHGFDSCRYDVLQISPELAQELGIYLLSFDRPGYGESDPDPAPSEKSIALDIEELADNLQLGPKFHLIGFSMGGEIMWSCLKYIPHRLSGVAVLGPVGNYWWSGLPSNVSWDAWYQQLPRDQWAVWVSHHLPWLTYWWNTQKLFPASSVIAYNPALLSEEDAKLMEKFGMRTYMPMIRQQGEYYCLHRDMMVGFGKWGWSPLDLKDPFAGGEGKVHLWHGAEDRIVPVILSRYISERLPWVVYHELPKSGHLFPVAQEMADAIIKTLVLGEE >SECCE7Rv1G0456780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:12761706:12765611:-1 gene:SECCE7Rv1G0456780 transcript:SECCE7Rv1G0456780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLLFLVLFAEGAVALLLMVKIGPLRELAMRGVEQVKTGKGPATVKTLACTLSVILMSSVAAIVRIQNRGHKIGNVSPMDQVLWRTHLLEASLIGYTLFLAFVIDRLHHYLRKLITLRKSANTSREEVEKLQMENRSLREKEEKSASEMKKLEQDMAKLSEDMKKLKSESEEHQRKTSDAEAHVYALQKQSEELLLEYDRLLEDNQILQSQLHYKG >SECCE2Rv1G0119380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:790821255:790823656:1 gene:SECCE2Rv1G0119380 transcript:SECCE2Rv1G0119380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFTAARCGGDRSPFPAPSASSMLPLRRRPAQRLGSMLAVSSDVLAMSKAAHPAVTREEALELYEDMVLGRSFEDMCAQMYHRGNMLGSVHLYSGQEAVSTGFIKLLNQPDCVVSTYRDHVHALSKGVPARAVMAELLGKATGCCRGQGGSMHMFSEPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSNPDGLDVTLAFFGDGTSNNGQFFECLNMAQLWKLPIIFVVENNLWAFGTSHVRSTSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIDRARRGQGPTLVECETYRFRGHCLSDQDELRRPDEKSHYAARDPITFMKKYIIEQNLASEDELKCIEKKINDVVQEAVEFADASPLPPRRQLLENVFADPKGFGIGPDGKYKCEDPEFTHGTMLV >SECCE6Rv1G0394960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:312836544:312855196:1 gene:SECCE6Rv1G0394960 transcript:SECCE6Rv1G0394960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANADAAEVERLYELGDRLSSAKDKSQHAADYEAIIASVKGQNVKAKQLAAQLIPRYFRSFPALGTFAMEAMFDLVEMEELAIRIQAIRGFPLLGKDAEFISKIADILGQLLTSEENVERDAVHKALMSLIRQDVKNSLQPLFKHVESGSEIREKIICFLRDKVFPVKAELLKPQEEMERYITDLIKKSVQDVTGLEFKLFMDFLRSLSIFGDTAPRESFQELIEIIQAQADLDAQFDVSDIDHIERWTSCIYMALPIFMRGASSSKFLNYFAKQIVPVFDKIPEEKKLDLLKTVAASSPYAAAQDSRQLLPSVVQLLKKYMPGKKVDDINHNYVECLLYTFHHLAHKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHYKDFIERLTGTEDTVRAASKRLTQGMADFNKAISSAKTEEEKTKIKADQQKSTMTMRSYNNILAMSQPLHGKSPLFIGDKKITLSWMEQPKKPAAATAGGKRTQPAINGNAPLNKKGRGDGGMHNQLVNRAFEGLPRGGGRGSGRGRGRGGRGRGWGYR >SECCE2Rv1G0072380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:55198438:55199576:1 gene:SECCE2Rv1G0072380 transcript:SECCE2Rv1G0072380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFERAAFFNLCGIMRDRKLLEDSPHVTVEQRLAMFLHTIGHNLRSRVVSANFCRSYGTTSVYFRKALHAIGELRNDYIRPPSLETPTKITGNHRFDPYFKDCIGAIDGTHVRAGVTKDVDHSFRGRKAFTTQNVMAAVDFDLRFTYVLAGWEGSAHDATVLADALTCERGLQVPLGKFYLVDAGYGAKPGFLPPFRGVRYHLNEWGNNPVQNDKELFNLRHSSLRVMVERAFGSLKRRFKILDDAKPFFTFPTQVDIVIACCVLHNYALSQGIDEFIILEVTWTTQPIRTSRQQASDTRVVVDRRLQMAAQMWEDRQLMYANL >SECCE7Rv1G0485660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:378146544:378146780:1 gene:SECCE7Rv1G0485660 transcript:SECCE7Rv1G0485660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMERIFKRFDTNGDGKISLSELTDALRTLGSTSADEVQRMMAEIDTDGDGFIDFSEFISFCNANPGLMKDVAKVF >SECCE1Rv1G0054480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675112102:675112671:-1 gene:SECCE1Rv1G0054480 transcript:SECCE1Rv1G0054480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADVTFHIAGQMFSAHRLILAARSPVFRAELLGAMKEKAGAPIEIHEMEADGFKCLLHFIYTDSLPHLQMGSNQGEAHLDVMMASHLLVAADRYSIERLKLICEHKLCSHIDANMAATSLVLAEQHCCNGLKEACLQFLASPSNLEAMMASDGYKHLKSSCPSALKELIARLLPPNMKAAKDIVMAL >SECCE4Rv1G0255160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:644045934:644047970:-1 gene:SECCE4Rv1G0255160 transcript:SECCE4Rv1G0255160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPHHHLLLLLFLLAPVVLPLGASAEGMSETEALIHLKKSFSNSSSVSSWLMTDNGGKSPCAPGSHEWHGVVCARGKITGLRLSGLQLGGTIDVDALSSFPDLRSVSFAGNNFSGPLPSFHRLTALKSMFLSDNKFNGGIPDEFFPNLNHLKKLWLDGNELSGPIPASLAEAEALIELHLERNEFSGQLPPAPPPALKSFDVSDNDLDGVVPEAFRRFDVSGFRRNQYLCYVPNPGQPCKRPETTTPESSNWLGVVLATLLASAIVMVIVFCVCCGQPARVDGYDHAQKGDTEDTPPVYMVKQGSSTAQKRSMSWLGRRTASSAGGTGGRHRRASSAAKVDDMSTGGVAGDLVIVNDCKGVFGLTDLMKAAAQMIGSGGLGSAYKAVMANGVAVVVKRARDMNRATRDAFEAEMKRLGGMRHANLLPPLAYHYRKDEKLLVYEYIPKGSLLYVLHGDRGMDYAALDWPTRLKVTAGVARGAAFLHAELAGAGHDVPHGNLKSSNVLLAPDFEPLLVDFGYSSLVNYTETPASMFSRRAPECVAGHPASAKADVYCLGIVLLEILTGKFPSQYNAKGGTDLVMWATTAQADGHEQDLFDQAIVTACKYALPDMKRLLQIAMECVETELERRPDMKQAASRVEEAVAAALATVRQEAPSHAAVVRDESMQRVTSAGIS >SECCE5Rv1G0356500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:740028156:740030648:-1 gene:SECCE5Rv1G0356500 transcript:SECCE5Rv1G0356500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAANVAVIGAGMTGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMDDGTELRFDHGAPYFAVSNDEVARVVGGWEARGLVAEWKAMFACFDRETGKFRDFDKEGTMKKYVGVPGMNSVCKSLCQEDGVVSKFGVTIGKMDWLQDRSSWSLASLDGKDLGSFDFVVATDKNIASQKVSGLTGKPPPLDLSVSPHLSAMIQDIPVRPCFALMLAFSEPLAMVPVQGFSFYNSDSLSWAFCDSSKPGRHVPPNIQSWVLRSTTEYASKVIDSMGPRKPSADALAKVAEELFREFQATGLNIPQPIFMKAHRWGGAFPAISIGGDDKCVWEKNMKLAICGDFCASPSVEGAVLSGMRGASKILGCLNLPSGL >SECCE4Rv1G0263490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:716982748:716983596:-1 gene:SECCE4Rv1G0263490 transcript:SECCE4Rv1G0263490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAGAIGPVIRKLGELLVGEYNLEQRVKKGVKSLLTELEMMHAVLRKVSEMPSDQLEEPVRIWAGKVRDLSCDMEDTVDDFLVRVDEGSSSKPTNMRNRVKTFLKKTTKLFGKGKALHQICDAIEEAQDLAKELADLRKRYELDMRSTSNGATIDPRVLALHKDVGELVGVDHTRDELIKALIPEDGSSKELKTISIVGVGGLGKTTLTKAIYEKIKTKFECGAFVPVGQNPDIKKVFKDLLYGLNKEKFNDIHNTAKDENLLIKEISEFLVDKRYASHA >SECCE1Rv1G0004750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:20548216:20553029:-1 gene:SECCE1Rv1G0004750 transcript:SECCE1Rv1G0004750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP43 [Source:Projected from Arabidopsis thaliana (AT4G30840) UniProtKB/Swiss-Prot;Acc:Q24JJ9] MADSPSFRRHPLPFSIDIVRWLPSSASSGRLLAAAVHDPSVPASSHLALLPLHDPAYPLASLPLPSRPTALRCSPSVLAAATSSGSLHLLPSSFDTDSAVSLPGGAGFHVGPVRGLDCCGEEWVTAGEDGRVHVVSDGGDGRVVARRVWDGKGMSGYGAARWASSAEFATGGAGCGVQWWDRRKGDAVVAHCKGVWGRGVATGMVHSIDIHPSRKHICVVGGSSGTIFAWDLRWAQQPIPLSGVGLDETAQPLCESEVWEVLFDNYTQSSDIISSVSTRILPVMLCSEDGILAIVEQDERPLELLAEPCAINSFDIDPQNPSDVVCALEWESIGVLTRGRDAMAEE >SECCE6Rv1G0414360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:631619845:631622079:-1 gene:SECCE6Rv1G0414360 transcript:SECCE6Rv1G0414360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATEFDEGPAIGIDLGTTYSCVAVWQSDNRVEIIANDQGNLTTPSCVAFTNAWRLIGDAAVNQAAMNPVNTIFDVKRLIGRHFSDKSVQGDIKTWPFKVVSGPSDRPMIVVQYKDEEKKFEAEEISAMVLNKMRETAMAYLGTEVKNAVITVPVYFTDSQRQATIDAGTIAGLNVLRIINEPSAAAVAYGFGKMTDSEEVKTVLVFDLGGGTLDVSIVNIDPGADIDMGVFKVMATAGDTHLGGEDFNSLMVKHFVREFLKKYKTDDIRKNPKALRRLRTACEKAKRMLSITSQATVEIDSLHDGIDFYGAISRAKFEQLNMDLFRKCIQHVQKCLGDADMDKSQIHDVVLVGGSSRIPKVRQLLQELFDGKELCTSINPDEAVAYGAAVQASILSGDDNEVRDVLLLEVTPLSLGVETEGRVMSVLIPRNSTIPVKKEDIYSTCSDNQTNVLIQVYQGEGEGTKDNILLGKFTLGGIPRAPRGKPKINVTFEIDADSILKVTAEDMSMRNKKNVTITTDKGRLSTEEIERMVRDANKYNSEDKEEMEEIGEAESPWN >SECCE2Rv1G0088880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:249978348:249978752:1 gene:SECCE2Rv1G0088880 transcript:SECCE2Rv1G0088880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLRMACIAIALAAIALLFATPRAQAWDADMVPVGAPEHALTAIPGGGAVTLAAGAPVCLQCQCCSQSNPGSCQITSCCSTFNCDPTGKCNVVQQQCGCDGC >SECCE2Rv1G0140970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:933810768:933813903:1 gene:SECCE2Rv1G0140970 transcript:SECCE2Rv1G0140970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTIRRLLLLVLVACGAHVVVCGSSSLYGNETDRLSLLDFKNAISFDPHQAFMSWNDSNHFCSWEGVLCTVKNPRRVTSLNLASRGLVGHICPSIGNLTFLHSLTLTENTLTGEIPPSLGHLRRLQTLRLTNNTLQGRLPSFANCSRLRVLHVSANNLVGQLPVHLPPHLQILQISRNNLTGTIPASLANITTLTTVICADNHIKGNLPSEFADLSSLQYLYVGLNQLVGRFPQAILNLSTLIGLDLAVNGLSGEVPPNLCTSLPNLQKLVLGGNFFLGYIPSSFSNASSLNLIDLSLNNFTGLVPTTIGKLSKLSWLNLEHNQLEAHSKQDWEFLVSLRNCTELYILSIGWNRLSGNVPSSLGNLSNQLQHLYLAENQLSGDFPSGIANLRNLIVVSMGGNRFTGVVPEWIGTLKTLQRIVLNTNFFSGAIPSSLSNLSQIGVIYLYSNQFIGQIPPSFANFPMLQYLDISKNNLHGRVPVEIFGIPTIFVIDLSFNNLVGQLPTDMGNAKQLVPLLDSNNFSGSIPTSLGNISNLKVLNISANNLTGSIPASIGNLQLLEELDLSFNHLHGEVPTKGIFKNATIVRIGGNQGLCGGALELHMLPCSIMPSNSTRNKGSLVVKVVIPIAIMVSLSMVIFGSWLWRGKYKRKSISQPSFATKFPKVSFNDLARATQGFSTSNLIGRGRYSYVYQAKLAEDEDDVAVKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTVCSSIDSNGNDFKALVYEISIVVDVADAMEYLHHNNQGTIVHCDLKPSNILLDDNMTAHVGDFGLARFKIASTTLPIGISNSSSIALMGTIGYAAPEYAGGGHVSTVADVYSFGVVLLETFIRRRPTDDIFKDGLSIVKFVEINFPNRVLDIVDPQLLQELELCQETPIAMKQKGLHSLSSMLKIGLCCTKSSPGERINMQEVAAKLHRIRDAYLRED >SECCE2Rv1G0134210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900772729:900773961:1 gene:SECCE2Rv1G0134210 transcript:SECCE2Rv1G0134210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELAARLTAAASAGTRAAAAAARPTSPSRPRTRPRGLDEETCAATPAPSVAAAPPADVPMDPDVWAALPDDLLLEVLARVPPFLLPRLRAVSRRWAAAVPRDPAFLAAHAAAPSHGPCVLAFARGSAPTHCAALSLPLRARYRLPLAFLPAWDLWLVGSSGGLVCFSGFDASSVFRTLVCNPLTQAWRLLPEMHHNQQRQLVLAVDRKLRSFKVIATSDVYGETLPTEVYDSKADRWSVHQMMPAENLCSSKMAFCDSRLYLETLSPLGLMMYRVDAGKWEHIPAKFPRSLLDGYLVAGARKRLFLVGRIGLYSTLQSMRIWELDHGRTVWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGRGLLYDVDKKAWSWIAGCASQLCNTQFCFYEPRFDTSIY >SECCE4Rv1G0288480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861065306:861065734:1 gene:SECCE4Rv1G0288480 transcript:SECCE4Rv1G0288480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDRREIKVMSVVDGDILKPLPAPPLSKGALAPVSSSDADDGLRLFPSDAKAPSAAAADPGAVVRVKLVISKQELRRMLGKVDDDEAVSMDDMVVALMRRGSEDQKQEDVGCYRGWRPALHSIPEGSGDLYSTVVY >SECCE5Rv1G0332830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:552508919:552509413:-1 gene:SECCE5Rv1G0332830 transcript:SECCE5Rv1G0332830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPAPKESLLIYLLYHAVVSIAALAGLLRAALVFLGLPAPPSLLAGEDADGADQLTAATPAGPSLAERFRSRFRPARFGRRRGAAAVPDCRVCLVRFEADAVVNRLPCGHLFHRACLETWLDYDHATCPLCRSRLLPVAPAPAAADESWSPPAPTLTAWI >SECCEUnv1G0551340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:233264513:233266069:-1 gene:SECCEUnv1G0551340 transcript:SECCEUnv1G0551340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMVERWAGFGSAMATVIFLWSVVQNYVPPTFRLYLTAWAAKVAACFNPYLQITISEYGAERFQRSDFFLAVESYLSDACARRARKLKAELVKDSKNLRVTVDDHEEVTDDFSGTTIWWYASKRQSKANVISIYPGEDERRFYRVVFHRRHRDLVVDSYLPFVLGEGRAVTVKNRQRRLFTNNASGNWNPYRSKSVWSHVPFEHPATFDTLAMHPDDKEAIVDDLMAFQESKDYYAKVGKAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLFIETTGKSIIVIEDIDCSIDLTGKRRKDKKASGDKESDGDDKPKLPMDPEKDDATKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCRFEGFKVLAKNYLDVIEHELFGEIQRLLKETDMSPADVAENLMPMSKKKKRDPDVCLTGLIKALKQAKEDAAAAKAKEEEEAKEAEAKKSKEKEKAEVKKAKEENKAPEEANGEIKEGDK >SECCE4Rv1G0277460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:804520042:804521214:1 gene:SECCE4Rv1G0277460 transcript:SECCE4Rv1G0277460.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPDDIVVEILVRVPGVAALFRCTATCKRWSRLIANASFLFRRWPDGAPHQSFLLGFLVTPLPREERPARIISAPVPSFALAPWWPLGDRYCFLGHYIRGLPKDGRFHMVPLTVRRGLLLNHIVELAYPNNMSEGSFSLAVCDPLAGVGRVLPPLKYNGKFTIVGYTILTDLDCCSDKRRWPIYSVSFKVLMISVDEGQPGYNLHVFMPAEWSWSTPRQFFDSLEHGVHVAPQQASAVVCQGAAHWLFRQTSSFYTLSVCAKTAHMCLTRLPITPNQLDLNLYSDPMLSVTNDGTLLLLRLYRKCTMLDIWTCQGDNKSEDGNVDRWYRTKKIKLKRPTQNKIDLVRCVCVGEMSGKLLVNDNQGCVYIVDLKTGAMETVTEWFRSSV >SECCE7Rv1G0498640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:643131554:643133781:1 gene:SECCE7Rv1G0498640 transcript:SECCE7Rv1G0498640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRSVCRARTRGTSLKLLNHTAPRVEQSFAKPLRPCSWIRQIVSPIIPHDGVQAYGFCTRALAVRGFSTVGTAEVSVEDEDSSSPMVEHPPRIKFKRPDKTARHIMNILNKEAVDKVRTERTIPDVQPGCIVQMRVQVPENKRRESTLKGIVIARRNAGIATTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKKVRRAKLYYLRDRMNALKK >SECCE2Rv1G0129680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:869709380:869715010:1 gene:SECCE2Rv1G0129680 transcript:SECCE2Rv1G0129680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETSELLVHLVLQLLLITFFLLSGGTAAASGVRDKFGKGQNLTDGNTLVSPDGSFTLGFFSPGASTKRYLGIWFTVSNDTVCWVANREQPLPDRSGMLVFNDAGSLVLVNGSRSTAWSPNFLAASAAVVQLLDSGNLVVHNGSSNAALWQSFDHPSDTLLPDMKLGKDLWTGAEWQLTAWRSADDPSPGDCRRTLETKGLPEVVLWHGDDKRYRTGPWNGIFFNGVPEVSAYADKYTLRATTSPWEKTYGYTAKPGAPLTRVVVNYTGDVERLMWDAGTQAWKSLFKGPRDQCDNYARCGPFGLCDPDAASSGFCGCVEGFSRPAAGSSSAQEVKECRRNVPLDCGGGATTDRFKVVRGVKLPDTHNASVDMDVTLEVCRARCFADCSCRAYAAADIRAGGDGTGCVMWADAIVDLRLVDRGQNLYLRLSKSEFDDQKGFPTLLVVAPVATGVTILLVVSLIWWRRKRRIIGAIPRNPAMAVPSVNLAIIKDVTGHFSESNIIGQGGFSIIYKGHLPEGRMIAVKRLKQSALTVKGKKDFVREVEVMAGLRHGSLVRLLAYCNEGKERILIYEYMENKSLNFYIFGTTKLRASLNWTRRLEILHGIAHGVAYLHGGSGDSVIHRDLKPGNILLDDDWKPKIADFGTAKLFAVDQCQPDQTIVVSPGYAAPEYAHKGEMTLKCDIYSFGIILLETLSGERNGGLQRLLSHAWGLWEQNRILELLDTAIVPLHESEPELLSELKRCIQIGLLCVQETPEDRPTMPAVIAMLTSTPSPLISPPIKSVMHSRGAMQSSNSPRGHETDLLSPTTIDLM >SECCE5Rv1G0347190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666122739:666124000:-1 gene:SECCE5Rv1G0347190 transcript:SECCE5Rv1G0347190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVAADGDNAREDDQVAADGSGLGTAVDPALVANGDGGAACVEGSVVGAADGVDEIASVGGGGSSAAGLGQGAEVAGGYVAVVARDSGQGTAKPASTGASDQGMARLASATRVCPDGMVPNSSYLLRIKLFGNPKKARKDILCFCFEKEVDCDLTNYKGLVESIVKQYPPRYMEVAHMQYYDADLKTCPEVKSDQDLMLMFEKHSETKVLDMIIAYRDPSEPYEPITEWQSDVHSEPDNNIEHEDDTTNKRYAKGEKRATRTMELTKKDQNGPKVPFDSPAMGTRSKKSYLASPAMSTRSKRRLSS >SECCE1Rv1G0049370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:640114067:640125088:-1 gene:SECCE1Rv1G0049370 transcript:SECCE1Rv1G0049370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSYAATALAAAGRCAPGFRAPAVDGPRPQRLALLPTRSGAGRRRQSAAVSSRHRRRVVAAASQNGGKFGLGSNSELQVTVKPAGSAVEVELVATNAGGALALHWGALQPGRREWVLPGRRPEGTKTVDDAALRTPFKSSGSNSTLKIEIDDPALQSIEFVLVDEAQNKWFKNNGENFLIHIRPDHHQGQHSAPAATNQNFSSERVTRKNRDVMQLLSKHASSSSDVNKATKATPDRNPTVLDLFLKSLQEKNGCQVLCKKVFKLGEKEILALMSEVQGKFKVHLATNHAEPLILHWALAKKAGEWKAPPPGVAPAGSTLLELACESSFSDAELDDLHYQVLEIELDDDSYKGMPFVLRSNETWIKNNTSDFYLDFSRRTAKTSEDSSDAGKGTAKALLETIADLEGEAQKSFMHRFNIASDLVEQAKDAGQLGLAGLLVWMRFMATRQLIWNKNYNVKPREISQAQDRFTDSLESLYRTCPQYREMLRMILAAVGRGGQGDVGQRIRDEILVIQRNNNCMGGMMEEWHQKLHNNTSPDDVVICQALMDYMNSDLDIKVYWDTLNKNGITKERLLSYDHPIHSEPNLTREQKDGLLRDLTNYMRSLKAVHSGADLESAIGTCTGYTSESQGFMVGVEVNPVKGLPSGFSELLKFVLDHIEDKSVESLVEGLLEARAALRPLLLGSTDRLKDLIFLDIALDSTVRTAVERSYENLNNASPEKLMYFISLVVENLALSTDDNEDLLYCLKGWNHALEMSNKSDNQWALYAKAFLDRTRLALATKGEEYHNILQPSAEYLGSLLGIEQWTLNIFTEEIIRSGSAASLSLLLNRLDPVLRSVANLGSWQIISPVEVAGYVVAVDQLLTVQDQSYDKPTVLVVKGVKGEEEIPDGVVAVLTPDMPDVLSHVSVRARNSKVLFATCFDPNIFSELQQNEGKVLSLKPGSVDINYREIAENELVSSSPDTADGQSAPSLSLVKKQFVGKYAISADEFSDEKVGAKSRNIAYLNGKVPSWVSVPTSVALPFGTFETVLSDKTNKEVAQKVQILTDKLNQGEFGALNEIRNVLLNLTAPTDLVKELKEKMQGSGMPWPGDEGEQRWEQAWVAIKKVWASKWNERAYFSTRKVKLDHANLSMSVLVQEVVSADYAFVIHTTNPSSGESSEIYAEVVKGLGETLVGAFPGRAMSFVCKKDNLDSPKVLGYPSKPIGLFIKKSVIFRSDSNGEDLEGYAGAGLYDSVPMDKEEEVVLDYTNDPLITDCSFRNTILSNIARTGHAIEELYGSPQDIEGVVKDGKIYVVQTRPQM >SECCE7Rv1G0526540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:895928535:895929730:1 gene:SECCE7Rv1G0526540 transcript:SECCE7Rv1G0526540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVNLGLYDGDKRLYLLRRLDLSKMDFFHRTAEEAAEHGKVLPTLTPAKARATNRRRICNNTDLATAEAAAPKIVPPKPELFMGPPQISPCLDSRRFVHFFPTASESKVVLGDRGNRMLRFNIVDGSRYMDTLPCLHGVKEMPMAISVPPTDLHLPDGEDTGDLYIIDGLLHPDKAEVRPQFEALVWRGFHSSAVSSRFWHCDILPLPPWISHHEYAMVFGHALVGDSICRGCRHLLLRHHDSLDSGLVSQTTSPVLPPADLSGVVRGEELPPDKMRIWARDDLPEEWQPKNLHNPCLVSLGSGRFIVVDFLDAMKFNKEWNEMESVKEFALFTGMEVAYSNGKGKGIMRGLRMMKHKSRRYMFKNQERIEAVL >SECCE5Rv1G0302840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:42301150:42301570:-1 gene:SECCE5Rv1G0302840 transcript:SECCE5Rv1G0302840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPNLKVPGLCLLLLIMPLLLLPGSQGATCKELSRTYTSPNCATDRCVEHCQVEGFPNGVCEGNYFDPYKIVCFCNKNC >SECCE7Rv1G0471040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:126170524:126175506:1 gene:SECCE7Rv1G0471040 transcript:SECCE7Rv1G0471040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MALGARLLLGLALLAALVAVLLQLYRLRKPRLWTLEELSVYNGTDEALPILLGILGSVFDVTKGRSHYGPGGGYHHFSGRDASRAFVSGNFTGDGLTDSLQGLSSMEVNSIVDWRKFYVERYIFAGKIVGRYYDSQGNPTKYLKGVEIKAKRGAQLLEKQKREEDKIPSCNSKWSQAEGGEVWCDAGYPRLVRRPGDIALTGQVSQRCACFQEGELGRPGLVVYEGCDYHSTSCKVS >SECCE5Rv1G0325010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:459389079:459389718:1 gene:SECCE5Rv1G0325010 transcript:SECCE5Rv1G0325010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTLDYLSELLGGGGGRRRSYKQKRKQFQTVELKVRMDCEGCELKVRNALSSMKGVQSVEINRKQYKVTVQGFVEPHKVVKRVQATGKKAEIWPYIPYNLVAHPYAAQTYDKKAPPGYVRKVDAVMPVASYGGPGAGAQEERLTTMFSDDNPNACSVM >SECCE3Rv1G0153340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:53162278:53162742:1 gene:SECCE3Rv1G0153340 transcript:SECCE3Rv1G0153340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLRAVIKESLRLYPIAPLLAPHLAMADCTIDGYMVPAGTRVVVNAWAIGRDSTSWEDAEEFIPERFTNEGSARNVNYKGNDFQFLPFGAERRICPGINLGIANVELMLANIVNQFDWELPIGVETNDIDMTEVFGISIRRKEKLLLIPKLRM >SECCE4Rv1G0289340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:864827547:864827897:1 gene:SECCE4Rv1G0289340 transcript:SECCE4Rv1G0289340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISGARSLPDEQVRIASTKMDGIGPKKGIQLRYRLGISGNIKMNELTKYQSDQIEQMIAQDHVVHWELKRGERADIERLIYVSRYRGIHHQDGSPLRGQRTHTNARTTSKQFRK >SECCE4Rv1G0225550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:95327729:95328754:1 gene:SECCE4Rv1G0225550 transcript:SECCE4Rv1G0225550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKAPAKVRRLAPPMSWRTRLSIFAAGYLTDATCRADGTINRRLLTYLDPAVPPSAVPRNGVSSRDIDVDPAIPLRARLFQPVGLAGPLPVVLFFHGGGFAYLSAASPAYDAACRRIARYCSAAVLSVEYRRSPEHRFPAAYDDGFSALRFLDDPDKHPADVAPLDVSRCFLAGDSAGANIAHHVARRYAMSSPSFANVRISGLIAIQPFFGGEERTPSELQLEGAPIVSISRCDWMWRAFLPPGADRMHEAAHAASPAAAAGIDSPAFPPAVVVVGGYDPLQDWQRRYCEMLTSKGKEVRVLEYPEAIHAFYVFPEFAESKDLMLRIKEFVAGSDGGK >SECCE1Rv1G0010540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:63285738:63288555:1 gene:SECCE1Rv1G0010540 transcript:SECCE1Rv1G0010540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAGSKQPRSLAIRWYAARHRSFTLIVRCLAALAMLVIFLLFISHKEEQIVRGIEEVEEETFPVKLARSWRNPPALRGKGEHRRLPVVDEFLVESSVVHHAFFPEGKVAVDPVNGGNDRRYLYYPGRVWLDTDGKPIQAHGGGVMYDEKTETYFWYGENKDGKTYKAHHKGPDRVDIVGVSCYSSKDLWSWTNEGVVLHGEEKNVLHDLHKSNVLERPKVIYNNRTSKYVMWMHIDDENYTKSSIGVATSDSPTGPFTYLYSKRPHNCESRDMTIFKDDDGKAYLIYSSKGNSELHIARLTDDYLDVTDDMRRILVARYREAPALFKFRGTYYMITSGCTGWAPNTAKAHAATSIMGPWETLGNPFLGGNEIYRSTTFFSQGTFVLPVAGVPGLFIFMADRWKPSDLRDSRYVWLPLTVGGLPDEAADYSFMFPLWSRVSIYWHKVWRLPEQRHRPT >SECCE6Rv1G0408210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:569287410:569287877:1 gene:SECCE6Rv1G0408210 transcript:SECCE6Rv1G0408210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSPLRAFLAAMLLSFLLGAATTSSRTTPPMLSASQNLAEDKSRLGSTPPSCHNRCNACNPCKPVQVTTTLPAGSGRPSTASRGSSAGAVDEAAANVQYSNYKPLGWKCRCAGRLYNP >SECCE7Rv1G0505880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:741678824:741683097:-1 gene:SECCE7Rv1G0505880 transcript:SECCE7Rv1G0505880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGIVDGFRRLFHRRTPSGSVLASSNQSSAGEDPSDVEAVEDLDLVGLRPIRVPKRKMPLPVESHKKNIMEKEFFTEYGEASQYQIQEVVGKGSYGVVAAAIDTRTGERVAIKKINDVFEHVSDATRILREVKLLRLLRHPDVVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIRANDDLTAEHYQFFLYQLLRALKYIHGANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDIITDLLGTPSSETLSRIRNEKARRYLSCMRKKHPVPLTQKFPNADPLAVRLLGRLLAFDPKDRPSAEEALADPYFASLANVEREPSRHPISKLEFEFERRKVTKDDVRELIYREILEYHPQMLEEYMKGGDQISFLYPSGVDRFKRQFAHLEEHYSKGERGSPLQRKHASLPRQRVGASNDGNNEQHISDQEMSAEPDAHGTESPQKAQDAPGVGQNGLSPTSLSSRTYLKSASISASKCVVVNPNKQPEYDDAISEEAEGAVDGLSEKVSKMHA >SECCE4Rv1G0276280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797488730:797491967:-1 gene:SECCE4Rv1G0276280 transcript:SECCE4Rv1G0276280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKAVVVCALVGFLGVLSAALGFAAEGTRVKVSDVQTDSPGECIYPRSPALGLGLMSAVALMVAQAIINTVAGCICCKRHPVPSDTNWSVALISFIVSWVTFIIAFLLLLTGAALNDQRGQENMYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALTSSKAPPSWGLQQNQGISMGQPVIPQQSSEPVFVHEDTYNRQQFP >SECCE1Rv1G0018380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:190664369:190686470:1 gene:SECCE1Rv1G0018380 transcript:SECCE1Rv1G0018380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPDAGDYTIFMGLDKHENEDLIKYGFPEDIWFHVDKMSSAHVYVRLNKGQTMDDISEGLLEDCAQLVKANSIQGNKVNNVDVVYTPWYNLKKTPSMDVGQVGFHNSKLVRTVQVEKRINEVVNRLNKTKVERTPDLKAEREAVSAAEKAERKLQLRDKKRKEEMERLEKEKQAEIRSYKGLMVQDKMTSNKQVASGSKTLQELEEDFM >SECCE1Rv1G0008430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:47150790:47152583:-1 gene:SECCE1Rv1G0008430 transcript:SECCE1Rv1G0008430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAGPFRRRGGTMEEVQMEEAFIFSETIKELKALRPHLYSAAEYFELAYTQEGGRQAVMSNLKEYAVKALVNTVDHLGSISFKVSSLVGQRFDEVAEANLRVSCIQQRAEAIQACVYREGLTQQSLVIAAPKYHKRYILPGDGSVPNAVPNFSEMNKVRNRTTQMHQAFRAASAAQTKNKDKQASFRKLRSIARAPSQSARSSSPAQHPRFVPPSDTAIPTKRDKRSDSPIYSTTPLTRSGSLSKKSSLLKTSSVRVQMQTTSDPKRLVPLRSYADRYNDDSKESEQTLKKSKKFLKSLLSRRKSGKEEPLQDSVPY >SECCE6Rv1G0413320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:622948714:622950658:1 gene:SECCE6Rv1G0413320 transcript:SECCE6Rv1G0413320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 16 [Source:Projected from Arabidopsis thaliana (AT3G43860) UniProtKB/Swiss-Prot;Acc:Q8VYG3] MKKTHTPASCRGGVALLLAALVLSGDVAGATSFNYKDALTKSILFLEAQRSGKLPPNNRIKWRGDSGMEDGKLAHVDLTGGYYDAGDNVKYGLPLAFTVTTLAWAALAFKPELQAAGELKHVQEAIRWGTDYFLKCATKKNHMWVQVGDPNLDHQCWVRPENMPTPRTLYHIDDKTPGTEIAAETAAALAASAIVFRNDKPYARRLMNKAKLLYQFAKTHLKTYDGECPFYCSYSGYNDELLWAATWLFMATKRQVYADFITHEAISSSVAEFSWDLKYPGAQILLARHNFTAGGGMQSYKTQADNFVCAVLPDTPFHQVFITPGGMIHLRDGANSQYVTGTAFLFLVYADWLDSARQDVMCGATPIKPARVREFAKQQMDYLLGANPRGRSYVVGFGANPPTQPHHRGASTPVLKPGTVVNCGMSFGDWFAPDRPNPNELTGAIMGGPDGADNFIDKRNASACTEPCTYINSLAIGALAALAGRGPNLVASHGHGYDAM >SECCE3Rv1G0182950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:640465170:640469487:1 gene:SECCE3Rv1G0182950 transcript:SECCE3Rv1G0182950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSSQRCTASGPPASMSSASASEDELALLTGPQLVIHLTKTYRRADFDAVSRILAARDRDKATVEAKLAAAEAELDAARERLRGVDKVQADLEAALRGLNAQLEKKKSLAGAYHRPRDETQEMAFVPGDAAPEAVHRDEGRVKGAKKGEGNDDDDGIIDLCSDEEEEERAAVAGEMEMEGHEDEDDKVPLSQRFKRLRRAEPGELEPGKGDGQGQSDSVSTLGNGQQKSLFVKMEGQMTTTGKMPVMPEASKVATSVQESGVVKSEKFDDEMPRTMLLPSPRLLSRSPLQMGSSKSDYCKARTGEKEGSIDGVPASGVSQLLKEDVKMNKTPMVESSDKCGNKVIGAEKISPTLRPSEERMISRVGVPFEPCNGNNKYVQVKREACSLPSTITSEWDSEGHLFSSVCNHVEIAMQALCALYRQRKLAMEDAREEHRARTLAEFLLDGDLQGPMRKTVAELENHDATNPPFLMQVALSCSRQLYDIFRSKEDPYFC >SECCE1Rv1G0021940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:276639260:276653566:-1 gene:SECCE1Rv1G0021940 transcript:SECCE1Rv1G0021940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFVTKWSHRSSEPAGTSNSVAAQPPSSPSAPSPSAPVPSTEAAGDEFILQEEEYQMQLALALSASASGAEGAGDPDGEQIRKAKLMSLGKGDPVTNSDRGGGDTAESLSRRYRDYNFLDYNEKVIDGFYDIFGLSAESSGQGKIPSLAELQMSIGDLGYEVIVVDYKFDNALQEMKEVAECCLLGCPDITVLVRRIAEVVADHMGGPVIDANEMITSWLSKSIEQRTSHQTSLLHIGSIETGLSRHRALLFKILADIVGIPCKLVKGSHYTGVEDDAINIIKMDNKREFLVDVMAAPGTLIPADVFNSKGTPFNFSQTLGQNQVVESASNIEDDPVTLQSEHKRNQGHMFSNNNQISDNLSSYENTMTAGSSASEPGTLDPRMQLGKTSTLASAPSKQKKNLQLIPDSHETEESQNLFAEFDPFNATESGKSSLAFKGLNNRNNEFQRRRENVVPPSARSQQPLVMKNWSACNDVSSNKQYNVADGSVPRRNATDNELSSQLALSTAKHYNPNVRELNDRVYAAPSRNYDNRIVDTLAMARASTGECLDRSQVPPGLYYDKMLGTSSMNAASTSRIGKVAKKDPHNDLEKGPIYSRFDGELSKNAQGFSPERDEHKANCGSHDHRMLHPDPRKSPLDRFMGRPRQNIECVSPSQVGSSKVDMVLDEVSECEILWEDLVIDERIGIGSYGEVYHADWNGTEVAVKKFLDQEFYGDALEEFRCEVRIMRRLRHPNIVLFMGAVTRPPHLSIVSEYLPRGSLYKIIHRPNCQIDEKRRIKMALDVARGMNCLHTSVPTIVHRDLKSPNLLVDDNWTVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDIYSFGVILWELATLRKPWHGMNQMQVVGAVGFQDRRLDIPKEVDPIVASIIRDCWQKDPNLRPSFIQLTSYLKTLQRLVISSHQETASNHVPYEISLYR >SECCE6Rv1G0446560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:845041427:845042702:-1 gene:SECCE6Rv1G0446560 transcript:SECCE6Rv1G0446560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRSSPSSMALALLPLLVAVAFFIPSMASSASGTLDHGLDGEALLMLGRFHGWMAAHGRSYATVEEKLRRFEVYRSNMEFIEAANRDSRMTYRLGETPFTDLTHDEFMAMYSSNESSWESEEMTVITTRAGPVHEGTAAAQEPPRRTNLNLTAVVPPSVDWREKGVVTAAKNQGPTCSSCWAFTSVATMESAQAISTGGSPPLLSEQQLVDCSTLHRGCSRGWMDDAFKWVIQNGGITTEAAYPYTGNAGICQTPKPVAVRLRGYKKVSPPGNEAGLMEAVAQQPVAVSFDYSDLCFQHYIGGVYNAGCSRSGVYTKGACKTAQNHAMALVGYGTKPDGTKYWIGKNSWTDKWGDKGFVYVLRDSPPYGLCGLAMLPVYPII >SECCE6Rv1G0391490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:224441156:224443862:1 gene:SECCE6Rv1G0391490 transcript:SECCE6Rv1G0391490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 2;1 [Source:Projected from Arabidopsis thaliana (AT3G26570) TAIR;Acc:AT3G26570] MSQSSSLFSIACAHAGAGGRAAAAALLLRCPAAQLPPAIHHFRLAKLSPAKTLNSHLVLPRATLSSYADADDASGANSNASGERSGGSELSEMAKAFHISPRMAMSISVVIAFAALTVPLAMHSLLFHGTTKMKVLAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGAFLMGTHVTSTMQKGILVTSVFQGNDSLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGLVYGGVNAVFWSSLARVSSSWLISPLMGAAVSFLVYKCIRRFVYSAPNPGQAAAASAPIAVFTGVTAISFAAFPLSKTFSIAVLQALVCGAIGAVFVSRAIKKQLGDLLSSEAEKIATADNTDVQQGGFDVAGPRGAQLQIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSLLQGVASSAEIVIPTEVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVVSWVVTIPVGALLSVIYTLILTKILKYFM >SECCE1Rv1G0008290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:46240387:46242999:-1 gene:SECCE1Rv1G0008290 transcript:SECCE1Rv1G0008290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLPPPMPRRICIWLRCSSPSSSCTYPDSGLRHPYSFTSHLSGLRLRRSFTTPTSNLLLLRRSSPSTSTPTSRSSRSWSAHAAFAAAKERVRAGTLSQEDAHHLFDELLRPDTPIPNRSLNGFLAALARATSSAVCIRDGPALAVALFNRVCREQAGLRVVPLTVHTYGILMDCCCRASRPDLGLAFFGRLLRTGLKTNEIIANTFLKCLCYAKRTDEAMNVLLHRMSELGCVPNDFSYSIVLKSLCDNSMSQRGLDLLQMMAKEGGGCSPDVVAYSTVIHGFFKEGETGKACSLLHEMMQQGVEPSVWTYNSIIDALCKARAMDKAEVVLQQMIDKGPQPDAVTYTCMIHGYSTSGRFKEAAKLFREMTSRGLIPDTVTCNSFMTSLCKHGKSKEAAEIFDSMTAKGHRANVVSYSILLHGYANEGSFADMIDLFNSMERNGIAADCHVFNILINGYVKHGMMDEAMLIFTEMWEKGVSPNVVTYSTVIAALSRMGRLTDSMDKFNHMIAMGIQPDTAVYHSLIQGCFMHGGLVKAKELMFEMMNKGIPRPNIVFFSSVINSLCKEGRVMDAHDVFDLVIAIGERPDVITFNSLIDGYCLVGKMDKAFKILDVMESVGVEPDVVTYSALLDGYFKNRRIDDALTLFREMPRKRIKPDTVTYHIMLDGLFRAGRTVAAMEMFNEMIESGATVRISTYNIILGGLCGNSCVDEAIALFQKLRAMNVKFNIEIFNTMINAMYKVQRKEEAKELFATISANGLVPNESTYAVMIRNLLKGGAVEDADNMYSSMDNSGIVPSSCLINDIIRMLLEKGEIAKAGNYLSKVDGKSISLEASTTSLMLSLFSRKGKHLEDIKLLPAKYQFFDGFG >SECCE5Rv1G0348400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673624888:673627150:-1 gene:SECCE5Rv1G0348400 transcript:SECCE5Rv1G0348400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAMEEQPVGGTSTTEETGVPKESLVVIELKKQLCLAGPLIAGCLLQNVVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLSGMASSLDTLCGQAFGARQYHLLGIYKQRAILVLTLVSVVVAVLWAYAGQILLLFRQDPEIAMGAGSYIRWMIPALFVYGPLQCQVLFLQTQNIVLPVMASTGVTALSHVLVCWLLVYRLGLGNKGAALANGISYMANVSILAIYIRVSPSCRSTWTGLSKEAFHDILIFMKLAVPSALMVCLAWWSFELLVLLSGLLPNPKLEASVLSICLNTASLVFMIPLGLGAAISTRVSNELGAGRPEAARLATRVIMVLGLATGVSVGLLMLLVRNIWGYAYSNENEVVEYIARMMLLLSVSVIFDNLQCVLSGIVRGCGLQRIGACVNLSAYYLVGIPAALCFAFVFHLGGMGLWLGIICGSVVQMLLLLAITVRTNWDKEALKAKDRVLSSSLRLDMTS >SECCE2Rv1G0115940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:759165652:759166245:1 gene:SECCE2Rv1G0115940 transcript:SECCE2Rv1G0115940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHGSSGKHPFYRGIRSRCGKWVSEIREPRKARRIWLGTFPTAEMAAVAYDVAAHALRGPDAALNFPALATTRSAPASTSADDIRAAAAAAAASVQHDRAGGGGIAPAAAGSTLQQQLGGSSAAAASASGAAQQDQAGIGFNHFFLDEEALFETPQFLRNMAAGMMMSPPRLSPDSSDESPDPSEAGESLWSYRDL >SECCEUnv1G0535840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:60941342:60944712:-1 gene:SECCEUnv1G0535840 transcript:SECCEUnv1G0535840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGGAGAMSKRLMERMLGMFRSRTQVGVDRAGNHYFSRVEEVDGAMKEKRWIEFKGDRDPTTVPVEWICWLNGQRKKAPTPEELAELEVRRERVKQNVELLKKKEEEERRAGVRPVKTIGKTDSPNLRSFTQQFPGTSEDKKKEPEKVSNPKDATDSEDARTDNDRSSEPTGTGASFKPGTWLPPS >SECCE6Rv1G0389140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:147097051:147099331:1 gene:SECCE6Rv1G0389140 transcript:SECCE6Rv1G0389140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWAQKTVVIPAQRRGCHLITPKVLREIEGDLSGFKCGLAHLFLQHTSASLTINENYDSDVQADTETFLNKIVPEGRSAPWKHTMEGPDDMPAHIKSSMFGCALTIPITDGHLNLGTWQGIWLCEHRDHASARKIVVTLNGI >SECCE5Rv1G0300530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27020747:27022298:1 gene:SECCE5Rv1G0300530 transcript:SECCE5Rv1G0300530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLRGKDMTQDATFEFERKRNRPERYDRNVTEQTLKAIPLITKIRHERQKKHITERQKAGKSMVRKSDAKELDQDIGMVPKKETESYVAKQKVLVSQLKTEENLMEE >SECCE3Rv1G0182410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:627745817:627747487:-1 gene:SECCE3Rv1G0182410 transcript:SECCE3Rv1G0182410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSSPPVAPPGFPKKPMHYLAAADLPRALERCRRLLDKLLQHEDGWVFAKPVDTHSLELRDYLSVVAEPMDLGTVSRRLELRRYPNLLCFAKDVRRTFSNAMTYNNKGDDVYESAAKLSRIFESGWASILAALPSPPPVAERRARLKDELPRLPVDLQGKAVIIMKDIGGWIQEVDGRVEVDFDKADEGTLDKLEWLLAVATMRQLFCATSLH >SECCE4Rv1G0274630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785799851:785800717:1 gene:SECCE4Rv1G0274630 transcript:SECCE4Rv1G0274630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLRCSYGQLIFYDSNGFHIVNPFSGAKVGPLSLPLANFTCISYVTLTAPVASADSHLLVGAGAYLFLWRIGSDSWIKHSPKVGRFLIEQNVAFKGKTYALGSFGWFYIIHLSPSLIIQKFKVVFEEDKTEDLYSANDKAWLVVCGDTLLLIKLVEPGRRMRSEAFQFMAFKLESLDTMTEKARWVKLFNTGRRYLKLESTWVFPTRFLLPSCDDLTAVSAVGD >SECCE4Rv1G0292300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:881924656:881926115:-1 gene:SECCE4Rv1G0292300 transcript:SECCE4Rv1G0292300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRGHRVSPAAVAGPLEDDNLLGEVLVRLSPEPSSLPRALVSKQWGRVAASADFRRRWRDRHGRPPVLGVFEKRMTTLLFTPGLQAPDRIPMERFSLQVCTEAAWNTWCVLGCRQGRVLIMNWTLREFLIYNPFSGDRRRVSFPPDLSLPPDVFDDGHTANGALLSDEQSPLKLVLVTCSGRAGVQARVYSSETGTWGDSVSIPDPCRFTSVPTTVVGNRLYCWLKRPGDRILELNLDSQTLALITRPPRANIKSRNCRIIPGEDGAVGLALLSYPAIELWNRNVNSHGVATWVLRKTVVLDSIFDYAPSSTGAWRSLVIGYTEDANAILISVYKEMCIRVFTVQLESMQCKRLRGHFLNDLYHPFASFNEAGPSTLQILAGANNDVGAGGAQA >SECCE7Rv1G0518930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:862770490:862771041:-1 gene:SECCE7Rv1G0518930 transcript:SECCE7Rv1G0518930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFIYPRYRAGVDRRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEHEEWLDMLPRTAVAATRAPEEEMEGKEHTNRELVVELRMLQKKVRKLEDQAQIAIPICNYFWAVVGMVLALVIMLKMYGKA >SECCE2Rv1G0125640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:842512942:842514884:-1 gene:SECCE2Rv1G0125640 transcript:SECCE2Rv1G0125640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFRFSQLRNSIWSGCPARLRMQHTGLSPGTLLDPKSYEKHLFSTRVQEMASLHTTDLGPCIPGIQPLQFQQTSEHEHSVPLLIFDINTTGFFPKHNRITEFAVRDLWGGKNNTFGTLLNPEMDIPQYLATVSGINNDLVCRPDVPRFSDVLPLLLAFVRSRQTPGKPVIWVAHNVKRFDGPFLAQEFDRCSAQMPEDWLFVDTLCLARKLPKLSASDDKRHLHDLESLCKRYGISVEGPTHGAMQDVMKLCQVFQKMSFDLKLTDEGLVNVATKASYFSKFLK >SECCE2Rv1G0087620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:229028139:229028954:-1 gene:SECCE2Rv1G0087620 transcript:SECCE2Rv1G0087620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVSRRSSIMASRLSASAEAVRASEGRKQRQAVVGRRVEYDEESLAGEPQYDVFINHRGADTKRTVARLLYDRLAQSGIRGFLDNMSMRPGDRLRETISAGIRECSVAVAIFSPSYCDSEYCLWELATLVESRKTIIPIFYNIKPSDLVLPEALAASDNYLPQDVERYKYALREAKNTVGLTYDSATGDMSELVAAAADAVMYSIEKMERVQRRETIVSRL >SECCE4Rv1G0245220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:526765446:526766915:-1 gene:SECCE4Rv1G0245220 transcript:SECCE4Rv1G0245220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLALLTATVVAVQAGGQLGDPAPAAAEVFWRAVLPHSPLPDAILRLIQPAADTSFLSKARVKPPFHYQEHIRSSSDDESSERTAGAMELGYNDYSGADKRRDATLNPFNYIYKAPSKTRPRFFQYHYKAPSSSHAGQRLGAPAGNPFVYHYKAPSSSHAGSGVSVARGGKAKTTTVFFHEEAVRVGERLPFRFPPATPAALCFLPRQVADSVPFTTAELPGVLAMFGIASDSPTVASMEATLHACESPTIAGESKFCATSLEALVERAMGVLGTRDIRPVTSTLPRAGAPLQTYTVRAVRPVEGGPVFVACHDEAYPYTVYRCHTTGPSRAYMVDMGGARAGDAVTIAAVCHTDTSLWNPEHVSFKLLGTKPGGTPVCHLMPYGHIIWAKNVKRSPA >SECCE2Rv1G0097430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:459530883:459532757:-1 gene:SECCE2Rv1G0097430 transcript:SECCE2Rv1G0097430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein ELI1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37380) UniProtKB/Swiss-Prot;Acc:Q9SZT8] MSAAVLPSVAPARDSGGHHGALTADRAASLLAACSTARRASELHAAVLRTGLDSDRAVAFRLQRAYAASGRLGLSLTLLRRIHDPTTIFYTSAIHAHSSRGLHLPALALLSDMLSEGLLPTAHTLSASLPACRGLALGRALHAYAFKLALSGDSYVATALLSMYARAGDAAAARALFDEMPDPHVVSVTAMLTCYANMGALDDARRLFDGLPTKDFICWNAMIDGYTQHGKPNEALQLFRRMLRSSAEPDEVTVVLMLSAVAQLGTVESGKWLHSYVKNNRRVQLNVRVGTALIDMYCKCGSLEDAVAVFHGIGDKDIVVWNAMINGYAMHGDSRKALEMFVQLREQGLWPTDITFIGLLNACSHSGLVEEGHGFFQSMEHEYSIDPKIEHYGCMVDLLGRAGLIEEAFHLAQSMTITPDAVMWVSLLAACRLHKNMALGQRIADFLVAKGLANSGMYILLSNIYAAVGKWEEVARVRSMMKASGIQKEPGCSAIEIDRKVYEFVAGDMSHPCTDEIYAMLDKMNGLVKEHGHVPQTELVLHDLDEATKEKALAVHSEKLAVAFGLISTQPGVTIKIVKNLRACSDCHAVLKLISKITNRKIVFRDRNRFHHFVDGSCTCGDYW >SECCE7Rv1G0493900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:576739892:576740911:1 gene:SECCE7Rv1G0493900 transcript:SECCE7Rv1G0493900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEPEELQFLGPVGIYRESVAILRTHRPLYARIAAAFVLPLSALFLAHIAISHALFSTIDSDDSALESSAPGTASQQRILQRLGADWAALVLFKAAYLLALLLFSLLSTAAAVFSVASVYSAKHDALTFPRVLSVVPRVWRRLAATFLSAFALLFAYHLVFIVVFIALLVATDNGSTLAGILAFVVAVAYLVGLVYLSVVWHLASVVSVLEDYKGFQAMRKSKALIQGKLWTVVSIFGTLNLVFVVVEFAFRAWIVQGARHGLGAGGRLLLGLVILAALCSVVMVALVVQTVVYLVCKSYHHESIDKSNISDHLEVYLGDYVPLKASDVQMQHFGDEV >SECCE5Rv1G0349100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682043710:682044729:-1 gene:SECCE5Rv1G0349100 transcript:SECCE5Rv1G0349100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITLEELKKATHNFDKDLVVGGGGHGTVYKGILSNQHIVAIKKPKKVVPKEIDEFINEVAILSQINHRNVVKLFGCCLETEVPMLVYEFISNGTLYEHLHVEGPRSLSWGDRLRIAIETSKSLAYLHSAAAIPIIHRDVKSANILLDDTLTAKVADFGASRYIPMEKSGLETRAQGTRGYWDPMYFYTGRLTEKSDVYSFGVVLVELLTRKRPFSYLSSDDESLVVHFVTLFAEGNLLHILDPQVIDEGGKEVEEVAAIAIACVKLSGDDRPTMRQVELTLEGVRTSEGHVLDNVVAKKFYNNDIGVQSNKLVRKDNEGSTRQYSMEEEFILSASCPR >SECCE5Rv1G0365300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:806132071:806133003:-1 gene:SECCE5Rv1G0365300 transcript:SECCE5Rv1G0365300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTVEDLPADVLACALRRLDGPSLAAASCATASLRALAADPETWRALCLAQWPSLGLETSLEHNVLGSPQRLFADAFPFPSTSTSTDAAAADQCLPGELISAVDIYQNGAPRPLFSRVVETSTSSSWFLASPFRVDAVECKDPVLVRAASFSPAELELSWIVVDPRSGRAVNVSSRRAVAVDRHWYTGETLVRYAVVLGRSKFEATVTCSEEAGCLTLREVSLTVEDADGAAVSGEGSLRLLAAAMEAPRRKGGEREREEAKRRYEEFVRSKRGRKESKARREVLVDLCCSAVSAVAVLSFLASVLLR >SECCE3Rv1G0198470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:832349723:832350489:-1 gene:SECCE3Rv1G0198470 transcript:SECCE3Rv1G0198470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKIGVAMDFSASSKKALRWAADNLLRRGDTLVLLHIQHRGRDEAKHVLWSHSGSPLIPLEELKDTAIRQRYDIPDDAEVFDMLDAVSREKELSVVLKLYWGDPREKVCEAVGELSLESLVMGSRGLGQIQRILLGSVTNYVLSNASCPVTVVKAK >SECCE3Rv1G0183670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:653073785:653078966:-1 gene:SECCE3Rv1G0183670 transcript:SECCE3Rv1G0183670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRMVCAPQVIDLESERGHPHVHSESLIHNGNDLSDQGGQYTVRVVGNAMNAGLADTQGYYNMSMNHPHQPVHSSPPNLGVDTGFVFPSNMYNPCMSTSMNRYVSHAQSFGLPLNQVVSGSMDGSTRNENVSETARGFIKRKNAAVAESCHFGNGFASSSSSSHTSQNPTHRPWDPSFESNVFPNVTPFNPSEYHGHGTWPSVEGSSITGTNGFNSMAAHPESAQHGNYTFQSSHASQCFQPAGTTWVSQAATGIVEGVPQWSYINAMSSVPGRFAHSGATEIVNGGFIEYQNGPLTISQGHLPYFHQHAVHSMQAHNPLDHTRVQVPYQQGHNNGVLHNGVNHSANRFHLGPRTPGLFSNSERPFGPPQHPFLVNPVNHRNIRILPPLQHGAIMDFSRLYEGSNVVDEHRDMRLDIDSMTYEELLALEEHIGDVNTGLAKSHIVDKLKTSLYVPEATCVSNQSSESSTENEACIICQEEYEVEDCVGILDCGHRYHAECLKQWLTVKNLCPICKTTALSAGRRSG >SECCE5Rv1G0312880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:250279033:250306794:1 gene:SECCE5Rv1G0312880 transcript:SECCE5Rv1G0312880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGAGGGGEGSSSPTGLTIGSHYGTTEEAMWQMTLGGGGVSMEPGPYPERKGEPDCSYYMRTGLCRFGVTCKFNHPANRKLAVAAARMKGEYPYRVGQPECQYYLKTGTCKFGATCKFHHPREKAGIATCAQLNVLGYPLRPNEKECVYYLRTGQCKFASTCKFHHPQPSSTMVAIRSSISSPGQSTTSPGENTYSGAVTNWSLSRSASFIASPRWPGPSGYAQMIVPQGLVQVPGWNPYAAQMGSSSLDGQQRTPGTAHYYSGTHQSETTGMGEHGMFPSHETGSVPLGVYAVQGENAFPERPDQPECQFYMKTGDCKFGAVCKFNHPKERMISAPNCALSPLGLPLRLGEPVCTFYSRYGICKFGPNCKFDHPMGSIMYGSATSPTGDVAALRYQLAPTPGHSERLLDGGPGRSHRLPQSDSQHIPSGDGSDEREAS >SECCE4Rv1G0258420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677680776:677682211:-1 gene:SECCE4Rv1G0258420 transcript:SECCE4Rv1G0258420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRLPGSVQQLAASIQETPSRYLLREKEPLGGSLAGAEMPEPVPTIDLGLLSSNDAEEAAKLRSALHSWGYFRVSNHGMETSLMDSVMTATRDFFRLPLEEKRKCSNLIDGKHYKNEGYGNDLVKTQDQTLDWSDRLHLKIEPEDQRNLAHWPIQPKSFRNDLHEYTLKSKRIKDDILRAMAKLLELDEDCLVNQFGDKALTIARINYYPPCPRPDHVFGIKPHNDVFALTVLLMDKHVAGLQVLRDGTWYNVPPVSNHTLLINVGVTMEIMTNGVFKGPVHRVVTNSEKERISLALFYGLDPEKEFGPIAEMLTENQPTRYMNMKTKDLLVAHYEHFSRGERVVNSLKI >SECCE6Rv1G0413440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:623591169:623593529:-1 gene:SECCE6Rv1G0413440 transcript:SECCE6Rv1G0413440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLRPLAALCVLLATVIAARAAATEVEVEIRTAEVQSSYIVHVAAGHAPRLPRRGLLTTRAYGSFLRDHIPVEMSSPAPRVLYSYSHAATGFAARLTGRQAARLASSGSVLAVVPDVMQELHTTLTPSFLGLSPSSGLLPASNGATDVVIGVLDTGVYPEGRPSFSADPSLPPLPPGKFRGGCVSGPSFNGSALCNNKLVGAKFFHKGQEAARGRALGEDAKSPLDTNGHGTHTSSTAAGSAVADAAFYNYARGKAVGMAPGARIAVYKVCWEGCADSDILAAFDEAIADGVDVISVSLGAVGKAPEFYSDMIAVGAFRAVSKGIVVSASAGNSGPGDSTACNIAPWFLTVGASTLNRHFPADVVLGNGETYTGTSLYAGKPLGATKIPLVHAGDVGSKICEEGKLNATMVAGKIVFCDPGVYSRTAKEQAVKLAGGAGAIFGSPKAYGDQAMTSANVHPATAVTFAAAEKIKKYISAQTSPTAMIVFRGTVVGPTPPSPRMAAFSSRGPNFRAPEIFKPDVTAPGVDILAAWTGANSPTELQSDTRRVKYNIISGTSMSCPHVSGIAALLRQARPEWSPAMIKSALMTTAYNVDNAGGVIGDMSTGKASTPFARGAGHVNPNSAVDPGLVYDAGTEDYITFLCALGYTAEQVAVFGSSTNCSTRAGSSIGDHNYPAFSVVFTTNKLAVVRQRRVVRNVGGDARATYRAKVTAPEGVLVTVRPRTLRFTATKKTQEYVVTFAQRIFGSVKGNHTFGSIEWSDRKHSVTSPIAITWPPTSQVAEM >SECCE4Rv1G0260730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:698203366:698204241:-1 gene:SECCE4Rv1G0260730 transcript:SECCE4Rv1G0260730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNFFVRSPKEEESSAAIREAVLLGGKNAAIAGTVVAVPTLVACRVLPWAKHNLNYTAQALIISTACVAGFFITADKTILRNARQNTIGRIDEST >SECCE6Rv1G0385700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:84338865:84342346:1 gene:SECCE6Rv1G0385700 transcript:SECCE6Rv1G0385700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSCPTTDPVGEDTTVPPRMLHGLALETLNLHTHTMLTFLYSFLPEPPVSTFAPLCCAATAAYAAGSADRLSRLPDDLLRRVLSRLPAKDGARTTVLSSRWRGLWRSAPLVLVDTHLLPRGDAECRPARAGAISRAVTDAVAAALEAHPGPFPFVSLTCSFLDATDRRVLARWFQILATKGVDELVFVNRPWPLPDLPLPSSLFSCASLSRLCIGAWVFPDTTALPRGAGFPNLRELVLGCVVMKDRDLEFLLAVSPVLKILAFHGSQASLHARIANQSLRCAQFCLSILEEVAVVNAPSLERLFLWRNWSERGCVGKMSTTVKIDHAPKLRVLGYLEPGVHILQIGSTIIKAGTKASARTTVPSVQMLALQVHFGNHSQVKMIPSFLRCFPNLETLIVESFLEENSSNRSLKFWQEASPTECVQSHLKTLSFCELQGNDNDFDFIMFVVENAPKLERLIIQIKQDLTYTERQVVVAKLGDLSCANWAITNCKVRLEVSSNPIGSSWSIEAGSDLSSDDPFSCL >SECCE7Rv1G0496680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:614926604:614928783:1 gene:SECCE7Rv1G0496680 transcript:SECCE7Rv1G0496680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGATAEQEAGHGGGAEWRVDVPAAGQHDGVKGGRSWWWLLSWIAAPRDRVAGFGRMVWKVGADDPRRVVHGLKVALALALCSVFYYVHPLYDFTGGNAMWAVLTVVVVFEYTVGACLYKGLNRAMATVAGGALALGVHWVASQSGKEFQPYVLTGSMFIMAAAATFSRFIPTMKAKFDYGVTVFILTYCLVSVSGYRAEEVVFMAQQRLTTIAIGAFICFAVCTFVFPVWAGQELHVLVARNMDKLAAAAEGCVEDYFSDPAAVDAAAGEKPARRALSAKSYGYKQVLNAKASEDSLANLAKWEPGHGKFGFRHPYGQYQKVGAAMRCCAYCIDALAACVGSEAQTPAHVKKHLAGTCLALSRHLATVLHEASGSVTSMTRSDRLGLAVADMNATAQELRDKLRCLATVLEEGGDESPEAEHEQNAVTELPTPPLMEALPLFSAASMLLEVCARAELVIGAVETLATTARFKRADHDEKAALDTEAPVPAASTSNPVDAHVSQETHVKVAGHQEKTETAQKASASSGKAPRDQVGELIKVLMRRGSTKKWARGDTKVSPKPPQDFTVSVPSPRNRAMELAGHGPVVPSPRNRPAELAGHAPPVPTPRNRVAELGGHAPVAPSPRNRPMDLASHGAVLPSPRNRSMDLAAHAPSPRNRSILGMA >SECCE4Rv1G0258400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677631692:677633018:-1 gene:SECCE4Rv1G0258400 transcript:SECCE4Rv1G0258400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEEPSKIVNIPSIVQELVTCVQEPPSQYVVPEQNRPDVACSEMPEPIPIIDLSHLPTPGNSSDEVAKMQSALENWGLFLAVGHGIEPSFLGEVMKVTREFYKLPLDEKQKYSNLVHGQEFRMEGYGNDIVVSEKQTLDWSDRLYLVVEPESRRIYSLWPTHPPSFRDVLCEYAVRCREIASLVLRHLARMLDLHEDYFVEMIEVDAITYARFNYYPHCPKPDQVLGLKPHTDATVITVVFIDDSVSGLQVQKNGVWYKVPIVPNALLVNTGDAMEILSNGFFKSPVHRAVTNAEKDRVSLVMFYTPDPEREIQPAAELVDEKRPMRYRKIKTKDYLTKLFETFAEGTLAIDTMKI >SECCE5Rv1G0367500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:821702804:821703227:-1 gene:SECCE5Rv1G0367500 transcript:SECCE5Rv1G0367500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEAADATHPKKEKEKDKEHAKEAKPAKEKKEKKEKKEKSKESKEKKEKVGEATDTAKLRAKLEKIDVKIDDLKAKKQEIVARLLELEGKAAEAAAPASG >SECCE6Rv1G0421790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:686419123:686422020:-1 gene:SECCE6Rv1G0421790 transcript:SECCE6Rv1G0421790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRLLPAHRLLAGGPRPVLLLPRRRLAPRPPAAVSMSSSAAPARRRAPLRGVVFDMDGTLTVPVIDFPAMYREVLGGEAAYAAAREAGGGAVDILHCIEAWGPDEQRRAYEAIARFERDGLDRLQIMPGASELCGFLDARQIRRGLITRNVKDAVDLFHQRFGMTFVPALSREFRPYKPDPAPLLHICSTWDIPPTEVIMVGDSLKDDIVCGKRAGAFTCLLDETGRYGPHDSLPEDVKPDFMVSSLPEVLSVLEEHFDLAPVSVAESRI >SECCE7Rv1G0483140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:317869607:317874467:1 gene:SECCE7Rv1G0483140 transcript:SECCE7Rv1G0483140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMRFRPQLIASSLSRAPKPSRTLAPQPLAAAARRRSLTATLSSSSMAGAAPSEEALRRALAERQAAVDAQAEAVRSLKASGAKAGVDAAVEALKALKIEAGAAARRLQAAVGSGGGAAREEMRQAVVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDFCKDKLEKDLTLSPETAAEFKRVLAVLDDLSPEELGSKIKEYGIVAPDTKNPLSAPYPFNLMFQTSIGPTGLSVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFVDVADLEFLMFPRELQLSGESAKLMKLAEAVSKGTVNNETLGYFIGRVYLFLTRLGIDKSRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLKAHSEKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVVEALEAMSEKEAMDMKAALESKGEMNFQVCTLGKDVVITKKMVLISMEKKLEHQRVFTPSVVEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPIVAPIKCTVFPLVKNQEFDDAAKVLAKELTAAGISHIIDTTGISIGRRYARTDEIGVPFAVTVDSATEVTIRERDSKQQVRVGIDEVASVVKELTEGQSTWTDISFKYPSHIGPQGDQE >SECCE7Rv1G0513560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:823203967:823232636:1 gene:SECCE7Rv1G0513560 transcript:SECCE7Rv1G0513560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVRGVADLLRKSPQPPGPPAPPSPSVRGGGSFRGADIDDAPAPRVVFSDSTEEGVLSTLWQKYENAHDKEEKEKSLQIFVLQFVQTFRDWGPYHIEELVNQELGSDETVVGCSYGHPSEVILILIQEISLITSTITESGTSPESSPKHSDQLEPLELSAERLHVLECLTILTRSVHNCRVFSYYGGVQKVTSLLKAAVDQLKALNSLHAVDDQSSDQAVENTRMMLKILICIITIISNFMKLEPTATRDPHFVDTTKYVRSSSYLATVSPNIPENTIPDALQHWQQKAIILVLEAGCVNRLVELLRVIQRLNLKEQWTDLSLHFTTLCTLRSTISGTHAQNHFRSIGGLEILLDGLGLPSNKFSVSKRSSISRDERGEILLLQLLYLEILSEAVFGNINNLEFLCENGLVHKFANSISWPAFMIQESHRQKDTTKTLLALNSISGPVHFLKITEWNDYSLKLSIALCSFILPSNVVKCCSDETAINQISASIPSAYQEQSVRWMIRVLLTVFLCIKACACESELPSHIKILAKTIQIYTIRTFRRVLVSAPALLTAFREEGVWDLIFSEDCFYVGSSVEDFQFHIGTENQNDNVRNNRTATDSQRSYRTDVNILQVEAISFLEFAATLNENTYNLPECSALVDALEHCVSDAVVASILLKCFRVILQLATEQTLASFKSLDAITRVLKVACLQAQGLRNSRNLPHPEITIDGDGSQTKNIEMTSPEDRTDHTLTCLKLGVNLLKDYVTISSDGRILVLHNAECIECLFNLFEEESLRKQVLEQVLALFRLPPSSAQDHAAKLHLCSKYLENFTQANENEKVNSELLIDLLVSMREIIMMDRMYYQNLFRNEGCFLHIISLLNGTFNEATGERLVLNVLETLTLLLEGNNASKAAFRVLVGVGYQTLQSLLLDYYKCLPSERLLDALLNMLVDGKFEINEKTTIKNEDVVVLLLNILQKSSTSLQHYGLVVLQQLLKQSITNRTSSFRAGLLSVLLDWFSIEEKDDTVNVIAELIQIIGAHSICGKDIRKIFALLRSEKISAKQKHTSLLLRSLSHMLKEKGPEAFFEFSGHDSGIEIKSPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFSTEDGRGCSAMLSKSALVYESINKKHQCVLLQLKLPPKEWKFLSVTHTIGRAFSGGSQLRCYVDGELVSCEKCRYAKVNEVMTRCTIGTELTPLGDEPNSIGFERTSAFTGQMGPVYVFSDALSSEQIKGIYNLGPSYMYSFHGDDSLYRGILDARDGISSKIIFGLNAQASDSKTLFSVSSTLDSADKSTVEATIIGGTKLCSRRLPQDIIYCVGGVSVFFPLFTQFCDAVSNGGQYCYTSVINDKLAAEVIELVASVLDGNVSNQQQMYLLSGLSILGFLLQAAPPQLLNMKTLPAVKYMFDVLRNCGMSKVLLKDAILRVYLNPEIWVYSNYEVQRDLYMLLIQYFEMDGRFLPILCGLPRIIDIVRHYYWEKIDSKCVIGSKLLHPITKQVIGERPKIEEIRKLRLLLLSLAEMSIKLKISPADIGALISFVERSQDIACIEDILNMILRALSHDSLLSSFLEHVNVLGGCCIFLNLLKREFEPIRLLGLQLLGKLLVGIPSEKKGAILFTLPTGKSRKEMTSAPQLFFHVLSERLSRFPPSDNSSATFFDVLLGRTSPKQVLQEHSQSDPSKDTNSSASSLDHFFLPRILVCIFKYMQSCQDSSARMRILTKLLGLLCSNPTNIEALMEHGWNSWLETSTNLDVVKEYKPAPKAELDNVEINELILVRKLYSLVLSYYLSSVKGGWHQLEDTAHFFLLKFDQGQLSSSYLLRDILDDIVGNLLQTSSEENIFLSQPGCDNVLHLLKLIQELLVNQIGIKLLFPSRSTTDESSSDDKWKEDIKLTVNEILDAETNGQCRSFPWSSCQFAVGDEVSDDWWSFFDKVWSIICNLNGKGPSKLIPKSPQNVGAPSLGQRARGLVESLNVPGAEMAAVVVSSGIAKMNIFADRATILREEIFPRIFFHLVILYLCKAGLENASKCVLQFMSLLPLLIADDEQSKNKLHFLIWSLLVVRSQYGQLDDGARFHVLSHLILETIIYGKAMLVTNILGRDDSIEVNSNKEAGFILSFIQKDRVLATAAYEVKHMHAVQADRLRNLQKLNSKLNERFTKETQLVQIIDDQIHFSITSALSADDSRKAAFQLAFDEDQQIVADKWIHIFRALIDERGPWSANPFPNDALTHWKLDKTEDKWRRRFKLKRNYMFDERLCQPSSSRNEITEPFFDQPSFSTKVPEKMKRFLLKGVRGITDDSGYGLFEDTNGTSESSQSPSENQNQNNAADSSDHRTTVQNKRDTSSTNGDSDYTKVLCSVHCVLVTPKRKLAGQLNITRTVLHFSFDFLVEGTGGSSVFSKFKDKKDSDRKNELGGAERLYGCRDSLIRINGGLMQNQSNKIKHHRRWNIAKIKGVHWTRYLLQYTAMEIFFDDSNSPIFLNFSSQKDVKRAGSLLVSLRNDALFPKGSIKDKNSVISFVDRRVALEIAENAKERWKRREISNFEYLMILNTLAGRSYNDLTQYPIFPWVLADYASENLDFNKSSTFRDLSKPVGALDEKRFKDFEDRYLNFCDPDIPSFYYGSHYSSMGIVLHYLLRLEPFTTLHRSLQGGKFDHADRLFQSIDSAYRNSLSNTSDVKELIPEFFYMPEFLENSNSYHLGIKQDGEPLGDVALPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEAANIFYYVTYEGAVDLENMDDMLQKYAIEDQIANFGQTPIQIFRVKHPRRGPPVPIAHPLYFAPQSVTLTSSVSSTISHMSAVLFIGLLDNTIILMNEGLILSVKLWLTTRTQLGGNFTFSGPQENFFGVGSDVISPRKIGTFLAENVKFGRQFLATMQINSDKYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVGCVAVSSDGNVVATGSYDTTVMIWHAFRGRPSEKKMRTANFEISENDHIIMERPVHILCGHDDIITCLFVSTELDIVVSGSKDGTCIFHTLREGRYVRSIRHPSGFGLSKLVATRHGRVVLYSEIDLSLHMHSINGKHIASATSIGRLNCMELSCCGEFMACAGEHGQIVLRSMHSLDIVWKYAGAGKAITSLAVTPEECFIAGTKDGSLLVFSVETPLVRRGSVPQTSVKPTGAG >SECCE5Rv1G0341290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:622795371:622797003:1 gene:SECCE5Rv1G0341290 transcript:SECCE5Rv1G0341290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYAVLVAVLLAFCYAVWKARGSSSSSRLPPSPPSRPLLGHLHLLGRLPHRSLRDLHARYGTDGGLLLLQLGRRRTLVVSTAAAAADLYKNHDLAFASRVPSAPVDKLTYGSINVSFSPYGDAWRRSKKMAVVHLLSPRRVDSFAPVRAVEVAALVAGARRAAEAGEIVELRELLCAYSNAVVTRATTGAAGATAEKLKQLLGNSAAFLSGLQAEDVLPGAAAKVVRWATGLEKRLDAELELWDKFLSETIAEHLEKKKCDGSAGEEDFLDVLLRLREEGAAGLELTDDRIKSIIKDIIAAGTDTSSLTLEWAMAELVGNPRAMAKLQDEITRVTEGKPTVGEDDLSRMEYLKVVLKEVLRLHPAAPLLVPHQSTTTAVVQGYEIPAETALFINAWAIGRDPAAWGATAEEFRPERFLGGGSAEGVDLRGNDYQLLPFGAGRRLCPGIGFAMPVLEIALSSLVRHFDWELPAGARLDMSEAPGLTTPPLAPLRLAPKCRGQQ >SECCE4Rv1G0272920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:772900766:772903343:1 gene:SECCE4Rv1G0272920 transcript:SECCE4Rv1G0272920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLAKKVSSQRIDLDVIRDVDLNKLEPWDIQERCRIGTGPQNDWYLFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSAARSGHIGMRKTLVFYKGRAPQGHKSDWIMHEYRLDDAATPGNSPANPAAGDTPYYSGSSSPMRGVAQEDGWVICRVFKKKNVVVQHQAGQNGGSGAAVSDKLVGAGAMERGRNNCSSTVTTASDHAKAMQQHHHALDHMRGCSSTTPCKQETKPTTSSPALDQLINSACQNGNFMKLPPLEHVVHGGLLPPPTEYGSDWDALDRLAAYELNSLSDPGSANMMNGLSFIVDELGSATAYSGGDTLHASSGTGDGDLWSLARSVSSLHADLTITCFNAVGC >SECCE6Rv1G0442180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814267786:814269102:1 gene:SECCE6Rv1G0442180 transcript:SECCE6Rv1G0442180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHHLLSVSVHIIIYLCYSLGLATALSFSFNFSNPGSGDLCDTELKCERDTRMGSGAIELTKNETQVNVFSVGRASYARPLPLWDNATGEVASFSSNFTFQIRPKNNWTDNFGLCNPNFTTDSSADGMSFFLAHYPSRLPPNSWGPNFALVNDSNHFNATGDDRIVAVEFDTYHNTWDPSDNHISIDVNSINSTTYTNVTKRMVSDDAIMIQGDGPPYIVSTYVDVKRELPQQVAIGFSAATGTCAELHQVSSWSFSSSLDDAMVATSIIPRRRRPLVPVLVPSAVAAFLLLLCGATTAALIVHQRRKRERAEFEKGVGPRRYRYHELAAATKNFAKEGKLGQGGFGSVYRGCGLSDHDSPVAIKLLSPESFAQGRREFESEVKIISRLRHRNLVHLLGWSDSRKGLLLVYELLPEGSLDKHIYNTSRLLTWSERYV >SECCE7Rv1G0471400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:129882040:129882534:-1 gene:SECCE7Rv1G0471400 transcript:SECCE7Rv1G0471400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSKSTAGIGGVDMCAMVAEHERIEWEVRPGGMLVQKRRGPDDDGCDDAVEAILVRVSAGCGGWQHDVSIDSTATFGDLKVLLSLATGLWPREQRLLYRGRERDDGDHLHMAGVQDKDKVLLLEDPAVTERKLRSTSLAQLMGVPCHSFIQV >SECCE2Rv1G0096390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:438637119:438642049:-1 gene:SECCE2Rv1G0096390 transcript:SECCE2Rv1G0096390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSEEESEISDSEIDEYKDKIYTQLRSQKLKVQYGEKIFRCPFCLGKRKQDYNVKDLLQHASGIGAALKRKPRVRAAHLALAEYVKNDLSSSLEPSLQLAIVEYKPPKIEEEKFVWPWMGILVNLPTDLMDTNFVRESEHMLKSQLSRFRPCEVTILLDSKGQTDHSIIKFAEDWTGLKDALAFEKHFIVEQYSKTDWNRINCRMDDLYGWLARSDDYNSHGTIGEHLRKIGVLKSIGDREHERTERIAHFTRQMEEKNKHLQELELKNNQNAMKLESMMKDKDRMVEEHNEKIRKMQEDARRNSSKIVEDNQRLQQELKTRREQAIRRHKQLEELARKSNIDRAKVEAEKEKNANENVLLDLATLKHKKAREELRQLLKKHEQEKEDAFRRQYKLEEDLTSKQNLEMELAQLRGKLEVMKHMGAEADTTSKEFDKVSEELKEKDEQLEAMESANQALIIVERRTNDELEQAKKELIQGLQQIQLTRSTIGVKRMGVLDEKAFFAACKKKAGNAVSKKKSKYDVEATLVLSKWEDEIKQPDWHPFKVIDVDGQAKEIVREDDEKLQALKEELGQEAHDVVVKALLEMNEYNPSGRYPVPVLWNYKENRRAPLDEAVAYILKQWKASKNKRTYFS >SECCE4Rv1G0215920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8209135:8214559:-1 gene:SECCE4Rv1G0215920 transcript:SECCE4Rv1G0215920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLYYKYKSAKETYPVTLPYSFISVSELKQLILSSNRHGTGRSRGRGPREDIALSNAQTGEEYADEETMIPQNVTVLVRRTAGQESENIVVMSSRKVIEDGSLASNRSVVTESVSKSCSSAEVKDEDAAIAAVIDAAELKWEEQSFKRGQAPGRFTSGRHNGHGSSEREAPPPGYVCRSCGVAGHFIQHCPQENQTPPPGYTCYRCRVPGHFIQHCPTIGDSKFDNYKMSRPVAPVVSPNPADDILSALAPAASASVVDDLPAELHCQLCNKVMADAVLTSKCCFVSFCDKCIRDYIITQSKCICGVKVLADSLIPNPTVRSTISNLLGTRTCSTASGTGKHRSSSGSNADPKSQSHTTSAALERDTKQCIDNQLSAASPDARLQVATEGDQVDRPQKNSDLKSNTEGSAGRSVEKAIPSADLPKLKDVSESTLKNGTVSGSLEPKVARTDQLKKKRKKADPSKAVHPNNADYGYNVPFDPAYCNPFNGGYPMGPFGVPDPYMYGSMGMPYGGFPMGPFGMNPFGNIPPQALAMQGYPPNYQSWENQAALHRDAEAAARSRQVSQGNAEAAARSRQVSQGNAEAAARSRQTERPNDSGAWPRPSERNQRMGSPQGRELRDRPQSRPEPSERSQRLGSPRGRESRDRSRSRSKPSERSQRSVSPRRRESRDSSRSRPARSSRDHGRSDRVSSDYHEDHHDRKRMRASSTVDGDAESSQRSRHSSRSSLRRDDGSDDEQNFKRKWGR >SECCE2Rv1G0074620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:78080767:78081498:1 gene:SECCE2Rv1G0074620 transcript:SECCE2Rv1G0074620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPIFACVVLLLIAIAAAAITLASAAGANPITIGGGSDETATTTCVPTLQRLLSCLDFIEHRTDAIPLPCCVQVNTTVAQQPCCLMHVLRGDVARLMGPDFDSARAMVNVTSRCLGDGSILMSITRSCAGKPLPPLTPEYPFTAAVPPPPPSSSGAERLGGLSYAVLLVALVASFL >SECCE6Rv1G0413370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:623268697:623269287:1 gene:SECCE6Rv1G0413370 transcript:SECCE6Rv1G0413370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGYAGRIQAFSKAALLGLVLLSMVTWVPHACSCLRAFLFVSLPSAASAIATPKCLFIFSNIIVLFLATECRLSRNGARSTSTSEDDIDAVVRELVAFTHAIKETHAAEEIVKAEQEVSVRMTMQQLDQCEKEEESDVALVNNVGDDLQEEVMKEEDCEEATELPTDELNRRVEDFIARFNMERQLEARMVVCCC >SECCE6Rv1G0442040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:813786899:813788575:-1 gene:SECCE6Rv1G0442040 transcript:SECCE6Rv1G0442040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVGMERVAAEVAVRELDVERDLPAVEELERRCEVGLSGDQAADGKKKKKRRGMSLCVEQIGDPLARVRHAPEHVMLIAECAEEVVGVIKACIKMVSRGGGKSPSASSSSSSGSAKQQQPAYVKVACILGLRVSPSHRRQGIATALVKAAEEWSRKRGAAHASMATTQSNKASLALFAGRFGYVPFRRPVLLGRPVHARWLPVTSRHRVLQLQPTLAAAAYARLLPPQDTEFLPADLPALLAHKLTLGTFVAIESSDAPSPSFAMLSVWDQTRSLRLRVDGAPALLRHSLAAVRALDRAAPWLRVPSVPDIFRPFGAYLIYGVRMSGPSGPALLRTLCSHAHNMARNNPACGVVAADLAPDDPAAAAIPSWRRFSCDEDVWCIKNLSASNATSSSDWPATAPPGSVLFVDPREF >SECCE6Rv1G0407160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:554823979:554826798:1 gene:SECCE6Rv1G0407160 transcript:SECCE6Rv1G0407160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTETEKKKTPVALAPIAKPLAGKKLSKKTLKLVRRASEAKCLKRGVKEVVKSIRRGSKGLCVIAGNISPIDVITHLPILCEEANVPYIYVTSKEELATAGTTKRPTCCVLVMTKPAKGEITEEVKEKLESEYKQVVAEVAEATSSLF >SECCE4Rv1G0217020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13963440:13964255:-1 gene:SECCE4Rv1G0217020 transcript:SECCE4Rv1G0217020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRTAATATLSMKLLVDTKARRVLFAEAGKDVVDFLFSLLALPIGTVVKMIGAKSMVGSAGDLYRSVEKLEGTYVQPGAALLGLPALAPSKRFFKCSYNCSEYVTDVSGNKCPRCSYAMTAQIHYVSGAGVTQNAATPDGFVRGVVMYTVLDSLAVSPMSAISSIALLYTFAVTDLTALQEMTVQIGYKEILKASLQCKTVLTDVFLGKKPPSHD >SECCE7Rv1G0481210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:281718334:281719359:1 gene:SECCE7Rv1G0481210 transcript:SECCE7Rv1G0481210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPRGRSSSRRRTRCCKCAGGGGGGKPSTTCCFNPLRSLFRCPGRGRGRSRSRSRSQSRNRTAPSRVSADIGTEQQGQEPSFFVYSMGGAAENKKKKHRKARLPSIRSCFRSKKKERKASARRQPLTPAPSMVTHPPRSPPALENTPTVASGVTSTQPPSPAFTETGNVNSPATSDRRAAPTGPGKQPSTDSAWAPFPPQRQQPKQQVDGLQIVEVATGERLSAHEAALIEMVESSTDDSAESSMKSSLEFINEPSPQTPVKRMVPDRETAVVKATAREAPRLWLNGNASKAGTGARFSEPLVVAEANELWAHDIACSRAHAAMLADTVSFFTSSFSFS >SECCE2Rv1G0105940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:627014796:627014957:-1 gene:SECCE2Rv1G0105940 transcript:SECCE2Rv1G0105940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNMTGLLLGCVGAAMTVLAYQQTVVSSTQCIGAGLAVLVCALCIKEGFFSF >SECCE2Rv1G0123950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828679423:828681362:-1 gene:SECCE2Rv1G0123950 transcript:SECCE2Rv1G0123950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYSSSKCYQVFLEDLHMCMVCLNQTKGSNFIKLPCEHLFCVKCMETLCRMHVKEGTLFQLICPDTKCSASIPPYLLKRLLSEEEFERWDRLTLEKALDSMSDVVHCPRCAIGCLEDEDNNAQCPKCCFVFCSLCKDSRHPGKQCLTLEEKVRQRQAAGKMSAREMVEELMSIKELYNDARSCPKCRMTISKTEGCNKVVCISCGQAFCFLCGKAIIAGYAHFSRNCDLFAEKEKDTMDWRQRLEQLETGNRMRAQSQPVGSTVKCPKCRQKIYKGNHKYIFCWVCQATYCTMCRKQVQFTGMQSEHWGSPQCVGIKF >SECCE5Rv1G0349170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682243821:682246281:1 gene:SECCE5Rv1G0349170 transcript:SECCE5Rv1G0349170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPAAALMAFLLQLSLVAAAAAQAAGGAASCPTTTSCAGVNVPHPFSIREGCSLSGFNLTCDRRSGGERLLIGGAGGTLEVMEISLANSTVRVRNTAGAVRLEGSRISGPTRAIGRWDGLGAGAAGGPFVVSASLNRFVLTGCNVLAKLIGDRDNVIVGCAAFCAVTDGLNNTVSPEDVAECAGVGCCKTPITIGRPSYRLNFTGMDPAQEMDKLLATVSVRVAETDWFNTADARANSSRGMAMPLVLEWALDSRRLRQPEDPPGWATTGCPNDAGKSECRSSHSSCINVTNNYRTGYVCRCNDGYQGNPYVAGGDGCQDVDECARPDMFMCFGVCTNTPGGYHCGCPPRSRGDPRIRDGCVKSSLSLGLSIGIGIGSGAALLLMVLGAIFVTRKLKRQRAKVSKQKFFKQNRGHLLEQLVSQKADIAERMVIPLVELEKATNNFDKAREIGGGGHGMVYKGIMSDLHVVAIKKSKAAIQKEIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYQHLHVEETEASLTWVDRLRIATETARALAYLHSAVSFPIVHRDIKSQNILLDGTLIAKVSDFGASRCIPLDQTGDETAIQGTFGYLDPMYCYSGQLTEESDVYSFGVLLMELLTRKKPCSYRSSGEKSLVAYFTSLLAEGDLSSVLDPQVMMEGGKKVEEVIMLAAACVRMEGGQRPTMRQVEMTLESLQVPHENAMMDVTDEPSYAMIEGGSTEEVSRQYSREEDYLFSSRCPR >SECCE5Rv1G0376160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871325610:871327604:1 gene:SECCE5Rv1G0376160 transcript:SECCE5Rv1G0376160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGAVQWWDDWHLRILVLGSLFIQYFFFFSSLVRRRALPAWFRLFMWLAYLGGDALAIYSLATLFNRHRQPPGDGSGSGSGLEVVWAPVLLIHLGGQHTMTAYSIQDNELWVRHAITVASQITVALYVFCKSWSGDKKLLQAAILMFVVGIIRSCQKPWALQSASISAMVAYLSPSALRKQGMVARFWQVCTSGYSYGSKSSGLPEAAAEEKDIYLQEFAQEARKCVLESELAHDQDATVKLAQHCLVDKYVYRLLADIPVPYSSRIKILREFIALDFRHAHHILDMMLLSSFEHFYTRKTMLNSGIGLCSYLLLPFLALASVILFSIGHKYYHGYNATDVKVTYILFSCTTLLEVIILLLDPFISFTESVKVSQHSLLLCGYRAKRPTVLMKLATLVSCKDYVNMHCYIEQAPPGCSALILQFVHGYVRDGWKDYIHNAPSFRNFNGRKGHWTLRKRSLPHLRWSLNMAFDRSVLLWHITTDLLCYDYTTAGNIPECATGSAVISRYMAYLLCIHPEMLMPGTRNSIFNVACHNVELILGDKLPLGNLVHNAVRLQKELLELQDEGERWEVIQGVWVEMLCYSACKCRGYLHAQKMSDGVELLSRVRLLLSNMGMETFADRFQKPWHVMIRKEAPNDNKEEEGHEDGADGDVDNEINISIV >SECCE7Rv1G0482560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:307762294:307763832:-1 gene:SECCE7Rv1G0482560 transcript:SECCE7Rv1G0482560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTYQYAWVIPLLPLPVIMSMGFGLFLIPTATKNLRRIWAFPSVLLLSIAMVFSVQLSIQQINGSSIYQYLWSWTVNNDFSLEFGYLIDPLTSIMLILITTVGILVLIYSDGYMSHDEGYLRFFVYISFFNTSMLGLVTSSNLIQIYFFWELVGMCSYLLIGFWFTRPIAASACQKAFVTNRVGDSGLLLGILGFFWITGSLEFRDLFKIANNWIPNNGINSFLTTLCAFLLFLGAVAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLLARLLPLFISLPLIMSFISLVGTITLFLGATLALAQRDIKRSLAYSTMSQLGYMMLALGIGSYQSALFHLITHAYSKALLFLGSGSIIHSMEPLVGYSPDKSQNMVLMGGLRKYIPITRTTFLWGTLSLCGIPPLACFWSKDEILSNSWLYSPFFGIIASFTAGLTAFYMFRIYLLTFDGYLRVHFQNYSSTKESSLYSISLWGKRIPKGVNRDFVLSTTKSGVSFFFTKYTKNSR >SECCE2Rv1G0104980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:613331630:613332691:1 gene:SECCE2Rv1G0104980 transcript:SECCE2Rv1G0104980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKNGNSTELHVRRRTSLKLHARRAPNRPTMAKNATANDDAGDEVVHDFSPLLLVHRSGRLERPLVMPPVPPGHDAATGVVSKDVSLSPFSFVRLYLPPETGAGAGKKLPVLVYFHGGGFVIGSAASAAYHRCLNDLTAACAAVAVSVDYRLAPEHPLPAAYEDSLAALKWVLSAADPWLAERADLSRIFLAGDSAGGNICHHLAMHHDFRGAAGRLRGIVLIHPWFWGKEPIGEEPRPGRAEGVEQKGLWEFVCPDAADGADDPRMNPTAEGAPGLENLACEKVMVCVAEGDFLRWRGRAYANAAARARGPEPAVELFESEGVGHVFYLYEPATEKARELLERIVAFVRAE >SECCE7Rv1G0523130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:880587236:880588398:-1 gene:SECCE7Rv1G0523130 transcript:SECCE7Rv1G0523130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPRRVQAHPVAAQLGEEGHHGGRPLRERLRFSVGRGMARGGRALLRPLRRAVALGGRHLLRPLRLFLALGRRPLRLLWRGLELGGHALLLVARVRQQEAVYGGGDGEPRTPPRPRASGSAIFYDPRGRRPRPPSPPQPTSPPPSAALPPPPQPSPPPPVVVDGVDVPRHIVDLFVDYCRRTCPANSTVCHLCVFEMQRSRNFTVATWEMPGHCQRYHSEEGASVRCPVPSCHVRVRPGRDLALHSRFVHDFPRGWWRRYI >SECCE4Rv1G0224480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:80908061:80908949:-1 gene:SECCE4Rv1G0224480 transcript:SECCE4Rv1G0224480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSDTDLDESASTTTTAATSSLSSSCSLQPQTPPPPRRRRSRNRRRGQRRVKNGAEAEESEAEAEEVWCGAQWEAAWPRRARPVVLAGEDAAPVGAGAGAGDSGVGRARSLTDDDLEELKGCVDLGFGFSYNEIPELCGTLPALELCYSMSQRFLDEHQTPSKAEELAPEPPAVVPPSPVQPIPNWKISCPGDSPDEVKARLKYWAQAVACTVKLCS >SECCE2Rv1G0088790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:248295121:248295468:1 gene:SECCE2Rv1G0088790 transcript:SECCE2Rv1G0088790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLLCLVSSHFAVTAVMARQFPVFLVDGGAMAANAPSAFDSDASLLHRHSLLESRFAGSPMGSHDHGVRHGPFDRHFAGGKIILGGLAVAIIAAVFCYIRITRAKKIVEPKT >SECCE6Rv1G0419300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:668489487:668491010:1 gene:SECCE6Rv1G0419300 transcript:SECCE6Rv1G0419300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLRRVRPRTGTAGGEDRLSALPDDLIRLIVRRLDTRTALSTAVLARRWAHIPRDLPELDFRISDVIPPEYHRTVALRRRNMPRDTFLAEMLDRLMARCEFNTIAAFIDGITGFLEADGGPADGDARRRANTLRLEFFQTHDGGCAINRLIATAVGAWGVRDLEVVARQASCDALQAPPYHFPYYYDCLKDRLRSLTLGNYCTFPSLHSYGALTKLVLRDMAASTPVHVYQRVFTECARLQVLHLISCCCAQDCVVVDAPCSQIRELILDQCKFMTTELRHLPMLVSLACCLTDTYRLIFGSVPSLMHTNLTFAAESWIVPKHCTDLFGHYIIGMPPTMTDLVLRFTGLRRWMLPSPPAKPLLNLKKLLVSDLPANWDITWPRGLLLAAPSLEVLHIHVPCSEEEPNYGNMRMTSLKAPQRHHRLRELAITGFLQRHIWFLKYVVSMCTSLQRVILLKDDDHVRYNGLWDWEMVEQQACPWSNDDEMAVRRIIESGCRPLVELSVG >SECCE5Rv1G0317310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:340914386:340917129:1 gene:SECCE5Rv1G0317310 transcript:SECCE5Rv1G0317310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum induced protein with YGL and LRDR motifs [Source:Projected from Arabidopsis thaliana (AT5G19140) UniProtKB/TrEMBL;Acc:Q94BR2] MLAVFSGEVVEVPAELVAAGSRTPSPKTRASELVKRFLAGADTAVSVELGSLGNLAYSHANQSLLLPRSFAAKDEIFCLFEGVLDNLGRLSQQYGLSKGGNEVLLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTSSLLVASDPEGKVPLFWGITADGCVAFSDDIDLLKGSCGKSLAPFPQGCFYWNALGGLKSYENPKNKVTAVPADEEEICGATFMVEGSTVLAALQ >SECCE2Rv1G0113480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:723011921:723014616:1 gene:SECCE2Rv1G0113480 transcript:SECCE2Rv1G0113480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAGVAEKAEFKECLRLTWSQPYILRLVFSAGIGGLLFGYDTGVISGALLFIRDDFIELQKNTTLRETIVSMAVAGAIVGAGLGGWMNDRFGRRPSIIIADVLFLAGAAVMAFAPSPAVIIVGRVFVGLGVGMASMTAPLYISEVSPARIRGALVSTNGLLITGGQFMAYLINLAFTKVPGTWRWMLGIAGFPALLQFILMLTLPESPRWLYRQGRKEEAAAILRKIYPANEVEEEIESLRKSVEDEMVLEGSIGEQNVFGKLKKAFGSKVVRRGLMAGVIVQVAQQFVGINTVMYYSPTIVQLAGFASNDTAMALSLITSGLNALGSIVSMFFVDRVGRRRLMLLSLVGIVVWLAVLGSTFLRAAHNAPPVSDVETLPFANQTCPEYNPNVHWSCMDCLKAASTCGFCAHQGKTLLPGACLALNNETRGVCHADHREFYSEGCPNKYGWLALLALGAYIVSYSPGMGTVPWIVNSEIYPLRFRGVCGGIAAVANWVSNLIVTQTFLTLTKALGSAATFLLFCGVSFMALIVVFLTVPETKGLQFEEVEKMLESKNYRPWKRYHPEAPTKGREIGLAML >SECCE7Rv1G0468060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95244984:95248371:1 gene:SECCE7Rv1G0468060 transcript:SECCE7Rv1G0468060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHVGQFFESVSSIFRGGDTLPVCDRDIIAGCETEVAEAANEEQKNDSLMRLSWALVHSRQPEDVNRGIGMLEASFGKSNSPLQTREKLYLLAVGHYRNGDYTRSRELLERCLQVQPDWRQALTLERLLEDKTKRDGMIGMAIVTGAFGLVGLVAGGIIAAASSSRKK >SECCE2Rv1G0120290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:798108868:798109527:1 gene:SECCE2Rv1G0120290 transcript:SECCE2Rv1G0120290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIAQLPASFAPSFAPLCSRIPFAPPLSSTSLSASSPPFHPSRVLVRWEPPPRGWLKLNFDGSVYHDGSGRASIGGAIRDCNGHVLVAFAEQTEHSTVGIVEARALIRGLRLAMSFFRGGLVVEGDDQVLVELLSGKEMQTRIPLAMQEEIMALLDHFSTYQLQHIYREGNQVAHVLCKEAYQRPGVWSSGIVPHAVWEKALEDMHGVAHERIWKKKV >SECCE2Rv1G0098440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:483413128:483413565:-1 gene:SECCE2Rv1G0098440 transcript:SECCE2Rv1G0098440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIFSFGLATGKHAMGSGEPLGSPMPDFPGIPDVEVLDGPDKPFDHIHDRKRKRGGLMEEEINVFCSMTEAVKEVAAIIRECKPLDVHPDLYGAVMTQGGFSDEALMAALSRLLDNKAQGVGFVAMADAHRVLCLRTWLGKHYY >SECCE7Rv1G0501630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:685723783:685765545:1 gene:SECCE7Rv1G0501630 transcript:SECCE7Rv1G0501630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSSASPAAAAATTAPAAGAATATDRYTFRPGLRWQPEVEEYFASAYGRDHFARISEALAHPSCYSCIRVNTLKSTTDIVMQKLLKLVNHNELSCGFNGLKIGEQNGGEEAHEGSYLVHKCPYSGLENVLFVRGSGPHALHYNGQPGHSMKEIIVSRKCAESVLRGAQVYVPGVLACSAHVEKGDKVAVSVAIEQPVKDSGWAVGITRGIVLQGLQSDAHYEERKGLYIGQGIAAMSRAGIFRVLHGVAVEMTERVYKLPSFNDVLEGEIFLQNLPSIVAARVLDPQPGEQILDMCAAPGGKTTAIAILMRDKGEIVALDRSHNKVMGILKLADEMGLSCIRAYKLDALKSVRKAHEKRNVGVADNCSEAIVTLAESSSPIRNIIGTRVTNAAEDNSTAIVEQTDAKRYVSKADLRKNLRRMRNGTGRSNCSGGRVEDSKGFFPSSFDRVLLDAPCSALGLRPRLFAGEETLESLRKNATYQRRLFDQAVKLVRPGGIIVYSTCTINPGENESLVRYALDTYKFLSLGSQHPKVGGPGIIGSCKLPNKAYTEQWLTEDEAQLVQRFDPSSSLDTMGFFIAKFNVGQKED >SECCE4Rv1G0243820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:506882009:506883025:-1 gene:SECCE4Rv1G0243820 transcript:SECCE4Rv1G0243820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSHHHHHCLVILLFVVAVTLSAVAALGGGEEAACDAASPPEEDLRPDRLTVLLSGYSERRLPLLRAIAGAYAAHPLVLAVVVLWCNPSTPDDRLLLPRFPPGVSLHRTASASLNSRFLPHPSIRTAAVAVADDDVLPDAAAISFAFAAWQQRAGRPDTLVGFFPRSHHLDLARGRWAYVAPQPGRYSMVLTKFLVLGVDLLRKYSCSPELAAARAVVDRERNCEDILMNFVAAEASGEGPVLVEAGSVRDWGDPRNDANAGAGVEGGDAAMKAVGLSSRGGVGHWEKRGECITEFHRLLGRMPLRYSYGKVVEAAVAEQGLCIKGGRLVRCDQV >SECCE5Rv1G0299660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19238679:19239131:1 gene:SECCE5Rv1G0299660 transcript:SECCE5Rv1G0299660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTVLICASVAALLVLSVLTFLCSSRRRQGHGSSLHPSVDIELGCGCATAGIDEAVLAAYPTSVYSSPTRVDDDVQPDAAPSTDDSGWPRDDTTCAVCLAEYADGDELRRLPGCRHAFHRRCVDEWLRLRPSCPLCRTSPQSTAAKNS >SECCE2Rv1G0074830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:80181007:80182222:1 gene:SECCE2Rv1G0074830 transcript:SECCE2Rv1G0074830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSGGGSTARLLTVPALLLLLSAAALLVFLLLPSPSASSGAHLCACSDPVTTHTTTSVTTTTITAAPAPVATSPDDVAWLKAQLASNSLALLASADAWHELRKGINPRTRERQLFDLNRHHGISHYPDEEATNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALAPRDQVLEIGCGTLRVGLHFIRFLDAGRFHCLEQDELSLMAALRYELPSQGLLYKRPMIVKGGDMDFSKFGDTVMYDLIYASAAFLHIPDDLVWTGLERLAGKLRPQTGRIFVSHNIKFCSRKGGDVCTRMLTDLGLEYVGKHTHDSLLFNHYEIWFEFRRPKV >SECCE1Rv1G0027500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:383617680:383618829:-1 gene:SECCE1Rv1G0027500 transcript:SECCE1Rv1G0027500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQKVVLRVPTMTDDKVKQKAIEAVADIYGVDSIVADLKDNKMIIIGEMDTVAIAKKLKKVGKIDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >SECCE2Rv1G0120830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:802567791:802579848:1 gene:SECCE2Rv1G0120830 transcript:SECCE2Rv1G0120830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEADLEDVVLSWSAQEINDDGLYRDKVVTIPCNFKSLDHYLVSFRVPLIEETRSNLCSRLQLISEAPSSKILSLEVAGKPGSYFMDVDYWDNDAGFPTGNYTARNGDILILSSIKPDAAEDLNHHGVTYCLAMVTEVSMDDEYQKGFRVKVAKNIGSEEEDLNKLKHAIFLNNITTNMRIWKALTFDSHMDNNFTVIKSLLAPTNLGEDVCGVCTKQDGGCLAPFTEQLLSVKLNQSQVDAIESVISAVRCGHVNLMKLIWGPPGTGKTKTVSALLWVLACLKCRTLTCAPTNVAVVGVCTCFLKTVKDFNEHIDDSGLPFSLGDILLLGSRSNIDITEDLQEVFLDFRADELEECFSSSSGWNYRIASMVSLFEDSASRYDMLLKDDGKTDPVCFLDFIKQKFDATARALKRCIMSLLVHLPGRFFSHDIVVNISMLLNMLEKFGILLCDVDLTDEGLKMALGCQSTENSVCAKHVSSIEKELDGARSACLKLLEDLLHSLNLPTGVDKNWVKNYCIRNATLLFCTTSSSYQLHHVDIAPLDVLIVDEASQVRECELVISLRLHWLKHVVLAGDDCQLSAIVKSKVCKEAGFGRSLFRRLVMLNFEKHLLNIQYRMNPCISLFPNAQFYERKILDGSNVLSPSYNKDYTCLPFGSYMFINVTDGREDKEGTGNSRRNMVEVAIVLHLIHTIFKSWKTTGQCFSIGVVSPYNAQVDAIKIRLDKKYDRCDGFHVRVRSIDGFQGEEDDIIILSTVRSNGRGVVGFLADNQRTNVALTRARHCLWIVGNAHTLYKSGTEWTNLVADAERRKCVFSATNDATICRLVLQVKQELDELDDLLNADSVVFSNTRWKVILSDEFRKSFTKLKSPQLRREVLQKLIKLGGGWRTTVKNLDIPGVSHLAKVYKVRGLYLVWSIDMEKTKRRYLQIIKIWDLLSQQNVARTVQRLEILFSMYTDDYLDHCRRVQTQGKLEVPMVWDVGHDIIRYNMDCKFDAHEEHDLVDTSYAMENSKVSESFLLMKFYSLSSGMAKHLLTATDGSEINIPFELSDEEKVIIQFPLTSFILGRSGTGKTTVLTMKLIQKEQLSLIASQGLNLDGADLSAADDNNIMPLKNGGESYLKQVFITVSPKLCSAIKDHICRLKRFGTGDVSDQPSILRMHDIMDDLEDFADIPDNFCDLPHEHYPLTITYRKFLMMLDGTCRTSFFDAFYGEMKSSFERGHSRSHAVQTFIALNEVTYEKFATFYWSRFSKDLTKKFDASTVFTEIVSHIKGAYQASGPYTGKLGRQDYVKLSDKRFSSLNKEKRNKIYDIFVEYESMKCIAKEFDLSDFVSSLHSSLASEGYNGDMVDFVYIDEVQDLTMTQIALLKYVCRNIKEGFIFAGDTAQTIARGIDFRFEDIRSLFYTAFLSETEAFNQGLKHGVHLSDMFQLSQNFRTHCGILRMAQSIMSLLCFFFPSSVDQLNPETGLVYGEAPVLLESDNDENAIMSIFGESKSKHGNLHGFGAEQVILVRDDTTKKQIVNLIGKQALVLTIVECKGLEFQDVLLYNFFGSSPLRNKWRVMYGYMKDKDIIAHSEGISHPNFDRSKHYLLCSELKQLYVAVTRTRQRLWICENTDDYCRPMFDYWKKLCLVEVRLLDSSLIQAMQTGSSSDDWRLRGTKLFNEGQFEMATMCFEKAGDAHREKWARAAGLVVTADHAISTNLDLGKASLQTASEIYESIGMHEKAATCYIKLGDYKRAGMVYMQNCGTSRLEDAGDCFARAECWSEAAKVFFKAKCYSKCFSMCSKGKQLFNLGLQFLQQLEEEHLLENSKSLEVSAIRSEYLDNCAQHYFECGDIKRMMPFVKAFSSIDNIQAFLKSRNLLDELFSLEMEKGNFVEAAGIAKHKGDVLLEMKMLEKADLFEDATRLLLLHVIVNSFWCLNNKGWPPKRNPEKEQLLAEAKEKAKKVSDCFYSFVCLEADALSDVIKSLPDLTCTLLEGRKCENLFVEFIASRSIIDVHLQSRTSGYNLEIGPGSEDENGCNDMLASNQMSPQTLFYVWNHWKSIILKVLSHLRDTDGPELNDYTVMYGDLFSKYFGLRKDDEGDRYVVLNMNASWLCNAGRSSLQQDGNRCLLDAPQCHSCAQYFWMNELSSVGFSVLKKLESFVQISPRPESLYTLVRTNVIIHEIEKFLEEPQFSVPKMKLRSFFFLCERRFFELVFLAWRDGTTRSLLHILDSPAAYGLIADSLSAHLRRTDKKLTYGHLGRTTVLLLHAARLDDALLSRLLHYLDNNSVWAEFYQYLKRFLDAGVDRSLLIWNFKRALDFTFSCVMRRDELDYISPICYVGLMECLGFMASSYFLQEGCVYCTKSLLVNMLECRTSKVYLDTCLASNSSPDYHLDSLARSSGRFIFETIMTMLTDKDMLLQWVQKTSTPSSYSEVLLRLVVTLYPLILTQRNCYEVTNTLVRCGVFEDLPLEFSEKMIRVLEINYRTPSNFIRVLADALDAVGDPMVVMVGSPKVPAICRNINAYMIIKEDLHDVPKIMALLRSEEPSCVKQEATLPKKSAGIENIPKAVQDTNKAKSTSETDLSDENAPFWDKFEAFQVNKQGQKDARVIIQFLGSVVRWLEQTGFEEKIDAQLLEEVRHICSQFGERSAGTEKTSCLTLEDLYSMWQDGEKKLQTIISFLCSERVPTKKEGERRNDVQSQADEADEWTECSDSETDVQSQTNEADEWTECSDDEAEWAKEEEAAARRKKGNKKPKNPHNGRFKK >SECCE3Rv1G0196830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:812329271:812332034:-1 gene:SECCE3Rv1G0196830 transcript:SECCE3Rv1G0196830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGKWFSAVRRVFSSSDPEGKEAKTEKADKPKSRRKWPFGKSKRFDPPTSTVSDITPVAPSPLPLPLPPTQPPQPQPVEIKDVKPVETESEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTAVPAATSRTTVCSQEELAAVKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMARVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQIEASLMMKQEAALRRERALAYAFSHQWKNSGRTVTPTFTDQGNPNWGWSWMERWMSARPWENRVVSNKDKDAALTKNPSTNAARTFVPRALSIQRPATPSKSSRPPSRQSPSTPPSKNPSVAGKFRPSSPRDSWLYREDDLRSITSIRSERPRRLSTGGGSIQDDASLTSTPALPSYMQSTKSARAKSRYHMVFADKFEVPDRASLVHSSIKKRLSFPAAEKPNVTPADKLKERARRHSDPPKVDPASLKDVNVA >SECCE3Rv1G0184820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:666640030:666641352:-1 gene:SECCE3Rv1G0184820 transcript:SECCE3Rv1G0184820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G57020) UniProtKB/Swiss-Prot;Acc:Q9LTR9] MAAPNNDAAAASASASASTSDAALAAPEDTSIEALARRVQEHMNLASNPTARRHKFWETQPVGQFGDVADVSLPDGAIEPPSPLSEVRADPYPLPAAFEWFTCDLDDDALLADLYALLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRAWHIGVRAKESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHLENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPDAPLTPGFRQMELRDVAAVTRLLRAYLARYVVAPDFDELDVEHWLLPQEDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYSTLKAAYSYYNVAVKTPLQQLMNDALIVAKQKNYDVFNALDVMENDGFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL >SECCE1Rv1G0061550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:716491331:716493641:1 gene:SECCE1Rv1G0061550 transcript:SECCE1Rv1G0061550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNMAGHTGGSPFLVSPATGRAVTPSASYGGKPAAAEEAGDAGAAFVLESKGTWWHAGFHLTTAMVGPTVLTLPYALRGMGWALGLSALTAVAAVTFYTYYLMSRVLDHCEAAGRRHIRFRELAADVLGSGWVFYAVVTVQTAINAGITIGSILIAGNCLQIMYESLAPDGTLKLYHFIIIVAVVLSLFSQMPSFHSLRYINLGSLVLAFGYTILVSGACIRAGMSSNAPVKDYSLSPSKSGKMYDAFLSISILATVFGNGILPEIQATLAPPAAGKMVKALVMCYTVVFFTFYLAAISGYWAFGNKVQSNALQSLMPDSGPSLAPTWLLGLAVVLVLLQLLAIALVYSQVAYEIMEKGSADAGHGRFSWRNLAPRVALRTLYVAACAFVAAALPFFGDIVGVVGAVGFIPLDFVLPVVMYNMALAPPKRSAVYVINVAIVALFTGVGIIGAIASVRKLVLDAGQFKLFSDHVIS >SECCEUnv1G0560350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:346646457:346646816:1 gene:SECCEUnv1G0560350 transcript:SECCEUnv1G0560350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPRGYIARRRRTKMRSFASNFRGAHLRLNRMITQQVKRAFVSSHRDRGRQKRDFRRLWITRINAATRVYKVFDSYSKLIHNLYKKKLILNRKMLAQVAISNPNNLYTISNKIKIIN >SECCE5Rv1G0341330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:623234149:623239593:1 gene:SECCE5Rv1G0341330 transcript:SECCE5Rv1G0341330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPDIVLEGDGGGGGGEKPEEDAQAAGDDVAEGDTLGVANDPDPADEKPEEGAGAGLQSNEEGAAAVDDPAELGASPADVGSDQTGQGIHDGAGEADQFGLGAQGDAPLIVDSDIAVSDYGVDAHDEEGAPMDAVAATELIDREAEVVKDDDAVAEEGTEVDTHPSAENDDEEEVVAAAGDASTDEGRQMDAVSISRDADEEKAAVVVGVNATGEGIHVNTVDPAVVDNQAKEIPSAGDDGAGEKGMESQSVIMAGGEGEKDAMAAQNVAEEADLDMIDNVVVEEVTEMDILASTGNANEDEGVVTAGDASADEGTSMDAVSISRDVNEEKCTDAAGFGATDEDMQVDGGDNQEKEAGDDGADEEGVEKHAVTMTGEDEDDDVAEQKIYQESDSVPEEAEVDLAGNVPEEEDVQIYEDDDDDEPPPLARRGVGRPKRGRASSKAQAVVKPSVKKKDEEEVCFICFDGGELVICDRRYCPKAYHPSCINRDDDFFKSKGQWTCGWHICSNCQRPARQMCYTCTFSLCKTCIKETNFISVRGTKGFCETCLNTVMLIENKEEATEQMDVDFDDKESWWSLFKDYWLNLKENLPLPYEEISAARRLNNRSNLGELPEANDEEEANSDSPPKTRGKKRLKRAADEDSSKGKGTTRNKYTKRGSVSRDAKPKKPTGAKPRQLSKRASSSDHGPKESESVGTSTSSAEEASWASKELLDFLAHIRNGDKSVLSQFEVQRLVLEYITRENLRDPRGKSMIVCDSWLQSLSGKERVGHFELLKLLESHFPLAEVSPADIDGNHGGVVDPDPSQDADGNSEASVVMSSEKRRKSRKFDPRALQTNLDDFAAIDNHNIGLIYLRRNLMEELMVDADTFNEKVLGAFVRIRISGTGQRQDIYRLVQIVGTGTAAEKYKCGKKTTDITLEILNLDKKEVITIDITSNQEFTEEECKRLRQSIKCGFISRLTVGEIQEKARVLQSVKVNDVSN >SECCE6Rv1G0380680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:24519485:24521239:-1 gene:SECCE6Rv1G0380680 transcript:SECCE6Rv1G0380680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAETVTGTPEKSSYRYWVRQATGEAAPAPVPRKLDPSANGGGNPNAPPSLGSVWNQAGTWEEKNLNSWANGRIKDLLGSLGSLDFSTGKASIDEVSKCSGDAFLVTVRNKKRVGYNYELSLRFKGEWLVKEEKKKVTGHIDIPEFSFGELDDLEAEVRFTDTLEWDDKSRICKDVKLFLSPIKEKLRTFELELKDR >SECCE3Rv1G0166320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:185333489:185336737:1 gene:SECCE3Rv1G0166320 transcript:SECCE3Rv1G0166320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein DOT4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18750) UniProtKB/Swiss-Prot;Acc:Q9SN39] MATPPAASISSHLRAPPPWPPPKSTSQRARVRCSVLAPSGQVLEAVASPRDADKSPNWLPGSDVNLQIQRLCRSGDLAETLRLLGSDGVDDRSYGAVLQLCSEMRSLEGGKRAHFLVRASGLGIDGMDSVLGQKLVLMYLKCGDLGSARRVFDEMPQVSDVRVWTALMSGYAKAGDLQEGVLLFRKMNCCGVRPDAYTISCVLKCIAGLGSIVHGEVIHGYLEKLGFGSQCAVGNALMALYSRCGCNEDALRVFEGMPQRDAISWNSVISGCFSNGWHGRAVEHFSEMWFEGLEIDSVTMLSVLPACAELGYEPVGRVIHGYSVKTGLLWELESLERRVDDNLGSKLVFMYVKCGELGYARKVFDAMSSKSNIHVWNLLMGGYAKVGEFQESLFLFEKMHDSGIAPDEHTVSCLVKCVTSLYSGRDGLVVHGYLLKLGFGAQCAVCNAMISFYAKSNMTEDAILVFDGMPHRDVISWNSIISGCTFNGLYSKSVELFVRMWLQGQELDSATLLSVLPACAQLRHWFLGRVVHGYSVKTGLIGETSLANVLLDMYSNCSDWRSTNKIFRNMDQKNVVSWTAIITSYTRAGLFDKVAGLLQEMALEGTRPDTFAITSALHAFAGNESLKDGKSVHAYAIRNGMEKVLPVTNALMEMYAKCGNMVEARLIFYGVMSKDMISWNTLIGGYSRNNLANEAFNLFTEMLLQFRPNAVTMTCILPAAASLSSLERGREMHTYALRRGYLEDDFVANALMDMYVKCGALLLARRLFDRLSSKNLISWTIMVAGYGMHGRGRDAIALFEQMRASGIEPDAASFSAILYACSHSGLRDEGWRFFDAMRREHRIEPRLKHYTCMVDLLTNTGNLREAYEFIESMPIEPDSSIWVSLLNGCRIHRDIKLAEEVAERVFELEPENTGYYVLLANIYAEAERWEAVRKLRNKIGGRGLREKTGCSWIEARGRVQVFIAGNRNHPQGERIAEFLDEVTRRMQEEGHDPKKRYALMGADDAVNGEALCGHSSKLAVAFGVLNLSEGRPIRVTKNARVCTHCHEAAKFISKMCSREIILRDSNRFHHFEQGRCSCRGYW >SECCE4Rv1G0280630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:822558599:822559213:-1 gene:SECCE4Rv1G0280630 transcript:SECCE4Rv1G0280630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSILFSAIAIIVLSNTIAAKSSASGGGEPKVTNFILEACKNASMKNQIYDPNPITQEFCVSTLKMDNRSAEAKDLHSLIHVAIDVLKGQVAAANDNVKQMLHDTKNGTTTMRALSFCMVDYNRMVSILNICDTMIKEYHGRKGRADDGLLSSELAACVEKVDKPFIDCWLGLLAMEAKKLLDENFAVGMLVKLNFCLASTI >SECCE6Rv1G0401970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:476208414:476211632:-1 gene:SECCE6Rv1G0401970 transcript:SECCE6Rv1G0401970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT3G48150) UniProtKB/Swiss-Prot;Acc:Q9STS3] MAAAKENYRVELRAAARQLGDRCLYSAAKWAAELLVGIEPDATPSQSAAMDTPSSSGAAPGGRLLHLHRSGGSSFRRRMRPGGGGTAEAGTPLGGVSYVSTPIPDDDAFDAGADKYLLAKTYFDCREYRRAAHVLRSQVGRKAVFLRCYALYMAGEKRKEEETIELEGSLGKSNVVNQELVALERELSTHRRTGAIDSFGLYLYGIVLRDKGCEGLARTVLVESVNSYPWNWCAWLEIQSLCTSSDILNNLNLKNHWMKDFFIASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDIYSNLLYAKESLTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYALYYFRKSSHLQPNDARLWIAMAQCYESDPLQMIEEAIKCYERAANTNDTEGIALHQLAKLHSMLGQAEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSMGRFEEAEHYCTRLLDYTGPEKETAKSILQGLKRAQSGFPPMDIDHFAL >SECCEUnv1G0554300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:284415341:284417027:-1 gene:SECCEUnv1G0554300 transcript:SECCEUnv1G0554300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWTGLGQAATVAHLVGADVGGLIFMTVQAAMTARHNRFECEQLARRVLMIAQLLPHVQEPEVLRDAHELVVSCQGRSAAYQFVMAARTAERFREVQSKIDSYLILFPVISHIGITRRLERIYNVLVPDGSTTSEPSPLQQSSQLQESTELAQEVLPHGTQEFTLAEIMAATNNFAPNAQIGQGGSGKVYRGTLHDGREVAIKCLNNPQSQYLEEEFCTELDILSRLRHKHIISLLGSCAAVSKDKCLLATSQKKKKRQLTWWRKEPEEPEESGELQRFTVYEYMENGTLFDHIHSDHGSCMMSPVRGSWKMRIAVLLGVSRAIEHLHYHANPPIIHRDIKSANILFDANWVPRVSDIGLSVVWDMASEESELKVDRVVGTYGYLAPDDVYSLGVLMLEVLTGKTAYSQLEDGTDGPLADFALPIIDAGNMEEMFDRRPVPEQTPCQLQARKRVAQIAGCCVKLHGKDRPAISDIVANLEMAHELMCRDEAGSVDEPCLWPFVEQVDHSRSSPSAGYHSELVH >SECCE1Rv1G0051920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:657567919:657570087:1 gene:SECCE1Rv1G0051920 transcript:SECCE1Rv1G0051920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGARRSPASVLRGLVALAGAAVLCFSGAAEARVLLTLDDFGAVGDGIANDTQAFVDAWTAACGSEEQAVLAVPVARSYRIWPVQLSGPCKKKLKLLIAGTIVAPASPDEWAGRDPMKWLYIYGVDGLSVSGGGTIDGVGQEWWSRSCKRKKTQPCNTRPPPRALHFEECRGVSVQGVTLQNGPQFHLSFTRCTDVKANFLRVVAPADSPNTDGIHLNDSSRVHIMDNLISTGDDCVSMVGNCSDVRVRDISCGPGHGISIGSLGKNRTTDRVENVRVDTCLLTNTTNGVRIKSWQGGTGSARDLRFESILMKNVSNPIIIDQYYCDQPTTCANQTEAVEVRKVEFVDVRGTSATAQAIKIACSDTVPCRELELRNVNLTMAGGGAATASCYRASGKSSGVVVPASCLAKGDP >SECCE4Rv1G0223230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:67407320:67408654:-1 gene:SECCE4Rv1G0223230 transcript:SECCE4Rv1G0223230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPSSPMQRLRACMPPASASATHVALRVSNEPHRAAATTATTVAVPVPTAVVAPAPADRRSDADAAAPSLTCTWQDVHGADDWRGLVEPLHPVLRAEVVRYGELVGACYRALDLDPRSKRYLNCKHGKKQILQAVGMVDAGYQVTKYIYSAPDVTLPMGICRPCSKSRWIGYVAVASDREAGRLGRRDILVSFRGTVTCSEWLANFMSALAPARFDPADPRPDVRVESGFLSLYTSDNDTGKFTGGSCRNQLLSEISRLIAEHKHEGMSITLAGHSMGSSLALLLGYDLAELGMNRGVHGGAIPITVFSFGGPRVGNLEFKNRCDELGVKVLRVANANDPVTKMPGVIFNETARVLGGRYEMPWSKACYAHVGVEVALDFFKAGDIACVHDLEVYIDQLLKIPKEDDVATASSVNRVQSMLESWRWQMAMIRTGEWLRALGI >SECCE6Rv1G0425360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:706848987:706850935:1 gene:SECCE6Rv1G0425360 transcript:SECCE6Rv1G0425360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKALRRRPAARPMAKPSAKGLNSSNRWSSLSNLEEEEGHHQDDRLSNLPNDLLLNIIERLDTTGAARTCILSRRWKPIPAMLSKICLTVGSSDCEYDRSKLTCDHVVRANANLLQATRNLLESRTSLYPIHLLRMQFFLGDESDSIGRTVANTIATKKVGSVELTLLTKKGGSQCSPDDFLTHGKQLKSFFDACPNTFSGLSRLSLENLRLGESDFPKIFSISKRLEFLRLCNCDMGLLSLLAVEHPQLRELEILKSDFERVDLNWLPKLTTLSFACWISKHDPLSFGYVPLLQTLSISNTALSYHKMLKLSELLGKATIRDLHLNFESEKIWVKPEGPRELSRVFDKLRLVSLDDISEECDLTWTMFVLQGAPSLQELSIKVWDHVCDMIEDEELRKSLNYTEVKKDACAQWEAPALGFKHHNLTVLRIFGFQSEDKFVDYIAGVIEASVGLEDIYLYEKPACETCKRNTKDSYPRTNKERTALRNIFSWEMCSLARIHFPSSRN >SECCE7Rv1G0487760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:429981872:429984602:-1 gene:SECCE7Rv1G0487760 transcript:SECCE7Rv1G0487760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPALKLSPAAFSVTNQRSKSALVPSVSILNTNFFASCSLRPLYLTRLDDPHTFELKPRRQLLDFQCAASAADDKESKAEVVPASSEAAQKLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLFSWATRLVEAPKTDLDFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFILGESFPMPVYLSLLPIIGGCGLAAATELNFNMIGFMGAMISNLAFVFRNIFSKRGMKGKSFSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALADVGPNVLWWIGAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFRTPVRPVNALGAAIAIFGTFLYSQAKQ >SECCE1Rv1G0048850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637648372:637653216:1 gene:SECCE1Rv1G0048850 transcript:SECCE1Rv1G0048850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTGSRGPAGFATQANALLRKNLCFQKRNLKTNVCITLFPILLCILLVVLQGAIDREINKPKYRCGCACVDTAGDGSCSRTECGVQYSTLDQVASCPIPNPPRWPAVVQLPTPESRAVRAASQPSDGLPDQTCRDAGSCPAAFLVTGSNRSLAESLSGQLFPPLSSSPNFTDYLGALSKIVPGSDTWTSYRQLLEPAFTPGNALYIVQPQCRSNLSQTVSVNAGTIPLQLNVDCIQGLSLWRESESVINDELFKGYRQQRGSGGEKANEFAAGYNFLNTNKDSLDISIWFNSTYNNNTAYSAIAVLRVPRLVNMASNAYIKFLRGSGVEILLEYVKDMPKVGTKFKLDLSSLLGALFFTWIVELLFPVILTYLVYEKQQKLKIMMKMHGLKDGPYWMITYTYFFALSAVYMILFIIFGSLIGLRFFTINDYSIQIVFYFVYINLQIALAFFAASFFSSVKIATVVGYIYVFGSGLLGEFLLRFFVEDNSFPKGWIVVMEIIPGFSLYRGLYEFGEYAFSGTAMGTSGMKWTDLSDPVNGMRTVLIIMVIEWAILLPLAFYVDQISSLSGGLRKRLLISLKCFKKRAASFRRYSFGRLGSKVIVEMENPDAIQEREVVEQFLLEPSANHAIISDNLTKVYHGRDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTAYVHGMDIRTDMNEIYTNMGVCPQHDLLWETLTGREHLLFYGRLKNLKGAELLKATDESLKSVNLFRGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWSVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCIGHPKELKARYGGTYVFTMTTSSEHEQEVEHLVRRLSPNANRIYHISGTQKFELPKQEVKIADVFNEVESAKSRFSIHAWGLADTTLEDVFIKVAKGAQAFNIL >SECCE1Rv1G0016980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:145986808:145990698:1 gene:SECCE1Rv1G0016980 transcript:SECCE1Rv1G0016980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAARPPRPTAVPAKRGFPQLCGERMSALPPPSPHPLPPSPPLAACGAVVRVRGEAEVARGQRQGGGDGAEREEVAVAVAVAAGGDVAVSGGDQGGSELQRKRGLEFPARPPPKRRAVSAVRHFPPGCGRDAPPLIVGGDDDGLRVGTAPSRGARPAGSGAREEGGLPLQTAPANADVRVLEKVTVSGGGALVSDGDHRGPEAAAVKSSDSAERGADLVVKDRGMTRPISRLLAKRRMISANRLFPPGCGRDVVVLPLASRGSDEVCLQFDALPPGGGLGALEDGRLSNVCATAMDSTELEEGEIPSEPDHVLQEFPVTHSNIMHELTADGLDEKISVNMLQRKGESVSWEVAEDLKVMNKCEGSSPKAASKPSAQGPLKEYHGDIAEAVSKKALDLIVEVQELEDGEIPTEPDHVLQENPVAHNNSLHDLTAGRLECVVPSMVDVEPSVRHFSNEKIPVSMLEHKGKSLSWEVAEDLKVMNKCEGNSPEGVSKPSPEDPLKEYLGDKKVSESCSMKSSSLDVAAGVHGEGIRRPMITFTARKPSPEEGNSPKGASKPSPEDPLKEYLGDKKVSGSCSMRSASLDVIAGVHGDGTMRPMVTFTARKPSLEEGNSPKGASKPSPEDPLKEHLGDKKVSESCSMKSASLDVVAGIHDDGIMRRKVTFTARKASRLPVEANHKSALVNPDKPCSMGKEKESVVTMSESFAPRKKLKIKGPAQRTYLPMNTISTSTMHSKEKLKHEEASHLEDDEVLNAIAVHEGKLEMYLNDPSWRRMQHGGQTADARSKVRMICRRFQFICRTLVQAVEQGSLKSRRIDLAADKLIRKLPGFTKHGPIVGKVPGVEIGDEFLYRVELAIVGLHRPYQGGIDTTKDINGMPIAISIVASGGYPDDLSSSGEIIYTGSGGKPAGKKEHEDQKLVRGNLALKNCIKTKTPVRVIHGFKGPNREEGSHSKAKEVSIFTYDGLYHVVQCWQEGLPGSRVFKYRLQRISGQPELPLHVAKVLRKSVARPGLCIADISQGKEKIPICVVNNIDTARPASFKYIARIKGSSLTAKRSHQGCDCTNGCSDSASCACVAKNGGQFPFNFNGAVVHAKPLIYECGPSCRCPPSCHSRVSQHGMKIPLEVFRTTKTGWGVRSLRSISAGSFICEYVGELLHSDEANQRMNDQYLFDIGHNYDIWEGMPSVVPGMSSSGPRSVTMDDEDKCFTIDAAEYGNIGRFINHSCSPNLYAQNILWDHDDKRVPHIMFFAAENISPLQELTYDYNYEIDHVRDMNGEVKVKYCHCGSPQCRGRLY >SECCE4Rv1G0239650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:416676248:416691408:-1 gene:SECCE4Rv1G0239650 transcript:SECCE4Rv1G0239650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin, villin/gelsolin superfamily protein, Actin binding protein, Regulation of plant architectur [Source: Projected from Oryza sativa (Os03g0356700)] MSSAKQVLDPAFQGAGQKPGTEIWRIEDFKPVPLPKSDYGKFYCGDSYIVLQTTCNRGGAYLSDIHFWIGKDSSQDEAGTAAIKTVELDSMLGGRAVQHREPQGYESDKFLSYFKPCIIPMEGGFASGFRKPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVIQHLKDKYHEGVCDVAIVDDGKLQAESDSGEFWVVFGGFAPIGKKALSDDDVILETTPTKLYSVNNGKLTLENTVLTKSILENTKCFLLDCGSELYVWVGRVTQVDDRKAASVAVEEFIVKQNRPKTTRVTQVIQGYEDHTFKSKFDSWPVTNAAGASGEDGRGKVAAMLKKKGDVKGASKNSPAVNEEIPPLLEGGGKLEVWCVDGSAKTALPKEDLGKLHSGDCYIVLYTYHSGDKREEFYLTYWIGKNSILEDQHMALQITNTIWNSMKGRPILGRIYQGKEPPQFIALFQPMVILKGGISCGYKNSVQKKGLPDETYPGTGVALVRINGTSIHNNKTLQVDAVSASLSSTNCFVLQSGNSMFIWIGNTSSYEQQQWAAKIAEFLKPGIAVKHCKEGTESSSFWSALGGKQDYSNKNATQDVVREPHLYTFSFRNGKLEVTEVYNFSQDDLLTEDVMVLDTHAEVFVWMGQCVDTKEKLMAFEIGEKYIEHAVTFEGLAPDVPLYKVSEGNEPCFFRTYFSWDNTRSLIHGNSFQKKLSLMFGMRSESGPKGSGDGGPTQRASALAALSSAFNPSSQDKQSTDRPQSSGEGGPTQRASALAALSSALNTSGKPKSPQSQSRAGQGSQRAAAVSALSNVLAAESPRIDAEGEAAGSSEFDMVDEGERTEPDVSREETANENGGETVFSYDRLISKSTDPVRGIDYKRRETYLSDSEFQTVFGMSKEEFYKQPRWKQEQQKRKADLF >SECCE6Rv1G0412700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:616413579:616414295:-1 gene:SECCE6Rv1G0412700 transcript:SECCE6Rv1G0412700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGFQPHHLMGGLGGARMRGAAGAMGLQKQNSWSPDIERDEAWERRRRGILARGRRSPLQRAQSVTDDQLDELRGSLDLGFRFEPPSQRCAACDAGRSRLVQTLPALDLLYAVSANATANANASAASQCSCGASSEASEPSPIGSPLSILSPDDPPETVKMRLKQWAQVVALSLRSRS >SECCE5Rv1G0364670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802705065:802706135:-1 gene:SECCE5Rv1G0364670 transcript:SECCE5Rv1G0364670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGCAGTQWQSSSAIVARAVQVSGSHILKIDGYSRTKGLGTGKCITSETFQVGSHRWCLKYYPDGYHGYNNSVSISLCLDLAEVNEVRAAYKISLLDQDGEPVVVPTYSDYQACYTFSKEQPQQESTHLKDDIFSVRCDITVPKEIFTEPIQLFVTVPPSDVHQHLGRLLLSGDGADITFKVDGETFSAHRCVLAARSSVFMAELFGPMREKTSTCVHINGMEAKVFKAMLHFIYSDSLPHIDDGDAIGMAQHLLVAADRYNLERLKLICVQKLCNNMDTSMAATTLALAEQHGCRGLKEVCFKFLGSASNILKAVMASDGFEHLRSSCPSVLKELVAKLAP >SECCE4Rv1G0289480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:865674779:865675198:-1 gene:SECCE4Rv1G0289480 transcript:SECCE4Rv1G0289480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVATEKTPAGKKPAAEKRAKTASKEGGEKKGKKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGDGGSAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE7Rv1G0478280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:235342428:235344500:-1 gene:SECCE7Rv1G0478280 transcript:SECCE7Rv1G0478280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPRRPLLVPKPDPDAPAPTAELCAALLRRVSAKPEPDADALPAPTQTTHLTPELCAALRRELEPSPDDHADFAHNLRLAQRRLDAISASLSSTTLQPSSPSPPPPPPPPALRSASLPSTPPPAPRSAGLPSTPPPAPRSADPARASSSTARASAKGKKRARGTAGPEMVRATVTAEADHLEVRTLVRRARLTFEAVRGIYHRGGRMRADMTALSTMLSQGLCLYRDVRIVGPIPGVYVGDVFSYRAELIVVGLHNQTQAGIGFIPANLVSEGHPVATSIVSSGGYLDDHDNGEVLTYTGSGGRPRHGVEHNTDQEFERGNLALAYSHKYGVEVRVIRCHDCDTSPSAKLYVYDGLYKVDSITYGPGKSGHEVCKFKLVRIPGQAPLGSKIWRFALDLTNTLDSNIRPRGYATRDLSKGKELIRVPVFNNVDRDLSPLGFEYIARPEFPAPPVLPRPVRRFRCCQYATAACGGSSSSGSCACVRKNGGGGPAYNADGTLVRGRPVVYECGSQCGCPASCSNRVTQRGRQHRLEVFRSRQTDWGVRALDLIQPGAFVCEFSGDVVTVEDDGQHAGNAADASTEWGCIVDPRKFPARWREWGDASAATLPDDAEEPPRFAHLAGPRPRYVLDVSRRRNFAPYISHSSAPNVFVQLVLRGNDNESFPRLMLFAMDTIPPLRELSIDYGIGQ >SECCE6Rv1G0405090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:526936819:526942449:1 gene:SECCE6Rv1G0405090 transcript:SECCE6Rv1G0405090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRQLPLLLKIAAAAAAGALAIVAAARLLRDDAVSSLRRDIRDAVAALVSSNEDGDSADGGDEQGAEEAPPPSILITGFRAHGKSSLVNTACRALAGEVGPLLLRAEASPPGGGSDSTRKRLKVKAVVSGADGEMGAEEAVVELLDAPPLPEAARLTRDAIDDAITSGNPECVVLVLRCDAPAKERNAAIKRLPEISAVARAKGLNLIIALTFKKSMSSVRQAEELLREVSFRARTDCVYFIENYTWSNSGSNLLHPPVIKNDFGTHFTVLTIIRQCLEFIKLNRSQKDKKDPKQADAKTAPKA >SECCE4Rv1G0266970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734032429:734033517:-1 gene:SECCE4Rv1G0266970 transcript:SECCE4Rv1G0266970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPQKLQVLVVSALLLLLVVGCLASPLEIGFYRDRCPQAEAVVKGVMMEAISQNPGNGAAMIRMLFHDCFVEGCDASVLLDPTPFSPTPEKLSPPNNPSLRGFELIDSIKDALEAACPGVVSCADIIAFSARDASCILSAGKVDFEVPSGRRDGTFSNASEPLKFLVPPTSNLSDLVDSFVVKGLDAEDLVILSGAHTIGRSHCSAFVPDRLNVSSDINGGLAAFLRDQCPADAAPGGNDPTVMQDIVTPNDMDRQYYKNVMSHTVLFTSDAALLTSEETAKMVVDNANIPGWWEDRFEKAMVKMAGIDVKTGDQGQNRKNCRAIN >SECCE2Rv1G0073760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:71077882:71079075:-1 gene:SECCE2Rv1G0073760 transcript:SECCE2Rv1G0073760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSKNGATSVADLTDDLIIEILSLLPVKSVCRFKCVSRLWYSLISHPEHRKRLPQTISGFFYPKHRLIDEYDVITFPTFDGISGDQEQLFPDSSLPFLTGYRQILPKDCCNGLIFCLCWKDSPIDEADYVVCNPATEEWVVLPDAGHKSDALAYRLGFDGAMSPHFHVFQILEGDEDYGYISGVNIYSSETGAWSYKENGWGDNEIQIVDMRGVFFNGMVHLLTCEFKILAVDTEGKTWRTISLLETMCVGNICLGPLAFIGQSQGQLYFINMRENDSSKLSVWILEDYNGNEWIFKYNISTSQLFGELFGEKDHMLQRDYAGLLFQRDYALIAIHPECNLIFFVWRCEDVVLSYDMDRGKARVICSLKYHSYDTFPPYLPYVPCFSRIGRPRVEA >SECCE4Rv1G0216930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13337935:13342523:-1 gene:SECCE4Rv1G0216930 transcript:SECCE4Rv1G0216930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEDSRIFVGGLSFHTDERKLEDAFRRFGKVVDTQIMLERHTNRHRGFGFVTFSDPRAVETAINDMHNKELDGRTISVNRAEPKMQTDDTRYSSGGGGGGGGDRGDYRGGKGDGPPPGNCFECGRAGHWARDCPNPGGGRSGRFPSKFSGGGGRGDRFSGSDRFGDRYVDDRYDGGRYGGGYREPIDSRDRYDGGRDRYASDRYPSGGDRFGADRYGAAPDRYAPSGGGGGYGRERERSYERDGIRGGGGAYDRSGPRGGASYDRDGPRGGIGGGYDRDGPRGGGADYGSGGPARYEGGGYRERPAPYDRPRGGGRFDDRY >SECCE5Rv1G0348050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672306817:672313450:1 gene:SECCE5Rv1G0348050 transcript:SECCE5Rv1G0348050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIAPPEHVCYVHCNFCNTILAVSVPSNSMLNIVTVRCGHCTSLLSVNLRGLIQSPPVQDHSQENFKAHNISFRGNFPDYGTSSKYRMPMMFPTKSDQEHMLHMRPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHFPNIHFGLGTNESSKKLDEAIAAPIPQKVQGLY >SECCE1Rv1G0045400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609585237:609585596:1 gene:SECCE1Rv1G0045400 transcript:SECCE1Rv1G0045400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSDIDPTLEPQYMMRLKSKCASLNDNTTLVEMDPGSFKTFDTDYFKLVSKRRGLFHSDGALLTDPFTRAYVQRHATGAFKEEFLADFAASMIKMGNANPLTGSQGEIRKKCSVVNH >SECCE4Rv1G0244540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:517227406:517239083:1 gene:SECCE4Rv1G0244540 transcript:SECCE4Rv1G0244540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MEVGLRLRALAHSRAAFAGFSAAAHGCGPRQRRRVASSSASCSLAVASSGNGAAAGPVGSGLEVERAKRLLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGFHVDDTKLKRAGLDYWPYVVVKIHDSWDEFRDYFMKQDGQKRLLAFTKRGTHIHSDFSYKPGDWLVFGSETKGLPESALEDCSGEALGGGTIRIPMVETYVRCLNLSVSVGVALYEAARQLNYEQLQYQPDLPEEAQGLFPAEDIYG >SECCE2Rv1G0125140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:839197491:839198636:1 gene:SECCE2Rv1G0125140 transcript:SECCE2Rv1G0125140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETMLEDLPEWLVADEILVRLPPKDVLRCRAVRKSWRAATSTDSFILDNRRRQPSLPIIEQHDEGISCLAAAAAGDHKIRPVLRYTRHSVSNIAACDGLLILSHQSGFYICNPATRKCAPLPRPPLQPGFRAPDVVAFYRHDASPREYRVLWVFAAQMARRTTFEPPRYFVLPVGSDQPRCIQWPTVLHSYPASSCFPPVHHRGALHWGLSLGITVLDTVTETFRHMSRPAQLQGVNFSLFGLGGDLALRHTSGDCLTQDIWVLQDYDAETWAFRYRIDLRAMEVSPPLDLSVKYVSMMAVINGRELLIQHGPFRLLHCGIDGVFLGNVESKDHENILSQFAKPLTLTRHRLQESMISLPLFEMRQEDAVHEEPPFTILL >SECCE6Rv1G0443970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826169861:826187122:1 gene:SECCE6Rv1G0443970 transcript:SECCE6Rv1G0443970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGERRDEDVATTSAADDDDDEDYEEPGGENHFLGFMFGNVDDAGDLDADYLDEDAKEHLFALADKLGASLKDIDLTKSSPATADPSEQDYDEKAEDAVDYEDIDEQYDGPEVEAATEEDHLLSKKDYFSSNTMFASVTSKVSVFDEENYDEDEEPPNDIELPGDNVVQAEEPEISPSNDNPAIEKVSSPLPQSGEAMDVEYEVCQEEIDNEEDQLESKSANTLPVLCIEDGSVILKFSEIFGAQEPVRKAKTDHHKRPVNKELRITNIHDIVEDDEEVFLRSTIQDVSSLKHIKMNEDFIESDSDELISGDTFGFKDLCLSEQPMKDVHKEFPTAKQALVCPDVYPLEHEDWENGIIWGNSPASESQSCLKSCLISEESSDAHSEDEAKDYGYVSGRCDVQDKNNGSSVITEPFGCTEVPASASYHSPENSYPPLITETPQEKNDLDHAEPNNINGTVKINSMKCLSNLSLLNKELLEGSWLDNIIWDPSEDTPKPKLILDLKDDQMLFEILDEKNGDHLRSHARAMIVSRPMKTATVENGHSNQAITLDTQFNISNDKFYSNRKMSQQAKSHTKKRSSMGIKVVHSVPGQKLQTMKPKLSTNEIANFHRPKAKWYPHENKLAAELQGAACSHGSMTVIVVTLAGKGVKLLVNAEETPLSVKSKASKKLEFRPSEKIKLFGYGKELQDDISLAMQNVRPNSILHVVRTEVHLWPKAQKLPGEDKALRPPGAFRKKADLSVKDGHVFLMEYCEERPLLLANAGMGARLCTYYQKTSPTDQTAISLRSNNDGLGTVLAIEPADKSPFLGDVRSGSQQSCLETNMYRAPAFPHKLASTDYLLVRSPKGMLSLRRIDKLYAVGQQEPHMEVFSPGTKNLQNHLLNRMLVYVYREFRLRERPGVLSQIRADEVPIQHPLTEAIVRKRLKHCADLKKGPNGHYCWTQRPDFRIPSEEELRRLVSPESVCCHESMQAGLHRLNRLGIEKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSSHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPDEQIDKLTRWHRIAMVRKLSSEQAASGITIDEIPVSKFARGQRMSFMQLQQQTKEKCQEIWDRQIQSLSAIDGDDNGSDTEAHSDLDSFAGDLENLLDAEEFDDEDVGTADLRSDKADGMRGLKMRRCPTQAQFNEEIQDDQAEAALVKKLLEESGNDTKRKKQPVDTTNQGANKTKQFKALTPKESTPRGAKEVDSSFTEGGLPSKLKTKLTVDANDIILVKKKNVVGKDGIKEKRQGARGDSLVCGACGQLGHMRTNKLCPKYGEDPETSEMDAISYRPNPLDAGSHGQTKTPGRRLVAKVSSDVPETEGQESIEKIKPVKFRCGAPEKSLERNMSVAGSLVSDKLTMDATDLRSTGKVSKIKIFSKPKTEDYPLDTPKPSVVIRPPAEVEKDVPRKKVIIKQPKGHVDQLRAIEVRSGQEPRKIRKIAELSSFEKNSRDDDGWYAGEASQMNSSHDRLGRDGNRKSKEVTGGDESWRAFKEQRERQEQRLIEARIYSREEELQKAKKKSKKKKKHEFRDADILDHRPYRNDRKVPERDRASKRRTPADMTDYAPSAKRRRGGEVELSNILEKIVDHLRNQTAISLLFLKPVTKKVAPDYYDVIQRPMDLGTIRDKARKMEYKNRYEFRNDVAQIADNAHIYNETRHPHIPPLADELLELCDNLLDESADVLDDAESAMES >SECCE6Rv1G0422100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:688240417:688242966:-1 gene:SECCE6Rv1G0422100 transcript:SECCE6Rv1G0422100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDCDAAMMSATHRLHAHEPSHDAASSCSGSLNKTMCTQDVEQTVQNCDWISTLPDDILIKILSLLTVSDAAMTDCLSTRWRHLWKNVDCLILDLCSLRMPESEICDDHQKPCLRKAQVKKFVRKTNGLLRNHYGNRIKEFAVSFPLTSVNASDLDHWIRFAASASTEKLCLDLYDNNRSSCLDLSRDEPYKFILSPFSDGRGCRLSELTLSNCTVRTTPANLSGFACLHFLELSRVKIADATVSNIISNCCALKRLILAFCNQLIHLTVTCPQLLNLDVDFCDGLISVCIHADNLEAFMYKGQKINIVYKYAPFLDTLRVHFTKKNQCPLDFISALPKLPKLETLILQFSGPVQVSRALRHTLRFANLKMIVFFLVKSWKECICSLVYLLKAAPSLEYFGVHGFSKLKEQPSELNMTWPEDLTFARLQIIVVKGFSGEPELMELLYFLLRRAPALESLQLETRAYEPFVFRKEKHKSEDEERCRYATEMASTHLAPKVPSTVAFSIT >SECCEUnv1G0527250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:745981:756403:-1 gene:SECCEUnv1G0527250 transcript:SECCEUnv1G0527250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKGRASSSGLAASLLPDAQGAVPAVGFGGYHGASRVDPAALHPLPSDDPDAPARLPPDVDAQVLQHLRRLGRKDPTTKLKALSTLSALFAQQPADQVVQIVPQWAFEYKRLLLDYNREVRRATSDTMSSLVTAVKKGLAPHLKSLMGPWWFSQFDPAAEVAQAARRSFEAAFPQSDRRLDALMLCVKETFVYLNENLKLTTQALSDKVTPADELEDMHQRVISSSLLAMATLIDILLGVKLQSTGGESANTESKSHLKVRSATLSSAEAALSMHKYFIDFLKSKSAAIRSATYTLLTSYIKYVPHVFNEEAMKILSSTLLGAFNEKDPLCHSAMWDAILVFSRKFPEAWSYCNIHKVVLNRFWHFLQNGCYGSKQASYPLIVQFLDVIPSEVATEKFAIEFLQNLWAGRNQRQLSAADSLAFFIAFKQSFLWLLKKVPRNSAGDSSDNIHNRLITNVLVKIVWHDYLQLSLSKNLDAIPGLLSEEATTDDHQLSHKSLLVQNVRLPIYYYQDLGKCIVEILDEISVAESHLLEVACESLLRDYLDIVHQGEKLSKFQDHVDQLASFFCSLDLLVVQNGRTWPLENLARPLVIHSLPTIKSMDSPSLVKLLINLVEIFGPAHLFLKYSEKNDDKSYVEPYLNVFNSDFVPWCLDGKDITCSSKIDLLLSLIQEECFFDQWCLIIKYIVAKQKRSVDDKISYTNDQFELLTLILQKVRERIAGGKLRNLQRSGSLPEHWRHDLLDSVAVSVFCDLPATDSHVHFLCAALGGSSQDDEVCFLSAEAVCKIRESILKSLASVLITSTFEWTRSAHFLLLPAEHEHLKFLGEQSLSANFEMAHFAFQVFERSLFALRIHEEDSVFSHILAALFIIEWECSMALTLAEENDLEGHKEEIDVETSMCNSSDDHLGATVHLKANLAEHIHAFRQSLIPSFWNDLHSDTLNRLANILAQSVRYAVFDTSDLRVDRTAALCSEWVVDMLRLICLDHIKLQCFFDILLSEGENWPLWVKPSLQNGHASVKIQSEPLATEETEQKHQRFVAFVDKLVLNLSFGEVILGIPRDQHCTTSSSIDVTSPVSSFSRAWVAAEMICTWKWKGGSAFSTFLPSLVQYMKTESCLEVSIMPFLLDTLLEGALMHESSNWALFNVWHLSDSEIDKIQDRFLRALVALLFTTYTKECIWRESDALVPFEKLLGSLFVSSTVNRKCLRTLPFVMSTIIKPLTEKMKSGEASSVGESILSWLNEAISCLSLSPREVTQQDIEDWMQVVLSCFLLEITGGTAKLVVKFEREISDAETSLLLTLFSRYRAFYASADPSLSSSGTSLSKTAELLGVKLTAVMVGYCCTKLGEDDWCFVFRILRKWIESSVLLVEEMTDGVNDAVINRTSAEDILEKLKLIACTPDELTFTFAESALVTLCCINHVGSLHESQTLQLIRSGEYAESNDKMMENVLRLFLASGVSEAIAGSFSEEASSVIASGRVVYLHFWELVASFIIDASPQIRGCALESMKLWGLSKDSVSGLYSILFSSEPISHLQFAAYSLLMSEPLCEVSLVNGENPQESDMDQQSTESTPDSEKALCLRDELSALIEMPTSELAKTDLIARDRVHVFVAWALLLSHLQRLPLSSTSRALLLSYVQDKISPCILDCIFQHIPLRSGSGGGASASGKKKDAELVPEAKAAAEASKGAIVTCSLLPYVESLWPVGVLEMASLAGSLYGMMIRLLPSYVRTWFTGLRDRSLSSSIESLTRAWCSPPLLLDEFCQVKESVYADETFSVSVNRSAYEIIATYKKEETGIDLVIRLPSCYPLRHVEVECTRSLGISEVKCRKWLLSLTSFVRNQNGAVAEAIQTWKRNFDKEFEGVEECPICYSILHTSNHGLPRLACKTCKHKFHGACLYKWFSTSNKSTCPLCQTPF >SECCEUnv1G0557080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:319226575:319230778:1 gene:SECCEUnv1G0557080 transcript:SECCEUnv1G0557080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVTAAALLASSPQTLLVRGYLPGLPRLRLRPASPCVSALRSNNFVQRCYIANVEVDVSNVKEDEAFDDHPSLPPGCSIPVVNILGDVLDSSPFPPHDSTQHHADFEELPVLSEGEQQTLAATPAHPAGLHALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFGKLSVFLGAPIVGKLMDHFPRIPMYTGLNAVQVATQLISAAMVIYALKNAGRTSTSALLLRPWFIVLVIAGAIERLAGLALGVSMERDWVVLLAGTNRPVALAQANAMLNRLDLLCETVGASVFGLLLTKYDIVTCLKVSSALMLCSFPILVMLGQLINSVSCHALDSSRTPSDESICANLLDVRKIVQNGLSSIKHGWNEYKQQTVLPASVATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSVMGLVATFISSSLVKRVGILKAGAAGLIFQASLLSIALTVYWAGPISQRTPLLIFLASIALSRLGHMSYDVVGTQIIQTGVPASKANLIGGMEVSIASLAELVMLAMAIIANDVSHFGFLAILSVSSVAGAAWMFCRWLRNPTDEQRELFIFDPHFQLQAT >SECCE7Rv1G0460990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:34714136:34715341:1 gene:SECCE7Rv1G0460990 transcript:SECCE7Rv1G0460990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPRRRESPPAIPDELFEEFLLRLPPDDPACLLRASLVCKAWSHTVSSPGFRRRLHELHRTPPMLGFLNNWMDERIPRFIHTTASSFSLAAPDWRTWRAIDCRHRRALFFPEEFGGPEMLLWDPITGAQQRIPVPAAFKSDDFPTAAVFCAADGCDHCDCFGGPFRVVFFFTLEETHATSACIYSSETGAWGELTSVHSNHEFLEFRESYSVLVDRSALYFISDGNADTASVVEYDLASHDLTVFDLPDTYYDLAYSLVLVEDGGIGVIQCLNARLKLWRREAAEAGWVLYRVMCLENFLPMDALLHAQDRVQVAGFAEEANVIFVDTVVGLFTVELQSGHVSKVCSDPGVPIFGSQLLPVVSFYTPVPQGKHQNLLALKPSEEGERRKQQWIRHNNC >SECCE4Rv1G0259520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:688725347:688731117:-1 gene:SECCE4Rv1G0259520 transcript:SECCE4Rv1G0259520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPVARQVAEIAAEPDRAAAYARLLHLQRACADDPSAAADLAAASPSALLPLLLRDAAAHDEAVAASALKCLGFVLYHPVLVSTISGQSAQLVLATLVQLIMTTKMKAICNLAVWCISVQQLEASVVEDRATPLLNAIVYALDNPFGSLSTTFEAAQATMKLASQHPKEMRDLSSIWVPPIYRRLLSADKPERDMAERCLIKVSSVILPPQSSLSEEVALDLEQKLLSSMLNMLNDPSKKIQAVKSWGWFISLLGASAVSTRHLLNKILKVPEQLFTDPDPQVQITTMVTWRNLVDAFFGPQALENMDQGTVMSPIEPRAQASAQMKKIRLIMMPLCGLLSRSHNTALSSSCLSTWHYLLYKLGDLINHLSILEAAFGPVLKIIFSIGLANQNKPLVVLHQSNVCVPLNQNLLSQTYTHLKTLFHVHQIKWLPWDITSFDFQLEILGSIVNPELLHNMTADMVATIMDSTTQTFRLLLQGVRVQCNSKFADDNVMICITKVCKFVKKVFLDMVGKQKSNSSEVLVQFCLQFVKCTVEELDNFLLVSGKYELCLDIEQIKEIEYAECSPKLSHPRIKPLAYLELVSPAVYLIAVSLSIVAQFTRELSPGDAEQLASIICPPDLLENFHAVVAFLYMQIIRPVDSRLRIKWLVVWNKVSKRLNEQIISYLKAGCGASGHDILCQFFCYPFFALVSPGSISAHWNAENSLEAYLNMTQDLEVELVIEVYRSFCTNSSYCAEPAYMVFLEHFFEYLIHIVDENMSSIQANLKYCLENKSKNITILSVLGNVVIGLLENAQVFNYANKETEVTTNEDPAGCRGPNLFLGILKLANRFMKLSGLAFKENPAAQHQVTSRYFLSLSDFVGHLTSTEDILLLFEIIGDQFTEWLTLSSTLYCIIRQGDTIDQLEKLWLNAVTCLTTGRLISDSSFLEKHHLLLQAAVNHPHGRISAAITAIRRSPRSSSAGLRHAGRRSVSKADELSLDRLGKDPNCTSDAERAFALEEFNISRMSVAPVVSGRGTVRSSTTDRGQSNGESLRVSAGLGRKRLKIMRYSGKGKGLGKVTDAASSPGWAEGEICRKPELILEMLKRKR >SECCE6Rv1G0431980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749176648:749177067:1 gene:SECCE6Rv1G0431980 transcript:SECCE6Rv1G0431980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKLAPLSLFLAILLITSCEMVEEVGAKLVCKIYWPLCIHKCYKPGNCMRCCKNWGFVHGRCNPWRGMGCYCCADDSDPGDAALRGRNQYHQQEKMVAPPPES >SECCE6Rv1G0384160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:61013222:61014892:1 gene:SECCE6Rv1G0384160 transcript:SECCE6Rv1G0384160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDTCPKQEDNGDDRLSNLPEHVLLTIIDRLDIREAARTSVLSGRWRHLPAMLSRLVINVWDFLDAFNCNNAEIVRGNEAVVKVTKSILARRDLSRNTIQFLCMTFFLREDDPISIGHAVAHAMATQKVQIAEFTILTERDNIFCDDDDLVIYGRRFMLFFDACPNAFGGLTRLNLENLRFGESDIPNVLNTCKRLNHLRLFHCDSGSWTVLQVEHTQLSELAIVNCSFERVELNSLPKLTRIVFEGWISFEDPLSFGYVPLLDTVSLTNVSLSWHKMIKLSKFLRRTPSVRRLKLGFNSEKIWVQPECLTERLAYVFHQLRFVNLTNMPEGYDLTWTLFILKAAPNLKELYMSVWDHLCEMKTDAKERKSLSYSENKGAEWESSATAFQHQSLVTLAIFGFRARDYMMNYVRRVMETAVNLKDVFLYDRVTCDKCCSIPSRFPPKWKQGCVEKFITNGIKSSAIMQFQTIGYLRPDHVDKLWFL >SECCE6Rv1G0384640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:65432876:65433234:1 gene:SECCE6Rv1G0384640 transcript:SECCE6Rv1G0384640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFNGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLVH >SECCE2Rv1G0119550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:791698009:791698242:1 gene:SECCE2Rv1G0119550 transcript:SECCE2Rv1G0119550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGGVGGAVKMICGMKVERVVGTGKAPGACPSCGGPVVATDVESERRILCLPLCLKSKRKYSCTRCFRRLVTVYS >SECCE2Rv1G0080430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:129902297:129906293:1 gene:SECCE2Rv1G0080430 transcript:SECCE2Rv1G0080430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) UniProtKB/Swiss-Prot;Acc:Q9SA27] MEVDGEARPFLLFSKPKSSRRTEPQPQVQSQPQPPKPDPAPAVQTDHGDSDSGGSGSDSDSDLAPTVVTDHGDTCSADGAAADALTSFADLGLSEWLVDVCTSLGMRRPTAVQRRCIPRALAGENVLGIAETGSGKTAAFALPILHRLGEDPFGVAALALAPTRELAAQLAEQFRALGSPLGLRCLAAIGGFDSLAQAKGLARRPHVVVATPGRIATLIKNDPDLAKVFARTKFLVLDEADRVVDANFEEDLKVIFDCLPKKRQTFLFSATMSDNLRSLLELSGSKSYFFEAYEGFKTVENLKQQYIHIPPDGKELHLLYLLSKMKEDNIRSAIVFVSTCRTCQYLDFVLQELGQPAVSLHSHKAQSQRLSALHRFKSGQVPVLIATDVASRGLDIQTVDLVINYDIPRFPRDYIHRVGRTARATRGGVSISFVTQRDICLLHEIEDDVGNRFSAYECDDKEVTKDITKVFKARRLANMRMADEGHEDKVQDRKDQKKRDQARKRKHDE >SECCE3Rv1G0158820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:90923954:90925399:1 gene:SECCE3Rv1G0158820 transcript:SECCE3Rv1G0158820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEELFAEFLKRITRTSDLNSLSLVSKRLYKIEADQRGAIHVGCGLCPATEALASLCTRFPNLCKVEIDYSGWTAGHGNQLDNQGLSVLSSHCPSLSSLGLSFCSYIDDSGLGYLACSKKLASLRLTSTPNITSRGLLTVSVHCQSLSALHIIDCNKVGSKDWLEYLGSVGSLEELVVNNCEGISQDDLLKFGSGWINLQKFVFEIKKRFFRNRCAGPNGGYDPSYDPHSLNMYDFSCENIKDLKLGSIEIATGKGLRFLLGRCKALEKLCLEFVRGLNDNDMIALSRSCNNLKSISLSLDPQYYQDDFRTAFTDNSLKSLALSCPMLETVELTFADCSPMYPSEIGFTQKGLVALVQSCPIRVLVLRRANFFKDKGMKALSSAPLLETLELVDCEAITNAGLGFIVHAPCLINLTLRLCKGVTDVGVSKLAHSQKLESLIIERCCCVSEQAVRGAARSVQYSESVTRSELEKLFMSS >SECCE4Rv1G0265800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727532724:727536165:-1 gene:SECCE4Rv1G0265800 transcript:SECCE4Rv1G0265800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLWLPVFLVLAGQMEHGGESLPQVPALMVFGDSLVDVGNNDYILTTAKANAPPYGRDFKDHVATGRFGNGKLLSDIIGEKVGFNGSPPAYHDPRTSGRNLLLGANFASAGAGYYDPTAFILHVISLSQQLENFRDYASKLGVEAGSRQARSIISNSLYIISAGSTDFANYYINPLLFTTRTADQFSDLLIGIFNNTVTQLYGMGARRIGVFSLPSSGCFPLAITVFGLGNNTCVRRLNNDSERYNMRLSAVVESLSKLHSDLKIVVLDINKPLYSLATNPEAQGFNEARRACCGTGLLEGGVFLCNSKSIGTCSNATTYVFWDSLHPSEAANKVIVDSFTNGIHKLVT >SECCEUnv1G0559750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:341102382:341108902:1 gene:SECCEUnv1G0559750 transcript:SECCEUnv1G0559750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLPALLPPVSRAAAFLLRTPPKPFRYHHPLFRSLLASASSPSTPPTPRSLVTMASAGIPARRDLLVLGIETSCDDTAAAVVRGDGEILSQAIASQSDLLVKWGGVAPKMAEEAHAFAIDQVVQKALDDANVSESDLSAVAVTIGPGLSLCLRVGVHKARKIAKVFSLPIVGVHHMEAHALVSRLVNKDLDYPFLALLISGGHNLLVLAQNLGEYVQLGTTIDDAIGEAYDKAARWLGLDIRKGGGPALEELALEGDPNSINFTVPMRQHKDCNFSYAGLKTQVRLTIESRKLCTDDIPISSATEEDRQLRANIAASFQRIAVLHLEDRCQRAVEWALKMKPSIKNFVVSGGVASNQYVRTRLNHIAEKNGLQLVSPPPSLCTDNGVMIAWTGIEHFVAGRFEDPPPADEPDDMQYDLRPRWPLGEEYSEGRSVSRSLKTARIHPSLTSMTQSSLHN >SECCE7Rv1G0460110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:29316344:29317102:1 gene:SECCE7Rv1G0460110 transcript:SECCE7Rv1G0460110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEFVSHGTLSEFLHSADRRSSIPFDLRLKIATQSAEALAYLHSSTSRTILHGDVKSANILLDDQLNAKVADFGASALKSMDESEFIMFVHGTLGYLDPESFISRHLTDKSDVYSFGVVLLELMTRKRAIYTDLDEKESLSYSFPSMFDEKTHHIMLEFEIINEAVAVVLENMVELAVQCLSPRGDSRPTMKEVAERLEMMRRLQLRATSACQHNRYAHSYGGPSSAAVPFNETTNGSIHMSELVDDLER >SECCE5Rv1G0326530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:480831837:480836953:-1 gene:SECCE5Rv1G0326530 transcript:SECCE5Rv1G0326530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVKTLKGSKFEIEVNPAEKVSDVKKLIESSQGQNVYPADQQMLIYQGSVLKDETTLEENKVVENNFLVIMLRQNKGSSSAAPAKSKEPSNQAPPTQTVPATPASQAPATPAPQAVAAPAPIVPVSAPGPAATASPAPAVAVSTEAETYGQAASNLVAGGTLEATIQSILEMGGGTWDRDTVLRALRAAFNNPERAVEYLYSGIPEPMEIPAPPPSAQPADPAQASQVAQPAVASSGPNASPLDLFPQALPNASANAAGEGNLDVLRNNAQFRSLLSLVQANPQILQPLLQELGKQNPQILQLIQDNQAEFLRLINEPAEGDEDENLLEQFAEGVPQTIAVTPEENEAILRLEGMGFDRALVLEVFFACNKDETLAANYLLDHMNEFDDGAPQ >SECCE5Rv1G0347970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:671626132:671631126:-1 gene:SECCE5Rv1G0347970 transcript:SECCE5Rv1G0347970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGEHAAAAAAAAGEQTPLKKAGEAEQGEELQAPSGWTKKLNPTRGGKFEVVFVAPTGEEVKTKRALTTYLKAHPGGPALSEFVWATGNTPRRSSRLSAKPKATESPEDEKPSRRSGKSKATESPEDEKPSKRGRPSSSSKKGKKGKQEDAEDAEAESGDHADAEEAKGTEVEMKDAEEAKGTEAEMKDAEEAKVTDLDLEMKEADSAQEEKKEEEEAGSSVEEKKEADTVAQEEKKEADPVAEEEKKEADPVAEEEKKEADTVAQEEKKEADTVAQEEKIDAPVADAPEEKTEEVEGKAAESEVAPVEAEMPDPVSENKENEKPAEFEEVPVEFEMSDFVSENKENESDFVSENKENEKPAESEEVPVEFEMSAPVLEEHKEDEKAAEYYEVAPVEGENSENGLAVESTVPPPASSEEKKVEADSTINPATPPPAEVKADAPAAEAAKATENDQGNDGTGEQSTANVDNNGQIHPGVSTVRCT >SECCE5Rv1G0358100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:753638638:753641436:-1 gene:SECCE5Rv1G0358100 transcript:SECCE5Rv1G0358100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSMAGRASADYPGDAYHWPASILLAQEAYVAGSRNHTTATAKSRVDHTVELTFWIADPPAVSFYTFHCSKPPTSDPEDTADLQVQPHLVGAEGRFVLVRTLFASGDDEFEYFLYKGDPRSPSLESVPLPDDNRLSGGGAIVYGIVPRGDGDQYLLIALCGVVTLKDYRLHIYSSEDRTWRTQELLNPCPGVRTIAPDKVMLLRDGVLVWVDLLCGMLVCDVLQEPLRARYIPLPEPLPRNREQILKQLIPGDGVRRYRDVACVDGLIKFVEMEHRVTVTEIVEVPPEKPSDPRNKTVLYDSDLIMLSKRKHVDNKPKTLRTMDGWSAMTWTREIGSDCWLKGIIIDVDDILVDDSALSALLPGQRNESAGSLTFKNMYSAYPTLSTDGNDIMYLKSSRKMSDSYPRVVAIDLTEKTLKVEAPGAHPFGTYSPSCQVFIPCALVNHLKMTPGIKVSAIEISQTSSSANEPNNTAICVGEPDSDESENKRPRLSAEKDNHTQDLAQSTVGNVLSSHARPHQNNMRPQLRFNRWEGLGYGGYSSCPPHNNTPLPRCFNKFTGPCNPMFAPSAPAPNMHSYGNYQSPWQQPLLPEQQMAPSRAFVPHVVRKL >SECCE7Rv1G0471870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:134258913:134259146:1 gene:SECCE7Rv1G0471870 transcript:SECCE7Rv1G0471870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVELLDMGVRIAARFHSHCPQTARMYYHPPASSSAAPGAGDGGGMVPAGGAVAMMKRQQRAALDATEIILYTVV >SECCE1Rv1G0002320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8577302:8578207:1 gene:SECCE1Rv1G0002320 transcript:SECCE1Rv1G0002320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLILTILAMATTIATANMQVGPSSQVEWPQQQPLPQPQQPVYQQPLQIFPQPQQTFPLEPQQTFPQPQQTFPHQPPQQFPQAQQPQQPFPQPQQTFPQQPQLPFPQQPQQPLPQPQQPQQPFPQPQQPQQPFPQPQQQFPQPQQPPQSIPQQQQPLIQSSLQQQMNPCKNFLLQQCNPVSLVSSLVSLILPRSDCQVMQQQCCQQLAQIPQHLQCAAIHSVVHSIIMQQQQQQGIQILRPLFQIVQGQSIIQQQPAQLEVIRSLVLKTLPTMCNVYVRPDCSNIRTPFASTVAGIGGQ >SECCE1Rv1G0007290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39882313:39883851:-1 gene:SECCE1Rv1G0007290 transcript:SECCE1Rv1G0007290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPPHAIVIPYPAQGHVIPLMEVAHALADGGFNVTFVNTEFNHARVVASMSNGAGSGLGRIRLVAVPDGMAPGEDRNQLVRLTILMAEFMAPRVEELILQSGKDGACPGKITCMVTDYNVGYWAVDIARRTGIRVGAVWPASAAVMVTLLSFPKLIEDNIIDAEDGSTVGDGTFQLSPDMPPMQSAHLAWNCIGDHDQQATLYRLLCDGVRAMEQCDFVICNSFQDAEPASFKLFPNVLPVGPLLTGERSGKAVGHFWQPEDDECMSWLDAQPERSVVYVAFGSFTMFHRRQFEELALGLELSGRPFLWVVRPDIGHGAVHDYPEGYLVRVCGPGGQGKLVAWSPQQRVLAHPAVACFVSHCGWNSTMEGVRNGVPFLAWPYFADQFVNQVYISDVWKVGLKAVADESGVITKEHIAGRVEELMEDAGMRERVEELKKAAHESIQEEGSSHGNFDAFADAMKNAAPESVQDGGASHGNFHTLADGMKA >SECCE2Rv1G0073850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:72385963:72386796:-1 gene:SECCE2Rv1G0073850 transcript:SECCE2Rv1G0073850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETEATSAAAAAEACPVEEGTTNKTVVLVGVDDSDHSYRALEWAVRYVAETAGAGAPAELVVVHAKPAVSSVVTLGGPAAAGDVVRYVDADLRRRAEDVVDRARRLCAANSVQGAVEVIDGEPRYVLCSAAEKHHADLLVVGSHGYGAIKRAFLGSVSDYCAHHAHCSVMIVKQPKPKE >SECCE4Rv1G0271820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:764101273:764112930:1 gene:SECCE4Rv1G0271820 transcript:SECCE4Rv1G0271820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYQGGTVCAVSASIVVGGLALGSGLSNLKSLAEASAAGERVLEVIRRVPKIDSASNTGEELLSVIGEVEFKNVEFCYPSRPESPILTSFSLRVPAGRTAALVGSSGSGKSTVVALLERFYDPSAGKVALDGVDIRRLRLKWLRAQMGLVSQEPVLFATSIMENILFGKEDATLEEVTAAAKAANAHNFISQLPQGYHTQVGERGIQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERAVQEALDLASKGRTTIIVAHRLSTIRNADMIVVMHYGEVKELGSHDELTADENGFYSSFVRLQQTRESNEADEVSGAGSASIAGHSRSHIMSRSFSRASRSSSDRSLGDADNLTEEPKLPRPSFRRLLMLNAPEWRQALIGSLSAVVFGGIQPAYAYAMGNMMSIYFLTDHDEIREKTRKYALVFIALGVLSFSLNIGQHYSFCRVRERMLTKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVTQTVSAVLIACTMGLAIAWRLAIVMIAVQPLIIVCFYARCVILKSMSKKSIQAQSESSKLAAGAVSNIRTITAFSSQDRILGIFNQAQIGPRKESIRQSWIAGLGLGTSMSLMICTWALGFWFGGRLMAEHRIASNELFQTYMILISTGRMIADAGSMTTDLAKGADAVASVFAVLDRVTEIDPDNPEGFKAEKLKGEVDIREVDFAYPSRPYVTIFKGFSLSIQPGKSTALVGQSGSGKSTIIALIERFYDPIRGIVEIDGRDIKTYNLRALRRHIGLVSQEPTLFAGTIRENIAYGTETASEKEIENAARSANAHGFISNLTDGYGTWCGDRGVQLSGGQKQRVAVARALLKNPAILLLDEATSALDSQSEKVVQEALERVMVGRTSLVVAHRLSTIQNCDMINVLDKGIVVEKGTHSSLMSKGPLGAYYSLVSLQQGGNQH >SECCE4Rv1G0238990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:391266115:391266489:1 gene:SECCE4Rv1G0238990 transcript:SECCE4Rv1G0238990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARNKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE1Rv1G0024340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:324159351:324161318:1 gene:SECCE1Rv1G0024340 transcript:SECCE1Rv1G0024340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTISLCLILGLLAAAAPANATSFDFYYLILMWPGAYCVDSEYGCCVPKYGYPAEDFFVQSFMTFDLSLNKAIVRCNSDKPFDINKLEPIENNLNHYWSNIHCPRTDGTGNWKSEWRSYGVCSGLKEVDYFRAALNLRKNADILGALAEQGINPDYRLYSTEHIKWAVNQKLGVMPGVQCRDGPFGKKQLYQIYLCVDKNGETFIDCPKLPKLQCPEEVLFHPFHTWMLNATSPAKIMLPTEA >SECCE6Rv1G0432210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:750320947:750322173:-1 gene:SECCE6Rv1G0432210 transcript:SECCE6Rv1G0432210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARFFRCVPDHGGGGGGLEEEDGEEQSSGGCFLDVYVHGARGIHNICIYADQDVYARFSLTSSPGHAPALSTRVAARGGASPRFDERLLPMRVRRGRLGTDALKCEVLMRSCAESVLEDQLLGFALVPLAAVAAADGARMERREFSLSSTDLTHSPAGTVCLSLALRSGDGDACSAGPSERATAEPSITSEVLMLDLPAPPVDYLGIEFPDLSTEKENDDMAVQYLPFLQLGVSLPEAMEMGTSQRGENSMPVSSDGSKNASTTTTTSDDRVIDVFSSAATEKPHRHDGAHEATVSAPMCCGAPDTPTSNGGAASGNEKHDVFKSPLAMGDVVDMEAEQSAMQRQIMEMYVKSMQQFTESLGAMKLPMELDGDGGSGVVVQRDEKKPEAEARKDGARVFYGSRAFF >SECCE4Rv1G0281310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825681654:825687641:-1 gene:SECCE4Rv1G0281310 transcript:SECCE4Rv1G0281310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDDHRDSMELAALEKVLLNETVDPVDLPFSLLKAITGNFSLAHEIGRGGFGAVYKGLLPSGITIAVKKLYEKFEVLDKNFESEVACLVGVKHKNMVRFLGYCSETQHVMMPYDGKLIWADVRQRLLCFEYLPKGCLADYLSDASCRLPWTTRYQIIKGICEGVHYLHQQRIIHMDLKPQNVLLDDNMVPRIADFGLSRRLSESRSQAITENKLGTMGYMAPEFINKGEITFKIDIYSLGVIIMEILMGRKECSNVKEVVESWTNKFGASTSHTTMEQVKSCAEIGIECMDYDPRNRPATWFIIRGILGEAEISNWSITSDVAYSTERPISFASKPTDELKLMDDFAAPSQQQSSELEVEQVDDKVSQDKKRKASTIKFSKLADDLALLDDSAAPSQLLEMEREDGKVSDERKAKATILSLATGVMSTVNGKLTTFRSDEYKKRKEVTKQASFLEKELSTINATLEQLELMDELAPWVKTWRDDVKEISYGMENCIDDFMRQFGGEDAEACFVWEAAELHKRLRELHRLANEMEELRTLLVEANARRESYKVDDCKPSFGSVAVDPRLPAVYQEATNLVGIDGPREEVANWLMDTQKKLKVVSVVGFGGLGKTTLAKQVFDQIRGQFDCVAFFSVSQRPDVRVLLNRLQLKLGINIPSHDRGFDDIIEVLRKYLAEKRYLIVVDDLWDQSIWKIISSAFPENENGSRIIVTTRLEDVASAACHNGSECIYTMKPLNEHNSRMLFCNRVFGSKAVCPPHLQDVAAEILKKCGGLPLAIITISSLLATQGRSMKHWESIRKSLGAQSSTVPSLKEINSILNLSYKHLPLHLRACFLYLGMYPEDYIIGRDDLVKQWIAEGFVSSLHGQDLEDVGRSYFNELVNRSMIQPSDTECGQVLSCTVHDMMLNLILSKCVEDNFISVAYNSEDIARLLHGCKYKVRRLSMSSMAVGGATYDTAVAASLSQVRSFMLFEKPAVIPPLFWFKYLRVLVIFARGENIVDLTSISQLFQLRYLMVTVYDRVKIELPTKLGELIYLETLDAGNCRQIESIPSDVVHLPRLSYLVLPNWTKLPEGIENMKSLRTLQGLDLENSSLKGIMGLSELTNLRELSMRIHINSKMLRADALACSIGKLCDLKSLSIFGNYYERGNVQQLGSLSNPFQHIERLDLKYWQFRRVPKWIGGLHCLCFLKMQVGKPSTEDVHLLGELPSLVHLELGGRVVPDERVILGAKLFPVLEYFDLYCEVDSTAFLWFEAGALPNLRTLCLQVMHWHGSMPVGLEHLLHLKEILVYGPGAFDDVTSSFREASLVHPNRPSINGNGV >SECCE6Rv1G0421860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:687146618:687147435:-1 gene:SECCE6Rv1G0421860 transcript:SECCE6Rv1G0421860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSTAIQIHPLTNEDDGKITAAGTAPPTPTMGPAPATVMSSVSNLAQLLPTGTVLAYQALSPSFTNHGKCETSNQWLTGALVVVLTASCLFFAFTDSVVGRRDGKLYYGFATPRGFNVFNFSSEEERQEWDDLDQFRRLRLRPLDFVHAFFAAVVFLTVAFSDVGLQNCFFTDAGRNTEELLKNLPMGMAFLSSFVFIIFPTKRKGIGFTDNAPRQKEIHTQSDLKTPLLAGVEVS >SECCE3Rv1G0145050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5667788:5669088:1 gene:SECCE3Rv1G0145050 transcript:SECCE3Rv1G0145050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRATSRELRAMYDDEPSSMSLELFGYHGVVVDGDDEDDDTATALPQLSFVDNFKGGCGSAADYYSWAYNASGGTPGASSSSTSSVLSFEHAGGAGHQLAYNAGTGDDDCALWMDGMADHQHGAAKFGFMNPGSDDVVPEIQESSIKQPAKSAQKRSSSGGEAQAAAKKQCGGGRKSKAKVVPTKDPQSAVAKVRRERISERLKVLQDLVPNGTKVDMVTMLEKAITYVKFLQLQVKVLATDEFWPVQGGKAPELSQVKTALDAILSSQQQP >SECCE1Rv1G0051130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:651432419:651439679:-1 gene:SECCE1Rv1G0051130 transcript:SECCE1Rv1G0051130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MASAGGFGRLSLLLALSLCCALSSTVRGEASRRFWIEGDAFWKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPEPQSWEFKGFADIESYLRLAQELEMLVMLRVGPYICGEWDLGGFPPWLLTIEPALKLRSSDSTYLSLVERWWRILLPKVAPLLYENGGGPIIMVQIENEFGSFGDDKNYLHYLVQLARRYLGNDIVLYTTDGGTSNTLKNGAILQDDVFAAVDFSTGDDPWPIFRLQKKYNLPGKSAPLSAEFYTGWLTHWGESIATTSASSTAKALKSILCRNGSAVLYMAHGGTNFGFYNGANTGQTEFEYKADLTSYDYDAPIKEHGDVHNPKYKALRRVIHECTGTPPHPLPADIERANYGLVKLQKVASFFDIFDKMCDPLKVSVSEQPLSMELTGQMFGFLLYMSEYQGKGPYSILSIPKVHDRAQVFVSCSLDGVRNQRYAGVIERWSSKTLQIPTLNCSSNIRLSILVENMGRVNYGPYIFDQKGILSPVEIDGVTLHHWIMYPLSFDLLGNLSKFQPILQITDARASKVSIHGDSQNKLRDLSFYLTGNSEEPEFYEGHFHIDSNSTIKDTFISFRGWNKGVAFVNNFNIGRFWPVMGPQCALYVPAPILRSGDNIVVIFELHGPNPERTINLVEDPDFTCGPNR >SECCE6Rv1G0412340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614003353:614004473:1 gene:SECCE6Rv1G0412340 transcript:SECCE6Rv1G0412340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAQSVQALASSLGALPPEFVRPDHEQPRATTFRGAAPPEIPVVDMSSPDAGRRMAEAAAEWGIFQVVRHGVPAEAVAELQRVGRDFFALPQEEKQRYAMDPAEGKTEGYGSTLRKADLEGKKAWADFLFHNVAPPAAVNHAVWPESPEGYREANEAYSGHMLRLARELFERLSAELGLEAGAMAEAFGGHDVVLLQKINFYPPCPQPELALGLAPHTDMSTLTVLLPDEVQGLQVFKDECWYDVNYVPGALIIHIGDQIEIMSNGRYKAVLHRTTVSREKTRMSWPVFVEPPPEHVVGPHPQLVADEFPAKYKAKKFKDYKYCKINKLPQ >SECCE4Rv1G0240960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448184843:448185154:1 gene:SECCE4Rv1G0240960 transcript:SECCE4Rv1G0240960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSLIQREKKRQKLEQKYHLIRQSLKKKIRCKVSPLSLSEKTKMREKLQSVPRNSAPTRHHRRCFLTGRPRANYRHFGLSGHVLREMVYECLLPGATRSSW >SECCE7Rv1G0468330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:96426705:96430847:-1 gene:SECCE7Rv1G0468330 transcript:SECCE7Rv1G0468330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDLFRTVKQGTRNQARLLYHRLVCRLPHLLAVTLLLVVAPPLVSTLSLAALWSEARANAAVLVAACAGCAAAAYAYAMSRPRPVYLVDLAGYKPGPAHEATRAQAIRQFGLAGGFDDESMSFQKRMMERSGLGEATHFPASLMSIPVDMCLQTARDESEAVVFGVVDELLAKTGVRAEDIGVVIANSSLYSPTPSFVSLIVNRYRLRHDVVSHNLSGMGCSAGIIAIDLAKHLLQVHPDTYALVVSTENITLNAYLGNNRPMLVTNTLFRVGGAAVLLSNRRQERARAKYQLIHTVRTHRGASDRSYGCVTQEEDDAGNVGVSLSKELMAVAGEALRTNITTLGPLVLPLSEQLRFLATVVLKRVFRADVKPHIPDFTLALDHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIKKGDRVWQIAFGSGFKCNSAVWKALRAVDAVAAGDTGSPWAQDVDVLPVHVPKVVPIDDNEASYKAAA >SECCE7Rv1G0501720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:686879056:686879919:-1 gene:SECCE7Rv1G0501720 transcript:SECCE7Rv1G0501720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVPRSANGEIIVAPPAARDGRGGVGSSASGAAGASSLSQILSAKLRKCCKTPSPSLTCLRLDTEKSHIGVWQKRAGARADSSWVMTVELNKEPATAAAAPTPSDSTVSATPSSSTSTSTTCSPPEAMEDEERIALQMIEELLSRSSPASPSHGLLHGEEGSLVI >SECCE7Rv1G0521280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873329866:873330501:-1 gene:SECCE7Rv1G0521280 transcript:SECCE7Rv1G0521280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGALMKKTTAIACTMMLATVFIAAAEQEAGACIVDTADVHGATNSCICSENCACAGKCIRNAGDGADDVQTCFAVCVLKNGCGGCPAHWTRPLPATLDKTLRLKVTRPKASQRLQGSERLSFFYDLEPRGTDTPVIEVYLYVPEGKESSDKSQHHLCTLWVKPDNKAEFWDIRDVLRKIGADDGDETIEVLFVPTAWGERVTITSVSIE >SECCE4Rv1G0288750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861618418:861619743:-1 gene:SECCE4Rv1G0288750 transcript:SECCE4Rv1G0288750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSRILSEPYRDGANPRRSAAIPMSRFGKAALLCFTLFAAWRVGSTLFASAPAEEAVVGGDASCLHLARVAGVRAAAGTGSNFVFSPLSIHAALAMVTAGARGDTRRELLRFLGSASLHELHHAPANELVGRLNGLAQTSFACGVWVDRRLTLRPEFAATGASRYTATAESVDFVSGAEQARLRVNAFVADATKQLIRDVLPPGSVDSSTSVVLANALYFKGAWSHPFDVSMAPFHIPGGTTVGVPSMTTGRSQYIALYPGFRALKLPYKNDVLRQADAFYMLILLPDSGTPSLSDLYDKAVSMPEFIRKHTPVEEVPVGQFMVPKFKFTFEVEASSDMRKLGVTRAFSGGDFSGMVSGGDGHGRVSISRVYHKATIEVDEQGTTAAAATVVLMDGTSLEEREPPHLVDFVADRPFLFAVVEERTEAVLFLGHVVNPLAG >SECCE1Rv1G0015090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:120776949:120779836:1 gene:SECCE1Rv1G0015090 transcript:SECCE1Rv1G0015090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTRASMGAMEGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVDAKRVFLSQPNLLRIQAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYVFLGDYVDRGKQSLETICLLLAYKIRYPDKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFSDCFNCLPIAALIDDKILCMHGGLSPELTNLDQIKDIERPAEIPDYGLLCDLLWSDPSPDGEGWGESDRGVSCTFGADKLIEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNVGALLSIDENLMCSFQILKPNETGTPRVKRQIPNKN >SECCE4Rv1G0240000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:436020003:436030469:1 gene:SECCE4Rv1G0240000 transcript:SECCE4Rv1G0240000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38770) UniProtKB/TrEMBL;Acc:Q8L5Y4] MPKVYGTGVFEFRHPRAAEYPLPVEVSTSAAPDKPPASTGVSITLLDIQRDRLTRAAAEHWGSPAAVAAFDADLVREIYATELRVEGRGRKTVPLHRVMILEVSQYLENYLWPHFDPDDASFEHVMSMILMVNEKFRENVAAWTCFHGRKDAFRGFLWRVLKLKEEDRDVSMAEKTNYLLFMINAFQSLEDELVRETILQVVSLKLWHTLSFGRLQMELCLNPELIKKWTKIKRKEAKEGKKAGKTGNSSEMLKHKFLGNLMEEFLEILDSKVILSSQDGGEESVFNESLSGQVDDSSVLYCERFMEFLIDMLSQLPTRRFLRPLIADVAVVAKCHLSMLYAHEKGRLFAQLVDLLQFYEGFEINDHSGTQLSDDDVLQAHYSRFQAFQLLAFKQVPKLRDLALCNIGSIHKRADLTKKLLVLSDMELQDLVCNKLKIISEKDPWTGRRDFLIEVLVAFFEKRQSQKDAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGDTAFRGWSRMAVPIKEFRITQVKQPNIGEVKPSAVTADVTFSISSYRPQIKSEWDALKEHDVLFLLSIRPSFEPLSPEEAAKSTVPERLGMQYVRGCEVIEIRDEEGGLMNDYTGRVKRDEWKPPKGELRTVKIALDTAQYHIDATELAEKGAENVYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWINMPDLLETIDFKDTFLDAGHVVQSFPAFQVTFINTDGTENMHPSPPFRIKLSKKMREISHALPGNANASETASKNNMVDGEGSQKEKLRVETYIPADPVPYPQDKPNKNSVRFTPTQVGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVAKLARSLHLPEDVSYTCENAAYFWLLHVYARWEQFLAACVPNKENPSFVKDRFPFSEFFSDTPQPVFTGESFEKDMHAAKGCFKHLSTIFQELEECRAFELLKSTAERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKYDNLLMEESAQILEIETFIPTLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAQLYNWRYRELGDLPYVHEEAIFHKANSGFSYEYQLVDVPDYRGRGESAPSPWFYQNEGEAEYIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVISRRCKPWNIEPPCKVTTVDKFQGQQNDIILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLALNLEECTPFTERHLGEAGNIHYITDVEDIGHLVNFRLEHLRQMQSMQYYAPDANADAAPPETGNGDTVLDNAKDGTEKENGEATDAVNNDKMEEDTVESKEDMVQKVNKMDEGNVTIEDKMGEESADEAKYKMDEENAVSKGVEQD >SECCE7Rv1G0463740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:56868666:56881421:1 gene:SECCE7Rv1G0463740 transcript:SECCE7Rv1G0463740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] MRGKKPLKELTLSVPAQETPVDKFLTASGTFKDGELRLNQRGLQLISEENGDEHQSTKMKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTFYALKGIQMNIQESVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAVLASSIGQRDTFVGTYNYMAPERISGSSYDYKSDVWSLGLVILECAIGRFPYTPPEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAERKSASELLNHAFIKKFEDKDLDLRILVESLEQPMNVPE >SECCE3Rv1G0170310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:251360945:251361941:-1 gene:SECCE3Rv1G0170310 transcript:SECCE3Rv1G0170310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMKDTNAAGHDNVANSEPMDQSGDNPMPSAQQQEQVIKKKFGGLIPKKPPLISKDHERAYFDSADWALGKSGQQGVAKPKGPLEALRPKLQPTRQHQQRSRSSIYSSSENEDGDGAGSEDMNIN >SECCE3Rv1G0147480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15248025:15249280:-1 gene:SECCE3Rv1G0147480 transcript:SECCE3Rv1G0147480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTRGGKDNWVLSPDAGVPLGDDGVQLKEEEGETAAMAMVAAAVAAQAPRVEIIVDFDKSLLDCPLCSLPLKPPVFQCPAKHAACGPCAANHANQCPACDGAYERDEGADRYLLAARVLCPNLEYGCASSVVYGMLGDHRLVCPHAPCRCPEPRCGFLGSPPALRVHLAERHAWPVTDVAYGSVLEVQMQAQEQGRRLLAAEGGERLFLLVVSERGVKVVRVTAAPEEDGSWYRCKVWVHEPVDTDTGHMDVLMLDAKVGSCAVPDVEESMAAGGRYLSVPSDVPAPEGGGIVIRLRIDKEVKFLRG >SECCE7Rv1G0460410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:31252485:31252988:1 gene:SECCE7Rv1G0460410 transcript:SECCE7Rv1G0460410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISHSRSQPLLAHHHHDNAGDLLSCAQGSSSDGSFPNNNNNNNGPLRTRSHEDVRAGRVQCAGSDEAEVSFEGRPRAGDLGYVSFEDMVLFEAFREVNGRSSESGAVTTASRMYTRVAHRRYRRRSPGPLGTQRGGAMHRFVKKFVCPCLAFVAKAFGCIPGVPAH >SECCE4Rv1G0243000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:493822957:493823749:1 gene:SECCE4Rv1G0243000 transcript:SECCE4Rv1G0243000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRGVGGGGGRGRRDPGLLTRVVDKVFRFVRLAEFEILFVLFFLIAFILFKDLMSRPDYNQIFVKKPDQDDRWP >SECCE7Rv1G0496120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:607802929:607804555:1 gene:SECCE7Rv1G0496120 transcript:SECCE7Rv1G0496120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSMKCMLFTSAVAIVLALFPVASVGAGLKVGFYSKSCPSAENLVQQAVAAAFKNNTGVAAGLIRLHFHDCFVKGCDGSVLIDSTANNTAEKDAPPNNPSLRGFEVIDAAKKAIEAKCPKTVSCADILAFAARDSVALAGNVTYKVPAGRRDGRISTNASALSNLPSPLSTASELVGNFTLKNLTAEDMVVLSGAHTIGVSRCSSFTNRLYAFSNTSQVDPTISSAYASLLKSICPANSSQFFPTTTTDMDIITPTVLDNKYYVGLTNNLGMFTSDQALLTKSTLKASVDEFVKSEKRWKSKFVKSMVKMGNIEVLTGTQGEIRLSCRVINKGSTGLEIANGPDSGEFTEIATS >SECCE2Rv1G0117240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:770857122:770858679:1 gene:SECCE2Rv1G0117240 transcript:SECCE2Rv1G0117240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAARRASGAIAMTMLLLLLLPAPGSSLAGAAVGVIVRSPFMKRIASIIVQEVASAGGAALTKALRSSNKSGQLGSDAADDAGIILYNVSVGQQTFSGVVDIFNDFSWVQCPPAPAQMLSAVPCVSDTCSNVLRKTTNDCIDTTSGCLYEYVLPDDGTYSSGYLGYEKFTFGAGTGTSAVSGGVVFGCSTRNTVKLDGAIGFSNGPLSILSQLHITRFSYFLTPDNSKSSGSTSVVLLGDQAVPQTKHSRSTPLLKSNVYQDLYYVKLTGIKVDGKSLKGIPDRAFDFAADGKSGGVALSTTTAVTWLQSDAYYAVKQALMTKIDSQAVKSNNGLDLCYDTNSVAKLKFPKITLVFDGVDSPEMDLTTVHYFYKDINTGFQCLTMLPMPKDFPLGSILGSMLQAGTNMIYDVSGRQLTFEKAAAAPPQVPLMAIVSLLLAWVLLF >SECCEUnv1G0536940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:66861537:66862340:1 gene:SECCEUnv1G0536940 transcript:SECCEUnv1G0536940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRRLAALIITKASSRTRADARTRAHPAVADLHDSTNALLGWTEEPVAASCTWVGDGVEHLVRVLKGLVELPQHPQVTASSWTEQLLNDLLILADAHECFGEVLQSLKQLLAEAQAAQRHHDGARLADAVHARRGSDRIFSRIASTLRAFSRRSYSSTVSTSDSGEATVAEAIAAATCAVAAGSAIIFTWIASSSASSTLRALTSLTASSVTKGMEQLRSLEECIMVTENGCEQVYRALINARTSLLNMLSADYSTSTNLTRFKM >SECCE6Rv1G0446370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:843822964:843826919:-1 gene:SECCE6Rv1G0446370 transcript:SECCE6Rv1G0446370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRLHGTINATIVGAENIHDRSRHTGIVPGFLGNIVQGVQETTGLGKGLPRVYAAIYLGSACVARTRTIAVPSTGMAQWNEPLRAYCAHHAADVVISVMIEQLGLAKDTVLGSAYLPALELLNSDDTIDRWFDVLGANRKKLWDGPKIHVQINFRDVTDQGLAWGGGVGVGGAKVPHTFFSQRTGCKVTLYQDAHASEEFDPKIQLDGGGLYKPGHCWEDLYDAISNARHLVYITGWSVFPHITLVRDGDHQETLGELLKRKANEGVHVLLLVWNDVSSIDGLLEAGLLDTRDEVTAKYFRGSRVQCVLCPRNMYVRGYIFDAKRPTDSIFYSHHQKAIVVDQELPSSSDGRRQIVSFVGGLDVSYGRYDTQNHSLFRTLGTGQAHSQDFNQVNFRDESATLDKGGPREPWHDIHAKVEGPVAWDVLHNFEQRWRMQGGDKEHLVDLVAIEGKVAPSLLPVTLPGDQEAWSVQLFRSIDNMATVGFPDSMEAAYEAGLVQDKHHVFERSIQDAYIHAIRAAKSFIYIENQYFIGSSFQWKSGVGIDPAAVQANQTIPRELSLKIVRKIEAGERFAVYIVVPMWSEGYPTHMYRQAMLDNQRRTMSMMYNDIAAALQAKNIDADPRDYLTFFCLGNREARNPEGGEYQPLKSPEDGTDYAKAQNARRFMIYVHSKMMIVDDEYIIVGSANLNERSMAGNRDTEIAIGAYQPHRINTVTELAKGHVHGFRMSLWYEHLGKTHDDFLRPGSLECVRRVNKMADEYWNLYVSDELTGDLPGHLLTYPIAVSKAGTTWTIPGFEVFPDTQARVLGKPTGIDDYFMST >SECCE1Rv1G0033840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:472038227:472042473:-1 gene:SECCE1Rv1G0033840 transcript:SECCE1Rv1G0033840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 2 [Source:Projected from Arabidopsis thaliana (AT2G36305) UniProtKB/Swiss-Prot;Acc:Q8GW19] MATPAGSHPAPPPAIPGKAAVAACAAMAVSYVAVLYAPTLLLRLPPATSLRAFFHRRFVCAAVSSAASVLTTAALLGVCSLSDSSKALAMFGIRSDHLLEAVVIPLLLTSLVYAGSFVARLWVMSSSCGTDDDGEVGVSCTEKLARCMQTSLQDVMVWRNYVVAPFTEELVFRACMIPLLLCGGFKMYNIIFLSPIFFSLAHLNHLFELHQQGCNFMRSLLIVGLQLGYTVIFGWYAAFLFIRTGNLVSPIVAHILCNMMGLPAFSSPRTRGVTSLAFLAGSVSFFCLLFPATSPKLYNARLDGCSCWHGYCGWS >SECCE4Rv1G0243600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:504187016:504190570:1 gene:SECCE4Rv1G0243600 transcript:SECCE4Rv1G0243600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKLEDEEAVKMCRDRRDFIKQALEQRNRFASSHIAYIESMKCVSMALQRFVAGDDRHELILDPFISPVKQQKPEMLGLPYGSYEKRTVHVAKYLMSGPNPSVSVEEAPRPVETIRVESHYPVDGYGGTDRSYPTTSSPMRPSSHYTPYDRPSYVPPLPQEPVRNAYYMPPYDRTNYVSPSPQEPVRNSSYYMPPYAPSPQEPVRNSYYMPPYERPNYVPPSPQEPVRNSYYMPPYDRQSYPPAPTQDPRRTSYYASHDRPNYPPSSPQEPESSQWDSFWNPFSSLDSYPYPRPRSSYDNVVTEDELARLQRVREEEGIPELEEEDDECQKHEQMHNKEGEGEDDDEEEESDEDDDEEDEDDECEHSDDQRCMASNEARPGKSEVNVKQEQKGHQSKGVQCAGISEPRNAVDHEMKAHKKELMRNKVANAEETPGFTVYLNRRPTSLVEAMKDIDSQFLGICSAAQEVSRMLEASRAQYSTSNDLSVKRLNPVALLRSASMRSSSSRFLLASSSSIDDLFDNDTSSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKVGERLRLEYEKRMAHLRSQDVKGEEPSFVDKTRAALRSLQTRMKVSIQTVQSISRRIEVLRDEELHPQLMELIQGLSRMWRAMAERHEAQKRTIDDAKLLFLQHRTSAATTVALGPPEVTTPPPAAVALECEVRAWRGALDAWLLAQRAYARALAAWARRCLGIGAGAAAPRTVPPAFLVCMEWGLAVEAASEARVMDGLDFFVAGVGSVCSGAAAGMEGMAGRVLCAGLGAVTGAMAEFAAASADGYDAAVSAAVAARAPEGGEEENAGGPPQQ >SECCE5Rv1G0341880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:628301752:628303908:1 gene:SECCE5Rv1G0341880 transcript:SECCE5Rv1G0341880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGLGKKGIDLSSLRIDEDEIPTEEDEFSMEEDEYTCSSEEEIYTDDDDDDDEDDEDDDDEDDEDDEDDEDEEVEAEDVRSPTHPHDLESFPQSILLDVHAYFDDRTNATTADAPFFKDHRIRVTIWIAHPPRVSCLTVHSNGLEPSEFTNVPMVVAAQDDLVLLRAAVGPQEHLSPSGYINHYYVYRAGTKPTLRRLADASSFVFADCSAGIVRRGDGSFIIAAIHWAGVHGHYDLHQFDSKTWTWSTRLMHVDKPERFSRILTTKVLVIGGKDGLVAWVDLWNGILFCEVLTGHTVLRYCALPPPMNKRHEGPPERVRDIAIVEGHINFFEMRLKFKQRQVAFNATLTTKYFEAITWKMMEPWQNWQQDRTLDMFKIPVDQSYCALLRDVLDGQGTRTAFERLQGGHPALSLHDDDVVYIMAKAKEKNRRAVVFAVNMRSKVVQGVAKFDGKMAYGFTYTYLQSGISNYLTAESSARGEARDGIPQGGDGIALEPIDNMYKGKAAESSRDRITQAGDISKCNAAESGWVRVSRGRKQKA >SECCE4Rv1G0239470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:405332076:405334113:-1 gene:SECCE4Rv1G0239470 transcript:SECCE4Rv1G0239470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHLALALLLSLAVASTSRLAVADDLDYGGGVKKSDAAPDVSASAETKSEADSYVPASAENKSEAVAANTENKPEAASDVSDSAEKKPETDSYVSANTEKKHEAAFDVSASAEKKPEAASDVSANAEKKPEADSYVSASNEKKPEAISATTSDVSASVEKKTEATPEKKPETDSYVFATTEKKPEAVSTSAEKKPEVASDVSASVEKKPEVATDASAAPEKKPEAISDVSANAEKKPEVVADYAGAKKKPDVAPDNVAAEKNPVSSSGYANMEKKPEGATKAVGEKKSEVASEKKSQKKSEPSQPSTTERKPKRKNDHGLEKKSKGKKDASGATDAEKKPKAKVDSPKKAGAKKKPEMPKKVEPKNNEKPKSSVPATTDAYATPKEEMKKPEAATPDTYTAPKVEPKKGESKKEKPKKVEVMPITHDTYNKPKEEPKEEPKKEKITANTPDTYTGPKKEEPKKEEPKKEDPKKEEIVTSSPDTYTDPKKEEPKEEPKKEEIAASSPNTYIATKNEEPKKEQPKVPAADTTDSYAAPKKAQPETSATATADSNTTSKNAQPETPAASRTDAYAAPKTAKPETEAASTPGSYAAPKTSQPETPAAETTDAYVVPRPRQWNKIGHFPLPHM >SECCE7Rv1G0481000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:277693616:277696803:-1 gene:SECCE7Rv1G0481000 transcript:SECCE7Rv1G0481000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASNEEMLPPALPLATLIGRELRGGGSERPLVRYGHSGFAKRGEDYFLVKPDCLRVPGDPSSAFSVFAVFDGHNGVSAAVFSKEHLLDDVMSAVPQGINREDWLQVLPRALVAGFVKTDIDFQRKGEMSGTTATLVVIDGFTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENAEERERVKASGGEVSRLNLCGGQQVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSSAGGRLIIASDGIWDAVSSEIAAQACRGLPAELAAKLVVKQALKTTGLKDDTTCVVVDIIPSDHCSTPPPLSPKQNHNKLRSLLFGRRSHSSVGKLGNKKKSASFGFVEELFEEGSAMLEERLGKNSLSKANLPPFRCAICQVDQVPFEDLITDDGGGYCSAPSTPSSGPYLCSGCRKKKDAMEGKRSSRSTACT >SECCE7Rv1G0474770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:176697508:176700748:-1 gene:SECCE7Rv1G0474770 transcript:SECCE7Rv1G0474770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIPREWVGLQQFPAATQTKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVANVSAFQSEGLRPMMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLEKTIDVLLYVDRLDTYRMDTLDEQVIRAITSSLGKAIWRRTLVVLTHAQLSPPDGIDYNDFLARRSESLLRYIRSGAGIGKREYADFPLPIALAENSGRCKTNENGAKILPDGTPWIPNLMKEITIVVSNGSKSIHVDQKLIDGPNPNNRWKKYIPLILAVQYFFVVKGIRRAIHSDISNGKLDDWEQRYRDLVGSGNPIDQKVLSSRNPKA >SECCEUnv1G0530370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:12448368:12451822:-1 gene:SECCEUnv1G0530370 transcript:SECCEUnv1G0530370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCERPRRLLAIVVATSLLSLAAAASSSSSNATDFETLLCLKLHLSSSPSSLLGSWVQNNSLHFCSWPGVACNASRVVALDLENSGLDGHIPPCIANLTLLSRIHIPGNLLSGPIPAQLGQLSRLTYLNLSSNTLTGSIPNTLSSTSLQVIDLGNNKLSGDIPESLGMLRNLSVLRLARNSLTGSIPLSLGSSSSNSLVSVILANNSLTGPIPSALAHISSLQVLNLVRNNLDGDIPPALFNSTSLVRLALGWNNFAGSIPDVVPNFDSPLQALILSVNSLAGTIPSTLGNFSSLRTLLLAANGFKGSIPVSIAKIPNLQELDISYNLLSGTVPAPIFNMSSLTYLSLAVNNFVGELPSDIGYTLPSIQTLILQQNRFGGKIPPSLANATDFLSINLGANAFYGIIPSFGSLSNLNELILASNQLEAGDWSFLSSLANCTQLQVLSLGTNMMQGNLPSSVGSLANSLGALVLHANRLSGSIPPELGNLTNLSFLRMEQNQFAGDLPGTIGNLASLTYVDLSRNRLSGQIPSSIGKLRQLNNLFLQENNFSGPIPRTLGDCRRLITLNLSCNSLSESIPKELFFLDSLSAGLDLSHNQLSGQIPREIGGLINIGPLNFSNNRLSGHIPTALGACIRLESLRLEGNLLDGKIPESFSNLRGIAEIDLSRNNLSGEIPNFFQSFNSLKLLNLSFNDLEGQMPQGGIFQNSSEVSVQGNSMLCSSSPMLQLPLCFASSRHRRTWRTLKITGISVVALALVCLSCVVFILLKRRSKKSKQSDQPSYTQMKSFSYADLAKATNGFSPDNLVGSGAYGSVYKGVLESETNGMIAVKVFKLGQLGAPKSFVAECDAFRNTRHHNLVRVISACSTWDNKGNDFKALIIEYMANGTLESWIYSETRRPLSLGSRVTIAMDIAAALDYLHNSCVPPIVHCDLKPSNVLLDDAMGARLSDFGLAKFLQSHNSSSAITSTSLAGPRGSIGYIAPEYGIGNKISTAGDVYSYGIIILEMLTGKRPTDELFKNGLSLQKYVGNAFPEKIRDILDPNIIIPSFGDEVVDHGNHAMVLGMLSCIMKLVQLGLSCSTETPKDRPTMPDVYAEVSAIKREYSALRIKE >SECCE1Rv1G0055180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678421253:678427391:1 gene:SECCE1Rv1G0055180 transcript:SECCE1Rv1G0055180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSVVGARSTSTWPPAVRDRDRHRAPRRVRCRAAAMPPSVRTVSIPFADLKERGTDLSGKIEEGLGPNGLGIISISDVPDFPALRRTLLRLAPRVANLPEDVKKELEDPDSRYNFGWSHGKEKLESGKLDTFKGSYYANPILDVPTTDDVLVTRYPSYCRPNIWPSDHLPELEIAFKALGKLILEVGLMLARHCDLYVMQHGVEPYDGESLEHTISRSRCHKGRLLYYFPRQFSTQKEGGDSVSSWCGWHTDHGSLTGLTCGLFMKNSVEVPCPDSAAGLYIRTRDDRVVKVTFGEDELAYQIGETTEILSRGRLCATPHCVQAPSSENASNVERSTFAMFMQPDWNETLKFPSEIPYHQELIPPNGALTFGEYSERLVNKYYQGKTD >SECCE7Rv1G0515710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:840894278:840895666:1 gene:SECCE7Rv1G0515710 transcript:SECCE7Rv1G0515710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPLVSRLFLVALALLPAFSAAAEPRYTAVFSFGDSLADTGNEFALTGGATGNTPPYGETFFGVPTGRASDGRLVLDFVVEALGMPHPMPYFAGETMSDFQQGVNFAYGRSTALGPEFFESKGFTLFMPVSLANQTEWFRNVLHLAGSVEEQRKLMATSLVMMGEMGIHDYLIAVTGKLTDAEIRTFVPHIVGAIRSVITEVIDAGAKTVVVRGMIPLGCQPLLLALFEGTGADYNRATGCLTRLNDLSRKHNHALIGMILELRRAYRGTGVSILYADQMDPVIHLVFEPSKYGFGDKPLAACCGGKGTYNFDPTFATLCGMPGANKCADPSKYVSWDGIHLTDAANRHVAGNVLRSTVLRQTPYTADLASS >SECCE7Rv1G0461130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:35915023:35919190:-1 gene:SECCE7Rv1G0461130 transcript:SECCE7Rv1G0461130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPPALPDDVVEEILLRLPPDDPGCLFRASLVCKAWWRAVTHPHFRRRYIGHHRHRAPPVLGFLHDWEDDRIPDFVPTTASPFSLAAPDRRFWRPLDCRHGRALFLSHHGQETQELLLWEPITGTRQGIPVPSAFRCHRPTAAVFCAADGCDHRDCAGGPFGVVFVFYVEGGEVDEDWHVVTSACLYSSENGTWGQLNSRQYEFIMYFMHHSSVLVGRSLLYFLSDDGMILEYNLDSGEQAVFNTPPDHYGSGHERFNLMLAEDGGLGVAEGIDFQLILWKREASDGTDARWVLSRIVDVDGSFDPGTLAVLGFAEGANAIFVNTVDSLSMIELQSEQAKWKCDNHGLCNLIPVVSFYTPHSRLQVPGGEHHGPALRLNLLRRGGQQGAWEEKSLEWAQVLFDKGCKAINEKDLANAADCFKHALEIRVRHYGGLAPECASTFYNYGGALLCKAREATNPSGSGSKRAPNEESITPRTSKDDAGSSEASGSSVEHAPPSREGDYEEGANLHGKDQKDGNMTGDGDDSDLDLAWKMLNTARVIVAKSPDMTMEKVNILNALAETSMRREDRDRSIDCYFEALEILEHLVRPDHFRIFQQNVHICLALELASKVGDAIPYCAKAISVGKWRMHNLINAREALLSDKGRSGKSTLEDEISYVAGVLRRLQKKLEELQQAMSTPSDIMKRVVSQASHEQNVNNTMARTASSTSSQMAGSNNSVHSPTMSPTAARGSTGSSITDFEIVGRDMKRANDKPISNEPSPKRLAADDSPSVNEI >SECCE5Rv1G0373820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861317232:861319031:1 gene:SECCE5Rv1G0373820 transcript:SECCE5Rv1G0373820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVCKRWPGTPTALLLALHGMDDQMPASSTASVPPPQTPMEYLSRSWSVSAEEISKVLLLKSGGSKRSFFTPADTLLPPHTAAIPETSHELVTIPAASVQHIQHQQHPDATGRCHRHSNSVTRWYSQHKETAKHDRKEKARADRAQAHAMVSVTQVSAITAGTSSDNQDSKIAAAMASATAEQVSSAVRSAVGVAGPGDLMTLTAAAATALRGAATLKKRVQREMRSNASVIPYEKAPLSWSPDIWCKEGKLLKRTRKGSLHKRGVSIYINKRSQVILKLKSKHIGGALSKSNKSVIYGVYSELPEWTEPGKGLPETCCFGLSTAQGLIEFKCESTTSKQSWVHGVQNLLQQVDVADQVGHRLETLKLNWCS >SECCE4Rv1G0296490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903284977:903286254:1 gene:SECCE4Rv1G0296490 transcript:SECCE4Rv1G0296490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEALSMSGATAIPAEYVRPQEERHGLGDAYDQAAASWSAAGSPRIPVVDVASFDAADPASPASLAVVDAVRAAAEEWGVMHVAGHGIPEDLIDALRGAGTGFFRMPIEEKEAYANDPAAGRLEGYGSRLAGSAGEDGKREWEDYLFHMLHPDARADHALWPAHPPEYVPVTKTFGKHVSALSSRLLAILSLGLGVPADTLERRLRLTSGEAPVEDDLLLKLKINYYPRCPQPELAVGVEAHTDVSALSFILTNGVPGLQVVDSSGAWVTARDEPGTLVVHVGDALEILSNGRYTSVLHRGLVNRQAVRVSWVVFAEPPPDSVLLRPLPELVQGDGAETPRFEPRTFRQHLERKVLKKNNDQHEEKVKKLPVAAQEEEKEVATKPPVAGEEEHKVVKKEQSEQEEEAKHGEEAVAANLLVEVN >SECCE4Rv1G0253530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:627388375:627389967:1 gene:SECCE4Rv1G0253530 transcript:SECCE4Rv1G0253530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEAIPLLTPHKMGRFELSHRVVLAPLTRCRSYANVPQPHAAVYYSQRATRGGLLIAEATGVSATAQGYPETPGIWTQQQVDAWKPIVDAVHRKGALFFCQIWHVGRVSTNDFQPNGQAPISSTDKQITPDAESGMVYSKPRQLQTDEIPLIVDDFRRAARNAIDAGFDGVEIHGAHGYLLEQFMKDSSNDRTDEYGGSLENRCRFVVDVIDAIVHEIGADRVGIRLSPFVDYMDCFDSDPHALGMYMVQQLNKHQGFVYCHMVEPRMAIVDGRRQIPHGLLPFRKAFNGTFIAAGGYDREEGNKVVADGYADLVAYGRIFLANPDLPKRFELDSPLNKYDRNTFYTQDPIIGYTDYPFLEGSNVDDIIVFNNL >SECCEUnv1G0540110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78476805:78478480:1 gene:SECCEUnv1G0540110 transcript:SECCEUnv1G0540110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPPCSFSCSSYAHGSIGFTPARALRAGAGAWRVRAGAHQGGGDGVEPRPPAGRLAADGPPVVDVPAVVGAAEGFGGARDAELAMWEKLGAVVRLSYGIGIYAGMALAGRAICDMAGIDSSGGFHPSLTALVEGLGYASPPIMALLFILDDEVVKYSPHARAIRDVEDEELRTFFAGMSPWQFILVVAASSVGEELFYRAAVQGALADIFLRGTELMKDARGIVSLSGMVPPFVPFAQTFAAAITAALTGSLYYIATAPKDPTYVVTPVMRSHAGRQNMKKLFSAWYERRQMRKIYSPLLEGILAFYLGFEWIQTGNILAPMITHGIYSAVVLGHGLWKIQDHRRRLRQRVQEIRRSSDEL >SECCE3Rv1G0179890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:554649673:554649894:-1 gene:SECCE3Rv1G0179890 transcript:SECCE3Rv1G0179890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVDRRRRCPLLFCYCTDANTKLSRRRPLLLASRMRAHAAVRRLPLSSATSWMRVRVSGTTVRSTSVAPRT >SECCE7Rv1G0494950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:592616713:592621563:1 gene:SECCE7Rv1G0494950 transcript:SECCE7Rv1G0494950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGTDAAFEDEVEPTITISEYMDGIEAEELEADLVLGGDDGNECTYGAGYLKRQAVFSCLTCVPDGVAGVCTACCLACHDGHEVVELWTKRNFRCDCGNSKFGVHLCKLSPEKDPENPANSYNQNFKGSYCTCSRPYPDPEAKEQVEMIQCCICEDWFHEDHIGLDSLEKIPRDDEGEPLYEEFICHQCSPVCYFLKLYPDTIWASSKQSPASEAVTADSNGMEGGSSGHADTEKNENGAPVDHQSIENTCVEENCTKDIAASDKSILGDNSGGNCKIGVDINTTSADSEKAMPFFMSKGWRETLCKCGTCTNFYAQRGIAHLTDKEDSIEEYEKIAKQKREKKLEQQEGAEANFINSLNHVQKIEILSGINDMKNEFQSFMESFDPSKPVTSDDIKSIFKNLARKKQRLS >SECCE3Rv1G0182200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:623541201:623542306:-1 gene:SECCE3Rv1G0182200 transcript:SECCE3Rv1G0182200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGGHGGGNHPLRWTSPMSGFMLRRFVELIAGGVKTHKGFKEVHLNQVAKNCSEHFGLSITGTQVYNHLRKWRARWVKISKLRDISGSLWDDTNYVISLEEEHYLGHIKDHPKDVEYLNVPLENYVQMLAIFGSGIATRRYAMTSHQALGVPSMVETSPSFVNLEGSGSKFVDGYEPGSSATAAAHGEDVAAAHGKEPCKDASSSTSKRKRASLMSEEEVLVMSNMSDAVREVDVAIKSTGEAHPELYNSVMELPGFTEDDLLIVLDYLNENANRARSHSFVQMSETRRTRWAIHHLSKFNGGVSVPKDGMPQDGVPKEGMPQDGAPNDGAAKDGV >SECCE3Rv1G0187560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:702262240:702267414:1 gene:SECCE3Rv1G0187560 transcript:SECCE3Rv1G0187560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAWMRRQMDQILELDMEELEVEEVDDSGSSSSSDVATFLRNTHGDGEASTSGEFTFNTSRASLNTYVGEVDDTRGRFAFLDGGAVLSLPMLSLQGFVLFPEATLTLRVTLPRFAAAVDKAINHVDNPCMIGVVHAYQHVNDGHHAIASVGTTAEILEIRRLDDGSSNVITRGQQRFRLRRSWVDIDEVPWGEIQIIEEDTPLRTPRDAFGQLAASNTFKQCDSPVHSFGVSCFKQKDLMDSDLDLDSLSYTSTSSDHSVTDTGIYYSSNEDEDLMPELSWQKHGSVNEFGALSHPVKDTAIGDDDDLCFAPPESLSTVRKKDAGQQRQYRAAYNSKMAPLSFWPQWVYNMYDSYSLARKAADLWNQIIAEPGMDDYVRKPDILSFLIGSKLPVPASMRQELLDIDGISYRLQREIQLLKAFNLVRCRNCLALIARRSDMVIPSSVDQCGAHVMPLLYKGAQEVITVHNTSGLALHGNPSDAHSWFPGYTWTVALCAACESNIGWLFRADKRNLLPKSFWGVRISQTKDGTQSAKDRSSV >SECCE3Rv1G0165710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:177830712:177835201:-1 gene:SECCE3Rv1G0165710 transcript:SECCE3Rv1G0165710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRPRRAFPLVPFLVSLLAVAAYGRLISDGMPATPSSSILASPLSSAVIRLNSSSPATAAAEECEQTYGFLPCTTTVFGNMFLVLTYGFLMFKAATFLSAGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGTKETAQSQVLIGMGLLAGSTVFLLTLLWGTCVVVGKCDVGENGVAIDSTDTKGFSLTGSGITTDVQTSYAARIMAISVVPFVIAQFPKMLKTHHGERLAILLALIASFSLVLAYCLYQVFQPWVQKRKLAYAKHKHVISGILQHAQKQALGRLLNDDGSPNENVIRKLFHKIDNDDSRNLSRAELHALIIGINFEEIDFDKNDAVDKIMDDFDTSGNDTVEEDEFVAGMKIWLHEAKQKVEASGAYSNKFVNDYHARTREDHDQLVDRSDEAVESVENPGWCIAKAVGYLILGATICAAFADPLVDAVHNFSNATHIPSFFVSFIGLPLATNSSEAVSAIIFASRKKQRTCSLTFSEVYGGVTMNNTLCLGVFLALIYFRELTWDFSSEVLVILLVCVIMGIFTSFRTSFPLWTCLVAYLLYPLTLAVVYVLDFVFGWS >SECCE2Rv1G0113580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:724402385:724402951:1 gene:SECCE2Rv1G0113580 transcript:SECCE2Rv1G0113580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRMIIHMCYCYLAILLLLALLAPPCTPVSDTEATVDESRRGRAEATVADILSAHNAARRAVGVEPLSWSSGIAGYAKDYARSRRADCAPRRSSLFYFGENIVVGKGRRWDAGALVAQWVDEGRWYDHGSSSCAAPAGSSGCARYTQVVWRNTTQLGCGKIVCDSGDSLLVCDYFPPGNYGTGGPY >SECCE1Rv1G0011900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:84170426:84171635:1 gene:SECCE1Rv1G0011900 transcript:SECCE1Rv1G0011900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHDQKGVSLLVLVAMVVVAVLVQVKSVVAAGEYTDAMMKVVREQVNAVNLPGNDARPGLIRLMFHDCFVNGCDGSVLLETSRMNGPNEKTEMTSGFNIGLRGFEVIQKIKEKLAGDKVTCTDAVIYAAREAVYLLSNGKIAYNVAGPGRMDSVISRSEDPGNQLPGTGPDFAVLVKKFTDKGVFTEKDVVALSGAHAVGVAHRTNAIGAVDPTADTPQTYKTAVLQEMGTKDVVKNNVRDFNHPPPDYKVTMPAKGVLDNSFYGAVLQKMALFPSDRVLGVNAVTKVREYMNNAGTWNSDFGDAMEKLSKLAATTDPSKIEIRNVCSKTN >SECCE2Rv1G0118750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785401460:785402950:1 gene:SECCE2Rv1G0118750 transcript:SECCE2Rv1G0118750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPCDYQLAVADYWDGRPAMEPTAWVPGLPLQMTVAAPASNGDLVVSSSGRQQLKLVDEYDHYAVQVFEQAAESLKERVEEMETKMHLFPPSMGDLADHYAAPRVVSIGPYHHGRTPALQEMESTKHAAACHFVKATGRPIQEVYWAVCTVAEEARAHYDADRLRGLGDDDFKPMMFLDGCFLLQYMQFWCRESGDDEDPTADLDPALYSVFSSVDRPILSDVVLLENQLPWVVIDALVSFMPTPLDMETLIGRVKQTLQTRQVRYFDAPTLDYTPPHLLGLLRHHIVGSNNTKKSEPELSERAKELSISVSAMELAEIGIEITATKATREGAELRNMGVRRSAYLTGELFLAPLSLNDANATFLVNMAALEQCTTPDFFGEDEEKSAVCSYLCLLGMVTDSEEDVQQLRKKGILQGGAGLSNRDALDLLNKLENRLRPGTHYLSTMILIQNYKTDRWKRIQFHKFHYNHRKAIFLTFSGIAGLASFLGTLKSLK >SECCE5Rv1G0299720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19904016:19905741:1 gene:SECCE5Rv1G0299720 transcript:SECCE5Rv1G0299720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYLTSRLTGEKHAHVKTSKNRNGRPSVRLQDLPEDVLCTILSKLPAKEAVRASVLSSDWRCAWVACPRLSFSADDVSKNVKRKPLRTQMFIDRVNTVLRKHYGRALDQIEVKYIFEGKLVDHLNNWIRFSMSAHTKNLALDLAPPNNFPEYGDHYSFPLEQFTHNKTVSCLACLQLSFVRFEPPPTGFIGFPNLRKLHLHVVTTTRQDLESVLCSCVNLEWLSLLRCHLKDEMKIVRRPLSQLRYLEVVHCDITKIEFDVAKLSTFVYNGPYMPITLHHAAKLENAKFWFRGAVFQRCIASLLNGLPDVQNLTLQLGMQRLESRWTLNSPRVFSRLRHAQILLFIDREDSDKILYLVSFLRVAPFIERLEVHFHGLYTLWFATDGPSRQEIPPCEDKYVNLKDMCVTGFKGVRGQAEFLMHAVENAPAIEGVTVGTAQRLTDAWDPEEPTPRLDSAALEMVRGPLLKRLPPNAKLSLV >SECCE3Rv1G0189060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721176382:721177206:1 gene:SECCE3Rv1G0189060 transcript:SECCE3Rv1G0189060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVVFDFDKTIIDVDSDNWVVDGLGATELFDRLLPTMPWNTLIDTVMGELHAQGRTLRDVADVLRAAPIDPHAVAAIRAAYNLGCDLRVLSDANRFFIEAVLDHHGLRGCFSEINTNPSRVDADGRLRIAPHHDFRAAPHGCGLGTCPPNMCKGQVLDSIRASAAADGARKRFIYLGDGRGDYCPSLRLAREDFMMPRKGYPVWDLICENPGLLQAEVHPWSDGKDMEETLLRLISRVLVEESQLLPLDCKLESLPVPVQDGMPMLLGVKN >SECCEUnv1G0542810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:104213269:104214991:-1 gene:SECCEUnv1G0542810 transcript:SECCEUnv1G0542810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETGTGTEMERRAHARVGLLGNPSAVSLAVAGLWATVRLRPSDHLLVQPHPRHDLVAFPSLHALVDRLDGGGYYGGARLLLAICRIFHNHCKQSGIALEDKNFALSYDTNIPRQAGLSGSSAIVCAALSCLLDFYGVRDKIAVEVRPNLILDAEKELGIVAGLRRSTAALFTCQEHMDKLGHGIYTPLDVDLLPPLYLIYAENPSDSGKVHSSVRQRWLDGDEFIISSMKEVAQLAYDGHNVLLQKDYTELARLMNRNFDLRRKMFGDDALGEVNIKMVEVARSVGAASKFTGSGGAVVALCPDGDAQAELLKTACQEAGFVVEPIEVAPSALTQEELASLSSSHQ >SECCE5Rv1G0370660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:843048921:843056325:-1 gene:SECCE5Rv1G0370660 transcript:SECCE5Rv1G0370660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTIFLAALLLLPPPLSVPIVGAAATRAAGMPGCETRCGNVSVPYPFGMGPERCYWPGFNLTCDRRSSPPRLLLGDGTLQVVEDIRFDSEVTVVRQGDINLDSNGDGNGGVAVGGCSSFCETEDVDGSDFNGFVPSRHPTSMVCAGIACCQSAIITNFQDQRAGKVAHSGSYAVELRWLGRNRTADQRWPPRVYIAMEGWFEQTRFSYDLLGRGTEPSVAVPFLLDWEVSGHGAEPDRNTSSSECPAQVAHNVCKSNHAVCNKGARGYTCGCDHGYDGNPYLPGGCQDINECELSGSRCLGACINTEGSYECGCPSGMHYDNRTGGCVIPVTGSCNRSCGDVEVPYPFGMGPSYCYRPGLNLTCDYTSSNGEHPRLLLDDYGAFRVAEISLKDATVRVLGTGALASATTKYGTEFSFNGVFTADRETPYSLSTRNELILTGCNVKARLEAGEGANRSIISGCSSFCSFEDTVGATNVITSKDSKYCYGMGCCQSRISMSSRGMPNILWLQWLDTSNTLDIKSMPSYVLIAEEGWFDLALISGIPAREEVPIVLQWEVLDSGFPKPQHLNKLNYTSCHLEVERRICKSKNSNCNLGIRGFLCQCNNGYRGNPYIIDGCRGGYKPLKGTSVIIGVASGAALILLVLIGFFILSKFKYQRAQMLKRKFFEQNRGQLLQQLVSQRADIAEKMIITLEELEKATNNFDKSRELGGGGHGTVYKGILSDLHVVAIKKPKKVVQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPMLIYEFVSNGTLYDHLHVEVPKSLSWENRLRIAIETAKSLAYLHSTASVPIIHRDIKSVNILLDDTLTSKVADFGASRYIAVEKSGLTTMVQGTVGYLDPMYFYTGRLTEKSDVYSFGVLLIELLTRKKPFSYMSSEGEGLVVHFVSLFADGNMLPIMDQQVMDECGKDVKEVALLAVACVKLRGEDRPTMRQVELTLENLQSAKRYDMDAVVSDKYEKNDITRKMPLPNKDGRLSKEESTRQYSMEEEFLMSARCPR >SECCE2Rv1G0088660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:247258673:247262047:1 gene:SECCE2Rv1G0088660 transcript:SECCE2Rv1G0088660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPTNPPVDLPPLVAPPPRVKAPTPRPPPPASLQPDSPGVFFTNAAAAAPLGSAHRRIAIAVDLSDESAYAVSWAVANYLRPGDAVILLHVRSTNVLYGADWGSVTPTSPEDDAEVAARKMEEDFDALTASKADDLAKPLEEAKIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSKGFGAARRASKGRLGSVSDYCVHHCICPVVVVRSPDDAVVEGGESATAMEAAVGAEDVLHPVPEEDAEYHDAAEEHKDT >SECCE1Rv1G0030420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:427439555:427440682:1 gene:SECCE1Rv1G0030420 transcript:SECCE1Rv1G0030420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLFWLSPGEQGDLSDVVRASLHPPHQLPAPAADDEEEEEYSSLLLEGGSGGGGMVVGHGHGDEQLGMVAMMMGGNTSQPPSYDHHVISLHSPPAMTYTRPHPEPLAGMLRRPGIEREGDMVVAPPPEIGERLQHMSIVHHPRVPAAMKPRKSQSKKVVCIPAPTAAPGASGRHSTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPNMLVITYTSDHNHPWPTQRNALAGSTRPSSSAAATARTAASSSSSLAAAAAHNSSNTNVDVDCAGAHHRLKQESDLDLFADMDALNVFSSIDKIQEDDSKQQLFDPFSSGFCDYI >SECCE7Rv1G0492760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:556712192:556714684:-1 gene:SECCE7Rv1G0492760 transcript:SECCE7Rv1G0492760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLRLAGAARSIPRALAPAAPHHRRLAASAAALAEDDACWTEWEEEEEERRQRARASAPAAESCPADGGPRGVQWVVMGRPGPQKHAHAARLAEVLAVPYISMGTLVRQELSPTSSLYKKIANSVNEGKLVPEDIIFGLLTKRLEEGYCKGETGFILDGIPRTRMQAEILDEIVDIDLVLNFKCADNCFMKKRSGGDICSHCGQRFDVSNLFSRERNLSLGSSTWHAQAQHAPVIGLENPRMEKMRAYAEQTKQLEDYYKKHRKLVELKTSARPGETWQGLVAALHLQHLDPSPTPHKLTV >SECCE2Rv1G0073830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:71783652:71786318:-1 gene:SECCE2Rv1G0073830 transcript:SECCE2Rv1G0073830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNYDDDWVLPSADITLVLVGKLGYGKSATGNSILGREAFVSEYSHASVTNTCQMGSTMLTDGRTINVIDTPGLFDMTVTPEDAGKEIVKCMNMAKDGIHAVLMVFSATSRFSREDSSTIETIKVFFGEKIVDHLVLVFTYGDLVGENLLKNMLSNAPEYLQKVVQLCKNRVVLFDNKTKDPRIQTKQLETLLDVVDSVSANNGGNPFTDQMLTRLKEVHDREKEVHDALGYSEDQISELKKEIHRTRDEQLANITAMVEEKLNITVEKLQVQLMEEQNARLEAERVAAEARLKSDEEIRKLKERLEKAQEENEEFRRLAATNKCAIL >SECCE2Rv1G0102290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:576021528:576022683:-1 gene:SECCE2Rv1G0102290 transcript:SECCE2Rv1G0102290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRPCAVVVLACAAAFLVTVGAQPMDNPILSDPNVVPVYMSPGAQPTVVSCYNQSSSSQDPECMVPVRRCPAGCRDLCYVHCPSCKLVCMCELAGTECYDPRFVGGDGNKFLFHGRRDADFCLLSDANLHINAHFIGKRNAQAARDFTWVQALGIRFGGHRLYLGVKRTVTWDNAVDRLVITFDGVPVELDAAPAASWSPASTPALSVFRIGAANGVVVRLDGRFRIVANAVPVTEEDSRVHDYGLTADDSLAHLNVAFKFNSISADVHGVLGQTYRSDYVSAGVDMGAKIPVMGGAGKYQVSDIFGTDCEVARFAGEDVVRVGAVDMIDEPADTMCGSGKGSAGLVCKK >SECCE6Rv1G0388650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:141239278:141245144:-1 gene:SECCE6Rv1G0388650 transcript:SECCE6Rv1G0388650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGTDRSGAWRGSTARGGFGGGRRPPMVNQARNMVWQRPDPPSAATGGARSDGGDGADRWEAAARAMGGVPKETTANKSAPPHPSAGRTASTTAPPHPSAGRTASTNEICINCSLPGHFAPRCPTIRCEKCNKLGHMAQLCQTLRPWECVPFMCGFQSPGQGFFYIPDLCAAKQNSEKTNNVVITIVEGSATVKDIEQEFNAIFAMKPGKKKWRCTARSISPTQFIMRFPSASEVERACCYGKRMPLMGGELVVSITPWTASIGAKGIMEKAWVRNIPIEKRCMEHVAYAGGLVGITLEVDEATLHKPEYARILLGCREVEKIPPSAEGMLGEQYYDFFYEVEKVVSIGNGKDQSSIAVDSSASPSLHKKARMDSYPASSNGQGETSTSIAGNFTHQYGKSGQKLAAVVESEEEEESDGGNHTELLIETMARELKSGNIPNSDIQEDAVVSGKITEARKEKELSETPTSELNIKKGAWGVITPIPPSPLFLCQDEKTYSDVCSPLSDYIAWPSLPHIVPLDEGTVEGGGCHSTYTVESPSGSPIHITRDQEDPAPRRQMQKLACVEEVATNRMKKRDAEGLLKEDDRMKLQEGVKRLARAAAILADRPGLRGRLTSG >SECCE7Rv1G0515170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:838623972:838625030:1 gene:SECCE7Rv1G0515170 transcript:SECCE7Rv1G0515170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENACINFTAVARSVRLLKIDGFSLTESMDHDDCVRSRWAFDGYDWEVRVYPAMTSSAGGGAGGGYVVLEVAFLSKPRKGSVRAAIGCRLVDPRGILKPSNEISISKVFSQPQECSTKAAIVGRRALAASAFLRGDSFTMECTIEVLKELPDTVTDPVEEVPVPSSNLGRHLAELLQSEAGADVTFLVSGESFAAHKSILAARSPVFMAQFFGDMKDKCSQSVVIEDMEAAVFKALLHYIYTDTVAEFDEKGEEVTMLAQHLLAAADRYGLDRLKLICEGKLSDGINVDTAATSLALAEQHNCPRLKAKCVQFIIRNREVLDAVLATEGYKYLAASCPLVLADLLKSSLRVG >SECCE3Rv1G0201730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:867018590:867020644:1 gene:SECCE3Rv1G0201730 transcript:SECCE3Rv1G0201730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTSPLQIYFWCILLFASVGISTSLPLERDALLDIKSHVEDPQNYLSNWDESHSSCQFHGVTCDEISGEVTGVSLSNASLSGTISPSFSVLHQLRTLDLSANSISGIIPAALTNCTNLQVLNLSINSLTGQLPDLSSLLKLQVLDLSTNGFSGAFPAWISKLSGLTELGLGENSFDEGGVPGSIGLLKNLTWLFLGQCNLRGEIPASVFHLESLGTLDFSRNQMTGVFPKAISNLRNLWKIELYQNNLTGEIPPELAHLTLLSEFDVSQNQLAGVLPKEIASLKKLKVFHIYRNNFYGELPEGLGDWEFLESFSTYENQFSGKFPANLGRFSPLNTIDISENYFTGEFPKFLCQSDKLQFLLALSNNFSGEFPTSYSSCKTLERFRISQNQFSGSIPHGIWGLPNAVIIDVADNGFIGGISSDIGISVTLTQLLVQNNNFSSELPMELGNLSQLKKLVASNNRFSGQIPAQIGNLKQLSYLNLEQNALEGSIPPEIGLCNSLVDLYLAENSLSGQIPDTVGSLLMLSSLNLSHNMFSGEIPDAFQSLRPSYVDFSHNNLSGPIPPQLLMIAGDDAFSENSDLCVTDTSEVWRQSGTSLRPCQWIDNHHSFSRRRLFAVLIMVTSLVVLLSGLACLRYENNRLEDFNRKQDTESGHDSDSKWIVESFHVRGVNPSAALPVPMVT >SECCE2Rv1G0104380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:605545254:605546502:-1 gene:SECCE2Rv1G0104380 transcript:SECCE2Rv1G0104380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSSSNGVPPGFRFHPTDEELLLYYLKKKIGFEKFDLEVIREVDLNKIEPWDLQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKSDWIMHEYRLEEIDEAQGGTSEDGWVVCRVFKKKCFFKIAGGGEGSSSQSADAGAGHMAVSSPLGGHDHADRAAMASHYMHGMHPQYHPQHASSFYYSQMQPPEAAYSHHVQVQDLLTNHRPAADAAGSGYDFSGLPVEHPGLDVGSSDGVTADGLAEGRDQTNGAADQQWQAMDGFSNGGSAAAVQQMSAMNSGQRGGEMDLWGYGR >SECCE5Rv1G0319780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:378688620:378689307:1 gene:SECCE5Rv1G0319780 transcript:SECCE5Rv1G0319780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVRSGLRGRSVVVPLIPCPDCGEQVKFYRSGTDEHEGWVFYRCSKHQVTCDFWRWELEYVQHLVEHRVLVGDAAVDAIGSAEDKREELERKRTEAMNTKSIAGRGMAGRGVGRVDSGSFGTPSEKNFATKQQVAMLIGLGREILLLLKLVMAAIMVLCVLCFIVVMK >SECCE6Rv1G0378570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9405436:9405924:1 gene:SECCE6Rv1G0378570 transcript:SECCE6Rv1G0378570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMVVLDTWQYGWHRLMHSSSLLYRHVHSWHHRLVAPYAYGAQYSHPLEGLILDTAGGALALAVTGMAPLTAAAFFSFATLKAVDDHSGVLVPGNPLHLLFRNNTAYHDVHHQIRGGRCNYAQLFFVAWDKLMGTYVPYKVVIAQHGGLEAVPLKKKKMMT >SECCE6Rv1G0415500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:641994463:641995220:1 gene:SECCE6Rv1G0415500 transcript:SECCE6Rv1G0415500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTVQQSNATENPIDQSNWPSHARRCRTDADIESGGQKKKGRGVLKGIKVAKKRFANGSSKLHIEFSKTLGGSIGENYRSFVDDVVVYMKRKAPLIGVNKWSAIDSSVKDSIVADVIAKWDLEDTYSTKGKILTIARERYRGWRSTLHSTYKAYDTDAQRRANKPEDVTPEEWDYMINYFGTDLKFQVSSM >SECCE2Rv1G0128500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:861562473:861563337:-1 gene:SECCE2Rv1G0128500 transcript:SECCE2Rv1G0128500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVKRVAFEGTLTGRRFYGCPVQANGVNCGVVEWVDGPWPPVLQRCLSKLWEMFHDQNCGRVLDKEKFEKELAKVNSEHERELAKLNMENDKLWIDYTKLVDDVSKMFDWQDGRVDKRVYQKQVEDEELDKKKKELEEKAMLEVQMEKLKLAKEQRCILQSQADIIKNTRKAMKDVEVDRDVLKKEKAKLELVVAELLKEGYGSKEKLEQIKTILKS >SECCE1Rv1G0010630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:64342239:64347875:1 gene:SECCE1Rv1G0010630 transcript:SECCE1Rv1G0010630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAQPAPAAAAAAAGNPHKRKNKGTGNPNKKNKKLKGPDDASRRRRKPSAKFLKLLEKRARDYNSDDDDAAPQVRPRKSQPRPPPKQRDAAPDGGDDLKEAPSSEEEASSSGGESDGEKAVTMFQEGCRAFQAAFTKIMEKKLADNPLGPILSADKKLVAAKLAEEADEHKSKGESRKEKRLAAQKGHKIPENAIDNKEKMLIKVATQGVVRLFNEVSKRQTRKGLNPSRSKDVKSLAKHSVPSNEGQQGQASSKFSKNIGKGEDEPGWAPLRDTYMLGSKLKDWDKAQDSDVAKPSEVPLDNFSDDE >SECCE4Rv1G0240150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:440004329:440006548:-1 gene:SECCE4Rv1G0240150 transcript:SECCE4Rv1G0240150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGARRSFPRLAAAVRTPVDVPRMPAFDHVPLPYDGPSAVEIARKRAEFLSPSLFHFYSKPLNIVEGKKQYLYDEHGRRYLDAFAGIATVCCGHTHPDIIDAITAQANRLQHSTVLYLNHAIADFAEALAAKMPGDLKVVFFTNSGTEANELAMMMARLHTGSHDIISLRNSYHGNAPGTMGATAQKNWKFNVVQSGVHHAVNPDPYRGAFGSDGEKYARDVKEIIEFGTMGHVAGFISEAIQGVGGIVEVAPGYLPLAYDAVRKAGGLCIADEVQAGFARVGSHFWGFETHGVIPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRSYFNTFGGNPMCMAGGLAVLKVLDKERLQENAFIVGAYLKDLLRGLQEKHQIIGNVRGTGFMLGVELVTDRQLKTPAKDEICHAMEHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDADFFVDVMDIALSKL >SECCE5Rv1G0324810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:456856828:456858760:1 gene:SECCE5Rv1G0324810 transcript:SECCE5Rv1G0324810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCLFQEDAKRAPKLACCPPTMQQHEANSGNPASPRDCHIPNFMHLNWNPMNSSQPIDAWFLQLQPNFACHKVLSGDHLNYMGGEANAKKVDSFSPVSTLDDINTKKSECPSEPPWMVSTAFMKPTSEAPCEGCPQASLKCRGNSNNLLREDKEFMEFKTFDPLFSKKPQKACYEMNPPWEQDRKSQPWWQVADEEGLASLVAERAMQHIENNDLPKPTQIVRIHGATLSGHENKDGCGNLSSSGKESQPQLHDTMMCSYSLSSTNETNSSDGGGWQQSHKNDAHGGTQDSYSSGDHTPGSKPAYQNQKDAERAQLLDALRHSQTRAREAEVAAKNAHDEKDHVVKLLFRQASHLFACKQWLKMLQLENICLQLRLKEHQIAAMFPELPWTAMKEEKADPEGERKGRAKKKGRKQNKEGGFCKAIMFAVGVGIVGAGLLLGWTFGWLLPRL >SECCE2Rv1G0064170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:1951807:1958963:-1 gene:SECCE2Rv1G0064170 transcript:SECCE2Rv1G0064170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISMREVDPVFKGAGQKDGLEIWRIEKLQAVPVPRESHGKFFTGDSYIILKTSALKNGSFRHEIHYWLGKNTSQDEASIAAIKTVELDAALGGRAVQYREVQGNETENFLSYFKPCIIPEEGRAASGCRQAVVNEREYVARLFVCKGKHAVQIKEVPFARASLNHDNILILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDANHEGKCDVAVVDDGKLMADADAGEFWGLFGGFAPLPKKTFSELNGKDNVFPSKLLCVNEGQTLPLDCEDLTRALLDSTKCYLLDCGSDLYVWMGRETVLEDRKRAGLTAEELLRQGNRPTSHIIRLMEGFETVIFRSKFSKWPKKVETVVSDESRGKVAALLKRQGFNVRGVGKAVSLKEESQTHIDCTGNLQVWRVNDCEKTLLSFYEQCKFYSGDCYIFQYSYRGDDGENCLIGTWFGQKSTEEERSSATSLAHMMVDSLKFQAVLVRLYEGKESMEFFAIFQNLVIVKGGASTGYKKYVSENGTGDDTYSENGVALFRVQGSGPENMQAIQVDTAAQSLNSSYCYILHDGDTLFTWVGNLCSSMDHGLAERQLDVLKPNLQSRLLKEGSESDQFWKLLGSKCEYPRQKIARDQESDSHLFSCTFSKEINAGLRMLQAREIFNFMQDDMMTEDIYILDCHSCIFIWVGRNVDTNIRAHALSIGEQFLELDILMENLSQETPLYVITEGSEPQFFTRFFFTWDSAKSAMHGNSFERRLSIVKNGVKPIRDKPKRRPTSSSHTGRCIVPDNSHRRTTSSSPDRARVRGRSPSFTALAANFENPNARNLSTPPIATKPSPRTTPRTSPEPVKPHQRADSIAAISALFEQPRPNLIPKSRKGRAVNKRQPEASKPEPEANAVEDGQTVTAAKQEDAKEGQLEGLPEYPYDRLRTCSTDPVTDIDQSKREVYLSSAEFREKFGMTKEAFAKLPKWKQTRLKITLQLF >SECCE6Rv1G0402320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:483144937:483145474:1 gene:SECCE6Rv1G0402320 transcript:SECCE6Rv1G0402320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNASYFHAFGNPDFAAVFSDGSAQALRPSPSTGDRDGASVKAEKGAAVARPTTTPSSVTFVVPDEELGEAHHFLNECSRCHKGLTGDIFMYRGDTPFCSEECRRKQIETEKARHRRKKQNSPKAQAQAAAAAAAAAERESAPQVRRPQPQ >SECCE3Rv1G0168500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:222525741:222532793:1 gene:SECCE3Rv1G0168500 transcript:SECCE3Rv1G0168500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTAVEESDGGGTGNPRGGTSAAPPEPPKRQGAVVAETDDTSAEQSCKHFSICGYVSQKMDAKFCSLSQSFSAHQQLDERHNSLCPFSVAKFRRWDCSVCLDEVKILDDRTTSREVFTAKNVSRDGCSIRFVRSTLVPTSVDFRSLFPCAQLLAQGKNADRSELLKSNLENNSKCNSPYEANTDPPVKDLQAPSTNQVTIANISDNASVDIMALPENSQIRASKEGNAIAIPSSSKLSEATMKLNAEENGENKEVLSVDHTIPKVAKPISGQKGDQVCNSGPCEEAAQKRSIGSTCKKKKGKPTAQVGSSDVKVGRRKQIKLRLLSEIINTDPAGGSRTDIEVNCEKVADLCEDDRSTDDALSVDHQPVREILSKTTKNVAKDKGVDVEDDESSLMNWMKRIPKRLRTEKKDLEHKDCDSSASKYTSDVFASKDTHQDFPSSGWKLSKKNTLPTTSMQQGDANIQNDNLERNTQSMDDMRRMEAVNSTERSLSNVKTISLSKRKIPPTASVQHDGIVPVSRQMLREISSKTAKKKTKYKGVDAVDDEASSLMDWMKRIPKKLRTEKKDTEYKDNDSSAANSKYTTVDKVALKDVQDDFISSAQELSKRKIPPTASTREGDENIQNDNLERDTQNTNGMCETESENCRQRSLSKVKKMSLCKRKIPSTVNAQHDDVNTEETAVLRTDDQCQMVPRKSVQRRLKKVSPGKHVIRNVTAFEQKPPKKRNKQKQEVMHEKPAMTDDIPMDIVELLARCQDKRPLITDTDSADISHTQSKIMEDEDCTIIAAEDGPNYVSSMIDTTSQQKRPLEPDSYQNALQNRVAATTQATHMHALKLQTPGHVMSTQESQAHSGMGELVTIAATSPLLSQDKDQPLAEATPERWNHMGAKKSMWEPFKAVPRDLSTATGCAQFRPSIDTVDLTYTDVAGANHYYPTRQPVISTLDHYTNRAVNQVQARSFPSSLSTMEAGNLCDGRNVGHSGFYQRETMPATHPLRSTESPMLASFNYEGSTRNQMEFQLRNSHYAQDQCIGSASTPYGAHNQYIASASTMCGAHNHYIGGSTSSLCGAHNQYTGSASTPYIGSASTPYGSNLNGMGSASTPYGSNLNGMGSASTSYGSNLNGRIPLTLEDLSRHPLQPNLHRPLRPLPRVGVLSSLLQKEIADWSESCGTQSGYKIGVSKGITSIDINRRGNVEALNSGTYSAAWNDRRLSSVSSSPGFSSVRNGTAQSWTRDQGRMANPNPLDRLVRQDICVTNRNPCDFTTISDDNEYMRADI >SECCE2Rv1G0111910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:704836975:704845511:-1 gene:SECCE2Rv1G0111910 transcript:SECCE2Rv1G0111910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSTVYFGHISIGEVELWPKGETNVAAAPWVREIRVDRLSPPSERCLPLAVLHTVSSGAPCFVMESRPSPATADDEPPSSLVAMHTACLRDNKTAVFPLGAEEVHLVAMKPKSNLPNHACFWGYKVPLGLYNSCLSMLNLRCLGIVFDLDETLVVANTTRSFEDRIDALQRKLSKETDSQRISGMLAEIKRYQEDRSMLKQYIDGDQVTDGGKVYKVQSEVVPPLADNHQPMIRPVIRLQEKSIILTRINPSIRDTSVLVRLRPAWDDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLPNRLVCVKSGSKKSLLNVFHDGSCHPGMALVIDDRLKVWEEKDQCRVHVVPAFSPYYAPQAEANFPIPVLCVARNVACNVRGSFFKEFDEGLLPSISEVHFDDELNHVPSSPDVGNYLISEDENAASLNVNKDPMAFDGMADAEVERRMKEAVCSVQAADPVTTNVDVISVAANQQFATSSSIPLAPPLGMVPLNNDQSPQPPSVSWPDAQSGMVDPLQGSPGREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPFAAEPSVQASVPPVQSQGNWFAVEDEMDPRNLNRTSTDFHLESDAVHSDKSQPPHQPYFPARDNPIFSDRLNHQNQRYSSQLPHSEDRQMLQNQAPTTYRSFSGEDMATQRFHPGNRSSQMESGRQFVQYTETSGAVLEEIAAKCGFKVEYRSTLCDTTELRFSIQIWIVGEKVGEGMGRTRKEAQREAANISLRNLADRFLSFDPDKMTVPMDDGFSSNPNSFKYRGIDGDNIVPIASTSDGSRYVHERVDNSTKSAGSVAALKELCTAEGYNLVFQAQPSPLDSLRREEVHAQIEIGGQILGKGVGVTWEEAKVQAADGALGTLRYMLGQRPQKRSGSPRSFASNYTNKRYKPDFQPMVQRIPSGRYSRNDSRVP >SECCE4Rv1G0250580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:593886357:593889488:-1 gene:SECCE4Rv1G0250580 transcript:SECCE4Rv1G0250580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAPRAGGEPMKQRVNRCLHRLSDRDTEAMAANELDAIARGLDADELPLFLAAVSDTRATDKAPLRRHSLRLLALLVAAHPRDAVAPLVPRLVAAALRRVRDPDSSVRAALVDAARAIAGAAAPPAALGPLADAVLHEQDQCAQLAAALAAAAAVEASAPTSDLADYLLALLPRLLKLLRSAAFKAKPALISLIGAASAATDGEGAATAVPCLRDALAGDDWAARKAAAEALALLALEHGDDLAAHKPSCIAVFEAKRFDKVKIVRESMNRMIEAWREIPDAEEDVCSSGASPAQTRSPSLADSASDGRYPADSLGSNSVQSVTKRNMLPASRSPPSVSNRRTSPSIRSKKSSPPSRGGDADQAKKYDYKVDVTVAADATPIKTVTGEKLLKEGNVRARLEARKMLFQKSGEKGYNKLAGRKSGSRVVPYNNEDGDSEESTEVEDDGPEEFQLDHSSEEELQLVHKDEDLSKIRMQLVQIENQQTNLLDLLQKFMGSSQNGIRSLETRVNGLEMALDEISRDMAASSGRMPSSEPDMNCCIPSPKFWRKNEGGRYTLRYPFSDQANHPEESRASHKWERQKFGVQGGFVTNPLAEQNTSYVRSTLVSQEGRRQNSAQYKSR >SECCE4Rv1G0264700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:721904785:721905699:1 gene:SECCE4Rv1G0264700 transcript:SECCE4Rv1G0264700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAGGLSWEPHHDRASLFRPRSPPRYGPSLDAEFVRYDPASLPNALLAATLVRRNYVVEAADVDRLRAAASGPTRRATRLEALSAHVWKLLAAAVGGSDTHCRMAWLVDGRRRLDPAKYNKAHVNNYLGNVVTYASREAAVETVRSSPLADVATMAAAAIAEVFRQERYEELVDWMEAHKGVFAEGGKWTEAVGLGTGSPALVVSAFVPFRVEGDFGFGPPRLVMPWVRPGRLGSAAMTVIRSPAGDGSWLVSARMWPRLADAVDADPEAVFKPATAERLGFATRCRGKLVATETAQHAVSRL >SECCE6Rv1G0406610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:547362819:547368221:-1 gene:SECCE6Rv1G0406610 transcript:SECCE6Rv1G0406610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIEYRPIQPSDLEVLEKIHLSLFPIRYEREFFLNVVNGHGVISWGAVDTSRSDEGRDELIGFVTTRMIAAKDSEIEDLFRYNNSHKDLTLLYILTLGVVDSYRNLGIASSLVREVIKHAASVSNCRGVYLHVISYNQPAINFYKKMLFKLVRRLPMFYYIRGQHYDSYLFVYYVNGGRTPCSPLEIVTSFVVDFRAFLKMLVGKFWSKEEKSIPRWSHCKESTTLLSSQNNSNNNKRIIAGEDSRCHV >SECCE1Rv1G0042220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:578649681:578651261:-1 gene:SECCE1Rv1G0042220 transcript:SECCE1Rv1G0042220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDELLHEVLRRVPPRRLAACRRVCRNWRDAIDGRRLVLEHLAPGPLRGIFVNFFDNKRHGFFSRAATPPIDGSLGFLPNTSRAWRDRYCHNISDHCNGLILFRNGRVTYVCNPWTRRWETLPWPAEGFPHDGEHLVFDPTLSLHYRVMCFPRVPKKPSPPVYPPPAKRPRGRHSLVTWTTTYVENLPLSLRESYEQELKSVGSMEWPPYSYAVQMFSSATGQWEDRHFVRQSSAVTTVSGMWSNPLADGVLGCHTAVCWQGAFYIIRSGGFIMRFSLLEDKYVTIKTPTLAQNLESHTNDHRYRITPYLHLGKSKQGLYLTAHEGYELRIWIMHGVSESCHMPEWELKHQVHFKPSFSRFYTSNNTRKEVESWILDISEEGLYDRGDQGWDSADDSIIDAEGGIGNNLQGETKKCYGVHLLGYHPYKEIIFLGNRYNGFAYYLGSSKLQYLGKLYPAHDTLRIINSDITSFIYTPCKDDLLPAQNDR >SECCE4Rv1G0223570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:71902055:71903443:1 gene:SECCE4Rv1G0223570 transcript:SECCE4Rv1G0223570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGPAPAPTAAAAAVPVGGASATILDSLGEDITRIVGPVSACMLIVVLLVSLLSSPSSPSPLTASFAGGPGGGGGDDLASALVTAVVFVVFVTAATFLMALLFYFRCTPCLRAYLGLSAIWILLLLGGQMCLLLLSRLRLPLDVVSCALLLPNAAAALAIAAISPASVPIALHQAALLAIAVLTAFWFTLLPEWTTWALLVAMAVYDLGAVLIPGGPLRVLLELAIERNEEIPALVYGARPVDPRHGQNWRLWRERARQPGGDLDPNSTVEVIGEVLGRNPLLNSGGNSPNSTTQAGEQTNLTGAVGNSRLRESPVPDLSSGSANAQAREALALPETRLDIAELRVPLIQPQPDRTSDDDDDDEDGIGLGSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLAFYRKALPALPVSITLGVLFYVLTRTLLETFVMQCSTNFLMF >SECCE1Rv1G0032990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:461888130:461889247:1 gene:SECCE1Rv1G0032990 transcript:SECCE1Rv1G0032990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAMAGMREMAIFYSQERSFFHRLVRDLGQEKEHMRWVIALWLWFEADGNDKFMRRAAALPGPVVLRFVDEALACLARLAGRELAGACTLLPCTNALLNRPIDDVTYFDENRDMIMPRVKLVYKTVCRVVLDDACASDNAVFFPRSSTASVPRAIGTPVFACASPPPQTPTTPRFSDLNAIVTPRFSQLNAMAPPWSPIRVAQPHQPQPQPQHPHQPQQPMMMIVDRLPEEFRSLFITFSRGYPIDKDDIKEFFNSLHGPCVDDVMVERAAPGQMPVYGRVVLQSAEMIPVLLGGEPTAKYIIKGRHLWARVYVPCSRQTFQA >SECCE7Rv1G0498630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:642789345:642791024:1 gene:SECCE7Rv1G0498630 transcript:SECCE7Rv1G0498630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEMGARVAVVVGGSVAGLACAHAAAGAGWKAVVLEKAAGPAAGGGTGAGLGLDAQSMETLARWIPGWGLDAATLPLAVDLNMATDSETKAARTLTRDDGFNFRAAHWGELHRRLHEALPAAVTVHWGHQFLSFEVSDTDGDGGKRGGVVATARVLGTGETVEVAGDLLVAADGSTSSVRGRFLPDLKLRYSGYCAWRGVFDFTGKESSDIVTGIRRVYPELGSCLYFDLAEKTHAVLYELPGNRLNWLWYINGPEPELTGSSVTMKVSDATLEGMQDDAERVWSPELARLIRETAEPFVNVIYDADPLPRLSWAGGSVALVGDAAHPTTPHGLRSTNMSVHDARVLGECLGRWGETPPPRALDEYEAARLPVVAAQVLHARRLGRLKQGLPVEDGSTGAFDATAATAEEALQLRQRGMPYFDGAPSVDGGSL >SECCE2Rv1G0075090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:82856256:82857644:1 gene:SECCE2Rv1G0075090 transcript:SECCE2Rv1G0075090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKELQQAWWFDSHNLARTSPWLGSTLSELDDKTKQMLKLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQYDLLKHGSGTCRSKFNPSPCTKSWSPGSMDGKATKSWSSGSMDGKTTGSSSNSVCSDSYDSESEVDDPEEEHVEKVSDAKAVAEKHQAEQEAELMRAEIKRLSEQNAELQKVIEENKVAHKSELAAKDEEKREVIRQLASSIDMVKQENYTLRELLKSPNPKHHPAATAQPRGFDLRKLTKDLFSAKLFTGQRKHSGPMVAL >SECCEUnv1G0566400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:404456015:404456704:1 gene:SECCEUnv1G0566400 transcript:SECCEUnv1G0566400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRTITCLFTMLLLTPAIMAADPGPLQDYSMANLDDNAIRVNGYPCVPLSEAGDDLLFSSKLARGGNTSTPNGSAVTRLDVTEFPGENTHGISMNRVDFAPGGTNPPHVHPRATEIGLVMKGELLVGIIGINESENRLYSRVMRAAENFLIPRGLMHFQFTFGDTENTMFVSFNSQNPDIVFVPLTLFGSDPPIPTLVLTRALRVDAQIVELLKSKFAGGSLSLGEY >SECCE2Rv1G0098000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:473441859:473446134:1 gene:SECCE2Rv1G0098000 transcript:SECCE2Rv1G0098000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGGILAAVVCALLVFAIFPLLLWRRRSDATAAVDNHRLPPQPLQGERVLRGGAAARRMRRRPAAASSSAASTSRDVAEDESGSEEEDPNVPKGSKKERKRQEREEREAQRQANEAARNSRRTNQDRYEEMRRKKDEEREAQERLLEEEAMARKAKEEEAAALEFEKWKGAFSVDAEGTTESETQDGGQGLLHNFVEYIKKQKCVPLEDLAGEFGMRTQDCINRIITLEGMDRLSGVMDDRGKFIYISIEEMQAVADYIRKHGRVSISHLANNSNEFIDLEPKPLYDEKEESHQDESAPAGVVAEL >SECCE1Rv1G0010080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:59643118:59645813:-1 gene:SECCE1Rv1G0010080 transcript:SECCE1Rv1G0010080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITQILLAAQSPDGNLRSVAEGSLKLFQEQNLPRFLLSLSVELSSDQRPPECRRLAGIILKNSLDAKDCSRKELLGQQWVSLDLLIKSRIKESLLLTLGSSVPDARQASSQVIAKVASIEMPRREWPDLIAKLLGNMTQQGASAPLKQATLEALGYVCEEISPEHLEQDQVNAVLTAVVQGMNQAELSVEVRLAAVKALYNALYFADSNFANDMERNYIMKVICETAVSNEVEIRQAAFECLVAIASIYYMHLEPYMQTVFNLTSNTVKGDEESVALQAIEFWSTICDEEIQLQEEYEGYDDADSSANFRFIEKALPSLVPMLLETLLKQEEDQEQDDNVWNISMSSGTCLRLIAKAVGDAIVPLVMPFVEANITNPDWHCREAATFAFGSILDGPSLPKLAPLVHAGLDFLLNAMKDPNSQVKDTTAWTLGRVFEFLHSPTSVNPVVTSGNLTRIMTVLLESSKDVPNVAEKVCGAIYFLAQGYENAADSVSSVLTPYLTSVITALLSATDRVDTTHFRLRSSAYEALNEIVRVSNIDETASIIGQLLQEVMRRLNLTFDLEVFSSGDKENQSDLQALLCGVLQVIVPKLSNSDVESIITENADQLMFLFLRVFACHGSTVHEEAMLAIGVLADAIGPDFVKYMPEFFKYLEAGLQNHEEYQVCSISVGVVGDICRTLEDKVLPFCDGIMTVLLKDLSNPMLNRSVKPPIFSCFGDIALAIGENFEKYLPYAMPMLQGAAELVVVLDQSDDDMVHYGNQLRRGIFEAYSGILQGIKGPKAQLMVPYATHLLQFTEAVYKDGSRDESVTKAAVAVLGDLADTLGPISKDLFRNHLFHVEFFRECLDSDEEVRETASWAQGMINQAVVA >SECCE2Rv1G0103200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:587306725:587309161:-1 gene:SECCE2Rv1G0103200 transcript:SECCE2Rv1G0103200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAPIGANEIRITAQGRTRNYITYALALLQEEATDEIVIKAMGRAINKTVAIVELLKRRIAGLHQNNSIESINITDTWEPLEEGLNVLETTRHVSLITITLSKKELDASSPGYQLPIPADQVRPPTEFDQDAEDVPSGRGRGRGRRGRGRGRGGFSDGGADYDDENGDMEGPRGYRGRGRGRGRRGSFGPGRGYGGDGYGYVNEEAGGYHGMEHNGPPVQGYEGDGGRGRGRGRGRGRGRGRGGGRGRGPFPQGPPQE >SECCE2Rv1G0083520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:168047346:168051121:-1 gene:SECCE2Rv1G0083520 transcript:SECCE2Rv1G0083520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALMSCMSSTSPSDSPPQAKRRSSASSRRGGGGAKAAEIDEQALAAAAALVLGQRGGGGGFDRSASVRYAAKRQQQGPPLPRSSSTRPRSLADPELQPQQLIAKDLNTKDLETNIIVLVHGGGFGAWCWYKTMSLLEDSGFKVNAIDLTGSGINSSDTNKISSLSEYAEPLTSYLKGLGDAEKVILVGHDFGGACISHAMEMFPSKVAKAVFLCATMLTNGHSALDIFQQQMDTNGMLQKAQELVYSNGKDRPPTAINIDRASVRDLLFNQSPGKDVSLASVSMRPIPFAPVMEKLTLTEGNYGSVRRFFVETTEDNAIPLSLQQSMCATNPPEKVLRLKGSDHAPFFSRPQALHKTLVEIATLPRAQAS >SECCE5Rv1G0351170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:697093514:697095987:1 gene:SECCE5Rv1G0351170 transcript:SECCE5Rv1G0351170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRSARGRHLLLCLLALLAVAGNAASAGSGAVVVGKKSAVLSLRELEWGAAPRKIQGRYAQQSKAQILGEHRKAEGASRATTVLELKHHSPATISNQPAGTEGHLSRLLAADAARAASLQLPRAASIQSRQAAAQVPLTSGIRFQTLNYVTTIALGKDSSGAAAKLNVIVDTGSDLTWVQCKPCRACYEQRDPLFDPASSATYAAVPCNASACAASLKEATGAPGFCARGGGGGGGSERCYYGLGYGDGSFSRGVLATDTVGLGGANLDGFVFGCGLSNHGLFGGTAGLMGLGRTELSLVSQTASRFGGVFSYCLPATTSGDSTGSLSLGSDSSSYRNTTPVAYTRMIADPAQPPFYFMNITGASVGGAAVTASGLGAGNVLIDSGTVISRLAPSVYHAVRAEFARQFSAAGYAAAPAFSLLDTCYNLTGHDEVNVPLLTLRLEGGADVTVEAAGMLFMAKKDGSQVCLAMASLPYEDQTPIIGNYQQKNKRVVYDTVRSGLGFADEDCSYNL >SECCE2Rv1G0098760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:493924973:493929311:-1 gene:SECCE2Rv1G0098760 transcript:SECCE2Rv1G0098760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEHFFPGEYFSSGLAAPFLGASYVQPPRSGFEAAAAMAFGLPWADQHLPLDSSATTAHFDSALSSLVSSPASATAGGGLGFAHGGEDNVAIGDLIGRLGSICNGASANNSCYSTPLSSPPRGAPQPFRGYGAIAALETGRLSRASSSKSLVGNTSGVAATAPLDQSAQLEMSPETDSQPAMQDPPTKKGAAGSARKRKAAPAKGKAKAFLPAVEPSCSMAAINTSPPKRPRVADGGNDENAGAVEEEEKAEPAKDYIHVRARRGQATDSHSLAERVRREKIGERMKLLQSLVPSCNKITGKALMLDEIINYVQSLQRQVEFLSMKLSTMNPQLELDEQHVLSKEMDQMAVPGFSYAGSLAVAAGHGHGAELEGTFGWEQDLQSMVQMGAASGSHSQEPQAFYGQAATTVNHMKVEP >SECCE7Rv1G0493350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:566476432:566482398:1 gene:SECCE7Rv1G0493350 transcript:SECCE7Rv1G0493350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHFCECYFDLSGPILCPVLGSITPLFIPNSSIRPIRLIGLCVSLITFLYPPVPRIQFDPSTAKSQFVESLRWLPYENIHLYMGIDGLSLFFVILTTFLIPICISVGWSGMRSFRKEYITTFLIREFLMIAVSCMLDPLLFYVLSESVPIPMFSKGPREAFGKMGSLVRKHSISGRTTEPHIFFWWEGVWGSRQRKIKAAYPFFLYTLLGSVFMLLAILLILLQTGTTGLQILLTTEFSERRQILLWIASFASFAVKVPMVLVHIWLPEAHVEAPTAGSVILAGILLKLGTYGFLRFSIPMFPEATLCFTPFIYTLSAIAIIYTSWTTLRQIDLKKIIAYSSVAHMNLVTIALFLCVGVLYDRHKTRLVRYYGGLVSTMPNFSTIFFFFTLANMSLPGTSSFIGEFLILVGAFQRNSLVATLAALGMILGAAYSLWLYNRVVSGNLKPDFLYKFSDLNGREISIFLPFLVGGATVR >SECCE3Rv1G0168060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:214385675:214391893:1 gene:SECCE3Rv1G0168060 transcript:SECCE3Rv1G0168060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAVLLRAALRRSRPAAAALLLPRALPSPPVPLPPPPLARASPLLPRLPFSAGFSYSTVAEISEAPAKPKGKPRKSPMKQSRVDFTKVDASLLPTIILVGRPNVGKSALFNRLIRRREALVYNTPGDHVTRDIREGIAKLGDLRFRVLDSAGLETAATSGSILARTVDMTGNVLVRSQFAIFLIDVRDGLQPLDLEVGQWLRKHASGIHTIVAMNKSESLDEHGVLTSAAGEAHRLGFGDPVAISAETGLGMAELYETLRPLFEEYMFQLPNNGLNQDDPTSEAEANEGDESKLPLQLAIVGRPNVGKSTLLNALLQEQRVLVGPESGLTRDSIRAKFQFDNRTVYLVDTAGWMERAGKDKGPSSLSVVQSRKNLMRAHIVALVLDAEKIAKSKNSVDHQEVMIARQAIEEGRGLVVVVNKMDLLRENQRLLEKVMDAVPKEIQTVIPQVTGIPVVFVSAMEGRGRVAVMHHVIETYEKWCFRLSTSRLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMSGKTELSDTDIRFLTRSLKEDFNIGGIPIRILQRSIPRKASPFKSNIRKRGSSIKRMKTDKRTEVSDPTQS >SECCE7Rv1G0519500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864966836:864968250:-1 gene:SECCE7Rv1G0519500 transcript:SECCE7Rv1G0519500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTLGTETDGSILCPSSFNSVVGIKPTVGLTSRAGVVPITPRQDSVGPMCRTVSDAVHVLDAIVGYDKLDAAATRAASKYIPHGGYLQFLKKDGLRGKRIGVLNELFLFQGFGEKQMRVYKQHLATMRKHGAMVIKNLDIATDSQDIFYNEWTAMLTEFKLSINEYLADLSYSPVHSLADIIAFNKAHPIEERLKDFGQQNLILAQNTNGIGHIERARIRLLKELSANGLEKLIKEHQLDAIVAPEHYASNHLAIGGHPGIVVPAGYNEKGVPFGICFGGLQGYEPRLIEMAYAFEQATKARRPPMFKP >SECCE1Rv1G0054930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:677326808:677327881:1 gene:SECCE1Rv1G0054930 transcript:SECCE1Rv1G0054930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAALDDDVVAAGPAATPPRMGVAALDDDVVAATPAPRKSQRAPPTPTSSGRFHWLEVIGAGTFGVVYRARDRRTGEIVAMKCLRASDDGDDGRYLSDFAAEVSALEACSGHPSIVQPRASGHLGSEAFLAMEFVGPTLRYVMKHVRFGRRHTELEVRLLMRQLCSGVRRMNRLGLMHRDLKPDNVLVDSQGNLKICDLGLSCSMADEPPYYNPIGTQGYRAPELLLGCTDYDEHVDSWALGIMMAELLVGKHPFHGRSDTEHLSEILDLLGTADIKEWSGYDGRRLPSGSQPGSFLRNKFPCPAGARIKSPPTLSEAGFKVLSGLLRCNPEKRLTAEQALKHRWFKEANPRATRR >SECCE5Rv1G0371760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:849819164:849821392:-1 gene:SECCE5Rv1G0371760 transcript:SECCE5Rv1G0371760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTAAQLPLLGSQPRRRDPPRPPKALAAILRSRVIACLRAQDGETAMQAAHAAVRGGVSVLEIVMSTPGVLEVVEDLRRSYPSLTFGVGTVLNADDARKAIRAGAQFLMSPGTVMELLHDLDGSEVLYIPGVLTPTEVISACNAGAKVVKVYPVSVMGGEMYMSALKKPFPLVPMVASQGIAIGSIKSYMDTGASAVVLSDAIFDKELMRERNFTGISALANQATLQASLCGR >SECCE1Rv1G0010870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:66484572:66485837:-1 gene:SECCE1Rv1G0010870 transcript:SECCE1Rv1G0010870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHNSAPPHVPEVTMDISSASGAAGNKVCRGAACDFSDASNTSKDSKERSASMKKLLIAVILCVIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIMRLINESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGGHGHSHGHGHGHSHDHDHGNSEDDHSHHGDHEQGHVHHHEHSHGTSITVTTNHHSHSSTGQYQDVEQPLLKHDGGCESTQPGAKPAKKPRRNINVHSAYLHVIGDSIQSIGVMIGGALIWYKPEWKIIDLICTLIFSVIVLFTTIRMIRNILEVLMESTPREIDATRLESGLREMEGVIAVHELHIWAITVGKVLLACHVTITQDADADKMLDKVIGYIKAEYNISHVTIQIERE >SECCE6Rv1G0426920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:716765836:716767113:1 gene:SECCE6Rv1G0426920 transcript:SECCE6Rv1G0426920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEAAVAAAATMAAEAVAAAAATTLLPGLPDEIVVWEILVRLDPKSILRSRAVRRDWRCATTNRRFLQAHHARQPALPIFSSDGHRNILTFDHRAAAGSQLHTVARLALTKPFKLEASSDGLLIISKRETDGSRPGLSSRALLTICNPVTRQHASLTRTLKDFNILGMYPHRPTGKYRLLLQGRSFMDSSTDRTGCYVLELGSDEAPRYIECSNTKLQLAIFHAPVQVRDSLHWYPVCYYPVYRETPFFQIESKLVIVFDTIAESFRQICAPLAPPTNFSFIFEMATNFSCIFEMDDMLGFYSCDEAKKIVDIWVLHNYESEVWDLKYRVDLSIAEIWGKFEGLDGDSYWDVTAVSVDGDVLLLVKFGQPQNWLFYVDTDGKLVASFQDLYVGEGRFKQTLVRHDFFTSLEGIEGYAVDASPFV >SECCE3Rv1G0155780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69373672:69374081:-1 gene:SECCE3Rv1G0155780 transcript:SECCE3Rv1G0155780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGNGCGGCKMYPEMDEGVTSQTLIMGVAPSKGAPSFEAAAEAGAENGGCKCGANCTCDPCTCK >SECCE5Rv1G0342210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631202510:631205633:1 gene:SECCE5Rv1G0342210 transcript:SECCE5Rv1G0342210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLLSAVAVMLNLSYISSAQRRQGCRTHCGDVEVPYPFGIGIGCAIEQGFEINCSRTADGIERPFIHQWEILNISATRGQTRALMTIPTYCYNSRTREMDAYIWDFELTWPYRFSHARNKFISIGCNTIGYIYNTNGRTRDATGCASVCGSPEDLTDGSCVGVGCCQNVVPKGLMGYNVYFYDVDYVNTSNTWYFNPCSYAGLVETEAFFFSSDYVTSTSFNDTYKGRQPVVLDWVIGNATCEKALRNMSSYACHSGNTVCVDSSNGPGYLCNCSSGYQGNPYLSGGCTDVNECEQSPSPCPESAACQNRVGGYYCSCPFGSNFAKETNSCTNRLIGVVIGLCSGIGVLFLAVISVLLVRMWKRSTKRRVRKAHFRKNRGLLLEQLISSDESATHSTKIFTLDELEKATDNFDSTRILGRGGHGTVYKGILSDQRVVAIKKSKMVDQREIDQFVNELAILSQINHRNVVKLFGCCLESEVPLLVYEFISDGTLSEHLHGDQVSARCLLTLDDRIRIASEAASALAYLHSAASIPIFHRDVKSANVLLTDNFTAKVADFGASRSISIDETFVVTTVQGTFGYLDPEYYRTGQLTQKSDVYSFGVMIVELLTRKKPIFLDPCGEKQSLCHYFIQSLQDNTMMEIVDAQIVEEGNERQIGKMASLARVCLRHKGEERPTMKEVELRLQLLGGKMVLKKNHELEMNNEAVPLLPSNYCCYFGASPGMRHDELFSVANSSAQGVTRCYTMERELVSWTDLPR >SECCE4Rv1G0224310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:78759732:78763251:-1 gene:SECCE4Rv1G0224310 transcript:SECCE4Rv1G0224310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTALGGAAPARAGLAPKNGLLGSTFKPCGGFKLKTTPNVGRSSVCVRASIASSPQKQYSPKTSAVKSGEEVRIAVLGASGYTGAEIVRLLANHPQFRITVMTADRKAGEQFGSVFPHLITQDLPNLVAIKDADFSDVDAVFCCLPHGTTQEIIKGLPQQLKIVDLSADFRLRDINEYAEWYGHAHRAPELQEEAVYGLTEVLRDEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKLSNIIIDAKSGVTGAGRGAKEANLYTEIAEGIHAYGIKGHRHVPEVEQGLSEAAESKVTISFTPNLICMKRGMQSTMFVEMAPGVTVSDLYQHLKSTYEGEEFVKLLSGSNVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENMGLQYQPLFP >SECCE1Rv1G0029620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:416864800:416866861:-1 gene:SECCE1Rv1G0029620 transcript:SECCE1Rv1G0029620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIAKDLTAGTVGGIANLVVGHPFDTIKVKLQSQPSPAPGQLPKYAGAFDAVKQTVAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEALLRSEPGAPLTVKQQVVAGAGAGLAVSFLACPTELIKCRLQAQSSLAEAGAVSGVALPKGPMDVARHVIRDAGLKGLFKGIVPTMGREIPGNAIMFGVYEAVKQYMAGGRDTSGLGQGSLILAGGLAGGALWLTVYPTDVVKSVIQVDDYKKPRYSGSIDALKKIVAADGVKGLYKGFGPAMARSVPANAATFVAYEITRSAMG >SECCE5Rv1G0310290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:167779509:167784917:-1 gene:SECCE5Rv1G0310290 transcript:SECCE5Rv1G0310290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASRRGGSSWGRSWPLLFVAILALHSLAIYLFTRGFLLTRTELDLHSHRDDRTGVSPGCSSWPQPAVDRLVIVVLDALRFDFVAPSTFFEEKQPWMDKLQVLQKLAADEKNSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQLVKNGKRVVMMGDDTWTQLYPEHFNKSFPYPSFNVKDLDTVDNGVIDHLLPSLHENDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNQILEDVIDTLKSLSTPGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPDAVLSVLGENSCNFDLHGKEVCVSTMQQLDFAVTVSALLGIPFPFGSIGRVNPELYALSAGTWDNQRTGVNACTPRDDLEAWKMRYAEALCVNSWQVKRYIDQYSGSSIIGFSAEYLHHVEELYSKAQANWSEVLKSTCPSETAKGEEFKESASSALQLQIDAYSSFLESFAKLARSAWTEFDLWLMGTGLLLMILSVITQAYILVRLNAVCQPSDQECASSRIIPKLSFAFTLVTIRAASLLSNSYILAEGRVTNFLLATSCIAGVWSSATNGNFTIEEFIFLLLNIFARFGIELGMSKQIAGPTATKDHPVSIICDIFGSSFCNVSMDIFPIISLAMVTCIVLKLITYAVHPRCLKYFIMCGTILSYAFIANYWASESTLLSHTKAVQEIGRSLAPRFVYAIGGLSLVISVLWRLLAPVDSLKFNKRTTSLSAAMLCSWSPTILMLLGRQGAFVALICITGAWCIIMLQQKYQKDSKLDITGSCVANPVSVTQWSLLAVCLFYLTGHWCTFDGLRYGAAFIGFDNFHIIRQGFLLSIDTFGVSHILPVLSLPFIAIHCYNTASKKSKVKDVTINILVQVHLMYGLITAITTTVTIICVTIQRRHLMVWGLFAPKYVFDAIGLLLTDMLICLASLYYS >SECCE6Rv1G0410820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:602849988:602851544:-1 gene:SECCE6Rv1G0410820 transcript:SECCE6Rv1G0410820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRTGRSRRRRPRSSRGEDLMSALPDDLLLLVLRRLDTRTALGTALLSTRWARLHRELSVLDFRVGSMLPPRYHRLLLLLDDMDIKDHYLRYKRRCELRPKIRRYERGAMRALSRSVESFLDDEGARRSVSRLKLEFFITHNPDCMNRLIAKSIDEWGLSDLEAVAKPIDSKQSAHTFPSHGLCQAARASCLRSLRLGGCALPPLLHEFTALTMLVLQDIKRSTPREAYEGVFTLCTQLQVLHLKSCDCPSEETDLVVDAPSSQIRELVLDRCRFGQVRMRALPNLESLASLDTTLHFDQSASFPRLRQCNHATWVGSLVFIRGRPREYIGHQRELNSFLGRTPYITNLVLRFTGPRRWIVPPPTGSPSPSLPKLRKLLVADVPSSWDVSWPRLLLEMAPSLETLHIHIAPCSGRAGRAIPWEPTELRRRHLKEFVVAGFQGTKRHICFVKFVVGVSTSLRRVALFRNGRAEDKGHWDWEMVTEEHSWTNRQRNATQKKIMDGVSFSRSPPRFVFG >SECCE7Rv1G0467070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:83258250:83258609:1 gene:SECCE7Rv1G0467070 transcript:SECCE7Rv1G0467070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPLLLLLGAVLLVAATLPEGASAKWTAVNPDVVVIQQVGRFAVIVYGLAHRAALTYVGVARGETEEAVGGGTIYRLVVAAAKPDGTRAQYECLVWGVPGSSLSTWKLRRFRKIAMA >SECCE7Rv1G0500840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:675927910:675933998:-1 gene:SECCE7Rv1G0500840 transcript:SECCE7Rv1G0500840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVEMAARNGSKGAVAGEAYYPPPQGQGGDGDVDDDGKQRRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWVVGPVTLMLFAAITYYTSGLLADCYRTGDPLTGKRNYTYMDAVASYLSRPQVWACGVFQYVNLVGTAIGYTITASISAAAINKANCFHKNGRAADCGVYDSMYMVVFGIVQIFFSQVPNFHDLWWLSILAAIMSFTYASIAVGLSLAQTISGPTGKATLTGTEVGVDVDSAQKIWLAFQALGDIAFAYSYSMILIEIQNTVRSPPAENKTMKKATLVGVSTTTAFYMLCGCLGYAAFGNGAKGNILTGFGFYEPYWLIDFANVCIVVHLVGAYQVFCQPIFAAVETFAAATWPNAGFITREHRVAAGKRLGFNLNLFRLTWRTAFVIVSTLLAILMPFFNDILGFLGAIGFWPLTVYFPVEMYIRQRGIPRYTTRWVALQTLSFLCFLVSLAAAVASIEGVTESLKHYVPFKTKS >SECCE4Rv1G0282120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:828047840:828048379:1 gene:SECCE4Rv1G0282120 transcript:SECCE4Rv1G0282120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPDTSWVFADLAVADSARYSTRTRLMLTGLSFAIGILTLLLYLAVSYACRRRRRHRCRRQRGTGAGVCAEDQEACMSDAAIVYEQADALAAPMDCAVCLGQVEAGEKLRRLPKCAHLFHADCVHAWLRAHSTCPMCRAATTGTTPGTTAAAEAPPPGVVAGTPPALERMNCTTLAGE >SECCE3Rv1G0166370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:185745606:185746187:1 gene:SECCE3Rv1G0166370 transcript:SECCE3Rv1G0166370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVAIEYIANDPTRKATARKRDMGIKKKAGELSVLCGVDTCYIMYREGGASPPEVYPSVPEAMRVIDRFRAMPELDQCKKKMDGEDYVRERIAKIQEQLRRAQRDNRQLETTLLLHDALVGRRQGLAGVAVEQLVSLGWMAENYVKKVSDCIAYRGMQQQHQLQHAGMQAEPPHYAATVADLEAPPHQQL >SECCEUnv1G0539670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:76586895:76587749:-1 gene:SECCEUnv1G0539670 transcript:SECCEUnv1G0539670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGAKKSRVYQTWTPEMDSALLAVLVEHHNNGDHAQNGWKPHVYTACIKNVKDACNIDITKENITGRIKTFDKQYDIISKMLAQSGFGWDWEKNMVSVESDEVWSRYVEANKDARTYRNKVVHNWESISTIYSKDHATGVGARTGNECAQEPQDTPAVEGTPEVPPKRQRTGDAILSMMGKMQMSFDDVLKTTEPTPMPKVTPPTEILAALKKVQGLEDKELLRAYGKLIKDERMFEALMALPEDLRKPWLLTLE >SECCE5Rv1G0357080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:743907766:743909189:1 gene:SECCE5Rv1G0357080 transcript:SECCE5Rv1G0357080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPAALGLVVLLLLVLNPNAAEARPAPAGGHQKKSSSNTFFVFGDDFADNGNLPLTDPVTEMSRQWAYPYGSGYVDAHGFPRPNTPSGRFSNYKIQPDFIATMLGLEEAPPAHALTAEKTCDPSGMTFAYGGAGVFDTTSHEVQTLAKQVDTFGKMVKDGTISETQLSHSVALAAISGQDYASTSVIGLSSPSDINAYIGKVTKEIATNVEKLLKLGVKKVLVNNLHPIGCTPSQTQTNNYTACDVFGNLGASIHNDNLKQVMAAKKNVHIVDLYTAFTNIVDHAPGKGSELSKQFKRKLSPCCESLDPKGYCGQQNESSSELLYTMCDKSDKFFYWDDMHPTHAGWEAVMKQLEKPLREFVDQH >SECCE3Rv1G0160420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:104860464:104861446:1 gene:SECCE3Rv1G0160420 transcript:SECCE3Rv1G0160420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKSAKKGTNGVGEPSKNMDSSDGSVPKKARTTSKGNTEHQEKEEEEILNVFSLEMDTLECDICFLPFESQCKNGHAACANCCISMHRKCPSCNEPIGDFRCRATEKILAGMTRPCRFKKHGCPETVRYTEARAHEKDACRFAPYRCPFYGCDYRGRLLYGHILDAHPPASDAASLLRGGWLSFSVTLQESTPFRALLHPGEKSVFLLLKGGDILTGRSLSLVRVFPYAAEEDEEYVYEMKVKAEEPWLLSAWGRVQFVRQLQGYKAKRFLFVPDDFWGSSGSVTVTVFLFPKIG >SECCEUnv1G0539800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77392554:77395900:-1 gene:SECCEUnv1G0539800 transcript:SECCEUnv1G0539800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKCRFLFLMVALLGTQTTRASGATATNGWPYEKGNLVVPSPAMLRGCPKTCGNLSFAYPFGIGSGCSRGPDFNLTCVVDGSTGTHKLFLRDGITEVVGGGIDVGLSHYVSVTYADEADVSGVDVYSMSSWSPPGRSFFLFDARFNITGCGFDAFMLDHDRGTTSKVCSTTCPGREITEAMARQNCNGTGCCSVPFLVNFNGFQFSFVRQGRKESKVNYTSPWWNKISVTTDYANLLWNVVDQSRCAEAEGNTTTYACRSDNSSCSDSQFTQRGYSCSCNGGFLGNPYVHRGCSRDKGYNPIPRVPNCKRHCGDIEIPFPFGIEEGCFARKVFQLNCTNATASMLQMEDGEHQVTHINLDKGLIETKYTESYQQKSVRIYIDEEPDLYMGFIGETSSAQWAVANLTCEEAQTDRTRYACVSDNSECLVVNSTMNRLYGYRCKCSTGYQGNPYLREGCQDIDECNNTASVCEELCNNIVGSYYCSKCPDKMDYDATTKQCTSIKKQHIFLGIIIGLCSGSGVLLLSLTGFLMVRGWKRYIQTQLRRKYFRKNQGLLLEQLISSDENASDKTKIFSVEELEKATDNFHQMRIVGRGGHGMVYKGILSDQRVVAIKKSKVIEAGEINQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFSVLHSDSTTSCLSWVDCIRIAVEAAGALSYLHSAASISVFHRDVKSSNILLDGNYTAKVADFGASRLVSIDQTHIVANVQGTFGYLDPEYYYTGQLNEKSDVYSFGVVLIELLLRKEPIFTSESGSKQNLSNYFLSGLKVRPVTEIVHAQVLDEATEEEISSVASLAEMCLRLRGEDRPTMKHVEMALRTLQTERLKFCHVDPENGQEREPVLTPPRDEDSSDELVASDCASADFLSENIKQCYSIEKEFMSYDGVSR >SECCEUnv1G0563550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:375670547:375671933:1 gene:SECCEUnv1G0563550 transcript:SECCEUnv1G0563550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEFVIRKSMSDNFLTLCNQPSAPPLPSKIRRLTLHHATPREVNDLSLVRSLTVSGETHPSILDFSKYELMRVLDLEEYDHQLLDSHLKLVCSNLLLLRYLSLGAAVTALPKNIKKLQFLETLDVRRTKIDILPTQVMELPFLLHLFGKFKLKQDVGAHRMSKLQAWLSANSKLETVAGFVVDSNKSQGFAQLMDHMKHLIKVKIWYDSCADASSTSTLSKAIKGFIERSTDFMTSHALSLNLSDERSQDLLNFSLETGKSYYLSSLKLQGGNIFSLPPFVTMLAGLNKLCLSVTHQLSSDIISALSEMRSLKQLKLTATQLDKHTIGQGAFRILQHLSITVEVMTELEIQDGALSCLKSLRLLCKDLDGFSGTSAIKYFKHLREIALHCEVGGDTKQQWKEAARKHPRRPKLLFVDIQAVQAATC >SECCE5Rv1G0328810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509774569:509780109:1 gene:SECCE5Rv1G0328810 transcript:SECCE5Rv1G0328810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQNVILFLLLPINLAVGQNTSREEAKELHVGVILDLGSIVGKMARTSVSLAMEDFYAVHRNYSTKLVLHIRDSMSDDVQAASQAIDLLENHNVEVIIGPQKSSQAIFISKLGNKSHVPVISFTATSPSLSSKTLPYFVRATINDSAQVNCIASIVKTYGWREVVPIYEDTDYGRGIIPYLVDVLQEVDARVPYQSVIPLSATSEQITLELYKLMTMQTTVFVVHMSFTLASLFFIKAREVGMMNKGYAWIMTDGVTNLIDSLNPSTLESLNGAIGVEFYVPKTKELDDFAVRWNMRFQIDNPTDPPLKLNIFGLWGYDTIWAVAHAAAKVGLANATFQKPGATRNSISLETLETSSNGPKLLQAILQNKFRGLSGNIDLSNRQLQISTFRIINVVAKGWRDIGFWTAQNELSQHLNKTRQATTHLNPVIWPGESIEIPRGFKIPVSGKKLQVGVCTSGYPEFVKVEKDHITGATKAIGFSVDVFEEAVKRLPYAVPYEYVLFSTKDDGSAEDYNDFVYQVYLEKYDIVIGDITIRYNRTFYADFTLPYTESGIAMVVPVRDSINKNTWIFLKPLEPGMWFGSIVFFIYTGVVVLILEFLGNNKNVRGPIPRQLGIVIFFSIFEEKELVQRFLSRIVLIIWLVFLMVLTSSYTASLTSMLTVQQLQPTVTDVHELLKSGEYVGYHRGSYVKGLLEELGFDRSKIKPYNSPEDFHNALSRGSNNGGIAALVHEVPYIKLFLANHCKGYTMVGPIYKAAGFGYALSKGNPLIGDISKAILNVTGGDTMIQIEKKWIGDRNNCQNLGPVTGSSRLTFANFRGLFILTGVASTSSLLIALIIYFYKKKQSINIVLDNGNRPEESGINEENGEAQEGNQGGDEQNTQQAGQEESGLEEQTSLEAVSEATDRQALLCPTMDQLSFSGVK >SECCE5Rv1G0368710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:830479944:830484145:1 gene:SECCE5Rv1G0368710 transcript:SECCE5Rv1G0368710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNGRGERHSPSAARSPTGRLLPAASLANSRWALPVAVTVSLFIGVTVTLAVTSWASLSLRPISSSFFSFLPVTATSASFVNPGADVPRLAYLVSGSKGDLERLWRVLHALYHPRNLYVVHLDLESPAGERAELAVRVGNSTVFRRLGNVEVIRRANMVTYRGPTMVANTLHACAVLLGRSRDWDWFINRKYILHVFSTLPRNISFMEHFSKLGRMEDTRAKPLIVEPGLYTSTKKDIFTVSPQQRELPTAFKLHMGSAWMPLSRDFVEYMTVICNAPGFVRTVANHDLHHIRWDVPPRQHPRMLGLADMDGMVGGDVPFARKFARDDPVLDAIDADLLLGRGRNGTAAGMFVPGGWCGQRGDCGAARANVDDWVLRPGPGAERLQRLMDRIVRSETFANSQCK >SECCEUnv1G0532390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:20078380:20079489:1 gene:SECCEUnv1G0532390 transcript:SECCEUnv1G0532390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQHSHLDGDRTGKRPRHAPKKHLYLVLDDWDTGFSIYKVDADTLQDTCTSTTDVQIGFPDPPVLRFPVPVRHLGMSFTAFGNSIFIATNPVCPQTPTLVYNTKIAGITIGPSLPRSLLGGIDISVAAGDTLYGLTSRHAGEQHSFEAMSRAATGSDELSDPRPDMGWSWKSVPSPPPFATDDIISSYALHPDGHTIFMSAHDYLYQHVPKGTFSFDTKRSEWRWHGEWALPFQGQGYYDSELDAWIGLRKDGHICACEVASRSRESAVQPYCKVAKEKLFLKVPERRVAQTRATLAYMGNSNFCLVDCVQREGVEPTCIFDCCVLHMSTFGLKYDRRGELQTTRHCSNSCVVSKHILAFSPLVFWM >SECCE2Rv1G0111600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:702388292:702389385:1 gene:SECCE2Rv1G0111600 transcript:SECCE2Rv1G0111600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCSACEKTVHFIDLLTADGVIYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFTPGNRSGDKNELSRAPSKLSAAFSGTQDKCAACTKTVYPLEKMTLEGDAYHKNCFRCSHGGCTLTTSSYAALNGVLYCKIHFGQLFMEKGSYSHMKKKSPSQEVLPDLVAAAEEQPAEEAAPPEDGE >SECCE5Rv1G0337000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588444412:588447204:1 gene:SECCE5Rv1G0337000 transcript:SECCE5Rv1G0337000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVSAMAPLYFALGLGYASVRWWKFFTRDQCDAVNRLVIFFALPFFAFDFNAHAGTFAASYRVLAADAVAKLVVVLAVAGWVATCRWRHWSRAPEAPSARPARTGGGRGPSYSWCITGYSLGTLNNGLLVGVPLLDAMYGKWARDIVVQLSVVQAVVWLPLLLVAFEARQAWLEVTSAPAPDGAREEGDQAAPGSDDVDRPAAAGDDRKTAATGWAFWAQLLRTVGLKVAGNPNVYASLLGVLWSSVANRWHLEMPGIIDGSISIMSRTGLGIGMFNMGLFIGLQDKLVVCGPGLTALGMAMRFVAAPAATAIGALLLGLRGGLLRVAILQAALPQSLGTFIFAREYDLHADVLSTVVIVGTLASLPVLATYYVVLGLM >SECCE7Rv1G0480920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:276243598:276244497:-1 gene:SECCE7Rv1G0480920 transcript:SECCE7Rv1G0480920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPTPRPRPKRATAPLDAAARARLAVLPRSADSSGSEHEAAALSSLVNDYLLETDATVPSATLAVEGSSDLDDEPNGDTSSTAAADMLAEIKDNLDPTGSSADELRRRLVFAVADAMRGLDDLRPNQSAFRRAVMSRLRERGHDAGLCKVRWDKSSGVTAGSYEYIDIVAGGGETRYIVDVGFATEFEVARSTEEYEAVRTALPEVLITRQEHLRQVVKLAASAARRSLKSSGLSVPPWRKRRFMMTKWLGPYKRTVNSIPSSAGTALGSSGVVPVCRTIVGFAPHTTVGTSSGFWG >SECCE1Rv1G0038640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:541685216:541685611:-1 gene:SECCE1Rv1G0038640 transcript:SECCE1Rv1G0038640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARNAAMCFVLILALFANHGSAEHKCWESTSGAPLCVGFLCKATCLIGGKMFNAIVKEHKCVGPALSSECYCYFCDK >SECCE3Rv1G0161870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:123969863:123975042:1 gene:SECCE3Rv1G0161870 transcript:SECCE3Rv1G0161870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLASENPHATHTFPQSPHLSLAALPGPDTPEPQLRRAHACGHSTPEPEREAPAAEMVDGEKAPAPAPPPALLQLETLLALGLDQRTAENALVNSKVTANLAAVVAEAGITGCDKSVGNLLYAVATKYPTNALVHRPALINYIVSTKIKNPAQLDAALSFLTNTGPETLDVGKFEEACGVGVVVSIEEIQSTVAEVLKENMEAILEQRYHINVGGLCGQVRKRHPWGDAKATKEEIEKKLAEILGPKTEADNVKPVKKKKEKPAKVEEKKVAVATAAPPSEEELNPYTIFPQPAENNKVHTEIFFSDGNIWRAHNTKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVKWMGWEPYKVTYTSDYFQDLYEHAVELIRKGLAYVDHQTAEQIKEYREKKMDSPWRDRPIEESLQLFEDMRCGLIAEGAATLRMKQDMQNDNKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALGLYQPHVWEYSRLNISHTMMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSANAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTASRTMVVLHPLKVVITNLEDGKVIDLDGKKWPDAPADEASSYYKVPFSKTVYIEKTDFRVKDSKDYYGLAPGKSALLRYAFPIKCTEVIYGDNPDDIVEIRAEYDPSKTSKPKGVLHWVAEPAPGVEPLKVEIRLFEKLFLSENPAELEDWLGDLNPHSKEVVKNAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPEKLVFNRTVTLRDSYGKAGPK >SECCE6Rv1G0383650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:55642483:55650318:1 gene:SECCE6Rv1G0383650 transcript:SECCE6Rv1G0383650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVRIRGDELETMEREAKRRNLAEKGEGEEEGTALLPTRPRSPGELAVRASHPEITLAIFEPDKAQSEAKQDRVYDVVESVSEESSDSPSPPIYKPYIPDELVDDPDVFSDFEDAKAEYDAEQDRRANLFTMEHHCYKEPSCLFKTHHLLPILEQARDVALLAAKSVILLSSFVGSDPLHKCSGLWFEWDDRSKTALVLTSANLIREKKEPKNPFEWKMQWTGNYLRDAEVIVHLLDNKAVPASLLYLQEHYEFAIYAVQVDKPVQLATFTECVRSGQDVFRLGRDESLNLTITHGMVAYKIPSWYERCHYMYLSRDPSSKPLLRDDGGPIIDLEGKVVGLVSNHIKETFIPSLLLHKCLDFWRRFDCMPRLHLGMTFTPIKYLDPICVERMTRSHNMESGLIVEQVSKGSHAEKIGIREGDVIESFNGKYISTTIELEQMLIDICWDHFDQGKVLYAEKDVSVKIFDAIKLRRRTRNLTAIVSDLGEDIVKGTYPIMGKEAMSASSDS >SECCE4Rv1G0220950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:43362162:43364658:1 gene:SECCE4Rv1G0220950 transcript:SECCE4Rv1G0220950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGEDGDEGDEY >SECCE6Rv1G0388140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:130110838:130124063:1 gene:SECCE6Rv1G0388140 transcript:SECCE6Rv1G0388140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGEGSGAEARGEGGGGGRQQQQGLAERRMLRSQYLAMKSLINEEKDDMAKEDSDKFASIITQVESLHDQVQRPREQVADAEALLDITTTLVKSVRSQSSEGITPSDFVTALLKKFGQQASLDSEPVSLRWADVGLSASHVFRAAPGCCTMLGPMDTEVKQRKLSVVSRKRSARPTENTCPEELADSSEGTKTDTDRNVTVVFDILRKNKRARLETLVLNRQSFAQTVENVFALSFLVKDGRVAINIDDNGHHIVCPRNAPAASAIASGEVSYSHFVFRYDYRDWKLMKEVVPEGQELMPHRTAHSLSAEEREQLEPCAQRTPIRKLCRNRGLVLQEQMVVAETPEEDRSSKRKRLFLDQE >SECCE2Rv1G0130810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:878768692:878769873:1 gene:SECCE2Rv1G0130810 transcript:SECCE2Rv1G0130810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTPPAKRADGQGSIDDDVLYEILLSLPAKLLCRLRAVCRSWRSLLSAPSFIAAHTARRAAPLLIVHVHDASSLNVHVLDISSGKVDRRIRVKTHTCTTESPNSLMLVRTPHDLPLVRLPCADDELRLRVLDPVTGADFSLPDVDHDKQHCYSALFMIGKVSTGEYKVLCLSICKSVSNGQQLCKVLTLSGDHRWRETGCPPAMVRMGRLDGAAVNGVAYLLLTTIHRRPQVSNGCIVSYDLEKEAWRSASLPVPAPSNEQRPRDMLYHVLAELSGCLALLYGDGPSFMELWILVDPDKVIWSKRCTITVPYPYQGVGYFGEPLWLLDDGRLIIWLWMSREFSNVLCTYDPRTMAYTDVTEMPNSKPRGVYTGSLLGRGSFNLLHQGGLVQ >SECCEUnv1G0556430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:311287083:311290936:1 gene:SECCEUnv1G0556430 transcript:SECCEUnv1G0556430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSPPPPPPPPPPPEPPQLHGVVIITLPPPDQPSKGKTITAFTYTDEPSPAPPTPHPHRGLPMAPAAAAQARRSRRAVSPRRAAAMVLVLGALALAAYYSFYSDVAVQFLGMEEEEAQRERNETKSFLFQLYPKAHKGRALREFGDIKLAAKRVDDGGGRKVTKKLDVKGATSAGTNSTVLLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPAKEKIVPPRDLLCQELQGDQNYCETCKQCDYEIEYADRSSSMGVLAKDDMHLIATNGGREKLDFVFGCAYDQQGQLLSSPAKTDGILGLSSAAISLPSQLASKGIISNIFGHCITRETNGGGYMFLGDDYVPRWGMTWAPIRGGPDNLYHTEAQKVNYGDQELGHAGNSVQVIFDSGSSYTYLPEEMYKNLIDAIKDDSPSFVQDSSDTTLPLCWKADFSVRSFFKPLNLHFGRRWFVMPKTFTIVPDDYLIISEKGNVCLGLLNGTEINHGSTIIVGDVSLRGKLVVYDNERRQIGWANSECTKPQSQKGFPFFL >SECCE6Rv1G0437520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:784532134:784534095:-1 gene:SECCE6Rv1G0437520 transcript:SECCE6Rv1G0437520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACCCFVSQLLIVITLIYLVMTKSKVHSGTCSSATVSFPLPPGPWSWPLVGSLPQMVLNKPVFRWIHRVMKDMGTDIACFRLGGVHVIPITCPKIAREVLKKQDKNFSSRPLTFASDTISSGYKDAVLAPFGEQWMKMHKVLTSEIICPSRHKWLHNKRADEADNLTRYIYNLTAGGSSSTPGIANVDVRHVTRHYCGNVIRRLVFGQRYFGAPQPDGGPGQMEVEHMDASLTLLGITFSFCVSDYLPCLLGLDLDGHEKIIKEANTKVDRLHNMVIEERWRQWKSDERQDGVQDFLDILITLVDGDGKPLLNIDEVKAQCKTMILAAIDNPSNAVEWALAEMVNNPELLAKAVEEMDRVVGRERLVQESDIMHLNYLKACIREAFRLHPVAPFNLPHVAIADTIVAGYHVPKGSHVILSRLALGQNPTVWDEPLHFKPERHLEDNINVVLTESELRFISFSTGRRGCIAASLGTTMTVMLFGRLLQGFTWTKPAGLSAINLRESKHDLFIEKPLVLHAEPRLAVHLYPLMHH >SECCE5Rv1G0368190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826908824:826909033:1 gene:SECCE5Rv1G0368190 transcript:SECCE5Rv1G0368190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVFIGLVLFILLSPGLLVQIPGKGRMVEFGNFQTSGISILVHAIIYFALIAILILAVNVHVFLG >SECCE4Rv1G0231850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:190156177:190159288:1 gene:SECCE4Rv1G0231850 transcript:SECCE4Rv1G0231850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGGEAAASAQHKEEQEEEGDMVGVVKLISAEGFEFVIDKKAAMVSNTLRNMLTSPGGFAETREGEVRFPEISTAILEKICQYFYWSLHYANGKETAEFPIEPEITLELMMAANYLDT >SECCE6Rv1G0378940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11522728:11525394:-1 gene:SECCE6Rv1G0378940 transcript:SECCE6Rv1G0378940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWVLFIMQLASHGTRDDEGMQLVPRCDQEEVSDSQEIFSQSDSAGSSTEYPGSCEIKPLIVEDENHNVDENEETHLVIQDFPQCRICLDNEGDDLIAPCNCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVARDHTLIFFIVQLVVVLLGMLVYRFYGDELREMFGYEQHPYAFYALAILAVILVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGAEPVADLDPSHVTELRTLGLY >SECCE1Rv1G0000960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:3137238:3142228:-1 gene:SECCE1Rv1G0000960 transcript:SECCE1Rv1G0000960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERETSSSSDVSASHVGRVRNRRRASEATTDGNKANGPTLLVSDRNKYKSMLIRTYSTVWMIGGFAFLIYMGHLYIWAMVVVIQIFMATELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLFTYGRFLSRELVNTVSSDHLLYKLVSGLIKYQMFICYFLYISGFVWFILTLKKKTYKYQFKQYAWTHMILLTVFAQSSFTVANIFEGMLWFLLPASLIVINDIAAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFLLANVMGRFQWFTCPRKDLSTGWLQCDPGPMFKPEHYYLGDWVPHWFPWKDVFLMPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFISPHNFSVDAILDQILRNLTYEEQRNLYEQLGEMLGNLCKADKLAACL >SECCEUnv1G0543660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:117700902:117704360:1 gene:SECCEUnv1G0543660 transcript:SECCEUnv1G0543660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTGTGTKKRSGGGAAALLLLLLLCCTASMALTPDGEALLELKLAFNATAGQRLGSWRAADANPCGWEGVSCSFPDLRVQAINLPYMQLGGIISPSIGRLAKLQRLALHQNSLHGPIPTEISNCTELRAIYLRANYLQGGIPPGIGDLTHLTILDLSSNLLRGAIPASIGSLTHLRFLNLSTNFFSGEIPNVGVLGTFKSSSYVGNLELCGLPIQKGCRGTLGFPAVLPHSDPLSSSGVSPITSNNKTSHFLNGVVIGSMSTMAVALVAVLGFLWVCLLSRKKNGVNYEKMDKQTVPDGAKLVTYQWNLPYSSGEIIRRLELLDEEDVVGCGGFGTVYKMVMDDGTAFAVKRIDLNRERRDKTFEKELEILGSIRHINLVNLRGYCRLSTAKLLIYDFMELGSLDSYLHGDAQEDQPLNWNARMKIALGSARGLAYLHHDCSPGIVHRDIKASNILLDRCLEPRVSDFGLARLLVDNETHVTTVVAGTFGYLAPEYLQNGHSTEKSDVYSFGVLLLELVTGKRPTDSCFLNKGLNIVGWLNTLSGEHRLEEILDERSGDAEVEAVEGILDIAAMCTDADPGQRPSMGAVLKMLEEEILSPCLSELYYEQHLEL >SECCE5Rv1G0298320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10913821:10915301:-1 gene:SECCE5Rv1G0298320 transcript:SECCE5Rv1G0298320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTPQRRRRRRAEAAPSDGAPDAAEYSLDEPTLAEKLAALSPPAEAAGVAAVVPPSADSVHVLLRQALQADDRAALLGCLYNRDGKVIVKSVSLLTPADAVKFLKSLVSLMQSRGSVLVCLLPWLQALLSRHMSSIVSQDSSLLLLNSLYQLIDARTSTFASSLKLSTCLDYHFSEICDDESDEGEGGPPLIYEDVDSDEEDSEVDDAMETEDKGTEEEDSEVDDAMETERKGTDKEESEVDDE >SECCE5Rv1G0332040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:544257320:544261753:1 gene:SECCE5Rv1G0332040 transcript:SECCE5Rv1G0332040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGAAAPPSSSSACRLRLRRQLLMRPSHLRLRAPHSIADLSRSSSSSHAPAPPLASRAPGQGGGAVEKDPIKLWDRYVDWLYQHKQLGLFVDVSRMGFTDDFLLQMEPLMQRAFVAMGELEKGAIANPDEGRMVGHYWLRDPGLAPNSFLRTKIEKTIDHILAFSQDIVSGKIKPPSSQAGRFTQILSIGIGGSSLGPQFVSEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGEELKSTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQENSLLDNTARIEGWLDRFPMFDWVGGRTSELSAVGLLPAALQGIDVKEMLVGAALMDEETRNTVVKENPAALLALSWYWATDGIGSKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYSNDRESISVTVQEVTPRAVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLTVLNEATCKDPAEPLTLEQIADRCHCPEDIEMIYKIIQHMAANDRALIAEGSCGSPRSIKVYLGECNVDDL >SECCE6Rv1G0418540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:663399012:663399929:1 gene:SECCE6Rv1G0418540 transcript:SECCE6Rv1G0418540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAPGRPPSCLLALLSVVAAISLAAPGLAAGKTGQVTVFWGRNKAEGSLREACDSGMYTMVTMSFLDVFGANGKYHLDLSGHDLSAVGADIKHCQFKGVPVSLSIGGYGTRYSLPSNRSAHDLFDHLWNSYFGGSKPGVPRPFGDAWLDGVDLFLEHGTPADRYDVLALELAKHNIRGGPGKPLHLTATVRCGYPPAAHVRRALATGIFERVHVRIYEESDKACNQYGAWQESWDRWTAAYPATRFFIGLTADDKSYQWIHPKNVYYGITPVVQKKDNYGGVMLWDRYFDKQSDYSSYIKYYA >SECCE2Rv1G0133730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898182538:898183936:-1 gene:SECCE2Rv1G0133730 transcript:SECCE2Rv1G0133730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDPLIVGRIVGDVVDYFDMAAQLRVLYGNREITNGFELRPSQVENQPTVRITGRRGSLYTLVMVDPDVPSPTNPSQREYLHWMVTDIPDGGDVSCGTEVVAYEKPQPTAGIHRVVFVVFRQAVRQAIYAPGWRSNFITRDLAECYGLGAPVAAAYFNCQREGSCGGRRYR >SECCE1Rv1G0019340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:231518236:231519783:1 gene:SECCE1Rv1G0019340 transcript:SECCE1Rv1G0019340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQSFDNVNRWLRELRDHADSSIVIMMVGNKSDLTHLRAVSEDEGKALAEKEGLFFLETSAMEAVNVVEAFQTIITEVYGIVNKKALAAKEAAAAAVPLPSQGKTISIDSTVGNSKRACCNT >SECCE3Rv1G0167580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:204955761:204956018:-1 gene:SECCE3Rv1G0167580 transcript:SECCE3Rv1G0167580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKALCPRFRGLSTYEKEYLTVVVAVEQWHPYLQHSEFVIQTDQKSLIHLEEQRLTTLWQQKAFTKLLRLRYTIKYKKGVENGGS >SECCE1Rv1G0063000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:722126165:722128164:1 gene:SECCE1Rv1G0063000 transcript:SECCE1Rv1G0063000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAQDTAGADAASSRRNRRRQKKSSSANANANANAAAVPVAPVAAPVPAPPTPMQRLFDTSREVFAASVPGFVPPPDAVVRLAAILNDVKLEDVGIDKNMTCFKRSEPRGPPAVTYLHFYDCPKFSFGIFCLPKNAVIPLHNHPGMTVFSKMLFGSMHLKSYDWARSTSEARTNALTTSDGARLAKINTNSVVDASAETIVLYPENGGNLHCFTALTPCAVLDVMGPPYNHVAGRDCTYYSESPFANTDGVADVRYSWLKEIPNNFRMKGVTMPRPFVV >SECCE6Rv1G0406580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:547117686:547124556:-1 gene:SECCE6Rv1G0406580 transcript:SECCE6Rv1G0406580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVHGAGQNQSEAGGDESTRKAAGAEEKVEKVPFLKLFSFADRWDYLLMAVGSVGACAHGASVPVFFIFFGKLINIIGIASFFPAEVSGRVAKYSLDFVYLGVVILFSSWTEVACWMHTGERQAAKMRLAYLRSMLDQDIAVFDTEASTGEVINAITSDVLVVQDAISEKVGNFMHYISRFLAGFAIGFSQVWQISLVTLAIVPLIAIAGGTYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRTYREALLRTYKYGKRGGLAKGLGLGSMHSVLFLSWALLIWFTGVVVHKRISNGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYPIFQMIERSTVNKRSSTAGRTLQAVEGNIHFRDVRFAYPSRPDVVILDRLSLDFPAGKIVALVGGSGSGKSTVVSLIERFYEPLSGAILLDGHDIKDLDVKWLRGQIGLVNQEPALFATSIRENILYGKSSATADEIDHAAKLSEAISFINNLPERYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDGGRIVETGTHEQLMANPISAYSSLIQLQEAAQLQHKPSFSESRSITRPLSFKYSRELSRTSRGGSFRSDKDSISRYGAGEADDEGQSKGKPVSMKKLYSMVRPDWVFGVSGTMSAFVAGAQMPLFALGVTQALVSYYMGWETTKREVRKIATLFCCGAVLTLVFHAIEHLSFGIMGERLTLRVREMMFAAILRNEIGWFDSTSHTSAMLAARLETDATLVRTIVVDRSTILLQNVGMIVTSLIIAFILNWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNMRTVAAFCAEEKVIKLYADELREPGKRSFRRGQGAGIFYGVSQFFLFSSYALALWYGSQLMSKELATFRSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMASSVFEILDRKTEVQIDTGDDIKKVEGVIQLRDVEFRYPSRSEVAVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPIAGKVLIDGKDVKKLRLKSLRRHIGLVQQEPALFATTIYENILYGKDGATEAEVIEAAKLANAHTFISSLPEGYQTKVGERGVQLSGGQKQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMKNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGDHQHLIENKSGAYHKLVNLQQQQQQQLQGGQSS >SECCE6Rv1G0409790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:591739253:591741155:1 gene:SECCE6Rv1G0409790 transcript:SECCE6Rv1G0409790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39620, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39620) UniProtKB/Swiss-Prot;Acc:Q9SV96] MLAYPSTSTPWPQRHPAAASPRRVAVAAAPAGAPARGKRRRAGAGEGEADPAAEAAELVRFFLRKTDGGKDRLVSVLDRHVKVVRTEHCFLLFEELGRRDGWVQCLEVFRWMQKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCKPDTSVYNSLIGAHLHSRDKSKALVKALGYFDKMKGMERCQPNIVTYNILLRACARASDTKQVDILFKDLDESIVSPDIYTYNGVIDGYGKNGMITEMESVLVRMKSKQCRPDVITFNILIDSYGRKQTFDKMEQVFKSLLRSKEKPTHPTFNSMITNYGKARLREKAESVVEKMGELGFKPNYVTQECLINMYAYCDCVSKAQQIFDELVSSQSTVPLSSLNAMLDAYCMNRLPMEADRLLDTVIEKGVVPSASTYKLLYKAYTRANDKMLVQKLLQRMNKQGIVPNKKFFLDALEAFGTSASKPRRVQTSNSARESSKDSASNSEMASSSKPVLSTLEAVGASKKTPRILPNSNSASKPDTGSETSSEIATSSKPELSFSQVAS >SECCE6Rv1G0428170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:724690480:724690926:-1 gene:SECCE6Rv1G0428170 transcript:SECCE6Rv1G0428170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFPRDQLMGSAFVAFGIILFVGFFYAAVVSKMLPRYENWLLAAIQNDRYYCLLVPLSLPVIIVAVYLHWLSMKMFKHA >SECCE6Rv1G0448650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857412384:857413211:-1 gene:SECCE6Rv1G0448650 transcript:SECCE6Rv1G0448650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMMMMSAGKSGRAGGHVRSASVPSQRHPLLTHVDDQLRALRSWTSDPGQNPLALAHVRALLCVLDELLEHSYLPLALAPADTLLDGFLALADAYGSFLAALLALRGHAAELRAAVRRRDPAKLASAARAQRRAAKDLAGLAAAVAKCVPVPVHTSSSSASASSGLDVARTVAKAVGDTAAASASVFLQVAALADAAAATALASSSATSPRPRLALVGGKRPAPAGEDTHRDAAARLQELEECVGELESESEKVFRSLLRTRVSLLNIHTPTI >SECCE7Rv1G0490220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:476999883:477002007:1 gene:SECCE7Rv1G0490220 transcript:SECCE7Rv1G0490220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDAAVRHVLLRQGVLGIKVKIMLDWDPKGKLGPTTPLPDLVTIHPPKEEDELRPPALVEV >SECCE1Rv1G0036190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:506446625:506454780:1 gene:SECCE1Rv1G0036190 transcript:SECCE1Rv1G0036190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIENEEAVARCKERRQYMKAAVAARNAFAAAHSAYAFSLRDTGAALSEFAHGEGVPPPPPPPSAAAAEPSKAGARLSAGAAASGAAAASADAAVEEAMPPPPPLDSLPPPPPPLPEFSPSPAKIHRSMSMPMPPKAVARGPAMLHSDSIREEEDEADMEEEDEEDDAHLDDRRRRLRHRQQAPPASPPPPETPVTPEPPPPPPPPPPPQAPLDPKTGVDTWDYFFSMEEGMASIATEDEEIMPEREDEKYVPASPPPPPSSPPPQAVVPPREEYEEEPRTPEMVTPPPSLPPKAPGQSRKKKGKGKGKGKNKAVHHQHTESAPPVTVVGGWGKAGKVVPAEVPRVDLLQVLAEIDDRFLKASESAGEVSKALEANRMHYHSNFADTRGHIDHSARVMKIITWNRSFRGMQNGDDGKDEFENDEEETLATVIDKILAWEKKLYDEVKAGEIMKLEYQRKVALLNRQKRNNAAVEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPKLLDLADRLAKMWEDMHMHHANQLKTVLDLKSVDISDSSIETSAHHHSHTRQLHDIVDKWNTNFSDLMSYQKEYINALYSWLKLNLIPIESSLKEKVASPPRVQQPPVKALLQAWNEQLAKLPDDLARHAIISFRAVLETILGVQDEELKKKEVCEQIHKEYVRKARAFEEWYHKHGQRRTFDDPESGEGTSQKDAISEKRFAVESLKSRLDSEVEAHNKLSKQVREKSLSILRAHLPELFRALTDFSHATADMHSKLRLNALMQDQGSGNN >SECCE4Rv1G0295520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898744733:898746519:-1 gene:SECCE4Rv1G0295520 transcript:SECCE4Rv1G0295520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSLQHPWAFAFGLLGNVISFMTYLAPLPTFYRIYRSKSTQGFQSVPYVVALFSAMLWIYYALLKSDECLLITINSAGCIIETVYIIIYLTYVPKQAKFFTVKILLLLNVGVFGLILLLTLLLSEGEKRVVMLGWVCVGFSVSVFVAPLSVIRLVVRTRSVEFMPFSLSLSLIVSAIVWFLYGLLIKDKYVALPNILGFAFGVIQMGLYAVYHNATPTLAPKDVDEPMPDHGAAVKALEHVVNIAKLGPVAGLELNTHYSIEPGTPPQMKQNGMAHASVMTKGSVEKATHVEEV >SECCE7Rv1G0478790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:241750231:241751475:-1 gene:SECCE7Rv1G0478790 transcript:SECCE7Rv1G0478790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPSTTCIHRHRPFFRLLVLVLAGIRPASSQFIFTPPTAGATFAWASTPPPPPPPAAGGLGSGTFNVATSILFVGVIVALFLVGFFSAYLRRCADAATGARRGGAAADANAAVAAAAAAAFSSAVGRSRRRPGLDVAAMEALPVLTYARARAVKAGRGALECAVCLAEFADDGEKLRLLPGCCHVFHAACIDVWLAAHVTCPVCRADLADPAVAAAGHVLAADLAAQVETSNDTVINVEASDPAPVEDTASDQQQAETAEERVDRFTLRLPERLRREIEEAKRLRRAMSAVTVAAASSTASGRWVPSALRTMSAARPSGRWSALFRALSGSHRSELDGSSRRVAPLQTHGASDDAVEVVVVQDDAGQAEKYYAHSLTFAGFVIDGDVAAGDWNPEVFQISSAVPVAATSQR >SECCE2Rv1G0077060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:96669923:96670710:1 gene:SECCE2Rv1G0077060 transcript:SECCE2Rv1G0077060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEECKGAGADVNAGVDPAEIEKLYEDVPPMPLMALNHISRLCKSVDASVRFYVKALGFVLIHRPPALDFSGAWLFNYGIGIHLVQRDDARRAADVNPGKLDPMDNHISFQCEDMGAMEKRLKEMGIRYMKRTINEEEGSPIDQLFFKDPDGFMIEICNCENLELVPAGALGRLRLPRDRHNPPLRIDGSDE >SECCEUnv1G0537780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:70023310:70024692:-1 gene:SECCEUnv1G0537780 transcript:SECCEUnv1G0537780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVECQVVVLRVSIHCEGCKKKVKKVLLHVDGVYRCDIDARRNRVAVTVSPKIDAGILVARLRKSGKLAEPWPEEPKQQQEPPPPAETQSQETKNQADDASKPNEAAEKPSAEPSTAQPPAPEPEKAAEETPPPAQESKEPDETKAEPGPQPQQQPSEANGVAKQQQQQHDHHDKPMDARVTMEFDDTRSRGIYGYGGPQQYMPATRQPPVHVMSYNVARPMASSSYYAAAPTPAAMPMPTPMERPRPSYGGYIDEYAPPNYYSRPAPSSYEPYYYPDAQPSPYQHQRSAAEDYYYGGPPPPPQRSAFSPPREAYGDMFNDENANSCSVM >SECCE1Rv1G0000630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2164177:2167112:-1 gene:SECCE1Rv1G0000630 transcript:SECCE1Rv1G0000630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLVVALLLLPLTNYRIYLATAWDDKDFFKYCPPSQCSQHGPEIRYPLCLESSNTSSSSCGCSERSPRKLACSGEDTILVHPVLGPYNVSAIDYSRSSMKIIPLANPCLVLQHKFILSRTSSLQEAELNFYSNFYWTSAILVCCSREFRPGAADSIAGPVSCLSNTTHFFYLAFVYEDMSRLPLDCKVAPDLDGSGREIPMHIFDDPMSDTHSQSFKESAEGILSFSERTVYWQDYTCGECELHGRCAFSSQRNETFCISDPHGSRIKVIAATSSAAAFVVLLVTVATALYISLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKMARRFKEKVGQGGFGSVYKGELPNGVPVAVKMLENSTGEGEAFINEVATIGLIHHANIVRLLGFCSEGMRRALIYEFMPNESLEKYIFSDDANIFQHLLVPEKLLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNADPRIGSQDDVYLPEWIYKKVINGEELALTLETTEEDKEKVRKLAMVALWCIQWNPRNRPSMTKVVNMLTGRLQSLQMPPKPFVSSENELMP >SECCE3Rv1G0202130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:870556806:870560481:1 gene:SECCE3Rv1G0202130 transcript:SECCE3Rv1G0202130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os01g0904700)] MAADASAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLARVALEILRERKNRFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGHDSCDDLQILRYGFEGFDEKGLFMTVDSDATRKRKDVDHGDQDSSDGATAKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLGRLQKQNEERILGTARQDFSTKGPSSENLNLRSSFQEQASTISSGYPHASQKIQGQSSVSDSQLEDTKRTVPLPASDRSINSVSSAAEPQNVAGVSPIGGVLSFKGLPVNQDRKPSETMILECQAWNGGIPAKQFMQYPKHNHARCDLLGDYACLPKPDLEHPTAPGHLFTPPPLISMSCSTEMDARNFSDVKPALVDCIKSFSPALTCTVDSVSVQLSDSVVTSTDAADRKFSSVEGLPSSKDCYFGQTSNQGSWLRSQDEPSVICGADFASLPEDLSGYPLQGGVSFENVGLSSIDLFHYNDAMILSGLQSNWYDDQDHFSSETTDYPLMDGCLFA >SECCE2Rv1G0079030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:113842795:113843859:1 gene:SECCE2Rv1G0079030 transcript:SECCE2Rv1G0079030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTTNQETGAGDKSARPAPPALPWSVRFQLFALVTANDIAQRRDGTVNRFLFSFGDRQSPARPRPDAHGVRSADVTVDASRNLWARVYSPAAGSATLPVLVYFHGGGFTLLSAASTPIDGMCRRFCRELGAVVVSVNYRLAPEHRHPAAYDDCVDVLHYLGATGLPADASVPVDLSRCFLGGDSAGGNIVHHVAQRWTDAPPPDSPVRLAGIILLQPYFGGEERTEAELRLEGVAPVVNMRRSDWAWKAFLPEGADRNHPAAHVTGEAGPEPELAEAFPPAMVAVGGLDPLQDWQRRYGAMLRRKGKAATVLEFPDAIHAFYCFPELHDSGRLVEEIRAFIRTNAPTHHLDA >SECCE3Rv1G0205320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899827323:899828459:-1 gene:SECCE3Rv1G0205320 transcript:SECCE3Rv1G0205320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSDCPVHTSVPDKYVLPPEKRPSLLIEEPSSDVPIPVIDLHRAAVSGDRQRHLIVAEIIKACKEFGIFQVVNHGVAEEVVQGFREAAAGFFTMPAEEKLPYRSDDLSKHFRVSSSTPYDRNGDRYWLDYLKITCHPVTDEHVREWPDKPGSFRSSLAEYSAAVHELAQKLLRLVAEGLGLDSGFFAGDLSSGSTQMNVNYYPPCPDPSLTLGLLPHCDRHLLTVLSQGDVAGLQARHGGRWLLVRPVPGAFVVNLGHQMEIITNGLLASVEHRAVTNTDAVRLSVVTLIMPKMECRIGPAPEMVNEATGPARFKEFEFSEFMKAYSAAAASREDVLQYFRIHR >SECCE7Rv1G0507290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:760486995:760488407:-1 gene:SECCE7Rv1G0507290 transcript:SECCE7Rv1G0507290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASATTLRDAAAILSALSAASAAQLHAHALKLGFLPSCLHLCSSFLKSYAASGRLASARQLFDETPRRDIPLWNTLVSACARSRQPHHALLAVSAMVGEGSRPNNLSVTSLLSACAQLRSLAHGRELHGYAVRNIPVLDLRILNALVSMYGRCGRFAEASTVFAGMGNKSVVSWTCMINACCENGRPAEALEVFNEMRLAVVKIDEVTLLAVISACTKLDCTSELGEWVEEYACENGFLENTRVANSLIHMHGKMGRVRRSCEIFDSMSVKTVVSWTAIIQALAVHGHGVAALVRFSQMLRQGFWPDEVIFLSVINACCHSGLVSEGRQLFKSMVVDYHITPWMEHYGSMVDLLCRSGMLDEAFEFVLTMPVKPDPVIWRVLTGACRDHGNMNLARKVMDHVIDMEPDHEGNYVLASNLYAANENWGRVVDVRVEMGVRKETSRCSTALSYIEVNGEENAESFSTAQHQ >SECCE7Rv1G0492110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:543627112:543629175:-1 gene:SECCE7Rv1G0492110 transcript:SECCE7Rv1G0492110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 hydroxylase, Anther cuticle and pollen exine developmen [Source: Projected from Oryza sativa (Os08g0131100)] MDPFLLSIILCSCIFAVVFWRKLDRMRPRLPPGPPRWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGAIDAITTDDPEVIREILIRQDEIFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTRRLESFAAHRAEEAEHLCEFVWAKSQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGLQSAGPGEAMEFMHITHELFFLLGLIYLGDYLPAWRWLDPYGCEKKMREVEKKVDDFHQKIIDEHRKARDVRKSAASLDDDGDDSKEDMDFVDVLLSLPGENGNEHMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRKIQEELDAVVGRSRMVVESDLPHLTYLRCVVRESFRMHPAGPFLIPHESLKATTIMGYDIPAQTRIFINTHALGRNPRIWDDVDEFRPERHLPADGGRVEISHLPDFKILPFSAGKRKCPGAPLGVILVLMALARLFHCFDWSPPDGLRPEDIDTDEVYGMTMPKAKPLIAAAQPRLPPQMYGSCPSHGMQMQ >SECCE2Rv1G0111490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:701309939:701310438:-1 gene:SECCE2Rv1G0111490 transcript:SECCE2Rv1G0111490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQKVVVKVSSMSDERVKQKAMETVADIYGIDSIAADHKDQKMTVIGDMDSVVIAKKLRKFGRIDILSVGPAKEEKKDDKKGEKK >SECCE1Rv1G0060520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710456777:710457148:-1 gene:SECCE1Rv1G0060520 transcript:SECCE1Rv1G0060520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMSAGWTPKQNKLFEQALAVHDRDTPDRWHNIAHAVGGGKSADDVRRYYELLVHDIARIEAGKVPFPAYRPPCPGPGHNASYEADGLKHLKI >SECCE2Rv1G0065060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:6678646:6678918:-1 gene:SECCE2Rv1G0065060 transcript:SECCE2Rv1G0065060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRNMLFAAIPSICASSLKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQKFFNPNEVIPEESNEQQRLLRIGL >SECCE7Rv1G0501490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:683501863:683502928:-1 gene:SECCE7Rv1G0501490 transcript:SECCE7Rv1G0501490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKGSWAALALLLLVALASTAVNGDHKLSARYYDKTCPNVERVVRAVMAHKFAGEPAVAPAILRLFFHDCFVNGCDASVLLDGTQFSDSEKDARPNASLRGFEVIDEIKSVLEHVCPATVSCADVLALASRDAVGMLGGPAWSMPLGRMDSRTADRDAAENLPSPHDNYTSLLSTFRERGLDARDMTALFGAHTVGMANCENYRDRIYSADGDTNIDPSFAETRRQTCPAGDNEGGMAPFDEQTPMTFDNAYYKDLIARRALLSSDQALYGSGGRQDGLVEMYSRDGKRFAKDFAKAMVKMGNIRPSEGTTVEVRLSCKMVN >SECCE4Rv1G0285590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:845564936:845565175:-1 gene:SECCE4Rv1G0285590 transcript:SECCE4Rv1G0285590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASKVVGALLLVVLLAFTHSDAQVLPTPCCNLKCCGYDCCGPPVTAAAPISPLSAAPESGPAGPVSQRVPRKVFPGN >SECCE5Rv1G0300550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27028094:27028627:1 gene:SECCE5Rv1G0300550 transcript:SECCE5Rv1G0300550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVWTPRSGARLKPTSRSSSTGSGGSPGCGSNIEYTSLRDMMLEGGGGGGGGGGENHGGGGCAFRAGSWRDCNSDNIHEFDSSNIGIRNQLLKHAASAYLQSAVVVGGSGGGAREGQGCCLVRLWHRISGKHGRGHVLEEACSWQGCVDDPAEICAALVTQSARRVAAFFAGIWT >SECCE4Rv1G0281270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825634552:825635064:1 gene:SECCE4Rv1G0281270 transcript:SECCE4Rv1G0281270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPAVYSEMPRLLLNLLFLLGHLRRLSSWLLRIVGAGVDDDLCFDYSEASDFAYSADHHHQHQEQYQRHDHGLEELEEHSPAVRFDALPYEGDGGTPLLSEGCAVCLGDFHGAARVRRPRGCRHVFHRGCLDRWASHGHRTCPLCRASLLPPAPASLSPVLLPVPLPAS >SECCE5Rv1G0346860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:664216070:664216438:-1 gene:SECCE5Rv1G0346860 transcript:SECCE5Rv1G0346860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAKRYVLRLFISLKYVTANVVDRQSGRVVVTASTVEKPLRDGLECGRACNAKAAAAVGEVLAMRLRVDGLAQEPIHADAAKEVEKKGFKNRTKVWAILNALRSHGVNLHVDDDGDHRRHV >SECCE5Rv1G0304740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:61524208:61529550:-1 gene:SECCE5Rv1G0304740 transcript:SECCE5Rv1G0304740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNLGGTGLRVSPVGFGASPLGNVFGDVPRDVARATVRRALDLGINFFDTSPYYGGTVSESVLGDCLRFAGVPRDSFVVATKCGRYKEGFDFSAARVTRSIDESLARLGLDYVDILHCHDIEFTNLDQIVNETIPALQKIKESGKARFIGITGLPLSIFTYVLDRVSPGSVDLVLSYCHYGINDTALVDLLPYLKSKGVGVITASPLAMGLLTDNGPPEWHPAPEELKLACRTAADHCRKKGKHITKLAMKYSLMNNEISTVLVGMNSPEQVEENVAATVELSTSGIDEELLHEVEAILGPVKNLTWPSGIQQA >SECCE1Rv1G0046130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614325696:614327204:1 gene:SECCE1Rv1G0046130 transcript:SECCE1Rv1G0046130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAISAVTGELVSRFVSYLADKYRLSRRAQSGEMRLRRLQQLLLRARTVVEEADGRYITNSGMLAQLTMLADAMYRGYWALGAFGYMSLEETEISPMEGVEGEVSSSSPRKRLRTVHGSARKNKAMYLADLQGVVESLEDVVVGLMEFVVLLGGCDRMLRRPYDAYLYNDNIMFGRHTEKQRLLNFMLQHSSSSPGGTPAVLPIIGAPAVGKRTLVAHVCKDERVSSHFSSILRLNGDSFRRITDHDQSFLSGKILVVVELVADVNEKDWAEFWSNLASMDSGSKVIIISRLRSAERFGTVRPIFLDTLSYEEFSYLFKTLAFGSANPAHHPQLARIAGELAEEFRTECSLVGTNIFADVMRRNLNVHFWLSMLSRLRRFAERNVSMYGEQPRLLVERCHQIDISDFVLHPAAPPLRVVPSSGSSRTEVTAERASLPRVRLGDLVMDPGVLPQGDFNVVSWESRLPPYTSFVHFVPNGNGAPGLEKQSTPLSGRKRPAVAL >SECCE6Rv1G0378170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:6771245:6776389:-1 gene:SECCE6Rv1G0378170 transcript:SECCE6Rv1G0378170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHPHGDADPATSPPPPPSRRPRGFASASAPAAPPPPARRRGEREREREKERTKLRERQRRAITSRMLAGLRQHGNFPLPARADMNDVLAALARAAGWTVHPDGTTFLSSPPPPLPPPAQFQGAFQPTTSLETPLFTNSLNSYAIGTPLDSQASALQTDDSLSPSSLDSVVVAEQSIKNESYGNSSSANSLNCMGSDQLLRASAVWAGDYTKTPYIPVYASLSMGIINCYCQLVDPEAVRAELRHLKSLNVDGVVVDCWWGIVEAWTPQKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGECGSGGVLIALPRWVMEIAQENQDIFFTDREGRRNTECLSWGIDKERVLRGRTGIEVYFDFMRSFHMEFRSLSEEGLISAIEVGLGASGELRYPSCPEKMGWRYPGIGEFQCYDRYMQKNLRQSALTRGHLFWARGPDNAGYYNSRSHETGFFCDGGDYDSYYGRFFLNWYSGILIDHVDQVLSLATLAFDGAAIVVKIPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVFRMLKKHSIILKVVCYGPEFTIQENDEALADPEGLTWQVMNAAWDHGLSVSVESALPCLDVDMYSRILDTAKPRNDPDRHHLSFFAYRQRTPFLLQRDVCFSELETFVKCMHGEATQNFVD >SECCE4Rv1G0223320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:68827630:68832041:1 gene:SECCE4Rv1G0223320 transcript:SECCE4Rv1G0223320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVRRQAATKRGGGGAAGKNAWLAADGSKRWGEKFFLLYTPFWLTLCLGVVVPFKLYESFTELEYLVLGLVSTVPAFLIPLFLVGKADSIRSLKDRYWVKANVWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMASNMTLRRLRHSTAHLPQSIRWLFEAAWILALSYFIAYLETLAIANFPYYEFVDRDIMYKVGSLFYAIYFLVSFPMFSRIDEKAEKWDIPRVAVDALGAAMLVTIILDLWRLFLGPIVPIPESRRCGQPGLAWFHHVQNESV >SECCE7Rv1G0497800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:631073912:631085199:1 gene:SECCE7Rv1G0497800 transcript:SECCE7Rv1G0497800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMAGGSGGMGGGPRSLDCRSFWKAGAFEAPSAAAREFHDVLETGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEICNGATFIKVDKSINLKDSSPMLVFQDDGGGMDPEGVRQCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAIVFTRAIRGSNVTMSVGLLSYTFLRRTMKDDIVVPVLDFQIQDGHIVPLVYGSQGDWDSSLKIILDWSPFSSMEELLQQFKDIESHGTKVVIYDLWMNDDGLLELDFDDDDEDILLRDQAKATAGTTKIQKEIIEQHISHRLRFSLRAYTSILYLKKYANFQIILRGKVVEHISVAHDLKFKKVFTYKPQVTHDSQVVSVKVDIGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLETKLRQIIIEYWKNNCHLIGYQPMNPQLKTQYKAAKDSGGPGHQFQQKSSTAQRIGAHPSNLLPETYDDAAVFGQSANGAGSGLQFSGRAQEKSTNSAGLEEDLVNIPSDGELDPNFIEKLSDENISLFTRREELKQRDTQLKQTILELEHELEETKRKCSQLSTELQVRKSQQQLPYM >SECCE3Rv1G0165930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:180650567:180654263:1 gene:SECCE3Rv1G0165930 transcript:SECCE3Rv1G0165930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEGSPAAAQAASAAAAAAKEAEYHKDVQKLVDLLSKLNPAAKEFVPSSAAATPRKGLSADAPVFYYGPIGGRNAGIGGYPGADAGYIGYQQRMRRNVYINHGRRRTNERARRADREESIRRTVYVSELDHTVTEERLAEIFANCGQVVDCRICGDPHSVMRFAFIEFSGEEGARAALNLGGTMLGFYPVRVLPSKTAILPVNPKFLPATEDEKEMVIRTVYCTNIDKKVTQLDVKSFFEELCGEVSRLRLLGDNVHSTRIAFVEFVNAEGAIQALNCSGMILGTLPVRVSPSKTPVKPRLNRVGSN >SECCE2Rv1G0081030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:135237699:135238151:-1 gene:SECCE2Rv1G0081030 transcript:SECCE2Rv1G0081030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHHGALPDEEAPSPRPSAAGCYTFLRSAASRRGHSHGGGYRRLESASAAVDVVRVEVGTTAKARSVFHVDPAVLEAEPVRRLLAAAGRRTAGGAVAVAVDALLFEHLLWLAATDGAAADDLSEIVEFYSEEEDDEDHHHDGHGIKLKR >SECCE6Rv1G0419490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:670251427:670256436:1 gene:SECCE6Rv1G0419490 transcript:SECCE6Rv1G0419490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQEAVHFDKITARLKKLAYGLSQDHCDPVLVAQKVCAGVYRGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTMKSFSETVKVMYTHFNERSGLMAPLIADDVYEIIMKNATCLDSEIIYDRDFDYDFFGFKTLERSYLLKVGGKVVERPQHMLMRVSVGIHKDDIESAVKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECASISKSAGGIGVSIHNVRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIYEFLDLRKNHGKEENRARDLFYALWIPDLFMERVQKNEQWSLFCPSEAPGLADCWGDEFQNLYSKYEREGKAKKIVSAQSLWFEILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIVEFTSPTETAVCNLASIALPRFVREKGVPIESHPAKLVGSIGSKNRYFDFDKLAEITSIVTWNLNKIIDINYYPIETARRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFEAIYYHALKTSAEIAAKEGPYETYDGCPVSKGILQPDMWNVVPSDRWDWPAIRGMISKVGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPVLKNNIIYDDGSVQKITEIPDDLKAIYKTVWEIKQKTVVDMAVDRGCYIDQSQSLNIHMDQANSGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTSLLKDKKPANAEEEEDLQAKMAQVTCSLNNREDCLSCGS >SECCE7Rv1G0500130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:661977416:661982949:-1 gene:SECCE7Rv1G0500130 transcript:SECCE7Rv1G0500130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVFALAAVPRALVLERAAARVPGCIYLCLWAPVTAHLPSSHLFCLDAWIADGGARARASFEAYRGALCAVVSGCVPGWAYREGRAYVELPEPDLTASASLQVQQQFYHEAGTKMAVFMGCESGEIEIGLSTTSVTAAVVADHVHQSLLEDLLQLPPAGLSSSSSPVPSLSIGSPEYSSLIRAMATPVAAPGEPSTQPPMMQASPLPGLLAPIAEANDYALMVQAMLAVVPSSGMSTTSTPPLPPCPPWLASHRSQRSSPRRTTAFKPYRAALPPRARPRPGAPGQRMIKTCISLLASVHMAVRNQPELPGAHHEPPPSTTSQLHHVISERRRRERLNDSFQTLRALLPPGSKKDKANVLASTTEYMAKLVSQVAQLREKNLQLEAQLGLNQSASDGNPSGKTVEIEVTTGASASTSTSTAPSQQPREVSVRVTVRAECDMSEVLTSLLARLKDTGIFAVVSVEARQHSSALARASLTLRMTEAGDVVDEAWLEEALAKVVEDAVMKTPPAGSP >SECCEUnv1G0528200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3443380:3449630:-1 gene:SECCEUnv1G0528200 transcript:SECCEUnv1G0528200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLRLLSAAAALPAPAPASLRRARLLPPAPPLPSARLVAPHRRGLSVRVRCAAAADGGEREVGVGVDAIAAEGAGIWAQVRDVVVFAGPALGLWICGPLMSLIDTMVIGQTSSLQLAALGPGTVFCDYLCYIFMFLSIATSNMVATSLANKDEELAQHQVSTLLFVALTFGIGMFLFTKIFGVQVLTAFTGSKNHEIISAANTYAQIRGFAWPAVLVGLVAQSASLGMKDAWGPLKALAAASVINGVGDIFLCSVCGYGIAGAAWATMVSQIVAAFMMMQNLNSRGFRAFSFTIPSTRELLQIFEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAGHQVMVNILCMCTVWGEPLSQTAQSFMPEMIYGANRNLMKARMLLKSLVIIGAIAGLTVGTVGTIVPWLFPSLFTNDQLVVQQMHKVLFPYFTALLVTPSVHSLEGTLLAGRDLRYLSQSMGACFSIGTFLLLLVRDKFSSLALCWWVLVFFQWSRFGSALQRLVSPTGMLYNENFNQPEHVKVKAT >SECCE7Rv1G0455180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5569811:5570248:-1 gene:SECCE7Rv1G0455180 transcript:SECCE7Rv1G0455180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKAMAPVALCLLAVTFLVGMADTSRVTACCGHRSRELRQRVVQARYLLVRTAPVAAGILLYASKADDDLRATGRKLLALFIVGDALSFVSSFLALAVIGLELSCDCAVYYWLAVAVALAAMRLVGAWVVCSRLRAQKLRRAI >SECCE5Rv1G0317060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:335816495:335818659:-1 gene:SECCE5Rv1G0317060 transcript:SECCE5Rv1G0317060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVGIAVAGGHEAGLGLFRADVSMGEPHGAAKVEYRSSPSSPSTSPTPSPPQAAAGHGGGFAAATPHAWSFGGEQEKPSEASAGDNGNGNGNGMQMAGDGEQVAGLTSGRRRGRPRGSGRRQILATLGEWYALSAGGSFTPHVIIVPAREDVAARIMSFSQRGPRSVCILAASGTISNVAFSKPGSSGSSDSTFTYEGLFEILQLTGSFTMAEEGGRRRTGGLSVSLAGPDGRVIGGVVAGMLRAATPIQVIVGSFLPNSLKQHQRRMGLHPQPSAAPAPPEADAPPPVLTAAMPISQAAPGNGRHGPPVPMAPLQVHANVEHTATTGPMNLNSSSAGFTMVGWPVGAQPMGHRPSPDINLCLTPQE >SECCE3Rv1G0191280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747591534:747593179:-1 gene:SECCE3Rv1G0191280 transcript:SECCE3Rv1G0191280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTETRALSTVVEADEVDSSNASTEQHVSTVLDLEQGPKSRTPSFIDVDRDNSGWVRRHRHGAMPDERAASADRISALELAFRGFAERKGDAVVVPSMGLTFDSLGEAYDYYNLYSWECGFGIRYGKSRTNVKGAKSMQELLCNCGGKPKKLNSSSSRTECPAMIRLLRTEDDGWYICEYRVSHNHEMLHTCAHKLHFPSHRHIDKYTRELVSQLRQNNVNLSKVYSIIGTFFGRIENVPFTKRCLRMLCAKLSRDQADEDVKKTMDYFSELKQSDPEFTYTVRVDSESRVRTLIWTTRKSKLQYHYFGDVVTFDTTYRTNLYDMPFGLFVGVNNHFQSVIYAGVLMRDEKVESFNWVFSEFVKLMGGKKPITILTDQARAMEVAIQEVYPEATHRWCKWHVLKKAKESLGTLYNKRSEFREEFTS >SECCE5Rv1G0339560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:608401145:608403635:-1 gene:SECCE5Rv1G0339560 transcript:SECCE5Rv1G0339560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGEDTQEVVAGADGRAGGHVLPLPYPSQGHVHPMLQFAKRLAYHGLRPTLAVSRYILATCKPDAAAVGAVRLAAVSDGCDAGGFGECNDVTAYLALLEAAGSETLRELLDAEAAEGRPVRAVVYDAFLPWALGVAQRHGAAAVAFFTQPCAVNVIYGHVWCERVGVPVEAGSAVVGLPGLPALEPEGLPWFLKVGPGPYPGYFEMVMSQFKGLELADDVLVNSFYELEPEEAEYMASAWRAKTIGPTVPASYVHDDRLPSDTKYGFHLFELTAAPCVSWLSTHPARSVVFASFGSLDPAEMRELAHGLLDAGRPFLWAVRESESHKLPAGYGDAVAARGGMVVSWCPQLEVLAHPAVGCFLTHCGWNSTSEALVAGVPMVALPQWTDQPMNAKYVEAVWRAGVRVRPAAADGLARRGEVAGGIEAVMAGERSGEYRKNAAAWAEKARAASREGGSSDRNIAEFVAKYGSNSK >SECCE2Rv1G0105290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:618483790:618488255:1 gene:SECCE2Rv1G0105290 transcript:SECCE2Rv1G0105290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGLAAAAGGDRVRGYGGGRVTLSVVVTCLMAASCGLIFGYDIGVSGGVTQMESFLEKFFPEVLTGMKGAKRDAYCKYDNQMLTAFTSSLYIAGVLSSLVASRVTRRVGRQAVMLTGGALFLAGSAVNAAALNIAMLIIGRMLLGFGVGFTAQAAPLYLAETSPAKWRGAFTTGYHAFLVIGTLAATVTNYFTNRIPVWGWRVSLGLAGVPAIVVVLGALFVPDTPMSLVLRGDPDRARAALQRIRGADADVNNEFKDIVLAVEEARRNDEGAFERLRGKGYRHYLVMMVAIPTFFDLTGMIVITVFSPVLFRTVGFDSQKAILGSVILSLVNLFAVVVSTFVVDRAGRRFLFLAGGVAMMLCQVAVAWILADHLGRHHATTMARNYAKGVLVLMCLYTCSFGMSWGPLKWVVPSEIYPVEIRSAGQAMTVSIALSLSFAQTQVFISLLCAMKYTIFVFYAGWVLVMTVFMAALLPETKGVPLEAMRTVWAKHWYWRRFVGDAKQDSQVNCL >SECCE2Rv1G0112810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:715665647:715666057:1 gene:SECCE2Rv1G0112810 transcript:SECCE2Rv1G0112810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSGMKGGRRSNRRLLRSFLGACRKLSAELQLLGAAAPTASAWVQLEAGGDEAIPVDVPRGHTVVYVGEELRRYVVRVSSLDHPLFRELLDRAREEYEFAADARLCLPCDEDIFLGVLCHVDSKHEYWRLALCS >SECCE4Rv1G0254640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:640133403:640133891:-1 gene:SECCE4Rv1G0254640 transcript:SECCE4Rv1G0254640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTLKEEKDGNFGHEFVKCESKPEGQIMKKCYHFEWMDDYIQRLQGLGLLDSRENAIDEFNLPHGSAAPAAAARLEYPTVVDVELKAELKKMSKNFKQLIELKKQSNLIALGMFYLMAISR >SECCE5Rv1G0326880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:487337322:487337790:1 gene:SECCE5Rv1G0326880 transcript:SECCE5Rv1G0326880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRQFYCMTLRMSIDCNGCYQKIRRALLQMQELESHLIDRKHGRVSVWGAFSPQDVAIKIRKRTNRRVEILELREAAGPGGGGDEQGAGGGQMPSN >SECCE2Rv1G0118690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:784975366:784977150:-1 gene:SECCE2Rv1G0118690 transcript:SECCE2Rv1G0118690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEPQQGRRYWRWSKADFFPEPSFQSWRAYGGALAATVPRLRDRVAARSSEAVEAGTLLAESENPLRRCLSWVDLAFLGFGSVVGSGVFVLTGQEARFDAGPAIPLAYAAAGFSALLSSFCYAELATEIPSAGGSFSYLRVELGDMAAFLAAGNILLEAVVGAAGLGRSWTSYLAALFGLDTDALRIHVPALAEGFNLLDPIAVVVLICTSALAMSGARVASTINSLASVIGIVIIAFVIGAGFSHFHSSNLVEPSFFPFGAAGVFRAAAVVYWSYTGFDMVATMAEETKNPGRDVPLGLLSSMSAITVVYCAMSLALVGMQRYSEIDANAAYSVAFAAAGLKWARYVVALGALKGMTSGLLVGALGQARYTTQIARTHMIPPYFALVHPRTGTPVYATMAVTLGAACVALFSSLDVLASVSSISTLFIFALVAVALLVRRYYVAGKTPANQLRTFLAFLALVVLSSIGLSVYYNSRYARRWPGYAVFGVGWAAGAAGLALAAKQQRQPRVYGAPLMPWLPAMSVATNLFLMGSLGSMAYLRFGICTVAMLVYYVLFGVHATYDVAHSATAADVVAENVEQGKIVPGSTLPA >SECCE6Rv1G0387520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:114631426:114633183:1 gene:SECCE6Rv1G0387520 transcript:SECCE6Rv1G0387520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHRRCSRLRRIVGGVSVGALLLLAGGHNAYSGRPVFSLPLGLGAPFPLVADSPAPPPFPFAADFSPSPSPSPQSQYSPFRLSDNSLARRLLPLRLRSSHAQPQQDADADAVLLPDQEVLVLDDTEPTGDAICAFQGGGGASSPARSLGRLPASGLHAYVCRLPEPAQSFQQLQAPLLLHSSISSAAAAAPDPPSPSPGRALLNWSSDPIVFDSALLDGGDVLVFAKGVSRRQGLQCLYRYSDGADTMLASSPAITSVQQVTRCPSPPTPIKSGGSTKVLVTLGVTGEDPMPSLATFRRQQAESSSVTLQKSSICACTMGRNISKFLREWALYHSAIGVDQFFIYDNGSEDNLAGLVAQLISAGLNITTVPWPWIKAQEAGLSHCAATQQASCQWMAVIDVDEFIFSTYWIGLEKPSKSLLEPVISVDDSVGQIYLPCYDFAPSGQTAHPPEGVCQGYMCRLKNPQRHKSLVRLNAVEPSLMNVVHHFKLKPGFKSIWTAFARINHYKYQAWSEFKIKFKRRVSAYVADWKDPINLDSKDRAPGLGVDDVEPEGWAQKYCEVKDNILQLLTGRWFGVGFGNPPH >SECCE4Rv1G0254480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:638141508:638147671:-1 gene:SECCE4Rv1G0254480 transcript:SECCE4Rv1G0254480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 1 [Source:Projected from Arabidopsis thaliana (AT1G60440) UniProtKB/Swiss-Prot;Acc:O80765] MGRRIDLSGAEIRADPGDGSPPIFLPRQPAASPLLALDIGGTLIKLVYTASCGGEEELRFAKFERRRLDDCFDFVRAQGLLGCNGTMAGSSKENMTLKATGGGSYKFGDDFRQKLGVNLDKLDEMDSVVSGANFLLQNVPGAAFTHMSGKRNSIDISPDNLFPYLLVNIGSGVSILKVTGNGNFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLVVKDICGELACQKQGLSTSTLASSFGKVITSMKKLADYKPEDLASALLSAFTYNIAQISFLVASLLGLRRVFFGGSYIRGHKSTMDNISYALDFWSESQMQASFLQHEGYLGALGALMSYGDPRDENLNLEESQEENSQEAAAPTDVTSADEHNDNNIFPYLLVNIGSGVSMIEVIGKGKFERIIGSHLGGGTILGLARLLTGCSSYEEFLDLSRRGDNLAVDLTVGDIYGERGYPKIGLPASTTAASFGKVNSGKLSEYKAEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYVCGHEKTMDKISRSLKYWSKGEVQTTFLCHEGFLGTLGAFWSYENMGIDGLASHEVIREVLLGAPYTRHFPSSPLTPEQENGGNTTAEAEVESLRRDNAVLKSELERLQLENAELKAKLVKSDEAATL >SECCE6Rv1G0394290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:293971009:293987308:1 gene:SECCE6Rv1G0394290 transcript:SECCE6Rv1G0394290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLSRLSRRASAAAAPSLRRILSSTATAPAAPSSSPPPVAAAAAGADRMRWDYRGQRQLVPLGQWLPKVAVDAYVAPDAVLAGQVTVHDGASVWSGSVLRGDLNKITLGFCANVQERSVLHAAWSASTGLPAETLVDRYVTVGAYCLLRSCTIEPECIIGQHSILMEGSLVETNSVLEAGSVLAPGRRIPTGELWAGNPARFVRKLTNEEIMEIPKLAVAINDLMQSHFSEFLPYSNAYLEVEKLKKSFSIPL >SECCE1Rv1G0058660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701448366:701450168:1 gene:SECCE1Rv1G0058660 transcript:SECCE1Rv1G0058660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGVEPTIDVKVFVDKERNRVLFAESGKEFVDVLFGFLTLPLGTVVRILGGQSRAGCLDDLYRSVEGLSTDMFRTEACKAMLLRPINAAAKQCCQLKVRVDDTKHREVYVCADTSCSVTAFSSVPGAVCRCGMVMAKLAGERPENCGNAAAGGAHSEDGVFVKGGMKFIVTDDLNVAPASTSLMLALLDEFQVPDPSSLEQRILQFSSDKIMDLLMRSITSQNPLTGHYLDVSVAPDDSVMDMLPEYLHPKEQDSEAEHSLINASLRVLHTKNNSKVLYAEVGGDFVDLLFGLLTIPLGSIVKRHGKLASKGCFDNLYTSIDGSAQGCLRPECQNLLLSPMLAPFFGCAASTMLQVQELALDNQEINACLKCIKDRGFANLATCHGKFWYRKKYHQYCNQSVKTTNLCELDPKSPKGGCDDVGAYVKHGPQKFIVTDDMHVLPLSLASTLQVVIEAKLRRKDLVEKEVALTKPQVMELLRAALVTRRALSTVLLPPIPKINKKLHYHSFGLY >SECCE2Rv1G0113570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:724104106:724105412:-1 gene:SECCE2Rv1G0113570 transcript:SECCE2Rv1G0113570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFSHVPPGFRFHPTDEELVDYYLRKKVQLKRIDLDIIKDVDLYKIEPWDLQERCKIGTEDQNDWFFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKHCLVGMRKTLVFYKGRAPNGQKLDWIMHEYRLETNENGAPHDEGWVVCKVFKKRVATVQRMAATDSAFWFNNEHMAFMAPRVDSPRQAVHHLQNAAYHHGHHQTYHHPCKVELEYHQLLPHEPASFLQLPQLEMPRLPDLIGAVAASLQPCNLPHDGQASRQLEIEPVYATDASAGEWRDLDKFMASQLSHDGSTPKESSSYANPALSFQAEGKHEEALDYVSASASSGGDNGLWK >SECCE5Rv1G0359390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:761624965:761627964:-1 gene:SECCE5Rv1G0359390 transcript:SECCE5Rv1G0359390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRPSLSLPFLLPSASLRRGPPRSPVKEKVHATSLQGTPSRARAVAPAATMADGKRSVAETFSSLRERGKTAFIPFITAGDPDLATTSKALRILDSCGSDVIELGVPYSDPLADGPVIQAAASRALKKGTNLSSVLAMLTEVIPQLSCPIVLFTYYNPILKNGVRNFMARIKQAGVHGLVVPDLPLEETTLLRSEATMHNIELVLLTTPTTPTERMKEITKASEGFIYLVSAVGVTGARSNVNLRVEHLLQEIKQVTDKPVAVGFGISTPEHAKQIAGWGADGVIIGSAIARQLGEAASPEEGLRRIEEYAKSIKAAIP >SECCE5Rv1G0358710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756827667:756829473:-1 gene:SECCE5Rv1G0358710 transcript:SECCE5Rv1G0358710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHCESYCAAPLCYIPCLPKSKDAPPGAAAGVSAPPCPVAVPATEDKPPQVQKIEVVAPAADKDEDDKEHDDGEKAAAVPLPKSNLKKANCGDDGVCAPKGNVKWLDLLGKDLTEVKEFEPSESGDSMDDEGISTCVCVIQ >SECCE6Rv1G0406380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:543541936:543542583:-1 gene:SECCE6Rv1G0406380 transcript:SECCE6Rv1G0406380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDDAGMIPSSPSADTSSSSSDIDTESTCSFFRDRSTTLGTLMGVSLADGEDRPPETQQAPDEQERPRAPAPGEEGWTWRRRWRPRRGASWWRLCRDDVGGTTSLAHFLHMERQLSGDGGEVTLPLFEDGRVLPSSSATPAAAGEDQGGKWKLRRSAQGSLSLPRLPVLLTGICSGGA >SECCE3Rv1G0148870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20878326:20879078:-1 gene:SECCE3Rv1G0148870 transcript:SECCE3Rv1G0148870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSISSDETAVSSASSEDDDDISLPPSPSPSPEPSPEPYASSSEDAPAAAPAAKKPRRPRTGTGPRPRSWPSADEVVLLEAVIAHQERHGRLPSRDDLAAALAGRLRYSGEQAAERVSNLRNRYYGSVRRLSRGTVPVTDGDMRVYRLSKRLWEGTVAERASRRERRAAARHHERRGFAELEALYPCLAAEVKSIAAGALKKAFGMISDERAAALEARVRKQRRAELKAGMKRAQLRDQVARTLLQFIE >SECCE1Rv1G0041960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:575572491:575573633:-1 gene:SECCE1Rv1G0041960 transcript:SECCE1Rv1G0041960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLVRELGEMEELVEEILTRLPPDEPGNLLRASLVCKPWRGLLSSQGFRRRYGDFHRTPPMLGFLHDWPKTGAEFFPTTDFLARGPDHKHQYSVKDCRHGRVLLSYKDDNQPIFVVWDPMTGGQMVLCRPEMSGASSWQASVLCAADSCSHVDCHSGPFVVVFVTLDEQEVVVTASAYSSETSTWCSPALTAIAPFEEEINFYGSPSVLVGDALYFLLFREQDDGVTEDSILKYDLGKSCLSEILVPEEEVERASNNPILMVGEDGRLGIAHLFFYGLSVRWRELDPDGVASWTRRMDIDVETILFPLGNISIPPELVGSVEGTGIIFVISKVGTYMFDLKSQSSKRLSSKLYQHPNVGSSLFPYVSFYYPPGTTFKA >SECCE2Rv1G0141650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:936171406:936177607:1 gene:SECCE2Rv1G0141650 transcript:SECCE2Rv1G0141650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPVSRRIVLSFLDFLNSVELAPGADPEALEVAKDCLESLFSINSSSTSERIQPGLLLELFTSLQANEQDRARPEPVSRSVSNKPSCSASTSNIQEESNKCTTSNSEGQVEETFDLDHAGDELFAKFYAVLDEINFFKTTPAGAEDPDQLSKASQFFDDALLEVRKSGRKVASLVDLAEFFKSKGNDFMRSKQHLKAVELYTGAIALSRKNAIYYCNRAAAYTLLNMCNEAVEDCLKSIEIDPNYSKAYSRLGSAYFAMGNFHDALHKGYLKASELEPSNETVRLNIEATKRKLAEQRAAPGQNTYGPHSWFGGQASSGAPFTVFPPGSAPPPPDFFNMMNRGSGNGQQPPQHSVNINLNDFFGHANVNGNAQGPTTGNPGSHTPSAPFPANAAVPPAFPFMGPGTEANHAQQASGGHGGGQGEPGAPTDAGIHINIGESMVMPEQAAEALRAVMQMFGPQMGPNEGGGAPRGPPPPPGSI >SECCE1Rv1G0035260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:497453569:497456110:-1 gene:SECCE1Rv1G0035260 transcript:SECCE1Rv1G0035260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHLPLPQSPEPQTGPPTPPATAMAGGEQLLVQESAVPVISSDADLSTPTVRLAHFLHPRAGAGQRPPLPSPPRNAGPILADGLQVEFRGWAEAPNLWTRWVAKLRPRCEPLWRKIGIQDAILATTYRVRRDERAMLQLAAIWSAETNTFVFPWGEATVTLEDVAVLGGLPLLGRPVRAPLKGALREDVEALEAVRRALYRSDSQKPDHSAWAMPFLEPPAGEGPAAGGGGATGLLEHGAFLAMWLSLFVLPAPPFDVVRAEVLPVAARLARGGCVALGPAALASIYSDLSALNRYINLDKRYQPFVGWAPLHILQLWVLARFPELRPEMATTLEVPVARHLPWAARWHQVHKVIDPINLHRVFMLPMEFEWRPYGSTAFAPSPKKSCSWVHGRDMARSLQLLSFAQCLRACELVGLRCIEQYNPHRVARQLGFDQDVPGPVARVNSDWKIAWGTYFMEPSNFAFIVPQYTLVVTFEYARWWKPYAFGCATAIDSNVNSKEFPVLVLPRNKNMEVLHDDNSGKKQHVLPVPGMPPPDTFEVFFSRLNTFEVSQTDTPLVEKFNGLDTVQGTLEHLAEVAESAQIANDSTLRCVRKGTKTKNVKQASPDVEAVVVMLDEEFDESLGKEHEVGATAGHVQPSLEDIIVISDEEFDESVGKEHEVGAMPREGNEKANEDASASNQQSDTLMEDSIVVNRKSPGNNKMYSSNPVDANPELVKRVSTKTLYYLRPIGPVKDAQERDATGTNTDQGAYLPRREVGTREMIEEASAAREAEKVVLQKVIDSLKEEIAAAQALGALRDRSPSKT >SECCE5Rv1G0356000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:735619439:735619943:1 gene:SECCE5Rv1G0356000 transcript:SECCE5Rv1G0356000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAQLKSKFFGLVGRFTVCGRAGHKDAVAAGEPKAASSQHVEIRSRGASPGKSAGSVPRSH >SECCE1Rv1G0049390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:640293675:640296479:1 gene:SECCE1Rv1G0049390 transcript:SECCE1Rv1G0049390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPTKWSKPMARCLLRRHLTAAAATATAAATAPLPARGAHRVLDRSAHTDRIQQFARLGRLREAREVFDAMPHRSIFAWNTMISAYCNSGMLEDARSLVDAISGGNVRTSTILLSGYACLGRVLDARRVFDGMLERNTIAWNAMVSCYARNGDITMARRLFDAMPSRDVTSWNSMLTGYCHGRQMVDARHLFEQMPERNLVSWTVMISGYARIEQHRKAWDIFRMMHREGVSPDQSNFASVLLAVTGLRDLGVLEGLRPLALKTGFESDVVIGTSMLNTYTRDASALDTAMKFFEGMPERNEYTWSTMIAALSHGGRIDAATAVYERDPVKSIPCQTALVTGLARCGRITDARILFDQIPDPIVVSWNAMITGYMQNGMVDEAKELFDRMPFRNTISWAGMIAGYAQNGRSEEALDLLQALHRNGMLPSLSSLTSSLFACSNIGALETGKQVHSLAVKAGCQFNSYVGNALITMYGKCGNMEYVRQIFNGMRVKDTVSWNSFISALVHNNMLEDARHIFDNMLSRDVVSWTTIISAYAQAERGNEAVEFFKIMLHEHEVPNSPILTILLSVCGSLGAPKLGQQIHTVAIKHGRDSELIVANALMSMYFKCGSADSHKVFDSMEERDIFTWNSFITGCAQHGLGREAIKMYKHMGSAGVLPNEVTFVGLLNACSHAGLVDEGWQFFKSMSRDYGLTPLLEHYACMVVLLGRTGNVQGAEQFIYDMPIEPDAVIWSALLGACKIHKNAEIGRRAAEKLFAIEPSNSGNYVMLSNIYSSLGMWVEVAEVRRIMKQQGVTKEPGCSWMQISNKVYSFVTGDKQHEQIEEIESTLQDLYTLLRTTGYVPDTEFVLHDIDEEQKESSLLYHSEKLAVAYALLVTPQGMPIQIMKNLRICGDCHTFFKLVSHVTKRDIDVRDGNRFHHFRNGSCSCGDFW >SECCE1Rv1G0048380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:634403718:634409165:-1 gene:SECCE1Rv1G0048380 transcript:SECCE1Rv1G0048380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAAGSNIISSRRRSRLGDAAMPTRSSNAPDSSPFSNDGGASPSAIDRVLRGSGRRNHLPTAASPDAMDTDFAEPAAASLADCGAQSRPDGPHASMDDAGGGGSGGHAVRPPLSGPRSGFRRLGLRGMKQRLLVVANRLPVSANRRGEDRWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQQALTTALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFDAYKRANQMFADVVYEHYQEGDVIWCHDYHLMFLPRCLKEHDINMKVGWFLHTPFPSSEIYRTLPSRSELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFKRALDIEAARKHVAELKQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPEWIDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLSAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIKHALTMTSDEREKRHRHNYAHVTTHTAQDWAETFVCELNDTVAEALMRTRQVPPDLPSRTAIQQYLQSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPDLKGPLRALCEDESTTVIVLSGSDRSVLDENFGEFNLWLAAEHGMFLRPTDGEWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMVTPIDYVLCIGHFLGKDEDIYVFFDPEYPSEPKVKPDGASVSVDRRQNGRPSNGRSNSRNSQARTQKPQVAPPPPERSSSSSDHSTANNNSHHDWREGSSVLDLNGDNYFSCAVGRKRSNARYLLNSSEDVVSFLKEMAESTTPRAGGLPPGGAAADYMFLDRQ >SECCE5Rv1G0351560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:700927993:700930299:1 gene:SECCE5Rv1G0351560 transcript:SECCE5Rv1G0351560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSMARPGPSRGGEAWFCTTGLPSDVVFEVHDMSFHLHKFPLMSKSRKISRMLAEQDEQHPAGQRRRRRGSSGGNAEEHAVPAAAAETEIVEADEEEEGDEGDEQQRQDVRMWDGKSYRITFPDFPGGPGTFEAAAKFCYGVRVELTPWNVAPLRCAAEYLEMTEEHAEDNLAARAEAYLSQSVLRHPGEAIKALKSCEELLPHAEELGIVARCVEAIAARSSASSRSWFGDLAVLGLHMYKRVMAAMAAREDVRTEALEGCLVSYAKATLPGLSRSMRWRRASAPVSSEVEQRDLLEAVVASLPADKGSGSVVTAKFLFALLRTAHILRASDAARAAIERKAATQLEQATVEDVLIPSYSGAAETLYDVDCVERVVRHFLAEEEPGGDEASSSAAITEEEAAARTTAASRPSALAMVQVGKLVDNYLAEVASDANLKPAKFCELALAMPDHARIYDDGVYRAVDIYLKAHPRLTAEERDRVCGVVDCRKLTVEACTHAAQNERLPLRAVLQVLFFEQLQLRRAISGTLLASTASPRARHPQPQRPAAALRHAAGPSEAWRTTTVQESQTLRVDMDGMRSRVQGLERECSSMRRAIKKIDGRSGAASPGSAGSPDAAAPAGWRSRYRCKFSTQVCDSQARDAVVSRASRMGMSP >SECCE2Rv1G0124250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:831080779:831082944:1 gene:SECCE2Rv1G0124250 transcript:SECCE2Rv1G0124250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADRRGALRRPILSGDEASGSEAREHGVHKAAGHCSDKALQVILCLQFLEVSAFYGVYLSLIVYLQDVLHGDSASNVAAVNSWAGVSYLMPLLGAAVADSYWGKYKTGLIGLCISVVGMAMVTTSATLPSLRPPPCSPGAYCAPATLSQELVFFSGIYLCGVGIGASKAVFISFAAEQFDDDEDGKNASGREAKASYFSWYYAVANVAMLTAGTLLVWVEDKVSWGLGYGICASFVAVAVVCLAATAPMYRIVPPAGSPMKGVLQVLVAFSRKVNLTVPEDATELYEEDGVKNPLLHPLHERLQHTEQFRCLDKAAIVTAEDLEDGDLNRPWTWRLCTVTQVEELKTLLRLIPIWLTSAVYFVANTQAQTTFVQQGTKTDSHIAGGAASVPAASLTSIETVLVAAYVTLYNRAVAPSVAFTPLQLMGLGHATAAGAVAVAACTEARRLRMAGDPEAAQMGIAWLLPQYAVMAVSDASLSVGQMQFFYDQSPETMRGASTAFYFLSISLGNLINSQLVTLVASVTSAGGRTGWFPPELNDGHLDYYFVLVVAITLLNFAAFVALAKNYTSKRVR >SECCE5Rv1G0338920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604245718:604248429:1 gene:SECCE5Rv1G0338920 transcript:SECCE5Rv1G0338920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGLSDYERLRQENIRCNQEKLAPLRRKAEELSAAIRLAKPKRPNQGKPKAPAGPVRSSARSRGIAPDNLPPDLTSTRLSPSLASSILAGGAPPPEGAEVRAAGDFDAGRDMVLLPAHARKVVPWSIVSLRVLPLADRTVVAAGDKMGNIGYWDVDGVSEDADGAGGVFRYWPHKGPVSAIVAHQAAPHKVYSSSHQGEICLMDFEEEKYSMVHLWDWPVYSLCQAQNSVRCLYFGDGKGGLTLSDERVGKVLTTWDVHEERINSIDFHPEKPHMLATSSMDRTTCIWDVRNIKRKEPDSLKVFKLNKSAESAYFSPSGRMLAVRSSNISGTVQVFTVDDFEKLHTVEYNNQKGSWPSTLKVIWGWNDTNLYAANISKGINIISVDVNDSGLSAQNSAYLRSEHMTSTPDRFSAHPYKVGYLACSSSTSKVFLWTRA >SECCEUnv1G0560870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:350107315:350107878:-1 gene:SECCEUnv1G0560870 transcript:SECCEUnv1G0560870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDRVEVETINSFSKSELLKEVYGLISILPILTLLLGITIEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLFKEDILPSRGDISLFSIGPSIAVISVLLSFLVIPLGYHFVLADLSIGVFLWIAISSIAPIGLLMAGYSSNNKYSFSGGLRAAAQSISYEIPLTFCVLAISLRVIR >SECCE4Rv1G0270000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:751844101:751846465:1 gene:SECCE4Rv1G0270000 transcript:SECCE4Rv1G0270000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSVPLGRTRRGLVSCAHSLNCRAEDAPPLPADLENAVYELIVGFYDQAYDRLPCGRIPGLLDLLATAGSCLGLLDPVSNIILNTLVLLPEGAADAASTAPPAAKKSKRPTRNAWQWHDIANRSYNSLLGFLMAYFGCLCTKQQAARYLYWAGANLSLAVMLVQHDLYYAEAEALDPESDRTQAALEWAATMAGHPSPGVLTKLMSSRLKDDDDFHLLENLLFSVADAPLKVDDVRAIDTILRMLMSPPCVATIIHTRKGPILHVRKNLDAVWSTTPSTTEDTRITSTALCWDGKSISSLQCGLPVKLQRCLGRADGLEQYLKKTPCSVDACDYLQTLKMRLQAMIHNFCIKALKLLPTPSGSLMRGFLVAGHCYGSMDPVSNIIVNSIWYNSHSCPLPESERSKIEKYNDILDPHSLLRTQVHSLMGLMELAAFAGPQFSTEACALELLCGAKCDIADMLPSSPEMLEKKNPFHEAAKAAGHPLPLQLGELHMLLLMMPDERNTLLSFMTEARTGHTVVRLDDMTLYMSRLWFKCSAAKTGGIVRAPKLFPESRKLVSSMRSKYEERRSWFRSKIEQVLKDYTTQHFWEPKYKLDIISGVEAINQGRPPLGEMCYRVNFTATSDLQLERTLFFAEFLLTGGPRPETCCPLPYVYAGRCYYGEQTARKIVYPDDAKYIPHDITHYGTRRVDDMLEMDVVHFSSEMDVELTEKLNKMHAH >SECCE2Rv1G0114770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:738163905:738164352:1 gene:SECCE2Rv1G0114770 transcript:SECCE2Rv1G0114770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQIVLAGGTIEAQHVEMKVPLYSYGCEKKIKKALSNLKGIHSVQVDYHQQKVTVWGICNRNDVLAAVRRKRRAARFWGADQPDLGEDARLGDAPKHYLRAFTAYRSRKSWKKLFPMIRL >SECCE5Rv1G0363650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:795583101:795583898:1 gene:SECCE5Rv1G0363650 transcript:SECCE5Rv1G0363650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMHESTPLLVLFLSLMLFSTVSSARHMPGDPLPVIPSPPDMPGDPLPVIPSPPPHMAPPTMEKAWEGVMVTPRPVPSGPNPIHHRPHVPPPHRPPPLTEEAREGVMVTPRPVPSGPNPIHHRPHVPPPHRPPPLTEEAREGVIVAPRPVPSGPNPIHHFPAPAPQPHHHYRCHPPPPASTEEACEEDIMAPWPVPSGSNSIHNQPTRASQPNQHRRRRKAPPPASMEEAREGDNMSPWRPLPVSGPNPNHDLPAKAPAPPHEY >SECCE1Rv1G0062920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721703553:721707206:-1 gene:SECCE1Rv1G0062920 transcript:SECCE1Rv1G0062920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIVTVASGVMDPLIGKLNALMGEEYGKFTGVRKQASFLEKELSAMNTALQKLELMDDELDPTVKDWVDHVRDMSYDMENCIDDFMHQFRAEDAKAGFIEKITQFIKKFRQRLRIADRMEELKTLALEANDRRERYKIDDWKPASSSVVVDPRLRAIYQEADTLVGIDGPRDEVVTRLMDTQKKLMVVSIVGLGGLGKTTLAKQVYDKIDSQFDCKTFFSVSQRPDICELLNNLQYKLGMNDPVSSRAHKVDDIIKELREHLKEKRYLIVVDDVWDESAWNIIKCAFPEKGNGSRVIVTTRVERVAIVACQNDRAGMYRLKPLSEENSRMLFLNRVFGSAHGCPPQLEDMMAEILKKCHGLPLAIITIASLLASEERSRKSWESIRDSLGTRSATNPTLEEMKSILNLSYMHLPAYLRACFLYLGMYAEDCEIPRDDLVRQWIAEGFISSLHVQDLEDVGRSYFNELINRSMIQPYENEEEEVCCKVHDMMLDMILSKCAEDNFVSVAYSYEGTARLHGSKYKVRRLSLTSFVGDGATYGSTISISLSQVRSFTLTGNSMPPLLLFKYLRVFRIDQDSSNGVMGTLDLTAICQLFQLRYMYVSVSRLVQLPAELKGLVYLETLAIPFAELKNIPSDIVHLPRLSYLSFCMEEGLPEWIGNMKSLRNLIIYRMVTNPSMKGIMGLAELTNLRELVIYVGSFEKPKLDALACSIGQLCKLKYLRFYGSHSKVDNQLGSLSNPLQHIEECRGSILNFHRVPKWMAGLHCLRIVDLRVEKTSTEEVHLLGELPSLVKLEFSLSHLPKERVILGRGLFLLLEHFTFHSKGDIMAYLGFQAGAMPSLRTLALNSAVWGGSIPVGMEHLLRLQKIKLYQNYSCDATMVSAFRDALSVHPNRPSVE >SECCE5Rv1G0338900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604067667:604071233:1 gene:SECCE5Rv1G0338900 transcript:SECCE5Rv1G0338900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMVMRLMRNKNHSLRQLQGATAGGLAPSSSASGAAASSFSTLQQQQQQQEDRPAPGALNIRDTAAHLIGRTPLVYLNKVTEGCGARVAAKLEFLQPSFSVKDRPAISMIEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELVLTMPSYTSLERRVVMKAFGAQLVLTDPAKGMGGTVRKATQLYENHPSAFMLQQFENPANVQVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPDILDMDIMEKVLEVKGEDAVKMAQQLALQEGLLVGISSGANTVAAIELAKRPENKGKLIVTVHPSAGERYLSSALFEGLRKEAEAMQPVPVD >SECCE6Rv1G0384380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:63138653:63139925:1 gene:SECCE6Rv1G0384380 transcript:SECCE6Rv1G0384380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKMKGIFKGLRIFSHMFAQKEHEMEIGFPTDVKHVAHIGLGTSDTSPSWMNEFKSSEDLSAGTAEQSRQTSWTSTDFEPARSMLPTEINFPDRPAQESSSCPPRGPRKARRKKTRTSSPTSSARSSSSRSRASFATAFDDFNESQRGLRVV >SECCE3Rv1G0192700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762099929:762100335:-1 gene:SECCE3Rv1G0192700 transcript:SECCE3Rv1G0192700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGVAAFLIATLLVVAVTLADARVTMQVQRESVNGGYAAKAVPALTCNKVNAVQSGDTCSSIAEGAGLAQEDFLGFNPNINCVKIFLGQWVCLDASAA >SECCE2Rv1G0118570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:783549734:783551387:1 gene:SECCE2Rv1G0118570 transcript:SECCE2Rv1G0118570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTATLLSISLASLAILVSLLSRKSAASSKKRRPPGPRCLPFIGSLLHLLTSEPQVALRDLAKKHGPVMYLRLGHVDTVVISSPAAAQEVLRDNSLTFASRPSLVVSEILCYGNTDIGFAPYGAYWRMLRKICTVELLSARKVRQFAPIRDSEILSLVRNVHAAARGGEPVNLRGLLVSCANTISAKATFGEGCDAELQEQFLSAMGVAMEYSGGFCVGDLFPSLWFVDVVTGVRRRLWRAHRVLDAVFDKIIARCQARREEKKSTATAGSGDDDLLSVMLRIKDEDGLDFPISTTNIKAIIGDMFTGGTETTSSAAEWIMSELMRYPEVMAKAQAEVRRAFDNKSPQNHESLVEGLCYTRMVVKEGLRLHPVLPLLLPRVCRETCDVDGFEITEGTRVMVNVWAIARSAEHWHDAEEFRPERFMDSTATDYTGTRYEYLPFGSGRRMCPGGNFAMAMLELIVARLLYYFDWSLPNGIRPVELDMDMIVGATARRRNHLHLIAAPYNVPIDL >SECCE5Rv1G0353250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:715140578:715144404:1 gene:SECCE5Rv1G0353250 transcript:SECCE5Rv1G0353250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-1 [Source:Projected from Arabidopsis thaliana (AT3G13170) UniProtKB/Swiss-Prot;Acc:Q9M4A2] MAGGGKRRRATVLDDDERRGRRRLEEAALLLHKIKGLVGWVVAEISAGRSPSLALHRYQNYCASAAAAAAESPSTCACSYDAPVGTDVLSFLRKEFHASRLNVLLRVLLVVQQLLQENKHCSKRDIYYMYPSMFVEQAIVDRAINDICILFKCSRHNLNVVPVAKGLVMGWIRFVEGEKKVYCMTNVNAAFPIPVSIEAIKDVVSVAHYILVVEKEAVFQRLANDKFCEKNRCIVITGRGYPDIPTRRFLRYLVEQLHLPAYCLVDSDPYGFDILATYKFGSMQLAYDANVLRVPEIRWLGVFTSDFEEYCLPDCCQLQLSSEDRRKLEGILTRCYLHKEAPEWRLKLEAMLEMGVKFEIEALSASSISFLSQEYIPQQIRLGRYI >SECCE1Rv1G0019100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:221895852:221905856:-1 gene:SECCE1Rv1G0019100 transcript:SECCE1Rv1G0019100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF1620-containing and WD40-like repeat protein, Scaffold protein for assembly of the restoration of fertility comple [Source: Projected from Oryza sativa (Os05g0230600)] MNSSSKRHHPDGPLQIGGRIRTPSAMAPLPRRLPLVLLGFLAAVSLATLAAAVYEDQVGLADWHRKYIGKVKHAVYHTQKSGRRRVVVLTEENVIASLDLRSGDIFWRHVIEKNNPIDQLSLSAGKYVVTLSSGGSILRAWNLPDGQMIWETNIRTSTSSKSLLHVLSNSKVVKDNLVLVLAGQWIYAVSSIDGVISWGKEFTLDGLEIKQVVQSPENDIVYVVGIAGSSKLTVYQLNAKTGEIVKDAQELLPDGLCGEILLGSSNVLVALDETRSALFIVEFKGESISYNKVQIAEFVQDFSGTVKLLPLMSNGIIALQSSSTVSLLKLKGMDGLEVIHSFDQPASVSDALTITEKDEAFAIVQHVGSQIEFIVKVTSDLTNEIIREKVDIDQHRGSVQKVFLNNYVRTDKSHGFRALVVMEDHSILLIQQGAVVWSREDGLASIVDVMASELPVEKDGVSVADVEHNLFEWLKGHVLKLKGTLMLASVDELAAIQALRVRSSEKNKMTRDHNGFRKLLIVLTKAGKVMALHTGDGRIIWSNLLPSLCASKSGEMPSALRIYQWQVPHHRVMRENPSILVVGRSGASSVAPGVLCILDSYSGEELNSQSFDHSVAQIIPLTLKDSSEQRLHLIVDSNSNAHLYPRSLDALNSFISEMSNQYFYSVDIQKNAIRGYSLQKSRDFNSDDTYCFSTKLLWSIIFPSDAERISVSETRKMNEVVHTQAKITADQDVMYKYLSKNLIFVATVSPKAAGEIGSAAPEEASLVAYLIDAVSGRILHRVIHHGAQGPVHAVVSENWVVYHYFNLRAHRFEIAVIEIYDQSRADNKDVLKLILGKHNLSAPMTSYSRPEVVVKSQSYFFTHSVKAMAVTQTAKGITSKQLLIGTIGDQVLALDKRFLDPRRSLNPTQQEKEEGIIPLTDSLPIIPQSYVTHSHQVEALRGIVSIPAKLESTTLVFTYGVDLFYTRLAPSRTYDSLTDEFSYALLLITIVALVAALFVTWIWSEKKELRDKWR >SECCE5Rv1G0360860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:775571614:775573644:1 gene:SECCE5Rv1G0360860 transcript:SECCE5Rv1G0360860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRESTVTKMIQRDDRSKWLSDSNHNIKCFAEDEIRIITSNYETIIGKGGFGEVYKGVLQDGRMVAVKRFVRHVEENFAKELKVHCEINHKNVVRLIGYCAEENALIIVTEYISKGNLSNVLHHECIPIVLDTRLRIAVECSEALCYMHSQMYTQVIHGDIKPANILLDDNLNAKLSDFGISRLVNTDATLFTERVIGSIGYMDPLFARSGRLTSKSDVYSFGIVLVELITKKKATVRNGETSIVECFTQALAIGKRRVRELFDVEISSQNNMKILEGVAELAGQCLSMEMDRRPDMIDVAERLRALRKTQAQGKQTPTIFSWGWRNKPAAQNNWQSSSSVTPQPLPSNLCRHFSLREMKSATGNFDESHIVSQGGSGIVYYGMIDAGATKVAIKPDRGDQDLSKFQTEIALMAKLRHHHLVSLVGYCKEKNERILIYDYMARGTLRENLYANNTEEPPLTWRQRLDVCIGVARALHYLHGCSVIPNDVSTTNILLDEILVGKVEIRVHPPQDITHVSTEPVGTFGFIDPEFYCAGQLTEKSNVYSFGVVLLEVLCARPVYDCSLPQRQVNLVHCARRCQEKDILDLIVDPYLEGKIAPRCLKKFVEIAVECVSMRGIDRPTMQEVLENLELCLAEQNVSLGDETPDDDDTNGPSRRERRLNLEMYLAESYDSDI >SECCE3Rv1G0155750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69056161:69057839:-1 gene:SECCE3Rv1G0155750 transcript:SECCE3Rv1G0155750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKESVGQDLQYSYHHYLFFSAVLLLPLLVIKLRLRPSDKDGKNPPPGPWRLPVIGSLHHLVSPAGALPHHVMRDLAGRHGPLMLLRLGELPLVVASSADAAMAVMKTHDTAFATRPQTATLRALTKDGLGVIYAPNSDHWRQLRKLVTTELLSARRVKGLRVTRETEVSSLMASIASASQSKEPVNLSSLLSRFVTDVTTRSVVGDWITERKAYLEAKRQVVKMAAKFSLADLFPSSRLARMCSGGVRQAEACNREINRIMSKVIEDHRARRSAGTGGKEEVILDVLLRTQIDGVPLDMGTIRAVILDLFAAGSESFATTLEWALAELIRNPTTLHKAQSEVRRTLAGQTRVSEDALRDLPYLHLVIKETLRLHPTGPLLLPRECREPCRVLGFDVPQGSMVLVNAWAIGRDAASWGADADEFRPERFQGDGSAVEFYGTDYQFLPFGAGRRMCPGILFALANVELAMASLLYHFDWELPGGADPTKLDMTEGSGLSARRKSELWLNATIQVTVPQ >SECCE2Rv1G0130650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:877931570:877934773:-1 gene:SECCE2Rv1G0130650 transcript:SECCE2Rv1G0130650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAKDREIGKPAELQNHSPFLSQSLAMGSCLPWGLLSSIVVLLLSLQFMLHFSFGCFVEERAALMDIRSSLMRARSLGVTNSWGEDGDDCCSWERVKCNNTTQRVSHLNLSSIFGTYSHDHWYLNSTVFSALHELEYLDLSANYPCSLALEAVENLRNLRQLNMSSNGFNGSLPALLFALPHLQSLDLSSNDFDGHIPIGSFSGPMSLEVLDLSFNRLNGTLPVRAFKNIRSLNLGDNQFSGSLPVSLFALPQMKSLDLSYNNFEGHFPTSVSSEPVQLEVLHLESNMLSGALPTERETKNLQNLRELYLSSNQFSGNIPTSLFSLPHIERLNLSNNLLGGSILINPSSNLSLTLKSLRFSQNNLSGRLSFISLQSLTKLEEIDLSGNVNLTVDINIFGWTPPFQLKQLLLSGCDLDKNIIAEPHFLRTQRRLEVLHLSNNNLSGSMPNWLFTKEATLLDLNLGNNLLTGSLDPIWHTQSSLEVIKIHMNHITGQLPVNLSSMFPNLHVLDFSSNDLFGHIPTSMCEISSMELIDLSNNKLSGGVPACVFTNFPWLYLLKVSNNRLGGPIFGGMNNLSNEIDELWLDGNKFNGTLPHDLAGEFLEVIDLHDNELSGELDTLFWNLPNLSALNLAGNRITGKIDQHICGFTSILLLDISQNKLTGSVPNNCFIVLNFLNLTGNSLSGDISFLLFNTSSLIALDIRHNQFMGNLNWVSYLENIRLLSLGGNKFEGQITPTLCRLMYLRILDFSHNKLSGSLPACIGNLSFKGDRDDAIFQSVYESNTYDYVSGYDLRGFTFPTKGNTYTYGRNVFDSMAGIDLSANMLDGEIPWELGNLSHIKSLNLSYNFFIGSIPATFGGMEEIESLDLSHNELSGPIPLQLTQLSSMGAFSVAYNNLSGCIPNSGQLGFGMESYLGNTNLRQITHGNLCAAPGPDPAAGKEVEETTSDPVLYVVTAAGFVLAFWATIGFSFCHPYGRSVMLKL >SECCEUnv1G0554420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:286980284:286982488:1 gene:SECCEUnv1G0554420 transcript:SECCEUnv1G0554420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWIQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGAAGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSTLSLIASWLHLQPKWKPSLSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPYPQGLGPLLTGQWNLYAQNPDSSNHLFGTAQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAFIFLIAGHMYRTNFGIGHSIKDLLEAHTPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPPYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTTYGFDILLSSTNGPAFNAGRSLWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >SECCE3Rv1G0204220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:890627320:890631758:1 gene:SECCE3Rv1G0204220 transcript:SECCE3Rv1G0204220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWGRTFGDDDMAEFQCFVCKDAGDVRVCDFRNCLKSYHPGCVGKEDDFIDSSDQYVCDWHKCSNCASDALYLCLCCPSSSACGDCFGKVDFVPVKQSNEFNMGFCRSCLNMAIVTEKDDADEAKVVFRGTPEHYQILFKDYWTILNDKERFTLLDLQLASIRHKRSLQCKEGKDLDEYHKTDGKPLGDNDGAGPSSLLDTMDKPNEVQATLKRKKLEKRTYVGWASKELTEFLSCIGKDTSTALDQFKVAEVVREYVRQNNLLHDKKKKSVMCDENLHSLFNKRKFKYNMIHSLVETHLFANAISEDESDGSVDDNGYTVKKKPCNSLGPKIPKSVSGIDPIIPKSVSGINKKCLAALNPNNLNLIYLRRTLVAKLLTELDTFEQKVIGCLVRVKNDLKSYTYMMNKKYYQIGLVTGIKKSSEEYKINKDRRTDVLLCVSSMWDDVKISMLSEEDFEEDECNDLLLSAKKEHFKRPTVAELEEKEASVHADIVNHWFDKELRKLEMELERAREKGWRQEYPFQIDCNDHAAVTCHACDL >SECCE1Rv1G0031270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:440760180:440760521:1 gene:SECCE1Rv1G0031270 transcript:SECCE1Rv1G0031270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCFPSRSTRRQAPHHRGGVTVVSCAEGEGEGSVKIVVSWGELERIAAGISRRQCRHRHVVVPSAPEPPGGEQWTHEGERDRLLRRRPESEGGAPVRRGDWRPELGGIPEEA >SECCE2Rv1G0121190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:805950118:805950637:-1 gene:SECCE2Rv1G0121190 transcript:SECCE2Rv1G0121190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEEPGSPQLSLSGFSSLASISSAAPPPARLPSLSLSIGNGSADGEDPQLAVSSDDGHRSMSIRAMKNRESALRSRARKRAYTQELEKEVRRLVEDNLKLKRQCKQLQSEIAALTAQQASNKQGSPHRRTSSTQF >SECCE1Rv1G0013170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:101125408:101127073:-1 gene:SECCE1Rv1G0013170 transcript:SECCE1Rv1G0013170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFSRLLVALAVALLPVIGGGAGDRGARYAGVFSFGDSLTDTGNSLRLAATRAGPSSRPPYGETFFRRPTGRASDGRLVVDFIAEALGVPHPTPYLAGKSAEDFRRGVNFAVGGATALGPDFFKSRGLEPFVPVSFTNQATWFKNVLQLLGSVHNRTRIMASSLFIVGEIGVNDYLVAFAGNTTVEEARAFVPHIVGAVRLVVAEVIAAGARTVLVPGMIPLGCEPQLLALYQSGDHDPESGCIRPLNDLAELHNRALNGMLRELRRAHPGTAILYADLYGAVAGLIASPRKYGFRGKPLAACCGGSGAYNFNMTAFCGAAGTAACADPSEYVSWDGVHFTEAANRHTACATLKANSPALLDSWTAEARRRIGCA >SECCE6Rv1G0410150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:595734447:595735857:1 gene:SECCE6Rv1G0410150 transcript:SECCE6Rv1G0410150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFPTANPPPFGDDFTKQASSTFNSFLVITVVVSVLSILGSVAIAYFVYRCVKKNGLPAININTGPAPAVAGSTMLYAVVPDSQIRDATVERFLKEIAGEKPIRFTPQQLSGFTNNYSARLGAGGFGAVYKGMLPNGLMVAVKRLHPGHDDRTSQEQFMAEVGTIGRTHHINLVRLFGFCYEADVRALVYEYMEHGALDSYLFDRTGDVGFQKMRAIAVGVARGLRYLHEECQQKIVHYDIKPGNVLLDGVLTPKVADFGLAQLLSRADTHKTVSGMRGTPGYAAPEMWMQAGATEKCDVYSFGILLFEILGRRRNFDEAAPESQQWFPKLAWEKYESGELMEVVASCDGASEEDKKAARRMCEVAFWCVQQQPEARPPMGVVVKMLEGEMDIASPANPFQHLMATPAAANLWTTGTSTVNSVSTSANGVPRGSDEIV >SECCE7Rv1G0518320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:858553883:858560726:-1 gene:SECCE7Rv1G0518320 transcript:SECCE7Rv1G0518320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLPWWLATTECTPQQSSSASLAFIFLSPCPQRALLGAIDLLFLLASLLLVLAKCRGSGRTGPEHEALLPTPAAPSRPITGRYAVALGSSAVLAAASAVLLAIAAFLLPAAPWRYAESVFLAAHSAAHAVAAWTVVSVSRKPAAAHPPRHLRVFWIATALCAALFSASAAIRCAGGSPLFPDDVLAFAGLLLSLPLAYIAVTTTCTARDEGDEQDRNPSAAAAETPYATASFLSRATFSWISPLVSKGHANGSLAAAGDVPPVSPAHSAEATYALLASNWPALARGWRSPVGVALCLSFWPQLLLTAALGLVQLASMYVGPSLIGRFVEFIRRGGTPWEGLRLVLILLVGKAVQTLASHHYNFQGQLLCMRIRGALLTALYRKSLRLSAGARRTHGAGGIVNYMQVDAWVVSGAMHGLHDLWLMPLQIAVALLLLYAYLGPAVLMTLAVIAAVTVVTAFANKLNMGYQLKFVEIRDSRVKALTEMLNHMRVIKLQAWEGTFGDKVREFRRAEVGWLKKMIFFECGSTVVYSSGPVAMAALVFGTYLAARGELDAGKVFTATAFFRMLEGPMSSFPQTIAMSVQAFVSLGRLDKFLSDAEIDSAAVERLGSSGSGDEVAVTVQDGVFAWDVEGSEGEEKDVGGEEPVLRGIEMEVRKGELTAVVGTVGSGKSSLLSCIMGEMHKVSGKVSICGSTACASQTAWIRNGTIQENILFGQPMHLEKYREIIHACCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSAIFKECLKGILKSKTVLLVTHQVDFLQNVDTIFVIKNGGIIQSGNYGDLLDSCSDFSALVAAHHSSMEASGVQGHNVQNTENCPATTVSQETPSVNSNSSNENSGTNAVAPSKEAGSSKLIQEEEKESGRVSWRVYKLYVTQAWGWWGVLLILALSVLSEGSTMASNYWMSYETSGGTIFDISKFLGVYVLIVAASIVFEFIAVLFQAFLGLKSAQAFFSKMLDSILRAPMSFFDTTPSGRILSRASEDQTKIDVYLLFYTGAGISMCISVASSIAVTCQVAWHSSASPTASEHLNRYIATSRELTRLQGVTKAPVIDHLTETFSGSPIIRCFGKEDEFYQKNLDMIDSNLRMSFHNYAANRWFGFRLELIGTLVLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYHAISVSCMIENDMVSVERVNQYSSLPSEAAGALADCPRPSQNWLRRGDIDIKDLEVRYRPNTPLILKGITISIRSGEKIGVVGRTGSGKSTLIQALFRLVEPAKGQIIVDGVDICTLGLHDLRSRFGVIPQEPALFEGTVRSNIDPIGQYSEAEIWQALERCQLKDTVAAKPEKLDALVADMGENWSVGQRQLLCLGRVILKRSRVLFMDEATASVDSQTDATIQRIIREEFQECTVISIAHRVPTVMDSDRVLVLDAGLVKEFDAPSKLMGRPSVFGAMVQEYSSRSSQATDE >SECCE4Rv1G0257300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:665885112:665886689:1 gene:SECCE4Rv1G0257300 transcript:SECCE4Rv1G0257300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVRSEGGRGRGVAAVEETAPLPLPPPPRLASAALSSSSPASIRALLARTGGGAGGSDCQQSPRSLLSRLLLRGGDHNGGNGGGSFGCRVRLPRRYGSSSSSVGESIREERKDDGAASEQSSDDVGSARVKVVQRAPELPMDTPRSSLGRKKPEEEVMSMNLGLGASLVLLLSKSAVELNKMVELRAQMEALVSEIRHETVGKEKQGGSAPAYAPAASSFSSQESTVIKDPIARAEDALSDNCSGARTADRRQLSAAVVAMDHNKMEAELQVELSRMQTQQRAMHAPMRGLELPPLHVKTTRSAHVSVDTTSRSCVVDHAAQVNADEEDEEEEDHPEEDYEEDEEEEEDDDDGGEVVDRDRSPPHGGVSARALERRLHELLQRRQQDRIVELEAALDGAQRRLQEREREVVWWRDAAKLVSHRRDESRRLRCTASEAVR >SECCE6Rv1G0411370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606826797:606827459:1 gene:SECCE6Rv1G0411370 transcript:SECCE6Rv1G0411370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKVVRNLDLERYMGRWYEIACFPSRFQPKDGANTRATYTLGPDGAVKVLNETWTDGRRGHIEGTAFRADPASDEAKLRVRFYVPPFLPVFPVTGDYWVLHVDDAYQYALVGQPSRNYLWILCRQPQMDEGVYKELVERAKEEGYDVSKLRRTPHPEPTPESQDAPKDGGLWWIKSLFGK >SECCE5Rv1G0344670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:646824176:646825269:-1 gene:SECCE5Rv1G0344670 transcript:SECCE5Rv1G0344670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPSSMWKLRQLMSIKVLFRCYWLPGVLGNLTSLEVLERIYASPSTVQELGNLARLRELDIHFLAWSLEVEETFVETIFKLHDIQSLSITCDDVPYLDLLGGRWEPPRCLRQYTFTSDHIACSALPMWMTKCGPSHLSNLSALHIRLKGVKQEDVQILGRLPALHHLWISSTHQTERLLVIGADEFRCVIAFGIHCEPATQLAFQQGALPNAEHIRFSLGVRVAKEDGNGDLFELGLGNLLSLQSALVEIHWDGVTIMEATKAYAAVRNAMNAHPNHPSIDLYMEPEITEDTDDEDDDEYDDDDS >SECCE5Rv1G0304430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:59006074:59009452:1 gene:SECCE5Rv1G0304430 transcript:SECCE5Rv1G0304430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQALTNGFLSGFMHVGLALVLLAYLPVAFLCRLVYRLLIRPFATGEDLRGKVVLITGASSGIGEHLAYEYARKGACVALVARTEIALRAVAKTARELGAPDTLVVPADITNVEEAKRAVEETVAHFGKLNHLVANAGVWSSCFFEEITNIGAFQNVMDLNFWGSVYPTYFALPYLKASRGNIVVTASVAGRVPVARMSFYNASKGAVIRFYETLRAELGPHVRVTILVPGYVVSNLTMGKGVQKDGNVGFDEEARDINVGPLPVGKTETLAEVVVASVRRGEHYVTWPGWYWPFHMVMCAAPELVDCFSRAFYVSKSSDKDGDALSKKILMAVGGKKFLYPKNIRSSQSQ >SECCE4Rv1G0253020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:621363863:621364501:1 gene:SECCE4Rv1G0253020 transcript:SECCE4Rv1G0253020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAMLLPVLISFLVLPFSALALTQDFCVADLSCSDTPAGYPCKTGVGAGDFYYHGLAAAGNTSNLIKAAVTPAFVGQFPGVNGLGISAARLDIAVGGVVPLHTHPAASELLFVTEGTILAGFISSSSNTVYTKTLYKGDIMVFPQGLLHYQYNGGGSSAVALVAFSGPNPGLQITDYALFANNLPSAVVEKVTFLDDAQVKKLKSVLGGSG >SECCE6Rv1G0428300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:725332172:725336228:-1 gene:SECCE6Rv1G0428300 transcript:SECCE6Rv1G0428300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAGDQRQRPPDGGQGGGGGSTNARCCGCGGGGAAARLQCVAALVLGAAVLLSALFWLPPFAGRGGRGPPGAQDPFAITDAIVASFRLQKNVSELKGNLSKLELDIYAEVGVPNSIVAVDLLHPLAGSNWTNVIFSIVPYPKNLTISSMGLSIIRSSFMSLVVRQSTLHLTKSLFGNSSSFEVLKFPGGITIIPPQHAFPPETLHATFNFTLNFPIYKVQDRTDELKEQMKTGLLLNSNENLYFKLGNLQGSTILPPTIVETYIVRVVGNHQPSVPRMKQLAQTITNSSKGNLGLNHTVFGRVKQISLSSYLNRSLHSRGSSDAPSQAPMQHHGHHGHHHHHHGHESNRHLAPAPLPIHTPRYVAPPPSGCPYGNRAKSRVPVPPAAEPVANDHRSTHPASSPPHPLSPSLRHPPRYPNMHSRSPVPSPPVLPEPPLPAVSFSHAHPPSERATGTGPSAGMSVAPAPHSSNASRRLSYQWVLAPLIYTLLWSLL >SECCE4Rv1G0230600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:169900216:169912079:1 gene:SECCE4Rv1G0230600 transcript:SECCE4Rv1G0230600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICLLWRNVLSRHHMRGCLTFSTVQPKVAAVGIDFGCKNSRVAIVDSLVPEVVHSETGSSMPSYVTTIQPKFSGQRYGWALQQLDGLGKCVAVGELAKRRMSRQPSQVIFNIKKLVRKQFDDHNVQEMRKRVHFSIVEGDKGEALVEICGMQFSPVELASVIFARLKDIVLMHQYHHELKVVISVPIFFDEQQREDIILAGRKAGLKILQLIDEPIAAALSSTTIKEGTIVVFGMGAGSYNVSILDVSGTNIEIKTQFGNPCVGGDQFDDILLDYFVTKIRKSYSVDIRGDKYALMLLAEDVEQAKVELSSQHKVTVTLPYIISSVQCDGDPSISISRAEFENLGVNLAEQIQEKCQTLLETANISSTDIDEVILTGGMTRVPMIQKIIHEVFGKHQDTRVNNEEAVVIGSAIQAALIVEDEREMSKDITPLSIGIESEGFFVCVIPRHTTIPTTQTVKFPAWCAYGECLPVKIYLGEHFMVHHNVFLGEVELINNQGSCQGSVYFELTFEVDKDYVVKVSGRNVHDQPEAVYDVGKALKVFPVREIMMCKHNVEKAVKNALLDWRMHATEIHARLICLARYILNSLSDVLAAMKDEVPVDLSDHAEKAMADLLKALDGDAHVLKDKMLVAELAKETILNWRPSSESHGDYSDYED >SECCE2Rv1G0139050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:925133827:925136697:1 gene:SECCE2Rv1G0139050 transcript:SECCE2Rv1G0139050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMTLGSAQGAVGSLLGSLTSILVHEAQLLGGIRRDVQFIKDEMESMNGFLLHAAEVVEEDHHQVGAWMKQVAEVAYASQNSVDRYIQSIGAGRREAGLLGYLRRLPKLVWTLPTRHRIANQIRELKIRSCEVGERRMRYDVKVPKRDPARPMNKAGWQGAGTDNTTEEKDAKQRRRLAHLGYPHDSMNGYDELISFLKDPSTRSYCQDELRIQWPRSDRLDVIAVVGKSGKGKSIVGMAYRTVLTVGSARRRFHERSFDCKAWICLGQESTETVAFLRKMLAALDSPSELDLKSAPLQPNEEEELTTRPKEKEEQELIAQLQLRLKGKRFLLVIDDVRDTSLWNRVKSAFPDKHGYSRDFCEKAVIVINTTSIDVAQSFCPDLNIDLDTGSHFYLDSAMRLINIYRTEDGEQLQLILKEIISKPLPVRLFLRALYANPNRTAADLQSLCDNLDNSTTLSSYNARQVLKFCNLTSNCMNCLLYMSIFPKDMISFKRRRLARIWAAEGMTARRGRLSALDEAEHCFDLLIAHEFLISGDISDKGKVRYRRDDTFLDIFTQIAREDHFVKNNKHLYLAYCHSVHIETYQHDKPTMRSLMSLPSSSNLGLLKVLDLDYCYFVEDHDVKIICNHALQLKYLSLRKTGITELPKQLDKLQSLETLDIRGTKVKAFAKNSVFLPKLKLLLAGRWTREELSTVAMPKRIEDMTELQVISHIAVSGDGSELKYVGYLLQLVKLGVVVSGSKTSSVLRHLYYATGNLGFLRSLSIHFAETEEENENVNKKDASPRYPKYLHKLKISGLKNGLPSWVAKLKALTKMTLHMTLITEDDFKILGLLTSLSWLRLRAESCNESTVTFKKDAFQSLEFLDIECSAITSINFDNEACPKLKKLAWFSTREQSLSGIEHLPGLKDLELAGKYDWESVEQAISKTMHRVQLASYSYPTRLTRTPTFSPTSSP >SECCE6Rv1G0426060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:711407601:711408776:-1 gene:SECCE6Rv1G0426060 transcript:SECCE6Rv1G0426060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANKQRATAHHHRLPDEIVIWEILVRLPPKSLLRCRAVCRAWCRTTNTRDFLLVHHAHQPTLPIASGYGYCSPSVNRDIITFDHRAADAQLQHVTKFDVGRFCVLQASCDGLLLLSCNAFAASCFYICNPATRQYTRLMMLCHFKVLGMYRHRPTGEYQILLYHKNDDIGLHPVGEEHDRYYIIALGSVEPPRNIRCAPGAEQVYLRGGEALVFRGNLHWHLRQCESQSNMIVVFDTTTESFKQMHAPVVFRHATLFEMDGVLGMFNCNDAGTTINIWELQDYENQVWTFKCKIELPINEISVLCGKHDDYWFAVIVPGDGELLVLVQFAEWLLQVDMDGKLVTSFHHPELFATQLQLKQTLIPHTFFSNPEYKIVMCERSAFHLKAVE >SECCE5Rv1G0330220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:526702786:526704153:1 gene:SECCE5Rv1G0330220 transcript:SECCE5Rv1G0330220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDEHAGKACPARGIRSFRNCRILWIFLEISLGILVIFKNLWIRLRLAEAQPTPTLPSAGAAGTATMTAARIADIFAMIDDHTAAGTMSGKQVEAICAMINDACHDDAKGLHGEKGRRRRDAGIGSTRCYKQMRRLGKGSSGRVVMAQHRDTGQTVALKTIHARGGARRPNVGELLKEACVLAACRGHPNLVGLHAIVRDPGTKQYCLVMEYVGPSLLHALDRHVEEHGRAFLEADVRRVMRQLLIGAAAMHERGIIHRDMKTTNILVGEDGGVVKFCDYGLAMPTAKAEPPYGLAGTVPYMAPEMLLEKPEYDAGVDMWSLGCVMAEMLSGKELFSGERTTGQVGKILDVLGTPGKKTWQHLESALRADEVRQWRARQREVRRRHDRLRELFPEELLSWHGFHVLKGLLTCNPSKRLTAAAALRCPWFKLDGPGTDDASDHGIGGAALARYTA >SECCE2Rv1G0108790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:668193098:668199782:1 gene:SECCE2Rv1G0108790 transcript:SECCE2Rv1G0108790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MLTSATAPPSSSSSSSHAPTRFASARGRRRPVLAMAASDDPRARSVAVVGAGVSGLVAAYRLRKSGVRVTVFEAEDRAGGKIRTNSDGGFLWDEGANTMTESALEASRLIDDLGLEDRLQYPNSQHKRYTVKDGAPALIPSDPIALMKSTVLSTKSKFKLFLEPFLYEKSSPRNSKKVSDEHLRESVGSFFERHFGKEVVDYLIDPFVAGTSAGDPESLSIRHAFPGLWNLEKKYGSLIVGAILSKLTAKGDSAKKGGASSGKGRSKRASFSFHGGMQTLVDALHKEVGDSNVKLGTQVLSLACNCDELSASDGWSIFVDSKDASSKELAKNQPFDAVIMTAPLSNVQRMKFTKGGAPFVLDFLPKVDYLPLSLMVTAFKKKDVKRPLEGFGVLIPFKEQQKHGLKTLGTLFSSMMFPDRAPNDQYLFTTFIGGSHNRDLAGAPTAILKQFVTSDLTKLLGVEGQPTFVKHIHWRNAFPLYGHDYDSALEAIGKMESDLPGFFYAGNNKDGLAVGNVIASGSNTADLVISYLESGIKQVS >SECCE1Rv1G0057180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692584773:692585171:-1 gene:SECCE1Rv1G0057180 transcript:SECCE1Rv1G0057180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSAGRLPAWPRRYEPDGAAGQEDAAGRGEAVRRAVAESPVLVVGRRGCCLSHVVKRLLQGLGVNPAVHEVADEAELAAAVTRDEAVVALPAMFVGGRLLGGLDRLMAVRISGELVPMLKDAGALWL >SECCE4Rv1G0285840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:846759474:846760583:-1 gene:SECCE4Rv1G0285840 transcript:SECCE4Rv1G0285840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELHPDIVDEVLVRLSRDDPAGFIRASAVCKSWLGTLTNPAFLRRYRDMHGTAPLVLGFLHNPVDRRLARFVPTTAFRPPAATDHGTTVVLDCRHGRALFYDYGSTEFVVWDPITGRERRMPDDVLDTCTNHAVLCAAGTGCDHSACNEGPFIIASAGADAWFVNSETGERGGPDGLYLDSKRYRLEEGRAAVLVAGALYFVCENAALLRYDVLGLGTQDLSVIEPPPGKFRGNKIIVRRAENGGLGLATLRHDVLQLWSAETHPGGDVKWAKMNRIQLRKLTPFKRPARLIGYAEDINVVFVKSDDHCIFTIELKSLLMKKLCEMDEVDDVFPYVCFYNTPTGTTSSTSSSMFG >SECCE6Rv1G0425310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:706215552:706219623:-1 gene:SECCE6Rv1G0425310 transcript:SECCE6Rv1G0425310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALVSVATGALNSVLEKLGTLLVDEYNRLKGVCGEIKFLTHELTAMHAFLLKMSEEEDRDVQDKVWMTMVRELSYDIEDSIDDFMQGADDKDAKPDGFIKKIKHILGTLGKRKAHHRMFQDLKNQVIEAGERNQRYKTPQAFSNTKNATVDPRALAMFEDASKLVGIDEPKAEIIKLLIEGNVYAPVQQQQPKIVSIVGSGGMGKTTLANQVYQDLKGKFDCRAFVSVSRNPNMKKILRTILSEVANKDYAHTKARDEQQLISKISSFLVDKSYFIVVDDIWKKETWDVIKYAFPMTSRGILITTTRMIDVVHSCHSSFNGHIYNMKPLHMEHSRHLFHKRLFNSKEDCPSYLEEVSEQILRKCDGLPLAIIAISGLLGNKERTKQQWNQVKDSIGRPLERNPSVEGMMKILSLSYFDLPSHLKGCLLYLSIFPEDSIIEKKGLIRRWVAEGFVCKEGRYTSYELGEKCFSELVNRSLIQPVKMDKYDKVLTFRVHDTILDFIVSKSIEENFVTFIGVPSLSIGTQCKTRRLSVQVDGKGNSVMPMNLILSHVRSLNVFGNYVKIPSMTGFSHLRSLDFGRCRQLENYHLVNVGMLLQLRYLNISITRVSELPEQIGNLRFLEMLDIRHTNVFDLPASIVNLGKLTHLFVSGHVRFPDGIAKMQTLDTLKCVTALQSYNFLQELGRLKNLRKLHFYHMYVSQEHKEVIASSLRNLCTRNLCSLTITMLSDKLSDNFLLNTWCTSPPLNLQKLTTTGFVFPKVPYWVGSLVNLQKLCLQVRRIKHEDLCILGALPALLSLGLEGKEYQSSCEDKRLAVTGEVGFRCLRVFTYCAQGDGMDLMFVARCMPKLEKLVIVFLGCDNESLSRSGAFSFGITNLSCLITFKCVLNCSGITRRTTDIVKALLERVVGTHSNKQLNLIFEDTAIRL >SECCE4Rv1G0238450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:377840479:377853857:1 gene:SECCE4Rv1G0238450 transcript:SECCE4Rv1G0238450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHNEVDGSGVPLAVLLKRELCNRKVEKPDILFGEASKSKKGEDFTLLVANCHRTPGEGPGDNAGGDDTISMFVIVDGHNGPAAAIYTRENLPNNVLAAIPPNLTSEEWTAALPRALVAGFVKTDKDFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGSVYFLSADHRLDANEEEVERVTASGGEVGRINIAGGAGIGPLRCWPGGLCLSRSIGDTDVGEYIVPVPHVKQVKLSNAGGRLVIASDGVWDAMRFQEALNCTRGLPAEAAANRIVKEAVSSKGLRDDTTCIVVDILPPEKLSPPLKRHGKGGIKALFRWRPSDELSEEQTDNGCFEPDVVEELYEEGSAMLAQRLNVNYPAGNMFKLHDCAVCQLEMKPGEGVSVHGNTPKHHSRVDPWGGPFLCSSCQVKKVAMEGKLHS >SECCE4Rv1G0231720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:187524164:187524864:1 gene:SECCE4Rv1G0231720 transcript:SECCE4Rv1G0231720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHWSTSSPPCYSNSGSGSGNGPLLPSMASSSYGDLLLLQEQQQQQQHHYYSQSMQRVMSAGDLQTLGAPPGLGPAPVGRYSAEERRERIEKYRTKRNQRNFQKKITYACRKTLADSRPRVKGRFARNVDDDAAADQSEDATTTTKTVDVSLLNDASSSSMPPEWWPAMQGALAVEDDELIASYLAVSSINLY >SECCE1Rv1G0042480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:580839926:580842228:-1 gene:SECCE1Rv1G0042480 transcript:SECCE1Rv1G0042480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPPSSFPPASPSSYFNMSSGFLDSPALLTPSLFASPTTGAFPSHQFNWMGTPENDGLQGSTNQDEQRQYSGFTFQTTAPMPAATASASSFLPSSMPMAQLVGDSYEQQQQQQPWSYQDTGMNGGTRPAEFTTQFEPPTTSTIMATKAPDDVFGNGAYSVPVSSGTAGYRVQSRRPSSDDGYNWRKYGQKQMKGSENPRSYYKCSFAGCPTKKKVEQAPDGQVTEIVYKGTHNHPKPQNPRRGSGSAAASSYALQCHGANDASSDALSGTPENSSASYGDDETNGVSSRLAGAIGGGEDQFDDEEPDCKRWRNEGDGEGTIMAVGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTPNCPVRKHVERASQDLRAVVTTYEGKHNHDVPAARGSAAAAARYRAATLQPAASYLQGAGGYSSLRPDGFGGLDDGGAPSEMSGFALSGFGNPSYSYAGMQDQQLQPQQQQSDAMYYDASRTTKDEPRDDMFFGHSLMF >SECCE7Rv1G0518170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857926175:857931925:1 gene:SECCE7Rv1G0518170 transcript:SECCE7Rv1G0518170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGVWQESPSQAELFAAEVATVRAVLGAALPEAHVLAALSRSGGNVERAINALLDDGADADADAAAERAIDALLDAEKVRVKAERDATAAAAPAPTPVVRVKAEAVDVPKKERPVRPPPAKVAPLPPPRRVKEEKRQEEEEEVTSGLPRPRAGGCGISLVPRPVKMDCDDDEVEVIDAAPRSKKRVREEEGVVDLTATHPLPYLNPRPIRAIPPEEAAQMYDPQPIRAVPPREAAKMHNPPQRQRQRPQPARAIAAPPKSDWKMVVAAPEAEVGDFPPEPDWFLVDKSYVAGLSTHSGRRMLDAGEIVHFSFPSYDRVNSGIKMSAKKAASLLQIVRFSTKRSGEIGKLSPEWTKCLVPLVNSSKVKIQGKIVFQTMELRLMQDILLYVSFYIHKSVFTEGDNSSLSQLAPANVDYSDNPLHALFKLLKLRASVKADFTLDELTRKRPWNLRGDANGDDESTPIVGLETRRTAGQTFPEQAADEQAISEAALNKIIGTAEIYDLKEAEPPHTLVSVLKPYQKEALFWMSELEKGCIDDDESKNAIDPCFSAYTIADKRAPAVYINVFSGEATTKFPSLSKMTRGGILADAMGLGKTVMTIALILSNPRGEHSNYIERDIIRPVKGRDTRTRTSTPSIRGGTLIVCPMALLSQWKDELEAHSTPGSISVFVYYGGDRTGDLKLMAEHTVVLTTYGVLQSAHKADGSSAFHRIDWYRIVLDEAHTIKSPRTKAAQAAYMLSSQCRWCLTGTPLQNNLEDLYSLLCFLRVEPWCNSNWWQKLIQRPYENGDERGLKLVKAILRPLMLRRTKETKDKMGKPILVLPPANIEVVECEQSLEERDFYEALFRRSKVQFDKFVAQGNVLNNYANILELLLRLRQCCDHPFLVISKADTKKYTDLDELAERFLKGARNDPGCRAIVPSRAFVEDVVEEIRQGAAAECPICLESTSDDPVITPCAHRMCRECLLSSWSTPAGGPCPLCRSPITKADLIMLPVQCRYEVDAKNNWKDSCKVVRLLATLEDLGKKGEKSIVFSQFTSFFDLLEIPLNQKGIKFLRFDGKVTQKHREKVLNEFSQSKDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRAVQVRRFIVRDTVEERMQQVQARKQRMIAGALTDEEVRSSRIEELKMLFK >SECCE7Rv1G0473740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:160424503:160428961:-1 gene:SECCE7Rv1G0473740 transcript:SECCE7Rv1G0473740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPRPFLLQALTPLPFSRGPLAVRRTLSAHAAAAGAAPRGDAPAPARTRHKNSRKAEPPRVPADTALFFSPGVDRDAAVTAEMLIPGSNIVVGPYAGDARVKEAEFIGCSAHARDCPKDDRPEFAVLGRSNVGKSSLINTLTRRKEVALTSKKPGKTQTINHHLINKSWYLVDLPGYGFAAASKTARTDWSSFTKGYFLNRDTLVGVLLLVDASVPTQQIDLDCASWLGRNNVGLTFVFTKCDKAKKGKGGRPDENIKEFLENIGSLYPKPPPWIMTSSTTGLGRDGLLLHMSQLRNYWDNEAT >SECCE5Rv1G0369500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:835588512:835590047:-1 gene:SECCE5Rv1G0369500 transcript:SECCE5Rv1G0369500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSFLELSLSLLCFVALYYFHARTRRKNPVIPLEWPLVGMLPALLANLPRLHDWVTSLLTASPLNFRFVGPPRSGMELFLTSDPANVRHVFTSNFANYPKGPEFAEIMDILGGGIFNADGDSWRRQRAKAQLLMSGPRFRAFVTRCSRRKVERDLLPLLAHVAAGGTGVCDLQDVFLRLTFDTTTTLVFGVDPGCLAIGFPEVPFARAMDDAMDVLLVRNVLPPSWWKLVRWLGVGYERKMAVAWRDIDRFIGDTIAKRREAVKAGGGIEESAADLLSSYIDDDDEDEASTVVDAFLRDTTMNLMLAGRDTTGSGLSWFFYLLTRNPQVVSKILAELDTVNSTTTTPDGMVTYDPDELGRLVYLHAALCESLRLYPPVPMEHKGVVAAEALPSGHEVRPGDKIMVSLYAMGRMEGVWGKDCREFRPERWIGEDGKPRYVPSYKFVSFNSGPRTCLGKDMAFVQLKAVAAAVVRNFEVEAVAGHVVEPKISIILHMKNGFKARIKRRQML >SECCE5Rv1G0317280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:339622019:339625802:-1 gene:SECCE5Rv1G0317280 transcript:SECCE5Rv1G0317280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G21640) UniProtKB/TrEMBL;Acc:A0A178V6X3] MPDDAAPIAHAPASDPAAGSSDDEITVEDASFARTELPQDGSAPPVVTTDMEVLHDKVKKQVIKEGHGKIPSKFSTCFVHYRAWVQSSMHKFEDTWQEQHPVEIVIGKEKKQMAGLGIGVGHMKSGERALLHVSWELGYGKEGSFSFPNVPPSADLIYEVELIGFDDAKEGKARSDMTVEERIEAADRRKLEGNDYFKEKKIEEAMQQYEMAVAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKQKRFDEAIAQCSIVLSEDETNVKALFRRGKARAELGQTESAREDFLKAKKHSPEDKEIMRELRSLAEQDKAMYQKQRELYKGLFGPRPQPKPKAKNFAVLFWQWLVSLVHYLARMFTRKND >SECCE3Rv1G0160060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:102444079:102447537:1 gene:SECCE3Rv1G0160060 transcript:SECCE3Rv1G0160060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLIGLPVPAMPVAMDRMLLLAAFLLLSSTYTTTTVSAGTGDDAGALLRFKASVHKDPRGVLSSWQWQQQQATAGGGGNGTWCRWYGVTCDGEGRVEGLGLAGCGLSGRASFAALASIDTLRHLNLSGNAQLRADAAGDIPMLPHGLRTLDLSDGGLAGSLPADMQLARYYPNLTDVRLARNNLTGALPLNLLSPPSTIQVFDVAGNNLSGDVSGASFPDTLVLLDLSANRFTGTIPPSFSGCAGLKTLNVSYNALAGAIPDSIGDVAGLEVLDVSGNRLTGAIPHSLAACSSLRILRVSSNNISGSIPESLSSCRALQLLDAANNNISGAIPAAVLGNLTKLEILLLSNNFISGSLPSTISACNNLRIADFSSNKIAGALPAELCTRGAALEELRMPDNLLTGAIPPGLANCSRLRVIDFSINYLRGPIPPELGMLRALEQLVTWLNELGGQIPAELGQCRSLRTLILNNNFIGGDIPVELFNCTGLEWISLTSNRISGTIRPEFGRLSRLAVLQLANNSLVGDIPKELGNCSSLMWLDLNSNRLTGVIPHRLGRQLGATPLSGILSGNTLAFVRNAGNACKGVGGLLEFAGIRPERLLQVPTLRSCDFTRLYSGAAVSGWTRYQTLEYLDLSYNSLVGAIPEELGDMVLLQVLDLARNNLSGEIPASLGRLHDLGVFDVSHNRLQGSIPDSFSNLSFLVQIDVSDNDLAGEIPQRGQLSTLPATQYANNPGLCGMPLVPCSDRLPRASIAASSGAAAESSNVRWPLPRAAWANAVLLAVMVTAGLACAVTIWAVAVRVRRREVREARMLSSLQDGTRTATTWKLGKAEKEALSINVATFQRQLRKLTFTQLIEATNGFSAASLIGSGGFGEVFKATLKDGSTVAIKKLIPLSHQGDREFMAEMETLGKIKHRNLVPLLGYCKIGEERLLVYEYMTHGSLEDMLHLPADGTPALTWEKRKTVARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDGMMEARVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSLGVVLLELLTGRRPTDKEDFGDTNLVGWVKMKVREGAGKEVLDPELVAAAAGYDETEMMRFLEMALQCVDDFPSKRPNMLHVVAVLREIDASSSQPPLPAAGA >SECCE1Rv1G0062110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718446342:718449658:-1 gene:SECCE1Rv1G0062110 transcript:SECCE1Rv1G0062110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDAGPEAAAAEQEAKRAAAAAYDYEADPRWADYWSNVLVPPHLAARPDVVAHFRRKFYHRFIDRDLVVEPMSTTGSTQPSRPDIRSSPSASSESVRARNSGSSSRSAAPPPAAPQAGAANPLRFDARTIHFSVNAWVLVVAGLGMLPIMPKHLADRACKLSLLGTVLSSGYSLYTTYGKPRELNMAAIQGWLQSVLGAKDFIHLMFSLLLVTSQLHLKIAALPVFCWALDHVARFLRRNFSRSSFYRGYLEEPCLWVETNNTTLSLLSSNAELALGFLLIISLFSWRRNFIQTFMYWNVLKMMYRAPVTSSYHQSAWAKIGRTVNPYIDRYAPFLQTPISMVQRWWHR >SECCE5Rv1G0310320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:167901411:167902295:-1 gene:SECCE5Rv1G0310320 transcript:SECCE5Rv1G0310320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTAAAAVRCHAHIIRRGLLPASSPLLPFASRVASSTPLRAQPLRFSLSPVPKSISSTSTSHIPVRSLFTGIVEEVGRVRRIGPPPTPPGGGGGGGGDAAPGVDLEVETKNLLAGTQLGDSVAVDGTCLTVAAIDTAASTLTFGVAPETLRCTSLGERVAGDDVNLERALTPASRMGGHFVQGHVDGTGEIAAFRPEGDSIWVTVRAPPEILNLLVPKGFVAVDGTSLTVVNVNEEEGWFDFMLVRYTQDNVVLPRKKVGDKVNLEADILGKYVVKLLAGRLEATTSKANS >SECCE1Rv1G0046100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614305488:614306993:-1 gene:SECCE1Rv1G0046100 transcript:SECCE1Rv1G0046100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVSAVVGELLNRFVSFLANRYLRSNRHAQSEEKQLKKLQQLLLRARTVVDEADGRYITNSGMLAQLRMLADAMYRGYWALGASNYFSLEETTTMGEEDGEDLNPSPLKRLRTVHSIARKNRAMHLLELQGTLESLEDVVAGMMEFVVLLGGCDRMLRRPYDAYLFSDKIMFGRHTEKQKLLNFMLQHGPPGGVPAVLPIIGAPAVGKRTLVAHVCKDERVRSHFSSILHLNGDSLGRMAEHGGLMPGNILVVVELVSDVHEQDWANFCSAVTTSMDKGSKVIIISRLKNSEQLGTVEPIFLNTLSYEEFSYLFKALAFGSANPAQHPRLARIADELAREFQSEWSIVTANLFADIMRRNLSVHFWLCILSRLRRVVEKNISMFGEHPKLLAGRRHQIDITEFVSHPAASPLCIVPSYTSGSSRTEVDVGREFLPRVGLGDLLMDPGVRPQGDFNVVAWESRLPPYASFVHFATSGNGAPGAAEQSTPLTGRKRAAVHL >SECCE1Rv1G0018200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:182525208:182527209:-1 gene:SECCE1Rv1G0018200 transcript:SECCE1Rv1G0018200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTSNKTGQVPSLLANVEVSHLDGFDTTTPMTSPKPVLHPDTGRPVRMRPPERVAPRSEAVIPAFDSPFNPPGLRSGHPVSISLPSSPTGFGEPFVAPGGDLHERKQAMSNAAQEPPQHVRFVQPHRVMFRSQPIPGGVPTHAARRVGSRGGRTMNRDKRYDSFKTFSGKLERQITHLAGGGVPASTPEEEELAQGQGEAVSGENVDRFFAALEGPELDKLKSSEELALPADKKWPFLLRFPISAFGMCLGVSSQAILYKTIGTAAPTEFLHVNPKVNLVLWFISVGLMSIITAVYAFKIIFFFEAVRREYYHPIRVNFFFAPWIACLFLAMGVPPSVATQLPAWLWYALMSPVLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALMGASMGLKEGPIFFFGVGMAHYAVLFVTLYQRLPTNATLPKDLHPVFFLFVAAPSVASMAWAKIVDEFGVGAKLAYFIAMFLYASLAVRINFFRGFRFSLSWWAYTFPMTGAAIASIRYATVVDNDFTKALCVGLSLLATLTVTGLFATTMVHALVFRNLFPNDIAIAITDRKMKPIMELRESGKDDDTRSGSSSKDVEAGATAAVAPEA >SECCE1Rv1G0034520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:483945166:483947547:-1 gene:SECCE1Rv1G0034520 transcript:SECCE1Rv1G0034520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFSGATGMGQPGSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSTVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKKFDAHSKTNEETVQEMLSLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >SECCE7Rv1G0493880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:576129137:576132842:1 gene:SECCE7Rv1G0493880 transcript:SECCE7Rv1G0493880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 5 [Source:Projected from Arabidopsis thaliana (AT5G63640) UniProtKB/Swiss-Prot;Acc:Q9FFQ0] MASEMVKAATSEKLKEMDWAKNIEICELVAQDPGKAKDVIKSIKKCIGSRNKNAQLYAVMLLEMLMNNCGEPIHKQVIDNGLLLILVKIVKKKTELPVREKIFLLLDATQTSLGGAKGKFPQYYEAYYELVSAGVKFSNRPNVVITQVHNPVPEAIIEPDTDNLSSRSNGVQQEANAQPVSDTSIIRKASSVMEVLRDVLNSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDEVVVSQCIELNEELQKVLVRHDTLLSVHPTTTRVPSNLKEDEEEEDAESLYRRLRKGKALSQDHLDESIPSFRSIPEEKMRRPLTIQAPLPDRKPTALNIRSPDNPEARPDPAVLIPPPPAKHAEREGFFREKSMDGGVNLPGHLRGLSLQSSRDGSSSCSGSTDYGD >SECCE4Rv1G0283810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836466673:836473164:-1 gene:SECCE4Rv1G0283810 transcript:SECCE4Rv1G0283810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALKRKAAEAPADGPDPPLKAPRADAAPPAPAATERVACLHDVSYPEGYDASASGPRVVAGGGEGAAPAKTFPFPLDPFQSEAIRCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPAGGDGLYLVVDENGKFREDSFQKSLNVLAPATGSDKKRENGKRQKGLVSAGKTNEETDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNGDDEKVNIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGGADSLNSAFHLSYNMLLNQLRSEDGDPEKLLRHSFYQFQADRALPDLEKQVRELEIERSSMVIEDEESVKDYYDLLQQYRTLKKDVRDIVLSPKYVLPFLQSGRLVRVQYSTDESTFSIDENVSWGIIINFEKVKTNAEERRPEDCDYTVDVLTRCSVIKDISGKKTMKVIPLKSRGEPVVISLPLSQIDGLSSVRMYIPKDLLPVEARENTLRKVEEVLSRFAKDGVPLLDPEEDMEVKSSSYRKAARRIEALESLFEKHDIRNAPHIQQKLNVLHAKQEIKAKIKSIKKTMRASTALAFKDELKARKRVLRRLGYITSEDVVEIKGKVACEISSADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFYQLQETARRVANLQLECKIQIDVESFVNSFRPDVMEAVYSWARGSKFHQIMEMTQVFEGSLIRAIRRLEEVLQQLILASQSIGETQLEAKLEEAVSKIKRDIVFAASLYL >SECCE7Rv1G0526930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:899442374:899445993:-1 gene:SECCE7Rv1G0526930 transcript:SECCE7Rv1G0526930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQRIGPETVEEAVHQIIPYLEDMSSTADKAIYYDGWRGLSASAVLRAIAQDPPPSLLKKFDKIVHVDCSRWKSRRALQKKIAQELNLPQWVMDIFDRQDEEDDFKGIDEGSRAEIGFVGREILHVLLGQRYLVVFHNGSNDIVNLSASGIPQGEYFDIKVLWSFRGRLRLSPGISKKVDHSHLFICSEDLGYKWNLLLTEEAREISGYTDKLGEAVEECCLYLLSLNSQGGNIMDYNWATHASSYWVCDGILQGGQSEEAWEVAADLQQQIRIDDYSSNVIPSFGDQLKTPLKQWILARKNSDVHPESTSLFIGTATSGSDPPLWLLPNDMFHQSENLHVLKLCCCTFSFSSPPFHYCHNLRFLGLDSCQDLQLEEDEKQDIPTMNFLKGLWVIDIRDTDWDLPSSREIIEQMAANIREVHIKNGRFWDIIFAWGQPRNLRKLRVIDPSCSWETGEKDEFRVLPSLSRSTSLKTLVLDGCVGLEYVEGLPPLLESFSLDARPRKDDSKEAKISRISLAGCARLTDFTLRGSLPNLEELDLSATRVKTLDLTTQVVQVPCLQQIIMLGCMQLHAILWPKEGLPTLTTFHIDSFVCPVQTKLHEVYVTITDMRFFQSLVLQSSARFCWKSNRFHLNLCVPCTANVKGQSCMKEKMIPGNSGQMMGPSQPKSLSSNTCSTYIDISVGNIIIDHGYNNGMQFQPSGCHVEIGMGVSNASVESVEAMKAFIFAMNQSESLHVHDNSSIPTVNSTVGRNLDWKLLKHCNVVRCPKMHTVFTTNYNGYPFTEIETFWAADLPMAHCIWSKGMTWSGTETCCFAKLRSIHLYSCPRLAFVLPLLWGIQGSYLHNLESLHIVNCGDLKTVFPVHPGLKESVLEFPRLKHIHLYELYKLQHICEVKMHAPKLERVWLRGCWSLRRLPAIGGASRRPIVDCEQDWWEKLEWDGLEAGHDPCLFERRHSSHYKKPLPRVSVLR >SECCE1Rv1G0036390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:509106917:509108338:1 gene:SECCE1Rv1G0036390 transcript:SECCE1Rv1G0036390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTVVLLPVWAAGHLMSMLDAGKRLLARSGGALSLTVLVMQAPAENHRSEVAAHIEREEASGLDIRFHHLPAVEPPADCLGIEEFVSRFVQLHAAHVKAAISGLACPVAALVVDFFCTTMLDVSRELAVPAYVYITADAAFYALLLRLPALHEEVAVEFEEMEGMVDVPGLPPVPPSSLPVPVMDKKNPNYTWFMYHGRRFTEAKGVIINTVTELEQSVLAAVGDGRCTPGVPAPAVYPVGPVLSMTPPAEQPHECVRWLDAQPPASVVLLCFGSMGFSTAPQAQEIAHGLERSGHRFLWVLRGPPAAGAREPSDANLGELLPEGFVERTKEKGLVWPTKAPQKEILAHAAVGGFVTHGGWNSTLESLWFGVPMVPWPLYAEQHLNAFTLVAYMGVAVAMEVDRKRNNFVAASELERAVKALMERDSEEGERAREKAAVMKAACRKAVEEGGSSYCALGMLSEEIIKGANK >SECCE3Rv1G0208650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:934162148:934163257:-1 gene:SECCE3Rv1G0208650 transcript:SECCE3Rv1G0208650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFLDLLVEECRGSGSRFHLHRIDPAGLFYPAGSPKLAAAATMAVDAPAPATARLPRAAVSFEPCHENSRESGQMTMMSLKNDVVVVNGRGGTVLYDGASGGLRTMQPAPLHSPARSNFLMSVTVGDGLYVLSLGAGGYHTPCFQALVYGRQLGGHCRAEDWHWRPLQSPTFDYCPDDDDHPSSSRGYYDEWHHDVHEPTPPGVIGAYTVVGGSRIWVSATTGAGTYSFDTASGGAWSKAGEWALPFKGRAEYVPEHGLWFGLSDKGGHLCAADLATTTVPPALLHVWEDPAPPPSEAWRKTAARLLPLGSGRLCVARFFETRNEENFAVLAGVEVVKDDDAGTRNLRMIRHKSRRYNFGYDGVKPL >SECCE2Rv1G0128880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:865575069:865577834:1 gene:SECCE2Rv1G0128880 transcript:SECCE2Rv1G0128880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07860) UniProtKB/TrEMBL;Acc:A0A178V8D0] MDSAGASKPEEEVAAYQSSEAKQARLQSMLAALLDDPILADVPRKPSLADVDTLINLELGSAMRVTVVKLDSTSFDVAVLNTATLKDLKLAIRKKITEIEQGQMGHRHISWKHIWENYCLTHQSEKLIDDNSALFSYDIRNNSKVCFSPHVMARVHQKHSRRRKHRFFHGLSRKM >SECCE1Rv1G0027040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:377286619:377289155:1 gene:SECCE1Rv1G0027040 transcript:SECCE1Rv1G0027040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAYRDSLKVLEADIQHANTLATEFPREYDGACLQMRLSFSPAAHIFLFLVQWTDCSLAGALGLMRILIYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDMEDKKQKAVCMERYRRRDEDETSSLSDVDAEREEECGICMEMNSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLKKTDPGDLWIYVEDEDVVDMETVSRENLRRLFMYINKLPLIVPDVIFSVYDSHIK >SECCE6Rv1G0399740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:443152744:443154533:1 gene:SECCE6Rv1G0399740 transcript:SECCE6Rv1G0399740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRRPHPLSSEKKREEDKRRLRRGVQGFMEPEASKEAEKQPMEAEAEAGDPADPRDLVSSDDEIDYSVEPEFYDPAIDDVDERWAHKKRKGRTSDAVLSCPACFTTLCLDSQRHERYVNQYRAMFVCNCKVKTDQILREGKGKRKNRKVTAVDSTAPEVENKGPVFHPVCCEICSTEVGVFDEDEVYHFFNVIPSNS >SECCE6Rv1G0383680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:55845330:55845926:-1 gene:SECCE6Rv1G0383680 transcript:SECCE6Rv1G0383680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARPPPGPGLEGEEVRYRGVRRRPSGRYAAEIRDPAKKTPIWLGTFDSAEAAARAYDAAARNLRGAAARTNFPASPAAAPPRAPPPAPVTAPAMAAAATSSHSSTIESWSGGVPATSVLFRAAIGAPAVEEDCRSYCGSSSSAVCEDGASGGAAAAADEAAAPPRPSSSSLPFDLNLPDPAAADEMDWRCDTLLRL >SECCE1Rv1G0035020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:494713387:494719368:-1 gene:SECCE1Rv1G0035020 transcript:SECCE1Rv1G0035020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVVLGIPWDVDTEGLKEYMSKFGPLDDCIVMKDRSSGRSRGFGYVTFSSVEDAKNVVNSEHILGDRTLEVKIATPKEEMRAPGNKKATRIFVARIPQSVDESMFRRHFQTFGEITDLYMPKELGSKEHRGIGFVTFRSAECVDNVMQENHEIDGSTVVVDRATPKDEEVRHPPRRGGGAQGEGGYGSYNAYITAATRYAALGVPTLYDHPAPAYGRGYLNEPPVSSKKIFIGRLPQEANTDDLWDYFGRFGRIVDAYIPKDPKKSGHRGFGFVTFAEDGVADRVARRSHEILGQEVAVDSAAPVEGGPSRGGYMEPPGPYGAYSPMMSYGQFSGSLGYDYGYGSSGSSSRSRVQPRYRPY >SECCE6Rv1G0429020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:732971108:732973834:1 gene:SECCE6Rv1G0429020 transcript:SECCE6Rv1G0429020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPRHRAGDPNPNPAPAASHSGMVKLLADLLHHTAPSAWPPALAAPLLRSRLAPAHVSSLLLLPASLSRPDLSRRFLLLLPPHLVSPLCLSLLALSFISAPASPSRSPSPHAASLLLSLASSSPSASTSFSSLSHASSLSPFPPGATAAAASLLASSYLRLRRARDAAAVLHLSLAAGIAPNQYTASHILFSLVKIRQFALARALFDEMLQPGARLDEHVYTAGIRAYCEARNLDGAKGLLARMHHEGVKVSVVPYNVLIYGLCRNHRIQEAVEVKNSMVARGIKADEVTYRTLVYGFCRAEELEMALRMTYDMARLGFVPSEANCSFMLDGLRKRGDVQEAFRLACQLGELGMVPNIFAYNALLDNLCKSGMFCEADRLFSEMVHRGLEPNEVTYPILIHSLSKRGMMEDALDMLDRMRDKGVRVTVYPYNSLINACCKQDDLERAMGFLSEMIEIGVTPNAASYSPLIAGFCRKGDLSSAMELHREMAGKGVAWNTYTFTALINGLCKHKKMDEASLLFNKMVDSNLVPNEATYNVMIEGYCLVGNIRKAFQLYDQMVDKGLTPDNYTYRPLISGLCLTSGASKAKEFVADLENNCPLNKFSLTTLMHGLCREGRLTEAYHVWNEMAMQGVNLDLVSFTSIVYTALKQHDTEKSCALLREMTEKSVRLDNVFHTCMIDVHSKEGNMIQALNCWDNMIADGCFPNTITYTVLVNNLCKSGHLSSAEVLCKEMLSSQFLPNNYTYNCFLDCFTTEGKLEKAKDLYFAMLRGSLVNIVTVNTLIKGFCKVGQIQEAIDLISKCNENGIFPDCISYSTVIHELCKKGDTNKAFELWNEMLHKGLKPDIVAYNILIRWCNVNGELEKGLGIYNDMIKKGVQPNWRTYRALFLGTALMTSKQDTILLNT >SECCE7Rv1G0489610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:459908213:459914153:1 gene:SECCE7Rv1G0489610 transcript:SECCE7Rv1G0489610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPFHQQWPPQAAVPAPPAVPPPPPVPGAPDAAARPGSDEVRTIFITGLPVDVKERELHNLLRWLPGFEASQINFKGDQPMGFALFSYAHHAIAAKAALQDLVFDAETKSALHIEMAKKNLFIKRGVGIDANAMDQSKRLRTGGDYTHSPYAPPPFHPPPPAVSMWGTAGYMAALPPYNPYAGYPVPPVPMASPSPVPGPTAYAPVQNMKDNPPCNTLFIGNLGESVVEEELRGLFSVQPGFKQMKVLRQDRNTVCFIEFDDVSAASAVHRTLQGAVVPSSGRGGMRIQYPLLVYNLVSCIKYLTSVC >SECCE4Rv1G0232000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193280481:193282439:-1 gene:SECCE4Rv1G0232000 transcript:SECCE4Rv1G0232000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTMAATPEELLGLAEPAPLPPSVLLDLPPSPTTHGDSQQPQNDLALEYISRMLTEEDIVDKFFYQYPDHPELLQAEQPFAEILADTSPDAHESFASSTSILMPSQGNGTDLMVSSCQVQYPAFFLNGTGIVEPSSLVFPIPSESSPRTDMLSSMAFFKGMEEANRFLPAENVMVGGRGRKKRSGMDGKTEEGLGRSSKQITALPCSVQEEEEEEEATALEMLDRLVLNGYDTHPGEMQEVVRVTWDWEEKENKAGLGRRGRRGARHTVVTDLETLLIRCAEAVSSNDVRGASKLLERIKWHSSPTGDARQRLAHYFAQGLEARLAGTGSRLYRALMGKRTLAVELIKAFHLHMAACCSLKVGLLFAINTIYKAVAGRTKLHIVHYGITTGFQWPDLLRLLASREGGPPQVRITGINTPRPGLRPAQIMEEAGDRLSNYARQFGVPFEFHAIATKPEDVRAEDLHIDPDEVLVVSSLYELRTLMDESLTFDMVSPRDMVLNNISKMRPAVFVQSLVNGPYSAAFFMTRFRHALYYFTALFDVMETTVPRDNDRRLVVERDILARSAINMIACEGADRVERPQNYKEWQARNERAGLRQLTLDPDVVLMLKDQVKSRYHKHFMISEDHRWLLQGWKGRVLYGHSTWASQVID >SECCE1Rv1G0015470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:125119446:125120330:1 gene:SECCE1Rv1G0015470 transcript:SECCE1Rv1G0015470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVNEHVLLSQPDKLVLLAEIPAADSCGSSQPDVTFRLLVEQRSDYGGDPVDVDTMEDVSCRVPLSDLGRRGTADRAFAGLVARLDNPTLRPEVAAEARRAAERVGARRGAVGGVEFRLRVVFVDDGASEEPAASDDGDDESGSDMEFGEFDLSGARSLHGQRAVAAYEEDDDDEDGCGAQFTVRPYRAGEGANLLLSGFEARSDGPELTEQHELTSHDMHRLVHLALEGGASMEDDEAYQRALTGGTPVSRASRAAMVDQALQSASQGSQQQQQQQQSKSPSPIFPMRSGF >SECCE5Rv1G0324570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:448093348:448097538:-1 gene:SECCE5Rv1G0324570 transcript:SECCE5Rv1G0324570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMAAAVSSLRSLPLHILVPLVASALAFVVTLLRRVLRRQRPVYLLNYSCHLPDADRQCNLEVCEYFGLRSKRYTDETADFMRLIFRKSGLGQETFAPPFIFSGKFEKTLAFAIQEAEEGLFTVVSQLLAKSDVSPRDVSVLIVACSMFSPQPSLASMIVRRFKMKDDVKVYSFAGMGCSAGTVGIDMAARLLRVQRRPGYALVVVTENTSLNWYFGNNKHMLVTNCIFRVGSAAALVTDVPARRADAKYELLRTLRTHHGADDAAYNAAVQMEDEDGGVGVALTKDLVRVAGAGLRSHIATLAPYVLPVSELLRYVYRVAWAYSGGNPKAAAALVPDFQRAFEHMCIHSGGKAVIDTVAKLLGFAPAVVEPARATLHRFGNTSSSLVFYELAYFEAKRRVRAGDRLWMLAFGTGFKACSVVWRALRDSGPDADNPWNGCAHRYPAALPVPTPRRQNYQPQPEQQQQQQEEQKKKL >SECCE7Rv1G0459550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26468365:26470862:-1 gene:SECCE7Rv1G0459550 transcript:SECCE7Rv1G0459550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAARRLATRGTANVIYSEAATASVPSFSLHAAKTTAAGSVLSRRFNSNGPERVIQNFEMNNPPLSPTISDYVKALVSVELHAQGVTANLATDSSTNAGDVNRVYRSKKYVKRADEEYDSDASEDEDWDDDDLEDWEGYEDWDALKDVSMNSNTKFCDVKGVDEAKAELEDIVQYLRDPKHFTRLGGRLPKGVLLVGPPGTGKTMLARAVAGEAGVPFFACSGSDFEEEYVGVGARRVRELFSTAKEQSPCIIFIDEIDAIAGRRNPKDATWARQTLNQLLSEMDGFKQNDGIIVIAATNFPQSLDKAAVRPGRFDRHVQVPNPDVEGRRQILEACMSKIKAKGVDLMVLARGTPGFSGADLTNLVNVAALQAAKDGAEAVTMDHVEYAKDKIMMGNERKSSVIPDNCRKMIAYHEGGRALVAIHTDGARPIYKATIVPRGNTLGMVTQLPEEEDVYKVSRKKTLAKLDILMGGRVAEELIFEAGEVTSATLSDVSEATQLATDMVIKYGMSERVGLALVSYGNDNDGHGGKMSALSGHMIAVVDEEVQELLDKAYENAKTIVTAHSKELHLVADALLKHGTLTGHQIKALLEHGTLTGDQIKQIVSPSKYKWL >SECCE4Rv1G0278080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:807121037:807121516:-1 gene:SECCE4Rv1G0278080 transcript:SECCE4Rv1G0278080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSAGLALHAAAVAAMLAVLVLPSSGRCPSLGPAPSPPPPPAQAPPPPAPAPGPAPLIPCYTCPLEAPNSRCYSLCMDTSNQTCECALVMRRVCNDCIDECKANCTGDGCNCGGSPGGACATTCSYSEECMRCVEGRGKRCFTTCMNECFRNCSPH >SECCEUnv1G0543770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:119113732:119115689:-1 gene:SECCEUnv1G0543770 transcript:SECCEUnv1G0543770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLATRATWFAIAIFFITIVATMISRWKSNVHSLSTRLPPPVVNVVALLPSIVNKGFGLTINDLYTKFGSVFTINLFGPKITLLVGPEVSSHFFQGLESEISVGNFAEVTVPIFGQEVFYGVDTATHSEQVNFIVDILKPSKLRSLVGPMFEEVEAYFQKWGHNGIVDFKHEVEQVLMFISSRCLLGHEVRDMMLEEVYSLLNELGNGLNFFSFLFPYIPTPTNQRRDKAHIRLKEIFTTTIRSRRSSGRVEEDALQRLMNSKYKDGRSATEAEISGMIIGLIIAGQHTSSSISTWTGACMLSNTKFLIAALKEQKHISRKYKSQIGYDALSEMDTLHRCIKEALRMHTAAPLLVRKAHKNFKVRTKEGKEYDIPGGHNIVIPTALNNKLEHIYSDPYVYDPDRFGPGREEDKVGGKFSFTSFGGGRHICPGMAFAYLQIKLVWSHLLRNFELKLISPFPQADLSKMVQEPKEKVMISYKRRQLLS >SECCE5Rv1G0303350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:48097713:48103173:-1 gene:SECCE5Rv1G0303350 transcript:SECCE5Rv1G0303350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFLSMVETELPPGFRFHPRDDELICDYLAPKVTGKVGFSGRRPPMVDVDLNKVEPWDLPVTASVGGKEWYFYSLKDRKYATGQRTNRATVSGYWKATGKDRVVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRLEGAHEQASKEDWVLCRVICKKKSGVGATPRPRNLTNIVHGTSTDTSSPPLPPLMDTTLAQIQATMNTSAAAAAAALEQVPCFSSFSNNSASNSSYLPMVTGNSNGMGYLDHGLPDFGSYLDPTMNCDKKVLKAVLSQLSSMGGEVVPSMSPQMAAAVSSTWNHF >SECCE2Rv1G0083440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:166338847:166341276:-1 gene:SECCE2Rv1G0083440 transcript:SECCE2Rv1G0083440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 6-phosphogluconolactonase 1 [Source:Projected from Arabidopsis thaliana (AT1G13700) UniProtKB/Swiss-Prot;Acc:Q9LMX8] MEREMTASYEPKLNSEIRIFESSDEIATDLAEYISQVSEISVKERGYFAIALSGGALVSFLSKLCEVPYNKTLDWSKWYIFWSDERAVAKNHADSNYKLTKEGFLSKVPILSGHVYSINDSATVEDAATDYEFVIRQLVKVRTVGVSESTDCPKFDLILLSMGSDGHVASLFPNHQALELQDDWVTYITDSPQPPPERITFTLPVINSASNIAILATGVDKANTVHLAVSDSSDGPDAPLSLPARMVQPTDGKLVWFLDKEAASSLEALSDDAYEQQHREY >SECCE6Rv1G0381890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:35881382:35881849:-1 gene:SECCE6Rv1G0381890 transcript:SECCE6Rv1G0381890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASATVAAGKGKKGAAGRKAGGPRKKSVSRSVKAGLQFPVSRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINPVLLPKKTAEKSPKEPKSPKKTAKSPKKA >SECCE5Rv1G0323690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:439019676:439020910:1 gene:SECCE5Rv1G0323690 transcript:SECCE5Rv1G0323690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRPPLRRLALLLNSRIRANNRFLASASTSTSSYPTGAAAGTAITETPAPEEPEVVSMTDNCVRRLKELHTKEPSAKGNMLRLSVEAGGCSGFQYTFSLDNKENADDRVFEKNGVKLVVDNVSYDFVKGSTIDYVEELISSAFVVSTNPSAVGGCSCKSSFMVK >SECCE5Rv1G0323810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:440392784:440393284:1 gene:SECCE5Rv1G0323810 transcript:SECCE5Rv1G0323810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQAFHRGGVHGGGSAIGPDYMRAIRGDDDYYGGHGQGHNQPAAVTLAKGVAAAAAAGSMLLLSALTLTGTVLALIVATPLLVLFSPVLVPAAIAVAMLTAGFVSSGAFGAAAVGVLAWMYKYLSHSASSPPGADTLDHAGAKLDSKAHDVKNWAQHRLDQART >SECCE2Rv1G0111270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:698838309:698841569:-1 gene:SECCE2Rv1G0111270 transcript:SECCE2Rv1G0111270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEPKKVEVEAAPEPETAPVAVPAAEPEAPAKDVTEEKAVIPAPPPPPAAEDEKTPVDDSKALVAVEKVADEPVAEKPTDEKAAHGGSNDRDLALARVESEKRNSLIKAWEENEKTKAENKAAKKVSAILSWENTKKANIEAQLKKIEEQLEKKKAEYAEKMKNKAAMIHKEAEEKRAMVEAKKGEELLKAEEMAAKYRATGNSPKKVMGCFGA >SECCE4Rv1G0245160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:525671732:525672721:1 gene:SECCE4Rv1G0245160 transcript:SECCE4Rv1G0245160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTATDMDRMANGAVESSAESPAPEAPPEARTKGRGLRRWRRIQREHRAEGPAAPATAAGGRGGADDDAAQLHKRRLPLGAAAPPKGKHEVAIEEVESSTASVESRFVPLEPAPAPAKLDPDLGLLVSSVGFSVGAGGADSDNSEDRSSKSSTAASAPRHDIPRERDRLRAHNAAAIHGKNHRTARARADRPSAHTAFSQAEAENSRSSIESDRRSSNAVHGRKPGAGSIGNGIHKVLSDGDDHSDEGQPSDEMRSAAGGYCTQSGSSVVGTVGRLGGGNGDSGDADVEDTFDEGGVGKGEIGLEMNSCANPYAESILSLQRTQEALE >SECCE5Rv1G0350590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:691327564:691330215:1 gene:SECCE5Rv1G0350590 transcript:SECCE5Rv1G0350590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKPRDQTLQELDALSHTLYQAHSNRRHASLALPRGVVGEDVITAEALRAEVARPRTRRLSMTSPFRSRGKAGRKEDDDDDDDGGVLRAAAPSKSRSFAAAASPALGGGEKKKGLWGWKPMRALSHIGMTRLGCLFSVQVAAAQGLPSSMDGLRLAVAVRKKESREGAVQTMPSRVQQGAADFEETLFVRCHVYCSGGGAGKPPAKFEPRPFLLSVVAVDAPELDLGQSTVDVSALVKESTEKSQQGERVRQWEMTFPLAGKAKGGELVVTLAFQIMEDGGVGLYSQPATKTAASSSTSSALFARKQSKTSFSITSPKVTRSEPSFTPAKGSPSQDLSGIDDFKLDGPSAPVPEPKQEQKREPEQKREPEQEAKADDSEFPEFDFDVVDKGVEGQEEKDEANADSKKEDKDKEEEEESATPAAGDEVVKEVVHDSASMWRLNELEAITNQIKALESLMLGDTLEDESDKPAEPQEDEAPVEGLEGDEEEVTREFLQLLEQGEDNGKSAAAPQVSSLKSSAKPGTGADEACYISDLGKGLGPIVQTRDGGYLAATNPFDIPVARKELPKLAMQLSKPFILRGQKLPGGGAEVFQRLCAGGCEALCEKLGALTATDEVVGKTAEQIAFEGMASAIISARSKELGASSSAAESVSLLRTMSAAMNDGRKERIATGIWNAHEEPVTVDEILPFSLQKIETMAIEALKVQAGIAEEQAPFDVSPVTDAPDAGHPLDAAVPPEEWASACAGSDAVTMLVVAQLRDPMRRYEAVGAPSIVVIQAGRTATGADGETRFKVANMHVGGMRLKTADRRSVWDGERQRLTATHWLVAYGLGKAGKKGRPSGAAAAGKVGADVLWSMSSRVVADMWLKPMRNPDVKIAANQN >SECCE3Rv1G0212620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956022680:956024141:1 gene:SECCE3Rv1G0212620 transcript:SECCE3Rv1G0212620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNICNGPCLNYPGGYNCSECAHGKEFDPIELKCVMSTKRHNLLLGTAIGISCGLGAIILVVGATVLINKWKRDIQKRIRRTYFKKNQGLLLEQLILDERATDKTKIFSLEELDKATNNFDVSRILGRGGHGTVYKGILSDQRVVAIKKSKLVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFVSNGTLYDLLHIDVSVQCLLLWGDRIRIAVEAAGALAYLHSAAAIPIFHRDVKSSNILLDGNFTTKVSDFGASRSLSLDQTHVVTIVQGTFGYLDPEYYHTGELSEKSDVYSFGIILVELLVRKKPIFINEHGLQQGVLMEIMDPQVAEEANQNEIDDIASVAEACLKTKGKERPTMKEVEMKLQLLKTRRLRSQLPPINDGEIESIGCLNGASPHAQSNNIASNVGLTPTCSSGKYSLEQEFLNSASFPR >SECCE5Rv1G0348580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:674413126:674415724:-1 gene:SECCE5Rv1G0348580 transcript:SECCE5Rv1G0348580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPRTALLLRTPRGAGRVARFLGSGVPAASSSDAGTAAPAAAEFMPWRNGGGVLHRAASVDPSAVVEAGAVVHSGAVIGKEVVVGSGTVVGPSVSVGQSTRIGYNVVLSNCSVGEFCTIHNGACIGQDGFGFFVDQDGQVKKKPQELYARIGDNVEIGANTCIDRGSWRDTMIGNDTKIDNLVQIGHNVVTGKCCMICGQVGIAGSATLGDYVVLGGRVAIRDHVSIASKVRLAANSLVTKDIQEPGDYGGFPAVPINEWRRQTVNLRLFSKKHYD >SECCE2Rv1G0074090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73528382:73529015:1 gene:SECCE2Rv1G0074090 transcript:SECCE2Rv1G0074090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNTSVVGLKPAAAVPQSASPAAAKRVQVAPAKDRRSALLGLAAVFAVTAATAGSARASVFDEYLEKSKLNKELNDKKRAATSGANFARAYTVQFGSCKFPYNFTGCQDLAKQKKVPFITDDLEIECEGKEKFKCGSNVFWKW >SECCE2Rv1G0075840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:86279701:86281323:-1 gene:SECCE2Rv1G0075840 transcript:SECCE2Rv1G0075840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSRLNQHTFLSLLKSSAPASARRLPSLHAVYIKLGFLAHTRVTNGFIQGYCATRRVTDARRVFDEMPRQDTVSFNSMIHGYAVSGDVASACRLFEQVPAPTPVTWTSMVAGLCRAGDVGSARRVFNKMPERDLVSWNAMISGHVGNRQPVEALCLFRRMMEEGFAPNRGTVVSALSACASAGALETGKWVHVFIEKNRLRWDEFLGTALVDMYAKCGAVELALEVFTGLRVRNTCTWNAMINGLAMNGYSAMALDMFRQMELDGRVVPDEVTFVGVLLACSHGGSVDAGREHFNMISKKYGIGLILEHYACMVDLLARSGHLQEAHKLITEMPMKPDVVIWRALLGGCRLHKNVKMAEIVIIEMEVTCSGDHVLLSNLYAALGRWNGVEDVRRTMRSKGIEKIPGCSSIEMDGSIHEFISGDKSHPSYDVIHAKLIEIGGRMQQQGHVTETTEVFYDIEDEEKEQALGHHSEKLAIAFGLIGGPPDATIRIVKNLRFCMDCHKFAKLVSKIYQREIVVRDRARFHHFRGGTCSCNDFW >SECCE6Rv1G0439160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795504490:795505287:-1 gene:SECCE6Rv1G0439160 transcript:SECCE6Rv1G0439160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSLLNRFTTMKQEEKRKPRERRPYLASQCRDLAGADRWRGEVLREIGAKLAEIQNEGLGEHRLRDLNDEVNKLLRERSHWERRIVELGGRDYSRISNTALMTDLDGNIVAVPNPSGWGPGYRYFGAAKKLPGVRELFDKPPEVRKSRTRCRIHKRFSGGYCGYYYYGDEDGVLEPLEAAAEKRMRHEAVTEWHRVDREAMKNVAATAREVLFEEVEEEVEEEIEPTVLEKKELLSKYTSDALQGQQKEAKEMLNVQR >SECCE5Rv1G0357570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:747881220:747883909:1 gene:SECCE5Rv1G0357570 transcript:SECCE5Rv1G0357570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSSSWGPSPAAVTALVALLGLGVAAYIVGPPLYWHVAEALGRSPGACPACACDCDALPLLQLPQDCAMQFKEVKSRASGEETEKGHTEMLIEELKQREEEATEAQQQADVKLLEAKKLASQYQKEADKCSSGMDTCEEAREKSAESLLSQRKLTALWEERARELGWKPENG >SECCE4Rv1G0230310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:161719379:161721639:-1 gene:SECCE4Rv1G0230310 transcript:SECCE4Rv1G0230310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRARALLLPLAAATVLVASTIFLFAAAGAGRWRPADTGLPVPATPADFSAVPIGVSVTSTAKGKELSFLDENGRPDDPSSGSAAAAESGRCDPRDAAVRVFVYDMPPEFHFGLLGWAPPPGNGGGVWPDVRGGTVPRYPGGLNQQHSVEYWLTLDLLASSSAAPCGPAVRVADSRDADLIFVPFFASLSYNRHSKAVPPEKVSRDMYLQEKLVRYLVAQPEWKRSGGADHVVVAHHPNSLLHARSALFPAVFVLSDFGRYHPRVASLEKDLIAPYRHMAKTFVNDTAGFDDRPTLLYFRGAIYRKEGGNIRQELYNMLKDEKDVFFSFGSVQDHGVSKASQGMHSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDILDYSKFSIFVRSSDAIKKGYLMRLIKGINKHRWTRMWKRLKEVDKHFEYQFPSHKDDAAQMIWQALARKVPSIRLKAHRFRRSSRSERGNK >SECCE5Rv1G0370140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:840402997:840413493:1 gene:SECCE5Rv1G0370140 transcript:SECCE5Rv1G0370140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENPTSRQVTFSKRRGGLLKKAFELSVLCDAEVALVVFSPRGRLYEFASSSMKNTIERYKTVTKDNISRQTVQQDTEKIKADAEGLSKKLGALEACKSKLLGQNLEECSIEELQSLEVKIEKSLLGIRAMKNRRFEEQLSTLRQKETKLRQDNDQLYSQCQKEQHLASEAAAPPAPATLAAPAAPATLAEQGQDVMDVETDLFLGLPGSGRS >SECCE5Rv1G0304230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:55023247:55025123:1 gene:SECCE5Rv1G0304230 transcript:SECCE5Rv1G0304230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGAGEVRHWNADVNGVSLHVAEQGPAAGPAVLLLHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSDAPADPAAYTMLHVVGDVVALLDHLRLPKVVVVGHDWGAQVAWHFCLFRPDRVRAVVALGIPFFPRSPRPMAEMFAARGDGFYITQFQEPGRAEKAFARYDVATVLKKFYSIELDDLAAPPGVEIIDFFEASSSPLPWMSEKELGQYAEKFQKSGFTGPLNYYRAMDMNWRLTAPWHGAKITVPAKFIGGEKDIGVESFGVKRYIESGGFKSNVPDLEVSIIEGHHFLQQEQAERVNSEILSFLDKLSGEEAHN >SECCE2Rv1G0071450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:44251170:44251457:1 gene:SECCE2Rv1G0071450 transcript:SECCE2Rv1G0071450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVAVPTEFRLRNNTDCSWKVTVKLMNGRVTLDQGWATYAAVHQIKIGYMVTFKLLTPDTLKVIIFDDDGIEVVNKCGKHDEALAAKD >SECCE2Rv1G0123490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:824413730:824414671:-1 gene:SECCE2Rv1G0123490 transcript:SECCE2Rv1G0123490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTAATRYVHQFHHLHPDLQLQQNSYAKQQHEPADDDHNGNGNGGNYGAQYGENNDGGSSSSGPAGDGAGGGGGGGPGDMVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFECISTYACRRQRGVCVLSGSGIVTNVTLRQPSAPAGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEDEEAPPATAGMQMQQPGDADAAAGMGGVPFPPDPSAAGLPFFNQLPLNNMTGGPGSQLPPGADGHGWAGGRPQF >SECCE7Rv1G0461940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:41572120:41574683:1 gene:SECCE7Rv1G0461940 transcript:SECCE7Rv1G0461940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLLRFLSACGGSWQTSPAPPHAAPPASSDPASDSSSSDPASEGRDGLLWWRDLARCAAGDVSAALVQANQTLEDQCRLHSAPPLGTLLAVFDGHAGHAAARFACDHLLPTLLEAASGPGGVTADAIRDAFAATEAAFIAQVSSQWDTNPDLATVGSCCLVGVVHEHTLFVANLGDSRAVLGKKVGRSGQIVAEQLSTEHNANDEAVRQELMAQHPDDPQIVALKHGVWRVKGIIQVSRSLGDAYLKDAKYNTERIKPKFRVSEPFSRPIMSAEPTIVSRSLEPSDCFVIFASDGLWEHLTNQEAVEIVHNNQRAGSAKRLIKAALQEAARKREMRYSDLMRIDKKVRRHFHDDITVIVLFINHELLAKGNAQVPPLSIRSALDH >SECCE2Rv1G0081140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:136426562:136427983:1 gene:SECCE2Rv1G0081140 transcript:SECCE2Rv1G0081140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHAARLLVPAPPTPRRHATLRFAVAAAATSVNVNQAGKQAVIVGGGLAGLAAATHLASLSVPFTLLEASDRVGGRVATDEVDGYLLDRGFQIFLTAYPECQRLLDFQALRLQPFYPGALVYLGAGEGGSPFHMLSDPFRFPIRSLSSVFSPVGTLPDKLLVGITRLRAAATPDDVILSSPETTTARHLEKLGFSPSIVERFLRPFLAGIFFDPALDTSSRLFELVFKRLALGDNALPEAGIGAIAAHLADRLPAGSVRLNARAAAVDPSSGVTLDTGETVSGELGVIVAVEQPEAEKLLPQLPTRTRPKNKKAAERSTVCLYFSADRAAVQEPVLLLNGSGKGIVNNMFFATNVAPSYAPAGKVLVSVSLVGSFAGREDAELAGEVVRELGGWFGAGEVASWAHLRTYRIGFAQPDQTPPTEPAGRDPRVGDGVYVCGDHWCSATFDGAMLSGRRAAEALAKHGRLSQSLS >SECCE7Rv1G0457060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:13924248:13926317:-1 gene:SECCE7Rv1G0457060 transcript:SECCE7Rv1G0457060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQLGASTMLVLLLLTFIYLVLRLRRKTSKPHTLPLPPGPAPWPVVGCLPEMMLNKPAFRWIHGMMEDMGTGIACVRLGGVHVVAVTCPTIAREVLRKQDATFASRPLTFASAAFSRGYKNALDGWTPAGGRLHSHSPPFGDQWRKMRRVLTSEIICPSRHRWLHDRRADEAENLTHYVYNLANTTTKVRSSAGGAVDVRHVARHYCGNVIRRLLFGRRYFGEPGRRDGGPGPMEVEHMDALFTSLGLLYAFCVSDYLPWLCGFDLDGHEKMVKEANATMNRLHDAVIDERWGQWKSGERKELDDFLDVLITLKDAEGKPLLTIEEIKAQSQDITFAAVDNPSNAVEWALAEMANAPDVMAKAMEEMDRVVGRERLMQESDIPQLSYAKACIREAFRLHPVAPFNVPHVALTDTNIAGYHIPKGSHVILSRTGLGRNPAIWDEPVRFMPERHIHTTTDEVALTENELRFISFSTGRRGCVATSLGTAMCMMLFGRLLQGFTWSKPVGLAAIDLCESEHDVFLAKPLVMHAKPRLPVHLYHATAI >SECCE4Rv1G0250770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:596187044:596187658:-1 gene:SECCE4Rv1G0250770 transcript:SECCE4Rv1G0250770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDAVVLAKGPFWPVALGRRDGRVSSATEASDELPPASGDVPLLAKIFASKGLDLKDLVVLSGAHTLGTAHCPSFADRLYTTTSGNGTSGLVDPSLDSEYADKLRLKCKSVDDRTMLSEMDPGSFKTFDTSYYLHVAKRRGLFRSDAALLFDNNTRDYVQRIATGKFDGEFFRDFSESMIKMGDVGVLTGAEGEIRKKCHVLN >SECCE6Rv1G0421180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:682141458:682142643:-1 gene:SECCE6Rv1G0421180 transcript:SECCE6Rv1G0421180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAACDILRLPEELLAAIVSLTSPRDACRAAAVSFAFRASMDSDAVWSRFLPGDLPRFVDTVERSLAALPSSKARFLRLSDEPALLLGRLTMMWLDKVTGGKCYMLAARALNISWQDEPRYWNWIHVDVLHDTKTGKRITSEAAQLRYVGWLEIRGKIDSKMLSPNSTYAAYLVFKLSDRAYGLDFPFQEVLLNVGGSVSTRRACLHGYYDDGAGGVVPRNHVEDRTNWLGVYRAVVIEDDVVLPHIRADGWMELQLADGFYNHGGDNDEVRIALTETKHLYPKAGLIVRSIDIRIKERDV >SECCE6Rv1G0401680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:473042621:473044372:-1 gene:SECCE6Rv1G0401680 transcript:SECCE6Rv1G0401680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMENQQPVAQMQLIPAPPRPPPPPPPAPQVYKHHCKVCKKGFMCGRALGGHMRAHGIADDALAAEDTFDDDGGGVGESSEAGSPSPTTAKRMYGLRANPGRLRNCRVCENCGKEFTSWKSLLDHGRCSFDEDDDLDGSLRSSSPLHNNTDEGVDEDDEEEGDVALASGWSKGKRSRRAKVMVVGSGAISEVQQPAPSREEEDLANCLVMLSSSRVTQPTVHVDADQESSASASKDEERNRLLVPQPLSIIPPMTAQFKFSAPQVVVAQHVPAVPRGLFECKACKKVFTSHQALGGHRASHKKVKGCFAAKLESSRNETTHQQTMPSAALHDNTKAIPEVVGDTSTTERRTGNLDAGKATSVGAGEVVVPTATTEMAIMPIADAAPVAAAFSPFKKKGKVHECSICHRVFTSGQALGGHKRCHWLTSSATDPAKLQPVVPDHLMAAMCHHLTLGRPMFDTADQRILDLNVPTNPSAEAIATRQAAELNDIPLCLNAPASMYVQSWTGHSNASHVNKTGTSSRNDVAAGGGAATEDEADSTSAKRAKVSDLKDMKVAGESLSWLQVGIGISSSENNEKSTQE >SECCE6Rv1G0390560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:187742762:187746615:-1 gene:SECCE6Rv1G0390560 transcript:SECCE6Rv1G0390560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHGDLDRQIAHLRECKHLPEAEVKGLCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGESPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDHNFLQFDPAPRQIEPDTTRKTPDYFL >SECCE2Rv1G0081910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:146126477:146137063:1 gene:SECCE2Rv1G0081910 transcript:SECCE2Rv1G0081910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVHISGEKQRRKETSANPRRAAAAAATSPPPTPRRPRHQSSLDAPPCLSRRASAASMRQSNHCRPFRPPDWGSPPPHCRPHHQQNHRQPQSAPRFAVILFRAGPNISTPTATEIDALVADLPSPPPDNLSVFSSGRDAARLLFRSLPAAAAAARELWSLRLEGLHLLTPYLPNAALAAHAFPLIASLFASHASRLLDTDLVSRSAARSAELAASIQSLEHRLRSRNSFRDFDQLHLQKKTLEADKHLVDAKIAEYKASMSSIRRAMLRGTDEEKEVEEGVDVFGIGEGEDVDFARLHMMMLRECRRLNEGLPIYAYRRKILNHIFNNQVMILIGETGSGKSTQLVQFLADSGLAANGSIVCTQPRKIAATSLARRVDEESNGCYKDNFVLSYSTFLNSQDLNSKIIFCTDSCLLHHCMNDTGLDGISYIIVDEAHERSLNTDLLLALIKKKLLDRLDLHLIIMSATADADKLADYFYGCQTFHVKGRNFPVEINYVPDVSVEASSNAVPNSMCNACATASYVNDVVRMVSIIHKNEEEGAVLAFLTSQLEVEWACENFSDASAVVLPMHGKLSHVEQSRVFKSYPGKRKIIFCTNMAETSLTIKEVKYVVDSGLAKESRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGPGKCYRLYSEADFSMMDVHQEPEIRKVHLGTAVLRILALGVKDARKFEFVDAPNPEAISMAVTNLEQLGAVKRRLNCFELTDTGRYLVKLGIEPRLGKIMLDCFDVGLKKEGVVLAAVMANSSSIFCRVGTDEEKHKADLQKVRLCHRDGDLFTLLAVYKKWEDGHDNRNMWCWQNSINAKTMRRCQETITELENCLKHELNIIIPSYWRWNPEAPTVHDKDLKRIILSSLTGNLAMFLGHERFGYQVISTGQVVNLHPSSSLLNYGIKPEWVVFTEILSVPNQYLVCVTAVDHDALYTIHPVSFIQQLEEHKLQIKVISGLGTNLLRRFCGKYGQNQQKIISRLKEDCRDDRITVEINFQNNEVVLFATEQNMEKVFCTVNSALECEGKILRNECLERNLFPGRPGSSPIALFGSGAEIKHLELGGRYLTVEVLHQNAHDIDDKELIFLVDSIVSGVANFHKSTGSFRIASDGIKWGKFTFLKPENAEDAVSKLNGIEFNGSSLKLVPVCTFNKGGLPFPAVRAKLCWPRRHSSGRALVTCASGEAEFVVNDCFALGIGGRYIKCRVSTKYENCVFVEGIPMHVTEPELYDAFRSTTARRILNIRLLRVKGNAIASPSVSTCEEELVREISPFMPNKSFPGQNFRVEVFPPEENDSLTRATITFDGSLHREAARALDHLEGHFLPCCQPWQIIQCNHVFHSTLSCPVRVYNVISQEVASLLESFQSQKGVSYNFEKNENGIFRLKLTANATKTIADLRRPLEILMKGKTINHPDLTLSAVQLLLSRDGVAHLKPIEQETGTYIMYDRQSLNIKVFGHQDRMAAAEVKLVHALRQLLEKKPLEICLRGHNLPPDLMKKTVENFGIDLEGFNKEMPEIKVELHKHRHLLKVWGSKEDKRRVERMISELASFKHSSLVQLASENVGGKEDNQRVDYNEPSEDACPICLCEIEDPFRLESCGHMFCLACLVDQCESAMKSHDGFPICCLKTGCKEPILVVDLKHLLSNEKLEDLFRASLRAFVASRAGMYRFCPTPDCQSIYQVAAPDVETKPFSCGACYVEICTKCHFEYHPFISCDAYKEYKEDPDSTLLEWRKGKANVKSCPSCRYTIEKADGCNHIECRCGSHICWVCLENFRSSEECYGHLRSVHQSFVDIV >SECCE4Rv1G0219510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:31992483:31997301:1 gene:SECCE4Rv1G0219510 transcript:SECCE4Rv1G0219510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFACASHVRRLLLHPGAGAPARSFYAQPYQAKVGVVEFLNGVGKGVETHAAKLEEAVGGDLQRLLETRTLRLKKLGVPCKHRKLILSFAHKYRLGLWKPPAEARKVQ >SECCEUnv1G0541860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98314092:98314373:-1 gene:SECCEUnv1G0541860 transcript:SECCEUnv1G0541860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAARLAIIPQEMLNIENEKLELEQSLDLIRDPMFLSFVDPVVWIHNPVVWIENHTLDVRNKIRILETRKRALLSEQQSLIVKAALYGDRRN >SECCE5Rv1G0359600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:763007853:763012733:-1 gene:SECCE5Rv1G0359600 transcript:SECCE5Rv1G0359600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEGGADGLAERLAGAEIAADGGGGGGEEEPRLSKNAQKKEEKRRKQEEQRRLKEEEKKNKAAAAAAVASASGAPQQAAAAADDEDMDPTQYYENRLRTLDALKAGGANPYPHKFLASISVPGYIEKYKGLNEGEKLADVTECLAGRIMNKRTSSSKLLFYDLYGDGVKVQVMADARTSELEDTEFSSFHSGVKRGDIVGICGIPGKSNRGELSVFPRKFVVLSPCLHMMPRQKSEGSAVPTQWAPGMCRNIEKYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKIVSFIRRFLDGLDFLEVETPMMNMIAGGAAARPFVTHHNDLNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTVEFYMAYADYNDLMELTEAMISGMVKELTGGYKIKYHANGVDNPPIEIDFTPPFRRIDMIEGLEAMAKLEIPKDISSDETNKYLIDACAKYDVKCPPPQTTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMMLTDSQNIKEVLLFPAMKPQE >SECCE5Rv1G0322790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:424175560:424190334:-1 gene:SECCE5Rv1G0322790 transcript:SECCE5Rv1G0322790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVELGSVVRRAAEESYLALRELVQKSQVESDWKGAYGGRQRSDSEKKIDLLKFIARTRQRMLRLHVLAKWCQQVPLVQYCQQLGSTLSSHETCFTQTADSLFFMHEGLQQARAPTFDVPSALEVMLTGSYQRLPRCIEDIGSQNKLSPDEEKHALQKLDTSVRYKVLVTPRPKEVSNISVTDGIAVFRVDGEFKVLLTLGYRGNLDLWRILHMELLVGEKNGPIKLGEIRRFALGDDIERRMAVSENPFSVLYSILHELSISLAMDTIIRQANVLRHGRWKEAISSERMSDSTTCQSGNAAVMQLGQDGEFDSSGFRLPVLKLNYWLDGKNSGPAESDLSPFIKIEAGQDMQIKCQHSSFILDPLTDKEANLSLDLCCIDVEKLILKAIACNRHTRLLDIQRQLCKNVQISQSPKDVILKRDIGVAKAPHKKTEKASFADFCGNEVLQVRAYGQAYIGLGVNIRSGRFILQSPKNILPPSALLDWEEALNKGSNTATEVFSSLRTRSILHLLVSTGSFFGLKVYEQSQGTLKIPEAILHGSDLMVMGFPQCANAYYLLMQLDKDFRPVFHLLETQSDASNKFNANIDAKEAMRVNKIDVGQMEKIKYEKKSNPSDAKLHSLQSIENCDGMMDNELLIQNSVDPLPLLPACSPSFSSIVDETFECEHGSSLPSASPVRSLSFGSQGVSTRAISPMQDGALSHAQANNTSIVRPGVGVNSYFPTSLRHLQSTNAFSSSNPVRNSSAIKLSNSKSNHDLSSLSSPSEHGIADGNKPFQLAPSSTLPAHLVRSSPAIEGLGKAISVGSDCAPRKRSLSDFLPDLPSLQGLKSSEPSKRRKISESAQSSLPLQAYSSSSQSGTILTHGNNILAERNNCVPATVYASVLLHVIRHCSVCIKHAQLTAQMASSAIPYVEEVGMRSPSSNLWFRLPFAQDDSWKHICLRLGKAGSMSWDVRINDPHFKELWELNGGSTSTPWGVGVRIANTSEMDSHISFDADGVVLTYSTVEADSVKRLVSDLQRLANARVFACGMRRLIGVKLDDKLDDNHISVGMKSQSVNKGHSDADRLSEQMGKPFRIEAVGLMSFWFSYGHMPMVHFVVEWETAKEGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSTGYSSMPKQTNNVPSQGPLANGSSATTMHHASAPSNATAHLGGHTLHTAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPAFMNATQPGPHLNTSAGAPQSAPTASRLNAAPGVAMSRPTSSVANHVAASLSRAGNAMLSSSGLASGIGGASVRLTPGTGLPVHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQSNAQEELTSAEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLITWKKGFSQAHGDIATAQRARIELCLENHSGSASDDRTESSLAKSNIHHDRAHSSVEFALTFVLDHALIPHMNVAGGAAWLPYCISVRLRYSFGDNSHISFLAINGSHGGRACWLQSEDWERCKQKVARAVETVNGTPAVTEPGQGRLRMVAEMIQKQLQLCLQQLRDGPLSAGSTAS >SECCE7Rv1G0522330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:877189110:877190757:1 gene:SECCE7Rv1G0522330 transcript:SECCE7Rv1G0522330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADVARNIVGIIGNVISFGLFLAPVPTFWRIYKAKDVEEFKPDPYLATLMNCLLWFFYGLPIVHPNSTLVLTINGIGLVIEGAYIIIFMIYAAKNTRLKMLGVLALEAAFMAAVVTGVLLGAHTHEKRSMIVGILCVIFGSIMYASPLTIMGKVIRTKSVEYMPFFLSLVNFLNGCCWTGYALIKFDIYITIPNGLGAIFGLIQLILYFYYYRSTPKKGKNVELPTVLTTSGNVSVAIEK >SECCE4Rv1G0294960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:894401367:894403412:-1 gene:SECCE4Rv1G0294960 transcript:SECCE4Rv1G0294960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGAVEWWDEWQLRILVLASLFIQYFLFFSSVVRRYNLPYWLRLFMWLAYLGGDAVAIYALATLFNRHKQLPADGSGLEVLWTPVLLIHLGGQHPMTAYSIQDNELWTRHAITVVSQVTVALYVFCKSWSGEKRLLQAAILLFVVGIVRSVQKPWALKNASISGMVASCSPSRRTEQGFFASVFGCCISFEGTLLSEEGRQEAAAEEKDIPLEGFVQEASRCVLLQEVASDQEKARELATLAIKNFSYRLLVDISTPYSSRIKILQLLMALDWQHAYSVSKLNLDWLFVMLYTKLNMIASFIGFCFHLLTPFLTLASVILFSTSHKYHDYNAADVKVTYILFCCTLPLDFLFLFLSALIGEFGFVKVSQYSLLSFYARKKRPTTLMKLATVFCCKDYVNMHCYMEHEPSDPSKIITVLVLGYVRDGWTRYIKDAASYKRFNSNRGEWTLNKYGLCSAKRLGWSLKMAFDRSVLLWHIATDLCFHHQSTTPFGQQCATQSRVISNYMAYLLSIRPEMMMLGTRKGIVSIACDDVELMLGSALPPDVRGLAQGILHRGQQPPSSHASNIGTLVPNACRLAKVIMELQDEEERWEVVQGVWVEMLCYSACRCRGYLHAKNLNEGSELLSHVWFLLSLMVMETSADRYQKSEPPEIKEEEEEVEGGDVGGESGSIEHEIKISV >SECCE1Rv1G0028880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:408086918:408087271:1 gene:SECCE1Rv1G0028880 transcript:SECCE1Rv1G0028880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERRRSGLAAAADAASWCCGLALVTLLLVSSLRAGEGEESGGGAMVVRGARIAAAPRRPCDEIYVVVEGETLHSISDRCGDPYILEQNPHVHDPDDVFPGLVIKITPRAAADGRK >SECCE1Rv1G0056550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:687237720:687238400:-1 gene:SECCE1Rv1G0056550 transcript:SECCE1Rv1G0056550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAATRRFQLWLRGLRSLRRDLRTARWADDPAQLAKLVAGYVSHFADYCAARAELDPVWTLAAPWASPVERGAAHWLAGWRPTTLVHLLYTESGRRFEAQLPDLLLGVRSGNLGDLSPAQLAQIDELQRRTVAQEDELSREMARVQEGHGAVGAGGELVDVGGLVGRVGAVLAGADALRLRTMKRAVEILEPAQAAELLVAAADMEIGFREFGLKYDGVGAGGS >SECCE1Rv1G0034220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:478461034:478464932:-1 gene:SECCE1Rv1G0034220 transcript:SECCE1Rv1G0034220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGLAAYRAVLRAARRTFSGDQLMLTESAVEIRRRFEDHRGLAPGSEDAVRALSEAREAADFITNMIVQAKRSASGSFVVKPEKAHAGATLEIPSEEILSTLK >SECCE7Rv1G0460950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:34152180:34154120:-1 gene:SECCE7Rv1G0460950 transcript:SECCE7Rv1G0460950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPLRTSPPALPYDLVEEILLRLPPDEPACLLRISFACKAWGRAVSQPDFRGRFIKEHQHRPLPLIGFLHNSEDERVPRFISTTASPFSLPAPDRASWLALDCRHARALFVSDPEGSGPQQLLVWDLNTGIRRRIPVPPMHSSYYYRTAAVLCQADGCDHRYCNGGPFRVVFVFTVFQMQLPCSALASVCVYSSETGTWSGVLENITHQFDALTFKCHSSLLLGRSLLYFLSDHGFILEYDLAGNVLTGFKTPYWNTDPEPTFNLMVAEDGGIGVCEIHDSWLRLWSREESDARWVNSRVIDLENLLPPAALVDAEAEMMVLGFAEGVNVIFFNTVAGLFTIELRSKRVKKVCDNHGFCNLIPVVSFYTAVDRIEYQDLLPSNPSEDVGDEEGGEEEKTVDEAQQLLDKGSNTIKEGGFVDAVERVSHDLNARSASNEESVKGTASEDDAKDSKTSGSNGEDAAPSSEKGDS >SECCE7Rv1G0482910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:315394006:315397089:1 gene:SECCE7Rv1G0482910 transcript:SECCE7Rv1G0482910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPATTPRAAARCRIAACASTPPVTAGGRPRELPLALMAERGMVVGGHRGMGMNAVGAPPGARVGAAKERENTLLSFGRAAEHAAVAFVEFDVQVTKDGCPVIFHDDFILTQKTEVLYERRVTDLLLEEFLSYGVQKEPHKVSKPLLRRLEDGRVLAWSTEEDDYLCTLQEVFEHVSPHLGFNIELKFDDNIIYPGVNLNRALQAVLQVVFQYAGNRPLFFSTFQPDAARITRELQSVYPVLFLTEGGTAKHNDTRRNSLDDAIQVCQEYDLHGVVSEVRGVLKNPSAILKAQESNLAILTYGQLNNVREAIYVQYLMGVNGVIVDLVEEISNTVADFSKPDLGQSLFSNSVDIGRKHESFSKQQLGFLLRLIPELIQQPH >SECCE1Rv1G0015780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:129312523:129312990:1 gene:SECCE1Rv1G0015780 transcript:SECCE1Rv1G0015780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKQKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNADCGAGTFMANHFDRHYCGKCGLTYVYNQKA >SECCE5Rv1G0324230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:444247274:444247591:-1 gene:SECCE5Rv1G0324230 transcript:SECCE5Rv1G0324230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINIPDGEFYLEDVGYACRVGILPPFRKTRYHLYEFSGRNYRRTTQKLFNLRHSSLRVIVERAFGALKNRFKILDQKPFHPYSTQVELVLVCCILHNWIL >SECCE3Rv1G0151040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:35320618:35321490:-1 gene:SECCE3Rv1G0151040 transcript:SECCE3Rv1G0151040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVITYQHCTLRSHIFYSMKQITELHNDGKVNNLIYALAKGPDHLARVYNRTVLNRYFFRNSYIEQDLSTQNSGIIVKGDATTGNIDYYGVIKKIIFLDFPPDKEVVLFQCDWFDVPPAKRTESKGYKKDKYGIIDLDTTLHRFQGDPYILGLQAQQVFYVRDVKNPDWAAVIKMNPRNLFAPSVLNGVGLDEAIEADEGGEADVLDVVDAEITVPEITVPEEITSWCRNDDEGSSVDVSVIENIKPVEFEDVQFEHDDDTDDDETYVNDGHVAPLGQEESDDDQGFFV >SECCE6Rv1G0403550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:506528466:506530849:-1 gene:SECCE6Rv1G0403550 transcript:SECCE6Rv1G0403550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASPARIVPVLLALAALCAAAEAEAAAKVPAMYVFGDSTADVGSNNYLPGSAVPRANFPHNGVDFPTSRPTGRFSNGYNGVDFLALNLGFKRSPPPFLSVANRTNRQIARGLLGANFASAGSGILDTTGDSIVAMSKQVEQFATLRCNISARIGKEAADKVLSRSLFLISTGGNDIFAFFSANSTPTAAQKQLFTAHLVSQYKNHVKALFGLGARKLAVIDVPPIGCCPYPRSLHPLGACIDVLNELTRGLNKGVKDAMHGLSVSLGGFKYSIGSSHAVVQSIMKHPQRLGFKEVTTACCGSGKFNGKSGCTPNATLCDNRHEYLFWDMLHPTHXXXXAA >SECCE7Rv1G0521040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:872892961:872895705:1 gene:SECCE7Rv1G0521040 transcript:SECCE7Rv1G0521040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEPMSSHHPALKVISIVGFGGLGKTTLAQSVYDHIGVRRHFDLTAWAHVSAKPDRLELANQILRSASPAYRGSIGKDATFGALQSQLTQLLASKRFLLVLDDVWDVPQDTWQQLLVPLKSAESGSRIIVTTRSPKVADMLGASHTYHLNPLGIEDCWSLFHRYAFGGWSTHDTIDELEQIGRTIVAKVDGLPLAVKVLAGLLGATKSTKYWRIISEKQFSGDATLSSLRLSYSYLPGRLKQCFAFCSIFPRNWKFDQTNMIRLWMANGFIQSQTDTGKRLEDLGTDYFNALLSRSFFQTLRQGPHTHCIMHDLIHDLAVSVSTNDCFQIEPGMTRSIPSTVRHISVTTDGTQDINAAINMLPKKLRTLLVLRTRSFSSYCLQGDFLVKLKTLRVLDISHSDYTELPRSISCLIHLRYLSLCRTIRSLPESTSKLLHLQTLHFTDRCSLDKLPAGISRLVKLRHLGIDMKYIAQVPGIGRLINLQGSVEFCVKKGGGHALQELKGINGLHGQLKIKGLDNVLSKDEATKTDMKRKENLKVLRLEWSSASRSLTPVTDYEVLENLQPHQNLKELHIVRYLGATAPSWLQFAALRELQSLHLVNCRSLGVLPPLGLLPSLQQLHMKELCTVKRIGHEFYGTVDLSFPSLKVLVFDDFPSLVEWSEVRADPFPCLQKLEIVDCPKLIQVPAFPPSVSELTVERTLLISDMRLAPYSSSRLEIFTLSVSTTSVLCRGLFHQSHLESIVVLNINAGCKQLVSAEGLHSFTSLQKLQLCHSDISDQNLGNLLQVLPSLYSFEMIDLPNLTSLLVPTKNNLCATVTELQISNCPQLSSIVSLGTFVSLKRLVIEKCPKLTAASFPESFWRLTALKVLSISYCTEFQSLPSCGLPTSIEALHLVGCHPKLHENSSNRTVNF >SECCE6Rv1G0411300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606481835:606482134:1 gene:SECCE6Rv1G0411300 transcript:SECCE6Rv1G0411300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEEALISPELRDVLAKVAVFLLVQGLVYLILTNSSDVFSKNKTLRSLSFRPMRSMSVRRVLAPLSDVPVGTDDDSPSPSLSSPSSWSSRLWGSRKDD >SECCE6Rv1G0427820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720937935:720939545:1 gene:SECCE6Rv1G0427820 transcript:SECCE6Rv1G0427820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLTYYRSIYKDHDQRRKLCIIFRVPKHIKQVDKLSYEPKVMSIGPYYHGNSSLQFMEKVKWNCLDYVLKLNCGKKLEVYLTVMESLEKQARSCYSEEVSLESDMFLRMLLLDGCFILVYLGGTNDLDWCVSEQNASGSNYQGGEPLQYTIAQPEEITEHASNEGTRTESMRLIDGTSSDLCSTEGVELVHISTGEQCYDQKDPNQPKCDPISEWHHAHVFRDLLLLENQLPFSIVKRIYGLLVGDNAVDLLTEKVRKYLELNIQKYTTVARNFDGQTDFHHLLHLCHMYFRPQQRIQPEQHCQIRNRWLRPLTTLWHTYYERSYFEELSINQHATSCQTLNRWRRAEQYHEAGIEFKSKQHNKHNPPSLLDITFDKGEVEIPCLLIDENTIGLFRNLVAFEQTCSQFGNDVTSYIAFMSQLVSTPCDVALLARKGIILHHMRTDEEVSTLFSKLGKNVDFDQNGAHYLKSVCRMMEEYYQNRVNRWMAWLWHNHLKNPWLVLAVVAAAIVLLCTIIQSLLSLLAYLDQTTGTN >SECCE4Rv1G0293790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887040325:887041648:-1 gene:SECCE4Rv1G0293790 transcript:SECCE4Rv1G0293790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGTTNGNGIGHGSAAVARRQHAEGPAAMLGIGTANPTGVEVPQNIFAENLFRVTKSDHLTELQLKLTRICEKTGIDKRHFHLTEETLAAHPELYDHEAPSLDNRIAMTVDAVPKLAQCAAAKAIAEWGRPASEITHLVFSTYSAWGAPSADLRLAALLGLRPTVSRTILSLHGCYGGGRALGLARELAENNRGARVLVACAEITLVCFGGPDGGNLVGHALFGDGAGAVIVGAGPFRDGEQSPIFEMVHATQTTVPKTEHVLGMQVSGSGVDFHLAIQVPTLIGQNVERCLLDAFRGGDDGGDDDDDGAHLPSPLSGNGKWNDLFWAVHPGGRPILDNIDKVLQLEPEKLGASRHVLREYGNMSGATIVFVLDELRRRRSLLPEWGAMLAFGPGVTIETMVLRCPR >SECCE3Rv1G0182090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:622323839:622324255:-1 gene:SECCE3Rv1G0182090 transcript:SECCE3Rv1G0182090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAMAFLLSSIASRLRLRHRSKRITSSSGTHRPPFFSCGGGGGDALSPFVTPKTTRKLKAPSGKRFAEHGQDDRTVEDDDVDEPCLWRRTILLGQRCQPLDFSGAIHYDSDGRRLWHARTPLLSPGRASEFKYIDRA >SECCE4Rv1G0225090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:87919413:87921248:1 gene:SECCE4Rv1G0225090 transcript:SECCE4Rv1G0225090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSSKAPSSTSQPRRASPSPTPSPATAPRPKNPGAAAERRRSPLSDLNSGDASAARAGCFRFLLSSASGSKPRGASTPRTPASGPMPRAGAKRRVAAAGRLPDQESRTKAEQGPDREPRRRRDEPIGCQIMRVEPAKKQQGLARRRQQQEEHLEALTPERKTEAGSNPSSGATPPIHASISPEVLAGGAATPACFAAGHHVVPGVRDRRKCRARGILDIAGEGTSEELDCEPSRASIHWLSSPSGEAGTYSTKCGKEASVIWLSSPRDEGAVCLFDEEIFLPRCSSDDPFWELSPDYTGLLRSPVLGGLLDFDTPASELSETTPSSGFLPVQRTPSTGDSISPFSLIVKRASSHSSRLSSLCAQRGLSSSYGYDSATDPTRISGESWSENGSTGKCSGLARVSSRPLTRMDPVVECLEMMSLSPRPGDADYDQNDEDGALPATLPELSFQFTGASTPLESIDLSSFKRSPCDAELKGKEASFRKPASTEARISWREGLVSRIFDMGDLDCCQWLSDDEDGPLIPGNVVEALPDTTLQPVNACCLQEDSKQSGFGSVEFGCNHGGLNYDSSKATPNPVQVAESMRAEGFELVSSDDSDWTLLYKNGLFET >SECCE1Rv1G0030750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:431730454:431731580:1 gene:SECCE1Rv1G0030750 transcript:SECCE1Rv1G0030750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIASPATGAVASPMASDVGKAARSVGLGLPALPPLPGLASHGQPRVASFCKRIARNVVSMAAGEPAAPLADNAELTEFFNSLKQEWDRVEDKYAVTTLAVAATLGMWSAGGVVSAIDRLPVVPGLMEVVGIGYSGWFAYKNLIFKPDRKAFFAKVRNIYEDIISG >SECCE6Rv1G0379690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:15889001:15891455:-1 gene:SECCE6Rv1G0379690 transcript:SECCE6Rv1G0379690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTSGAAVAGPTSSRRVSYGPVLEDEPPRLRFTVGQFPSRFPLADAPGFISSIGAALARHADAQVESLEISLVFRAPHQHYVASLGTYATEHPHAALVTADHVRAWLRYGMARAAGSFVLEVPPRPRPTIEGCASGAAAEAEAEKNGMALELPRSAAAATVALTLGNATLALPAPADASFHALAELLLSNARISDKHRLSGLLSSQSFPRLKRLRLEHLAGVGELDLCVGDLEELAIVGVRDLWCLQVNAPRLRTLRVTECFRLESSAGELAIAAPALEALTCSSMCRMQGLQFDGGPSVREIGGLPLWTHGHANHAARNEAAISILKQCTAARRLNLDLHVPSYMREALVDATGSGMADCESILKDDIPQLLNITALTVNISTWKGHSYAASLARLIAQCSNLEDLHIEVRRGTEKPACLDRACVCHNEDGWENQQLSLERLVRLDIAGFQGLDYEECLAQMILAGAPALKRE >SECCE2Rv1G0135070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905327274:905329085:-1 gene:SECCE2Rv1G0135070 transcript:SECCE2Rv1G0135070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYELSGHSGETSIVSMFNSSKQYWSSGDWGGQYFSNIPESVGQKWLSLAFTSTKEEQYVQYAIEDPTVLSRGIMDVSGQMKVLLWFEGSSQDWQAVYTVPKSQCDVHATCGPFTVCSDVPSPSCSCMKGYSIQSPQDWELGDRSARCARNTPLYCNSNSSGVGHETDKFYPMASVQLPADARSVATATTAGECSLACLGSCSCTAYSYDQGGCSIWPDKLLNVRQQGNSVLHLRLAAKEVPSSKTNRRGLIIGAAVGASTAALVFIFLLMIWMTKKKQYSDDVQSGMGIIAFRYADLQYATKKFSEKLGAGSFGSVFKGSLSDSTAIAVKRLDGVRQGEKQFRAEVSSTGVVQHVNLVKLIGFYCQGDKRLLVYEYMPNGSLDHHLFQSNGMVLDWTTRYKIALGVARGLAYLHSSCRDCIIHCDIKPENILLDGSFTPKVADFGMAKLLGRDFSQVVTTMRGTIGYLAPEWISGTAITSKVDVYSYGMVLLEIVSGSRKSSKQSSSQDGVHEGYFPVRVARSLVDGDIVSLVDVKLVGEADLEEVERVCKVACWCIQDDEFDRPTMSEVVQFLECLSEVETPPVPRLLQAIAGQPNQKIM >SECCE4Rv1G0243320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:500382072:500390006:-1 gene:SECCE4Rv1G0243320 transcript:SECCE4Rv1G0243320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDERGRAPQRKGISYSQPLARDAVAQAAYASHAALSSHSLDDDPIASASAHRHDPARSVSCPHQLPPHHHHSRGAESMYLHTASFSGGGAVTLERAVATSEHGGASGRGALPEFVGAGGGEGIFRVPLRAAMHPSRPPALEVRPHPPRETQVCSFLRTLACEPQLRQLWAGTESGVRVWGLDELFAGSVAGARRGDEETAPFTESVPAPPALCVAVDSANKLVWTGHKDGRIRAWRMDLATAPAAVADGGENAPMFKEVLSWQAHSRTPVLSMVVTSYGEIWSGTEAGVIKAWPWDAIAKSLSLTPEEKYMASCLVENAYIDLRNYVTVGNMFSLPTTDVKHMLADHCRAKVWSLTSMTFALWDARTRELLKVFGMDGQVESARLEPLVMPEQFIEEEIKVKPSKKEKNQGSITFLQKSWNALIGAGGAVRRVATKGTFVEDNRRTETVAQAMDGAIWSGCTDGSIIMWDGNGNWLKEFSYHNSSVQCIKALGEKVWVGYASGAVQVMDVEGNPLGGWTGHSCPVIQMAIGGSYIFTLAHHGGIRGWPLTSPGPLDDILRMELAKRELSYTRVENIKMLVGTWNVAQEKASPESLWSWLGSASSDVGLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGKTLDEGISFHRVGSRQLAGLLIAAWARTNLKPHIGDVDAAAVPCGFGRAIGNKGGVGLKMRVYDRRICFVNNHYAAHQENVTRRNADFDHIYRTMNFNKPHGSAASDTSVQLHKAVNANGNQPDEDRPELAEADMVVFLGDFNYRLHGITYDEARDMVSQRSFDWLRERDQLRAEMKAGNVFQGMREGFIRFPPTYKFQRHQPGLAGYDSGEKKRIPAWCDRIVYRDSRSEKIRECSLECPVVAAITSYEACMDVTDSDHKPVNCTFSVDLARVNELIRRQEYGKIIESNEKLHCLLQESHRVPDTIISTNNIILENEETVVLRITNNCGSKKSAFEILCEGQSTSKQDGTKTDFISRASFGLPHWLEVQPSIGLIEPGETMEVNVHHENYYTQEEFVDGVVQGGWCELTRDKEAVLLVNVRGSTSTETVTHRINVRHCCAASSPPPPASLLSIAAPPGDAPSSEGPTERPSRKSQSNHLQRSDAHFGASEVHDLHRLRNMQD >SECCE5Rv1G0326510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:480702345:480702719:-1 gene:SECCE5Rv1G0326510 transcript:SECCE5Rv1G0326510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDALVPEGEEPKSVVEIVEEVLKTEVKQSTFLSNVGLQSSRNNSGKATAEVAAHVCDLEQKLERSELQAEVIQEELAAIKMKAGESKAAHDKELELLRKKSQEQEVQLAHLMALFGAKAV >SECCE4Rv1G0231050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:176017955:176020726:1 gene:SECCE4Rv1G0231050 transcript:SECCE4Rv1G0231050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRTPSSWYTAISGCVRCGHQSTAFDLLRGMRERAVPLSGFALASLVTACERWDKEESRRCGAAIHALTHKAGLMVNVYIGTALLHLYGSRKHVLDAQRLFHEMPERNVVSWTALMVALSSNGYLEEALRAYLRMRMEGVACNANAFATVVSLCGSLESEMAGLQVFSQVLVFGLQRQVSVVNSLITMLGNIGRVQDAEKLFYRMEERDTISWNAMVSMYSHEGLCSKCFMVFSDMRRGGLSRHDATTMCSLICACASPDYVNIGSGIHSLCLRGGLQSYIPVINALVNMYSAAGKLVDAEFLFWSMGRRDLISWNTMISSYVQNGNNMDALKTLGQLLQTNEAPDRMTFSSALGACSSPGDLMDGRMVHAMVLQLSLDRNLLIGNSLLTMYGKCSSIQDAERVFQLMSTHDVVSCNILIGSYAALEDCTKLMQVFTWMRGAGLKPNYITIVNIQGSFKSSNELHNYGLPLHAYTIHTGFVADDYVSNSLITMYANCGDLDSSTKVFRTIIKKSAVSWNAMIAANVQHGHGEEGLKLFMDMRRAGNNLDHVCLAECLSSSASLASLEEGMQLHGLGVKCGLDNDSHVVNAAMDMYGKCGKMDEMLKMLPDPAVRPQQCWNTLISGYARYGYFKEAEDTFKHMVSMGRKPDYVTFVTLLSACSHAGLVDKGIEYYNSMSSIFGVSPGIKHCVCIVDVLGRLGRFTEAEKFIEEMPVLPNDLIWRSLLSSSRTHKNLDIGRKAAKKLLELDPFDDSAYVLLSNLYATSARWSDVDRLRSHMKSINLNKRPACSWLKQNKEVSTFGIGDRSHTDTEKIYTMLDEIFLKLREVGYVADTSSALHDTDEEQKEQNLWNHSEKLALAYGLITVPEGCTVRIFKNLRVCADCHLVFKLVSMIFDKEIVLRDPYRFHHFKGGSCSCSDFW >SECCE7Rv1G0508370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:771746747:771747361:1 gene:SECCE7Rv1G0508370 transcript:SECCE7Rv1G0508370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLTLPAAALLSQVATLGSLLALLLLALLLPAFLRVAYGYLLFNGIVLALGIQAFVGGTASIADEDQHGSSSTGQAVAPIDIMASRFQRAGVGSIRPGDRTTVVDDQVVVPAFVASNIIELKTKTKEVVLKVLKKCPSTASIFFLSALNGSQAGGEEKACQEEQEDCEVDVDGDVRMSRQELFANAERFIGNFRRELRMQKQ >SECCE1Rv1G0055190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678430981:678432372:1 gene:SECCE1Rv1G0055190 transcript:SECCE1Rv1G0055190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSSKRKSVMPSCVKITPEAFPGNKRKKAVIPLCAGLLPDDMMLEVLLRLPIKSILRFQAVCRSWAALFSSKGFCSLHMAASKVPPQAPKLLVVSPTAELDSTSVCSYLLSSSSSRDALLFTVDSARRNSMEVAMPSPCCGLTLLLDAVAPAYYVCNASTREVTRLPPHSLPKYDSSAGLGFDARTWEYKVVRLINGLCDDKETIRCDVYTPGADRWRPAAGGVPFKWSQFANAAVAHAVLNKIPPAFANGFLHWLINPSFIVRRPRAAIISFSVAEETFGCVRSPPFWGPTEHLRSWSQSEREHLVVMDDRLCIVRDLRNRTPDGSTLEIWGLLDYGSGDWSLKHRIDLFGQVKRELGEPKVVRVIGSVGNSTLGKKFVIATSERWADEMFQKKVYTYDPRCQVLEVILSVTETHPSVTLLIPGSRFSLFEESLAPVHKTDDELVMPYTLAKLTKETTTTS >SECCE4Rv1G0285110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:842622364:842624981:-1 gene:SECCE4Rv1G0285110 transcript:SECCE4Rv1G0285110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRRRPSPAAVPPLEDEDLLSEILLRLPPQPSSLPRASLVCKRWRGLVSDPAFCRRFRRHHRRNPPLLGFFQVLDDGLSFAPSLKAPDRVSPERFTLQHQDLVDRFFSLGCRHGLALIFLRKRLQLLVWDPVTGDQHHIAVPPGFDTDTSPISGAVLRSAGDVQHFHLALVGSSERQITQAVARVYSSETGVWGELITTPLQSEDLAHFRIAVSTIKPAVLVGGSLYWLLDGSSGGILEFDMDRQRLTVIPMPVNGLRFFHFSMIRADGGGFGLLILSGFSAQFWKRRTNCDGVASWVLGRTIEIDKLLQCEPESKGSQLILGFAEDNNVVLLWTIDGTVMLQLDSLQFEKVLGTKIMAHYHSFESVYTTERGIGDDEADLLHNT >SECCE5Rv1G0321810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:410365288:410366435:-1 gene:SECCE5Rv1G0321810 transcript:SECCE5Rv1G0321810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIHSSRSLNGALLALGLVAAAVVTDVAAAQGVPTVPARHELWMAKFGRAYADAEEKLRRQEVFAANARHVDAVNRAGDRTYTLGLNQFSDLTDDEFLETHLGYRHQRGRLSPAGNAPAAAVNVSKGAASRFQYTPDSMDWRAQGAVTEVKKQLSCGSCWAFAAVAATEGLVKIATGDLISMSEQQVLDCTGGANTCNGGDINAALRYVAASGGLQPEASYAYSGQQGACRSGGAMPNSAASVGAPRWATLYSDEGALQELVASQPVAVGVEATDPDFRHYMSGVYSGSSSCGHRLNHAVTVVGYGADGLGQEYWVVKNQWGTGWGEEGYMRLARGNGANCGIATYAYYPTMDS >SECCE5Rv1G0360830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:775536948:775538375:1 gene:SECCE5Rv1G0360830 transcript:SECCE5Rv1G0360830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWAGLGQAATVAQLVGVDAGGLISLITQAALTARQNKKACEQLSRRVHMIADLLPHIQEPELMRRPEFQRPLAGLGDTLREAHDLVMVCQRRSRFYRFLNARRLADKFRDIQSRIDSYLVVVPFISYIALTHNLNRICDSLPQNHTILPSPATASTSQSHPHPVPAWKGVAKFTLAEIAVASNSYAFFTKVGKGTSGTVYRGRLSDGSDVAIKRISKTAQDREKVLRTELAVIPHPRHSHFVRLLGWCAEEDERLVVTEYMSNGSLHDHLHGRKPPSSAVTVSWNTRVEVLLGASRAIRYLHCNFEPSVIHCNIKSSNILLNASWMPRVSDLGSSVRHETDEAEYQVVGKGGYIDPEYYRTGCLTPATDVYSFGVVMLEVLTGRPPVITTRGEGEQGEVQMDLVHFALPLIEAGELEELLDGRPEPQPTPVQLQALQWVANTAAQCLHRQSIVRPHISDVVAHLDIAFRLASS >SECCE6Rv1G0441440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810943751:810944632:1 gene:SECCE6Rv1G0441440 transcript:SECCE6Rv1G0441440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKLVALGFVVLMSIGFTNASRMLASSSSAGGGGGGGGGGGSSSNGTGSGWGHGAGGGGSLGYGSESGGDSNKNYVAKGAGGGGGNGAGGGSQGGAGSGSGSGGGNGVGSSGSASAPSGNGYANAEGQGGGGGGGAGADGSSGSGAGDGAGEGYGESGIVTAPAPSAGGASSSDAGGSGTGDGGGDEGNGGGNGAGGGQAASDDTSGGNASGGGSGNGGGQGGGVAQGPSMGVGSGSGFGGGQTGSSGSFGQGYATGFGGGKGGGVGGSYNGGSGNGGGSGSGGGRGGYH >SECCE7Rv1G0481540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:284920082:284928477:1 gene:SECCE7Rv1G0481540 transcript:SECCE7Rv1G0481540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Phytochrome B [Source: Projected from Oryza sativa (Os03g0309200)] MASGSRATPTRSPSSARPAAPHQNHTQSSGGSTSRAGGGGGGAAGSAAATESVSKAVAQYTLDAGLHAVFEQSGASGRSFDYSQSLLAPPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLLDLSPHHSVPSLDSSAAPPPVSLGADARLLFSPSSGVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYKFHDDEHGEVLAESRRGDLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHAAAVRVIQDPAMPQPLCLVGSTLRSPHGCHAQYMANMGSIASLVMAVIISSGGEDEHNMTRGVIPSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALFYHGKYYPLGVTPTEAQIKDIIEWLTVCHGDSTGLSTDSLADAGYPGATALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAGEGASNSKAIVNGQVQLGELELRGIDELSSVAREMVRLIETATVPIFAVDTYGCINGWNAKVAELTGLTVEEAMGKSLVKDLIFKESEEIVEKLLSQALRGEEGTNVEIKLKTFGSEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDITGQKVVMDKFVNIQGDYKAIVHNPNPLIPPIFASDENICCSEWNTAMEKLTGWSRGEVVGKLLVGEVFGNCCRLKGPDALTKFMIVLHNAIGGQDSEKSPFSFFDKNGKYVQALLTANTRNKMDGETIGAFCFLQIASPELQQAFEIQRQQEKKCYARMKELAYICQEIKNPLSGIRFTNSLLEMTDLKDDQRQFLETSAACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGNVMNAVVSQVMILLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLSDFLLSMVRFAPTENGWVEIQVRPNVKQNSDGTETMLFLFRFACPGEGLPPDIVQDMFSNARWTTQEGIGLSVCRKILKLMGGEVQYIRESERSFFLIVLELPQPLRSESRDRS >SECCE6Rv1G0438950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:793507612:793508583:1 gene:SECCE6Rv1G0438950 transcript:SECCE6Rv1G0438950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLIQQLKDFNVPGYRTQNAWSKEAWNKIVDNINQKFGLSLDVTKVKQKEQDIKKDFRAVRDLAAESGFGWDSIGMKVVAPDAVWESFAERRDKDALIWRDKCFPYYDDLFALYEGRYAEGRNRCVMDYYANRDKEPSQTKFAESQATNDYDGSPSPNINAADFSIQEEGEKDTSDFPHQQCSSRRSTQMNSQFVKTPEMCGPRPTKRQKNSDFQEKYLKLKKEEIERFAAIEEKKLEDPYSISRCVTTLERLPGLQMADMVMAADIFKSKENRELFLSFSTDEPRLAWLKRQIGHI >SECCE2Rv1G0119770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:794237496:794239771:1 gene:SECCE2Rv1G0119770 transcript:SECCE2Rv1G0119770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARERLLASLSALALMVAAWASPVAGGRPADQLQILWGQTQVLNGGNGDQTIALMLDHAMGSAFKSKTSYLFARIDVDVKLIPRNQGRSSSMDIGLISEKDWKTHDEVDLEFLGNATGQPYTLHTNIFANGEGGREVQYRLWFDPTKDFHTYSIVWNTDEILILVDGVPIRQFKNHWDAGVPFPVYQPMRLFGCLWDADDWATQGGRLKTDWSQAPFVAYFRNYTASGCAPSAGGSWACGQDPSGSGGSSGWMDRARGGGRLDDDVKQQQQLREVQGKYMIYNYCTDGKRFPNGFPKECGLA >SECCE5Rv1G0304250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:55267389:55274549:1 gene:SECCE5Rv1G0304250 transcript:SECCE5Rv1G0304250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRPRAGAAAGFEDSPVFNFINNLSPIPPPKPQDSSHNVHLFKSSDLAPVSSIFASPHVNPPKESKLLIRDDSVQLSQDSNSPNSVRTRLGSAIRLIKCKNIVPENCSFTCHLNQGPIDSSANRSNSTSKLPQTIQFVGDSSECDKNQNADGKEDLARDQESIELEGILLDHTGPDKTDSSQPGRIVHENQQCEQQKDGFTAYDGDYLITHESSMDMLRLAPPCEADTQLVNETLNADNVFSGNSLLTDGPSGSYINNAAHDPQLYWDGTVEGPTTDYTPQLLPGACQSQSVSNDQICNAVEEPSDHMPIDQSALSQHMRGMRRRCLFNEKAGAVNKAAKKTSDRHSANTTTPRCKTNSGDKPVRTPPCALPGIGLHLNALAPISTDKIVPQAAQSSINQASNFPCAVSSSSTSEPNIVNEDSSQAIVVANADESGQGSPKKKRHKFDDGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPSNMEIVLSTREQIESRNPLAFAPKVIRTSEPGQELGEYSNKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSISCRCEGCKNAFGRREGLGPLSIEEAKQGNEENSACVKEEKKEDDNNQLVICPAANPAPENVLTTPSAMDVRPLASRPPSSSKRPRSTTKALGHPSRLCKSQAPLKTDTLLSPFENYAEIMFGVGTSDSLNGGLSPQTSVKVVSPNKKRVSPPRIGTGLSPICKSGRKLILKSIPSFPSLGGDVNNVDTKTTSPAP >SECCE5Rv1G0309600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:156842058:156843910:-1 gene:SECCE5Rv1G0309600 transcript:SECCE5Rv1G0309600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKVVRPEEVLESLKNDGTVDALRMKIIAQLKANEDMKKNTMMMVEQSKVLKTPGAEKKTKRELFDALRQELETPVLEKASKAVWELILDNGGLGKEITETVEKVFCRLSGIDVMPPPASTSGAPQEKQTNMAVEEGRNDMEMDASEPSSSSRKRPFSDITVKGVGAIPNGGATYQHDDHEDGN >SECCE1Rv1G0010110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:60198157:60198612:-1 gene:SECCE1Rv1G0010110 transcript:SECCE1Rv1G0010110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVLVRLALWLLGSCLELAALVLFRGLALLLVAAVDLVRLPGQAADAALDATKGVLEAAVEFVFNLVWDVAVAVVSAFLESLWSVVAGTAEFAASTVVELMEAARDGSEEAAKALTEALEGAADAVAGTLVKLAENYTDALVHLLQNLI >SECCE2Rv1G0091140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:308513918:308518843:1 gene:SECCE2Rv1G0091140 transcript:SECCE2Rv1G0091140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRITSVESPGCPPLRAITTDILGLIKVVEARARPAGVAKVVETWGEPDASRAIIVASLADRAVDPVLAVARKNGVVEFLNPLNGDALAVVKSSRTEQTVGAVQNDPLVALHLFRKHAPDLSTLGTFLACTEKGKASVRSVAKENMASDSDVGPSSTWDVCNKGTLQFSSVDAGENYAMFGGNGMEVNLWDISSCSKIWSAKSPRANNVGIFTKPWFTAGTFLCKDDHRKIVACTNNHQVRLYDTASQRRSVVSVDFRESPIKAVAGDPDGHTVYIGTATGDLASFDMRTGKLLGCYIGKCSGSIRSIVRHPELPLIASCGLDSYLRIWDTNTRQPLSAVYLKQHLTTVVIDSHFSAEESEETKSKQPDSVMVAEAAVRREKQKKKNVLVEEDEEGTQMVDPDDCDAEAVRKEKKKKKKTVAEDDEETQMVDPDEGDAKIVRKAKKKKKNRRVEEDEEGTQMAGLNDSDAEMYAPKRIKSESGERSKGTKKKSKKQQVA >SECCE3Rv1G0186980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:693037947:693043925:-1 gene:SECCE3Rv1G0186980 transcript:SECCE3Rv1G0186980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEMGALLYCAVAALALHCVGCGCSPINLEGSALLKFHSRVVEDPHGAMAGWSPGDADPCGWNGVRCADGRVVMLNLKDLSLRGTLGPELGSLSHLQALVLSNNLFSGLIPKEIGGLATLEILDLSNNNLTGEVPQKIAEMASLKHVLLSNNRIQWPVVQNSHGSFDQATDFDIYDHLGRGNLNQRADYGFESGSSTEEEKKDTSNLSARLPTQIAARNPAAQVSRRRLLQDSNLAAPSSANAPLPPSVPVPSTGSGSFSAFIPIKAPAPAVNPPVTPPKSSDTPPEAGPKRSMKWLYAIVIPSIALLLIIIACMLLLCRNKSVATIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPHYTVYKGTLSSGVEIAVVSTVLASSKDWSKHSEGRFRKKIDSLSRINHKNFINLLGYCEEEEPFMRMMVLEYAANGTLYEHLHVEGFDHIDWNGRMRVIMGVAYCMQHMHELNPCITHPGLQSSAILLSEDGAAKIADMNVWQEVISKEKMPKDDDSSEHHEPIPADPAGNVCSFGLLMLEIISGKPPYSEHTGSLANLAMECIKDDRSISCLLDPTLTTHKENDLDIICELIEDCIHSDPKKRPSMREVTTKLREVLAISPEAATPRLSPLWWAELEILSVES >SECCE5Rv1G0334540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566702931:566706296:-1 gene:SECCE5Rv1G0334540 transcript:SECCE5Rv1G0334540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVELFVTACMPVLNMLLVTGVGSFLATDSAGILGKEARKHLNYVVFYVFNPALIATYLAKTITMESMARLWFMPVNVFFTFIFGLIFGWIVIKVTRAPLKLRGLILGCCSAGNLGNIFLIIIPALCKEKGSPFGASDVCQTYGLAYSSLSLAIGAIFLWTGAYNIIRANSNVTEGDVSSPITQTKVLVSGGTRCADSEDNHSISSDRVDECALPLISNPTKTKVPLLERAKGLISSVSGAIDLKKLFAPSTIGVIIGFIIGGTPLIRNALIGDGAPLRVLRESGELIGGAAVPSVTLIMGGNLITGMRGRASVPPSVIAGVVVVRYVLLPLVGTVLVKAAVRYGVIRPDPLYQFVLLLQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTMWSAFFMWTLS >SECCE7Rv1G0498160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:636108434:636109548:1 gene:SECCE7Rv1G0498160 transcript:SECCE7Rv1G0498160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENRYIAVRHHVEGSPSVDDFEVKTEAVRWTPESGEVLVRNMYVSIDPYQLNRMKRQSASHHSVDVIVPGERIASYGAGEVVASACEEYKEGDVVAGMLAWEEYSVFRPATAVLMSKVDASSGFPLSYQLGVLGTSGMTAYGGFYEVCKPQAGEKVFVSAASGSIGSLVGQFAKLAGCHVVGCAGTQAKVELLKDKLGFDDAFNYKDEPDLKAALRRRFPDGIDIYFENVGGEMLEAALANMNTYGRVAVCGVIAEYTDPGRRAVPDLLEVIYKRITLRGFFAWDFIARFHEFAAIIGGWIEEGKVQVVEDVSDGLESVPSAFVALYRGENVGKKLVKLA >SECCE7Rv1G0517540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:854810782:854819853:-1 gene:SECCE7Rv1G0517540 transcript:SECCE7Rv1G0517540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRPTVAMLLVQIGFAGNNLLSKMALDSGASPYVLISCRSLIAAVFLAPFAVYFERNKWAMITKKVIIQILISSALGMAVSEVLFFVGFQSTGPTVACAIRNIVPALTFAIAATLRLEAVRLGTLAGKAKHAAAAAPQASTGLGDALVILSAVAWAAWLVMQNKASGDFSAPYTSMTIMSLIVSVECAGVSVAVDRSLAVWNLGLGIRLYSVLYMGIVGWGVTFAVMTWCIQARGPLFVSMFNPVVLVVVAVLGWAILGRPAACRKVPSLVLTTLFTDGLDMVLWGKGSEMSRPAALFDGKSEAEITGKGPEPKNDTADSSSLPV >SECCE6Rv1G0378730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:10014768:10015283:-1 gene:SECCE6Rv1G0378730 transcript:SECCE6Rv1G0378730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSAVGIKRSAPSDYDEHPGVWCKGESSQQAHQSTFKGKQIANPEDHGGDEANERKRRRHLAIDINEVPHNEDDDLDNPIHVGPPTAGGKFSQPPDWLLSLVNRKKALEDKILELAEQRLRLAAKDLMEETELKKMKLENEKMRLENIRLQVQIKRKELELSVARPKRI >SECCE3Rv1G0172320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:289583427:289586943:-1 gene:SECCE3Rv1G0172320 transcript:SECCE3Rv1G0172320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 10 [Source:Projected from Arabidopsis thaliana (AT2G40935) UniProtKB/Swiss-Prot;Acc:Q8S8T8] MAGKGSYVPPQYVPLYGLDTEEDSVPEVEENIATRQRLNQDPTQWSSGICACFDDPQSCCIGATCPCFLFGKNAQFLGSGTLAGSCTTHCMLWGLLTSFCCLCTGGLVLAVPGSAVACYACGYRQALRTKYNLPEAPCGDLTTHLFCHLCAICQEYREIRERTDSGTSSAPDVTPPPVQTMDEL >SECCE2Rv1G0126610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:847802424:847802927:-1 gene:SECCE2Rv1G0126610 transcript:SECCE2Rv1G0126610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFIKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPAAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE4Rv1G0254920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:642781895:642782176:-1 gene:SECCE4Rv1G0254920 transcript:SECCE4Rv1G0254920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEIFKHVRDVDCYPNISIAYRILFTVPVTVASAERSFSKLKLLKNYLRSTMSQERLNGLATLCIEKKLLDDIDIDPIISDFASRNVRRNF >SECCE1Rv1G0029130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:409723657:409730794:1 gene:SECCE1Rv1G0029130 transcript:SECCE1Rv1G0029130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFNRHKENEEARKKREEDEAARVYAEFVESFKGESTSGSKFVRGGVIDPNAKLRADSEGGKSKDGWSVPKKGSRYVPSFLPPSFGKEPDKKKEDERPKEKEKGKLRAIDKFMEELKFEQELRERRNQERDGRHGDTSASSSHFDELPDEFDPSGRIPGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRAEGQAAKDEMQGVIVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGGTVIISGPGGPPVASVARQTSELVLTPNVPDIVVAPPDDSHLRHVIDTMALHVLDGGCAFEQAIMERGRGNSLFNFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSARWVPPALPSSRSPNHEKESTFAAGRSRRAEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLAESLTLKETSIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAAIPDVMESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLKATFLRPGNSGVTPFHSLCGDAPEIEKKTSSEHGNNGFRLHEDGALATGKAAATKELLGLPLAELERRCRHNGLSLYGGTEMMVARLLSLEEAEKERVYQKDVDMKYGQGEPHRTGREDIGLNAHSASRPGEGTIDDESDMLGLSRHTGQKYYGKSASAEPKQVPSKKQRADPILPASKWNREDDGSGDEDRKDGQGLGLSYSSGSDIAGDPGKADATEISTDHAIHHPDTIVGEEHRQKLRQIEIGVMQYRESLEEKGLWNMEELERKVASHRRRLQSEYGFSSSVDGANSRRSSERIPSDRKERYDDARDSSKKRPHSPSRSRSPSKKSSLDRDREHNRSRDRLHGNDVGRDRVREKSSGREKDDHHDRSREREKDRRKGR >SECCE2Rv1G0121380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:807492376:807495698:-1 gene:SECCE2Rv1G0121380 transcript:SECCE2Rv1G0121380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLMHVLARKVSSSECATIDGLKPQAIQPSVRHLSVIITDFGKDEQGSFPSEKFDQILQEVRSSLQKLRTLMVFGRRTIHLLGSLHTLCKEAKCLRLLRIRVPGGDISYINSLLNPCHLRYIMAYGVYQKPAFCQVLTRCYHLQVLNVGIFTGDHDVPTDMNNLVNLRHLIAHDKVHHAIYCVGKMTSLQELKFKVQNVASFEIGQLQSMNELVSLGASQLENVKTKEEARAAMLTHKEYLETLSLSWENSSMSLQPEAAKDVLDGLQPHQNLKTLEITGYGGATSPTWLSSAFSVTSLQILHLEECREWQILPTHGMCSLRKLTLIRMLNLMEISVPSLEELILIGMPKLKKCTGSYGMELTCHLRVLRIKNCPELNELTLFQSYSSFDAEQKSWFPSLRKLSIGQCPHILNNWPILPLSEMRALSELELMDLHVVRVSVPSLEKLVLTKMPNLEFCSSLMTQGDQMGWPSSLRSLTIHDCPCLIVSHPFPSSALISELSIRGVLGLAKVSIRHRWFTMESNELCVLDESILAFHNLRGITWFGIRNCPNLVSLSSEAFSQLTSLETLCIHDCPNLTMPNIMPEVVQENSTSTSSLVPPSLKRVNISTCGVTGRWLSQLLSHSQSLEGLLLTGCPQIKFLSISQPRETEGTSSLVSAVTTSAQDEQELKLPYNLLRSLKTLWIQRNLDPEFCGGYRDFAGFTSLTELVLFGCPKLVSSLVCETKDDGTMEVGLLPPALENVTISPLPESLQSFTPQGLLHLKRLRLFYGPCLKSVQLHSCTALEELEIEGCVQLVVLEGLQFLASLRSLNIEMNSELSCAWDLKLQEQEQGGNQIQLLPPSLDKLEIRALTDSVQSHLLSCLPAITKLAIGHSPALTSLQLGCCTALKELEIEKCDSLESIEGLQFCRNLKSLRLFNSPGLASFLELVSHQQGASATLSGLETLEASDGSILSTHFCKQLTSLRLLQFGSEASEWRGESLVSLREEQEGALQLLTSLQELTFRRCQNLLSLPANLNSLTSLETLYIIHCKSITRLPDMGLPTSLRSLKLFYCSEELAVQCRIVATEKLRVMIDNQDVN >SECCE2Rv1G0112020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:706234249:706236798:1 gene:SECCE2Rv1G0112020 transcript:SECCE2Rv1G0112020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKASFTDDGISLLDKRFLPAIDKVGRVCHVYLTPTHAMLLHNLLGSTGPEGDGPQCVAQFAKDLLFREYNVSSRDGNRVAFSVDVALLHRALRSALAVHAQSPAAGDAPAAIQVKLVNKQTPGSRSAAPFLTFETKGARSAVVQDVPISKPLSRSDVARLQDALDAAQELPETLVQVPDLQQLQNLVDRLKNIGDLLSVTVTQYGDLHLQVSTSLVTVGSEFKRLRILGARANAPVVDQNVSATIRMEMAVERGEALSVQVSMKHLVKSLQCHLAKPDCTFYGIAPNGACLTVIFQYFIPGTRLTDKSISFYCRLPVLDPGTS >SECCE6Rv1G0451680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873103424:873103843:-1 gene:SECCE6Rv1G0451680 transcript:SECCE6Rv1G0451680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGTSSPAVMVSARPGRVVAARRCVVARASATIVAPAAVAAGRTHYEVLGLGAGAGRGEIKAAYRRLAREVHPDAVGGGGDEVFIRLHAAYSTLADPEERARYDRDVTCRAAGMMMRRAAAAGPAFRPRTWETDQCW >SECCE7Rv1G0501340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:681766149:681767350:-1 gene:SECCE7Rv1G0501340 transcript:SECCE7Rv1G0501340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFAARSEAFLGLAVVLCVIAGAANAQQLSPNFYSTSCPNLASIVRSGMSSALQTERRMGASILRLFFHDCFVNGCDGSILLDDTSMFTGEKNAGPNANSARGFEVIDAIKTQVEAACRATVSCADILALAARDGVNLLGGPNWSVPLGRKDSRTASQSAANANLPGPGSSLATLITKFGNKNLSPRDMTALSGAHTIGRSQCQFFRNRIYNETNINASFAALRQGTCPRSGGDSNLAPFDVQTADGFDNAYYQNLVGQRGLLHSDQELFNGGSQDALVQQYSNSPSQFSADFVTAMLKMGGLLPSSGTQTEVRLNCRRPN >SECCE2Rv1G0097290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:456426019:456429613:1 gene:SECCE2Rv1G0097290 transcript:SECCE2Rv1G0097290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTADLKGKRPLFQSDDVSAAVAAAAVPDEAEAEAEPSSSEEEVKEPEPGTEKQEEKPALVLVAEDGVEVSISEPAARMSQMLRHMMEDGCAEGRIPTANIHSDILEMVVEYCEKHGPYYDPEASERDRYPFPPFPVELTPTVSSIKPVTYVDPDPHGLKDWDSDFISLDNSTLFEIILAANYLNIEDLLDLGTSAVADKMRGKKPEEIREIFEIENDYTPEQEAEVRKENAWAFED >SECCE3Rv1G0194530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:782235460:782240413:1 gene:SECCE3Rv1G0194530 transcript:SECCE3Rv1G0194530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETKQVGLCIKKVLRSSIRTSYRCVSEHPVIFSLGVLLYLLYRSAPGFFAFLLSSSPVIICTTLLLGILLSYGDTNLPEANDEDTKTTPEILPAFKVANSSRDVQFGSDQRISVPRFRNTTENFKERETKRTGSVRERSTQLDDEVPLLRRVDDEDEKFEHRDIPKTLTPFPSMVNFRGGVGVGEGLHFNQGMQLTDSFFMTDMADKNASLFEGLNEKDASLDMFSSSENVNKHAEMQENLNLAASKVSDFSEEKPTDGTAGTSRPTYAVSVRQNKKLDVLKVNTSKAVEENLLDSSLGSPWASVGSQDGSSGFDSDGAESSSPDASMTDIAPVLDEIDPLLGADFTRPDPIPNDDSDSDSHVSSQDHETDDDSNDDDGNNDTKDGGEENKKDEGQEAAFIWTADDAKNLMDLGYSEMERNRRLEILMVRRRSRKNIIFDVDSNLTDANGKSVDDLSRFGAQVSHISVTRRNPFDLPYDSDEAAIPGSAPSILHARKNPFDFLEHSSESGVSAHDNLSPGESPQTSHRDIFRRHESFNFGTTDATQERRLSRLRPYFVPETVEGSTSTFQRQFSDKSDSKLSSVTESDMASSVADQEEHKDLDEKDVHGEHESPALLRQDSDLADAGSECSDGINSVDVELDNSDIDEREIALHHFVFERSEEREAYLASTKGKGHDENYMLKSDGNSTVPLHPVGDLLSWEDGDGESVLGAKSCIAPNITAEVSEWLSSPRPAEEHQLRSGDLGFGATSIAGEGGNVDSMSCPNNEIPLQNLIHGPMDLLTDFEKETLPVISMDLHPIPEERVVENFNMEEKHETGAFTGSGTALTDLHVIEEHFDVASEVSPSSVVASLCPPGASGSIQSSSIEPKDVSNPFFSVASEPDRVDMIDMNEEMTSDYLLDSDDDDADRIYPEPLEDNGIDESFLSELDAVGDFRVEPVRLDQQVPDLSSRTYNPADGVAEDSLISPKTSGDIATSDASALDSGDLSPLVDYLSGTDPEFSWTLGSSHDDPEQTVYNPRRRILEAMNRELNVPCDEPEVTEMRSVNTPSEAYVAVGATELEVTQNEPGTAKTDAEMMPVDAKFLEDIKIAFKQASGGGGVDEAETAHISGVDIDSEPLEGSEELHVIDAKSVEDISAALKEHSNVDVNNCFEQNKDEVGCGEVAECVKHDSLPEGTHVESLQKHLPCDEPEVTETPSVNTPSEAYLAVGATELEVTKNEPGTAKTDAEMMAVDAKSLEDIEMAFKQASAGGVDGPILDAETAHISGVDIDSEPLEGSGRLHVIDAKSVEDISAVLKEHSSVDVNNCFEQNEDKVGRAEAVECAKQDSLPEGAHVESLHLAGDDREPESNMSCIEAKTIDDMNAVFKKLSGHEESAVKAMESEDDRGEGDASEQH >SECCE2Rv1G0122510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:816800556:816806568:1 gene:SECCE2Rv1G0122510 transcript:SECCE2Rv1G0122510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFDGRMPTRGVEQAIVALKKGAHLLKCGKRGKPKFCPFRLSSDEKTLVWYSKDREKHLSLNSVSAVIFGQKTTNFHRQRWPEKEHQSLSLVYRNGECSLDLICRDRDQAECWHLGLTALISGSSSPFSSVGSKSSRQMTSCTSTPRSYIQRKSKLSAVHDTPRHKQVYPSYGSPKMAQKDLFGGYLDCSEALFYQRQRTFSDVDSYLERLTTPKVANPVRHSLKDILVANNKHKTSTISRTPKVKAFEGPRAACRLDSLKDVFLWGDVVGSALDSEDIPKALPRLVGSTKMLDVQSIACGENHAAIITKQGEVFSWGKESSGRLGHKVNGSAPCPKMVESLASVHVKAVAFGSKHTCAITVSGELFEWGEGSHSLGLLNNWHGRSQWLPHKLFGHMDNISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHGNTQGIARPKEVESLKGLQVKSVACGPWHTAAIVEGMSSFKCNTPVGNLFTWGDADKGKLGHADKKMKLVPTCVDSLISHDFIQVSCGMALTVVLTSNGVVFTIGSSKHGQLGNPQADGESVCTVGGILKNEFVTEISSGSSHVAALTRNGKVFTWGKGADGQLGLGDYANRSSPTLVEALEGRQVQSIACGSNFSAAICLHKGISMKDQPICSGCQMAFSFTRKKHNCHNCGSMFCNSCSSNKTTNAALALERNRRYRVCDVCFCQLEKVLYSGEVKPQPKISKGEMFKAEIMAYTPKLSRLFKEANLIVEKMASVQGPNQRNMDSVVPIQVKTQRWGQVECPAQFLSAQDNFRHQLVPNSQMSGVSFSQRMHDAVGLKAGNSLRQSTDSQRDEMSMMERILTEEVKQLRSQVTVLAEQCQQKNLRVQVYKQKLDETWLIVRDEAAKCKAAKDIIKVLTNQCNALSAKLSVGQQPENLKMTPSSIREQAPSPNAAVPADGSFGHQNIARTFDRDGYTTEADSVDAPVDGVIEQIERGVYVTVAVSPGGSKGIRRIRFSRKHFGEKAAQCWWEENKSRVYANYSVEQAATPHLNRA >SECCE4Rv1G0218210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:20642015:20645796:1 gene:SECCE4Rv1G0218210 transcript:SECCE4Rv1G0218210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACFCCVASSQPEHDAAGARPRHRKSSATPPRPSRSSEPSPTATRASPKPRPRPRAKAKPNPYAQRGGGSAPARAARVLDGVVPHHPRLRVTDKYHLGRELGRGEFGVTRLATDRGAARERLACKSIPKARLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDDDAVHLVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRACHAHGVMHRDLKPENFLYAGKEEDAQLKAIDFGLSVFFRPGERFSEIVGSPYYMAPEVLRRSYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARSILRGVLDLDREPWPRISDSAKSLVRQMLEMDPRKRLTARQVLAHPWLQDAKKAPNVPLGDVVRARLKQFSVMNRFKKKAMRVIAEHLSAEEVEVIKEMFALMDTDNNGRVTLEELKAGLARVGSKLAEPEMELLMEAADVDGDGYLDYAEFVAITIHLQRLSNDQHLRKAFLFFDRDSSGYIERVELADALADDSGKADDAVVDHVLQEVDTDKDGRVSFEEFVAMMKAGTDWRKASRQYSKQRFKSLSNSLIKDGSISMAR >SECCE4Rv1G0274580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785538412:785539620:1 gene:SECCE4Rv1G0274580 transcript:SECCE4Rv1G0274580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRAKRTRYASASSVFATSRPQGWAELPEDFLQSLVARLGSFRDLLAFGAACRPWRDLLSARTPSLQPLLLHPSTDSQRSPWFHQWIVFQECTWKLSDPWRLADSASASSWHSLLSVSDLRRMFFLRCSYGHLIFCDEDGFYIVNACSGAKVVPPRLKSGNFTRVSYVTLTAPVGCADSHLLVGSGVYLFQWRIGSDSWSEHCPKVLLLKIEQIVAFKGKTYALGSFGSFCIVHLSPSLIIQKFKVVFEEDRTEDLYWTNQKTWLVACGDALLLIKLEAGRKICSELEPIQFKAFKLESLDAVNKKARWVKLDRLDNWAIFVSADVRCEALPCMNPERWGGKSNHIYFPCYQSQQPWAAVKLWKKYNDLARCLQLVNTGIQFHRLESTWILPGTFPRSGQR >SECCE7Rv1G0485210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:364737039:364738883:1 gene:SECCE7Rv1G0485210 transcript:SECCE7Rv1G0485210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPLHCDPARRPRSSNKVVVASPDLEHAEFILRQHAVTLTAAERRHSTNPMVVGKAIHEQLCTPPHQLRVTAHHPEAFLVHFDLPAHRDNAVRRGVLKVDGGKFFIKAWHEDDHAAIIKYNLHVRVVVEDLPMQFWSLAGAEEALGDFGRVDHLDSRTLERGHTKTFACWLWVWDVAHIPTRRALWVLKRGAGRADEVLGFAPLDRRVPPPPGAHRYDLLVHVDLVEDWTPHSPRSSHSGQSGLPSSSDEDDRPMPRIESGTWVAHVEDGQGPGRRSAPRGSAAGCGGPILGLQRRDQDDGDNSGGSGRSWRDRLLGRGCHAKESGADVVAGAHRRRSRSPVGRRHERESAAVEGATGAAAPATLRATPPLPLRQAPPPPAPAAEDPVARFFSFSDSGRVLSPPPCTDYMQLEMENAMIEALQTPLAFEDGGLSPPHPLPAPQEMDSPEMIPCLLPCVTSPTVATAGFQLSAVTERVGMIQISEGGGDRRPELFAPVPPPIISNLPARPRHSAPPKSRATSAPSRRSTHQAAAANPTPVAQRAVLRLVQELGALGAKDRMTPKAAVLLMKRFQEPLSDGDIAAIAKLTGLDQAALKIAAGMAGIAGADESLHV >SECCE5Rv1G0326410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:479500645:479502650:-1 gene:SECCE5Rv1G0326410 transcript:SECCE5Rv1G0326410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSCSPLSPRLSHLLAVLVAAAVLLGRGGEARQPAPLHGVVRSMAFDEGYTQLFGSGNLALRREGKRVHLALDEATGSGFASQDLFLHGFFSAAVKLPADYAAGVVVAFYLSNAVAYEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTGAGREERYDLPFDPTDDFHHYSILWTQHRIIFYVDETPIREVVRTEAMGAAFPSKPMSLYATIWDGSAWATLGGRYRVNYKYAPFVAEFGDLVLHGCPVNPIDHSAAAACGTPWYEPAAASLSAGQQAWMSAFRRSHMSYSYCHDRRRYPVALSECDTAALPRLFGPDGMKYGGDRRHRRGGRGHRSDVVM >SECCE2Rv1G0086010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:201240794:201244291:-1 gene:SECCE2Rv1G0086010 transcript:SECCE2Rv1G0086010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSIEARDASEGMVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRAIGVRIKEEAEIIEGEVVEISIDRPVSASGSSGIPSGATAAGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVIALDKASGKVTKLGRSIGRSRDYDAVGAHTKFVKCPEGELQKRKEVMHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITTIRGTNYRSPHGIPSDFLDRLLIITTQPYTAGEIRKILDIRCEEEDVEMSAEGKDLLTKIGTETSLRYAIQLITSAGLACQKRKGKVVEMEDISRVYHLFLDVKRSTQFLIDSQSDYMFSEVQGDSDGDDAMQS >SECCE2Rv1G0081690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:143437802:143438203:1 gene:SECCE2Rv1G0081690 transcript:SECCE2Rv1G0081690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNFLASNVKGVSTGYPLALEVAKTSIKEVELNVDFLRGILPKIDWRALFAATSAAGFPELLAAEQPPEAELFAEGAAEVEGSAIRRLHHALLEIHVEEGTLVCPDSGRSFPILKGVPNMLLHEDEVRH >SECCE6Rv1G0386160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:91315032:91315952:-1 gene:SECCE6Rv1G0386160 transcript:SECCE6Rv1G0386160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTDSFVGAAAAAAKDKRQQDAGDEKLQAFLAEAEAAKNEMAALRNELSQLQSAHEASKSLLRPGAPRAATQAALVRLLGSARRLRARLASMDRRAPAPAAHATAGLRGRLQDLTAGVQTLRRQVSAERRGDAVRCYLAVAGEAPTEDQLDRLVASGGAGANDADEAMRAAMLSSSEAEKVEGGLLELQQLFLDMAALVESQGPLLEDVERHVAAAAEDVGAAEWELREAQRLQGAARRRRMWLGGGLAALLLVALAVAAVVVALALSRRKGGSVQLASAGLSGLVNLPVRSFRFARSGLSIL >SECCE4Rv1G0272840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:772181759:772186133:1 gene:SECCE4Rv1G0272840 transcript:SECCE4Rv1G0272840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIATCVWQEKAAAMGAAAGGRAGECRVSLVALLLAAGRALNCAVSFVVFSFLDVLDMVLCLVYKVVDYAVEAEWKPCYCSAAAREDGGGVAGGAAGAKGALSFVAPRAAAGPKVVRLSSSSANKMQLEDVSDTLYVRASLLSDATRKPGPIAPALTVSPAIAELIRGKIDRAPRPPRQAPCWSDCDCKMCHSWSTGSRASHLYVHVQAPPPPPPGAADQEAVIFIHGFISSSVFWTETVFPAFSPAARGRYRMFAVDLLGFGRSPKPADSLYTLREHLEMIERSVLHRYRLKTFHVVAHSLGSVLALALAVKYPGAVKSLTLLAPPYFPVPEEEAGAATQYVMRRVAPRRVWPPIAFGASMACWYEHVSRTICLTICRHHRVWNRLFRILTRNRMRTFLIEAFMCHTHNAAWHTLHNIMCLSASKMGAYLDVVAAQLSCKVALFHGRDDELLPVECTLAVGARVPRARVTVYDHKDHITIVVGQEKLFAAELEAIWRSAAQD >SECCE5Rv1G0326890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:487338279:487342064:-1 gene:SECCE5Rv1G0326890 transcript:SECCE5Rv1G0326890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASLSIYTVPGRAGRAESVQAPRRRRMPVVRAKVREIFMPALSSTMTEGKIVSWTTAEGDRVSKGDPVVVVESDKADMDVETFHDGIIAAVLVPAGGTAPVGAPIALLAESEEDVALAQARAQALSKAQGEETSPPHAAATAPPTAAPAPAPVTAPTNGIATPHAKKLAKQHRVDISNVVGTGPNGRITATDVEAAAGIQPKPKAGPPPPPAARSAPSAGTLLVGSVRQPAVLPPVTGATVVPFTSMQSAVSRNMAESLSVPTFRVGYAIKTDKLDALYEKVKLKGVTKTLLLVKAAGMALAQHPVVNASCRDGKSFSYNNSINIAVAVAIEGGLLTPVLEDVDKLDIYLLAQKWRALLKKTRMKQLQPNEYSSGTFSLSNLGMFGVDRFDAILPPGQGAIMAVGASRPTVIADKDGFFSIKNEMLVNVTADHRIIYGADLAAFLQTFAKIVEDPESLTL >SECCE1Rv1G0020840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:260482495:260502121:1 gene:SECCE1Rv1G0020840 transcript:SECCE1Rv1G0020840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSAEGFLPVASCLPCTAEEERAAVDALTREADANVKDGDLRYLISQSWWTNWQSYVGLLNYGENDTDIPLQPASRPGEIDNRKLVLEETSSGSEDTELQRTLREGEDYTLVPQDVWRKLYDWYKGGPEISRKVICEDPTSRRYIVDVYPLHLTLIDERDNSARTIKLSRKAKVNELYRLVCSLLSVEQSKVHIWDHYQKMKNKKLTNLNETLEEAQLMMDQEILSEVSADDIWSSGHRTRSTSNELALLPLEPTTSSFSIAGGPNFSNDYSSGIGSSLSQDNSLNPLVRDTEDGYSNFSNGTKDDIHGLTGLHNLGNTCFMNSAIQSLVHTPPLVEYFLKEYTQEINTENPLGLQGELAVAFAELLRKLWSAGRTSVPPRAFKSKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKRKPYIEAKDADDRPDEEFAEECWNYHKARNDSIIVDKFQGQYKSTLVCPECNKISVTFDPFMYLSLPLPSTATRMMTVTVFSGTGDSLPMPYTVTVPKNGVCRDLCKALSDACCLKESETLLLVEVYDYRIYRYFSPLEVLHIIKDGDQLVAYKLPVGHEKLLRIEILHRNVDRFTPEPQFNARKLIGSPLVTCISNDSTRKADIYAAVSAVLAPFVRAKVHAPGESLLNGSGPSLDGIVLRDNGASCEKGLSMSNVNEARSDAEFLPFKLYLSDDKGHIRNPVEEDSVHVFGLPMRLLMGWSDKEHEIYNLKYMDDLPDVFKPGFMSKKTRQEAVNLFSCLDAFLKDEPLGPDDMWYCPCCKEHRQASKKLDLWRLPEILVVHLKRFSYSRYMKNKLDTFVNFPIHDLDMSKYAKHTSGGDQPHIYELYAVINHYGGLGGGHYSAYAKLIEEDSWYHFDDSHVSSVIEEETRTSAAYLLFYRRVDGNSCAMSDVVPDTHMVDSLEA >SECCE3Rv1G0199290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:843812501:843814168:1 gene:SECCE3Rv1G0199290 transcript:SECCE3Rv1G0199290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g64310 [Source:Projected from Arabidopsis thaliana (AT1G64310) UniProtKB/Swiss-Prot;Acc:Q9C7V5] MRRKLALVAAAAGGAALPLSCTKQSHARLIVTSPRIPDDLRLRLLRSYAAHGEFSSAHRLLHEAPRPASPLHYNAVIRAHSRRLDLPTALAFFASMRRSATPPDAHTFACILRACADCDRPGAAKILHGIASSSGWSSHPIVGSALVSAYSKFLLVDSARHVFDGLREPDLVLWNSMMSGYGYRELWLEGLQLFSAMRRAGEEPDGYSMVSLLSSFWDPEALAFGQAVHGVCVKGGYDSGHHVRSTLVSMYFRCGSVESGQTLFGSLLDADLVTCSSLIAGQLQTGRYEESFNLFREMCHFGRRPDSILIASLLSACASTASISYSKEIHCYAVRVGADKDIKVSSSLMDAYAKCGFDELGYLVFRQIPNKNKVMYNMVISNLGSHGFAMKAIEVHDEMVRDKLRPDGATFSALLAACCHAGLLDEGWKLFRRMRDEFHIVVEMQHYVYMVRLLATFNQLKEAYDLIQTMPMPPDCGVWGALLWGCCVHRDSSLGRAVAEKLCELYPDKASYKIMLSNLYASEEMWWDAEEVRAEILKEDMHKNTGISWVGEIRK >SECCE1Rv1G0005540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:26113836:26114684:-1 gene:SECCE1Rv1G0005540 transcript:SECCE1Rv1G0005540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIKKKTRTYLTWTNDMDEALLAVLVEHHNIGDRAQNGWKPHVYTACIKHVKDTCDVDITKDNIVGRIKTFDKHYEIISKMLAQSGFGWDWETNKVTVDSDEVWTRYVEANKDARAHRTKVVHNWSAIETIYSKDHANGGGARTGADCAQEQNTPVVEASPEVPQKRQRTGDAILCMMGQMRTSFDEALKATEPLPMPKAAPATEILQALQKDEGLEDSDMLRAYGKLTANERMFESFMALPQNLRKPWLLTLP >SECCEUnv1G0555320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:298362860:298363267:1 gene:SECCEUnv1G0555320 transcript:SECCEUnv1G0555320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPVEKTPAGKKPKAEKKVPASKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >SECCE7Rv1G0512380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:810510723:810511190:1 gene:SECCE7Rv1G0512380 transcript:SECCE7Rv1G0512380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKESEGVGELALKLTSLVNEMRALGSNMEDITVVEKLLRAVPDKFLPIVGTIEQWGDVTKMSVMEVIGRLKTYELTLKGRERDQEEEQLMFSRSREKDKQKYRKFDKSKVRCYNCQDDGHYSRECPNPQKEAKKEHGTLQLAEVGMDDDPRLL >SECCEUnv1G0549740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:206429321:206430043:-1 gene:SECCEUnv1G0549740 transcript:SECCEUnv1G0549740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLLQPFFFMSKTKSYAQILIGSRLFLTAMAIHLSLRVAPPDLQQGGNSRISYVHVPAARMSIVIYIATAINSSLFPLTKHPLFLRSSGTGTEIGAFSTLFTLVTGGFRGRPMWGTFRVWDARLTSVFILFLIYLGALRFQKLPIEPAPISIRAGPIDIPIIKSPVNWWNTSHQPGSISRSGTSIHVPMPIPILSNFANFPFSTRILFVLETRLPIPSFPESPLTEEIEAREGIPLKT >SECCE1Rv1G0032130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:451398437:451417951:-1 gene:SECCE1Rv1G0032130 transcript:SECCE1Rv1G0032130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFNSAVADEVRSLVQGADDSTVDSIYRELCQLADCSPDGCILLLQVCLDEVLLNAGVAKNSQPKQDLLSTVFRYCLDKPYFSTCFCEALRTLSVSDVFLETLSNELDLSRAERVGIGLALSDSENIGLNLKGQRFSIAQIEELCKNPALSISNDQIHDIVVFLHQTDGLSKHMDSFTNIISLFKVKEMPFHVPVLVQDSNVRPASSHMEMYIGSFDDDFDSLLSEIGKEISMADIITELGYGCTADIAHCKDTLSLFEPLDDLGISKLLGAVVTTAAGLGEAHNTYSTFISAFGNSQTNDSFQSTAWDINVLVDSINEIAPRTNWTSVMENLDHEGFSIPDEGAFRLLMSIYSRACKDPFPLHAICGSLWKNLEGQLSFLKHAVAAPADTFTFKHSSRKLVFPDLANHVQGNQAWYCLDLLEVLCQLADLGYATLVRPLLDYPLSHCPDVLLLGVSQINTAYNLLQYEVLSCVFPALLKDTKNSSLMNYLWHLNPSLTLRGFVDAQSDIICLLRTVDICQDLKILSTVLDSTPLAFSIKLATVSFRNDHSNLEKWMTEKLSAHREAFIEECVKFLKESVVNTIHDAAEGVIQQPQATITNIFWESCPLFIKVLQSHSGQLLTNQLVDELNRVEAAYESRTQGSLGRDIPTSEGGSDDIEAQANIYFHQMFSEQISIDAMIQMLARFKESTNKRELAIFNCMISNLFEEYKFFPKYPDTQLKLAAVLMGSLIKHQLVAHLGLGVALRSVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISSSQNEPNIGNIFSVDPHGSGSPSIGNTEVSDASWQFNNPTPTQLERSPSSFPLQQRYQGFLGERSKGSTNSVQAKNILSISQPIASTPGDLCMAPKVTAPPSLQASPHHSATISASSQSTNFLRPRSSAPSGTRSPYTTGFGAALNIETLVAAAERRDTSVEAPPSEVQDKIFFMINNISTSNLDAKAREFNEVAQDQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKTLNKEMVKATYENCKALLQSDLIKSSSEERSLLKNLGSWLGKLTIGRNQTLRAKEIDPKILIVEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRTRQLEGNPDFSNKDAAASQTPVVAEVSSGMIPAKNVVEVQPELTSTSRATSIPNMLNQYAPPLRLPPNSMVEDDKVALIMPDQVSPSQTPSPVPALFTLSQLMAAIPRADIYFRINEKLSSLGSLQYSKIMDVALDKAIKEIIGPVIQRSVTIATRTTKELILKDLAMESDDSAVSRAAHLMVGTLAGSLAHVTSKEPLRVALSSHLRSLIQNLNNNSETTEQIVQILINDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSGYYDAFPYTQGLARVPDALRPKPTGHLCATQQRVYEDFITVWHSQSSQNAGATTSATAVTAAPGNSSIPRLYSPNLVQPADLVPEESDHGTTQLLSVSTQIGTSDTFAQAGGTTNIASVFPPMSSNDISVGEPTAGTKDLSPTTAVDRMESVFAEPLSTDNGLDRYHQVAQKLEALIANDGKDVEIQSVIAEVPDILHRCVKRDEAALLIAQKVLRSLYENASKSTYVTWLLATLAAIRDVCKLIVKEITSWVIYAEEENKFNLDIVTGLIRSEILNLGDYDVHLSKIIDSGRNKTATEFAISLVQTLITQEPSGVSELCNVVDALSKLAIRPGSPESLQQLIEIARSNFKNAASFAAMKDEKVLSGRPSIYKEENDSAFADAVSFQDQVAVLFSDWCHICDHPTMGDSAYSHYIVQLQQHGLLKGDDLTDRFFHTLTELAITHAVVSEQVIAPGGMSQQPAQQLQISYFSIDSYSKLVTLMFKYGVDLGPNKGSLLLKILSITTRIIQKDAEEKKVSFNPRPYFRLFINLLSELSTADLHDGASFQVLTAFANAFHVLQPLRVPAWSFAWLELVSHRSFMPKLLLCNSQKGWPFFQRLLGDLFKFMEPYLRNAELGQPIQLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISVAPRIMSDVEGALKAKQMKTQVDEYLKRPEGSSFLTDLKQKLVLPPNEANVAGTRYNVPLINSLVVYVGIQAVQQLQHNKANASASAQQMNQNSQVDVFQIETATEVFRNLIVNMDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAEATQDIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWARSFTRCAPEIERLFESVARSCGGKAAEEGVLADGGH >SECCE4Rv1G0224970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:86758869:86759420:-1 gene:SECCE4Rv1G0224970 transcript:SECCE4Rv1G0224970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFICPWCRAGVYRRVSHTTRNQNRPFYVCSENRVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLQKKVRKLEDQAQIAIPICNYFWAVVGMVLALVIMLKMYGKA >SECCEUnv1G0537560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69209518:69215724:-1 gene:SECCEUnv1G0537560 transcript:SECCEUnv1G0537560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAARGMDPEAATELARKGVTLLLLDVPQHTVLGVDTQVFSVGPRFRGIKMVPPGPHFLHYCSPSRNGNEFAPTVGFFLTTHPSQVVVRRWHAQEERLVTLSEEEEIRYSEAVKRFEFDDQLGPYNLDSFGDWKQLSSYLSQSVIERLEPIGGEITIALETSWMDRAPQTDMERRLMEQLREDKFAKKAPAQPERRGCYYTTIPASVKHRNISADELTLLNLDRTSLLETVLAKNYQGQEDLLLGELQFSFIAFMMGQSLEAFMQWKALVSLLLSCSEAPLHTRTQLFVKFIRVIYFQFKHGFQRTHDSRSSEDKGNSLFLDEAWFSRDIFLYRLSKDFLTVIFEAQVVDGDLLSWARKLKTLLESTFGWDLENNAANLIDEDDEFAPVVVEMDGS >SECCE6Rv1G0412180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613296957:613300677:1 gene:SECCE6Rv1G0412180 transcript:SECCE6Rv1G0412180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGWKVLNDVKPYLAMVLLQVGFAGMYIIAVSSLKGGMSHFVLVVYRNLVAAAVMTPFALYFERGRRPKMTATIFLKITGLAILEPVIDQNLYFLGAKLTSAGFATALLNTLPAVTFVLALILRMEKVRLRSLHSQAKIAGTVLTVAGAVLMILYHGPAMQFPWTKGQHHATAGGQGAVGAAAARDWLKGTIMLIGSCMVWSCFFILQSSTLRSYPAELSVTALICGMGSLMSGAVALVAERANTQAWVIGFDNRLLTVVYAGIVCSGVAYYVQGVVSRQRGPVFVTAFNPLCMIITAVMGSIILKEEITLGSVIGAVIIVIGLYFLIWGKSKDDINQVSDVSVMGASELPLTSVINDQGKQHEFSNGKGDHVLDVETRAEKHG >SECCE1Rv1G0029530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:416207473:416208728:-1 gene:SECCE1Rv1G0029530 transcript:SECCE1Rv1G0029530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKILEIGGGRLEAEEDDECCEIDPAEFAKKLKLKASDDDDVVVVAAKGTIKVKVEVYQSEHSEETIPMIGLQGRIAGDRLDNPYEIDEDEPTIHQMESLEAKPDVKSIPGEPLLVMSDSESPFVEVVADMPQVKREPEDGNAADELFAETIPDVLLPKSVPDMSPVKCEPEDGTSCADEHELSEEGVIPEMPPAKRDSGCFEEIVIPDMPPAKCETEGDTGAVHEPSEEEIVPGMSPLKCGSECFEEVAMPDMSPMAPYSGTDELFKEKTISDMPLLKLKSASEDFEDVAVPVMSPIKHKPEDHHGAGERVGEEDGYDHLPEMGRLNPEGRVFDEEDSDDVVVVAKEAL >SECCE7Rv1G0522940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879608472:879608717:-1 gene:SECCE7Rv1G0522940 transcript:SECCE7Rv1G0522940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIVLVIMAMLLTHSCPRVRSRNIEGNAMQVKNMRKLTSSSVDGRSTPAGEEIHHACPLGNYPCQGMFHSSKENTEGGGN >SECCE4Rv1G0255430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:646243932:646245941:1 gene:SECCE4Rv1G0255430 transcript:SECCE4Rv1G0255430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAINRIRQFYMRKVRYTQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKISKDYLRLLKYGDTLYRCKCLKVAALGRMCTVLKRISPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLDGLSEDDMKLVMEMKSEAMKTIPQGGDPSEEGVLLTMSALTDEGVMAVKNAACERLLEQRVEIKMKSKKINDCLNRFHVAMPKPRDNRDRPTCIPQAVVEAQAIAAAKEKKKLERDLENENGGAGVYSASLKKHYLLADDEWKEDILPEILDGHNVADFLDPDILERCEELEREEGLRLEEEAAQDAFMIDGHDELTEEQREILGKIRKKKAMLIQEHRMKKSTAESRPIVPRKHDKDRKFTTKRMGRQLTSMGVDPTAAVNRLRSQSRGRKRERSLSRADGDNAMDVDGQHSDKKLRTRSRSRSKSRPIEEVVPGDGIRDVAQKKKAIKKSRDSVKNRNKEARRGEADRVIPTLKPKHLFSGKRGIGKTQRR >SECCE6Rv1G0416650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649545023:649548077:-1 gene:SECCE6Rv1G0416650 transcript:SECCE6Rv1G0416650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTQAIIVTLLMSFLVLVTRGKDVRYRRQEEDNIQIHTYRRVNKTIQMEDGDVYDCIDVNEQPAFNHPLLKDHKIQMKPSSFPAWMDIETFPSDSFSQVQPSPIECPTGTIPILHSNISGTFATHNIDGPRNNMQWEKAGITYKGDVYGTSVVLNVWEPKVNRGSKDCSAMWVEMQNGGGERADRMGAGLRVYPTLSGDTSVRFHVAWYEGLYKKGCIDFSCPGFVQVDRKIGLGSKIRPLSIYDGLHRIVNIHIFKDAVSKNWWVAYEHTPVGYWPNGLFEFLRDKGEFTFWGGFVAGPTASSNSPQMGSGHYASEGFKRAAYIRSIKIVDENGKSITPDESHVEHGSSDSSKYTVHRFEVSKEAGMHIYYGGPGSDKA >SECCE2Rv1G0076000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:87414353:87416559:1 gene:SECCE2Rv1G0076000 transcript:SECCE2Rv1G0076000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTENEHHTGEEEDVVGEEEGGTGSEVEEDGGAGKKRKRPLNKKSPDGFSKRGVCYLSRVPPHMNPSHVRQIFSKYGEVQRIYLVPEGQGHRKHSNVKAKAYSEGWVEFAKKSVAKRVANLLNGEQIGGKKRSSFYYDIWNIKYLRKFKWDDLVGEIAEKTHIREQKLTLEITAAKKQRDHYLSNAEKSRTQKFIRERIKKKQKPEGKESNDVGEANNDRPIPRQNRAVEERGPNKKAKLSKNILAGVFGGSS >SECCE2Rv1G0088930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:250388875:250389937:1 gene:SECCE2Rv1G0088930 transcript:SECCE2Rv1G0088930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSRYLYTLCVFDADKANKLKQSLPPGLSVQEV >SECCE2Rv1G0132280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:889020185:889021318:1 gene:SECCE2Rv1G0132280 transcript:SECCE2Rv1G0132280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCLARRLAPVPFPPRLHPLPTPGHLRLFSTREKEPPHFMVEYLISTCGLSPAAAAKAAPRFAHLSSAERPDAVLAFLHSQGLGKAQVRAIVARKPALLLSDVDATLSPKFTAVRALGLSRADAARLFALFPAALTYGVHSNLLPRVLFWLDLLGSTTLLMKWLAKTWLLKYSVDLLLRNLAALRRLGIPDGRLTAAVRLRPTLIMQSPDKLRALVGRVEEACGGVPPSPGMYTWCLFALHNVGDRPFRAKKAAVTRALGCTDEEFAGMFRRAPCFVFAPEALLRRKVEFLRDTVGCSTGGIVRNPLLLTLSLDERMAPRCRAVEALRSKGVDIGKANMVSIVRLPEAIFVERYILRYKGEVPELLELYPVKGTT >SECCE3Rv1G0188910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:719550336:719558721:-1 gene:SECCE3Rv1G0188910 transcript:SECCE3Rv1G0188910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MAAAAASRAAGRLLSPRLLIASRHLQASASEGSARDASTSFIHPAAVVHPDAAIGQGVSIGPFCTVGPSARIGDACQLHTGSHVTGHTELGEGCVVHTGAILGADLPGRTIIGEKNVIGNYAVVGVKCQDLKYKPGDECFLHIGNNNEIREYCSIHRSSKSCDCTVIGDNNLIMGSCHVAHDCKIGSNNIFANNTLFGGHVIVEDYTHTAGAVVVHQFCHIGSFSFLGGGSVVAQDVPRYTMVAGDRAELRGLNLEGLRRNGFSDQEVRSLRKAYRKVFMPASSSQSNFEDRLAELEREIELLESPSVSYMVDSIRTSFDQGRRGICKFRSWNIS >SECCE2Rv1G0128980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:865882428:865882745:1 gene:SECCE2Rv1G0128980 transcript:SECCE2Rv1G0128980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATCEREREEARELAKYEEDCKDYKVTLYLLALLISIALAGLISGFLVPRTPHWYSLYCWKTALVFFVSSAMLFGLHIRKFGLSGLPQRPDREAQLGGGGDGRS >SECCE3Rv1G0143420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:267054:268020:-1 gene:SECCE3Rv1G0143420 transcript:SECCE3Rv1G0143420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGGDSSGGGGAGAAAAAAKWRTDATRAFQYYLDRSTPHATGRWVGTLVVAGVYALRVLSIHGFYIVSYGLGIYLLNLLIGFLSPMVDPELDPSAAHDGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFVIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQILHMVKYKYVPFNIGKQKYGGKKGGASSSSSKD >SECCE7Rv1G0497790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:630882645:630884872:1 gene:SECCE7Rv1G0497790 transcript:SECCE7Rv1G0497790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQQTRDPTAKLPSASPFAGAIPPPYQHHHYGTFSPPPPPPAAAAAYDPSLKGRTAQGVVAFPYTIQQQVLVEGLPVREPRLPFCGVGLGWALFLLGFFLAAIPWYIGAFLLFFVALDHREKPGLIACTVAGIFAVVPFMLNGIRMRPFFL >SECCE4Rv1G0267960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:738238542:738240138:1 gene:SECCE4Rv1G0267960 transcript:SECCE4Rv1G0267960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRDLRLAMLLLFSASVLLSAFPAARAQQETDHEEEFSYSLDAENGPAHWGDIKEEWSACGKGNMQSPIDLASPRVSLVRGLGYLNHSYVPANATIVNRGHDIMLQFEGDAGSISIDGTPYFLRQLHWHSPTEHSVNGRRYDMELHMFHESAQGKAAVIGVFYQIGAHDAFLHKLEPYLEMIADRKDREEKMGMMDPRGARGKASVYYRYVGSLTTPPCAEGVIWTIVKRVRTVSRHQLELLREAVHDDMEKNARPRQEVNSRDVSMFRPFEQNRH >SECCE5Rv1G0340770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:618352856:618358385:-1 gene:SECCE5Rv1G0340770 transcript:SECCE5Rv1G0340770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQEAIDTFISITGADEAVAIRKLEEHAGDLNQAVNAHFTEGDNSTVNAINQSIPPVNHDDMELDGPLDNTFQRPLFPEALHNPFALMDPNFQQMFFDSVGSAGTPNRDAQGSHPREASNEVNDDDIQIGPSGQASVVENVPGHGSSYGPEVRETIIIDDDDEELSSGLSSQHAIIRGNASQPNPLPSAPPLVHVTDNDIEEEMIRAAIEASKREAGELANTAEQERTQHMGGINLEDHLSDEDMETAAGTVRRQELGTGRGRTTMQPADEESSDEETEDVEEEPLVRRRSRRIPSGDTESTEPVLPGDIPPTSSQPQNHDHQYNRADFPSEWGGISAEEHDEAVMLEAAMFGGIPEAPTYPLSVPSHASSSYYPHVVHSPPPELTEQRLLREQQDDEYLASLQADQEKEMRTLQEADLRLLEETAAREAALEKQKQEEEEQRKKQLEKEELESNLAAKEASLPLEPPSDKEGVITLVVRMPDGSRQGRRFLKSDKFKSLFDFLDVGRTCRPETYRLVRSYPRRAFTTADGDQSFSDLGLTSKQEALFIEQITD >SECCE7Rv1G0500400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:666392032:666397107:-1 gene:SECCE7Rv1G0500400 transcript:SECCE7Rv1G0500400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRWQCVAPPLLVVWLAALATQQGCNAQTRGGGNGNLTRGSFPKGFVFGTAASAYQYEGAVNVDGRGPTIWDKFAHTFGKILDFSNADVAVDHYHRFEEDIQLMADMGLDAYRFSIAWSRILPNGTGEINQAGIDHYNKVIDALLAKGIEPYVTLYHWDLPQALEDKYSGLLDRQIINDYAAYAETCFKAFGNRVKHWITFNEPHTVAVQGYDSGIHAPGRCSVLRHLYCKQGSSGTEPYIVAHNIILAHATVSDIYRKKYKAEQNGEVGMSLDVIWYEPVSNSTADVEATKRAQEFQLGWFADPFFFGDYPTTMRSRVGQRLPRFMTKEADLVKGSLDFVGINHYTTFYTKEDHSTVIKYLLNDTLADSGSVSLPFRNGKPIGDKANSIWLYIVPGSMRRLMNYVKDRYNTPTVYITENGMDDSNSPFISLKKALNDTKRINYHNGYLTNLADSIREDGCDVRGYFVWSLLDNWEWTAGYTSRFGLYFIDYKDNLKRYPKNSVQWFKNFLASS >SECCEUnv1G0566710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:407253078:407253473:1 gene:SECCEUnv1G0566710 transcript:SECCEUnv1G0566710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHIEMSYCRFDSFKVLAKNYLDVIEHELFGEVQRLLEETDMSPADVAEDLMPMSKKKKRDPGVCLIGLIEALKQTKEEAAAAKVKEAEEVQAKKAKEEEEIEVKKAKEGDKEKDKAPEAANEDIKQGDK >SECCE3Rv1G0191900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:752342018:752352079:1 gene:SECCE3Rv1G0191900 transcript:SECCE3Rv1G0191900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS4 [Source:Projected from Arabidopsis thaliana (AT5G43710) UniProtKB/Swiss-Prot;Acc:Q9FG93] MMQPMRLRLRRQGRASPLLCLSAAILAAALLPGAAVADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKERFAAGVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMKIESYDDQLLHLSVDLAQRLLPAFDTPTGIPFGSVNLLYGVDDDESKITSTAGGGTLTLEFGVLSRLTNNTVFEQVAKNSVRGIWARRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYVLKAYLLFGDEEYLYVFQEAYKAAMHYLHHDPWYIEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDHRYLDVGRDILASLQYAAKCPCGYCHISDVETHKQDDHMESFFLAETVKYLWLLFDLAVGPDNIVENGPYKYIFSTEGHLLPATPEIALVDDRGYGSGDGSSIQQSAKCAQFDERRTVSSQNSLSNVLFTTRGYIKGVCPGLSHAQKLGISYHDEEDNTQNSRSHEHPDESYVDQSSVQIQSSSVILISHPATSPPDEPLKTRSRAQHLENIVATGDSDPIEVTDATGGSSEGSTEFFSEVTLSISEQIEVTAEEIASQDNHIEDSTN >SECCE1Rv1G0037080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:517867874:517869385:-1 gene:SECCE1Rv1G0037080 transcript:SECCE1Rv1G0037080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPARHFLPLLRRRHLHHSVHLPYTSVPIPPDAIPSTSIPSSASPPPFHPAPWPQSSSPTSTPLPPFTASHICAAVSSLAASLLALPDPDPDPLPALHAHSFPTLFAVSPLASLELLSLLRPKPYLGLAVFSFRRTLSPPPTLPEFVVAISLASRARDPTAAANIFTDASSAYCPDQALYNALMAAYMHSGLVNSCVEAFHALQRDPRCGPPNADSFNILIALFGRSLLVDHMEATLQSLDASGQPRTIGTYNAVIAGYLTAWMWDKMEAVFHEMMAGGVDPDTTTHLLMLRGYSHAGKIYKMELAYERACEHAGKVDVVHIRAMLSAYCKFAHVDRIQKIEELLLKLRPEDYRPWLNVLLIRVYAKEGLVEGMERRIAEALERNTIVTTTQVMRSVITNYFQCDAVDRLAHFVRQAEEAGWKLCRSLYHCKMVMYGKHHRLAEMHGVLDEMEYFRFDRTKKTFWIMHKAYLSCGRRSEADTILGMMWKHGFGSPSGAFVQ >SECCE5Rv1G0331350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538233587:538234348:1 gene:SECCE5Rv1G0331350 transcript:SECCE5Rv1G0331350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLTHDHHPKAPAANHCKSLSCLIRETYAHCHVPCIRIPGAGWSSDDDSDDDDAAALDTKQVILNEMRRRQLKKESRCNADSPTLSSAFIWSFTPLDPRSVLEKVSSPEKFVVVEGEKEEAEAGSDAGDAESEAFFSVKSFFTRSTSRAATVASLTDMGPPETWEGFRDCEGWPFGLCRRPAVPPLPSTPADSWKWRKQSSGRNLAMSPRPAYSHKITTT >SECCE4Rv1G0273670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:778987976:778989205:-1 gene:SECCE4Rv1G0273670 transcript:SECCE4Rv1G0273670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCEIARLPEELVSAALSLTSPRDACRAAAVSPAFRAAADSDAVWACFLPALADRPPLGDGEPLPARGKKDLFLRLSGSSVLLPGGKMSMWMDRDTGAKCYMVSATELSIAWRDTPCYWTWISLDDSRFSESAQLICVCWLEIRGKIHSKMLSPGSTYAVYIVYKLEDDSYGLNLPINASVSVGGSVLACKVSLQHDPQIINPEDVALPNERVDGWMELELGEFVCDGDEDGDVSFSLSETEYLDSKSGLILQGIEIRIKN >SECCE3Rv1G0212800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956742270:956743034:1 gene:SECCE3Rv1G0212800 transcript:SECCE3Rv1G0212800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPDGGGGVGGGGDGAEEKQLVPVSAAANGGGALAVRKAPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGSGTTPASFSTSSPSSLRPTHSGAPHAHAQPLAASPHHGHHHHLPHAAPFILGKRVRDDDNGGNGNSEASAVTAAMGPAPGFWALPARADFGQLWSFAPPPEMMAAAPAMAGEASAARVGNYLPMAQANLNLLASFSGGPGGAGQATGRAEEETAH >SECCE6Rv1G0451070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:870983852:870984199:-1 gene:SECCE6Rv1G0451070 transcript:SECCE6Rv1G0451070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYSLLFIIVVTVVVAYPFAMSFEEEWHRIGDLDNEPHIQELGEWAVAEHVKKAHDGLKFIKVVSGAEASTASVIYSLNIKALNNNGQPGEYKAILVEDVRDNKRTLISFGSAH >SECCE4Rv1G0248100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:566489014:566491556:-1 gene:SECCE4Rv1G0248100 transcript:SECCE4Rv1G0248100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVRDDDRGAVDVAVEDAAGLRPSNNGDSTWEIEELEPDDRTAGPPPPPPPGAAATSDADDVYVAVGKGGSSMAALSWALRQLARPRSFVYLVHVFPVVATIPTPLGMMPKRQATPEQVETHMNQERSKRREMLQKFLDHCRNFQVNVDVYLIESDQIADAVAELIPVLNIKQLVLGVAKSNVRKLKKGNTIAGQIQKNAPLYCEVKIVCDGKEVTAATTADPTPPFSPSPVNNNSRSRTPTPPSSTPNRDGIEAVDGNNDSKTKERRKIPKFLRCLSS >SECCE4Rv1G0287190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853297463:853299559:-1 gene:SECCE4Rv1G0287190 transcript:SECCE4Rv1G0287190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARHRLAIAAAVAKSHASLLKSGVTSPTPWNQLLTAYSLSPLGLAAARQVFDQIPRPDAASWNSLLTAHVSAGAHPAACRILQAMHARGLAANTFALGPALRSAAALGCPALGAQLHSLIVKAVLADNVFAATALLHMYAKCGHTRDARRVFDRMPERNTVSWNALVAGYVESGKVAPAVQLFVDMEREGLRPDEATFAALLTVVDESSCFLMHQLHGKIVKYASALGLVVLNAAITAYSQCGALANSRRIFDEIGDRRDLISWNAMLGAYASHGMVYEAMGFFASMMRASGVQPDMYSFTSIISACAEHRDHGGTVIHGLVIKNGFEGVTPVCNALIAMYTRFSENCMMEAAYKCFDSLLLKDTVSWNSMLTGYSQHSLSADALRFFRCMQSENIRTDEYAFSAALRSCSDLALLRLGRQIHGLVTHSGFASNNFVSSSLIFMYSKSGILDDAIKSFEEADKSSSVPWNSMMFGYAQHGQALAVRSLFNEMLELKVPLDHITFVGLITACSHAGLVDEGSEILNKMEIRYGIPLRMEHYACGIDLYGRAGQLDKAKELIDSMPFEPDAMVWMTLLGACRIHGNMELASDVASHLLEAEPRQHSTYVLLSSMYSGLGMWSDRAIVQKEMKNKGLSKVPGWSWIEVKNEVHSFNAVDGSHPRMEEIYEMLSLLLHNFPMQLVHQETSGAIDCCEQFAS >SECCE7Rv1G0524720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886984528:886986324:1 gene:SECCE7Rv1G0524720 transcript:SECCE7Rv1G0524720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAMEGWLLLCLVALFTLLAIWLLQLITGGKCLPAAKKQLPPGPWTLPVIGSLHHVASVLPHRTMMELSRRHGPLMLLRLGQVPTVIVSTAEAAALVMKTNDLTFAGRPQSATLDIFSCGGKGIALAPYGDHWRQMLKVSIVELLSSRQVKRLEGIRAEEVGNLLRYITAAASSGATINLSEKMTALSNHVVTRAVFGGKFSQQEEYIREMQKVFVMMGGFCLVDLFPSSRLVRWLSNGERDMKNSCGRMQHIISDIIEERKAARAAGVGPDDEEEDLLDVLLRLQKDDSLEFPLTTESISTVLLDIFAGGTETTGSVLAWAMSELMRNPEIMAKAQQEVREVLGVDRAVITNSDLTKLRYTEMIIKEALRLHPPVPLIPRAAREDCTVMGFDIPKGTNVYINVVAISQDPEYWSTTPAEFKPERFENNTVNYNGTCLEFIPFGAGRRQCPGTQFGTSLVEMVLTNILYHFDWRLLDGDSLASFDMSEKFGLTLQRRNDLKLRATPRVLLSTATPLE >SECCE4Rv1G0241190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448319005:448319244:1 gene:SECCE4Rv1G0241190 transcript:SECCE4Rv1G0241190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMRTTCTWTERPYEALLFPGIGFGPFLRSLGGRRRRLPSGGARAISEIPLWKSSDSNLVSDPRAKGQSQVDSSYGA >SECCE4Rv1G0248930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:577312065:577313366:1 gene:SECCE4Rv1G0248930 transcript:SECCE4Rv1G0248930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDADDVFRYFRLNPTELQAVTYYLPRLLSGETLHCADKLIHRVEISGCEPKDLAARYAPVPLAVSSGDRFFFTTCKSKNGSKVQSVRGAGGGTWSIQKTTEISHAGAKVGEVKNLSFKTNGKSTGWVMEEYRCLLPEATVSDGVKVFCKMHLAQHAPDAARQESAAYNRQQAQPEAVTPSTHAQKRPAPAAAADPHPPRPKKRMRGAVPVPVPAPTTPSFLMYDEAASFPVQDAQASCESTTTSSRSDVAQAPEICSQSDVLESTQSEEAGSSIARSTSQENVFEPLEPVSNLPEWEEDGFDLEELMRIMEDDPIEVEPVTGANTGVEMGQQEPLYLDAMDQSVLEGMLQSDYPAFHDADKEKRYNAASDLDAPSLQGQDHLIKPRPCSFDPFEAAWNDEEALENEKRCNPAANLHAGGHNSFFSPASVY >SECCE1Rv1G0038170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:535953695:535959371:-1 gene:SECCE1Rv1G0038170 transcript:SECCE1Rv1G0038170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM2 [Source:Projected from Arabidopsis thaliana (AT1G44900) UniProtKB/Swiss-Prot;Acc:Q9LPD9] MDDSENNAPSTPGSPGFSTDRLPPNTTTSRGATDPSSYSDDDGEAEVDPNVLPEDDGATVIRDEEEDDGEDLFNDDYLNDYRRMDEQDQYESVGLDDSIEDERNLDEIMADRRAAEAELHARDVRTGATADRKLPRMLHDQDTDEDMNFRRPKRHRTNFRQPSGGPRTPRSDDDGDGLTPSSPGRSQPYSGGDVPMTDQTDDDGYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEQGDFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVGKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGTVLGPFFQNSYTEVRVGSCPECQSKGPFTVNIEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVSKKQDLFSAYKLTDEDKAEIEKLSKDPRISERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINCLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPVGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGGNLEDRVVADEEDDPLTVARNADPDILSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHAKMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLRTLVKEALHFEEIVSGSTTRLTHVEVKVDDLKNKAQEYEIYDLRPFFSSSHFSDNSFVLDEGRGIIRHPVAA >SECCE2Rv1G0133030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894906559:894906819:1 gene:SECCE2Rv1G0133030 transcript:SECCE2Rv1G0133030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVATSVGAVEALKDQVGLCRWNYALRSIHRAAKARVNVCGGAKQLPFSAAAVAEKWRAENPEERLRTVMYLSCWGLN >SECCE7Rv1G0500120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:661972553:661976612:1 gene:SECCE7Rv1G0500120 transcript:SECCE7Rv1G0500120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MSSSSAAASVPGATPADALRRNRILSSKLYFDVPGSKAPVVYSTAYDIAFLGIEKMHPFDSSKWGRICRFLTREGHLENKRVVEPLEASKEDLLVVHTEAYLNSLKSSFRVAAIVEVPPLTLMPNWLVQQKLLYPFRKQVGGSILSAKLALERGWAINVGGGFHHCSAEEGGGFCAYADITLCIQFAFVRLNISRVMIIDLDAHQGNGHEKDFANDGRVYILDMYNAGIYPLDHAAKRYIDQKVELVSGTETDDYLDQLDKALKVAQTRFQPHLIIYNAGTDILDGDPLGNLKISPEGVVIRDEKVFRFAKDQNIPLVMMTSGGYMKSSARVIADSITNLSQKDLIQLGSQPD >SECCE4Rv1G0219670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33135476:33136480:1 gene:SECCE4Rv1G0219670 transcript:SECCE4Rv1G0219670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRDKRLPQLHLSLPVPPRAAARVLGRRHNPTAAKASTPSALSSQFRLADFDTLTVLGRGNGGTVYKVRHRETSALYALKVQHYGDPTAAVEADVLSRTASPFVVRCHSVLPAAASGDVALLLELVDGGSLDSVRSRRGAFAEAALAEVAAQALSGLAYLHARRIVHLDIKPANLLVSTAGDVKVADFGIAKVLARAGDHCTSYAGTSAYMSPERFDPEAHGGHYDPYAADVWSLGVTLLELFMGRYPLLPAGQQPTWAALMCAVCFGEPPVLPDGTASPELRGFVAACLQKNYRDRASVAELLAHPFVAGRDVSVSKCALRKLVADASSSL >SECCE4Rv1G0262640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:709076938:709082053:-1 gene:SECCE4Rv1G0262640 transcript:SECCE4Rv1G0262640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine sulfotransferase [Source:Projected from Arabidopsis thaliana (AT1G08030) UniProtKB/Swiss-Prot;Acc:Q3EDG5] MARPLALALALLAVSVTVLPLASSADDYAHCEGAVKGWADSVGEGDNGGDKLNLKDLLFFLHIPRTGGRTYFHCFLKKLYTNAQECPRSYDKLRFDPSHPDCTLVVSHDDYSLTSKLPRERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSAKTMTSRVLTKSRAVSTLDIWPWKYLVPWMREDLFARRDARGNDSVPSSKKINAYDVEDMVMPLHQYINDPVAHEIIHNGATFQITGLTNNSYFDGAHEVRHCVRKHPDLGRFVLQVAKNRLDRMLYVGLTEDHEESARLFAHMVGAQVLSQSGALKLDVQEDQPSGTDSHSSMLDPEDEETNEHMNSTHGWKNNEALNTTEDDHGKGNMTVGKLMETYEGCIAKLRKSQSSRRRISLKKVAEANFTKAARRRVPEAILNQIISLNSLDMELYEHAKKIFKQEHLMLKGQHPMLVQQKQLADQMDRSSSHPTVVQHKQLTDEKDWIDAVCESWSCSTWWKVASFGLGIAVTTVFVVFVVTGRRTLKLKV >SECCE3Rv1G0210890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946187988:946192898:-1 gene:SECCE3Rv1G0210890 transcript:SECCE3Rv1G0210890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTQVFPYPACFAMAVLLCSVAMPCSMAASCPCEENKRDGIISYSFPSFPRDSARNLAILGDAGVKLEAFMLTPDTRPYGARSRVGSVLLPSPITLWGVDSDEVKHDVSFNTSVTMNLHRWDNVQGDGLAFVIVPSLHGPPRGSEGGFLGLTNTIAKSTTASGSFVAVEFDTSKNDYDPDGNHIGLNIGSVVSDKVVSLPDSITLTPLRKTVDYTVWIDYDGVGHHIWVYMAVDGLPKPGKAYLDAPLNMSSNIPQQAFIGFTASTGEGYELLHRILSWNLTVKMLPDYRAIRQWRVVVLPAILGSVAATAVTISVAVFYFSLRYKALKMELKLSEALRRLPGTPKEFKYAMIKKATNNYDETRKLGKGGFGAVYRGTLRLRSDKTCQPVEVAVNKFTRNRDSLRHRNVVPLVGWCYEKGELLLIYEYMPNCSVDRYLFEENPRLQRRILGWNTRYNIIIDVAAGLHYVHHEHEHMVLHRDIKASNIMLDSSFRGRLGDFGLARIVTLNMNSYTDVGVADTWGFIAPEYSICHKATRKTDMYAFGVLVLEIVTGERALAGNHDHETLQPLTEWVWWVHQEGRLLEAVDDKVTSMQEFSPDDATRLLLQPEGGIPVEFDDMMAMSSLWEDMSSSEALSASVPSQVNRRKTRVGHSHTTGSAEEYYV >SECCE4Rv1G0222350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:56291495:56294549:-1 gene:SECCE4Rv1G0222350 transcript:SECCE4Rv1G0222350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPDDEEAGQQQPKGGPALEGLVVGSYCHDVLLRGGRVVGETLGGAAAFVSNVLDAASPPEASFAVVSKVGPDFAYASAPAPARHPPLRCPARPTTSFHARFSDAAASAHAPDRQLRRVHACDPIYPDDLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDAEGDGSGAAVRHVALEGTPYARLLQRVAFLKASSEEAPYVGVETARRRCCVIVTEGRDGCRLYWDGGEARVAPFPAVQVDPTGAGDSYLAGFAAGLLWGLSATDAALLGNFFGAAAVSQVGVPTFHPKMLQAVKRILEDKAIPRSSTCINGATFTFQRSVMHDELHASLEEAARLMQDQSPPATENGDGILLAQEPTSPPSGC >SECCE4Rv1G0278190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:807726940:807728424:1 gene:SECCE4Rv1G0278190 transcript:SECCE4Rv1G0278190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGPPGTFRRITVHYSSTAGDDANHDDFLEFVIGDVLQHQEGLHQSLMGTRTPGASWSMHCCHGESSRGTAPETSGTSEEQIAADLKYARMLQEMEDLDVDTPPNDEEQDDISCVPSPSDTDDDDDRGDEEEDARQDDNDDDTNDDVDPDNMTYEQRQELVESVGNESRGLSDELMSYLVPWKYKSGSGFFSKKTNHDETCTVCLSAFRNRENIITLPCKHNYHASCITRWLKIDKTCPVCKYEVFGPS >SECCE4Rv1G0253870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:630582037:630586222:1 gene:SECCE4Rv1G0253870 transcript:SECCE4Rv1G0253870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRFYWAPAPPSGARGVVVVFAWVWSDEAQLRPFVELYASLGWRCLVCQPDLVSLYLSEKAATLACGVISELAKELKVKPLPIVFASFSGGSKGCMYKVIQLLDGRCEGDAMMKDYRLVRNCISGQIYDSGPVDFVSDVGTQFLQNPVIGTSSQPSMLRSLMAKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGSVLIFCSEGDDLAPCHVVCGFARRLVELGTDVKVIKWSDSPHIGHYKLHEAEYRTAVDDILKKALVTFCHRSQLKGTSAAWDQEYKIAHCVCNLHNVAANSNESLRRVANSPSDHFFLPSSKDHHDSREPDSLIEEQKRSLPYPARMEPQGVLGQIMFDVCVPKNVEGWDIKPTVSPNGRPTLASARQLGPFNPIKYLRRSRL >SECCEUnv1G0536770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:66257632:66258642:-1 gene:SECCEUnv1G0536770 transcript:SECCEUnv1G0536770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVPTWLELLLATQFFAICTNHLSSTRNECNLFCIDCDESKAAFCYYCRSCHHSTHRVIQIRRSSYHDVVRVAELKDILDISDVQTYVINSATVVFLNERPQHRGYGVSAVKASSSSYNCEICNRVLLDPFRFCSLGCNLKGIKEDMRTNVPTGDIIDYTRKDDDTDSSNTSVNTGNNEESCGDADYWKDNPSPPRVIRHRRKGFPRRAPFF >SECCE7Rv1G0502500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:696876340:696878865:1 gene:SECCE7Rv1G0502500 transcript:SECCE7Rv1G0502500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADEEKPLLNVQPSPQDAGSEYTSDGSVDINGQPALKRSTGRWRACYMILGVEFCECVAFFAVSRNLVTYLTTVLHESRVAAARNVSAWVGASFLTPLIGAFLADTYLGRYWTMVASLPVYILGMLVLTVSASAPTSSYSGGEVHRAMVYAGLYLAALGGGGTKPCTSTFGADQFDSADPEELAKKGSFFNWYYFMINLSSLLSSTVLVWLQDNVGWGVSFAIPSALLVLALAVLVGGSRVYRFREPTVSPFTSLCQVLVAASSKWRLQLPDDVSLLYELTGSSESGHTIQHTSQFRFLDKAAIMLPPSDKACVAPPTSSWKLCTVTQVEELKILLRMFPVWASFVIFHAVTGQLSSTFIEQGMVMDNRVGGFAIPPASLSIFGVFSVLIWVPVYESVLVPLARRCTGNHKGFSQTQRLGIGFALSALTMVYSAALETKRLAVARASGLAGQNVPVPMSILWQAPSHALHGAAGVFAGIGMTEFFYDQAPCAMKSLCAAFAQLAIASGFYFNTVVFGVVAVVTTRGGAPGWIPDNLNEGHLDYFFWMMAALSLLNLAQFVHYSVRCREKTTSSP >SECCE2Rv1G0130370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:876153364:876154209:-1 gene:SECCE2Rv1G0130370 transcript:SECCE2Rv1G0130370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVAGTSHIVLPRAAPGYAGSKRTLAVYDPAAAAERRAGAPKLSADAGALVPSPMAGKPIKAMPLNATAPKVTAEPPGIRAPNGLLPYLRLRIDLPVYFADEKTVTATDVDPQQNRFRLPIEGVMQNLRPVLSHLDREAANLLHVEAPRPRLPKIPKVPGEKTKKRRGKEHGGLPVLVIERYAGIRELQLTRWESSSVCVIKGEGYMDFINNCGFGVGDVVEIWAFKQNAMRLFGMDIYEERYPESPLYVLFSKKGQMLPPPPALVVSDGGEEIAQDHAP >SECCE2Rv1G0063890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:346696:347977:-1 gene:SECCE2Rv1G0063890 transcript:SECCE2Rv1G0063890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPPAFLDSSSCWNMNHDQLQLRQIGHGQGNNTHITTAPSPAGPGDGRGNDNNLEGLMAEARAGGGGGGGGGAGDGDGNGGRDSKQMSMSEGARLARVPQPESGLNCPRCDSANTKFCYFNNYSLTQPRHFCRACRRYWTRGGALHNVPVGGRYRRHAKRSAKPKAASTASATSMTPPNTTGTTTSSPALQYSMFGIAPPQGLLIDSFDPASLGRSFPAKLLFAQAVEGDVHQQQQGNENDMAQMQSFPHAMDHQMAGQLAVAMPTTMAATQGMFQTGLQSGGMEEWGVVQMQSFPFLQAMDHQMVGPPAAAMPTTMAAMQNMFQQVLQSGGNGDDGDHHFHQQQQQQDYTSSRAIYNGNGGGGYNFYSSTSNAPGN >SECCE1Rv1G0050930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:650411057:650415428:-1 gene:SECCE1Rv1G0050930 transcript:SECCE1Rv1G0050930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPAAPLLAPWRDGQDEDGAAARSDGGSRQEQGVMRSWAEAVLLCCGADCGDAERLWRRVVLRKWLNVGAGSGDSDFSADESEAEADDDDEPGHQEKRCCWEHTLFDDERRSRGLGAGTIGNQVKDVPDRPKRCNSETLRAQYIDVAELRIFVGTWNVGGRVPPTDLDIQAWLAMEEPADIYVLGFQEIVPLNAGNIFGAEDDHPVAVWEHIIRETLNKIRPYKPKHKCVSDPPSPSKFNPSGYLVMEDDSFSEANNESEGELHPLIEQDVNPVTNDSGVCKTYEYSTSASSERVHEGEDFRKMLPVNASHHSSHTLFRSNLKEPSNQAGHLERPAMIWPEQQLDMQVHLLQDSTSVTSVKTLTASASFKSRHQNSNGFPEDNLDHDVSIDNRVVKKKKPNFVRIISKQMVGIYLSVWVQRGLRRHIRNLRVSTVGVGAMGYIGNKGSISVSMSIYQTPFCFVCCHLSSGEKDANLTKRNADVEEIIRRTVFNPVHRVSMPKGIHDHEKIIWFGDLNYRINLSYERAHELISRREWDLLFDNDQLKWELMNGRTFDGWIEGVISFPPTYKYEFNSEKYAGDEPISARRRPAWCDRILSYGKGIRLDSYRRAELNLSDHRPVSAVYMAEVEVLCHRKFQKALTFSNVEVEDHLLLER >SECCE1Rv1G0013300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:101889680:101891425:1 gene:SECCE1Rv1G0013300 transcript:SECCE1Rv1G0013300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRAFAAHVLRGRWFMAYGSFLIMSAAGATYIFAVYSKDIKATLGYTQEQLNTVGFFKDVGANVGIHAGLIAEVAPPWLVLAIGAAMNLGGYLMLYLSVAGVVRARPPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVILGLLKGFVGLSGAIFTQLYLAFYGGGGGDTRPLILLVGWLPAAVSVAFLGTIRIIRSPRSPVAASREYRAFCGFLYVSLALAAYLMVVIILQKRFLFTRAEFGVSAAVVLAMLLVPFTVVLREEAALFKNKPEAQSVVTVPTKPLTPAQEPAAVAERPAATLMSRVVQALRPPPLGDDYTILQALVSLDMLLLFTATVFGMGGTLTAIDNMGQIGESLGYPQRSISTFVSLISIWNYLGRVAAGFASEALLAHYRLPRPLILAVVLLLTVPGHLLISFGVPGSLYVASVIIGFCFGAAQPLILASVSELFGLRYYSTLYNLCGTASPVGSYVLNVRVAGRMYDHEAARQGGGHAVASATGKRLVTCIGVRCYKESFLVITAVTVAAAAVTLVLAWRTRGFYAGDVYARFKEEEEVVVGVGQSRNGVAASEVTS >SECCE7Rv1G0503140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:705713043:705713417:1 gene:SECCE7Rv1G0503140 transcript:SECCE7Rv1G0503140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVEIVEQVLKTEVKQSTFLRNVGLQSSRNYFGKATTKVAAHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFQAKVV >SECCE2Rv1G0103100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:586662140:586663852:1 gene:SECCE2Rv1G0103100 transcript:SECCE2Rv1G0103100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGGKAPAWTSAAAADVDAEVVIVGAGIAGLATALALQRLGVGAAGGGVLVLERHAELRSTGAALTIFPNGWFALRALGVAHKLTSRYDPFETSRVTTLETGATQVFRFAGRKSSGDVRVRPMHRKALLEALAEELPPGTIRFSSKLASIATEKAQGSPEIAVLRLDDGTVIRSKVLIGCDGVHSVVSQWLGLSEPASSGRSAVRGLAVYPDGHGLKKELRQFLSEGLRAGMVPISDTDVYWFLVNNTVPAEKEAGTDPAKILREVTDNLARNMPAEYLDVARHSDSGNLSWAPLLYRAPWAILRGPAARGPVTVAGDAFHPMTPDMAQGGCSALEDAVVLARALSRAATPADGVAAYVAERRGRAAWLVAGAYLSGWVQQGGTNVRGVGGYMVRLFRDWIFYRFLFPRLADTMWFDCGDLMEPKVEGKTHSE >SECCE2Rv1G0071530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:45473735:45475760:1 gene:SECCE2Rv1G0071530 transcript:SECCE2Rv1G0071530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGRLEDIADAEMDEGGRGGGHVRRASSTGYVGGARGGGVGGGGSSSPGSPPRPHSPRMFVPQSPVTPLQRASDVPPPVFNQILMRDQDDSDGPPPKRIPTLLVWPHGGKCIFVEGSWDHWTSKKTVQKSGKDHTILLELPSGVYRYRFIVDGERRYLPDLPCETDNVGNIVNLLDVNDFVPESVESVSELMAPPSPDSSYGFQIPEDKEFAKEPPTLPAQLYLGVLNSRSADRECARPRHVVLNHLYIEKGWGAQPLVALGHTHRFRSKYVTTVLYKAIER >SECCE4Rv1G0262210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:706617734:706620479:1 gene:SECCE4Rv1G0262210 transcript:SECCE4Rv1G0262210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGADGRGDAYDPMKDPERRPRRSNDPGWNYGYWLKPGNINNVVCNLCGKITSGGIKRHKEHLAGTGGDATGCPKATTQLRREMLQYLEKNRRNIGQPDDDDDVVEVDVAGTVQSSTNAAAVQDSATRPSSGTAAKKNKKAFAVKMSGKKFQSVATKSIVSMLRKKPEDVVDERRSGCSQSTMESSTKTPEERHYVSMQWALFFYECGIPFNVASSRQFQIAIEASCQYGPGYKPPSPHELREPLLRDCVKETKQLRLKHEVAWKQYGCTLMSDGWSDRRGHHLINFLVNSPAGTYFLESVDASSECQDARMIADLLEKRIEDVGKEYVVQVVTDNGANYKAAGKILMQRIPTLYWSPCACHCLDLMLEDIGKLKAFKKPIARGRRVTTFIYRHGRILSLMRKATGGMDLVRPAATRFATSILALKSLVKHKQALRSLFTCQAWVGNKLAKTAAGLNVQDIVLSADWWHAIEDCLRASGPLLRVLRVADGDEIPAMPEMTALMRFAKEKINQGFPHQNKQALLKKIIDIVDKRWENQMDHPLYGAALFLNPGKYFSIVESGDDALIGELRSCFNDVLARTVLDVNTRNKIDAQAVLYEDKGGTFANQMALDNMVQKNPFDWWRSYAGRTVELQRFAKRTVSLCASSSGCERNWSAFEHIHTKKRNRLQHRILNDNVFVSYNRKNMDRFQKRHEKMGDNGYDPLVIEDFDWGNEWVDPTIPPPQGARGCPDDISWELVDEAVGASSSLQGRNFPRASTMARGSSSVNVQYQRQRKRAAPSPTFLHEDDEEDDQEEQQSSIPNGEDDNSDFLQDDVDVTDDDEDPTSADQDGEDNTNAAMDEFDGY >SECCE6Rv1G0420570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:677808067:677813039:-1 gene:SECCE6Rv1G0420570 transcript:SECCE6Rv1G0420570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYLLLLFFLALWLWPPAASVDAASATVSPLFTQCNQTAGGTYAANSSYGSNLRDLGATLSAGAGASGFAKGSSGEAPDKVYGEVLCRGDYTGAKCTDGLEAAFQDVADRVLCRQAVVYYDQYMLRFTDDERSLSSASNEPEWSASNMNSVRGAAAAARLMDIVVKLMNDTADLAASNSSSGSTSRLYATGEAGFGEQGVGTVYGMVQCKLDLTGPQCRGCLDGIIRQMGKLFNNISSEALVGGRIIGVRCNLRYEKELFFEETNDTIKIDMPKNGMSPVLKIVIFGVPLLVLLILGLLLRPYIVKKVRELLLERDLVILEEEIVRESDSRFSLFRYSKIRSATDNFSNENKLGEGGFGHVYKGRLPHDQDIAVKRLSPNSVQGFREFMNEIKLIASLQHKNLVRLLGCCIKGKERILVYEFLPNGSLDEFIFGAEAKRSWPVRRHIIEGIAEGLLYMHDYAHECIVHRDLKPSNILLDHEMNPKISDFGIARICLSNMTESNTTTAMGTFGYIAPEYCSQSVYSTRSDVFSFGILVLEIISGKRAVGSYQLSGRSYELRKYAWQLWREQRCDELVDDSLGEEYPEMDVMRCIQVALLCVQDVAEDRPTMRDVTTMLSNGNKRLLLPVQPGFCSIHINTTDDEIEL >SECCE1Rv1G0005000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:22794718:22795512:-1 gene:SECCE1Rv1G0005000 transcript:SECCE1Rv1G0005000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVTAALGALGPLLAKLADLLAKECGRLKGVRRAIRSLRSELFSMHGALKKYAKLEDPDDQVKEWMSLVRELAYDTEDCFDKFIRKLGDGGSHDAGFKEFFRKTARRLKTLGARRGIANQIDELKLRIKEVKELKTSYKLDDVAGSTSGNAAVDPRLAALFAEEAHLVGVDGPRDDLAKWVMEDGNKHGRKVLSVVGFGGLGKTTLANEVYRKIRGHFDCHAFVSVSQKPDMMKIIKDVISQVSESTAFKKDIEIWDEKKSI >SECCEUnv1G0556190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310485438:310486103:-1 gene:SECCEUnv1G0556190 transcript:SECCEUnv1G0556190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHMTTTVFSAIVIMLLSSAIAVQSSGGVGGKPKPTDFMEDACKNASKWSHSYNEGYSYVTPEFCISTLQSDNRSANAKDHRDLALIPVDILKERVVTAGGNVKKMLHNTKNSTWTKRDLRICELDYAATAGILNFCDALLRDYQGDQRSETEDNDGPLNFELPQCVNMVNEVSVYCVLALLDTPGAEALVKEGDELQMLINLSIALLSPYRLHTDVNS >SECCE2Rv1G0109330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:674505728:674507762:1 gene:SECCE2Rv1G0109330 transcript:SECCE2Rv1G0109330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMRWLALFLLVAVSALAVSAVIDDGLLPNGDFRNGPDKSQMNGPVVTGKHAIPNWELSGFVEFIESGHRQDDMILPVPVGANAVRLGNDATIRQQLKVSRHTYYSISFIAARSCAQAEKLNVSVDPEFGVLPIQTVYTNTGWDTYSWAFKPRHSTVWLSIHNTGIEENPACGPLIIAVAIKTLYPQVYNRGNMVKNGDFEQGPYIFPNTPWGVLVPPIFEDVHSPLPGWMIMSDTKVVKYIDAQHHAVPKGARAVELVAGVEVALVQEVPGTVPGRRYRLSFTVGDARNGCVGSLGVEVYAARERLRVSYDSRGTGGHKCGKLEFTAIADKTRVVFQSSNHHTINATLCGPVVDDVWLVRLK >SECCE7Rv1G0474640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:174793106:174793774:-1 gene:SECCE7Rv1G0474640 transcript:SECCE7Rv1G0474640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMMAVGRSRMLVPLRPRPLSQQRNLLAGLAAAFAVTLLSIVLLLSSLPAASSPPPRRRHGMDTTSTTSRGPPAHCGAMSASLGEFGDMMVSMLPRDLAFTAFVPSPESFRRVLRLRHNDSGAELKASDDTYAVVSRVLGFSAVPRRLRSGNVALRGTARLLDLDSVSGLKVHAWRDVDGALVVNGVRSECVDIIRDETVVHVMAGVLMDAEFERSLSLQK >SECCE7Rv1G0476400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:203743240:203746181:1 gene:SECCE7Rv1G0476400 transcript:SECCE7Rv1G0476400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQAAAAASQQGDLCRHGQLPRWHGDAGAEPLPFYVPLRKRLSVDGKSPAPRICIWECDGEAGDITCDIVAAPFRRSCSARTMQPPAPFYRTMTPPPPRPQRVLEEAEAEEARRPGRAIRKGHRSYGLMLNLQLGISYSVGKSSALPFKKLLASDFDPREKVWTRFPPEGSKLTPPHHSIDFRWKDYCPAVFRHLRKLFGVDPADYMLAICGNDTLRELASPGKSGSCFFITQDDRFMIKTVKKAEVKVLIRMLRSYYEHVRLHKSTLLTRFYGTHCIKQVGCPKVRFVIMGNFCCSEYKIHRRFDLKGSSHGRTIDKTERKVDETTTLKDLDLDYAFRLQRFWYEELMKQIQMDCVFLETQGIMDYSLLLGVHFRNDLSVSKIGLSQPIALPKSTGKRKSFEGGGNFCEQCFMETGCKDRDLITESRRPFVQLGMNMPAQAERSSQKILDKFLLNERHLFITTPSGSGGPCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPGRISAVDPKLYSRRFQNFIRRVFVKQQQ >SECCE2Rv1G0100400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:540694316:540726740:-1 gene:SECCE2Rv1G0100400 transcript:SECCE2Rv1G0100400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAAAAAAAAQPATVGQAVIPLVNRLQDIMARLDGDAAAGVELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPEICTRRPLVLQLVRHSAPEEWGEFLHAPGRRFDDFEHIKREIQSETDKEAGGNKGVSDKQIRLKIFSPNVIDITLVDLPGITKVPVGDQPSDIESRIRTMIMQYIKHPSCIILAISPANADLANSDALQLARLGDPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGIVNRSQEDINFNRSIKDALAFEEKFFSTLPAYHGLSQCCGVPQLAKKLNMILLKHITDMLPGLKSRVNAQLVAVAKEHAAYGDTAESTAGQGVKLLNILGKYCEAFSSMVEGKNKVSTDQLSGGARIHYIFQSIFVKSLEEIDPCKNISDEDIRTSIQNSDGPKGAMFLPEVPFEILVRKQIGRLLDPSLQCAKFIYDELVKISHGCLTSELQKYPVLKRRMGESVSNFLRDGLRPAETMITHIIEMEMDYINTSHSSFVGGSKVVELAKHEGLSSRGPTSLSVHKDGVGISSEVQLKSSTENNVQLKSERGQKSRAVFARDTSRGATAEKGFQPDTDAGTSVAGGGQNGHSLGGSLSSMSDPRGYSLNSLYSMIRLREPPSTLKPSENKTDRDRTEIAIVKLLVKSYYDIVRKSIEDAVPKAIMHFLVNHTKRELHNVLIRKLYRESLLDDMLRETDEVLIRRQRIQETLQVLEQAHRTLEEFPLEAEKVEKGYSLSEYGTGLPKIPGLSNRNPRGSSLNLYS >SECCE2Rv1G0102670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:580584552:580584994:1 gene:SECCE2Rv1G0102670 transcript:SECCE2Rv1G0102670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRSLLVLTLVVAAAACLAAPRGAHGAGECGKTPADKMALKLAPCASAGQDPKSAPSSGCCTAVHTIGKQSPKCLCAVMLSDTAKSAGIKPEVAMSIPKRCNLVDRPVGYKCGAYTLP >SECCE1Rv1G0009570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:55569731:55570323:1 gene:SECCE1Rv1G0009570 transcript:SECCE1Rv1G0009570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTHSQKPHKSYIVRFKHQRDRKDSSVADTKAIAMAKLMCLCFIILTIAVAVSADECEGDRQDMIKQCAKYQKWPANPKIDPSDACCAVWQKANIPCLCAGVTKEKEKIWCMEKVAYVANFCKKPIPHGYKCGSYTFPPLA >SECCE6Rv1G0447260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:849689881:849690832:-1 gene:SECCE6Rv1G0447260 transcript:SECCE6Rv1G0447260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGAGTGALGSVIVKLATLLGDEYTMLKRVRKDIAFLERELRRMQILVNVLADMEGLDEVAKDWKGSMRDLSYDMEECIDRFMLRLDNGEAKPGFAKRTARQLKSLFTRHGIGTRIKELKARVTEESERRQRLNLDNYVPARPVAIDPRLAAFHGVAKGLVAMDRRRDEVISLLTEERVELMVVAIVGGGGLGKTTLAMETYRKIGGDFECQAHVTVSRTLDPEKLLEDILSQIDKAASSKYQSERWKTDQLIREIQRILTGKRTTLGICQSSFSSQL >SECCE6Rv1G0436550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778264927:778269580:1 gene:SECCE6Rv1G0436550 transcript:SECCE6Rv1G0436550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPAPGRSDHAAATAGGGQFHVLNSSSGGGGSDGGQPNGAAYRQLPSPRAAAPGNGAAYRQLPSPRATPANGATTYRQLPSPRAAAPANGATVHRQLPSPRAPAPAKGVAQASGATAHRQLPSPRAAAPAKGVAQASGRQLPSPRAAAPANGAAQANGATAYRQLPSPRATAPANGVGPGNGMAAYRQLPSPRGAAPPNGATAYLQLPSPRADASALWRSTPVRAMTTYGPPATGGAPPVPIAMRAPSTQSSMPSPHLLQQLMVLAGWGASSRPPWLQSYVQGTPPPFSTPGRGRGMQSPFASSRIPRIPGASAVAVQRDAGGDHLPKDTGAGRGKPASERSLQIVPADTGAGASKSVPPIGDNGEGAGKNVTPAAGGNGDTAVSLLGPVLAIPSTGVVRKGKAAAKSPNGRLRKPRAPKGSSTLAGPKKVPGRKPAADKPAATSPGAQGNDQPKTVSPPSNGRKRKNAAPAPAAASPSPTPTPSSATRCSLVARMSDSNSTAGTAAAAAAAAEKKHTVLTWLIDAGVLKEKEQVFYVPGPEDNASITAKVVSGAVTRAGIQCSCCDGATAMALPAFARHAGSASADASSAPWERLLLMSGKPLLRCLREAWDLERVKIFRAEEDARAALEQDRERSAQAKKRSLLLLGKQGRKGGARALAVDGGGDRSDDACGVCADGGQLLCCDSCPSTFHPECVAVQVPDGSWACHYCRCFLCSASDGAPSTCHQCSRKYHNHCRTSLFAGHEIGPFCSESCNKIAAKLTEMAGVTNRADEDGYSWSLLKIQKDSGDSADVECNTKLAVALGVLDECFNPVKDRRTGIDMLHQAVYSLGSEFKRLSYEGFYTMVLEKDTEIISVALLRFHGNKLAEMPFAGTLRHYRRQGMMGRLVKAVEQVLATVQVEKLVIPAVTEVVGTWKRSFGFVPMEPRLREETKRLSMVVVTGTVMLQKHIARQQTAHADGESQAPPMTEDELAFLEMSWPFSSFTDLVAGIAFPPPSGADPLATAVRGLGVGAPGTSGRRSCGGEAVGSSVFQMPSYAPAAHGAGLRLGMNK >SECCE7Rv1G0469780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:112981378:112985100:1 gene:SECCE7Rv1G0469780 transcript:SECCE7Rv1G0469780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPNVRYGAGEIESEYRYDTTELVHESHDGASKWVVRPKSVNYHFKTNTNVPKLGVMLVGWGGNNGSTLMAGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDIVFGGWDISSMNLADAMTRAKVLDIDLQKQLRPYMESITPLPGIYDPDFIAANQGSRANNVLKGTKKEQMEQIIKDIREFKEKNKVDKVVVLWTANTERYSNVSVGLNDTMENLLASVDKNEAEISPSTLYAIACVMEGVPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEDKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >SECCE4Rv1G0267500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736406543:736407077:1 gene:SECCE4Rv1G0267500 transcript:SECCE4Rv1G0267500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQRLAAAKRCSREAAMTGAKAAAVATVAAAVPTLASVRMLPWAKAHLNPTGQALIISTVAGMAYFIVADKTILSMARKHSYQTAPEHLKNTSFH >SECCE2Rv1G0140850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:933172151:933173354:1 gene:SECCE2Rv1G0140850 transcript:SECCE2Rv1G0140850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAMVLAMMGLLASLVCPAAASEFISMPTDDVVLPDGLSYDFHAQSCPNLQDMVRAAVVTARNKDIGVVAGLLRVFYNDCFPNGCDASLLLEESPHYLSERMILPHNAGLHEGALNLIESIRNTVHMTCKNVSCADITMLATREALVLSGAPSYGVPLGWMDSFGPATFDEVDEFLFIPKYSKIFDLITVFGFRGFDKTDLVALSGAHTIGKTRCGRVDGRTLTPGDDVEFVNFIKKVCKINPDHRQDLDVTTPNTFDNKYYRNLLAGKAVLSSDTELLLDGDTKDLVEKFAKDQGLFFSQFGKSMSKMAHISVGANYGEYRRNCFRPNFIRNITAEGFVARD >SECCE1Rv1G0044450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:602888585:602889016:-1 gene:SECCE1Rv1G0044450 transcript:SECCE1Rv1G0044450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLKDLIPAATNTVNTTFIVVDKAPRPPHANAHGREETCSSLVADETAAVHFLLWGTECDAFEPGDIVRLTSGIFSYHRGNNLFLRAGKRGRVEKVGEFTMMFVETPNMSEVRWGPDPGDPRKMVQEAVVSPYSQVFKPLH >SECCE6Rv1G0442010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:813773647:813774531:-1 gene:SECCE6Rv1G0442010 transcript:SECCE6Rv1G0442010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRPTAALVLASLLCLYQAPRGAFSLSFSLDFSDAGAGSSIIVAGDALISPPALQLTKNSRASYRHKVPLWNAATGEVASFATAFSFRMTPDKDSPPQQPQQPGDRMAFFLGSSAGGGFCPAIVTVEFDAFPNHVGIDISSFNSTVSARTTATWPGKNLTTSSVMEATVRYHNDSRMLAVALLVDGALYQVNATVDLRRNLPEEVAVGFSATAVARMHRILSWSFGSSLPESKQEASPQPAEPPLPTSSYSHKKIALVLLFLGTITTRCVRMGFQFRFKASPDHLTLAVLA >SECCE2Rv1G0123510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:824622068:824624913:-1 gene:SECCE2Rv1G0123510 transcript:SECCE2Rv1G0123510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAALSISGGAHTSAFGCKPKKLISNRNYLQLAAPSNSQNANLYGKLTVCRAESEDSKGGGGFLTGFLIGGAVFGTLGYVFAPQISKTLDTLLNDDGQDGKPDEQGLQSVPRPRNAQLYDEGLEKTRQTLGDKISQLNLAIDKAAARLKRVTGSVEKEAVKDESEIEISTLDDNGVLEENLSEQGFVQGESAT >SECCE7Rv1G0482220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:302615976:302621584:-1 gene:SECCE7Rv1G0482220 transcript:SECCE7Rv1G0482220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWSKLRSLDAYPKVNEDFYSRTLSGGLITIVSSLAILLLFFSEIRLYLYAATESKLTVDTSRGERLHINFDVTFPALPCSLVAIDTMDVSGEQHYDIRHDIMKKRIDHLGNVIESRKDGVGSPKIERPLQNHGGRLDHNEVYCGSCYGSEESDDQCCNSCEEVRDSYRKKGWALTNVESIDQCKREGFVQRLKDEQGEGCNIHGFVDVNKVAGNFHFAPGKSLDHAFNFLQDMLNFQPENYNISHKINKLSFGKEFPGVINPLDGVEWKQEQTTGLTGMYQYFVKVVPTIYTDIRGRKIHSNQFSVTEHFREAIGLPRPPPGVYFFYEFSPIQVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHRAIKKKMEIGKLG >SECCE2Rv1G0067620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19531541:19533128:1 gene:SECCE2Rv1G0067620 transcript:SECCE2Rv1G0067620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTQVLPGEILADVLRRVAPRGLAACRCACKALLAVIDDRRLLRADLLPLSLGGIFINFYCEHVSEFFARPSTGPTISGRFDYVPHAGRTDWRKIKDHCNGLVLLGNAWDWEDCYVVNPATRQWDSLPPRPSLLEEIHDDFRCEYYLVFDPMVSPDYEVFVILIPRYSGEPEDEAADPVILEEPESEWPPSLCALHVFSSKSGGWKERSFIRQGEAAGTVSGMRRHFEWCDDKRHAVHYQGALYVHCKTDFVMRICLSNDKYQVIKPPMHREPDDNHKLHLGRSEKGVYLATTTFGSEKGLYLATTTFGTPRLMVWVLEESCGHTNWVLKHNNCVTPIQDDRRPILGPWVLQDINFGEYLKECKEYNQHDKNLEWLMEMKLELNSSKKELVEEKFEWDSENDNVLQKEDEVDGRGSGYFGILGFHPYKEVVFLHQSMCRGLAYHLKDSKVQDLGYLYPTTCHLALGADRFITDSFPYTPCWTELQKATRM >SECCEUnv1G0567040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:410883506:410884668:-1 gene:SECCEUnv1G0567040 transcript:SECCEUnv1G0567040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVTPAARVSELMAQGRKSAAALLQIQDHAGIRELAAEVLRCCDRALAALHGKAGREKRKLELQGAATQTTRPKRSTRKSSGETTAVMRVERKRNWDDGFVWTKYGQKDIRGSDHPRHYFRCANYTLDAGGCPARRHVQRSEEHGPPLYVITYFADHTCCHGAEAMAALDDIKILDFGSVGSRSPRPDDDDARSGKTSLSEELPAEAAKVESTPLSDLRPVGKVAELSSSASGSWDPSAAVCSDWDFFGDCSFDYVSEFFDVEVITLY >SECCE4Rv1G0233310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:236338127:236348299:1 gene:SECCE4Rv1G0233310 transcript:SECCE4Rv1G0233310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWNAGEFEGKFKDELAQGNNNLQEDGAGPLNISNKKLKHGITTEENPQGVVSTATNSDSRKFNSEHIYSTCVVASDHVRDCKIESSAFPLSTDDTTSGTRYQTQNWNNCQFALSNGSAVLNNHSVPQSDLNYGDNDLNFIDWPSIDNFEDVDTLFRGCDSTYGEQHLENTDELSWIPSSDAIYSSDVALQAGLDSSYSDYGMLDDLSAFPCAQDKSLPTADEQFNDNYSFNEQKNVNVYGEQAYQGDAMELLSTDQICNGDGNLDMIGERYSSENALQQLEDRKFSVSSGSQLSSSQNLLKQKHHSDKTSPSNITSDCYSDRNCQFSPSGSFAQRNLTVQKQVANLQPGHLINDGQLGQQTLTRRASYPCENYEVEKKGFGKRSKDTLGTSVVVDGSFVSSLSSDNSVEESSFRQLQDAVSQLDVKTKLCIRDGLYRLARSAQNRPVFPNAMNRHEESRDVNDTQNMETSGKFVDHRSIETQTNPIDRSIALLLFHQPSDQVAGAVDNPHH >SECCE7Rv1G0495040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:593590185:593590562:1 gene:SECCE7Rv1G0495040 transcript:SECCE7Rv1G0495040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMEQSNGGGGGVKVKFIETQFVSSDAANFKSVVQRLTGKYSKMPPPAPSVHRPRPRPCGRADQQGRPCVSGSDQLTTAAPAKPAFEPLRVEEVNELCDFADLLYATPSARRHGGSIVNGFPY >SECCE2Rv1G0126410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:846302120:846304920:-1 gene:SECCE2Rv1G0126410 transcript:SECCE2Rv1G0126410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLSTNDGILRRLIAWKGPDDPATSDYSMGGDSSSDLQVVIWNGTRPYWRRSAWDGSLVSALYLSITGFILSETIVDIGGELYMTFTVSKGSPSVRMMLDYKGTFKFLAWNSNSSSWEAFIEHPSPICERYAYCGPFGYCDATETVPKCNCLSGFEPDGVNFSRGCRRKEDLKCGNDSNFSTLRGMKTPDKFLYVRNRSFDECTEECRRNCSCSAYAYANLRNGSDYANLQNGSGAADQSRCLIWLGGLVDTAKFRDGGGENLYLRLASSTVDKEINVLKIILPIVASLLILASICLVWICKSRGKRRIKEIKNKHTRQHLQNSKLNELENESIDLPYICFEDIVTATDNFSDYKLLGKGGFGKVYKGLLGGGGKEVAVKRLSKSSGQGADEFRNEVVLIAKLQHRNLVRLLGYCTHEDEKLLIYEYLPNKSLDAFLFDATRNSVLDWLTRFKVIKGIARGLLYLHQDSRLTIIHRDLKASNVLLDAEMNPKISDFGMARIFGGNEQQANTIRIVGTYGYMSPEYAMEGSFSVKSDTYSFGVLLLEIVSGLKISSSHFMTDFTNLIAYAWSLWKDGNARELVDSSIVENCPLHEVLRCIHIGLLRVQDNPNARPLMSSTVFMLENETALLPTPKEPLYFRQRYDEVEDQRDTMGITLNKMTITMQEGR >SECCE6Rv1G0424880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702635470:702637780:1 gene:SECCE6Rv1G0424880 transcript:SECCE6Rv1G0424880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSCASTLPWTAAASSPSSAFSSPRRLQTSRAPSLVIVAQGRVKKYRQVILKDDIDEISGKKGDTMKVRAGFYRNFLLPKGKATLLTPDVLKEMQLEQERIDAEKKRVKEEAQQLARVFETIGAFKVPRKGGKGKQIFGSVTAQDLVDIIKSQLKRDVDKRLVEVPEIREVGEYVAEIKLHPDVTAKVRLTVYAK >SECCE6Rv1G0400050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:445854109:445856797:-1 gene:SECCE6Rv1G0400050 transcript:SECCE6Rv1G0400050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILAAVSGHLAGRQASAAPGHRSLPVRALQALAQRETVRLKELPAPDTGILELTLERPEVKNAISWELMTRLRGAIDKIEANATAKVVLVASSIPGVFCAGADLKERRHMSSSQVKEYANSLRSTFSCFEALSIPTIAVIEGAALGGGLELALSCDLRICGENATLGLPETGLAIIPGAGGTQRLPRIIGRSRAKELIFTGRRCDATEAVLMGLANYCIPAGEAYGKALELAREITKKGPLGVRMAKKAIDGGMEVADMRSALTVEGECYEQLLHTQDRLEGLAAFAEKRKPVYTGE >SECCE2Rv1G0088170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:239679865:239680828:1 gene:SECCE2Rv1G0088170 transcript:SECCE2Rv1G0088170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAAASTFLGTRLADPAPQNGRIVARFGFGKKKAPPKKAKAPPTTDRPLWFPGAQAPEYLDGTLVGDYGFDPFGLGKPAEYLQYDVDSLDQNLAQNLAGEIIGTRFEDADVKSTPFQPYAEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGVTWQDAGKVELVDGSSYLGQPLPFTLTTLIWIEVLVIGYIEFQRNAELDPERRLYPGGSYFDPLGLAADPVKKETLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFGSS >SECCE1Rv1G0040180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:556980015:556981680:-1 gene:SECCE1Rv1G0040180 transcript:SECCE1Rv1G0040180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELVGRPGTWGGLWLRLGQAALAAASIAVMASANGFAGYTAFCYLIASMGLQALWSLGLACLDGYALKVKRDLNNAVLVSLFVVGDWVTAILSFAASCSAAGVIVLFEKDISFCRRYYELPCGRYQLATAFAFLSWALSATSAVIMFWLLASF >SECCE5Rv1G0344530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:645893491:645893812:-1 gene:SECCE5Rv1G0344530 transcript:SECCE5Rv1G0344530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSALCFLVVLTLLAATPALAAERCEQEQFFSLICMDWLCAQECASQNPDMRVKEAYCTAKGVKRYCHCTMCYK >SECCE2Rv1G0064830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5175762:5190910:-1 gene:SECCE2Rv1G0064830 transcript:SECCE2Rv1G0064830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAGAGGLLLLALSALVAAAAVAAESEGDVLLALRATLRGPSGDRPPAPLDQWVSSAGTGPCQDPVWYAVRCSSNKVLGLRLEYLGLQGNAPDVAPLAALPALRVLSFANNNLTGPFPPGLTELPALKMLYLSRNRLSGEIPGDAFAAMMGLRKLFLADNAFTGAIPGSITSPKLLVVQLARNRFQGAIPDFDQKDLQLFDVAHNRLSGPIPQGLRRFKAASFEGNTDLCGAPLGVACPPSALLGASDPSDSSGSLRVLMIIAIAVVAFGGLLAIIGIITALVSRRKDNDEPADATETLGGGGGIAAAKMQSTADRSIKIEQADSEQRGVVAPVPSKRGGGRRDGLVFLQEGRERFELEDLLRASAEVLGSGNFGASYKATLVDGKPMVVKRFKEMNGAGRADFNEHMRRLGRLVHPNLLPVVAYLYKKDEKLFVTEHMVNGSLAHILHSGAASNLPRLDWPARLKIIKGVARGLAYLYEELPMLTVPHGHLKSSNVLLDADMEPILSDYALVPVVTPSHASQVMVAYKAPECAAAGRASKKSDVWTLGILVLELLTGRFPTNYLRKGREGTTDLAGWVHSVVQEEWTGEVFDKDMRDTRSAEGEMVKLLKVGLACCDVDVAARWDVKEALARIEELRERDPADDSSTASSYLSDGAGASDHPHSLST >SECCE7Rv1G0454270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2032757:2034811:-1 gene:SECCE7Rv1G0454270 transcript:SECCE7Rv1G0454270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVDMINDGMHEFFVHFHGPKDSIYQGGVWKVRVELTEAYPYKSPSIGFTNKIYHPNVDEMSDPVCLDVINQTWSPMFDLVNIFEVFLPQLLLYPNPSDPLNGEAASLMMRDKNAYEQKVKEYCERYAKPEDISPEEEEEEEESDEELSDAEGYDSGDEAIMGHADP >SECCE3Rv1G0166350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:185716794:185717969:-1 gene:SECCE3Rv1G0166350 transcript:SECCE3Rv1G0166350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATARDCRAYSLGATGGETSRNRRWEYINAGVYVFAAVLLVGGFLAQLWPWAVSTKAGLAVAVVGLLGVLAVNAHDLLAHVAGVDYNLGLAGLDTQFVLVELAAPAVELAGAALTLVALILFEIQMERGHRRDLEKHGLNLLIAGPALWCLGSIHNMCQVYERANGHVQILQKSVHIPFLLGSTLFFIGGVVNRNDFHGRSSPSSPLLGRSWAWYCLFGSLLFLVGGLLNLLKVFKMQQMGGRGLEKLRGGAQERLNREREGKVPLILEEGRRGKHGGSVGDTWGPPAQRHEPRAAPVPTPPEGSYKDALVSGGN >SECCE1Rv1G0000290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1093907:1094303:-1 gene:SECCE1Rv1G0000290 transcript:SECCE1Rv1G0000290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQVLLLALAVLAIVAVLPLAQGQGASRWPCCDKCGVCTKSIPPQCRCSDVSPTGCNSACKSCVRSTAGFQCADIITNFCERHCTPAL >SECCE6Rv1G0405480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:531062982:531065200:-1 gene:SECCE6Rv1G0405480 transcript:SECCE6Rv1G0405480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPPAPWPRVKPVRLNRLPQCKDRLLSLPRGRRPRAPRRLGVCMAEMAWVGSGSPRELGVSDGEGDAMLGGDKLPGPRAEAAATRWTPVEVALNRMSKWLVAASFALAALWKHDAEIMWTLMGAVVNTMLSSILKKLLNHERPAPALRSDPGMPSSHAQSIFYAATFLVLSVFSWLGTNYLAMILGATTIALASYLSWLRVSQRLHTLNQILVGAAVGSAFSALWFALWHLLVREAFASSLWVQIPVILGSVVFCISFVVYIIQHWFKDE >SECCE4Rv1G0218950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:26076563:26081045:1 gene:SECCE4Rv1G0218950 transcript:SECCE4Rv1G0218950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEVQSTSKKQRIATHTHIKGLGLDANGTAIGMSAGFVGQAEAREACGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEESDSSTGGYGKSISHVVIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDAFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDVLSLMGQMMKPRKTEITEKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESSLSPIVILATNRGICTVRGTDMTSPHGIPVDLLDRLVIVRTQIYGPIEMIQILAIRAQVEEIEIDEDSLAFLGEVGQQTSLRHAIQLLSPASVVAKANGREKICKADLEEVGRLYLDAKSSAHLLHEQQGRYIT >SECCE7Rv1G0522030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:875826530:875828387:-1 gene:SECCE7Rv1G0522030 transcript:SECCE7Rv1G0522030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-1,4-xylosyltransferase IRX9H [Source:Projected from Arabidopsis thaliana (AT1G27600) UniProtKB/Swiss-Prot;Acc:Q9SXC4] MASIRRPHSPAKAQHLLRHHHPFATASPPSSPLRHASSSSSAAAAASSSSSPRRPGYPHPFLFFTRRPLPRFAAFFLLGSFIGLLHLLSHLPLHHNHPTHPSSSSHLNHLQQQQEEEQPMTQQQQQYLADDAAGGGEENGNKLLIVVTPTRARASQAYYLSRMGQTLRLVRPPVLWVVVEAGKPTPEAALALRRTAVMHRYVGCCDGLNASADLRPHQLNAGLEVVENHRLDGVVYFADEEGVYSLPLFDRLRQIRRFGAWPVPTISEGGHDVVLEGPVCKQSQVVGWHTIGDANKLQRFHVAMSGFAFNSTMLWDPRLRSHQAWNSIRHPEMVEQGFQGTTFVEQLVEDESQMEGIPADCSQIMNWHVPFGSESPVYPKGWRATANLDVIIPLK >SECCE6Rv1G0381000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:28755932:28757287:-1 gene:SECCE6Rv1G0381000 transcript:SECCE6Rv1G0381000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPQAKCPPAAPTTIQDLDDDLLREVFIRLPALPSLVRAALTCHAFLRAVRSSPAFRRRFRDLRPSPFVGLFVQRLIEKWEPGVPSFDAHHRRPDRDFAAAVRGGDFALDGLPDPDADNEDRGDDDEEDSNDAEDEEAESIEDKDEDKDEEDKEDPSPVWEIERCSDGYVVLFNRRAKQIAAYNPLTRALHLFPSPPGIFKSALTFQFHIISASEEHPGSPPRVVCFYCGYLYATVGVISPDSTEIDWQIFPEALIPGAVGATGKMVNGSLYWTHPGRLYITVLDTATLQYSRMDLPPLLAVEEGSNRDCDFVLGNTKDGRPCIVSPDPWGGCELMVFFWRPDEYDGVERWKLDQEFKLKTIDRLTEVEDDAYVVVHVMDVTDGIVYLRTAYDGYTEVPQLLLSFCLETAELKKICEYDHKLHPYVMAWPPSLVGAHDKVSPYICM >SECCE3Rv1G0189930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:732792758:732793489:-1 gene:SECCE3Rv1G0189930 transcript:SECCE3Rv1G0189930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPVSMSGKASDPGSAWFGGGSRSPQPGPTHNVHLIAMAVAAFVSVLGLSLLLHLYICRVRRRNRRQAEAAAAALEAGSAAPKPAKIGLDPSAIAALPTAAYKETGEPGSGASECTICLGAMQEGEAVRVLPACAHVFHVPCVDTWLASSSSCPVCRALVEPPPSPAAPAWVQAKQGLEKECAASGSSAPPCGLGASLMRMLNRERPMARRPTQGDHVHPVDMHVEDLESQLPQLQHSVDSN >SECCE6Rv1G0399110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:431265375:431272353:1 gene:SECCE6Rv1G0399110 transcript:SECCE6Rv1G0399110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRPRKLGLWAALLAAVALLAVGGGGGVAAAAGAEEAYVTLLYGDEFVLGVRVLGKSIRDMGTRRDLVVLVSDGVSDYSRKLLEADGFIVKHITLLANPNQVRPTRFWGVYTKLKIFNMTTYRKVVYLDADTVVVKSIEDLFNCGKFCANLKHSERMNSGVMVVEPSETLFKDMMNKVDSLPSYTGGDQGFLNSYYAEFANSRVYDPNKPLTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVAVWQDVRQNLEESLPGTGGGKNPRDQLVVKILFILPFCMLLCGYYGSCFQTNKELLSMRTLCAFARQARYKCKSEEALPSYSTVGVASSSFGISNQKLSTGAHLKLPSYFGAIAVVVCFICALISLAFAFLVIPRQVMPWTGLLLMFEWTFVTFFLLFGSYLRFVYKWGSFSANHAGYGSLDSSENHTGTGHQWNTSDCDTASAFYWMGMATIATIAPLSPTVLGITAIFAKLGLMVVGGVVLASFMTYASEHLAMSAFVKGQRGRSKIPER >SECCEUnv1G0530080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:10637329:10638291:-1 gene:SECCEUnv1G0530080 transcript:SECCEUnv1G0530080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRCLMVVTSILAVMTIVFGIISAVLLAMKHHQDDHGEFSTYRQSPAMPCGVVAAILASMTQILASMTICCFGAWRLTKGAKRIAAMVFFITSWVLAIIAVLLFLAGAMLGFEGSAKKTVGNARIVGGVAIFVIATFLFIVVAALEVASYRLVRKKAQYQAYVGSNPPMVPTASKVDGPNAYAPAPPNHV >SECCE2Rv1G0082420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:151106014:151108129:1 gene:SECCE2Rv1G0082420 transcript:SECCE2Rv1G0082420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGVRALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRTDGIYIINLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWEIMVDLFFYRDPEEAKELEDDEALGAPEYAAVAEYTAPAGDTWGAEWPGAAAPAAAVEAPAGAEWTGAPAPAADGWDAVAAPAPTGWEQGSAPAPAAAPAATPNWE >SECCEUnv1G0527410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1210122:1211457:-1 gene:SECCEUnv1G0527410 transcript:SECCEUnv1G0527410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 9 [Source:Projected from Arabidopsis thaliana (AT5G24580) UniProtKB/Swiss-Prot;Acc:Q9FLU5] MGEQVATKEEANNKEESPAAAAAPEAAPAPPEEKKDEAPPADQDPNKEEAAPPPPPPVPVPVILGVEVHCTGCAKRIRRSLLRCKGVEGVHVDMPANQVTIKGAVDPQALLARLRAKTKRDATLISPLPPPPPPEGEEPPPPAPPLVSEARTVELLVNMHCEACAQQLQSKMMKMKGVVSAQTDLAAGRLTLSATVADEKIVEYIHRRTGKIASVVPPPPPEPPKEEEPPAAAAEPSKEEAPAEAGEKKEEGGDKPAEDGKGAAESGEEKKEGAGEEDQKPGKQEGVAVDGFPPEEMMKRMVYWPYGGAPGGGIHYKLHPADAEEAMMARRMAMHAMPPPLPPPPPHHHHHNPYAMMHQQWAPPPPPAMPMYNSYNYGGSSYMMERPPQMFSDENPNACVIS >SECCE5Rv1G0327540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:493192191:493196365:-1 gene:SECCE5Rv1G0327540 transcript:SECCE5Rv1G0327540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQPLADVEDGLLAHLNANAEIPDSRSFASSLGVPHKDVEDVIKRLSAFRIVESVDIAKETWMLTDEAKGYAARGSPEVQLVAAIPPEGASKGALKEILGDVFDIGMKAAAKNKWIGFEKGNKDLVLRKVEETKDELQEQLRRLENGEVIADKVIDDLKRRKLVTKEKSIWYSLKKGPEFVAKRKTLATDVTREHLKSGDWKDLEFKDYNYGAQGQPIAIGYSQPLLEVREAIQNIFLEMGFSEMPTNMFVESSFWNFDALFQPQQHPARDSHDTFFLKAPATTTQLPDDYLEKVKQVHQSGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLAQEKAFTPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSRLGVSKLRFKPAYNPYTEPSMEIFSYHDGLKKWVEIGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMIMYGIDNIRDLFGPKVDFNLIKRSPICRLGL >SECCEUnv1G0529570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8632515:8635034:-1 gene:SECCEUnv1G0529570 transcript:SECCEUnv1G0529570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLYILLGLLLLYTPLWCFSAAPYEDSLTAGQALAVGGKLVSRNGKFALGFFQPAASNISKSSYNATSSWYLGIWFNKIPVFTVAWVANRDQPINNPSVNLSQLKISSDGNLVIVNHAAKTESVVWSTHIVNRKTNSLNTTTSSSVVLLNSGNLALLANSQEMLWQSFDYPTDAGLPGSKLGRNKVTGFSRQYISKKSLIDLGLGSYIIELKDTSGIVLKRRKNPLVTYLTYATTGSSSLIPILKSLLDLNPRTKGLINPIYVDNNQEEYYTYTLLDESSTSMFVSLDISGQVKLNIWSQANQSWQTIYSEPADPCSPPATCGPFTVCNGNAHPSCDCMESFSHKSPHDWEFEDQTGGCIRNTPLHCSTSGNNKNMTSSSDMFHPIARVQLPYNPQIIDVATTQSKCEEACLGSCSCTAYSYSNSRCSVWHGELLSVNLNDGNDNNSEEVLYLRLAAKDLLSSSRKNERKPNVGVVTAASIIGFGLLMFMLLLLVWRNKFKWCGLPLYGNQGSAGGITAFRYSDLVSATKNFTEKLGGGGFGSVYKGMLSDSMITIAVKKLDGDRQGEKQFRAEVSLIGLIQHINLVKLIGFCCEGDQRLLVYEHMFNGSLDGHLFKKSNIDAAVLGWNTRYQIALGVARGLSYLHQSCRECIIHCDIKPENILLDESFVPKVADFGLAAFVGRDFSRILTSFRGTAGYLAPEWLSGVAITPKVDVYGFGMVLLEVISGRRNSSPEESCNTSSSSNPNVKYFPVQAISKLHAGDLKSLVDPQLHGDFNLEEAERVCKVACWCIQDNELDRPTMGEVVRVLEGQQEIDVPPMPRLLAAITEQSGAPILM >SECCE4Rv1G0270580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755815768:755817203:-1 gene:SECCE4Rv1G0270580 transcript:SECCE4Rv1G0270580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFPDWHHVRLRSRALGGYLHAADDGESVVLRRGRASLNAAWAVHLRPDSGRVVHLLLHSAAYGRYLASTDAPAPPGHRGSRAEQRDYDHPVVPEIMWEAVRAGSQGDVLLRNVGGRYLRANGRYRRWNTGVSVDGDYVSTMARWAVERIPRREEAPALPPPIPIRVLGRFSGTIFGRQEPGAWRTIRFFTENGFTQGLYPENGWNAFPFLGRSVFHLNEELNIRVGVLNGRPAPRLLHAVPSFREEDLPDGFVICVRAGRHGRLTPLVVDLPRGGDGETLEIIVALSGTQLYHELRHPDVDAP >SECCE7Rv1G0470660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:123384740:123389628:-1 gene:SECCE7Rv1G0470660 transcript:SECCE7Rv1G0470660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPPARRSVFDAAYIRAEFDAAGISPTFIPTIWKYVLQNPRCTDLDGVPSLSAAAYALLRNKFRPTTSTLTAAAESKDRTTTKLLIRLQNGESVEAVIMRYDSRLGKYDGKTRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHATRYSQIRNIVFMGMGEPLNNYTALVEAIQVLTGFPFQISPKRVTVSTVGIIHSINKFNNDLPNINLAVSLHAPDQDIRCHIMPAARAFPLAKLMNALQSYQNASKQTIFIEYIMLDGVNDQEEHAHKLGKLLENFKAVVNLIPFNPIGSASTFKTSSEQSIKKFQKVLRGIYSIRTTVRQEMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDLRI >SECCE6Rv1G0384510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:64305032:64310404:1 gene:SECCE6Rv1G0384510 transcript:SECCE6Rv1G0384510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLHLRLNRTQRVRLEAALHALQTLAPADAAAVTFADNIPVNPEDTILKGHGTSDQDGELVATVCGVVERVQNLVCVRTLRARYKPQKGDIIIGRVSEIASKRWRLETNFSQGAVLMLSSMNLPDGIQRRRTDVDELNMRSILEENDLVCAEVRHIQHNGSLDLQPRSQKYGKLQRGQLLTVPAYLVKRRKQHFHHLEQYDADLILGCNGFVWVGEHVVVDEETNSNEDQHKLSTEVEAFTPLETRRHICRLANAVRVLSALGFTLTVELIIQTAEASLSSNVEINNMLGAEFYVQTAEREAKRRVDLSRRMNGPR >SECCE5Rv1G0335200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:571246915:571248694:1 gene:SECCE5Rv1G0335200 transcript:SECCE5Rv1G0335200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSRSISSGKSRAAAQRPPLQEAGSRPYMPSLSTRNPSAKCYGDRFIPDRSAMDMDVAHYLLTEGKKDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVFAADTVSSHQAKPAKQKRYIPQSAERTLDAPDLVDDYYLNLMDWGSSNVLSIALGDTMYLWDASSGSTSELVTVEEDNGPITSVSWAPDGRHLAIGLNSSDIQLWDTSSSRLLRTLKGVHESRVGSLAWNNNILTTGGMDGRIVNNDVRIRDHAVQTYQGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASASADETLRFWNVFGTPEVAKPAPKASHTGMFNSFNHIR >SECCE1Rv1G0030020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:422942604:422944727:-1 gene:SECCE1Rv1G0030020 transcript:SECCE1Rv1G0030020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVNALGERLKISGAEVSRKMSTGVTSMSFKMKEFFQGQNMADKIVDEATLETMDGPDWATNLEICDMANTERVNSVELIRAIKRRIMLKSPRVQYLALVLLETIVKNCEKAFSEIAAERVLDEMVKLIDDPQTIVNNRNKALMLIEAWGESGEELRYLPVFEETYKSLRSRGIRFPGRDDESLAPIFTPARSVPAAEPYSDAAQDGYQEIPDESFAPVRPAPSVQVDEAFEVARNSVELLSTVLSSSPQKEALEDDLTTTLVQQCQQCQYTIQRIVETAGDNEAQLFEALSIHDELQKVLSKYEDLKEPIVAEPEPEPAMIPVTVEPEESPRAVGKDTPARKSAGSGGRSSGGDDLLQDLDDMIFGKKAGTSSQQERTPRKEQKDDFIGL >SECCE1Rv1G0030990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:437614775:437615242:-1 gene:SECCE1Rv1G0030990 transcript:SECCE1Rv1G0030990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNVQKESTLHLVLRLRGGTTIKVKTLTGKEIEIDIDPTDTVDRIKERVEEKEGIPPVQQRLIFAGKQLADDKTAKDYNIKGGDVLHLVLALRGGGCC >SECCE3Rv1G0177020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:454159832:454194355:1 gene:SECCE3Rv1G0177020 transcript:SECCE3Rv1G0177020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQDIGVSAAINILSAVIFLLAFAFLRLQPINDRVYFPKWYLKGSRQSPSHGGAFVRKFVNLDMRSYLRFLSWMPAALQMPEDELISHAGLDSAVYLRIYLTGLKIFVPITILAFLVLVPVNWTNDTLEGLKVEHSDIDKLSISNIPFGSKRFVAHLTMAYVFTFWTCYVLLREYEIVATMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELAEHFFLVNHPDHYLTHQVVYNANKLAKLVKEKKKMQNWLDYYQLKYERNTSKRPTVKTGFLGCFGSKVDAVEHYVSEIERIEKEEAEEREKIVKDPKLVVPAAFVSFRTRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPIVHLTVRRLIVAVAFFFLNFFYVIPIAFVQSLANIEGIEKAVPFLKPIIEMPAIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSVSSLERRSASKYYIFLFFNVFLASIIAGSALEQLQTYLHQSANQIPRTIGVAIPMKATFFITYVMVDGWAGVAGEILRLKPLVIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAVVTPFLLPFILVFYVLAYVVYRHQIINVYNQEYESAAAFWPSVHGRIIAALIVSQLLFLGLLSTKGAGQSTPVLLVLPVVTFYFHKYCKNRYEPAFVEYPLQEAMRKDTLERAREPGFDLKTYLASAYIHPVFKGDDDDEKFSMMDEAEADQVLVATKRQSRRNTPVPSRHNGSEAPSLPEIVNDQQL >SECCE3Rv1G0169500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:237694818:237695060:1 gene:SECCE3Rv1G0169500 transcript:SECCE3Rv1G0169500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSIGAEDATIALARAVVGIGNVFSSLIHSMARNPSLAKQSFGYTILGFALTEAIALFAPMMAFLISFIFRSHKKS >SECCE2Rv1G0084440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:174852252:174855752:1 gene:SECCE2Rv1G0084440 transcript:SECCE2Rv1G0084440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRHRARPASPPAALEDDDLLRKIFLLLPPQPSTIPRVSAVCKQWRGGVTDPRFVRTFRDHHQKPPVLGLVMGGNGEPFFRSSLSPPDLIPHEHFFPPYILNLYMELMGCRHGRVLFFDRRLLEVMVWDPATGCRRCVAVPPVFDDKEIIVFNGAVLCAAGDAGHVHGDCHSSPFQVVLIGIHRDHKRAFASVYSSGTGIWGDLISADAIRLMYDLTDPGTFIGDFLYWVFDGYEGGILQFHLNRQSLVNIEMPDLTYYSCISSFQIMPTDDRSSVGLAILEYQKLEMWERKVDCDGVSGWVLQKTFQMNEILGLGRMGGRDNLMLGYDEDDHAIYVRTDIGVCIIQLETMQFRNLGKDNFTTTAYYPYKSFYTAVSDLSVCEKGVGGISRSLAKDGSIMVPASRGAGPVGDNAATASTRTILEGDMLDKSNSRVQGIDAGLVPSRILMQTRIDVIFKKETETRSKLSKAWAKWFRSNGVPESKADCPHFRSAMKLTQQLGTRLPVPSGDELGGVSLDAEEELA >SECCE7Rv1G0457990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19604061:19605982:-1 gene:SECCE7Rv1G0457990 transcript:SECCE7Rv1G0457990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRAAAWEQGGEEYDYLFKVVLIGDSGVGKSNLLSRFTKNTFALDSKSTIGVEFATRTTQVEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKAATFENVKRWLKELRDHADSNIVIMLIGNKTDLRHLRSVAADKAAGFAEWEGLSFVETSALDATNVDKAFQTVLAEIYRVVSKKALSSTDDSGAGAVGEGQSILVSGGEPASFSSRCCSF >SECCE5Rv1G0354820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727081677:727084639:1 gene:SECCE5Rv1G0354820 transcript:SECCE5Rv1G0354820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALVDGEKFSPSCSTLVMPALSIGNVGQLAVDLLIPSAKARRVAYLDEPSVLPCIGNDAFGPDAVGDLALALEEYESMSHGLAFIQQRSPIVTGMMVSFAKNVANFISSIGKDHVVILSSLDSGKRRVIDASSDMLYYLSSCNEDGSDPECEKLGWKKLEEYDPSQRLWKCLASLIEGGVLSEDMPDDPEEMTASDYYATLPFAALFFACKAKGLKVSCVLCYCSEGDNMPESFHLAEAVCKLRGQDPEHFHGNGSNGWTIPLSWKSIYGPPPDMSIF >SECCE5Rv1G0356970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742794602:742795622:1 gene:SECCE5Rv1G0356970 transcript:SECCE5Rv1G0356970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRPDYPCDDNHKHVSEDLIVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQRAIKRCKHFEIGGDKKGKGTSLF >SECCE4Rv1G0230040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:157620790:157621455:-1 gene:SECCE4Rv1G0230040 transcript:SECCE4Rv1G0230040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSKSSSPMASSKPPTPSSALHHQARRRRRRCLVATTAVLLALAVVLAVLFLTVLRIRDPTIRLVSTQFVGAAPHFALLPTPSLRLNLTLLITVSVHNPNPASFTYVDGGHTDLSYRGAHVGDAEIDPGAVPSRGDAEVRVALTLEADRFVAAGEVRQLAEDVESRALPLDAATTVPGTVLLFGVFRRSAVAYSECRLVFAVMEMRVQSHQCNSRTKL >SECCE7Rv1G0463810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:57323768:57324852:1 gene:SECCE7Rv1G0463810 transcript:SECCE7Rv1G0463810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGRLVLVAAVLLLLCGGTALGAKRAAIPDDLRDVVDDEEDEEWRHWGAGSRTREVPERPPPDLSRMDPAALRAEILRGHGGPSLGFVKLRPGVRRTREEVGGIATRWSNVLRTGAVAAKFVAVDFGTLMFTMERGRDMRELKEFILGQPEAYEFKIGDQFFRRPGDPPLDQLIEMLRKQRKKEDEL >SECCE2Rv1G0097240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:455783232:455788460:-1 gene:SECCE2Rv1G0097240 transcript:SECCE2Rv1G0097240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGLVDWRGRPVNPKKHGGVRASIFIHALVLLSNAANIANIMNLVIYLRGTMHMGVAEASTTASNFFAALQMFSIPAAFLADSYIKRFYTVLIFGPIEILGYILLAVQAHVPSLHPPPCSPTDPQTCETVRGSNLSLLLLGLYLIPIGDGAARACLPALGGDQFDTADPVEQQQETSFFNWYTFAVSSGGFVGLVLVVWVEDRRGWDIGFTLCALCVLLGMLIWMAGFPFYRNQLPGGSAITRILQVLVVAFKKRNVQLPDNASELKQLNQDDHNVLEELQRTDGFRCLEKSAVETGETGPWSLCTVTQVEETKIVLRMVPIFLSAVLGYIPVPLILSFTVQQGSTMDTKLGGVHISPATLFVIPTVFQMVILILYDRFIVPSLRRITGYVGGVTHLQRIGIGFLSATVATGVAALMEAKRKRVAEDNGLMEATTGIPMSVFWLTVQFFLLGVVDVTSFVGLLEFFYSEASTGMKSVGSSIFYCILGVSAWLGSLLIQVANRVTRRADGTGGWLDGTNLNMGKLDNFYWLLAVLELVSLFIYTFFARRYVYRNEQRVVDVVDTKVPMDGATFGDVMI >SECCE6Rv1G0424470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:700667940:700668461:1 gene:SECCE6Rv1G0424470 transcript:SECCE6Rv1G0424470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQESSSSSSSTQAAGAGAAAQCANGCGFYGSAATKNMCSKCYRDHLKATDMAAPGPVEGKTKVKADDVANLAFSLKTSLSLQDQSAASAAEAPAAEAPAAEAPAKKPTRCMACRKKVGLLGFACRCGGTFCSLHRYVDGHACDFDYKKAGREKIAHQNPLVVPSKIHNKI >SECCE7Rv1G0504150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:720067339:720068031:-1 gene:SECCE7Rv1G0504150 transcript:SECCE7Rv1G0504150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALATLSATAAAAGARRVLLSRGPSSSLSFASRRLASAGPLRAAPLPRAATRALSATASPAAATAIAVGDRLPDATLSYFDAPDGELKTVTVRDLTAGKKVVLFAVPGAFTPTCTQKHLPGFVAKAGELRAKGVDTVACVSVNDAFVMRAWKESLGVGDEVLLLSDGNGELTRAMGVELDLSDKPVGLGVRSRRYALLADDGVVKVLNLEEGGAFTNSSAEDMLKAL >SECCE2Rv1G0140960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:933715238:933716361:1 gene:SECCE2Rv1G0140960 transcript:SECCE2Rv1G0140960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAAALVLLAMVCAVQSSLSAAAAAGGLSPDFHAATCPSLEQIVASHVGEAFKNDSGVAPALIRILFHDCFPQGCDASVLIEGPGSEQKERPNTTLRRVALDLIERIRVAVHHACKATVSCADITVLATRESLFLAGGPRFDVTLGRRDSFFPASPEQVDLLPGPFFDVPTLIESFRNRSLDVADLVSLSGAHTFGVAHCPAFEDRFNKGFDTNPAIDHAFATTLKKNCDKDFPRGTVEQNLDRRTPDIFDNKYYFDLVARQGLFKSDQGLIDHPATKNMATRFSLNQGAFFDQFAKSMAKMVNMDVLTGNKGEIRANCKARGKPPRIEAAATGDDEGIAADM >SECCE4Rv1G0262940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:713710921:713712356:-1 gene:SECCE4Rv1G0262940 transcript:SECCE4Rv1G0262940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLLVALVMVSACFLAVSGQKFNAIYSFGDSMSDTGNLCVNGPPAGLTLTQPPYGETFFGRATCRCSDGRLVVDFLAEKFGLPLLKPSKQGGADFKKGANMAIIGATAMGSSFFQSLGVGDKIWNNGPLDTQIQWFQNLLPSVCGSSCKTYLSKSLFVLGELGGNDYNAQLFGGYTPEQAAGQSPTIVDAIGAGAEKLIGLGAMYVVIPGVLPVGCFPIYLTLYQTSNAGDYDQYGCLKRFNALSARHNSLLQSKVTSLQSKYPYAKIMYADFYSHVFDMVKSPATYGFSTNLRACCGAGGGKYNYQNGARCGMSGASACGNPSSSLSWDGIHLTEAAYKKIADGWVNGPYCHPAILS >SECCE4Rv1G0248240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:569363052:569363736:-1 gene:SECCE4Rv1G0248240 transcript:SECCE4Rv1G0248240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLLSVAVACVLLAGAVSASSSSPPRVFTVGGVERGWRQPAPGEETYNHWATKNRFHVGDFLHFRYEKNDSVLVVTRDDYKRCGADRPVLRLEGGEARFRLERSGFLYFISGAPGHCDAGQRFTVRVMAERDSGAASPTEAPAMSPGGSFNSTPGSGSGSARMPPRGNAGDGKTSDAAPFGAYHAVGAALGVGAAVLVFA >SECCE7Rv1G0459100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:24269993:24270859:-1 gene:SECCE7Rv1G0459100 transcript:SECCE7Rv1G0459100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCWLMLLFLVFLLPATSAASCHTDDLRALRDFARNLTGGGVILRAAWCGTSCCRWEGVGCDGASGRVTVLRLPGRGLAGAIVGASLAGLAMLEELNLANNRLIGTIPSWIGELDHLRYLDLSDNPLIGEVPRNLIQFKDITIAGRSLGKAFTNMPLYVKRNRRTLQQQPQPNTISGTNNSVRSGRTNVVSGNDNTVISGNNNNVSGSNNTILTGSDNTVVGSNHVVSGNKHIVTDNNNAVSGNDNNVSGSFHTVSGSRNTVSGSNNTVSGSNHVVSGSNKVVTGDE >SECCE2Rv1G0139910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929328144:929328371:1 gene:SECCE2Rv1G0139910 transcript:SECCE2Rv1G0139910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPMLETVKIRGCWSLKRLPAVGENTKPPKVDCEKDWWDSLEWDGLEAKHHPSLYEPIHSAYYKKKLPRVSLLR >SECCEUnv1G0527060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:11347:14344:1 gene:SECCEUnv1G0527060 transcript:SECCEUnv1G0527060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAAAAAAGSESSSSSSTTGAAAAAALPVGFRFRPTDEELVRHYLKAKIAGRAHPDLLAIPDVDLAAVEPWDLPARSVIKSDDPEWFFFARRDRPKYPGKSSRSCRSTAAGYWKATGKDRLIRAPGPGGCRGKGALIGVKKTLVFHRGRAPRGARTPWIMHEYTATDPQSQSQSAGPQNDSFVLYRLFNKQDEETPAPISEPLANPLLQQADDTASASMVQDKASPSDSSQLTPTNATTTDHSSTAHLPAAAGDADADAEQAAFIASLEQLPDIQLQAEQQRYDEFPIFNSPMRPYTDFPFIGNMGDEQEDLSMYLNNFIGEQDVSNPAHDETGVSNPTGSWTPPYPQDMLDMINSIGQDMGAAPWTQQQQQPTAPAELMDPQQGIAARRIRLAYAVERASAWSQPILACDSESEEGEGESAGCSTESSSSNHEEEDHVDDALFQTMAGDVMHNMAPAQALLVSPLEVADKLQHLSFNLNDKSILEEEEEDDAKPRRGAGLKQRVKQDSGQNGHNQDGLVRNSGCLPDPGDSSSRPAVRRSLWIWLALLVMAIPLCLCLCLSWLVSGGE >SECCE6Rv1G0400240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:448389023:448391571:1 gene:SECCE6Rv1G0400240 transcript:SECCE6Rv1G0400240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHICMDQGSQLGMAYCLPNLSVPDHYYTTPIPLSPLQLPFHPKPLQMPFDQEEALMLSSDHCGLYPLPALPFSGGHSASASAVVCEKPTVGFMPNIGAEELDTSVTARVGYEGATACNGYSSNTWWRGSTMLAGEKGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTHSNCRVKKRVERLSTDCRMVITTYEGRHTHPPCDDNSSSSGDNTTTCF >SECCEUnv1G0542230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98600595:98601410:1 gene:SECCEUnv1G0542230 transcript:SECCEUnv1G0542230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIHFSFELLIFWNFAPETFLGEVRICSVRILIGLGLTWFTRYWFPEESISPLAKPFITLPLDSYFVCTQSTEAPPTYVATSSIACSYFVFPLISHQIWCFSIPSCYGEQRQKYNRILHLSGSRFSLFLLLTPPRVVPNVWHFPYFVGATSTNSLMIKLQPKIYDYIMLTVRILFIPSVCSQVPVIVICLPEPRGLSVETFTSNRRFLMVFPLFTAALSTPPDIWCQTVAPFLIYSIIEFAIFVALIVQVREEGWTSRMRESGSIEKKEE >SECCE5Rv1G0355230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:730717791:730721813:-1 gene:SECCE5Rv1G0355230 transcript:SECCE5Rv1G0355230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRGLLLLRLLLLALAPLLLPLARPQPLPRQDHAALYGLRASLGVRARDWPAKADPCAAWAGVACRAGRVAVIRLGGLRRTRVGERSAAFAVDALRGLPALEEFNASGFPLPGRIPAWFGRGLPPSLAVLDLRSAGIDGELPLYLGMSGNLTTLVLAGNSLSGPIPASVFSSKALRILDLSNNNLTGELPDVPASAGDIAGALFNASGNSLYGAIGLGSLKKRFEEVDVSSNYFGQAATAGFQNGSGGTVYVKMNCLPGVPGQRSPGDCEDFFQRNGLPLPEPPQASPSPGKKGVRWRHVLAGVLGAAAIVFVLLLAALVFCLVRRGRRRPRGRGLEQNDEGIRSGRKSSSVNPMVMSPSRAANSPPKGLPVVVDELTYEQLHHVTGGFGDDNLVKHGHSGDIYRGVLESGLNVVIKKVDVKSTKKNIAELSFLVKKSHARIVPLLGHLVKDDEELLVYKYMSKGDLTTALHRKPADAEVGLSSLDWITRLKIAIGVAEALCFLHDECSPPLVHRDIQASSVLLDDKFEVCLGSLSEICLQPSDGSRSFFSRMLRSSKSLDKNMLGPPASRTYDVYCFGKVLLELITGKFGVSGSNDTDSEEWLASTLDYIETHDKESVTNIVDPSLVVDEDHLEEVWAVSIIAKTCLNPKPSRRPLARYILKALENPLGVVREELFPSPSPARLTSTSSRSSWRSAFHGHSYRYSEVQASGKALTHRQSAKSEGSDEEENSFSFKKASQEMLPDKVELEDRAVM >SECCE3Rv1G0181230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:607856861:607864128:-1 gene:SECCE3Rv1G0181230 transcript:SECCE3Rv1G0181230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDSAAGSGSYKRAAAPAPVPRAPAGGGGAARRPGVRSRLARFVLVDKVDYLQWIGTAAAFFFVTILVFTFLPGSLVVDNPTMLLPSRRAGGGGGRGRAEAVLPRGLGGLETGEGLTLEPTRLREKWARERSADAQSLAELGRPVKRVGARKPRLALVFGDLSPDAMQLQMISVASVLEAMGYEMKVFSFEDGPCSSIWRTIGIPVEILPVDPKLLTSIDWLDYDGMLVNSIEARPVFSSLLQEPFKSVPVIWTVQETSLAHCIREYKSSGMTQILGGWQEVFSRANVIVFPNYILPVMYAAFDSGNYFVIPGSPAAAFQADRFIAKNYDKDVRISLNLGPRDFVIAMVGSQFSYDGLLMEEALVLQAVGPLLQQYPSENSTQTELKVRILTGNQSDKHRIALEAIALNVGFSRGAVEHVAVEDTGNLLAIADLVIYCSCLDEQSFPSVLVKAMILEKLVIAPDLGMITKYIDNGINGLLFPRKNIAMLSQVLLQVVSNGELSVLGKNIASVGKARAKDLMASEAIEGYAVLLENVIKFPSETLTPLTAGEIPLPLKQEWKWHLFEDVKHLYNMNESLTDCKMPQKMDWHRDRKDNPHSITPKIDETFSAIAWKEERENGIMSTKMKLEEEYLKERSDQPHGTWEEVYRNVKRVDRMKNELHERDEKELERTGQPLCIYEPFYGEGTWPFLHQSSLYRGIGLSTKGRRFGADDIDASSRLPLLNSGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRVTARKVNLSKNAESAMLEAIQTQKHGDAFYFWVRMDQDPRNHANQDFWSLCDAINAGNCRLAVLEAFQRMYGLQLDGDLNSLPRMPNDGDTWSVMQSWVLPTRSFLEFVMFSRMFVDALDAQMYDKHHQTGHCILSLHRDNHCYSGVLELIVNVWAFHSARRMVYVNPETGAMQEQHPLEGRRGQMSIQWFSYATLKSMDEDLAEEADADHPNRRWLWPQTGEVVWQGLYERERTMRQQEKERRKQQTKDKIQRMKKRARQKTIGRYIKPPSDDAGHLNDTRTVD >SECCE6Rv1G0449140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:860274745:860274978:1 gene:SECCE6Rv1G0449140 transcript:SECCE6Rv1G0449140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPKRNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRIGL >SECCE5Rv1G0332850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:552741542:552744414:1 gene:SECCE5Rv1G0332850 transcript:SECCE5Rv1G0332850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEGTQSMKQINEKCIINRLPGDLIERVLLRLPVSTLLTCVGVCKHWHNIIRDPQFVALHLQCAPSYALVFFPPGMVSGKHYPSDAVLIDEAWSPSTYAVPVIGPDDSIFGSCNGLLGLYTKTSAIKIANLATGECLHLWKPAKNVKGDHFCFYSFGFHPVTKEYKITHFLGDCVNGRPHNKDRFNIIQVYTLGDEKWKDIRTPEALSLISVRNSGVVNVDGKMYWLTEDMLASWQHAVMSFDLEEESFAMIQLPAARQDHDYYGPRKFLIRDIDGKICIVTAQTSRYDARTLVGELQIWTLDNMVKQRWSQKYNIKHPPYYILGPHFVHRDRILAQHGSNNVCSYELLGENFEIDSSKMVKLLDFSARRHKSLQSHNCVKSLVRLDVYKNAEIVRRPKQQEGWELKKREAWEHVLSENEKLWSDIHRVELHGIACAQQNGIRFNDILQHILDDAIRREIGMKINQIFPNFPEQQPRPLRYLNCVAQKLDQDNLIARVNNSKTIIKAMMQTTNSILDMIDSAVADQKRASSSNAGISSQNHSERDDAKT >SECCE1Rv1G0037390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:522193066:522196281:-1 gene:SECCE1Rv1G0037390 transcript:SECCE1Rv1G0037390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Regulation of endoplasmic reticulum stress respons [Source: Projected from Oryza sativa (Os05g0411300)] MAEPALLDPASHFDLRHYPPGLFDPDLHLTDDDLPLGNFGLGAAGDCDDLNFDLPADFSVEDFLLRSPERSDSAAGSGPTASSSSPSPAASGTGSAVADASCEVKHEESDEGRSAAAPSWGLKRKPASPAPSSEAPKCRRSGDGEVSPSASASRAAVDSDEGGAVGEGEDTRRAARLIRNRESAQLSRQRKKRYVEELEEKVKSMNSVINDLNSKISFIVAENATLRQQLGSGGGNCAPPGMYPPGAVPGIHFPWVPGYAMRPHGSHVPLVPIPRLKPQQPVAAIKATKKPENKKATESKSKSKTKTKTKKVASVSLLGLMLVMLVFGAFVPGFNHNFGLSGGSDSAMFRNFGQPHDRVVSVNNHGKVPKGGLNNSDTTGVDSGMMMGTDGSADQKNQPPLNSTETLPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHGTSQHNRDQSGIDHKETSTAIARHLSLPGNNMNPQEKSPVDGPLPQWFREGMAGPILNSGMCSEVFQFDISAATNSGGIIPASPAVNSSSVNATRKVPTPAPAYYGKLKNRRIMYNEAAIPLTGKTVNNTEPFNRTSESSKLPDKKPASSVVVSVLADPREAGDGDSDPRMTPKSLSRIFVVVLLDGVRYVTYSCTLPFKSASPHLVN >SECCE1Rv1G0033140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:463113564:463117263:1 gene:SECCE1Rv1G0033140 transcript:SECCE1Rv1G0033140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQQGEMEQAGGVVNGAQEPGKVDGAKNGEAPEAASTPKAATAATPHHRRSKSASSGRTLETCKHVTMEQRFNQAQNPPDPRKSSCSTDGSTVHRAPPRDQRTSTATASPNHRVSLENDVSQLQLHLHQERSIRIMLDRAIGRASSTLSPGHRHFPAQTKELIAEIELLEEEIANREQHVLSLYRSIFDQCVSGPSSGQSSGISSPAHAKSISSRTRRRQSSIISSAFCSSKKLPLQPFHIMTSVSESGRTKNMLKTKIKHESFSSETLDVRPASLASDPRKLPYSGSSSLARTLKDHLYQCPSKISEEMVRCMASIYCLLRTDSPEKIEKVRSPFLSRSSTSVILPRRGNSEETNPSSNKCIVEVCSISVEKNQTPDVSVAITHYRLLVEQLERVDLSMSETSIKLAFWINVYNSLVMHAYLAYGIPNSSLKRMALFHKAAYNVGGYAVTANSIEHSLLCCRSPRIGRWFESILSTAMRKRCADEKQLVQLKFGLPDCQPQALFALCTGASSDPTLKVYTAKNVTEELERAKREFLQAGVVVRKSRKVFLPRLVERYAKEAGLPVGDGVLAWARDNLDGRAAQEAVQRCAAAAAAAAGSRRRASQAFEWLPYNARFRYAFARSMVDKQAAAVLA >SECCE6Rv1G0420780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680132852:680138257:1 gene:SECCE6Rv1G0420780 transcript:SECCE6Rv1G0420780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSPPSAVAPARSSSRKRSASAKAAPEADQEASVTKRPRKGTTSGKKKPPAAGKKKQQKATKAPREKKEEKPAPEDEVCAEEPDEEELALGEEDEPSASGEQQQQEEGQAVAAAAKRRVAQPSKKARNVAAGDKEPEFLGEPVPTDEARAKWPLRYQRGSPKRPEDEEDMKARVHYRSAMVDGVVYALGDDVYVMAGENEADYIGRITEFFEGVDKTSYFTCRWYFRPEDTVISRAKFVNDHTHDPKRVFLSEEKNDNPLDCIISKVKIIHVDPNMDPAAKAKLVARTDLYYDMSYTVAYSTFANIPSDTTENSGISTDVDSENGTPVKTASLLDLYSGCGGMSTGLCLGSALAGLKLETKWAVDLNSFACKSLKYNHPKTEVRNEKAEDFLALLKEWEILCKKYVHGNDSDSADAVEEEEDDEPLGKDEFVVEKLLEICYGGSGRKNGIYFKVQWKGYGPEEDTWEPIENLSDCPLKIKEFVQQGHRRNILPQPGQVDVICGGPPCQGISGFNRFRNRDNPLEDEKNQQMVTYMDIVSYLQPKFVLMENVVDILKFADGYLGRYALSRLVSLNYQARLGMMVAGCYGLPQFRMRVFLWGALPTMVLPKYPLPTHDVVVRGGAPNAFSQSIVAYDETQRPTLKKALLLGDAISDLPKVDNYQPHEVIEYGGQPKTEFQRYIRLSRKDMLDHSFGDDTCPEEGKLLDHQPLRLNQDDYDRVQQIPIKKGANFRDLPGVKVGANNIVEWDPEVERVYLKSGKPLVPDYAMSFIKGRSPKPFGRLWWDETVPTVVTRAEPHNQIILHPNQGRVLTVRENARLQGFPDYYRMNGPMKEKYIQVGNAVAVPVARALGYSLGRAYQGEVDAGYDALFVLPDSFTNIGQTGARARASSVGTPAGEVVEQ >SECCE1Rv1G0042940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:586216615:586223036:-1 gene:SECCE1Rv1G0042940 transcript:SECCE1Rv1G0042940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATLHAKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVSRLLIEINEAWKIRPAVDHTVLPKGKAQAKYEAVTLPENVMDMEVEQPVLFTDTDTARFRGMRLEDLDEQYVNVNLDDDDISRADRHHQAEAVNITLVDNFESGLAETDIFNRFERFDIADDDTTVHITPDGFPEAPSTLVPSPPTPEDPPQQQEQCAAPSPIREEPQQGGSLKEQEEQKTKKEQQPTRPAKRKARSKGPQVVMDNQIMIPGNVYQSWLKDPSSLISKRRQVRSKINPIKAIKIGELMDLPPSALLSCSDDSQEIYYPQQLMQLWKECTKVKPPKPSSSSGDKSSSSPSQETQPKNFPPQPQGDQNEMGAQPMDFTDGIEKMRANKSGKFEGVFSGPHGDRSVTPGSPGLSRRSASSSGGSGRGGFLPLEPEILLQSESGRARRRQLSSGRSLGNLDPVEEEFPLEQEGRDFKLRRLSDIEPTPDLMVETEPTQTPFSKQSSPPDHITESIHSYLKQHFESPDAPPFESLSQLTYGMNTSRAACLFYQTCVLATLDRIKVTQVEPYGPILISRGANM >SECCE7Rv1G0504140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:720063894:720064982:1 gene:SECCE7Rv1G0504140 transcript:SECCE7Rv1G0504140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAGGKPTRSASALVADTATGSHHFTIDLYSRTKGIPTGESLKSCPFTVGGHRWLVLYYPNGDQAENAGYISLRLVLAENTSRTVRAQHQFRFAGEAENPALPLALEPLNNFAGLASWGNSKFIRGEALEKSKHLRGDSFGVRCDLIVVSDFRAVETPEPAPPAFVTVPPSDLHQHLGNLLLAEKGADVVFEAGGETFAAHRCLIAARSPVFSAELFGEMKESVDTTAVVKIDDMDAQVFKALLYFMYTDTLPETKREEAGEDAMSQHLLVAADRYNLDRLKLICEDKLCRCIQVSTVATILVLAEQHQCGGLKKACFDFLSSQANLKGAMASDGFEHLSQSCPAIMKELISMLSALVP >SECCE6Rv1G0380880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:27124455:27126281:1 gene:SECCE6Rv1G0380880 transcript:SECCE6Rv1G0380880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITREEERIMRDVEDKEVVAEITMDDRKRSINGRALDLNEDIHIESEEGEVGDEEEEEEEDGGSTTDVAGSRSSSNNSSTNHASETQKGTGGGEHRVRQYNRSKLPRLRWTPDLHMAFIRAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHDGQRIRGAISSVFSPMDFHSMRGDRRFHDMLLQRAAALSSTAERGGFFVSRNGGGGGNTTSRLYGILQHQNRPSPMQSGFKNCSFRNQEWAFDHRDMMARNDVKASSTTPHFFASSSVRRWPLTSAVAGAGEQRRDESFGYFTGHGSGPLSRAMAPATSVGGGNHRLPFRWHGGDGGKGAKATSSDPVMIVQALDSQQQKNLEQQRSLITPADKVRLPAETPELELSLSPATAIDATDGTPSKKRKKNTAASSGQELEHANKLSVSLSLLPPAASMPMQRQEKTRGGSVEAALGQSTLDLTMSIKALE >SECCE1Rv1G0062160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718471781:718473434:1 gene:SECCE1Rv1G0062160 transcript:SECCE1Rv1G0062160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYDKTIATAASLAASLMLVRSLASELLPSEVRDALSAALNSLRSRMTWQHTIVIEETEGWSGNRVYGAVKAYLATRINANIDMQRLRVSSIEEDAKKMVVSMEAGEEMVDLYEGVEFRWFLVTREVKGDPNNGGGGGAREIRSYEVRFHKKQKEKALKEYLPFIVATAKAIKDQERSLSIYMNEYGDEWSPIDLQHPSTFDTLAMDQKQKQSIVDDLDQFIKRKDYYRRIGKAWKRGYLLYGPPGTGKSSLIAAIANHLRFNIYDLELTGVDSNSDLRRLLVGMTNRSILVVEDIDCTIELKQREEDDKEHAKSNSTEKKKAEDKVTLSGLLNFVDGLWSTSGEERILIFTTNYKERLDPALLRPGRMDMHIHMGYCTTEAFRILANNYHSIDHHVTYPEIEALIEEVTVTPAEVAEVLMRNDNTDVALHDLVELLKLKKKDATEIKTESKQAEKKDTNEIKTQSMQVDEKKIVDEIKTESVQVEEKKDDKKVVAKNDFTENGSG >SECCE4Rv1G0274260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783546559:783547743:-1 gene:SECCE4Rv1G0274260 transcript:SECCE4Rv1G0274260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSRLSVVSTSISPVVSGLQGWADLPDGPLHSIVALLGSSIDILAFAATCHSWRAAFCSYRSAYNLCTLIPPLLIRPAGPNQGSSLPSIFPEKCSSVPYNSRYMLRIRKVIDVASKNSALRCQIPQETFENMHFAGTSHGHLICCYRGDCLVVDVFTGAMVSPPRLPFSNGYYGGTLTAPLTSPNSHLLVSTQSSLFDWSVGSDYWQELQLPHGSIYQIVQFNGQFIAMDHDMRIYTLRLAPRLGLREISTEWCSEIEPESFVQARLVVCGIDLLMVDHFVHLSSEDPVCHRLDMSTEPAKWVKVKTLDNWAIFTGGDERSPPFACARPERWGGTSNSLYYAHHSQPWSVHELRGHVDLAPTSHPNFNWRKRFVPTAMAMWVYPSMFYSDGR >SECCE4Rv1G0266300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:730447912:730451450:1 gene:SECCE4Rv1G0266300 transcript:SECCE4Rv1G0266300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRAGSSSNPAPTRRRLTVPLQERPSATPPPAYPGKWRTRPAFSWTVENIYKDFSGRRSALVRALTADQDKLYDLLCEKGKEPMCLYGYPNGRWDLTQPEEMLPPGLPEPTRGINRRPAYVNRSDYMTSVAYHSDSWLMSVTFFLTTHLDANQKIRLFDMVNEMPTVHDEFYRSYGVPWLSRFVQYNANRHSNAPTQENMSNQDVYSITPAEENVQPSQDSMQDLSAPNRDSRKPAEDKEMDNEVTDFCGSCGAPYHANAFWIGCDTCYQWFHGKCVNITASEAKHIEEYKCPDCNREVTGE >SECCE2Rv1G0141480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935774753:935777973:1 gene:SECCE2Rv1G0141480 transcript:SECCE2Rv1G0141480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSIFTDSEIQSDPELSALIGEILSLKRERRDLIGSLTPGISRWVEEISRTATSSVRADEDCKEPFGILEDVEDLGQRMLSMSHFLFCSNSHIPLYKADELVSTSSKLMKISQDLYNSTEQAMDKALSEAAEAEEKRKEEERKEDKKKRDRMRKKEKKKQRQQKEMDEEDKMKRAIMRKKEKDKKKKKKKQQQQQQEEENEEDAQVTSDKITEKMERKEEEDDEETRRQQEVRKIMAAHEFLRLSSSVLARPFCYRNELAMMITEEDEQEYERVMEAERQAAMEAEEAKREAVRKAEKERERRREMSKQRKAEKEAKRKQIPQIVDKEERIVEKEAKRKQIPQNKVPIVEKQERIVEEEHADGQEAKPPAEQLKERMDNQLGLFAGRRRTWEYSSGSKPGQCGQFKDKTLLSPMQFTHCIPGIIPPRAAVIESSLQIYSFKITELSGDLKWPLNVYGVVAARDTVDHNRNLLFSRSSVMYQVLTSENDCSLCLIGPSRAILAVDPVDFEVELRVHDGYDFRSDGINARELICVSNRHEVAPSGEIQRLTFYSPLCRGVLSLERLPSTVQATILSIHVVGEGHPFKSGGEVFCWSSSADGSSTIHENVVLLHSLEGIPQEDDELDLDGYLPLSRNVVSVESGGGLNVVVETYGGSSTSTHVYFPCEYCNISQRTCLVCGSEVEITVAWSRLVRDKMDLLIDGYATQA >SECCE4Rv1G0272710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:771089741:771091262:1 gene:SECCE4Rv1G0272710 transcript:SECCE4Rv1G0272710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNTMHVPVKKDDEVKPPKPVPPPAMFVFGDGALDVGNNAYLPKTETEEGFPPQVSKSSSGRFSNGANLADTVATSIGFEQSPPAYMSLKGGLNMWGANYASAGAGIKISTNGERSISLPKQLENFKVTRGQMENKVGGDAKMRDLLSKSIFLISIGGQDLDPRWNVESGYPREQTELQELMSLYGDFITSLYDMGARKLAIVNVGLIGCMPPPYRYECDQSLNDKATAFDAALKPLMADLASKKSGLSYSIGDFYGFTIAVFANPSNYGLVNTQDSCSQWGYPDWTYCYNPDGYWFWDPEFMTDRAAKLTAAAFYYGPPQFTFPITYKALLEKK >SECCE2Rv1G0124500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833109695:833110825:-1 gene:SECCE2Rv1G0124500 transcript:SECCE2Rv1G0124500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASPATAPSSFPSTRKAPLLSFRASRKLRVSAAAASADPPPAFRSVTAFAPATVANLGPGFDFLGCAVADASLSLGDTVTATLDPTLPAGTVAISGITSPSCPRLADRLSRDPLRNCAGIAAVAALRALGVRSHGVSLSLAKGLPLGSGLGSSAASAAAAAKAVDALFGSLLPRDDLVLAGLESEKAVSGFHADNIAPAILGGFVLVRSYDPFCLVQLPCPPALRLCFVLVSPEFEAPTSKMRAALPKKVTLGNHVRNSSQAAALVAAVLQGDAALIGSTMSSDFIVEPTRAPLIPGMAAVKAAALEAGALGCTISGAGPTAVAVIEGEEKGEEIGRRMVDAFLSVGKLKATATIAQLDRDGARVISTSSLE >SECCE4Rv1G0240100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:437409533:437412927:-1 gene:SECCE4Rv1G0240100 transcript:SECCE4Rv1G0240100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPPLSLPSTSLLRMTTRAGPARQPLPSVRCSAVGEAVAEAAVAGTAEEPLLVSAIKGKKVERPPVWLMRQAGRYMKSYQNLCEKYPLFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIYDPLRTAAAVNEVREFVPEEWVPYVGQALNLLRGEVKNEAAVLGFVGAPFTLASYCVEGGSSKNFSKIKKMAFAEPAILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKETHPDLPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFITKRIYDTVQKAGSEGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >SECCE6Rv1G0406890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:551687726:551690249:1 gene:SECCE6Rv1G0406890 transcript:SECCE6Rv1G0406890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILGRAGTTATGGFGRHHKEASRGGRRRNAVVIAAVTGAAPQEGSLERPAWSGETPVSRLVAALIAFKPLYSLMKVASREVIIRTAEKSNIPWREMTKKVLESDVYEVFERIRDPNIVYPDYYLSPFHAYDEGNLSWLAAAEAEAATLSIAKRAIPEATSIEEANQIVRGNWMNAIEEHHLKYSGENYQINDILDIGCSVGVSTRYLAEKFPSAKAVGLDLSPYFLAVAAQKEEQLSRKNPICWVHANGEATGLPPNSFDIVSLAYVCHECPARAIVGLANEAFRLLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEEALSQVGFVNVCSVLTDPRHRTVTATVPY >SECCE5Rv1G0340790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:618745770:618746435:1 gene:SECCE5Rv1G0340790 transcript:SECCE5Rv1G0340790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINWYYSLVLVVIALASAPLAAVAGDPDILSDFVVPTSMIGLPPMNFTGDFFTYTGFRATMNMTMPMPMPGTQNFTVTKATMMEFPALNGQSVAYAMLKFPSESVNPPHTHPRAAELLLVLDGALSVGFVDTAGKLYTQDLAAGDMFVFPKGLVHYQSNPGQSPAVALSAFGSSAPGTVSVPVTVFGTGVDDAVLAKSFKTDLPTVQKLKAALTPPPKK >SECCE1Rv1G0011950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:84305513:84305779:1 gene:SECCE1Rv1G0011950 transcript:SECCE1Rv1G0011950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMTATAGNGDVWVEKVDKIRYVYNAVTRPSVSPNPRPATVKKKLAAANVAISRKNSGTTLVRGVASPEDIDDYIARKKREFALGL >SECCE5Rv1G0316360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:319650746:319651768:-1 gene:SECCE5Rv1G0316360 transcript:SECCE5Rv1G0316360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGALTSIFSRSSSLASDSSTAAVIPWPWPSCRDPQTDSFRAAEEPFATAAGGSRCGPVAARHRKLVGAPGEMYKTVNSVYIDLDDGESFSCLCAEEEETVVVEDDGFSTPDEWPEAVIRSLSRTTSSTGRFFFDQGPATNSILSAAAASTTGSTPPGEENDKSAALSKKEKPGDGGKSLVVEESVAVPVDSADPYGDFLSSMEEMVAAHELRGWDALEELLVWYLRVNAKHHHPLIVSAFVDLLVRLTASGTANTSTTTRTMTSSSSTSSSSSTTSSTSTTSSSTSTSTGGDGVVVSATATSATGQCDGGNEASAPCSSSSSCVPRDDDDEASRGED >SECCE2Rv1G0096250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:436170168:436171379:-1 gene:SECCE2Rv1G0096250 transcript:SECCE2Rv1G0096250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVAAAAVELGKRGRPDDQDNGDAKRLALVPWPPHQHQQHPSSRIYRVSRGSGGKDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGYDQPSKAVEWLIKAAAAAIDKLPELDAAAFPNYPASANKQSSALQQQQQQLTRSGCSSTSETSKGSVLSLSRSDSRVKARERARDRSASVKDKDDPAVRRRARAPSAQAASFTELLTGLAAATPAAAQHKQHNSWQQQMTASATADYLGFAQPRKSGGPHGMLHTFASPAPHLANIAPVPAQHFSLSAGAGEPQTEMPHFSFSQDHYMPVHASPDYNLNFSMSSGLVGVNNTRGTLQSNSQPHLSVSGHHHHHQQLQRLSTPLDAPHIPFLFSPAVAVASPTTAESHFGAAAALQLWEGFRHSDMKEKGKN >SECCE1Rv1G0052050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:658884711:658885733:1 gene:SECCE1Rv1G0052050 transcript:SECCE1Rv1G0052050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSSGGVGQPKLRKGLWSPEEDEKLYNHIIRHGVGCWSSVARLAGLNRCGKSCRLRWINYLRPDLKRGCFSQQEEDHIVALHQLLGNRWSQIASHLPGRTDNEIKNFWNSCIKKKLRRQGLDPATHKPMAAAESDTATAALPDAEEEDRKPLAAAAEGSLAPKQPAVFDPFPLFADYGAGFADDLGAANAAALYGHQFCGGKEDADEDAGFGAADYSCVLDVPENLGYGESSSNSSNRNYGGEVGSMLDGEVGSVLDGEVLHWAKAEPAFAEMEYEFSLPCQEQSLLANFEFNLEQYF >SECCE3Rv1G0206860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:912342650:912345344:1 gene:SECCE3Rv1G0206860 transcript:SECCE3Rv1G0206860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKKPRLLCLAVAAVLLTASAKKSGDVTQLQIGVKYKPESCTLQAHKGDKIKVHYRGTLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLRIPSKMGYGERGSPPKIPGGATLVFDTELVAVNGRTSAGATTAESDESEL >SECCE2Rv1G0108240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:659251767:659255184:1 gene:SECCE2Rv1G0108240 transcript:SECCE2Rv1G0108240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRRATSSGPGCAPWGRGGGVQSSVERGGRFSVFTAILPSLGEHSHSFRRRRVRRCVVSPYDPRYRLWDNYIVCLVLYSAWVSPFEFGFLQNPHGALAIADNTVNAFFAMDIVLTFFVAYTDKRTYLLVDDPAKIAWRYATTWLVLDVASTVPTELSRRILPPDLRTYGVFGMLRLWRLRRVGALLSRMEKDRKFSYFWVRCSKLVAVTLFAVHCSGCFYYLLADRYPNPAETWISISMPQFHTESIWNRYVASMYWSITTLTTVGYGDMHAVNSREMLFTTFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKYRDKIQAATSFAQRHELPERLQDQMISHLSLKFRTHSEGLQQQETLDALPKALRSSISHHLFFGLVQNVYLFQGVSNDLIFQLVSEMSAEYFAPREDVILQNEAPSDFYIIVTGSVELLEIQNNGAEQLANTARSGQVIGEIGVLCYRPQLFTARTKSLCQLLRLDRANFLKVVQSNVGDATIIMNNLIQYLKEHKGDGVISGIAKDIERMLATGQLDLPITLCFAASRGDDFLLHQLLKRGLDPNETDNCGRTALHIAASSGSEQCVRRLLENGADANARDPEGKVPLWEALCRRHQPVVQLLVEAGADLSTGDGPMYARVAVEEDDAVLLGEIARCGGDVAAACSSDGTTPLHRAVLDGNSRMVRVLLDHGADPEREDAHGLTPTALADRHAHADIQQMFASHRHQDQQGAPKPSSTEEVAPVAPAAPQVTRFRNAPSARVLPPIGSVGSSSSSPSPNRAGRQSNSSSARSTPQRMASFRNSLFGVISSSFHGNRHDGGGGTSFHHRHERNPSSHVRVTISCPEQGRGERRLLVFVPETMLQLLELGGNRFGFAATRVITSDGAEIDDVRLVRDGDHLLLVSDQWAPDTISAHRNQ >SECCE2Rv1G0108830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:668473297:668475907:-1 gene:SECCE2Rv1G0108830 transcript:SECCE2Rv1G0108830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRRGAAVAARTLRAAAASSASTSIHRLPTAGSLAAARNLPTTQFFLIEIRRGFAKGKKSKNDSRSDTVEAAPDIGPTVKSAATAQMETAVVALSRELSKLRTGRASPGMLDHIMVETGDVKVGLSRIAVVSVLDSHTLSVMPYDPNTMKSIENAIASSPLGINPTPDGSRIIAAVPPLTKETMQAMCKVVTKSAEDFKQSIRRARQKALDTIKKSSSSMPKDDIKRLEKEVEELTKKFIKSSDDMCKAKEKEISGS >SECCE3Rv1G0187480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:700982172:700983903:-1 gene:SECCE3Rv1G0187480 transcript:SECCE3Rv1G0187480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGWLNMCFVALCTLLAIWLLKLFGGKKQLPPGPWTLPIVGSLHHVVSVLPHRTMMELSRRHGPLMHLMLGEVPALIVSSAAAAALVLKTNDLVFANRPCSATLDIFTCGGKVFAFAPYGDHWRQVRKICIMELLSSKQVNRMEGIRAKELGDLLHDIAAAASTGTAINVSEKVMALSNNVVSRAVFGGKFPQQEEYLRELDKVFVLMGGFCLVDLFPSSGLVRWLSNGESDMKNSCARMQRIISDIIQGRKAMRSAHVGSSNPDNDDEDLLDVLLRLQQEDSLEFPLTTDTIGAVLFDIFAGATGNTGTLLAWAMSELVRCPETMAKAQQEVWEVLGEDRAVITNSDISKLHYIQMVIKETFRLHPPAPLFRREAREDCTIMGYDIPKGTNVYVNVFAISQDPAYWNNPGEFRPERFENSNVNYNGTYFEFIPFGAGRRQCPGIQFSSSVIEMALTNILYHFDWMLLDGASLASFDMSEKFGLTASRRYDLKLRSTPHVWSTAMPLK >SECCE5Rv1G0368990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:832595448:832598713:-1 gene:SECCE5Rv1G0368990 transcript:SECCE5Rv1G0368990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRLLQHYRSRSASYARSPDTDALHGSPGPGTAAAADALDCPFGHLDGLSRADVREAAYEVFFMSCRAGGGNNAKGAPWDGGGGGGGDASPTIGAGPRGGTGMNVVNSRVKRALGLKARRASQPSTALRSGSMNASSAPGSPGRAVRAPAGSPRARRPMTSAEIMRQQMRVTEHGDARLRKTLMRTLVGQVGRRAETIILPLELLRQLKLPDFADGAEHHQWQRRQLKLLEAGLITNSSVPLDHRHSASVLRFREVVEAADARAIDTGKASDAMRALCDAVLALAWRSAPAGEACHWADGYPLNVLLYVSLLQGIFDLRDETVVLDEVDELLELMRRTWATLGINRMLHNVCFAWVLFQQYVATGQVEPDLAGAALAVLGEVAADAGARQDNPRDPMYARVFSTALGAIRDWSEKRLVDYHEWYGNGGAGTATLECALSLALGAGKIMAESVHANHECGSDRVDYYIRCSMRSAFAKVLESGLGQEVSGHQRDVDDTSGILTQLARDTEELAQWEREGFSPELRRWHPFPAAVAAVTLHGCYGVVLKQYLGKAVCLTDELVRVLHAAGRLEKALVQMVADDDGEPVVREVVPYDVESIVVGFLRTWVEERLRVARECLLRAKDTESWTARSKNEPYAQSAVDLMKLAKATMDEFFAIPVSARDGMLQDLADGLGAVFQDYISFLASCGNKQSYLPPLPALTRCNQDSTIKRLWKRAAVAPCRVPMTSGRGQSAYHGGGQSVSAAGGHNPRPSTSRGTQRLYVRLNTIHYILSHIQALDKSLSFFSAGGGACTSPSAASRLLAAPCCHFDHARAAAQSAIAHVAEVAAYRLIFFDSHHSFYDGLYAGGVADARIRPALRTLKQNLSLLVSVLVDRAQPVAVREVMKASLQAFLMVLLAGGNHRSFTREDHGMVEEDLRSLKRAFCTRGEGLVAEEVAESEAEVAEGVVALMGQTAERLVEELGIATTTTTAACGGSPRAALPMPLTTRRWCRTDPDTILRVLCHRDDEAASQFLKRAFQLPKRR >SECCE4Rv1G0288600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861312670:861313962:1 gene:SECCE4Rv1G0288600 transcript:SECCE4Rv1G0288600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFGKAVLLCLALFTAWHLCSTMFADAPPGPGGGHSAEEKAAVSDAAGKASGLSLAREAGVRAADGAGTNFVVSPLSIHAGLAMVTAGARGETRSELLGLLGSASLEELHRAPAIKLVGRLNGLTQTSFACGVWVDRRLALRPEFTATGASRYAATAESVDFVLAAEQARRRVNGLVADATNQRIRDILPPGSVNSSTAVVLANALYFKGAWRRPFDVFTAPFHILGGTTVRVPSMTTGRSQYIALFPGFRALKLPYRNDGDHVQRQAAAFYMLILLPDSGTLSITDLYDKAVSMPEFIRKHTPEEEVPVGQFMVPKFKFTSEFEALSDMKKLGVTRAFSGGDFSGMVSGGDGRLSIGAVYHKATIEVDEQGTVAAAATAIVMMYGSARPSKPPRLVDFVADRPFLFAVVEEKTSTTLFLGHVVNPLAN >SECCE1Rv1G0036840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:512795899:512797842:-1 gene:SECCE1Rv1G0036840 transcript:SECCE1Rv1G0036840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPVPASPGSSSQKKRGATESIGMYAVQCCECHKWRTVSTKDEFETIRENFTEDPWFCSKRPECSCEDPPDIEYDSSRIWVIDKPNIPKPPPKTERLVIMRGDLSKMDIYYVLPNGKRARGIGDVQKFLDTNPEYKDRISAESFSFTVPKIVEDTVSQSSLWKTKKAKKQDKTNASSSKKDKANASGSEN >SECCE7Rv1G0478230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:234960464:234964659:-1 gene:SECCE7Rv1G0478230 transcript:SECCE7Rv1G0478230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLLFHCSAPLPHRPLRMSYPSPSRRVVCSASTAEGYISAAPILLPDGPWKQVEGGVTAAKGFKAAGIYGGLRAKGQKPDLALVACDVDATVAGSFTTNVVAAAPVLYCKRVLSSSKTARAVLINAGQANAATGDAGYQDAVDSAEAVAKLLNVSTNDILIQSTGVIGQRIKKEALINSLPRLVGSLSSSTEGSNSSAVAITTTDLVSKSIAVQTEIGGVPIKIGGMAKGSGMIHPNMATMLGVLTTDAQVRSDVWREMVRTSVSRSFNQITVDGDTSTNDCVIAMASGLSGLSDILTHDSAEAQQLQACLDAVMQGLAKSIAWDGEGATCLIEVTVTGANNEADAAKIARSVAASSLVKAAVFGRDPNWGRIACSVGYSGIPFNADQLDISLGVIPLMKNGQPLPFDRSAASKYLKDAGDIHGTVNIDVSVGSGGGTGKAWGCDLSYKYVEINAEYTT >SECCE5Rv1G0367320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:820369702:820371761:-1 gene:SECCE5Rv1G0367320 transcript:SECCE5Rv1G0367320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLWPRANESGGWPEQGGAGPGARRLATALLFLAAVALPCLVLYRAVAPAGALVQPAARLPWLQAGAPPHDDDDVDLESDSEDVRLERVLRAAAMANDTVILTTLNSAWAEPGSMVDVFLESFRVGEHTRELLDHLVIVSLDLAAHRRCKQIHPHCLAVATEGVDFSGQKNFMTDGYLRMMWRRIDFLRQVLEKGYSFIFTDTDIVWLRSPLPRLHAEGDFQIACDHFTGDPDDLGNAPNGGFAYVRANTETVELYRYWYAARERHPGLHDQDVLNLIKADRYLAEVGVRIRFLSTEFFGGLCEPSRNLSAVCTMHANCCVGLRRKIADLTLILHDWRSFMSLRGRDKTSALWSVPRNCSLDKVEE >SECCE3Rv1G0186020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679971563:679974095:-1 gene:SECCE3Rv1G0186020 transcript:SECCE3Rv1G0186020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPCTLTILLLAASALAAAAADSANIAVYWGQNATEGTLRDTCGTGLYAYVNLAFLSIFGAGRVPALNLADHCDPPSGSCATLAADIASCQSAGVKVLLSMGGGALGYNLSSPSDAQDVATYLWDNFLGGTAAPRPLGDAVLDGIDFDIEAPSKYYDDLARSLTSLYKGDTGGKKYMLTAAPQCPFPDASLAAALATGLFDHVWVQFYNNPPCQFAHGDAGALQSAWRQWTAALPSATVYLGLPASLDAAGSGFVDADTLVSQVLPLVEGAPNYGGIMLWSRSYDKDSGLSVKLQSNLQNRNKNAGKGASSDYKRRIYIIVGIIGGIFLLILLLTTCFICHKKYRGLSPPVEGSTTPPSKEPSEPKLGAHHPKRYTYSEVERMTKTFAHRLGQGSHGDVYRGNLRDARQITVKVLKDCKGGDRDFVKEVASIGAISHDNVAPLLGFCLQGPTRALIYEYMPNGSLESYALSSDDSVEENYSLWLYWEKLFDIAIGVARGLDYLHGDESANGMHISIKPRNILLDQELCPKISDVGVANLCEASTRGARERDGYDAPEVVSRRFGPVTSKSDVYSYGVMVLEMVRAKRHVRVGADTTSKYFTQWLYEHLDEFCNSVSDVNGDTRELVRKMIIVGLWCSQAAPASRPSMSGVVEMLESSSTDLALPRRTSEA >SECCE5Rv1G0367910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:824972447:824973615:-1 gene:SECCE5Rv1G0367910 transcript:SECCE5Rv1G0367910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVGASTMEFDQDLPCFRFHPTEEELLGFYLSPIALGKKFHFDIIGTLNIYRHDPSDLLRMAKIGEREWYFVVPRDRKVGSGGRLNRTTERGFWKATGSDRAIWRTADPKWVIGFKKTLIFYQGRAPRGTKMDWVMTNENTILCKVYRKTTPLKELEKRAFEMEEMKKWSGGNGGYGYGGAARACTVPAASDFFLSSSDDVQDNFLIPSTSSLSVAPSGNNSNHDATMEAKKEVDVTKVTVASTSSLPEAANAPFLALPSLQLPAATNHRLFDWLQDLFLTQLRSPWQDQHCMSPYAILLY >SECCEUnv1G0547570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:172177169:172178462:1 gene:SECCEUnv1G0547570 transcript:SECCEUnv1G0547570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVRSGKRKSRTECISYGLMDETDRVRRQYFENRIWKDDATCLNMLRLGRGPFFRFCQLFRDLRLLQDTIHMSVEQQVGTFLHTVGHNVRDTPAGEIFRITGEVVGRYFNKVVHAIGELRHDLIRGPSLATPTKIEGNHQWDPYFKDCVGVIDGTHVRATVTEDMEPRCRGRKTYATQNVMAAVDFDLRFTFVLAGWEGSAHDAQVLRDAIERENGLRVPQGKFYLVGAGHGAKPGFLPPFRGVRSHLNEWGNNLVQDEKELFNHRHSSLRTTTKRAFESLKGRFKILDEAKPFFSYPTQVDIVIACCTVHNWVINDGIDEFIIPEAECLANINHAPTTSAQANGLANVVSFRQEIANQMWEDRQNYLQHNSM >SECCE6Rv1G0391200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:212169312:212174111:-1 gene:SECCE6Rv1G0391200 transcript:SECCE6Rv1G0391200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASSEHLGQQGQEADENIVYVMDDQGGGGASSPRKVASLFSQKGKKGPNQDAVILCQGFGMEDGVFCGVFDGHGRCGHLVSKLVRDYLPFMVLSHRNALLLADAEADADDPVFSDASPSSSADSSGNSSPHPSQMLEEWREACTNAFKAMDNELKLQANMDCAFSGTTAVCAIKQGKDLIIANLGDSRAVLATMSGAGYLKAVQLTTDQKPGLPEEAERIKRCEGRVFALREEPGVMRVWLPGENLPGLAMARALGDTRLKHHGVISTPQVTGHRISKADLFIILATDGVWDVLSNEEVVSIVCATPRKQHASKAVVEAAVQRWKTKYPSSRVDDCSAVCLFLHDHSAAAATKL >SECCE2Rv1G0124900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:835384304:835384978:-1 gene:SECCE2Rv1G0124900 transcript:SECCE2Rv1G0124900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRPPPPRLMLNAVSCMRNAQTVLRDINVSVHDGTALVLTGANGSGKTTFLRMLAGFSRPSAGEILWNGHDVTSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGRSAPAIELMGLGRLMNDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDSEGVKLLEYIIAEHRKKGGIVIVATHLPIEIEDSMNLRLPQRFPRRKTLVDLVR >SECCE4Rv1G0261150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700310627:700311932:-1 gene:SECCE4Rv1G0261150 transcript:SECCE4Rv1G0261150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVWQCLAALALFSSAAYGYGYGPGNGNGNDNGYGHGYGYGNGNGQLDPKFYEKSCPPLGFIVRMSMMKAVHAEPRMGASLLRLFFHDCFVQGCDGSILLDDVPATNFTGEKTAFPNVNSVRGFEVIDDIKRTVEYVCPGVVSCADILALAAREGTVLLGGPGWAVPLGRRDSTTASLDGANSDLPGPALNLTELIQSFANKSLSPRDLTALSGAHTIGFSQCVFFRDHIYNGTNIDPAFAADLRRSCPAPTGSGDTNLTPLDAQTRFVFDNAYYPNLVARRGLLHSDQELFNGAAQDDLVRQYSADSALFFADFVAAMIKMGNISPLTGSAGEIRRSCRVVNSS >SECCE5Rv1G0357630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:748490150:748495564:-1 gene:SECCE5Rv1G0357630 transcript:SECCE5Rv1G0357630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCRIWWPRQQHQSELESVSTRYLLFGWLFPHAGSVDIVVAAFVSEGEILRSFPNLDTFQTAIFSSNKRMPTVLQESAAFTILGDCVVHLPRDFEGCCFKQKYQPLRAQVVQTQHSDTKQDCSIAFNGPLGIEDQDQSESNGKWECDCSVLDGFLDTYKKSVVKGGDWVHFCCKPDKSLKCNLNQIPVLHHLYLDDQKAEINHCHVILYDIPVAGRNHFSLGEDAPYRMKAPFKKPNWINNLQKKRPFLDLDPIVLALNCSNSARLSVAWKTTNNSSAAHFLFATVFDALVQVAQHFIGIILASVSTIIYIFIQLFRKCLSHVSEHFILQNVFRHSWKNMHLRCSQILYWPIFLQDTSLSSSVNVEYAHRAAIQKHALWSNIIMDLLMGFILGAALLLNMETICSWIFALLHYMTDAVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWFMVGGFLRHIIQGLAFSGILLGFTVPVSIFIDIIQLATLHVTMLQWLISLIYSRQIQTVTSLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLLPTASIFYIFFSILSSTIICLCIVLETAICIIHSTPYAAVILWVTRRQRFPAGLMFLPMSSSSVSTDDDALSVEYHSTSLSGERKTDEPVHVHSVPLVSELNCNYNTLGQVIGPHYQKVFNGIALPFCKQLAHGILRGTRIPTTLHLPSSPLPWMHIGIREYWMLCRRATKWGRN >SECCE5Rv1G0305630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:75429654:75431006:-1 gene:SECCE5Rv1G0305630 transcript:SECCE5Rv1G0305630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHKKLLQFLRPDPAVAAASDDGCSPLPSPTTSGSASTSATASPSPYVASPWVNLPGLGAGAALTAGAADETGLLGSFVKEDGHVYSLAAAGDLLYTGTDSKNVRVWRDRREFAGFQCGSGLVKAIVVAGDGRIYTGHQDGKIRVWRASADDPAVHKRVGSLPKLGDFLRSSVRPSHYIETRRRHSSVWLRHFDAVSCLSLDVDAGLLYSGSWDRTFKVWRVSDSRCLESVRAHDDAVNTVAAAGFDALAFTGSADGTVKVWRREDGKGGATKHVMERVLRKGESAVTAIAVAAEARVVYVASSDGAVTHWQWRRGADRESLPRNGGALRGHKMAVLSLAVAGRVVVSGSADRSISVWRRDEGADHSRLAVLSGHTGPVKCVAMDEEESVDADGHRRWVVYSGSLDGSVKVWRVSDAPGAMTARTPAHVWKGTPSPLGAWTPYRAPERS >SECCE7Rv1G0480970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:277157268:277159027:1 gene:SECCE7Rv1G0480970 transcript:SECCE7Rv1G0480970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLSPAASSCVSFEGFVSSPREKLLDSTPSSRVSDDRRKGGLLRSPTWGSSPTETQLRSPSSCVSDGRYVSPLRVSADQASEVREAERLLRAIADRYDDCFLRLRDATAEVADLRLERLRLRAENIHLSLLLEELEADQGKRATAPAPSPPPKPTEEVAARGGAPKSISIRSKCYLSQAKQPRGEAEAQRLRVRPPPAVEQAGEDEAKGGGEVVELEAYRQGTQKTELCNKWEGGACSYGGRCRFAHGLQEMRPVIRHPRYKTLPCQMFAAASGCPYGHRCHFRHSPAPSAQCY >SECCE4Rv1G0246820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:549417691:549422052:1 gene:SECCE4Rv1G0246820 transcript:SECCE4Rv1G0246820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPSLQGHFTQVELRSLKAKFVSLKRESGHVTAKNLPGLMKKLRGLNEVVSEEEIAALLSEVYPDSDQEIEFEQFLQEYLNLQEKVSSKAGASGSKNSSSFLKSSTTTLIHNPNQAEKSSYVAHINAYLGNDPFLKKYLPIDPMGNDLFDLVRDGVVLCKLINVAVPGTIDERAINKKRILNPWERNENHTLCLNSAKAIGCTVVNLGAQDLVEGRTHLVLGLISQIIKIQLLADLNLKRTPQLVEIFDDSKDIDEVLSLSPEKLLLQWMNYHLKKAGYKKTVNNFSSDIKDAEAYAYLIKSLAPEHSSILAFDTKDPAVRAKVVLDQAEKLGCKRYLSPKDITEGSPNLNLAFVAQIFQHRNGLSDTRQVTLTQTSSRDEVVLSREERAFRMWINSLGIATYVNNVFEDVRTGWVILEVLDKVSPGSVNWKLATKPPIKMPFRKLENCNQVIKIGKELKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNILQLLNKLRFHSQGSQGKEITDADILSWANNKVKVSGRNSRIESFKDKNLSSGIFFLELLSAVEPRVVNWKVVSKGVDDDEKKLNATYIISVARKLGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQKPQQPETSEQSESSCAASDAASDIASEDAASIMAPSEGEEVNWLPDRASSMPTDDTSTSQAPA >SECCE6Rv1G0449230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:860777925:860787226:-1 gene:SECCE6Rv1G0449230 transcript:SECCE6Rv1G0449230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQNMDARSTPLLLLLNLVHLFLRVSAREFLSPGSSLSVEDSSDVLHSPDGTFTCGFNHISQTASVFSIWYSNTAEKTVVWSANHLRPVYFRGSRVTLDANGRMAVEDYDGRPVWENNASSSSSAQQAQLLDTGNLVVKGQGDIILWQSFHSPTDTFFHFDDEYILTLFHDEKDISFKYWPNPSNDIWTKNRRAFNTTTIGVLDSLGYFLGSDKLTFKAVDWGDGVTRRLKLDYDGNLRLYSLNKTDGRWLVTWMAYPQTCSLHGACGINGICVYTPEPACACAPGHEVIDASDRSQGCRPIFNLSCDGQEIFLKLPTTDFHSNDLSEHVGVSRYHCKKICLKDCNCKGFAYWQGTGRCYPKWSLVGGVSGSTVSGGSIYLKIPKTLQVRESSIPHSQPFGPRYVPNCSAKSEDFTMDQPKSSQSGSHPQYLYLAYGFLLAIFCVEVIFVALGCWLLFSRGGKKLIGVWPAEVGYEMVTKHFRRYTYKELQTATQRFKDRIGCGASGHVYKGVLKDKRVVAVKRLADINQGEEEFQHELSVIGRIYHMNLVRVWGFCSDGPRRILVLEYVENGSLDKTLFSSERLIGWNERYMIALGVARGLAYLHHECMEWNILLDENLEPKISDFGLAKLMNRSGSNKKISRIHGTRGYIAPEWVSSQPITAKVDVYSLGVVLLELLMGGSLEMVLGRVVRMLTENLMLEGSEHLWLADFIDMRLNIKQD >SECCE2Rv1G0085170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:186538976:186544481:-1 gene:SECCE2Rv1G0085170 transcript:SECCE2Rv1G0085170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLGASAVFALVLCELLLLLSPLAVASDGGLPRRSLHQPFFPIGWSPPPAASADVAAPPPPAAAAAATSSPARSSPSVTNIVAIALTAGLVVLAVVSYSCVLLWRRVAEGAEDDRAAAKPAGALAARIPSDAGSSARHQRSPPPSSTASDAIYLDPLTAVMEVRHHRTSPDLRPLQLQKQPSPDLRPLPPLKRLGTQPTPPPAATPPMTGTEYSSDDEDQATFYTARKTNMSSFSRSTSQRSTMEYAVPPPPAPAPVPPPPAPAPAAPPPQANRLRPPRPPPLPRQRLLRPMPAESPPPAALANLALTSPPEASVQNREAESSDVQPGGSTRPPSLKPLHWDKLRAISGRTTVWDQVKNSDSFRVDEAAMENLFPQNSAAAAAGNSGQAAARGGTGKRLLDPKRLQNVAIMLKALNVTADEVIGALVHGNLEDKPELYETLAKMAPTKEEELKLKDYSGDLSKIDPAERFLKDVLNVPFAFKRVDAMLYRANFDAEVNYLRKSFGTMEAACSDLRSSNLFLKLLDAVLKTGNRMNDGTNRGEARAFKLDTLLKLADIKSTDGKTTLLHFVVQEIIRSEGFDSDQPETYPGTGSASKERFKKDGLKVLSGLSSELSNVRKAATLEMDTLSGNLLRLATDLEKVRLVLQLRETCARQESSGAKFFEEMDVFLRRAQMEIGSMKMAERGALQRVKETTQYFHGDGNMEEPSQPLRVFMVVSEFLLILDRVCRDVGRTPERVMMGSGKSFRVSAGTSLPPRRYEPRPLSSSDEDSSSS >SECCE5Rv1G0343400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638037624:638039553:1 gene:SECCE5Rv1G0343400 transcript:SECCE5Rv1G0343400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAHEKKLMLRSSDGEEFVVEETVAMESQTIKHMVEDNCVDNIIPIPNVKAEILTMVIKYCKRHVQMRGAEATDSTAMASEQDLKTFDEEFIDVKECILFDLILAAHYLNIKGLMDLACQKVADIIKGMTTEEIRKTFNIKNEFTKEEEDELRRKNPWAFE >SECCEUnv1G0557430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:323547608:323550595:1 gene:SECCEUnv1G0557430 transcript:SECCEUnv1G0557430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPFLAQTSQTTRPVANCFGPNRRHPARDFGRYCRWWGARSRAIHSPRSPPSLPHRSVFGPTNGAPSSDTVKKRKIVEHIILLRAKPNISDAEEKDMLDYLYTSQYQTRGILTVSLGRIEGPNSESFTHAVFMRFQQKEDIAKFQSSSYYSKVLDEHVKPVSYGLVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLMSFLETASRESVEGALAHLQKLIIHYSSFIVQATSGCCLDHMDSLYSHAAVIRFPSIDDFKLFKESTEYKDMWTSKFHPFTERCLELHFVVDPVGNQLM >SECCE3Rv1G0154420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:60302726:60304007:1 gene:SECCE3Rv1G0154420 transcript:SECCE3Rv1G0154420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQHKKRSRRSMNAEGSGGKKSSVVALPCSPMPSPVAMAKPAPTVAMTTPLAPMPPAPGAPSPAATTEGDMTTPAATVVVTNPVAAPSSAAIAKPAATVVDLEPSSPVAMVKPEDEVAEAEQEDAAMAAEDVEVASLDLPKKLFHCAACLVPLKPPVFRCENEHFVCHACGGGGDGDGGANKHCSPCGHDVSYTRSHFMDGVVDAYKVPCLYKGYGCAMDDIPYHSAADHKASCKHAPCYCFDCRFAGSPAKLVRHLASPSGGHAWPVEKIKYEVPQPFVVPASSEDQRRLLVTEDGRVFLLAVGAGREPAGRRPVSVVCVRGNDDARPLYTGVLWVDGPPAPPRQPLGCSFRLKATVASCSVPGAVDMEQGWLHAHVSPNMLHGGESGDLHLRLCLTKLA >SECCE3Rv1G0146270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:10918100:10925733:1 gene:SECCE3Rv1G0146270 transcript:SECCE3Rv1G0146270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSDLGPGLLMPPATPDNGRQPPFDSSADGPSSCSTAVAPAPADLGMFDKHGFIYPEPPIGEQPRSAPGATDGCAATAQTSDSSDEVAPPGAGSAPSAAAAAAATPIPIPTPEKEESTHWRPRKKSTKGVPRLKVMKDKHPKPARTTPAKPHKTPAKAKDGGAGSVGDGTDNKLSKRKLDFDQEVITGSFSRAKLMANLRLLAEVNNISSRTKTKKKKASGDWAIVPYQNAAPTDASCSALVPFGGLGPHGNQVRPKVLGLDDETLRVHDVLTKWEQIDSESFEGVDIGSGPDWDKTRQDYKKLVDWFIATVKDLFGPREYSRWGGSVIDSVVGTFLTQNVADHLSSNAFMILAAKFPMNERKGNAEECSYVPPSTVDVKENLNLTKAPDAGDSTNSDFTNPIDCEEVGYGEEVKGNYGQDYKTIMEKFLAIIKEKDISTWEKDDLLNLVKDKSGKPVCTENTLRKFIATLRLEDTAHWDKLREEAYEKGYDNGSKTRITDKVNWEAVQQASFVDVAKCIAGRGQHYLLALRIQAFLTRIKKDHGSFDLDWLRCLPRESAKKYLLSINGLGAKSVDCIRLLSLEHKAFPVDVNVARIVTRLQWVELQCCSEEFHSVDLYPLMQDVQSYLWPRLCTIDKEKLYELHCLMITFGKVICTKVDPNCNACPFRGDCRYYKSKLTRPLLPPAEEHVHDGEEKTSMATSERLLLPNGSCMPSSQVCQYQIEESRTAGRQPSRSCEPIIEMPPSPEYEYEALDEQEYRNEDDLVDIEDLMSGVHYDVEINLCSNKPMVSNGAWTPNRGKDLALSNSQHTSRKLKHIGRLRTEHLAYVLPDDHLYLDEFEERVPEDPSPYLLVRHDNKGTILIPCRTASKGNFPLNGTYFQDHEVFADDSSSRLPIDIDEHFLEGLEKCIVYFGSSIHSITKGQTRQDIEDCYKKGYVCIRGFDRRTRSPRRLRAALHSINEKKEDGSKQKEGAGPGKSNDLKAPSTN >SECCE4Rv1G0243480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:502997930:502998832:-1 gene:SECCE4Rv1G0243480 transcript:SECCE4Rv1G0243480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPGAAPLAGNSFVILSVAVVGIVATSFLLLAYYLFLTKCGLASWRGDQDDVVTTTHHHHHIVYSAAPAPSRGLEEAAIRRIPTLRYRESKQLQAPQAQVASECAVCLSEFQEGERLRLLPPCLHLFHIDCIDAWLHDTANCPLCRAAISGSACQPQPHIILNQIDIVNVLQADHVVIDIASPSRGETSDAGGDGHGVPATTVSMGDERIDPRRDELSDVQQPMRRSLSMDSCNDKHLYLALQKVLRHHHSHSASLGEDRKGESSAPAAAASSRAAGRLRRSFLSFSHSRSSRSAILPV >SECCE5Rv1G0332560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:549862044:549862835:1 gene:SECCE5Rv1G0332560 transcript:SECCE5Rv1G0332560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPGRRPFAGAVDLRRPKGYPAPSATPQAEAVAEPATGEAHGDPCPRCESRDTKFCYYNNYNTSQPRHYCKSCRRYWTKGGTLRNVPVGGGSRKSSSSSSSSSSSPKRAKNSKRRRVAPAAPQEPGADASTAVAADAATTKEAAATEDVTTGDDPAAAPAADGCFTFTAGEPDAPPAADGDGCFTFTAGESVDAPPAADGDGGLAFTDHPSVALGLGVADDAGGKELPDPSPFEWPSGCDLGSYWVAGVFADTDPALFLSPP >SECCE5Rv1G0299400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:16516383:16519769:1 gene:SECCE5Rv1G0299400 transcript:SECCE5Rv1G0299400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no exine formation 1 [Source:Projected from Arabidopsis thaliana (AT5G13390) TAIR;Acc:AT5G13390] MDPSGSGSRHGRLLISPSVSTPTFSSTRAPSSSPSPHHDRRNSTSSPKPLLPFPPPTSRPHSSGSVAGPRAAASASPPGFAHNARIAAALAPAAAFLLDLGGVPVLAVLAVGLAAAYALDALRLRQGAFFTVWAALLAADVAFFFSASLSAAAAASLPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAASAVFTWAVVSAVGMANASYYLATFAMVFYWLFSVPRTSSFKNRKQDAPLQDSDGILGPLESCVHALYLLFVPVLFHAASNHTTLFASWANVCDLLLLFFVPFLFLLYASTRGSLWWITRDTRTLDQIRMANGLVALVIVVLCLEVRVVFHTFGRYIHVPPPLNYLLVTVTMLGGALGLAAHAAGKVGDAVSSVAFMGLAVLVSGAGAVVIGFPLVFLPLPMMSGYYVARFFTKKSVSSYFTFVGISSLMVLWFVVHNYWDLNIWVAGMPLKSFTKYIVAAVIMAMAVPGLALLPAKLRFLVELGLIGHALLLCYIENRLFNYAAMYYFGFEDDIIYPSYMVLITSFFGLALVRRLSVDQRVGPKAAWILTCLYSSKLSMLFITSRSVVWVSAVLLLAVTPPVLLYRDKSKGGAPRMKIWQAYFHASVVAFSAWLCRETVFEALQWWNGRPPSDGLLLGSYILLSGVACIPIVALHFPQAQSAKRFLVLIVATGLLFVIMQPPVKLSWVYRSELIRAAHSSDDDTSIYGFVASKPTWPSWLLIATVVLTLAAATSIIPVKYVVELRALYAVGVGITLGIYISVQYFFQAVILYPLLVATIVCAAVFIVFTHLPSESSTRVLPWVFSLLVALFPVTYLLEGQLRANSFADEDEAEKFTNMLAIEGARMSLLGLYAAIFMIIALEIKFEMALLLHDKATDVTHGVSGGRGSAFPPKARLLQQRRSHAAPTFTIKRLAAEAAWMPAIGNLSTVLCFIICLVLNVTLTGGSNRAIFFLAPILLLLNQDSDIVAGFGDRQRYFPVTISISGYLLLASLYKIWEEAWPGTGSGGWALDIGGSVWLYAVKNVALLVLTLPNHILFNRFMWDYVRQTDSKLLLTLPLNLPSIIMTDVLSVRVLGLLGAIYSLAQYLISRRIRIAGMKYI >SECCE7Rv1G0484440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343878049:343878762:1 gene:SECCE7Rv1G0484440 transcript:SECCE7Rv1G0484440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLRSASAPSSPRSSKPQVEQQLQSLTATISSPLANIDTTCEGLRKLADIYSCIEEMMCTPSNQVSLCRTLQRVAVEAELGRSLVVLDLCNAMQETLMELKMTVQEHLLVLKRGEDATCQVKAYIRLAKKAQKQFKKISKKTASNKNDSRVLMLMAEAREITISLLESTSCILSKQIEMPKRSLVSKTLQKSKVVCEEEQLRALECSIEDLESGVELLYRRLIHNRVSLLNALSL >SECCE2Rv1G0081440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:139829190:139835683:-1 gene:SECCE2Rv1G0081440 transcript:SECCE2Rv1G0081440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYLNDDLEDLQDDHFDSDGFGVSGSTDGRPSSPNKHKNDTSALEYRNGKDMQGIPWERLKYSRDQYRKMRLEHYKNYENLARSHQGFDMECKQVETNDRFYDFCFNTRLVKPTIVHFQLRNLVWATSKHDVYMAQNNSVTHWSSLLQRGTEVLHVAGQVVPKQKGQGARTLSRVQISSMALKDNLMVAGGFRGELIFKYVDKPGVAFCTNVADNKNSVTNAVDVYESPSGATRVTAANNDCTVKFLDAERCSLLSRFTFPWSVNNTSVSPDGKLLAVLGDSSDCMIADAQSGEEIATLKGHLDYSFSSAWHPGGHVLATGNQDKTCRLWDTRKLSAPFAVLGGRIGAVRGLRFSSDGRFLAAAEAADFVHVYDARAGYGAAQEIGLFGEIAGVAFSPDAGALFVGVADRAYGSLLEFRRRGRHAYLDSYL >SECCE5Rv1G0373990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861807716:861808855:1 gene:SECCE5Rv1G0373990 transcript:SECCE5Rv1G0373990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELLVSYPEVLLAIVSYLCLSLLRLILRCQKSGIAVNWPVVGMLPFLVRNRHHIHDKFAHLLHEAGCNLMFTGPWFLDMDFFLSCDPATVNHCLSANFNNYPKGGEFAEMLDFLGNGIIVSDSESWEYQRHMAMHILGSRAYRSFSMSTITTKARATLLPYLDGMARRGSEVELEGVFLRFLLDVTYTSAFATDLDGLSMSLPIPAFGQATKTAEEAVMFRHTVPRSLWKLLRALKVGSEKKMAGARVVIDRHIYEEIAKRKAHLLQGEEGDVLSMCIKWPMDPSMSEQHKTQFLRDTLLGFIFAAKDLTAVTLTWFFYMICKHPRVEARILEEIRALLLQQSTTMPAGNLSVLDGDMLRPAIYLQAAFLETLRSGA >SECCE2Rv1G0133230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895366581:895370203:-1 gene:SECCE2Rv1G0133230 transcript:SECCE2Rv1G0133230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRMDGCAISTNGSGSKSCGPLSDYRIPDYILKPDSEPVIVDNAPSCPVVVFINSRSGGQLGSGLIKSYREVLNEAQVFDLSEDSPDKVLHRLYANFQRLKSNGDLLAIQIEKSLRLIVAGGDGTASWLLGVVSDLKLTHSPPVATVPLGTGNNLPFSFGWGKKNPATDQDAVKSFLGLVKGAREMSIDSWHIIMRMRVPQEGPCDPIAPLELPHSLHAFHRVTGSDELNVEGYHTFRGGFWNYFSMGMDAQVSYGFHSERKKNPEKFKNQLTNQGTYAKLGLKQGWFAPSLTHSSSRNISQLAKVKIMKRPGGKWEELKIPSSIRSIICLNLPSFSGGFNPWGTPGTRKKQDRDLTAPYVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAADHTFMRVDGEPWKQPLPSDDETVVVEISHLRQVTMLANGHCKSKSVEEPPTPSSHGHENDDSDSLEDEDEWKEGRKKFGAADTFKLPDEVDIAHLS >SECCE6Rv1G0382620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:45075933:45076544:1 gene:SECCE6Rv1G0382620 transcript:SECCE6Rv1G0382620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSAHREKSCCGSLFTFLVAAGFVVLIYWAIFQPHHIRATVSSATLTNLTVASDNATVSYRLTVGLELYNPSLRVPIYYDALNAELRASGGAPLGGPAGSVASSPAEFLQRRKSADTVRLEFDGSSGVGVPGDVARELQREAGAGAVSFEVDVDARVRYRFASIKIRQKPRIWCWLTVPVKPEGAVGVGGALASGDRCSVKY >SECCE5Rv1G0301730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:33800629:33801642:-1 gene:SECCE5Rv1G0301730 transcript:SECCE5Rv1G0301730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAAVPVPVPCLVVDHDGAGGEPCTTLLDISKPEHQHHACDDAAHALLRNRRFRRWMTPHGWVLSCDTSTLATFLWSPHTSEKIDLPPLTPGQEIPLHSACSLSGKPTTVGSFTVVAVEPEDTVVWYCHVDGDSADERGWLSYEYDMGSITSPVVNGRSMQAKKFITRLTAVGGKFYFKSEGDLGVLEFSPAPLLSSVPVPDIERPTRTTSMALSFVELGGALYLVTAFLHYDIGGAPSVLGCGVYKLDLAGKRWRKVSDIGDRAFLMCPQYFGGWCSAAASGLTPNCVYWMGLCDNLLRVFDIDGNGGTHGVQDPCKDIIGSIRNAMWMLPTDP >SECCE7Rv1G0476000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:195913221:195925592:-1 gene:SECCE7Rv1G0476000 transcript:SECCE7Rv1G0476000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAANHPLPCSVGRPKGTNQRRRPSSLSVRASSDANTVTLLDYGAGNVRSIRNAIRHLGFNIRDVRSPEDILAADRLVFPGVGAFGSAMDVLNRTGMADALREYIRRDRPFLGICLGLQLLFDSSEENGPVSGLGVIPGVVRRFDSSEGLIVPHIGWNALQITKDTQLLQGADGHHVYFVHSYHALPSDANRDWISSTCNYGESFISSISMGNIEAVQFHPEKSGATGLSIFEKFLSPNSSGAKAPARRKASKLAKRVIACLDVRSNDNGDLVVTKGDQYDVRDHSSSKEVRNLGKPVELASQYYIDGADEVSFLNITGFRAFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDESGRYYSSLEVASEYFRSGADKISIGSDAVFAAEAYLQTGVKTGKSSLEQISKVYGNQAVVVSIDPRRVYVKSPDEVQFRTVKVSSKGPLGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDGQGGGFDIDLIKMVSDAVTIPVIASSGAGAVEHFSEVFEKTNASAALAAGIFHRKEVPILAVKEHLVDAGVEVRMQ >SECCE2Rv1G0116380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:763597594:763599192:1 gene:SECCE2Rv1G0116380 transcript:SECCE2Rv1G0116380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTWAVVVAALAAYLAWFWRMSRGLSGPRVWPLVGSLPGLLQHAEDMHEWIVGNLRRTGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKARFDNYPKGPFWHGVFSDLLGDGIFNSDGDTWVAQRKTAALEFTTRTLRTAMSRWVSRSIHHRMLPILSDAAAAGAHVDLQDLLLRLTFDNICGLAFGKDPETLARGLPENAFATSFDRATEATLNRFIFPECVWRCKKWLGLGMETTLARSVAHVDRYLSAVIKTRKLELAGKSDGSGATTPHDDLLSRFMRKGTYSDDSLQHVALNFILAGRDTSSVALSWFFWLVSTHPGVERKIVRELCAVLAASRGADDPALWLAAPFDYEELDRLVYLKAALSETLRLYPSVPEDSKHVVADDYLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGTKFEPHDAYRFVAFNAGPRICLGKDLAYLQMKNIAGSILLRHRLAVAPGHRVEQKMSLTLFMKHGLRMVVRPRDLAPALDELRAAAPAVAACA >SECCE5Rv1G0329610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:519428684:519431224:-1 gene:SECCE5Rv1G0329610 transcript:SECCE5Rv1G0329610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPVYQEPSIMPINTRTEKELEEKEVLCTYKKPKATGCVAQPQQEIELAVESENKGHGDPSPKESACVVLSNGEANGCSEGHVLGDANKEPAAGSRLAELCSAIGWKSPTYEFLEHGHGHTKLFTCKATVYVETFTDTVVECISEPQPQKRAAQEQGVQGVLWTLKCLGHVK >SECCE5Rv1G0332440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:548270278:548273259:-1 gene:SECCE5Rv1G0332440 transcript:SECCE5Rv1G0332440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVKSEPATAMDAVGESEIEEHERKVNRYQAELAARIKAKYFSNKASDGGKIFEEETIVEGETIHSSRWPCTSSYANPVNFLQEKNGHEKRDSPSLAADSSAKKDSPSVVAEASPKNNAGVPATENNLTPAKRQVSKET >SECCE4Rv1G0215150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:3344746:3350949:-1 gene:SECCE4Rv1G0215150 transcript:SECCE4Rv1G0215150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase CTR1 [Source:Projected from Arabidopsis thaliana (AT5G03730) UniProtKB/Swiss-Prot;Acc:Q05609] MELPAAGGGRRTSYSLLSQFPDDAAAAGASPAVLQRQSSGGSSYGAGSSVSGSSDYPFHLPPAVAAGAAGGGGGGGTPSPCKSWAQQAEETYQLQLALALRLCADAACAADPGFLDPGDSGGSKMGGGGGGGGGSGSGRAFPLAPPSPTAEALSHRFWVNGSLSYSNAIPDGFYLIQGMDPFVWSMCTDVHEENRIPSVESLKSVRPDDSSIQVVLVDRRADFDLGMLESYASSFLSSSSDMKDVINQLAKLVSSRMGGTTSNEENLLPRWKESSEAIKSSAGSIVLHLGKLPIGLCKHRSLLFKMLADKVNIPCRLVKGCKYCKADDASSCVVRFGLEREYLVDLFGAPGQLSDPDSFVNGPYSLSVPSPLRPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFSDASTGASNGAAVAVDQMYSKKHDGGDGIANSWVPVKGQAIANSDIILQEAPREVLPLMTPSNLTADKKKEFQLIEGNQYLRSTVSDLSLAVDDLIIPWSELVLKEKIGAGSFGTVHRADWHGSDVAVKILMEQDYHLDRFKEFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAREVLDERRRLNMAFDVAKGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTYLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFAVILWELMTLQQPWCNLNPAQVVAAVGFKGRRLEIPKDLNPQVAALIESCWANEPWRRPSFANIMETLRPLINKVPVPQLIRSDS >SECCE2Rv1G0087090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:222312686:222313523:1 gene:SECCE2Rv1G0087090 transcript:SECCE2Rv1G0087090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIGLHRTEPTDKPASSKSLDTAWIVIIVLLVLAAVGLLVLAVCCIRRRKQKPRAPAAIPRRERPPAGTAAVRIGESGAGRLSADAAAVRIGESGAGRLSADAAAVLIGESGAGRLPADAAAVRIGESGAGRLSADAAVVHTGESSGAGEGAGVQATGCPICGRRYEKEPSRWAPERLNCGHVFHGQCIKPWVAVNLACPVCNKTHINLFGKTPGDAARHRYSFSHLESSVTTKDDIAVGSLSFRQHDWV >SECCE5Rv1G0336910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:587776934:587778330:1 gene:SECCE5Rv1G0336910 transcript:SECCE5Rv1G0336910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQDVLLPQDVLADVLRRIAPRDLAISRCVCKAWCSIIDARHLLPADLLPHWVGGIIINFNDLMLSEFFSRPSTGPSVSGNLNYLPSTSVVKDHCNGLLLLDGYVVNPATRQWAELPPCPSLGLESFEGEHLVFDPTISPHYEVLVIPISPKLDHDVKLDPAVEELEWPASLCMLHVFSSRTKQWEERRFVREGEAAGTIADMRSARQYYQNRAVYWRGSLYVHLPSHFVMRISLSNNKYQVIKPPKGRSTLFGEDMLYIGKSEKGVYCAPVDNPVRVWILDESCGQLEWVLRHNISLHVDTDESSRPWTLQDVNYYEGYGEDAKDEAIAPQKFDWDSDSDNLIDPKSMADDESPCYTGILGFHPFKEVVFLCVGLQRGLAYHLDSSKVQELGNIFPKCYGTSIGIQPFIKESFIYTPCWMEELP >SECCE3Rv1G0169080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:231551267:231552773:-1 gene:SECCE3Rv1G0169080 transcript:SECCE3Rv1G0169080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVWKTKVLPGLNRIFDKDGKKAAAVEFLKSFNKEEIDKEIEDKKTELEPKVVETIEASPPEIKGLIKDKKTSKIKKNSVAVTKFLDDLAKIDFPGAKLVSDAVAKSGTTPLSPAIVFILDKVAPFVPAPKEEPKAEPEVAAPAEETTTREVAVEEEKKEEAEPSAAPAEAAAPAEAAAPAEVVEEKKEEEKPAEAAAPAAAEPEKK >SECCE3Rv1G0155630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:67853664:67854832:1 gene:SECCE3Rv1G0155630 transcript:SECCE3Rv1G0155630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHNHGQAPTQRSGRSSEGGEPTTTRSRWAPKPEQILILESIFNSGMVNPAKDETARIRLLLERFGAVRDANVFYWFQNRRSRSRRRARQLQQSCSGTGDADQLPSSAVVAGHDYHGIGTSPYNTMQYGQLCGGMSAAATTVTAAPRFQVDDGDSGDDLFAIPRQMGLMSRGGENQYGYTATDASQLSYQATVPGTTMPVFINGTVYEVPSTGALDVAGTFGSDVILVHSSGEILPVNEHGVLMKSLQMGECYYLVFRSI >SECCE4Rv1G0246110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:540688043:540690460:1 gene:SECCE4Rv1G0246110 transcript:SECCE4Rv1G0246110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWEPVTFEESLSFVKRVKARDYLLYLSLLNVLTRSDQIPLEAYNELLLLFRDHGDLLEELGKFRPLPSFPSTVYSHNTIWMFIFLMPFLLLSLLLAFEKPLDSFLLRQPVAS >SECCE1Rv1G0020690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:259674045:259674403:-1 gene:SECCE1Rv1G0020690 transcript:SECCE1Rv1G0020690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFNGSFIFPKCILIFGLILLLMIDSTSDQNDRPWFYFISSTSLVISITALLVH >SECCE4Rv1G0223510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:70427507:70428986:-1 gene:SECCE4Rv1G0223510 transcript:SECCE4Rv1G0223510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSILLAAVVALALAPAPALGIPFTEKDLASEENLRGLYERWRSHYTVSRRGLGADAEERRFNVFKENVRYIHEANNKDRPFRLALNKFADMTTDEFRRTYAGSRVRHHLSLSGGRRGDASFRYADADNLPPAVDWRQKGAVTAIKDQGQCGSCWAFSTMVAVEGINKIRTGKLVSLSEQELMDCDNVNNQGCDGGLMDYAFQFIQKNGITTESTYPYQGQQGSCDQAKEKAHTVTIDGYEDVPANDESALQKAVAGQPVSVAIDASGNDFQFYSEGVFTGECSTDLDHGVAAVGYGTTRDGTKYWIVKNSWGEDWGEKGYIRMKRGVSQAEGQCGIAMQASYPTKSAPHTDEL >SECCE6Rv1G0398550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:422899522:422901726:1 gene:SECCE6Rv1G0398550 transcript:SECCE6Rv1G0398550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR1 [Source:Projected from Arabidopsis thaliana (AT5G61380) UniProtKB/Swiss-Prot;Acc:Q9LKL2] MVGAGGSGAGVGGGQPFVDRSKVRILLCDSDPDSSQDVLRLLCNCSYQVTCAKSPRQVINVLNCEGAEIDIILAEVDLPVTKCFKMLKYIGRNKELRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRMNELLNLWTHVWRRRRMLGLAEKNFFIDNLELVLSEPSDANTNSTTLLSDETDDKPKGNRNHETNTSSQHEYESPAMDPPRTDQLENLPSIAEDDDKASSPGGMFSRPIKTNLRIAESSAFLAYVKSSTPANNPLDNELQREGNQIDVMDHRGNFSGATDRIDTNSSINIQDEKAFETPMQYPLVCFSSSNLHLEQRNEGQQDVSGNPSVYHYPYYYPGMVEHGMALHSVQSFQGNINTAQAHTPPTMLHQYNVYHQSHGASMQSYQYSPAGMNVHSSHLSTQNMWSSVSSTPIPEERHSRSGRRAAALAKFRQKRKDRCFDKKVRYVNRKKVAETRPRVRGQFVRQASNTDIISTGDDISEYEDDDPSSRDVELVSSPE >SECCE3Rv1G0155040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:63191768:63193336:-1 gene:SECCE3Rv1G0155040 transcript:SECCE3Rv1G0155040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMVERWAGFGSAMATIIFLWSVVQNYVPPTFRLYLTAWAAKVAACFNPYLQITISEYGAERFQRSDFFLAVEAYLSDACARRARKLKAELVKDSKNLRVTVDDHEEVTDDFSGTTIWWYASKRQSRAQVISFYPGEDERRFYKVVFHRRHRDLVVDSYLPFVLGEGRAVTVKNRQRRLFTNNASRNWNPYRSKSVWSHVPFEHPATFDTLAMHPDDKEAIVDDLMAFQESKDYYAKVGKAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLFIETTGKSIIVIEDIDCSIDLTGKRRKDKKAATDKESDADDKTKLPMDPEKDDATKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCRFEGFKVLAKNYLDVIEHELFGEILRLLKETDMSPADVAENLMPMSKKKKRDPDVCLTGLIEALKQAKEDAAAAKAKEEEEAKEDEAKKSKEKEEAEVKKVKEENKGKDKAQEEANGDIKEGDK >SECCE4Rv1G0230650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:172241593:172244007:1 gene:SECCE4Rv1G0230650 transcript:SECCE4Rv1G0230650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAADLYHVLTAVVPLYVAMTLAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISSNDPFAMNLRFLAADTLQKLAVLALLALWCRLRNGSLDWLITLFSLSTLPNTLVMGIPLLRGMYGPASAGTLMVQIVVLQCIIWYTLMLFLFEYRGAKMLVMEQFPDTAADIVSFRVDSDVVSLAGGGGADLQAEAEVGEDGRMRVTVRKSTSSRSEAACSHSHSHSHSQSMQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVGAAKGDEEKGSAGPGTGTGTGGHSPQPLPQALAGKRKDLHMFVWSSSASPVSERAAGGAMHVFGGGADHGDVLAKGAQAYDEYGRGGGDDFSFRNKNGGVANVDGPTLAKLGSNSTAQLHPKDDGEERAAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGVVWSLVSYRWGIEMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKLAAYAMAVRFLVGPAVMAAASLAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPNVLSTAVIFGMLIALPITLVYYILLGL >SECCE7Rv1G0482870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:314252403:314253281:1 gene:SECCE7Rv1G0482870 transcript:SECCE7Rv1G0482870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLETQTKPGVPSIAGCSAAASRGRRRHRSPSPPLQEHGFSNLSESEGSRTDRRRRKGCGHNRSGKRSRCHRGRSRGSSSDSDADSETSYESRKRSRRSGHNRSSRKKSKGSDFCKHDRAAKGNVKAGDEKKDEIEFKNMFASRKQQPAPDDDPAPLVGPLPLPQPHVDVQVKYGGALRPGEGDAMAQFVQQGKRIPRRGEVGLSAEEIQRFEEAGYVMSGSRHSRITAVRLRKENQVYSAEEKRALATFNYEQRAMRESKVRDDLRRLVDKTLGKLVETEHDPFAMPPSC >SECCE7Rv1G0492040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:542829062:542831167:1 gene:SECCE7Rv1G0492040 transcript:SECCE7Rv1G0492040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQLNEMAMVAVNTFGTLQRDAPPVRLSNSYPDPLNPNPNPDAPASQPQAPPAPGAPPPAPLPPQPPQAPPQPALDLAEQPKAMSHALVLAAKKFDALVAALPLSSEEDQLKRIQELQAENEVVGLELQKQLEAAELELQRVEVLFNEATDNCINLKKPD >SECCE3Rv1G0159770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:99289645:99292140:-1 gene:SECCE3Rv1G0159770 transcript:SECCE3Rv1G0159770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAANPAAHLHLHLAAELNSFPRPRSCLHFLVKRAPGIPSETAPRGRAHCHPSSRRSTHNSHHQSSLQIPERISHGNPPPNNRRQILLLLLLVLVGAMGNSIYKFLCGVCSDLSDAAFQPHGAHASVAALGRDILEFQRTKQVPEGLSRHVVSSAAAQANWYKKLQVAWKKARPAPTTPEEAGRLVVLTLKNHQKADVDGLLAFYGLPHPNEAGSPPAPAAGHHATPAQHSAPAHKPQGVKFELHTLPVDAKAVADGDTVTVYVDTNDAPSEIKKAAAERTKARAARNYPTADALQKTIAAAGYRMVPNAKGVEVLAKKYRIRLSGIDAPESAMPYGKEATEALLKLVEGKCLTVHVYNTDRYGRSVGDLHVGGVFVQEQMLKKGFAWHYTAYDKRPELAKWQSQAQAARKGLWAASKPQEPWEYRKAKRNGNA >SECCE7Rv1G0477090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:214110348:214111829:-1 gene:SECCE7Rv1G0477090 transcript:SECCE7Rv1G0477090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTTSRPLLLSRQQAAGSSLQCRLPRRPGSSLFAGQGQASTPNVRCMAVVDTASPAAPAAARKRSSYDMITLTTWLLKQEQEAVIDNEMTIVLSSISTACKQIASLVQRAPISNLTGVQGATNVQGEDQKKLDVISNEVFSNCLRWSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPSDECHIGDDATIDEVTQMCIVNVCQPGSNLLAAGYCMYSSSVIFVLTIGAGVYVFTLDPMYGEFVLTQEKVQIPKSGKIYSFNEGNYALWDDKLKKYMDSLKDPGSSGKPYSARYIGSLVGDFHRTMLYGGIYGYPSDQKSKNGKLRLLYECAPMSFIAEQAGGKGSDGHQRVLDIMPTEVHQRVPLYVGSVEEVEKVEKFLSSE >SECCE4Rv1G0235000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:310155129:310155362:-1 gene:SECCE4Rv1G0235000 transcript:SECCE4Rv1G0235000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWKLDGRRTGP >SECCE3Rv1G0153530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:54370321:54371578:-1 gene:SECCE3Rv1G0153530 transcript:SECCE3Rv1G0153530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLATPIEGMEEATGKQRKVGAATAVFTMDDLDVLHCAVCCDPLRPPIFQCTAGRHIICSSCHDKLPGKCCFCCETTIYSRSHWLEDMVESLKVACPNGCTARIKYYQTEEHEEDCPEAPCFCPITDCSFSGPTARLLEHFSYKHKFPSTKVSYNKRFGMLINFHTNGDLSEPTLLVGEDGHLFIVYMKTESLGCGIAVFCVQPHITTGSRFKCNLSLSSAETGYSQATEFQTRNTNLYDGFPDDCFLFLVPKAMLPGPGTSDRVLVGMELTPQ >SECCE6Rv1G0377780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3350356:3351258:-1 gene:SECCE6Rv1G0377780 transcript:SECCE6Rv1G0377780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPFSALNKLGVPGLGAVTTGQVYDRHFKNKDTRTFEEFHLAYVEFCKYFNTVLPGQDFDTPDLEEIRAFHKRWAGVDEAGRKKIFIEYMQENVHEAKVDDSLFIMAGLAAPAAAIIAKKSGESIPQVKKFKVHLIPNVVFVPLCTLVAIMGATAVQMSKKSKENNTKPTS >SECCE4Rv1G0240400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:447982871:447983083:-1 gene:SECCE4Rv1G0240400 transcript:SECCE4Rv1G0240400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWRIINMTIAFQLAVFALIATSSVLVISVPLVFASPDGWSNNKNVVFSGTSLWIGLVFLVAILNSLIS >SECCE5Rv1G0348200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672900606:672903506:-1 gene:SECCE5Rv1G0348200 transcript:SECCE5Rv1G0348200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLGDAAAAPRARIAVCRPRLHLCGWAPRPHHGCRLSRVRGRAPARFAASASGGGRGGWDEPSEEERRREREAEMARRQKEAEEMDELERTAEELQSRAAPDDESEEEKRERVRRELQKVAKEQAERRATGKQMFDLGQRAYGKGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYDANRRHKDSIALYKELENTHPMISIRRQAAEFRYIAEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIQEQEDKKRKMVAAASQPEASTNVFGNLFLLRPPGEWKKSAWAIVTLWAVLIGTAFYLQR >SECCE2Rv1G0083650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:169089376:169090904:1 gene:SECCE2Rv1G0083650 transcript:SECCE2Rv1G0083650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWIVVAAALLVLGCASSGATARPLVGAAAKQPLQTSRPYNIAHRGSNGELPEETAAAYMRAVDEGADFIEADIVATKDGHLVCFHDMTLDDTTDVADHKEFAARRRTLEVQWANVTGYFITDFTLAELKTLRVKQRWSFRDKSHNGVSPIITFDEFIDIALNAKRVVGIYPEMKSPVFINQHVKWADGKKYEDKFIATLKKYGYGGKYMTKRWMEKPVFIQSFAPTSLIYAAELTDSPKVFLIDDVTVRTEDTDQSYEEITSDEYLDYMREYVVGIGPWKDTVVPPTRDNKLATPTDMVAMAHARGLQVHPYTYRNENRFLHYNFRQDPYAEYDYWLNDVGVDGLFTDFPASLRRFQEWTAAKN >SECCE5Rv1G0332990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:553739183:553739416:1 gene:SECCE5Rv1G0332990 transcript:SECCE5Rv1G0332990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQSERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRCTGP >SECCE2Rv1G0127320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:852149426:852150718:-1 gene:SECCE2Rv1G0127320 transcript:SECCE2Rv1G0127320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELVKRNSFGRMKEFKMHDILRELALDLCQKNCFGVTCEDKRGGSFERNGRRLVLHKQKKDIQQSFSSVRRLRTFVMLDDSMVTITLLPMLCKESRYMTVLELSGLPIENIPDAIGDLFNLRRLGLRDSKVKMLPSSVEKLSNLLTLDLLRSDVHELPSGIVKLKKLRHLFVEKRITTDLRGIKCFSGVHVPNGLGNLTNLQTLQALVAHDESISHLRELRQLRSLRLLDVKGIYCGRISESLVQMQCLSHLDVNASDEDEVLSFNVFLPNLQRLRLGGRLAEGALDASPLFQAAGGQNLHVLNLYWSQLREDPLPSLSRLSNLTHLEFTRAYNGEQLAFLTGWFPKLKILYLIDLPNLNELEIQQGAMASLERLYFVNLSGMMEVPPGIEFLMPLQSLGFFEITSDFFWLLDQCSAIRGTQWRYTLRD >SECCE7Rv1G0464960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:65373189:65374595:1 gene:SECCE7Rv1G0464960 transcript:SECCE7Rv1G0464960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGKLGSGSAAEAAVCCMCGDHGLLPELFRCAACSVRSQHTYCTDRYPKAEAYGTCNWCLRAGQGSGDGRGGAVPSPVISTLKAPGRPVPAADRMDVPAYSGGSRSMPGKVAARGDFAAELNKPIKKQQQRRRLLLQRSASDLSSGVRANRGAGPPSPGVARGRPRVRRYKLLEEVISS >SECCE7Rv1G0521480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874139834:874141216:-1 gene:SECCE7Rv1G0521480 transcript:SECCE7Rv1G0521480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGVQIISRRMVKPEYQKSSPPLEPETVHLTPWDLRRITMGYSQNGVLLPKPPAAMHAVEHLASSFARALGRFYPLAGRFAVAPSGSAGLTISLRCGDEGAEFVHAVAPGVAVADISGPLPVIPCFVSSFFPLNGMLSTDAAADPSRPLLGVQVTELADGVFVGMSLSHAAADWTTFWDLFNTWSEISRSGDGGNNESISTGTSVPLPLERWFHDGCPVPIPLPFAKVQDMARPIECPPVQECSIHFSPESIKKLKAKANAEMAGTATAPISSLQAVLAHLWRAVCRARGLAPDVEAKLSFPVGCRVRVKGIPQAYMGNALTSGVARRPVGEILGEGRLGRTAWLVNRAVASVDEASVRAELAAWPQNPSFSLVGVGDHDGDHAEVMMSGSPRFDVYGNDFGWGRPVAVRTGPGDKEDGLLTVFEDGGGAGGMEVEVCLPPDVLARLVADEELMSTSV >SECCE2Rv1G0097090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:452989458:452990561:-1 gene:SECCE2Rv1G0097090 transcript:SECCE2Rv1G0097090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTVPKRPRLVPAPAPRTIADMAPEILLRLPPDDPARLLRASLVCWRWRRLLTDPAYLRRYRAFHRTPPMLGFILNLKQHYQGMAQLVPTSSFRPAASDRPGLNVLDARHGRVLLHTSQGQRLVVWDPVTGGECKIPRVPAYALGFNAAVLCAAVGCDHLDCHGGPFRVVFVGCGERGITFACTYSSEDGAWSQRIEIEQPASVDGRPSVLVGNTLYFTCDPYLNFKMLGYDVVRQELSVIWPPSQHEYYSCTVLVKAEDGKLGFAGVQNGTLYLWSMKDGSHGDVEWALRRVIQHKTMLRTRGLSTPPEVVGFADGHGLIFVRTGNTVFSMELKSGHVRKVYTCRSRNYDVTVVPYMSFCTAGTY >SECCE2Rv1G0066560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14660766:14661480:-1 gene:SECCE2Rv1G0066560 transcript:SECCE2Rv1G0066560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRALVLAVLLTIAVANAEAAPVVVGLAKCADCTRKNMKAEEAFKGLQVAIKCKNSAGDYESKAVGGLDGTGAFSVPLAADLHGADCVAQLHSAASNMPCPGQEPSKIMPVSEGTTYGIVAGENRATPSAASPECASMTLCGPIKKHIIEHFHHKKPVPPKPETKPQPHPDYGPVPKPEPKPQPHPDYHPVPPTPTYGGGGGGGYHGHH >SECCE1Rv1G0055610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:681856609:681857709:-1 gene:SECCE1Rv1G0055610 transcript:SECCE1Rv1G0055610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSAPVAPRRRRSTVLLMVNYAALLVGSVASSLLSRFYFEHGGQNKWVVTLVQSAGFPTLVVAVFLAGRPASTPRPFLWFSRRFLAVCLVIGALMGVNNLLFSYSSSLLPVSTSSLLLSTQLAFTLVLAVAIVRHPLTFVNLNAVVLMTLCSVLLALRSGDAGESPDRKGYIIGFVVTLGAAGLFSAYLPVMELLYREAVSGGFILAVEVQAVMQAMASVVGAIGLTATGGFANDVARWKGSHAVYWVVVATLVVTWQACFMGTAGVIYLTSSLHSGVCMAAVLTADVIGGVVVFGDPFGAEKAVATVLCVWGLSSYLYGEYTKNKKKAADDEDEETILSAALDDGESVHKSLTAAVGEAVETV >SECCE2Rv1G0074560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:77482848:77486792:1 gene:SECCE2Rv1G0074560 transcript:SECCE2Rv1G0074560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCSGSSGKAGEDAAALSPSPRPSAAKPAPDRSNSRSSSSVRRGGSIPHGPAKIFTFRELAIATKNFRKDCLLGEGGFGRVYKGHMENGQVIAVKQLDRNGFQGNREFLVEVLMLSLLHHPNLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDRPPDREPLDWNARMRIAVGAAKGLEYLHDKANPPVIYRDFKPSNILLSEDYYPKLSDFGLAKLGPVGENTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELVTGRRAIDHTKPDGESNLVAWARPMFRDRRKFCQMADPLLQGRYPKRGLYQALAVAAMCLQEKAASRPLIGDIVTALSYLASQHYDPKSCRTCPSTPRAKAHRRTTSCVPDAQHAANSLNWDAVDLRRKEPRGGEFEEDHSEGFCSGSSSGRNDGLDVPELLALHNGRSYSYGETDSNRKSAVKVDAHEKPRADPGKHSRQV >SECCE3Rv1G0158340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:87246568:87247667:-1 gene:SECCE3Rv1G0158340 transcript:SECCE3Rv1G0158340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFTNMSEKAAEATKFDVNNKFAMTSKDMESANASSTNLQSQAMMYARLSASPGTNMSSSTSVSYGEQFKESNGLLNVLPISGESAGLLPKHTGEPKGSDILLEVVLEAEVAENAKFFVSQPHDQLPKE >SECCE2Rv1G0106470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:636679353:636688775:1 gene:SECCE2Rv1G0106470 transcript:SECCE2Rv1G0106470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVSAPVSTATVRVSNIPPSAVAKELLAFFNSAVAAAGEAYACEIAAARRGWLSRGDGSVQFDSTATATLAAELASSGRLPRFLGSLLSVSPAPADLLPRAPDHSLRVADARLLVGNRVAEREFEAADTWDSVRVEVIPGKRRIDLYLNHDSQRYRLEVFFEDIRNCYQCCFDGAGAILLQLMYAPRICTTISGPAVYSRFSDDRFHACKEDAKFTWVRALDFTPNHSFGKCSTLALVLDEGAPVSSILNSLPLSGELGQLVISSMEFFGPSSKVVPLVDCPSGCSVSYEVLFRLNSLVHMGKIVAKDVNADLFKALEELPVHISRRIFEKMSKLDFTCYEPLQFIQQDAHSRKRSHDALLSSKTEGEGKLMMCYRIHITPSKIYCLGPEEEVSNYVVKHHKQYASDFARVTFVDEDWSKLFPDAISARTGRGLFSQPLKTGLYHRILSILKEGFSIGPKKYEFLAFSASQLRGSSVWMFASNDSLKAEDIRRWMGNFEDIRSVSKCAARMGQLFSSSRQTLEILPRDVEEIPDIEVTTDGSKYIFSDGIGKISERLAKEMACRIGLDYTNPPSAFQIRYGGYKGVVAVDPDSFRNLSLRPSMKKFESKSRMFNITSTSKSQPCYMNREVISLLSTLGIRDEIFESMQQNDMRELDEMLTNREAALSVLGKIGSAETKTASKILLQGYEPSLEPYLLMILKAHQDNRLTDIRTRCKIHVPKGRVLIGCLDETGELEYGQVYIRITKNSKEQKDNCQPYFAEDNGKDKTAVVVGKVAVSKNPCLHPGDIRVLEAVYDHGLYAKNLVDCVVFPQRGERPHPNECSGGDLDGDLYFITWDEKLIPEKVDSPMDYTAARPRIMDHVVTLEEIQKYFVDYMINDSLGAISTAHLVHADRHPMKARSPVCLQLAALHSMAVDFAKTGAPAEMPRSLRPKEYPDFMERWDKPTYISNGALGKLYRAAASHMQSATAPSSSAQSSPAFDPDLEVPGFEEFLDSAEECYDLYAEKLSTLMSYYGTEHEDEILTGNIRNRLLYLKKDNKRYFEMKDRIIDSVEGLHKEARGWFMSRPKAEASRRASAWYRVVYHPDHCRPGKKQFWSFPWIVCDELLKIKESSKRRKQQVDGAAA >SECCEUnv1G0548650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:190604031:190605326:1 gene:SECCEUnv1G0548650 transcript:SECCEUnv1G0548650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLTSLAPATGTIYCCKHCDSHLAYAQHIISKMFRCKHGKAYLFDKVVNVVAGESEDDRMMTTGLHTVRDIFCVACGAILGWKYVSAFEKDQRYKEGKFILERCKIHSGGGGPPDRIQLWAEHDARISSSEDDDQGAM >SECCE2Rv1G0104040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:598645686:598651783:-1 gene:SECCE2Rv1G0104040 transcript:SECCE2Rv1G0104040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGLQLRKKPAASSSSRPPPPARPLAAFADDSDDDVEADILRQSSKKRALEKVEELQKKAMEEDPSVFAYDEVYDDMKEKAARPKIQAKVVRESKYIEALKEKAEQRKREQDIVYERKLHKERSKEDHLFADKDKFVTSAYRKKLEEEKKWLEEERRRQLQEERDDVTKKKDLSDFYFGLAKNVAFGARTHEGTEVAEHEKLESKAEDIQGSKSDAERSSRSPKRRRESSVGSEKAHESRSVAEPATTEKDSTSGRSAEKEADVSTSASQALQNTQPAPITDEHYKRSNDALAAARERALARKRAKEQQT >SECCE2Rv1G0140360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931309946:931310876:-1 gene:SECCE2Rv1G0140360 transcript:SECCE2Rv1G0140360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNMLPGESEVVCVDFWANLFGMRVLIALRELGVSFEYIEEDLHIRKRSDLVLRMNPVHRMVPILIHCGRPICNSINILEYIDEVWGHRHAEAGGTQLLPADPLERASARFWADFVDHKVFGAEMRLFKSKGDDEKEAAKGELIGQLRQLEGVLGDKNFFSGDEFGFLDIVGIPLSSMFRAYEQQGKFDLEVECPKLMRWEMRCKERESVKSTLPDEEEVYRMHKKWYGIE >SECCE2Rv1G0071400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:43411671:43412147:1 gene:SECCE2Rv1G0071400 transcript:SECCE2Rv1G0071400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKVPALLLLVALSATAMLAAGQGCGGHRVTVQNLCGQDLTLSLEEVADSAALFPDGWVLRDGQHGSFDVCAWTGGVLASGAAAAKVHLGHDGGAYYEVSTEQSGPVRVSVTPHGAPLQGHCPTAGCNGGGHCFEHSVPDGNCHGVTEMKVVYYKP >SECCE1Rv1G0025480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:355509836:355510731:1 gene:SECCE1Rv1G0025480 transcript:SECCE1Rv1G0025480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAHFVGDVHQPLHCGHVDDLGGNTIKVRWYRRKSNLHHVWDSDVITQAMKDFFDTDQDAMIESIQRNITDDWSSEEKQWEACRSKTTTCAEKYAQESALLACDAYEGVEQDDTLGDEYYFKALPVVQKRLAQGGVRLAAILNRIFSGNGRLQSI >SECCE6Rv1G0422330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689524945:689526080:1 gene:SECCE6Rv1G0422330 transcript:SECCE6Rv1G0422330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRHALPNSQAVLSAACTRPPVPAGRPSRARFVFSSTSVNRKLIVSSSSINNPNKAAGGMQQVAAGEDEHELKLLGTWRGPFAMRVRLALNFKDLSYEYQEEDLANKSGLLLASNPVNKKVPVLIHNGVPICESLAILEYIDEVYHGIGPSLLPADPYQRARARFWAAYIDNKLVAPWWKTFVGKTEMEQDEGTKQTLAAVEMLEGALRECSKGKPFFGGDNVGYVDVVLGGMVAWMQGTKALCGVELLHATKTPLLLAWMECFGELEPAKVVLPKVDRLVEFAKMKRAQRTLI >SECCE7Rv1G0513650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:824156757:824157110:-1 gene:SECCE7Rv1G0513650 transcript:SECCE7Rv1G0513650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMQRASFLLQVVRSSSRSRKREGVFSEAAPWQQPTSGSGRAPPPRPPAKLDTIVEEEYNSTAMTHDAVFQGGVSSSSSSSTSASAPSSSSMAAAGVPRAYRFAAPVTGAPQTRC >SECCE3Rv1G0169250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:234131259:234140414:1 gene:SECCE3Rv1G0169250 transcript:SECCE3Rv1G0169250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQHPAVPLLPILLLLTLLSPAARGSESPQYATVHAELDFEVRHYRDTVWMSAPSDDISFHVATKLGFHRLFQYLMGANLNSSRIRMTTPILTSIVPGAGPLHSSAYFVRLYLPVEFQASPPVPLPELNLHPDRWPGHCIAVRSFSGYARDHNVVEEAEKLAVSLSRSPWGNSTNHPSKNAYSIAQYNSPFRIIDRVNEVWFDVGCKSAGVEAY >SECCE3Rv1G0186500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:686192105:686202072:-1 gene:SECCE3Rv1G0186500 transcript:SECCE3Rv1G0186500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRGRMLRQRALAVETGSRGERAAWEGEEEEEPVSPVGRLFLEPRFRCYIVCVLGLGAPVDLAALRAGIEATLLRHPRFGSVVMDELEEGTGPKWVRTTVELDDHIIVPNLDPAAMLIDPDRILEDYLSSLSTLPMDHSRPLWEFHVLDFPTSEAAASLAFRAHHSLGDGTSLLSLLVASAGSSKVLPTTAPRRVSTIKASSPCSPSSAATGAMAVFTVWIVSLLLLVWHTVVDIVCFVGTATSMLRDPPTLFKGADGVEFRPKRFVNRRLSLDDIKYVKSVMCCTVNDVLLGLTSAALSRYYFRKTGENGKRNIKVRSTLVVNLRKMTGLHTLASMMKSGKDNGVKWGNQLGYMLLPFHIEKHDDPLKYIEKAMRIAHRKKSSMESVFTNWSALMIMKIFGIKATASLCYALFKNTTILLSNMVGPTEQVALCGHPILYIAPSVYGQPHALIIHYQSYMNIVKLVLAIDEEQFPDVDGLLEDFVESLRLIREAASQEPRVVEEHSQEEHRHGET >SECCE6Rv1G0419030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:666053017:666054360:1 gene:SECCE6Rv1G0419030 transcript:SECCE6Rv1G0419030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSSTVLLLVAGVLVHVLPTICTAVRGFSLPLVANHGGGTYRVDADGFTVVNTTSFHHRPSLTAPLRYTYGVAVTIGTGRGKSTHFLVLDTASSLPWMRCAHCLPVLRQRSPVFDPSHSSSYRPLTPTSSLCRPPNPVHPAGDKCSFHLPQEAHGFVGIDTIILGNPTLPIHGIAFGCAQTTEGFDTKGTFAGTLGMGRLPTSLIMQIKDRVAWRFSYCLIDPGHSQDRHGFIRFGADIREPPPLSHHRIKILPTPPHLPHGVADSAYYVKLLGVSLNGSPIQGIHPTMFERRHDGSGGCFVDAGTEITHLVPAAYTLVEQEVARVVQQWGYKRVRDRDFHLCFREQPGIWSRIPRLTLVFEGPSTRHGEPTRAHLEIGSRRLFLKVENEQLLCFGVYPTTERHPTVIGAMQQVDTRFTFDLRANTITIHPESCEYDTQPTPSEW >SECCE4Rv1G0282970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:832028097:832029463:-1 gene:SECCE4Rv1G0282970 transcript:SECCE4Rv1G0282970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGETSKHGRTGKEQSQPPAIRRRDESRHAPPFPGVDSQERRKQMQPASEAVNFPEGPLVEILARLPYRSLCRFHCVSKQWRELCSDPKIVKRAPQTLAGFFHNRPVGSLRFSNLSGGRPLVDASLPFLRGRYQRIELEQCSTSLLLYRCWKLESEKDKFDFIVCNPMTEQWTVLPPIEWLDDDGYTECFELSYPFLVFDPAAPSRFVVFAPLLESVDVVAVYSWETGQWAPSSGWEYRQYPAVTNECVFLNGMIHFLHLFGDESFITVLDIEGQLFPQIDAPDGMLGATPGYGSVGCSQGLLHVWYMDPHDYELSVWVLENYVSGEWILKHTVDVPKLFEEMESKEENGDRDQEDGSCKYEMFAIHPEYNVIFLTDRKMVSLSYDMDSGKVHPMCTSGDFLGGLPFIPCFADLALE >SECCE3Rv1G0204570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:895461005:895463269:1 gene:SECCE3Rv1G0204570 transcript:SECCE3Rv1G0204570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAQMLSGYLDNSFNALMVSGGCESGQTQNGGTDTTLSGWKDLPMELLLRIISVTGDDRMVIVASGVCTGWRDALGWGATSLSFSWCQDHMNELVISLAHKFTKLQVLSLRQIKPQLEDSAVEAVANSCHDLRELDLSRSFRLSDRSLYALAHGCPHLTRLNISGCSNFSDAALIYLTSQCKNLKCLNLCGCVRAASDRALQAIACNCSQLQSLNLGWCDTVTDGGVTSLASGCPELRAVDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAENSRIRSKGMSWDTAKSSRGRDDKDGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTAVHCACAHHRHRAGAGRAILTNHAY >SECCE4Rv1G0227280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:120061163:120061917:-1 gene:SECCE4Rv1G0227280 transcript:SECCE4Rv1G0227280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCSQCVSSSGCERNWSAFALVHTKQRNRLLYDKLHKLVSVRYNLKIRAEEDQEKERDIDKEVDPSALLIDTTIFDETNPIMEWLNEDEEDPIVDGADAASAVFEKIRRLNSSRKDSHVGTEANKKKRKRNHDEENEYVETESEDDDNENEYVDNESGDDDGVSEDDEDDQQDQQETQMQVEEETQVQVEKETQASVGNLETRRSGRLVRKKTKEVNSLYS >SECCE7Rv1G0495020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:593478234:593481986:1 gene:SECCE7Rv1G0495020 transcript:SECCE7Rv1G0495020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTQSSFARHPPPSAALTSPSSSSSWSPPRHGPRRRRALTAACSLHFRPEEVAELAHNKVLIAATVASVIGQLAKPFTSGRAGGKIDVIRVAAQSGGMPSTHSAAVVAVTTSLALERGFADSIFGMSVVFASIVMYDAQGVRREVGKHARVLNKLWTLREHTTTQVEEDGGGQVNSTSEPLPANREMAAELVSVPSDASTSQRSNAMPSSTRNDATRSPRWNSPQSTEPELTELTEEYDRLSESVGHTEAQVTVGALLGFVVSLAVHATL >SECCE6Rv1G0384070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:60163205:60163576:1 gene:SECCE6Rv1G0384070 transcript:SECCE6Rv1G0384070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLRKSLKSGSCDDLENRRRKMREEYEAGHARLLDLQAREREMRELVPAATSAIALLATPRVGDTRETYCHVCHDDFEEEDKLRAMPCAHSFHQRCIFRVLSVNRLCPVCSYELPGDYLEMP >SECCE4Rv1G0217880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18861700:18863732:1 gene:SECCE4Rv1G0217880 transcript:SECCE4Rv1G0217880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMANVLLMPYPCQGHINPMLHFAKRLASKGVPATLVVTRFIARTARFDPGPVRLESISDGHDEGGLSSAASVDEYVERLESCGSASLAALIEEGHFTHVVYDSFMHWVARTARRLGLSTVPFSTQSCAASAVYYYVNSGLLDAPSPGDAGARSEPFAGLPGLERWEFPSFVFHDDGPYPVLTAPALAQFADRGAGEWVLVNSFDELEFEVVLDGLKRHFKVRAIGPCVPLPAADDSGDATGFTYGANLLDPEDTCIKWLDAKSPRSVAYVSFGSNASLGAAQMEELARGLLAAGKPFLWVVRASEEAQFPRHLLSTATASGDVLVVRWSPQLDVLAHRAVGCFVTHCGWNSTLEALGFGVPMVALPLWTDQPINARLVEEAWGAGVSARRDTSAGVFPRGEIEQCVRAVMDDVDGRVASTRAAARRLRDAARAVLALGGASDEKLGEFLEFARAVMDDGATESEPSPREAARRWSEAARAAVAPGGSSDQNLDEFVDYLRASTGEK >SECCE1Rv1G0007940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:43015090:43017917:-1 gene:SECCE1Rv1G0007940 transcript:SECCE1Rv1G0007940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLADLIIAMKQRRQQIQAGSNKPANPTPGISIPSGSKRTRSSCEQDDYSQVTKTMRFSGPDLPEDIWCHIHALLPLPDAAQAACVSREFLHSWRCRPNLTFSQETLGVNLTFCERTLRIGEEKLALKFTKIVDEIMKKHTGIGVKTFKLLYCGSRIYTSRLKSWFKIAVNPGLEELVLSLPVVYDAKCYNFPCSLLFNGSGKSISYLNLEGCAFHPMAGLGCLRKLHLSRVDITGDELGCLLSNSLVLKELNLSQCNNITCLKIPCLLNQFNQLTVFGCKSLEVIENKAPNLCTVRIDTTLVRLPFGDSLPVKNLEMLWPFEYNLVYYACTELPWIMPNLETLDISSGGEVLNTPIVPAKFLHLKLLEICLCVAEGAFSPAYDYLSLAFFLDACPVLETFKLSICQTRMKHDSVSGDYSLLRKMPEHHHVNIKNVKIYGFCSAKSMIELTCHILDSATSLENLTLDTIYDDADLEHVDRLDVHEIGGCSSPIGKRMIREAHKAVLAVEKYIVGKVPSNVKLNIKKPCSQCHSVK >SECCE5Rv1G0319520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:376657583:376659526:1 gene:SECCE5Rv1G0319520 transcript:SECCE5Rv1G0319520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAIHRVLVQGVSSSDRLPPLTVKLLHGRLLRLDLIADLSALLLRALSSSGLHVHALRLHSLLPLPSHLTLPCALKSASRLPNPLPVGEQLHARSLKLPSHSNPYVLTSLLNLYAKCDLLDHARSVFDEMRCPNTVSWTALITAYMNAGRVREAVAIARDAFASGMRPDSFTAVRVLTACARVADLGTGEAVWRAAQEEGLAGGVFVATAAVDMYVKCGEMARAREVFDKMPEKDAVAWGAMVGGYASNGHPQETLELFFAMQTQRVKPDCYTVVGALSACTRLGALDMGRQAVRTVEWDEFLDNPVLGTALIDMYAKCGSTCEAWVVFQQMRKRDIVVWNAMILGLGLTGHGKIGFALVGQMEKSGMKLNDNTFISILCNCTHTGLVKDGRRYFHNMTQLYNITPRIEHYGCMVDLLSRAGLLQEAYQLIDDMPMQANAVVWGALLGGCKIHRNTELAEHVLKQLILLEPWNSGNYVMLSNIYSNSNRWEDAAKLRSHMKVKGVEKVRAYSWVEFSGKVHEFRVGDKSHPRMDQIYQKLDELGMEMKTMGYKPTTDVVMFDVEDEEKEHTLVYHSEKLAIAFCLLTTQPEEVIRVTKNLRVCTDCHTAIKLISRITHREIIVRDNNRFHCFKDGFCSCNDYW >SECCE7Rv1G0479590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:255272136:255275765:1 gene:SECCE7Rv1G0479590 transcript:SECCE7Rv1G0479590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFLTTIRSLKLIEGCKAAQIYPFNSAASTSGGSGDGGGGAKLLPLPPPRSVSLMSASLCYPHAPATSGAFSSDSTLPCGLPAAAALEPALDACLRPVDHVSALAASYRRMSSAERQGDDLCDVHLEQHALFHALGDPRLLRRALRAARVHATDSHRRVVLAAWLRHERREDEFDPMPPPLDPCGPTTPLLECPRSAVFAMESSGVDPVCPCRRPPPPPPRPPRLRRDAILRRNASIAFEASEEEDDDDDDDETNDLWFVIGQEEVACERSCIAALSKPLNTLLYGGFAEARRDHIDFSRDGITARGMRAVAAYSRHGRLDDFPPDTMLELLAFANKFCCDGLKVACDNKLASMVRGVDEALSLIDLGLEEAAHLLVATCLQAFLRELPKSLSNPEVARLLCSPEGRERLDAAGNASFALYYFLSYVAMEEDMRSNTTVMLLERLWECAELPWHKQLALHQLGCVMLERGEFRDAQEWFEEAVAEGHVYSLAGVARAKFKCGHKYMAYKLMNRVVGDYDPAGWMYQERAVYCVGKEKMADLRTATQLDPTLTYPYKYRAAALLEEDKMDRAMEEIDKVLSFRMATDCLELRAWFYLVAGDFEAAVQDVRAILTLDPTYMMFHGKMHGEQLIELLRGHVQQRDMADCWMQLYDRWSGVDDIGSLAVVQQMLAREPGNSSLRFRQSLLLLRLNSQKAAMRSLRLARNSSIHDHERLVYEGWILYDTGHRDEALEKAEQSLRLQRSFEAFFLKAYALGDSSLDVESALSVVQLLEHANSCASDNLRKGQAYNNMGSIYVDCDLLDEATECYSIALSIKHTRAHQGLARVHFLKNRKKAAFDEMTSLLKIAKNSASAYEKRSEYAERDAAKSDLNMATLLDPTRTYPYRYRAAVLMDENKEDEAIGELTQALAFKPDLQLLHLRAAFLDSMGDSASTLRDCEAALCMDPEHGDTLELYNKASTKAGQSES >SECCE6Rv1G0386420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:96015544:96018739:1 gene:SECCE6Rv1G0386420 transcript:SECCE6Rv1G0386420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSRDDHDLSDSDDDDTSSRASDASSDFSTPPPASASASRAARVGTPASVVDDIDHHLRNLQLKYSEPISPNPSPTPNPGASASAVNAVKLHLHIGGSTAAARWVTSDRLTAVSFVRSGEGASDPDDDDEPTGPWFLVVGSKIRAKVGPELQLKTFPVQRRVDFVAGGVWALKFLHADGYGDFNAKYQSCLFENSYGVEATDEGRAKVFGKEFAAWARPECGDESIWEDASDALSPGPKGSPMPARSPMLRPLMEDFREFEEPVEEGDGNIQSLALGALDNSFLVGDSGIHVVKNFEHGIHGKGVSVKISGGGTNFTTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGKVVSQWKFGKDGADINMRDITNDSKGAQMDASESTFLGLDDNRLCRWDMRDRHGIVQNLASSMESPVLEWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDAYLILICTIFIDKDGKEKTGFGGRMGNRIAAPRLLKLSPLHSHLAGDNSKFREGRFSWVTDNGKQERHLVTTVGRYSVVWNFLQVKNSHHECYQNQEGLKSCYCYKVIPKDESIVASRFMHEKYDVSDCPEAPLVVATPMKVTSFSVSSGQR >SECCE1Rv1G0031340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:441410262:441411862:1 gene:SECCE1Rv1G0031340 transcript:SECCE1Rv1G0031340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSGPVLHRQILPSPFVPCWRISPRTPAPIAAQPSPRRIWRLPQLRSSLPPEGAPAELVAEDSKFVPLNADDPMYGPPAILLIGFDKSETIKIQEFLKELDGDFLKVIHCTEEMTKQTLWDAMHTEQPNVEAVKILKSPQRICIFSGLTGEEMMMFINAFPETGLEQAAFAALVPNSADKVLSEVIEEILGDHEMLTGKNST >SECCE5Rv1G0357960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:750991233:750992468:-1 gene:SECCE5Rv1G0357960 transcript:SECCE5Rv1G0357960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARGSVGTAADGLDLELRLALPSAPIGYDIDLALVAGQIPVESVAVAKVERAGDLGIVGPVESVAAAAVEKGSDLAIVYEGSVRGDHVPPAVTEGVAVAEVEKARDLAIVVYEGPAGGNDAPPAVTERVAVAEVEKANNLAIVLYDGPAGGNDAPPAVGELGLLATPDDEPQDEHVEAGQIVPAGSTSSTALVLYYLPLQQVQPGRGIPLLSWAGHGARQSRRRAVAAELDPALNSADTVRAWVRDELEESHHLPRDLALTYIGEKVLSQSDLNPRQARFLLPASADVRLCAFLNPVEIAACGLNNTERKAKRTRIPGERARATTYTGVPLSVYVSSGRGHGVSDQLKLNKFHRSGWTVINGRRYRHFMEACGLKGGDGVEVWAFRWPPELRPCLLIAKRDGVLPTRNP >SECCE5Rv1G0355260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:730994407:730997823:-1 gene:SECCE5Rv1G0355260 transcript:SECCE5Rv1G0355260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDATSPSHRIARVAAHLNPTRPQMEEGAALRPAACRAKGGAPGFKVAVVGAAGGIGQSLSLLMKMNPLVSVLHLYDVVNTPGVTADVSHMDTSAVVRGFIGQQQLEAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRSICEGVAKSCPNAIVNLISNPVNSTVPIAAEVFKRAGTYCPKRLLGVTTLDVARANTFVAEVLGVDPREVNVPVVGGHAGVTILPLLSQVTPPCSFTPDEISYLTNRIQNGGTEVVEAKAGAGSATLSMAFAAAKFADACLRGMRGDAGIVECSYVASEVTELPFFASKVRLGRGGAEEILPLGPLNDFERAGLEKAKKELSESIEKGVSFMNK >SECCE4Rv1G0229100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:142685350:142685991:1 gene:SECCE4Rv1G0229100 transcript:SECCE4Rv1G0229100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGNHGEDDIRRTCRRFLAFLFFLALVVAVIALIVYLVLRPTHPRFYLQDASLRQLDVLTANASAAAGVLSTVLQVTVASRNPNDRVGVYYDRLDVYASYKYQQITLAAALPPVYQGHGDVEVWSPVLSGPSVPFAPYLADALAKDVQAGYLILQVKIDGRVRWKVGSWISGHYHIFATCPAFLVGAGGNGAPGASGLRFQAATYCHVEV >SECCE2Rv1G0089070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:252679043:252680213:-1 gene:SECCE2Rv1G0089070 transcript:SECCE2Rv1G0089070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVVVCLLIVAMDVVAGVLGIHAEKAQSQGRHLKILFIECRQPVPQAYKLGIAAAAVLAASHAIANIVGGCSCTWPCCSCGQGRRPSPNRQMASFALVLTWMVLLVGLALLILGALPNSKKSMAECGVERHRFLSIGGILCFVHAVFCLVYYVSANAAAREEGRGSGPKPAGVYT >SECCE1Rv1G0041500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:571906967:571908056:-1 gene:SECCE1Rv1G0041500 transcript:SECCE1Rv1G0041500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPSSLPAPTYSDPSMHHAVNFSGDLHTAPTEIPRGTGFFHANGGLFSPVDVAASAPPSYISPLPPYNINTSTSSHTLPFHLQFLDPLNGNAAFSCPSHSTWQLHPPPFASSPSSSSGDFFEFSSSATMRRVLSTGDLQGNNVSPPLPPPPIPPQFPGDNCSQEAGDPFSEKVGRYSAEERKERIERYRAKRQQRNFHKKITYACRKTLADSRPRVQGRFARNLEVEGDMETEASDISYEYCPYNDLGGNSQSQCMETVGDSTAFDNSKWWWGTPVAASRQQQQLGFDIDDDESQLWASLADMC >SECCE7Rv1G0504960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:728740523:728742961:1 gene:SECCE7Rv1G0504960 transcript:SECCE7Rv1G0504960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNHEAHHEDFQLKDTNPLLGEQWPKGAAGPARPAVGGGIAGWLGMDKPSSTYDLVEQMFFLYVRVVKAKDLPLNPITGAPMDPYVEVKLGNYKGTTKHYDRRANPEWDQVFAFSKSRVQSNALEVYLKDRDMLGRDDYVGRVLFDLAEVPTRVPPDSPLAPQWYRLEERRGGDYKVRGELMLAVWIGTQADEAFPEAWHSDAATVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQSRGRAPEVFVKAQVGNQILKTSVVPAATLNPRWNEDLLFVVAEPFEEQLVMTVEDRVSPRKDDLLGRVQLPLTLFEKRLDHRPFVQSRWFDLEKFGIGGAIEGETRRELRFASRVHVRACLEGAYHVMDESTMYISDTRPTARQLWKPPVGVLEVGILSATGLQPMKKQEGRGSTDAYCVAKYGQKWVRTRTMIGTFSPTWNEQYTWEVFDPSTVITIGVFDNCHLGGGNGNNGGGGGGGGPPARDARIGKIRIRLSTLETDRVYTHAYPLILLTPSGVKKMGELRLAVRFTCLSMMNMVHLYTQPLLPKMHYLHPFTVTQLDALRYQAMGIVAARLGRAEPPLRREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGAAAAARWFADVCHWKNVATTALVHVLLLILICYPELILPTVFLYMFMIGLWNYRRRPRHPPHMDTKMSWAEAVHPDELDEEFDTFPTSRQQDVVYMRYDRLRSVAGRIQTVVGDMATQGERLQSLLSWRDPRASCLFVFFCLITAVVLYVTPFRVVALVAGLFLLRHPRFRTKLPAVPSNFFRRLPSRADSML >SECCE4Rv1G0277760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:805671779:805673567:-1 gene:SECCE4Rv1G0277760 transcript:SECCE4Rv1G0277760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRNWSLLSSTMVIWGSVGAAGLAGVFLFGGKEKFQGYLSREGERLRQQDRAMMGKN >SECCE1Rv1G0043350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:591706353:591711042:1 gene:SECCE1Rv1G0043350 transcript:SECCE1Rv1G0043350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLNSNIGGDSTGRPFTSTFSGQSGSFPNFHHSGLHNIHGNLNLASLSPRNASLSGIPSPGVQQPGGNISGSRFPSNNLPVSMSQISHGHSGISNRGGMNVGGSPVFSSSMNAIGGSAQGLSSSMANVGNRNSAPGLTASSVLGNLGPRITNSAGNIVGGSSIGRSISSAGMSMPGISSRINSSGNSGSGALNIPSNRLSSMHQASPQFMNILGSSYPTPGGTLSQNQVQAGNNSFSSSGMLHDGNSGDNSPFDINDFPQLTGRPNSAGGGQGQYGSLRKQGVSVNAIVQQNQEFSIQNEDFPALPGYKGNSSDYGMDLHHKDHLHENANIMQAQHFPMGRSSGFNLGGSYPPRQQQQQSTTSVQNGLDNIGLRPINSPSPASNSGSYEQLIQQYHQPQTQNSLRSQTSSGQQSFKDSSGQQSYKDSSGQQSYKDQSQKSAQGKETVPDPYSLLGLLNLIKSKEPGPTALALGLDLTTLGLNLNSPDCLWKTFGSPWSNEPAKGEPDYQIPACYSAEQPPPLQPFNFPKFHPLTLFYIFYSMPKDVAQLYAANELYNKGWFYHREYRVWLTRASNVAPLVKTPLYERGSYICFDPSVWDTIQKDNFVLQYESVEKRPVLPSASQNVRL >SECCE5Rv1G0311450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:193033029:193033527:1 gene:SECCE5Rv1G0311450 transcript:SECCE5Rv1G0311450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAIAKSTGLLREVKNRQSSNLTSRVQPTKARSAETALWVPHPRTGIYYPRGFEWVMEDVPSSAATFQQTYWLRSGDAETASSPTSTDGTAAFDNPFL >SECCE2Rv1G0105920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:626976768:626978317:1 gene:SECCE2Rv1G0105920 transcript:SECCE2Rv1G0105920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKDPKYISISVNARSDCAVPMSYDVPLLGAQEATRPGKAPQGGGQDDGNASFVRTCLNGTNGLAGVGLLSMPYALAEGGWLSLALLAAVAVTCWYTGLLLGRCMAADQAIRTYPDIGERAFGRPGRLVVSAFMYAELYLVAIGFLILDGDNLDKLFPGASVRLGPVSLAGKQLFVVLVALMVAPTTWLRSLGVLAYVSAAGVFASVAIVLSVLWAAAVDGVGLSGRGTTTPLRLTGLPTALGLYTFGYCTHAVFPTLYTSMKQKSQFPKMLAICFVLCTLNYGSMAVLGYLMYGDGVQSQVTLNLPAARLSSKIAIYTTVVMPFSKYALVVTPIAVAIEERFRGVVGEGAAASVAVRTLLVLSTVVVAIALPFFGYLMALVGSLLSVCACMLLPCLCYVRIFGAASLTVSETAAIIGILMLGLLVAITGTYSSLVQIIHELQGV >SECCE3Rv1G0200170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:851719192:851724402:-1 gene:SECCE3Rv1G0200170 transcript:SECCE3Rv1G0200170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTMESRMDQYEIMEQIGRGAFGAAILVNHKTEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYTVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLRWFAQLALAVDYLHSNYVLHRDLKCSNIFLTKDHDIRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPIPTCYSASMKTLIKSMLRKNPEHRPTASDILKNPYLQPYVDQHRAFTPVPHPMRSPGKSITSSRSSRRSMSGSQCSSISGTGSDLDSIQSSERNTSGLATSSNNTTIGTDGAEATDDASVKTCSTPRDLKSHKDIDSPELERHDSSKFIHVDQRPKYGSRQPKIIKKILTTLREETSKLRVNNSPLRASRVKLHSPSHRELLSDDSEHNSVSSSVKSSEVTPHAPAKVNRDTVKHIQASPPLKYLSPIVEHSPKVKIKADELQQLDPTKQTIEDVNAAEGKVKNRVLPSFGRRSSFPPRRPVGAESPQHTGTKRAHSKVTTEHAKSPSRPVHSPKNAFTELPGVLMVPPSPLGGNQMKVGNSQAECAPPGDVATKEVSSACSSSTIDYADSIKQSEEPSEPDSLPHLDSSCKCPTLDTVVQKHDQTDMPSSELNTTNLQKSMVGNDDSSVSSALDPSVDTNVQEFICKDDIPCSKTVQSTPTISVQSEEDKFTVQELLSSVPYIAPYDSATKTIALDKGSNSIHQLSEKSPVPHLGPPAEDIIHVIRHSGFSVSDEQAVTGNAEMEAQSTDVGELGDIIKEEVGVRSITPSLVPSGLVGSAAVKPNISEDNTIGPKLANSDVVKFPAIPEANPTPRETNGTCKEEVTPAKEMLDVKSFRQRSEALEGLLELSADLLENNRLEELAVVLRPFGKAKVSPRETAIWLARSFQGMMNDEAASRSST >SECCE3Rv1G0192810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762659504:762659920:1 gene:SECCE3Rv1G0192810 transcript:SECCE3Rv1G0192810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGAAALLIASLLVVAVTLADARVTVQLQRDSINGGYAADKAVPALTCNKVSAVQTGESCSSLAESAGLTLEEFLGFNPNINCVRIFVGQWVCLDASSA >SECCE1Rv1G0019720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:242735863:242752403:1 gene:SECCE1Rv1G0019720 transcript:SECCE1Rv1G0019720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHIATIKCAPLTPSEIHHSAAATAMAYRRKPQSSFEHHHPQPVSPPSPPQDSLAAQAMRASAAHRDASSLSSAYSSSAASAAAAARRSHEPSVSTPSRDSSSYGYTSMKSLNEAKYGFWGTLARKAKSLIDEDGSPGQYDSPTRQQPPRVGTSPAFHRRHLQQPAPEKWKSETPPSQKRSEALASSLNYIGGTIKSALEEGRTIVETKTADIIQETRKLNIRRKGAESNPQGETSHKFAPRNFSQNPLDYETQLKASRDVANAMSAKAKLLLRELKTVKADLAFAKERCAQLEDENKMLRENEDNGDNPEDDDLIRLQLETLLAEKARLAQENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVSEVYPTQVLPPAGLGRASTPVTLKHVSSSRASTFALQEPCLIVPVSPKTLSGASSQSK >SECCE3Rv1G0172590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:299747330:299759749:-1 gene:SECCE3Rv1G0172590 transcript:SECCE3Rv1G0172590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRVWSRSASQSSPASPRALASAAASAARSLLLRSGMPLSRDPPGLAERPCAGIAEAGVRTADAPPPCSSSGDSRGEHACRRRTYQRNPVSSRAKPVGGNHHVLYASYLSQNQQNYRCFSAYSDQDRIEAANRIIHGLPRCVKIVEVGPRDGLQNEKNTVPTPVKIELIKRLATSGLSVVEATSFVSPKWVPQLADAKDVMEVVRNITGVSFPVLTPNLKGFEAAAAAGAKEVAIFASASEAFSKSNINCSIKESFVRYNDVALAAKKQEIPVRGYVSCVVGCPVEGSVPPSNVAYVAKELYDMGCYEVSLGDTIGVGTPGTVIPMLEAVMSVVPVEKLAVHFHDTYGQSLSNIIVSLQMGVSVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGVKTGVDLGKVMAAGEFICKHLGRQSGSKAATALSKVTASASKL >SECCE5Rv1G0337840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:594386298:594386777:1 gene:SECCE5Rv1G0337840 transcript:SECCE5Rv1G0337840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPDGINIPDGKFYLGDAGYACRPGILPPFRKTRYHLNEFSGRNYPRTAQELFNLRHSSLRVTVERAFGALKNRFKILDQKPFHPYPTQVKLVLACCILHNWILQWGFDEHVPEEEEVEPDDVVSSGHGVEAFDNDAWKNKRLEWAEAVWLNRGQCRI >SECCE5Rv1G0358350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755499260:755500732:-1 gene:SECCE5Rv1G0358350 transcript:SECCE5Rv1G0358350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDEPLHILFFPFLASGHLIPIADMAALFAGRGVRCTILTTPVNAAIIRSAVGRANDAFAGAGCPAIDIAVVPFPDVGLPPGVENGTALTSQDDRDKFFRAAQLLREPFDRFLADHRTDAVVSDSFFHWSVDAAAERGVPRIAFLGSSMFARSCSDSMLRHNPLANAPDDPDALVLLPGLPHRVELRRSQMMDPAKMTWQWEFFKGVNAADQRSFGEVFNSFHELEPDYVEHFHKTLARRVWLVGPVALASKDMAVRGTDAPSPDADSCIRWLNAKPAGSVVYVSFGTLTKFAPAELHQLARALDLSGVNFVWVIGAAAGQDSAEWMPEGFADLIACGGRGFLIRGWAPQMLILSHPALGGFVTHCGWNSVLEAVSAGVPMVTWPRYADQFNNEKLVVELLKVGVSIGAKDYASGVEAHEVIAGEVIAESIRKLMEADAVQKKAKDLGVKARRAVEKGGSSYDDVGRLMDELTARRSSVKVGEDVQAS >SECCE1Rv1G0012480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:92954761:92955536:1 gene:SECCE1Rv1G0012480 transcript:SECCE1Rv1G0012480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAMVSPLLSCAIMALLASVLPSPSVAGDPDLLQDICVADLSSGVKVNGFACKATVTEDDFYFKGLGAAGNTNTTYGSVVTGANVEKVPGLNTLGVSMARIDYAPGGLNAPHTHPRATEMVFVLEGALDVGFITTGNKLVSKHITAGDVFVFPRGLVHFQKNNGDGPASVISAFNSQFPGTQSLAMTLFAATPPVPDHVLTAAFQVGTKEVQKIKSRLAPKKA >SECCE4Rv1G0291840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:879000401:879000901:1 gene:SECCE4Rv1G0291840 transcript:SECCE4Rv1G0291840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGLIVVALLLAAAFLVATAEQSQANKEETQEGGYSGGGGGGHHGGGGGSGGGYPGHGGGGGGGYPGHGGGGGGGGGYPGHGGGGGCSHRCCGHGGCHCCAGPDEVPEPKYRAEVRN >SECCE5Rv1G0322960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:428113678:428116160:-1 gene:SECCE5Rv1G0322960 transcript:SECCE5Rv1G0322960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRHGAPLCAPLPPAGGLFFGVGRHGSALVRPDVLRRTCFLWAAKMGGGAISYQMKNSRWRPVFALETGGPPNADGEDFEEDSGFLGRTRLGRLVQAAGRQLLEKLNSARSNSPTKIFLVLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPVTRPPGRFQSFISMVNFWKAGVCLGLFVDAFKLGS >SECCE7Rv1G0467360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:87198811:87203552:1 gene:SECCE7Rv1G0467360 transcript:SECCE7Rv1G0467360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MAATAAFLPPTPSPILRPRIATARHRGLPICAAASDAPPPDAEADEGEAPRSARKDRRRVVRIAWEKLVRWSRSWRSRNRSDVLETTRKVVVLGGGSFGTAMAAQVAAKKPDLEVAMLLRDDLVCRSINDRHVNSKYLSEYSLPENIIATTSASEALAGADFCFHAVPVQFSSSFLGSISTYVDPKLPFISLSKGLELNTLRTMSQIIPLALGNPRQPFIVLSGPSFAVELMEKLPTAMVVASKDKKLASAVQQLLASSNLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMHLGNNCMAALVAQGCSEIRWLATKMGAKPTTLAGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDEILDSMNQVAEGVSTAGAVIALAQKYNVKLPVLTAVARIIDNELTPKRAVMELMNLPQVEEV >SECCE6Rv1G0420500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:677505434:677507407:-1 gene:SECCE6Rv1G0420500 transcript:SECCE6Rv1G0420500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVLVSSPATVLWSLLSLVLLWQVKRLLDYTWWRPRRLQRALRAQGLRGTPYRFPVGDLGDYGRQGKEASSRALPLRCHDIRAHVAPYLYNTILEHGKTCVSWFGPVPKVTIADPGITREVMSNKFGHFEKLQFPKLTRLLAGGVAAHEGEKWVKHRRILNPAFHIEKLKLMMPAFSACCEELVSRWTLSLGSDGWCEVDVCPEFQTLTEDVISRTAFGSSYLKGRRIFELQSEQADRIVAEVKKIFIPGYMSLPTKKNRLMHQTNNEIESILRALIEKRMQSMQQGESTKDDLLGLMLESNMRETDDKGQPILGMTIEEVIEECKLFYIAGSETTSILLTWTMIILAMHPEWQDRAREEVLGLFGTNKPEYDGFSKLKTVTMILYEILRLYPPAIAFMRKTCKETEIGSITYPAGVIIELPVLLIHHDPDIWGSDVHEFRPERFADGIAKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCMILQHFEFDLVPTYSHVPYNQKMLRPMHGAQIKLRAI >SECCEUnv1G0560400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:346971675:346974423:-1 gene:SECCEUnv1G0560400 transcript:SECCEUnv1G0560400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eyes absent homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) UniProtKB/Swiss-Prot;Acc:O82162] MEEAVPASANSQTSTVDAVADQSINVYVWDLDETLILLKSLLDGSFAGHFEGLKDHAKGIEIGKRWENIILELCDEHFFYEEIENYNQPYLSALREYDDGKDLTKYDFEADCFSSPFDDVNKRKLAYRQRAIGDKYAKGLQKILDHRMFKVWSDLYSSTDKYTDGWLSSAHKLLEEALGKSTAEPAVKSSSINCIVTSGSLVPSIAKCLLYRLDDVVSSDNVYSSWETGKLQCFKWIKERHGGPNVRFCAVGDGPEERSAASIMKWPFVKIEIRPDAPHRFPGLSLPMLHGIMDAVYQ >SECCE6Rv1G0381870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:35868449:35871918:1 gene:SECCE6Rv1G0381870 transcript:SECCE6Rv1G0381870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein BIN4 [Source:Projected from Arabidopsis thaliana (AT5G24630) UniProtKB/Swiss-Prot;Acc:Q9FLU1] MSDEDGDPDWLTAFKAPSTAPVMLSSDSDRSRGNSPARTAPSKQEEKAPRKKLMLSSDSEASPGNSPSRAGDADEEENSLANTSKKDGQQSKGKKTKVAVKKVPAKRDDTLEQPEDEANEEKMQDKLADNSVSQRLPLTIADKVQRSKALVECDGDSIDLSGDIGAVGRIVISNGPTGNHDLLLDLKGTVYKSTIVPSRTFCVVSVGQTEAKIEAIMNDFIQLEPHSNLFESETMMEGTLDGFTFDSDGEGDRLHELNASQNDPNNENEDQPKGKTKRKTATKPAAKGQKKAKVAKKGTRKTQTTKRAKKAKK >SECCE5Rv1G0318640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:364328023:364329641:-1 gene:SECCE5Rv1G0318640 transcript:SECCE5Rv1G0318640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESMAATPSEEQGRGGGLSLPPGFRFHPTDEEIITCYLLRKFLDPSFVSRAVGEVDLNSCEPRDLPGKATMGEKEWYFFVHKDLKYPTGSRANRATKEGYWKATGKDREIFKPRARELVGMKKTLVFYTGRAPRGAKSEWVMHEFRLEGRSRDQTMNNPKDEWVVCKVFNKKGEAKTPRAADVDAEYSAVTTPNASSVVDAGEGAGDFTDSMFTIDPLYIPNSDEYTSNQLPAKTTTATNNNSAPPPYNADYYYPSVPTTAGSFNLMSNYSLTNAPSNAQTAAAMASSVPATSGSSWQHMLMNTAPHGMMGRRSYDVNLHEQQAIMARALGGVVGAQNFGEPAKGPQLPSSATSGSGSVLPQQSKLGSYDDSEFPYGNYDLANNLVSLILDRSPKFLC >SECCE2Rv1G0129660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:869670695:869670962:1 gene:SECCE2Rv1G0129660 transcript:SECCE2Rv1G0129660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGSIHRAAKANVRGSVSHGKKLPASAAAMAERRRAEKAEEGLRTVMYLSCWGPN >SECCEUnv1G0555610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:301349073:301349657:-1 gene:SECCEUnv1G0555610 transcript:SECCEUnv1G0555610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSRSTASAEGSGRAVATAKVVGLDGSLAQFAAPVTAGEALGDAARAPSFLCSADELRFDAPARALPAEEALQPGWLYFALPMPMLRRPLSGQEMAALAVKASSALAGASGKGRKAARVAPLVVAAEGAGTEDGGWSHQVYGKGGALKTVHGGGETVGKTRKRAGGSYGSGTSRPAGVQRLSAILEVHDSE >SECCE4Rv1G0248220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:569344987:569358300:1 gene:SECCE4Rv1G0248220 transcript:SECCE4Rv1G0248220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGQLRELGDKLGSELPAEAEALAKLLEQAAECLHGIEQSPGSSVMEAIQPCLTAVVRKELLKHQDQDVKVLLATCFCEITRITAPEAPYSDDVLRTIFRLIVGTFGGLADVNNHYFSRRVAILETVARYRACVVMLDLECNDLITDMFRTFLEIVSEKHETNVVKSMQTIMALIIEESEVIHQSLLHVLLSALGRKKTVISLSARKLARGVIEQSAGKLEPYIKKFLTSSLAGANSSANGHIDHHEVIFDVYQCAPRVLKVVVPYITGELLADEVEMRSKSVELLGELFSLSGVPVLESFKSLFNEFLKRLTDRVVEIRLSVIEHLKKCLISNHSRPEAPEIIKALCDRLLDYEENVRKQVVAAVSDVACHEFGAVPIETIKLVAERVRDKSLPVKCYTMERLADIYKLYCLKGSDSSTNSNNFEWIPGKILRCIYDKDFRPESIESVLCGSLFPPEFPTKERVKHWVIAVTHFDKVEMKALEQILLQKQRLQQEMLKYMSLRQTSQEDAADLQKRILGCFRSMSRLFSDAVKAEEYLNMLHQLKDENIWKMFTSLLDCATTFNKAWSIRVDLLKSLGEKHELYDFVSTLSMRCSYLLVNKEYVKEILSAASEQKSTGNTKLISSCMDLLTAISSFFPSLLSGFEEDIIELLKEDNEVLKEGIAHVLSKAGGNIREQLASSSSVALLLERLCLEGTRKQAKYSVHALAAITKDDGLMALSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIISFITKKILDCSDDTAKVSADKSEWGDSSHSCLLKIYGIKTLVKSCLPCKDAQVHPGIEKLMGILKSILTYGDISPNMISSISDKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDFPQMRKLFLSKVHQYIKERALDAKYACAFLIGIHDYRTPQYEEFQHNLIEVSQICQQVKMRQLSVQADVNLLTAYPEYIIPYLVHVLAHDPSCPNIEEYEDAKAFGPIYWPLHLLLSTLLGEEGLQYSVPGMKKESFMTTLSIFRSIKCSKDVVDANKTKTLHAICDLGILIAKKLCPDQINVSENQTVPLPAQLYATVQNDQSENPVENDEQKWLGCETVLAHFEALMTPNVAEVESPEDKMLIDETDEFGNEIPLGKIVQILKSRGAKKTGGKQKAASVPVNIGEDDDVLGLLREINLDNQDNLGESVKSKPKKPQMDMKESNEKPVDFSTPKRKRSVSKSRPHSGKGSKDGDELLVHSASKGKPSESLENKLKEKRRADSNDKDLVASPTSTKTTVSKGKKDAKSHTEVSRSSAKKSADEDSTMRAAEQASLNGSFKRQKPRLVSGLAKCSTHDLSSKDLVGRRIKVWWPLDKEFYPGVVKSYDSAKKLHTVLYDDGDMEQLNMAKEKWKMVESNGSPMKQQKKDHVGSNQGRAHETKSTSSTKVPANQHKSVKTAQETKSTSSMKAPANQHKSIKTPSPLKRKGKPKTPPENKRRKTSGGSKSIAATSKASVNDSDSASSLAHSDSDKDVKSDGEKDKEVAVGSAEKEKTRKTEKESAEDMELEEKKPDGDSLSCKEESDDETLSVWKKRASQAT >SECCE1Rv1G0051380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:653420639:653424030:1 gene:SECCE1Rv1G0051380 transcript:SECCE1Rv1G0051380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIRSAGGLRRRLPSLLRSSTGSPARALEEERRCLARPLPGNAPRFFSSDSGGRASSYKHACKFNPGRKNHMEGQLAYLSRAAEKEAQDWREMREILKASAQRQAERDEECKRQDKVVNCAAAALFLALFAGQMFFR >SECCE4Rv1G0247210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:554481257:554486308:1 gene:SECCE4Rv1G0247210 transcript:SECCE4Rv1G0247210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYQEHNVEDMEDDYDMDDPVDDMDGEDYLGPEARDSSDEEDDEDAEANKASDTSSEEARRGKDIQGIPWDRLELTREGYRETRLLQYKNYENVPNSAEAAMKACKSTEKDGTYYEFRRNTRSVKSTILHFQLRNLVWATSKHDAYLISHYSVLHWSALSGVDTEIMNVEGHIAPSEKHPGSLLEGFSQTQVSTLAVKDNLLVAGGFQGELICKNLDQEGISFCCRTTYDDNAITNAVEIFNTSSGAVHFIASINDSGVREYDMERYQLCKHFRFDWPVNHTSLSPDGKLVLVVGDDPDALLIDANSGKTVHSMKGHLDFSFASAWSPDGRTFATGNQDRTCRVWDARNLSQSLHVLRGNLGAIRSIRYTSDGQFLSMAEPADFVHIFDVKSDYNKRQELDFFGEISGMSFSPDTDTLFVGVWDRTYGSLLQYGRLYNYSYLDSLF >SECCE1Rv1G0029270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:411655568:411660878:1 gene:SECCE1Rv1G0029270 transcript:SECCE1Rv1G0029270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVYGEEEWSFGFCENGSGVFSCPVSKNPMYTYRERIVLGETECTIATVNRILRELSRDWPGHSYDLLSRNCNHFCDVLCDRLGVPKLPGWVNRFANAGDTAVVVAENTAVKFRQAKTEIVNASRVAYRFMAGLTSKNQASQESPGEQNRGSPTFQGTWFKNVVSAGAKPSTSGSTPSQEADDAPPLQRQQSAEQPTRL >SECCE7Rv1G0516930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:850032118:850033392:1 gene:SECCE7Rv1G0516930 transcript:SECCE7Rv1G0516930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPVDRARPWEDGRKSTRIRSSSSPLSGSETAAVRLTDDLLVEILSRVPARSLCRFKCVSKHWLGLINDRNYRRMLPQTLTGFYFMGTTEEQLVHKVLPFTNVSGSRSLTSHAFLPNGGRVFLLDCCNGLLLCDVSAHRGKRCYVVYNPATEEWTELPHCDHAGWVGIVRLGFDPAVSPHFHVFLLTDQLNDFGLPGVDVCSYVFSSETRRWVHKEKKWYSDIDLVRVNSAAYLNGCLHFFADVNHSTLCLAADKEAGTMIYSRLPSLNDGFMQQSQGCLHYAGFERDANDDHVVRLQVYVLEDYSSKEWILKHNIESSHLFGGRHDVEEDFCWIAIHPECNLIFFTLGWDRTFMCYDMDRRQLKVICNLENGDPPYLPYVPLYEELQSLHK >SECCE2Rv1G0140890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:933362844:933365093:1 gene:SECCE2Rv1G0140890 transcript:SECCE2Rv1G0140890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMMTVEEVRKAQRAEGPATVLAIGTATPVNCVYQADYPDYYFRITKSEHLAGLKEKFKRMCEKSQIRKRHMHLTEQILQENPDMCAYMAPSLDARQDIVLAEVPKLGKAAAHKAIKEWGQPLSKITHLIFCTTSGVDMPGADYQLTKMLGLRPSVKRFMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPHESSHLDSLVGQALFADGAAAVIIGADPDESVERPLFQLVSASQTILPDSEGAIEGHLREVGLTFHLLKDVPGLISKNIERVLEDAFKPLGIDDWNSIFWVAHPGGPAILDMVEAKANLNKERMRATRHVLAEYGNMSSACVLFIMDEMRKSSAEDGHTTTGEGMDWGVLFGFGPGLTVETVVLHSVPITTHTIA >SECCE3Rv1G0214220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:964939139:964940176:1 gene:SECCE3Rv1G0214220 transcript:SECCE3Rv1G0214220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEYYPNGENPTCADFISLFLTFHYDADTDEGEPVEVRFSFSLVDQVEKQIPTHIRGTGETRHFSTATSIWGNDRFMRRDAVEHSADLKCDCLTIRCDVVVLSNSKDNDDAGGHGGTEMLPDIHQHFNSLLRNKVGADVAFQVGGETFAAHRCVLAARSQVFMAQLFGPMKESCTGVIQIKDMEPKVFAALLSFVYTDSFPDMYEDSIKLSEICKDTGQGQEDEMSSEAVGQGQDGEAAEDEMRLVQWLQGLFVAADRYDLQRLKFICVKQLSQRIGVSSVASTLALAEQHHCRGLKEACLKFIQVQSPPCLQALMASNGWGHIVTTYPSVLNELIAMLASNQRK >SECCE5Rv1G0338740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:602940162:602947491:-1 gene:SECCE5Rv1G0338740 transcript:SECCE5Rv1G0338740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVRTVPGVRSCFVALPLPVIQALERTAAAGSLPAVLALELRGPDGARWRLAWAGAVSASASPDAVEVSQQFAACISLPDNTEASLSAVSVLPKAKFVSVEPISEEDWEVLELNSELAEEAILKKVGVVYDGMKFPLWLHGDNVVEFLVISASPSNSIVQLVPGTEVAVVPKKRKSDADSNQCAPKQDLLKAQPHKKALLRVQEEGGKYVDQFEYNGIQLGVFATYAVQIHPDTAVKLSLGNLQLVSITPKFSPKEITENGKGSDQQIKGSVPGMKRSRHIVVQIILSDAVAKEHIMLPQSIRCYIGTCVHSWVYVQRLSPIVNKNATSITICPLHFKMLERSAGDNSALDCQEQDIYQTLGEISSAVNPPTGDNISLSRGFNDITADGNWEESFKLKQERFFIRSWLIGQLKGISSQIAQPEIKTVLLPSETLLHFQVIDQTGGSPIDLIYLLTTTSDFGVKHKKIELVMATEYGIDNFEQALQKLELNKAISLDSTTERARKFFDRGLSSLSWLDNEFSDIEKRLSVLLSSASLKLLSRIKCPYPGNVLLYGPPGSGKTTLCKAVARHFEEHKEILAHVVYVDCSKLATEKNKKQLQQIADYVYEAIVHSPSIVIFDDLDSLISFSQDNQKSQSSNSSAIVRYLVDVLDEYRDKSHSMCGYGPVAFLVSAKSLKCLPQDLTSSGRFDLHVQVPGLSVPARIEILSQTIVKLHLLCSAEIISNIASKCDGYDAYDLEILVDKAVLAASDRLLGSSTVNLVEEDFLKATRNFSPVAMRDISKFLPEISNGWEDVGGLSKVVNVIKETIELPLKYPKFFAGAPVRMRSNILLYGPTGCGKTHVVKSVAAAYSLRFIPIKGPELMNMYIGSTEQYVRDTFAKAAAAAPCLLFFDEFESLVPQRGKHGTQVTDRVVNQFLTELDGVEALTGVFVFAATNKPREIDAALLRPGRFDRLVFCDFPQWNERLDILRVLSKEVKISYRNLLLLSL >SECCE7Rv1G0471140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:128226907:128231202:1 gene:SECCE7Rv1G0471140 transcript:SECCE7Rv1G0471140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRPAVHPVEAPPPTDPVEQPTGVLMKDLPGMPGTAGGLALRLAQFAFAGVALGVMASTNDFPSVSAFCYLVAATILQCLWSFSLAIVDIYALLVKRCLRNRRAVCLFAIGDGITAALIFGAACSSAGITVLIDNDLNICAENHCGSFETATAMAFMSWFALTPSFLLNFWSMASR >SECCE2Rv1G0096020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:430724168:430728508:-1 gene:SECCE2Rv1G0096020 transcript:SECCE2Rv1G0096020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein CP33, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G52380) UniProtKB/Swiss-Prot;Acc:Q39061] MATAVAAFRSFLHPTAIPLPHSHFNLNNFQRHCVGLRVFSSSHRRHPILLPASAASGEEFSSDGEYYSEEGPEEYVEEEEAEPEVQAVRGYYPPRNRPALGQEPGRIYVGNLPYTFTAAELTASFSEAGSVDDVQIIYDKITDRSRGFAFVTMATAEEAAKAVQMFNGALLGGRTIRVNFPEVPRGGERAVASAAVARTSLRVVDDGTYKVYAGNLGWGVRADALKTAFEGQPGLVGARVIFERDTGRSRGFGFVSFQTIEDAKAALQDMDGVELDGRPLRLSLAAQNPPAGSTPSTVQSQQEQTALGGSEPEVDKNSTTSGQFEGEMEKSNLQTTASY >SECCE4Rv1G0226630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:112193556:112194476:-1 gene:SECCE4Rv1G0226630 transcript:SECCE4Rv1G0226630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPRVSEEAVAAAVPIAVYWAYAGVHTALGHSRLMDKYRLNTKDEEDRKNMVSRRAVILNVLMQHLMQLAALALLAMITGGRGATATKAAGGSTAAVYLTAAARIAVAVLVFDAYRYAWHRLAHLNRFIYRHLHSWHHRIVVPYAFGAIYGHPIEVLIADTAGASLAVLVTGLSPSCPRATAVFLTLCTVKAIDNHCGVCLLPRRLQSVWNGAAYHGVHHMPRGVRYNFSDLFFVTWDHVFGTHMPYAVEERPGGDGLVLKPLPPPKVY >SECCE5Rv1G0318200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:358431730:358434120:-1 gene:SECCE5Rv1G0318200 transcript:SECCE5Rv1G0318200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPPRDLTRLDPAAPPARDLAGLALAAERSRRPRPRHGSHAATPSGQSPDRRSSSSSACKDFLRKFVDNELLTASLEDWFSGNSEDSGFRKPAFDVPFDLAELQNFDYALESVTFQQLVRMPNALHASTSDVFEATAHLALEDFLHAGIKGLWEAFWGPEEAMPFSVACIHSTSSRFYPAEKAIGSGKLDGVCATAVLLKNSKHSQGRWDHIVVLALLRPDIGMVSAQSDQHLSPAVLGEALFFALRVLLSRSLSRSSTVLRNSDSVYVLLVDSQFGGVVNVQGDLNKLNFDLNNVYECAAEWIKKDAKITVSSVDRVWNKLGNANWGDIGTLQVLLAVYHSMVQFCGAPKYSLDELATEHSSRLQSRRSERHLVDRQSNGNGLFRFQQRSHSPEIVEVQEEGTVDVKPDEILKLEIGSIVLMEESNCQKGFQINDIRTESEPPIYGAVPVEEPTKSYLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLTLMKQRDISSRYIPQMVASGRVAHPGPCNKPNSSGSCGHPLCSTPILVTSPVGETISNLIRNGLFGVEEALRCCHDCLSALAAASSAGIRHGDIRPENVIRVSNGSRQPHFVLIGWGHAILEDRDRPLMNLFFSSTFALQEGKLCAASDAESLIYLLYFSCGGVCPELDSVEGALQWRETSWSRRVIQQKLGDVAAVLKAFADYVDSLCGTPYPMDYEIWLRRFRRTINEDHGKEVDTSS >SECCE3Rv1G0199190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:843293972:843298638:-1 gene:SECCE3Rv1G0199190 transcript:SECCE3Rv1G0199190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-deoxy-D-manno-octulosonic acid transferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G03770) UniProtKB/Swiss-Prot;Acc:Q8VZA5] MRPAHAPASAARGGRALYELYRGVSRAAAPAVLLWRRMRGLEHRSRWPERLGRPSVARPRPGSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPILLTTTTLSAFEVIKDLLPDVVIYQFAPLDCPDAIESFIGYWKPSLILLMESELWPNLIMSAAEKGIVVALLNARMSLKSFNRWSVPLGLPLISLMLSKLSLIIPLSTVQAVRFQLLHTPPHKIHFAGDLKYAVGDVEVGEKDLSTIKDLQRQFNDRPIWMAASIHRGEEEVILRVHDELIKVYPSLLLILVPRHPQDIKNVSLALKKQKVNFVLRSTMELVSINTRVYVVDTLGELRMLYRVTPIAVVGGSFLPSLSGHNFSEAAAAGCAVLTGPHVGHFYHMLVELWQINLLAVKQLTGEAELLEVLKELLGDSKALEARQGAAKDAFSIMSHGVVNRVWSLVSRFAIDAQQTRRIAD >SECCE2Rv1G0138910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:924263297:924266170:1 gene:SECCE2Rv1G0138910 transcript:SECCE2Rv1G0138910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSDLADQLQEDGMSVVSAEHEEGSEEEEPFEREFYDDDDDDDDDDNDSESETQAVDSCEDPSAEEEEVSGEEPCNVAAPLEDEDAGYEEPFVSELCYEEEDSGEQDSYYPEPLTDSYRKQLYEVEPCDDQVAHEYKFVKKKSSAVQTFQKEQNKREVLKRALRKGSNNEHKAVPAIDGMEMKPLKKQLSVRFATDVSCYTYSSESFGAAKLEKRKAQFDDQDSRLRKRQEHILSSLKDGCKLKEVDDTNLYVGNLPASVTSHKLIELFLPFGRIVRSKVADDRFTGVSQGYGFVKYAEPRSATAAIERMNGRLVDGKTLEVRVAGPPTSVSDPSKQSVSETCSLPSKEIDATNLYVSHLPLSMDTLKLLNHFRPFGKVTEIKVPKDHSTGLSKGYGHVKYADSRDAAQAIIHLNGVLVEGKRIEVRLSDIAPTLSNSAVGSHTNTRTIKEIDMANLYVCNIPASIDTNKLIELFLPFGKITHARVAADEEGAHSGKGYGFVKFADSQCAAEAIALMNGALVEGETLIVRVAGLSSSASSSSAVQGSPIASPEINKTRLYVTNFPRTVNADKLVELFVPFGQISKVVINPEYSLVYYADVASAITAAERMDGYLIGGKRLVVRGSDSYQMNAAEQALSQPAGKPMKEIDMANVYVGSIPPTVTGDQLVELFRPFGQIVQSRLFHGYGMVRYSYPSCATAAIDHMDGYQIGGRTLVVRVAGLPNPGDCLTLPELGNEQRQIDITNLYVCHLPLHVTTEKLIEIFLPCGQITQAKVVIDWHTGVSRGFGFVKFADAYGAAVALTHMNGCPLEGHILGVRIAGVHPSDMGSYMTRLYSQFTLPDPSTMAVGIPTSSYWPYYCAESAYAEGQGGDAASQTSQEESVSASSFAEKGCSSVSSHVADSSQQHSSAGWAGPPGFSPHAAPKKDTAATMKPSQPCSKVHLAQSGGSQKRRSVV >SECCE6Rv1G0418830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:664917686:664919311:1 gene:SECCE6Rv1G0418830 transcript:SECCE6Rv1G0418830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGMFQELLVLAILYVLLHHLTRTILRTKRASPPLPLPPGPRGYPVVGALPLLGRAPHRALAALARLHDPIMHLTLGRQGVVVASTPEAARLFLRDHGGSFLDRPANDVAPTVLAYGAQDLVFAPYGPRWRRLRRECSLGLLGPRALTDWAGARSEEVGRMVRAMSRRGAGTAVEVPEFLFCAMANMIGQAVVGRRVLDEAGGEEAREFKEMVVELMTTAGLVNLGDFLPAMAWMDLQGLGRRMRRLSARLDRVWSRLLSEHEATMAHSDRQHAGRPDLVDRLIACRGDARAGEDGVTDLNIKALLNNLFTAGTDTSSSTIEWALAEMLANPAILRRAQAEMDDVVGRDRLLQESDVPRLPYLRALCKETFRLHPSTPLSLPRLSTEPCTVQGYHVPEGTRLLVNIWAIGRDPAVWAEPARFDPGRFMTEEGSKVEPLGSHFELIPFGAGRRICAGARMGVTLVHHMLGALVHAFDWEVPEGMDMDEEFGLALQKKVPLRAVVRPRLAPAAYK >SECCE1Rv1G0052440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:661636466:661637944:-1 gene:SECCE1Rv1G0052440 transcript:SECCE1Rv1G0052440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGKQRQDEYYHLAKEQGYRSRAAFKLQQLDDRFRFLPAARAVLDLCAAPGGWMQVAVARAPAGAFVVGVDLVPIRPVRGAHSLTEDITTTKCRSAVRRLMDSKGVAAFDVVLHDGSPNVGGAWAQEATAQSALVIDAVRLATMFLAPNGTFVTKVFRSQDYSAIMFCLKQLFDKVEVTKPRASRGTSAEIYIICLKYKAPAKIQPELLDIKHLFSVDPEKSMPTDVLDSKSAKKRTRGGYEPGVTVLEKVGLASDFIWSEVQKPRDFLGSFTKISFDDPASLPIKNHELTTDEVKYLCEDLQVLDKNSFKHILKWRTRIRKELPSSSQVTPKVKDDVQLLQEMEEMDSDEEHQLEEMLDEAYERYVTKKGEEVKQEDKRTKRIGPDADAGLLEGGEDDGGDIGMDQGSNEDQGQETNPLLLCLDTEKPTEEQDCKAVVQSGCVRRSRKKGRGGKGGTKGGKGKMGAAGQKKGGMRGKVGKKAGGRALH >SECCE2Rv1G0112250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:707573510:707574535:-1 gene:SECCE2Rv1G0112250 transcript:SECCE2Rv1G0112250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTPAFPPQEGDAETNEELYGQFTELASSWPCSRGLSRANLLYRHEKGWYSTLPPMVGAMVADARFAARPSDIVVATMPKSGTTWMKALLYSTVHRREHAPGGPGHPFNSLGPHEYVRHLEYQLYTRNRVPDLDRLPDPRLLAAHVPLASLPRSVAASGCRIVYVCRDPKDTLVSTWSFVNKFRAEDGLEPISVETAVDYFCDGVSASGPYWDHVLGYWRAHLANPERVLFFRYEEMSRDPAAHVRRLAEFIGCPFSVEEEEGGVVDAVVKLCSFEHMTGLEATKSGKTELALGAVENSSFFRRGLVGDWENHLSPETARKIDAITEAKFRGSGLCL >SECCE7Rv1G0466530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:79487202:79487630:1 gene:SECCE7Rv1G0466530 transcript:SECCE7Rv1G0466530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHLLLIIFMASILQAATSETAYDILAQNNFPRALLPLGVKSYVNHGGALQVSLPNSCDFNVTVAGGQHKIRFDSLVSGVIQPGSITQLGGVRIQLEWDFPAFHTVERIGDKLRFTGGDHAALFDQSFPVSNFVQSPTCN >SECCE5Rv1G0368860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:831067890:831071330:1 gene:SECCE5Rv1G0368860 transcript:SECCE5Rv1G0368860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGRKERGRSPSVFRRMAMQCLCSGEQANLVDELNPSNGEIELYARNDGLREAELSLQEGGSLNYEEARALLAKVEYQQGHVEEALRVLDGINTAELIPMVKMSISRLARADPHSSHPPMSLHTVNLVMETIYLKTIALRDLGKFKEAAQECSMILDVIESVLPKGLPAKFGDGSNLNATIRSAVELLPELWKLADFPPEVLSSYRRALLSNWNLDAKAIGRIQKEFAIFLLYSGCEACTPTLRSQLDGSFVPRNNLEEAILLLMILLMKFNLKRLERDPTVMHHLTFALSISGRLKPLAGQFEKLLPGVLHSREWLYNVALCYLAEEDDLAALNLLKMILRFGEDSSCLKELLLTSKICSENGAHAEEGASYARRALASLDGGCDQLEVVADLLLGISLSHQGRYALSGAERASQQREALKVFGVAEKKMQDKDFRVLYNLSLENAEQRKLDAAALYAKKLLKLENGSELRSWLLVARITSAQKRFEDAESIVNAALDQTAKWSQGDLLQTKAKIQAANGQFKKAVETYTQLLAVIELRKKSVNSGIFVLQGTKDDGRNMETEAWYNLGLLYLSLSQWRDTELCISKIKATSAYSPLAYHATGKLLEARGFLKEALGAYSKALDLDPKHVPSLISAAIALRQLGGRPLPAARCLLTDAVRLDRTNHVAWFNLGLTYEDEGGSSSAALEAAECFQAAALLEETAPAEPFR >SECCE4Rv1G0244290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:513456492:513457178:1 gene:SECCE4Rv1G0244290 transcript:SECCE4Rv1G0244290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKYSQPLRLQFAKATRELTQPSRPSPTNQAAPNTKKSTETEISLSPGRRIPGCCSWAMATDAILETIKPRRSPWLEDLPVTNGGAAKGGKVDLSGMRRRVSSSLSLSLQPLSTSSSAAFRRARSMPSIKALAAAGAVRQWWEWGLGWVMTRKPSFARGLEMSDDEAALLGCHCRGTLRHVFYKARAEVRRLLGRDGRPLGGVAAQDFRYDSVSYAQNFDNGDVDARC >SECCE2Rv1G0064370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:3124498:3125088:1 gene:SECCE2Rv1G0064370 transcript:SECCE2Rv1G0064370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDVAEISELAALRPIQTAVSGARATALSGEDDGTVDTGCVTPMASGAESAMAGAADDGDPAADTGSVTPRASGCMAMLAIAPLQQDDGVDVGFATPLATGGEIGPRDGCGEGASAGDEGSFTTPTTADSALVPATVCPPAPRKSALAPTRKRAPLQQRLFFYPVPSDLTTVFVAVPQCPPPAKKMRAHVVPLGT >SECCE2Rv1G0067060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:17389755:17390039:1 gene:SECCE2Rv1G0067060 transcript:SECCE2Rv1G0067060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELERHVMFPAVYRLIELALLLPVATATVERAFSSMKIIKTELRSNMCDGWLNDLMVCYIEREIFKSIDLNKIKEDLQKEGRALPFPGSSTRH >SECCE6Rv1G0380890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:27320253:27324333:1 gene:SECCE6Rv1G0380890 transcript:SECCE6Rv1G0380890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPRSDRGGTAAAFVLAALLVVSWSAAGVGAIGANWGTQASHPLPPDTVVKMLKDNGFQKVKLFDAEDGTMSALRKSGLEVMVGIPNELLTIMANSMKAADKWVEKNVSNYLNKGCNVRYVAVGNEPFLSTYNGSFLLTTFPALRNIQSALVKAGLGNQIKVTVPQNADVYDTATGKPSDGDFRTDIHDRILEIVKFLSDTGGVFTVNIYPFISLYIDPNFPAEYAFFDGRSQPVVDGSATYTNMFDANHDTLIWALKKNGFGNLPIVIGEIGWPTDGDMNANAQLAQRFNQGFMTHIASGRGTPMRPGPIDAYLFSLIDEDDKSIQPGNFERHWGIFTYDGIPKYQLNFGVPNSEIKRASGVKYLDKKWCVLKPTVNLDDPKLPDTVSYACARADCTSLGYRTSCGMLDIRSNISYAYNSFYQKNDQDDVACGFSGYATTTGQDPSTGTCRFGIMIEVDSAYSWKPRRDRSNYLLVLLLALVHLCVSSS >SECCE7Rv1G0523990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884242401:884244767:1 gene:SECCE7Rv1G0523990 transcript:SECCE7Rv1G0523990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSAVAGELVSRFISFLLNKYHSSRPHSQEKVMERLQHLLMRVCTIVEEADTRYITNSAMMMQLNMLSEAMYRGYSVVDNSKYRALQDGASSDEVSSNDSSTRSLYLAKRSRTSNKGTHLELHCALESLEIVVANMAEFVILLGGCERMSRRPYDVYLYIDNFMFGRHAEKQKVLSFLLQHDDPPGDHVPAVLPIIGGATTGKKTLVAHVCGDERVRLRFSSILHLNGDNLLRILEHGMAMKGNMLIIIEFVSDIDDDEWEKFHSFLIRIGRGSKIIIISRIKRLARFGSVKPIFLSALSHDELRYLFKIMAFGSVDPTEHPRLLQLADEFAKVLHSMQASLVETTVFAHALRRSLHVQSWCGILDKGIRFLKRNLSMYGMQPRIALLEQGHPVDITDVTSHPHSMTPYTIHASIEKPPSVTSAELLTDPSVRPKGDFILILWESRIPPHESFVYFVTSRAQDTHQVCGSYIIVLFSHELSKPREGEDVFSNFFTSLAKVIICM >SECCE3Rv1G0154380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59949343:59949731:1 gene:SECCE3Rv1G0154380 transcript:SECCE3Rv1G0154380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLKKNTSFLCFVATLMVVMATTLLLSSCDAHTEADETAAFPLPASCYSIFFPNCTEDKCKEFCGGAGKPPAPKAFCNDNSNCCCPVIQL >SECCE7Rv1G0524820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887247989:887248904:-1 gene:SECCE7Rv1G0524820 transcript:SECCE7Rv1G0524820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTEVTAASGNSAKSGVIVWTAAMTNTMLGFLADLVAKGKRTSSGFREAHHRQCAAVLNEQFKLAVTGEQVRNHLKKWRKIWGRVVILKNLSGALWDEDTCTIRLAEEHYAGHCMAHKADAPFLNTPIEHYHAMATIFGTTAASGINARSGNDLLSIDVEDEENGEVNTSPNVAESSHPKGPPKKKAKVVKFLEDPLVTTLNYGFKLVADALVKSGDDDDIPMELWDEVCKLGEFDEEHLAHYYAHLVDNPKIAKAFMTLSQTNKSVWVSRYVKKNF >SECCE4Rv1G0284010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:837886569:837889539:1 gene:SECCE4Rv1G0284010 transcript:SECCE4Rv1G0284010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGTLIPSTPPLPCAYEPLPSSSADANGQEDRRASGGVRWRAWAAVLAVGALLVAAAVVGASRVDRDAVASSASATAVHAVAGAYSAHAGFPWSNAMLEWQRTGYHFQPEKNYQNDPNGPVYYRGWYHFFYQHNPGGAGWGNISWGHAVSRDMVHWLHLPLAMVPEHWYDIEGVLTGSITVLPDGRVILLYTGNTETFAQVTCLAEAADPSDPLLRKWVKYPGNPVVFPPPGIGMKDYRDPTTAWFDNSDNTWRIIIGSKNDTDHSGIVFTYKTKDFVSYELIPGYLYRGPAGTGMYECIDMYAVGGGRAASDMYNSTAPDVLYVLKESSDDDRRDYYALGRFNATANTWTPIDTEPGLGVALRYDWGRYDASKSFYDPVKERRIVWGYVVETDSQTTNVAKGWANLQSIPRTVELDEKTRTNLIQWPVEELDTLRINTTDFSGITVGVGSVVSLPLHQTSQLDIEASFRLNTSAIEALNEADVAYNCTTTSGAATRGALGPFGILVLANAALTEQTAVYFYVSKGLDDGLRTHFCHDELRSTHATDVEKQVVGSTVPVLDGEDFSVRVLVDHSIVQSFVMGGRSTVTSRAYPTEAIYAAAGVYLFNNATSATITAEKLVVHDMDSSYNRIFTDDDLELLD >SECCE4Rv1G0256500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:657189793:657190449:1 gene:SECCE4Rv1G0256500 transcript:SECCE4Rv1G0256500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTDLEFVEEKEGNQVYGRGALYVHSNFLVKGSDGKPTMFFAEMHPDCTQEEDVVCCTPLEENDYGHCVECDDRAKELRHPSSGGYLGGHDEMIFHFEELDSDDDYFM >SECCE1Rv1G0060800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712251660:712253051:1 gene:SECCE1Rv1G0060800 transcript:SECCE1Rv1G0060800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPRAVQLQLLLVLLGVAVVSASADAAFPREALPTSSGYIPVDTSTNASLFFAFYEASAPLAAPADTPLLLWLEGGPGCSGLLSNFLQLGPYSLSRRPGYGASLSRNPFAWNRRFGLLFLDSPLGTGFSAAPSTVDIPRSQPAIAEHVLAALQSFFDASPAHFRARPFFLAGESYAGKYVPAAASRILAANTALPAHRRIGLRGVAIGDGLVHPVAQVATYADTAYFMGLINGRQRRELVVMQAETAALARAERWGEATDARARVLARLQEATGLATLFDVVTQRWLDADALGEFVNRAGIKAALGARGDVAWEACAAAVVTALGEDGMKSAKSEVEALLRREGMRVLLYEGVRDLRDGVASTEAWLEEVDWDGMTAFREAERAVWRIGGERGEELAGYVQRHGALAHVVVYGAGHFVPAGNGRAAQEMIEGWVSRTSMFGGGSTADRVFETGGGVGHGVI >SECCE5Rv1G0336990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588171581:588174316:1 gene:SECCE5Rv1G0336990 transcript:SECCE5Rv1G0336990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVSAMAPLYFALGLGYASVRWWRFFTRDQCDAVNRLVIYFALPFFSFDFNAHAGTFAAGYRVLAADAVAKLVVVLVLAGWVASCRWRHWRWSLAPKAPPARPGCDRGPSWSWCITGYSLGTLNNGLFVGVPLLDAMYGKWARDIVVQLSVLQAVVWLPLLLVVFEARQAWLEVTSAAAPDGGAREEGDQAALGGESDDRDGRKTAATGCAFWARLLRTVGLKVGGNPNVYASLLGVLWSSVANRWHLEMPDIVDGSISIMSKTGLGIGMFNTGLFIGLQEKLVVCKPGLTVLGMAMRFVAAPAATAVGALLLGLRGDLLRVAILQAALPQSLGAFIFALEYDLHADVLSTVVIVGTLASLPVITTYYIVLGIM >SECCE2Rv1G0121720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:810152879:810153916:1 gene:SECCE2Rv1G0121720 transcript:SECCE2Rv1G0121720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAVPSPDLFSFHASIAPLPAPPVDAGDGDGDFEFRIPAAVAALSAADELFSDGKLVPLLPLLNPTSTCSAPPCPCVEEPPSEPVSPRAPRCAGRRWRDLLLLVTKKPKAGETKARAEAASLGRREAHSRPLLSRDSSSSSSASSCDSGKNARRPPAPSRPPLRTRSAPVASLLHLMSKKHAGDCRNGAAAAAPPKQRQQQPCAHPHLTRASSSSSASSSDSGRNSRPPWHPRGPARPWRPAIAAESPRVSASGRVVFRGLERCSSTPAAASIGGLRRPRPRGMERSYSTNVRVDPVINVFGFGHLFFPGSPAKEKKADPAGGGRRNRPEKLAMMLRDPQD >SECCE7Rv1G0506280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:747585573:747590720:-1 gene:SECCE7Rv1G0506280 transcript:SECCE7Rv1G0506280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSEQREQAVALLLDHLPAQGFLSQGAAEAYATDGSLDFDGNPALKHRTGGWRACRTLLGIEFCYNISINGITCNLITYLTVVLGKGNVAAARSVSTWQATSSVIPLVGAILADSYWGRYRTMVVSFSTFVVGMILTALSAYLPLLIKNGISNVVSVQESILFLGLYIIAVGVGGLRPCLMSFGADQFDDGDPLERRAKGPFFNWYVFTMYCGSTIASTGIVWVQDHYGWALGPTILAAVLAVGLSCLVATSRKYRFQPTRGSPLTGVCQVVVAAVRNFNAELPSDSSLLHEPREDNSVMKGFERIEHTTDLQFFDKAAIVVAPSNKEVEEADASALRSPWRLCAVTQVEELKILVRMLPLLATIVFFYAVAAQVPSTFVEQGMAMDTAVGSVRIPPASMSTFNVLTIVVLIPLYDRVFVPAARRLTGRENGISGLQRIGAGLAMPVLAMVAAALLETARLRAAKASPLAPKATSVLWQAPQYALEGAGQVLTTVGQFSFFYSQAPPAMKTVCTALGLLSIAAGEYLSSFLLTAVQWATATGGAPGWIPDDLNEGHLDRFFWMMAGLGCLNLMAFVSCAMRYKSRKA >SECCE6Rv1G0409980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:593041224:593047601:-1 gene:SECCE6Rv1G0409980 transcript:SECCE6Rv1G0409980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTHVVLAAGAPALLLVLLQLHVAAAADPPFSCGAPSSAAFCDRRLPLEQRAADLVSRLTLEEKISQLGDESPAVARLGVPAYKWWSEALHGVANAGRGVHLDGPLRAATSFPQVILTAASFNPHLWYRIGQVIGTEARGVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTMTGKYAAVFVRGVQGYAISGAINSSDLEASACCKHFTAYDLENWKGVTRFAFDAKVTEQDLADTYNPPFKSCVEDGGASGIMCSYNRVNGVPTCADHNLLSKTARGDWSFNGYITSDCDAVAIIHDVQGYAKAPEDAVADVLKAGMDVNCGGYIQTHGVSAYQQGKITGQDIDRALRNLFAIRMRLGLFDGNPRNNRYGNIGADQVCKKEHQDLALQAAQDGIVLLKNDGGALPLSKSKVSSLAVIGPNANNASLLLGNYFGPPCISVTPLQALQGYVKDARFVQGCNAAVCNVSNIGDAVRAAGSADYVVLFMGLDQNEEREEVDRLELGLPGMQESLVNQVADAAKKPVILVLLCGGPVDVTFAKNNPKIGAIVWAGYPGQAGGIAIAQVLFGEHNPGGRLPVTWYPKEFTSVPMTDMRMRADPSTGYPGRTYRFYKGKTVYNFGYGLSYSKYSHRFVSEGTKPPSMSGLEGLKATASAAGTVSYDVEEMGAEACDRLRFPAVVRVQNHGPMDGRHPVLLFLRWPNATDGRPASQLIGFQSVHLGASQAAHVEFEVSPCKHFSRAAEDGRKVIDQGSHFVKVGDDEFELSFMA >SECCE6Rv1G0407690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:562268367:562270857:1 gene:SECCE6Rv1G0407690 transcript:SECCE6Rv1G0407690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSCDLVAAHDNLLLISLTSSPRTVNTYKSPVYPQEHFICRASTSPSQPSLTLHKIPMCTEPLVVRGNKDKVITTQRSFHPHTVGILCRGDEEFAVAQLSLSRPNLVADMQADLCVLRSSVHNCDHKWEVEQQLPIQCKLSESSDLIYWKTDTVIPFKTALYWVDFCSGGMLFCDVFQKRPAISYLQLPVCNRNPGYEVRPFHDMHRSVCITKGGQELNFIDVVREDGKHFGPMSPGTGFTINRYALMTSSCGTLTWKKFFVKSSELDSLKTSPSQALTFPLVSMDNPNMVHFLLSKKVGGGIDNVSVVTVDMRAKEMVSSHPYIKGNEDLLGKDVDMVRRKSHLLQPFISSRLPEFVNRASFKRKVQTRRQD >SECCE7Rv1G0500180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:662642008:662644174:-1 gene:SECCE7Rv1G0500180 transcript:SECCE7Rv1G0500180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPHTGSESPLVVHLLLCCTFLLALAAPATIAASAPAPAPTPTTQNMTWDVEYILWAPDCQQRVMIGINGDFPGPNITARAGETVSVTVNNKLHTEGLVIHWHGMRQVGTPWADGTASISQCAISPGDSFTYEFVADKPGTYFYHGHFGMQRAAGLYGWLVVSATEERGEPYRRDYDGGELRMLLSDWFHENVYAQAAGLERKYDHFQWVGEPQTILVNGRGQYDCMLGAVTRFHRGIDRRARTCVRGKEAKLCGDEEMCLRRSECGPYCPESQCAPVVFDVEPGRTYRLRIASTTSLAALNVQVQGHELTVVEADGNPVEPFTVPDIDIYSGESYSVLLTTNHTPKFYRSGSFWISVGVRGRRPKTLPATAVLRYTNSRFPWPGSPPPATPAWDDVQRSKGFAHRIKARRNATEAPPPPRTDQVDRTIVMLNTQTLVDGHMKWAINNVSLALPATPYLGAYFYGVQGSAFDASGEAPNGFPGGYDIDLPPANNSYETTLSDRVYELPHGAVVDVVLQNADMRRDNDSETHPWHLHGHDFWVLGYGEGRYRGAGGERLNTEDPPLRNTVVVFPHGWTAIRFVADNVGAWAFHCHIEPHLHMGMGAVFVEGAHMIRDLDVPREAMMCGVIRTTAASLTPAKPGSPAPSP >SECCE6Rv1G0382270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:40887769:40891229:1 gene:SECCE6Rv1G0382270 transcript:SECCE6Rv1G0382270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERSPGPSLAATRLPSASLVLLLLLSLSLLAGRSHCWWCREDDEGCSLMGFRGDDAPVTGGGISEKGKFSYGFASCAGKRASMEDFYETRVDDVDGETVGLFGVFDGHGGARAAEYVKKHLFSNLIKHPKFMTDTKAAIAETFNHTDSEFLKADSSHTRDAGSTASTAILVGGRLVVANVGDSRAVVSKGGKAIAVSRDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNDEAVAMVKPIEDPEQAAKGLLQEASQRGSADNITVVIVRFVDGTTTSAGDGPSEEVAKDQSEEVAKDQSS >SECCE5Rv1G0336380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583817029:583820015:-1 gene:SECCE5Rv1G0336380 transcript:SECCE5Rv1G0336380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKPGVAAMAQHQEGSAEEVEEHRMRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDLKLLLCANLAVVINAVFPVISCRKPANSILVPNRQGKRKPGANHSHYKRLLGVARLLSQMAEPVMKGAVEYYPSSNDPSTILECVWVKDKFVLHEKTKASNQKTQDEDLKSCTPDSSSMQYETLGLVSEEMENLDGANTPAKQQHTSLADHPDEATHCGDAGDSHSRRQLPSDQNAPGSLLGTPGAASAPRRDVKPDSRKRVAFIAVGKTKVTVTPPETSSSVVVKKQRLDTIPQNTADPAL >SECCE1Rv1G0009280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:53550557:53551663:1 gene:SECCE1Rv1G0009280 transcript:SECCE1Rv1G0009280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHGALNSLPLLVFEHQPAPNETTAATGGDDQDIPEMLMFSISEGRTCTEPTPAMLSPQDNQICFATPQGWIFVLHGAAPWETWLWHPVTGETIPLPPIRDDHYVPTNCACHLTHSSAAHPDCAVVLLDVADPDMWFCRINGGGSREWAQHTYDVGEYTLPEGDGDSDDGDDDCTSTAPTKRVIAGVVAALGGKLHFIFSESNQYKMGVLHLDFGTPTPAAELHTLEDVDAAITLPEGMCGGVTRLLESQGELFQVCVCFRGFDPNDIGAVLVLKMDFDHGRRWRRVHDIGDRVFMLAHGGNAVSCQASACNLQGNRVYFMKNFLEDDGDLCIYDLVEEVLEILVVHERDLTLARTTPYWIVPPTA >SECCE1Rv1G0051480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:653957051:653957512:-1 gene:SECCE1Rv1G0051480 transcript:SECCE1Rv1G0051480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADKKPATESKVEKAAEKTPAGKKPKAEKRLPAGKTASKEAGGEGKTRGRKKGSKAKKGVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE1Rv1G0001280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4346762:4347466:1 gene:SECCE1Rv1G0001280 transcript:SECCE1Rv1G0001280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGSLDGHLFKTINVNVVVLNWNIRYQIALGVARGLSYLHQGCRKCIIHCDIKPENILLDASFVPKVADFGLAAFVGRDFSRILTSFRGTVGYLAPEWLTGVAITPKVDVYGFGMVLLEIISGRRNSSPETSYNTSSSNSDQNIKYFPVQAINKLHGGDLKSLVDPQLYGDFNLEEAERVCKVACWCIQDNEFDRPTMGDVVRVLEGQRDIDVPPMPRLLAAITEQSGAASSM >SECCE7Rv1G0475940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:195104383:195107795:1 gene:SECCE7Rv1G0475940 transcript:SECCE7Rv1G0475940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSHEPSEAEILIGHEDDTELTPYEGMEFESEDAARDFYSLYARHAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETRNCEGLHPDQKQQERTGTRVGCKAMIMIKKIDPGKWVVTKFVKTHNHGSVPPRKLDIRSAHQDLNPVEKPHSTEEDAVEEPVEGMEFDSEEAAKLFYINYAKLNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRAKKEIADEGKTKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLLSSKQAPSTSNITSGENAEFAAKSSDPDEAKVEEYSAGIQCNSTDSLTVLYNQLCQEAIKFAKEGSVTEEIYHVAMSALKEAAEKVAQVKSCHPIMPHRGVSGSDSKHKVIQMKTMSALQCSDVAKQKTTPSQLTMFQESTSKLMFIPTNLLTDSGLANSDNNHPSLCAFTSSGRQCRHGSECSYLHAENRKEDCPEKYQNSTSSQATQGNHSTYHGHSEKTTVAIPAIPLTLYMPLSRNSPGVSAELLAAPIEAVPISCRPADPIRQPKRSFCNLGPLPGVMSELTRRENGPHHLVHATALACGARVVPVEEAASLIKAIESKIRSGGAKIARLPSSRLTRLVPEAVSMSSSSEDGEENDHSGPPAVNVEGYCHDDQISAEMKLQDDD >SECCE1Rv1G0037510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:525552640:525553788:1 gene:SECCE1Rv1G0037510 transcript:SECCE1Rv1G0037510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGRRRLCLFPFLLRHFTAAVSTTTTTTSAAAASDPTVFYVESTCALSPAAAARAADSIRLASPESTAQADAVLDLLRRYGFTDADISATVRKFPVVLVSDPVKTLQPKLDFLASVGIKAPLLPRLVSLSPIVLHRSIQHHLAPLFESLRELLGSNARVVTALRKMPFVLRCNPNSTLNLVLPALRDVHGLPPEDVSKLVAVHPGVIMQGPHRLAEIVQAVKDVGIKPGVPMFVHTFAILSKMKTPTLQRKYALLQSLGFEKDRVALMLQRHPPAMAISEKKIKENVGFLVGKAGLSLEDIVAYPSMLVRSLESHRMRCVVLALLRKEGKPEGNHRLPVVLVATMKRFLQVYVQPHQNEIPDVVRAFNGEIPFEGFSVLK >SECCE1Rv1G0011070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:70527652:70527948:-1 gene:SECCE1Rv1G0011070 transcript:SECCE1Rv1G0011070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTDRESPLRGLSPSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE2Rv1G0086380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:210809712:210811118:-1 gene:SECCE2Rv1G0086380 transcript:SECCE2Rv1G0086380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRIRLVSRSLVKAPGTATPSIIAVSNLDLLPRPIPSLLFCAYRKPSTGCFGDVVAIFKARLPSLLEHFSPLAGRLVSSPRSGVPEVQCDNQGAELVVGEAGVELASLDYGALGASLARIGALVQYGADVVLSVQLVSFACGGFTVAWCSNHVVMDGYGLCMLASMWSELARSGKIDTTGGTPNFDRSVVPLPRAAPSYSPSLSEAFTPFEGKHLVNSLTAGSYCVTRLYYVEESDIAMLRARASRAGVGERRATRLEAVSAYLWKALAAVVAASGSDESCRMGWWVDGRRRLSVPGDEAAMRNYVGNVGTFALAEAAVGEIQRRPLPEVASMAREAIVARATEEHFQELVDWVEEHKGGRFVETATVGLGSPALAVTSFASFNLQTDFRFGHAALAMPMMLAGTGRLCAGFVKIIPRPGGDGSWVVSMVVWPRLAAALDSDEQRILRPVTAEYLGFKAENPCSRL >SECCE5Rv1G0330770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:533308049:533309570:1 gene:SECCE5Rv1G0330770 transcript:SECCE5Rv1G0330770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMECDDWGDGGGDMNAVPDGVVQHILSMLSNVRDVAACACVCRRWRDCVPYLPALFFPRNAFDAAAVGGGAADEAIGRMVAAVSRLRELVIYCPFSMARLPAWLAMRSASLRVLELRMDAAADKEAAGGGHLDCVALATGLEELRLWGVLMTKAPAWGLLQHLRVLEIVGAPLRDTAITETVAACPNLTDLSLLGCDCSGDVSIQLSMLQRCRLDFLGGGNCSLLLSAPRLESLEAQGFTWISLRGGHNLRRLSIAKSTGRVYKVDTGRLPDLEYLSLRGVQWSWAAVSSVLQCASEVKHLVMKIEFCGDFDVLQPFPEIDLVDFFNGHPKLIKFEIHGAMFAALCQKNSLKNLDSRFCIPCLEELLITVRSPLNAEQKLSTLESLVQYSVKLQSMVIRISQMKNCHDAADDFFEEICKFKYINYRKVRIE >SECCE6Rv1G0444360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827605892:827607491:-1 gene:SECCE6Rv1G0444360 transcript:SECCE6Rv1G0444360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSVRRLPSSQQQPPPAPGGDGGRDRISALPDDLLLLVLARLPCASAAARTAVLSSRWRGLWACLRQIVFRDVPYPSLEAALSRVDSPAVAILEIHVPYECMPNPFPDSAGVDSLLRAAARLAPEEFVFALPGHLYSPCVDVDLSCFHGTTSIELDSCLLFLRVPTGVDFPALETLTLSGRIPDLDPLLSCCPRLRTLQLRRIVHDTSDLSVSSASLQELVVDRSNNRTSCVNIVAPMLKQLTMSFIALDVAIFVFAPMVEKLRWNCWYATYDRASIVFGIWRLEEVTLQMAERKGQLPSLEISAHASSHVFSSKEEDTFAQEIEKHTIAEFSVLELYLITNGHVFGALVFHLLGLVRFCGSVRKLEVILEGSMVKEECPFHCQCEPSNWRSQTISLSALEEVEINGFEGYDHEIDFLKLIFKCAPMLKKMTVTVSQEVSTSNDGCAKIYNIFETYSSVQCNVYHNYGEYMFGMHY >SECCE5Rv1G0353140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:713908430:713912644:-1 gene:SECCE5Rv1G0353140 transcript:SECCE5Rv1G0353140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGVPNSGDDDTNHRSKRRRVASAGDASDSLSAACGGAGDGKKALYHCNYCNKDLSGKIRFKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKSKAQCIEHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGIPLLSGDLTPKAESPFSPSRVKMEDALGEGPASRSPSHIPGSANKKASNVGHFKDSANLSKVEDGHMDRSIGVKKPRYSADEGPSLTELSGYNAKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLYPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVIEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRRKEFEANALKAKESGQLISNSKSGHKTNRPMKLETDGSLDLKKGSAILDAGGRDSPKTTGPTSARQWDDWDIVGLPGAELLSASEKLLCCQNRLLPSHYLRMQEVLMQEMFKGNVVKKEDAHVLFKVDPAKVDTVYDMVTKKLGNNEEAPMV >SECCE5Rv1G0361370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:779015526:779030721:-1 gene:SECCE5Rv1G0361370 transcript:SECCE5Rv1G0361370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGNPQMPPHGYDPRAAAFAAAWHGAASASDPAAAAMNPYPFAPNPQFGQDPFHLMLPHLLLQNQAALAAAFHHQQQFQQHQHQQQQQQYQQPQLPSPGYAQSPTTPNVQHRPPNPSPASAPPQQQQQQQQPPPPPRNPAALERAQAAATKARDELTHAGQGVTGWKVAQAALVALKADSWASLGVHLHDVPVLRELFSIEGKVNTFIHCYVAARKIVSIHDLEVEICKNEGIGQFEELGLGPFLQHPLVAHYFFVPADLSKVPKLSSEEIISCLQKFIDKSKEKVTAESFLDYLAEQKSVSGKEKLGVRVQSLGLHISFLRQARRNEVAAIKHLGKTSGSRDSTCEKDLPKQTDFHSGKQELDKRFDDITTRIKQLPGINKHIRFDSAGDEVDDGSSSKDAVEESESEDSCYIVDRKDVDKSVSGCPYPSTAEEIKRLGLKSEQSKKPAIVSSKVKANEVNAHPRNKRKHEENGTPSSSCKQPNKRQKIQIKKREVSPNCFLSTGKLEKFITTWKEACREHSVQQVLELIANYYTETPEEKRKMINFFSQYPGIGFLNVAVRSMACGLLDSIYDAIHVFSENKLSSSPIPNTTTEVMEIEPPSKENTKCIAKGANQPGPNVTADDVIRRITEYFESNSGVSRAGALKVESFMFLKTLHDCEIWVATQFSANQFTSLGHGTFLEFLGKHGDHFPPKLSSLLKRGNSDSSSLEVSVLRQQIEVLLCQAEGNWLEDGDFSGDSFLMLLKRQFPTISFDIAQFKSGEELKGSIERQRRSTHTNNITFSISLLEKRWSGMSPGEHDTVGGQRNSSVEQTYYSETVSSREATSCLLKAPMLSDLLLWSHWDMLFAPSLGSFIHWLLNAGPVQQLACIVTTDGRFIRVDPSATVDQFLEAIIQCSPFQVAVKLLSLLHIYNGSMNTPISLLKCYAQRAIGIIMNNNKDPMNTSSEGKPFVTEGSHNLNAEQRDSSLHFVGHVQESSQLSSARNVMSDVLTNIDSTIHFVAKFFLDCLGHLPSEFRSLAADILLSGLRTVTKNCYSVILHEATETWQLCMLHDVGLSLGITEWVEDYREFCLAEEGRAKTETHSSSGHTSAVSEGPTLENSNMLIPHDVDMVNDGTKSFPGEKDQVLSMNNNKTQSMLNPVGVKAETALHTNRSPVRGEINLEEAAQVIETIRRDEFGLDQALSCTENSLLTKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYLEDVEPTLAFVLQDNGIVVLNNERGFSAENIRALCDIGNSTKKGANRGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVPPYSTSSLSRMLSVEDDKDACSHWNTCILLPFRSKFRDGTGMSSIASMFSDLHPSLLLFLNRLNCIKFKNVLSDTLLVMRRKALGDGIVRISHGNEIMSWLVVSKKLQGTLVRHDVHTTEIALAFTLQETEKGEYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADNAWNQWLLSEFPSLFVSAQESFCSLPCFQSCPGKAVTAFMSFVPLAGEVHGFFCKLPHLILSKLRLNRCMVLEGSSSQWVYPCNTLRGWDEQTKMLFSDGLLHQHLGLGYLSKDIVIPDTLSRALGIHDHGPNVFIDMVSSICRTEGCIESLGMEWLCAWFVNLHLALSRSFQNIPSTTSLEGDLLCALRKLPCIPLSDGSFSSVADGPIWLPHDILSSTPDCKGSMKDFPILYGNLRFVSSLLFSVPCKNKYLTEEMRATDLTDILLKIGVRKLSGHEIIKNHILPSLPNGTDAKKVDKMMMIEYVSFIMLHLQSPCTSCNFGKEEIMSDLRSRPILLTNDGYKCPADEPIHFSKEYGSPVDTGKLLQNVEIRWIEVDSGYLTNHGSDLLPSVLKSWRQFFEEMGVTDFVHVMKVEKNTSQVDSLIAGRILQGGVSGTSCTVYDWESPELANILSSFSSKNCRENCMYLLEVLDSFWDDHYSAKAWCLTSGTSCDENRTVESSFMKCIRSFKWIASTVDYDLHNATDLFYDCENVRSLLGGVAPYAVPQVSSRSLRKDIGFKTNVSHNDALMTLNLWMASQVPFSASVDQMCKFYTFVSEGASDAKIDIKREFTSCSSIFTPLIRARSSEVVHGKFLSPKDLYWHDPTGCSETTEEFVLVKNRMFPRRMLCSTYPNLCEFFTEACGVPKVPTTADYVEMLLRLSKVALPSQVAHQVFRVFVRWATDIHSVSDKNDLVYVKDSLQKLETTILPTLVDKWVSLHPSFGLVCWSDDDELKQHFQNCIDVDFIQFGKLSSEDKQILYGRVAALMKSLGIPALSKVVHREAIFYGTADNREKATLLCGLLPYMQRYIYKTHRDAYINFQQNEIMKLSNLQIIVVEKLFHKYMLKGHESSSKKRFTCHCLLQGNILYATQEADSHSLFLETSRIFFDGSPDLHFANFLHMVKTMAESGTSAEQVESFVVNNQNVPALPEDEAVWSFSSSFVPEFVPDQGVDSKPVETSSACVANIQRQHQRSDGTVSSWPPNNWRTAPDFRTSRRSQHGPLQDTKVNDDNWLPGPLQDTGVNDVELTNTEDNWFPVQLDEDWVIEEDTSLESNLHTESTVATLDEPAYLDLGTGSPSEVVETEVMDFNDKMPNASERRERLRQAQLLRTGRIGEAVAYKHLVERLGTKNVRWVNADTETGLPYDIIITRGDNRIEYVEVKATTTSNKNWFYITAREWQFALEKGDDFSIARVMVSGEKMANIKLLKNPLKLCQKKMLHLALLIARR >SECCE7Rv1G0458870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23312063:23313355:-1 gene:SECCE7Rv1G0458870 transcript:SECCE7Rv1G0458870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWLLLLFWAFLWPAARATPCHPEDLHALRGFAGELTGGGVHLRTAWSGTSCCSWEGVGCDSTSGRVTVLRLPWCGLAGHIPGASLAGLVWLKELFLGSNSLVGVLPDALFGLARLTKLSLASNQLTGHLSSRLGELTHLTLLDLSANRFSGPLPDVFGDLTSLEHLAAHSNGFSGFLPPSLSSLSSLNELNLRNNSMSGQIDRVSFSGMPLLSSVDLSSNYLTGWLPTSLAGCGELKSLNLANNIFVGTIPSWIAEFDHLWYLNLANNSFVGEVPESLLRLKGLTATGRSSVMIFINIPLYVNHERRALDEQPNTITGTNNIVISGRNNTISGNDNTVMSGDNNVVSGSFNTLVWGNNNILSGDHHVVSGSNHIVTDSFNKVTGCTNNVSGSNHTVSGSNNTVSGSNNTVSGSNHVVSGSNKVVTGG >SECCE4Rv1G0229660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:151834284:151840509:1 gene:SECCE4Rv1G0229660 transcript:SECCE4Rv1G0229660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLTRREGRQRRVNSSPPGHRPEGRMAETTGTAPAHRLRARAEAAVASLVDVRPHEMPPLTSAASTFFFILSAYFVVLPLRDEGAISLGLGALPGLFAGSLVLTVLAAPVASLAFSLPSVPKSRALVLIHRFFSISLLVFFVLWFASKPGSPSTTQSSEDSSNKPAGWGNHSLFYIVVRISFFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAASMAWMGPFLLLFSSLLMELAALSSKGICIDANHGSTELPSTGAEPSQNTELGDEMSSLVTSPRTPSQSQKTKPGVFVMFEGFWLIMRSPYLIYISLFLWLSAVISSFFYFQKVTIVATTISSPTARRRTFALINSFIAVFILAGQLTLTGRILTVAGVTVAICASPFIAASNLVALAVWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVIVQRLGDATAAGIYTLLFSSFEKKTSIVNLYALPLCFVWLAIAFHLGRLQTNLAKLQAASDPS >SECCE2Rv1G0073750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:71075536:71076285:-1 gene:SECCE2Rv1G0073750 transcript:SECCE2Rv1G0073750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQAEDGSGSKTVVLVAVDDSDHSYHALEWAVRHVATAGVAAELVVVHAKPPASSVVSFGSPAAAGDVVRVVDADLRKRAEDVVDRARRLCVANSVHGLIEVMEGEARYVLCDAVDKHHADLLVVGSHGYGAIKRAFLGSVSDYCAHHAHCSVMIVKQPKSKK >SECCE1Rv1G0035610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:500030622:500032211:-1 gene:SECCE1Rv1G0035610 transcript:SECCE1Rv1G0035610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDAA >SECCE4Rv1G0284520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:839847678:839851060:-1 gene:SECCE4Rv1G0284520 transcript:SECCE4Rv1G0284520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLINDFFVHNLSIHTKTNQAIFPTCRNCHKLRTDHVLHPGPGGGWETIQNKKKKSGQTFGRGQWAPRTSSSNAPPTTARQAWNGNGSSRPSGNNWAQPSGRGSAARGNPRVSSQAKSTEPELQARNPGVTPPLVNGWQRASMPRPSGPESNKDDAASSGFDPEMDNPQVEDSSDDDHLNDDDDMSDDYDSDASEKSFETRKMNKWFKSFFQEIDTFSVDQIHERQSHCPACQNGPGAIAWYKGLQSLFTHARTKGSRRVKLHRELAALLEEEISRRGSSVVQPGEHFGRWNRLPESNDRQIVWPPMVIVMNTRLEKGEDDKWLGMGNQELLEYFSAYAVTKARHAYGPLGHRGMSVLIFESSAVGYMEAERLHKIFVHQGTDRDTWNKRRVPFLPGGKRQLYGFLAIKEDMEDFNKHHPGKSRLKYEMRSHNEMVVAPMKKMSEDNQELNYVKNKGVKTEQRSKVVQETLDVVAQKLRETEEENIFVRRKAKEKHSEYEEEMNSQEKFFHDQIENIHKALEDKEREFERLLQEERAKARQCDVDSGTNENRKLRKEHVQRFMDSQVKDVQEFEAEADKLIKAHEEKKVQLKKEYAAKEVELEKEFDAAFTALMEKHKPDTFQASNTS >SECCE2Rv1G0105670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:623896741:623899874:1 gene:SECCE2Rv1G0105670 transcript:SECCE2Rv1G0105670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPVLPALLCLAVLVLAGGADARRKMVGVYELKRGDFSVKMTNWGATIMSVLVPDSKGNLADVVLGKDTLAEYVNDTSYFGPLNGRVAQRMARGRFVLDGKVYHTYINDGKNAIHGGKRGFSKVIWTVKEYVAGGDSPYITMYYRSFDGEQGFPGDLDVYATYQLSGPYELSIRTNATALNKATPVNFLQHVYLNLGGQGSGDILGHTLQLSASRYTPLDVEMLPSSGRVDPVAGTSYDFRKPTPIGARIRKVMGGNVYGYDINYVIDGEGMRKVAVARDGKSGRALELWANQPAMQLYTGNFLNHTKGKGGKLYEQYGGFCLETQAYPDAVNHPEFPSVTVRPGQVYKHDMLFKFSF >SECCE1Rv1G0037130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:519371013:519374659:-1 gene:SECCE1Rv1G0037130 transcript:SECCE1Rv1G0037130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 1-deoxy-D-xylulose-5-phosphate synthase [Source: Projected from Oryza sativa (Os05g0408900)] MALSSTFSLPRGFLGVLPQEHHFAPAVELQARPLKTSRRRSSGISASLSEREAEYHSQRPPTPLLDTVNYPIHMKNLSLKELQQLSDELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNTPQDKLLWDVGHQSYPHKILTGRRDKMPTMRQTNGLSGFVKRSESEYDSFGTGHSSTTISAALGMAVGRDLKGAKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPAPPVGALSGALSKLQSSRPLRELREVAKGVTKQIGGSVHEIAAKVDEYARGMISGSGSSLFEELGLYYIGPVDGHNIDDLITILREVKGTKTTGPVLIHVITEKGRGYPYAERASDKYHGVAKFDPATGKQFKVPAKTLSYTNYFAEALIAEAEQDSKIVAIHAAMGGGTGLNYFLRRFPNRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTFMACLPNMVVMAPSDEAELLNMVATAAAIDDRPSCFRYPRGNGIGVPLPENYKGTAIEVGRGRIMIEGERVALLGYGSAVQYCMAASSIVAQHGLRVTVADARFCKPLDHALIRSLAKSHEVIITVEEGSIGGFGSHVAQFMALDGLLDGKLKWRPVVLPDKYIDHGSPADQLVEAGLTPSHIAATVFNILGQAREALAIMTVQNA >SECCE4Rv1G0222850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:63288336:63291540:-1 gene:SECCE4Rv1G0222850 transcript:SECCE4Rv1G0222850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDDCYYYYDDDGEEEEEEGEEEEEAADWDGLAVGADQDDLGLLEDDPPLSKRRVDCWAITGDTLSAAQHEDLSIVMTLLNIKQHQARSLFIFHRWKIDCIYDCLDRKGRDRMLREAGIVPQEKSSKLIGASRTPSRSVQCNVCFDDDLSPAAVSTMDCGHCFCNDCWTEHFNAAIDGGKKQIRCMEVKCLAICDEDIVQRLLGQKYPDAAKRFDRFLLESYLEDNDFVKWCPSIPHCGRAIRVGTGDRYCEVKCLCGVSFCFNCMEQTHSPCPCTIWKQWNTRIHGESENIKWIVKNTKSCPKCFKPIEKRDGCNQVKCKCGQYMCWLCGGPTGAAHTWTSIEGHSCNRYKESKDKVETGRRQLERYAHYCNRFKIHEDSYKEQHEKLGPAIKEKVKQLESNHLRPRLIRDGDWLTDAHQRLLWSRQVVSRSYAFAYHMFGGELQAHRSERGKLAPAQNLFESQQEQLERHVEQLSKVLVTDIPALPDQEIVRVKQEVVNLAKILERLCGEMYTCIQDELLPLVTEPMDIAAYAPDGPVRAKVFRA >SECCE4Rv1G0245800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:536524422:536527615:1 gene:SECCE4Rv1G0245800 transcript:SECCE4Rv1G0245800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGRSRERLTSRAEEAAGGKRRRQRWEVEFARYFATPRLAPSTPPPPGLRYISRGRQLHQGTWLPAASPAALCISCPTHSFAARVLTVSIGDVVYEEHYVSILNFSWPQVACVTECPVRGSRVVFVSFCDRSKQVQKFAVRFPRLSDAESFLNSVKELSSNTMDIMPSGSDYMCEHEDSSSSEYIPSNGLQYRPDAAVSFEEPTSDHRTDVPAVGYHMEPDQPVLQSPLATNINSTYSGFPEGYSGMLTDRSVKTERDGEGPFPATITDHAPEKAYILDACLDAAGRNSVADKGKGAGKEIDVSDVTRDILAGIETYVGGDSFHDMLSKLDKAIDELGGDMLLAS >SECCE6Rv1G0418310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:662074092:662074487:1 gene:SECCE6Rv1G0418310 transcript:SECCE6Rv1G0418310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTSSRPSCAAPSSSKLTCLCSPTNHPGSFRCTRHRNPRGRPQTSSPSSSGRASHQSAASGASATVRVEGIIRTGGVVPGGMTARARSVLRAHLLRLVSTPSSGGSDHRRCRDFKPRPSRLGRLAVTA >SECCE2Rv1G0073990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73066938:73067999:-1 gene:SECCE2Rv1G0073990 transcript:SECCE2Rv1G0073990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFVNLLVNKLCAPRPAYRLHCIDPATLFYPTAGSPQPADPAPGRLPAPTISFDWPCKPHQSGWMDFMAFKNSIIAVDHEGRTLLYDSASRAVRAMPPTKKPNWRTISFTVGDGLYVMARENGSPPHSHYFQALIYGRPSGFLHPEDWHWRPLQQPDFDFPDNNNVPSNHKPAEAVNPYGFSAFTVYDGSQIWISTVAAGVYSYDTVSGTWSKVHASALPFSGRAEYVPEHGLWFGFSREDEQLCASGDLAQPRPVLQKVWECPAPPEPCSLMASHILPLGSGKLCVARLFQKRERGTLHPSGYTKAEHFAVLSGVEVFRAGNTNTGSLDLETIRHKSKRYSFGNDEVKLI >SECCE1Rv1G0035560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:499690229:499697317:1 gene:SECCE1Rv1G0035560 transcript:SECCE1Rv1G0035560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADEAALKTCHERINLSVAASHQAENGSATTVSSKETEEATYAFLPPIESTDAHLHEFAEAMRTVGKALRQVAEGKAAAQAEAAEWKRKYESEKAAKAHTHHSVIKGCSNCVKDKLEHLASKLTLETASADETGCCGNHGICSRQILQDQCPGPNRNSDDRIVGRKVPFRLSWGSNGDKNGQHKHDFVSFEKGDITTAERSNKQIFLKWDSPPQTVLFVTKPNSNSVHALCSEMVRWLKEHNNINIFVEPRVSKELLIEDSYFNFIKTWDNDQEMKTLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRECLGNVLKRPFTITLRSRLQCHVIRDAAKDEVETGEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPFNSRGQAWASFDGKGRIQLGPGDALICSVSPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQALDGPA >SECCE2Rv1G0088750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:247876215:247883220:-1 gene:SECCE2Rv1G0088750 transcript:SECCE2Rv1G0088750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAELTTEQVLKRDIPWETYMSTKLITSTCLQLLRRYDHKPESQRGPLLDEDGPSYVRVFLNILRSISKEETVEYVLALIDEMLAANPKRAALFYDKSLSGEDIYDPFLRLLLKGNWFVQEKSCKILTHLISARPKLQNGMVPNGEASNSKSKLTSIHDVLKGLVDWLCSQLRSPTHPNCSIPTATHCLATLLKETYVRTLFVQADGVKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTRVMPRLVDVVKGSTKEKVVRVVVMSFRNLLGKGAFAAQMIDLGLPHIVQNLKAQAWSDEDLLDALNQLEVGLKENLKRLSSFDKYKQQVLLGHLDWSPMHKDPNFWRENITNFEENDFQILRVLMTVIDTSTDTTALAVACYDLSQFLQYHPSGRLVVADLKAKDRVMKLMNHDNAEVRKNSLLCVQRLFLGAKYASFLQV >SECCE5Rv1G0331870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:541739927:541742894:-1 gene:SECCE5Rv1G0331870 transcript:SECCE5Rv1G0331870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLRPASPCRPLAPAPSAAASGRSRATVSIGDSRARGVALRAGGSGRSDFSCTTKASTDHSGLTRQLLDFQHDAVDETDGGYDPFDQLKERFTDFKQRNYVENFTNYQKLAEQQTPEFMVVACADSRVCPSSILGLQPGDAFTVRNVANLVPPYEHGASETTAALEFAVNTLQVPNVLVVGHSRCGGIQALMSMKSKKDDRSSRTFIRDWVSLGKSARLSTEAAAGNLSFESQCRHCEKESINSSLLNLLTYPWIEERVKEGNLNLHGGYYNFIDCTFEKWTLVYRPGLEGGSKYAIKNRSTWS >SECCEUnv1G0533700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:32664587:32665997:-1 gene:SECCEUnv1G0533700 transcript:SECCEUnv1G0533700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVKVHNVSLQASEREIKEFFSFSGNIVHVEMQSGDERSQFAYITFREEQAAERAMLLTGATIVDMAVIITPATNYQLPAAVLADLEPKTPSSIGSAIQKAEDVVGSMLAKGFILGKDAVEKAKTFDETHQLTTTATAKVSSIDKSLGLSEKISTGTIVVNEKMKEMDEKYQVAEKTKSALVAAEQTVSTAGSKIMSNRYILTGAAWVTGAYSKVATTATEAYNKERAMAEQDGEPAKSSEEAGQESKGQEGDPAKVPVPENTETGQMADQEDECPMANKPEGTGIGNGEQKNQDGEIAKDQTQESTEITAEEQKHREAELPKASTHESLLMPEQTEEEHKQPDTNKVANSDISGGPVTIPVSMATDDGNSSNSPKKPGPA >SECCE7Rv1G0521440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874063975:874065977:-1 gene:SECCE7Rv1G0521440 transcript:SECCE7Rv1G0521440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGKPHVLLLRPVDAPFAAALRDRFRVLDLYAPGQALPALAFVAAAAAVPEPPRATVIWGGVRVDASFLDAAPSLRCVVSTAAGLDHIDLAECARRGVAVANSGEVYSTDVADYAVGLLLDVLRRVSAAERYVRRGSWPVQGDYPLGSKLGGKRVGIIGLGNIGSRIAKRLEAFGCIIHYNSRKPKDSVSYKYFANVHDLAADSDALVVACALNKVTRHIVNKNVLEALGKDGVVVNIGRGANIDEAELVIALREGKIAGAGLDVFEHEPKVPAELLSMENVVLSRHVAVLTEESRSDLRAHTIGNLEAFFSGQPLLTPVHAESLAQ >SECCE5Rv1G0353900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720468221:720469012:-1 gene:SECCE5Rv1G0353900 transcript:SECCE5Rv1G0353900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDLKLLGTWASPFAIRVKLALALKGLSYEYAEEDLAKKSELLLSSNPVHKKIPVLIHNGVPVCESNLIVEYIDEAFAGPSILPADPYERAVARFWASYVDDKLLVSWATMLFRGKTEEEKLEGKKGMLAALETLEGALAKCSGGKDFFGGDSVGLVDMALGSQLSWLRATEVISGEEILRSGKTQLLAAWMARFSELDVVKAALPDVDRVVEFAKMRQARLAAAAAAASNN >SECCE5Rv1G0320830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:393641971:393652680:-1 gene:SECCE5Rv1G0320830 transcript:SECCE5Rv1G0320830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCY1 [Source:Projected from Arabidopsis thaliana (AT2G18710) UniProtKB/TrEMBL;Acc:A0A178VZD7] MATATPQQCCLPARARPPHASAPLRLRHLTRAASSFHFPGRRTATTRRRGRAAFAFSPRCTLETGGPAFDPLGLYREDPSSSDYQSPFSTFFGVLSPVFGSSSGSRKEKSSYGRGAAAAVEDSTIDFGDFFKGPLPGKFLKLLGFLALSRLGVYIPLGGVNQAAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLTQLYPKLQELQKKEGEAGRKKILQYTRYASVGFAIAQAIGQVLFLRPYVNDFSTEWVLTSVTLLTLGSVFTTFLGETISELKLGNGTSLLIFTSIISYLPASFGRTVAEGFQAGNYVGLLTILLSFFFLVLGIVYVQEAERKIPLNYASRYGSRTGGPQRSAYLPFKVNSSGVMPIIFSTSSLALPATLARFTGLDFLKKAAIALTPGGSFYLPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRTGASIPLVRPGKNTAAFIKTVLSRISVLGSAFLAVLAAGPSVVEQISHLTAFRGFAGTSVLILVGCATDTARKVQTEIISQKYKDIEFYDVKSSDK >SECCE1Rv1G0059150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704021292:704028494:-1 gene:SECCE1Rv1G0059150 transcript:SECCE1Rv1G0059150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARAAEAAGGGGDVQIKGGKEGGQAAQQQHQQQQQLPTGSEAVEMPATPLPHAREIEWSEHFSFFNSMGTGTGTGTDGAREPASVGMSRSESSRPGSVTQQQQQQQRCLADERVEELTVRNCISSEANQPAASAGGSTSSSGDRPAAMRGLWGNFTRMAWRTADAASREALAASRGDIANLRKGDLVGRENLAVGLGNGMGSQNIDASAKGMPFGRGENGNTEFNMSFGNQQQQHILSSRPNQSEQHVLSSRPNQSEQHVLSSRLNQSEQHVLSSRPNQSEQHIMSSRPNQSEQHIMSSLPNQSEQHIMSSWPNQSELHIPSSRPNQSEQHILSSRPNQSEQHILSSRPNQSEQHILSSWPNQSEQHIQSSRPNHNEQRSERENGLKVSSFSNRIMDQMRSKTLAPSSGLPGSPFKPVLKGKRVTYQGSHEEIQVQANARPRAPMDKIPKIPSSTQDSVARLDGTLFSSGGNVSESQYEGTSLRELIKPARQAMSKFEKMQLFKQILDHVDKSHAQGVTLQHLRPSYFIISSPNQVRYTGSYTKQDLSTPAKPDMAADDVFNRKRCFDQKTLHQECNGNGHSILKYQKVGEQGSVAVRRLIHPFRTDHKGGNQSEGADLGALGQGNSSCTAGGRSKFGEPYYGGNASYGQRFPNYGNQESVLELRMLEDSWYRSPEELNQFKGTSPSNIYSLGVLLFELFCCCETWELHCAAMSDLRHRILPPIFLSESPKEAGFCLWLLHPDPFSRPKARDILGCDLINESRDLSVLDKAPAAINEEDTESGLLLNFLSQLKEEKEMHAAKLSADLASLQTDIVEAERRHSLRVGFSLGDMDVPASSNDVPGTSSNALRGASLSGLLTPPGRSGIYEERVMKNLQQLENAYYSTRSTIDTSETNVIKRSDNDALRVRDNFNHSDADAMNGPTDRLGCFFDGLCKYARHSRFEVRGILKNADILNSPNVICSLSFDRDEQYFAAAGVSKKIKIFEFDSLLNDRVDIHYPIVEMPSKSKLSCVCWNNYIKNYLASTDYDGTVQLWDASTGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKVWNINQKNSVDTIRNVANVCCVQFSPYSSRMLAFGSADYKTYCYDLRHTRIPWCTISGHGKAVSYVRFLDAETLISASTDNTLKIWDLNRTNPNGLSTNACSLTLSGHTNEKNFVGLSVHDGYITCGSENNEVYSYYKSFPMPITSHKFGSIDPITGQETNDDNQQFVSSVCWRGRSNMVVAANSSGSIKVLELV >SECCE2Rv1G0103670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:593351194:593354722:-1 gene:SECCE2Rv1G0103670 transcript:SECCE2Rv1G0103670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDVLGPAGFSGGGAPPGLRLPLSTVAVKPKRRSSRLVQTHPQPDAWIPGTQTIYVKTFGCSHNQSDSEYMSGQLSAFGYAITEEPEGADLWLINTCTVKNPSQSAMSTLISKCKTANKPLVVAGCVPQGSRDLKELEGISIIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYSIDSLVDRVKIVVSEGVREIWLSSEDTGAYGRDIGTNLPNLLNAIVAELPADRSTMLRIGMTNPPFILEHLNEIASVLRHPCVYTFLHVPVQSGSDAVLKAMNREYTVSEFRMVVDTLCELVPGMQIATDIICGFPGETDEDFVETVNLIKEYQLPQVHISQFYPRPGTPAARMKKVPSIEVKKRSRELTSVFEAFSPYEGLKGKVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSMLGTSADAKITSVGRWSVFGEVIEGSVAVKEAAPQQNSAKGQVENRPNRVEEAACSTNTCDSCACSGAESVAQQCTPQRCEGPSDAPTNCGDATRPETPQSMLVRRNVEGAGKTSESDAEKSRREDQQVHVVTRSALNVDRILWGGLAVSFATTVAILVLLTYKLFYTSS >SECCE5Rv1G0299950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:20870880:20875715:1 gene:SECCE5Rv1G0299950 transcript:SECCE5Rv1G0299950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGSTRDGPLERKRKKVVVWSEEDDVSSPDPFAGVYPASLGSYYLAKKMEHTRRDEESAPRPVEIPTLDHFKPPTRFHIAELFAVRESGSQAVLSAARFLLGVSSSLDGEPLRRCSGFWVDWDEEKKTGLVLTTARLIRTKDAPHSVSSGGEEYAPRADVTVHLLNGTSAKGELVYYQPHYDIAFLNVEVDQPIKLPSLREKDVKYAEEVFQLGRDNSLNLRITYARAKYMNPTMFERHHKVYFHSLDGHYYDNEYDNGGPVIDLNGKVVGMVNNPQRFGSFIPSSILLNCLDSWKKCRYIARPHLGMTFKAVKLLQPAHVDMLWRMCNIDGGLVVQEVSKGSNAEILGIQEGDLIECMNGKCISTTIELENTLMSLCKGPSDSQNGHNAEVCISIGVFHTLKKYRSTRELTANVSDLGEVIARGTGLLF >SECCE1Rv1G0033110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:462897601:462899109:-1 gene:SECCE1Rv1G0033110 transcript:SECCE1Rv1G0033110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDRVVHMLSLHRVVKSNLVAGLLRLSLTTPTTMASPAVAPPACLLGNSTKTVVLEVDALLLKSSPPSAATLFPPFFLVAVEAGSFARGLLLLALYPFLHLMTHAMCVEAMIMVCFCGLRRDEAARIGRAVLPKYFSKEAARVEALGEAREATTSEVKVAAVSRSFPTVMVEAFLNDYVGFDTVIGREVKAGYGYFTGVLDDGNTYVERLRAALKRAEENTTYHYPKPMIFHDGRLAFTPTPVAALAMYVYFPFAVVLSVVRIAIYTLLPQRMIGPAAPLAGVLVRVTGAPTATTDGETGASGGRLYACNHRTLLDPIAISSALGKPVFAVTYSLSPLSELLSPIPLLRLTRGRDEDRRRMSSLLERGDVVVCPEGTTCREPYLLRFSPLFAELADEVSPVAVDERSTMFYGTSTSPGAKCFDSVFFLMNPRPEYSVHFLEPVRTDNPSGSIEVANQVQAVLAGALGFTPTALTRKDKYLLLAGNEGVVAKQSHQDCRDV >SECCE4Rv1G0215490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4781154:4784263:1 gene:SECCE4Rv1G0215490 transcript:SECCE4Rv1G0215490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPEVLWAQRSEKVYLTISVPDAEDVVIKTEPQGIFSFSAVAHGESFSLNLELFDSVLPEGSKTKTKVGSRNIICSIQKDKKCWWKRLLKSEAKHPYIKVDWNKWCDEDEESENSGSDDDFDGGEENDESDADDGMLYLPDLEKLRGK >SECCE6Rv1G0444120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826838443:826841962:1 gene:SECCE6Rv1G0444120 transcript:SECCE6Rv1G0444120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLCPPPPPRGSGLREARFFSPHYVKDFDDAVRQVVDLLCESDGTAEGKIKTFLLRGWFDQTLVRVLGGCAVLRAIAKLLKSTTCDDSDMRKHFDRIIHVDCSLWKSSRTMQRTIAEELKLRHVMHIFDKEDEHDDFSGVDNSSRKAIPRIASLINKSLRDERFLMIFHNGGSEDIDLVECGIPLYGEGKLLCTYGGRFLEHKWKEFKLMHTSNIYIYPAASYTNIAPYIVNVLHKEAAGVIGDTGMDGINTETVLDCFLYSLFLTTQLPENFTGVDYGWVTHACNYWICDGILGEDKTWEIGNTLYLVIPMLDNSTEETRRLASYFDGQKKPYVGWYSVTSNKLRAEDISNVPGSASSYFLTFQGDDPVYVRNDLFQLANNNLRVLKLYNCSFNFASPPFQCCHNLRFLWLDHCANTGKEEQSGGPSFPNLLVLDLRFTDYVFLPQMIELMTNLRELNTKGVSWKTLSHAWKKLQKLHKLRVTNSSAVFTADSFSSIDMMSLELLDLSGNTHMKSLPTLSSARSLKMLVVDGCSSLEHVALEGAPPLLESFSFDGYGPTENWTHSIHLPQKELRLKSPLAPVEIVKVTKISLHGCGRLHNIFLRALPNLEELDLSSTAIKIIDLHAMDVPKLKKLFLLGCERLCSLIWQGSPRLKVLHVDTQGTKRSVVCSGEQGSFGFQAHIVFTDGRFIWSFSEGLYSRSENSVSKMYLLISCMSSSQANITKSIKRIGSSRQGLVPTRPLLPYNDIAMAKDVTCSLLVWNCQRLQTLNVHIEIGKGSYNIEGMQDEENFRSFARYVESLHVHDNCSITAIPPTIWSYWERLEWCHVERCPKLHSVFPSRNRAYSFPCINTFSASDLLVAYCIWGGINKTWDEHYHTQQSLQQLQHIYLYNCPRLVFVLPIAFTLPNLETLQIAYCSNLRHVFPWDHEYTEEIASGFTFGNLKHIKLHHLHKLEQICEVKLTAPALQSVGIRDCWGLRRLPAVARQGPKPVVDCEKDLWDKLKWDGLRAGHHPSLFETRHSAYYKKTLPRGSYLR >SECCEUnv1G0547140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:166803632:166803856:1 gene:SECCEUnv1G0547140 transcript:SECCEUnv1G0547140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCCGPSDVKVLPKNTSCLSSASSASSSPSKDSGNSGKKQQQQGVKKEKKKSNLDRATMATPRFPFHSRPGLM >SECCE4Rv1G0242190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:471356360:471361114:1 gene:SECCE4Rv1G0242190 transcript:SECCE4Rv1G0242190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10670) UniProtKB/Swiss-Prot;Acc:Q9CAF5] MAPPFAVAAVSSSSPLFSSSSSLPILRRHQLLGSISVRPRHRSAVASAAATESAGKLLLEVHGLTASVKETGQQILKGVDLTIREGEVHAIMGKNGSGKSTLTKVLVGHPHYEVTGGTILFKGENLIDMEPEERSLAGLFMSFQAPIEIPGVSNYDFLLMAINARREKDGLPALGPLEFYSVVSQKVEALKMEPKILDRNVNEGFSGGERKRNEILQLSVLGADLALLDEIDSGLDVDALEDVAHAVNGLLTPQNSVLMITHYQRLLDLIKPSYVHIMESGKIVKTGDSSLASHINEGGYKSIASFV >SECCE5Rv1G0299360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:16215780:16216406:1 gene:SECCE5Rv1G0299360 transcript:SECCE5Rv1G0299360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNALLKLWEMFEDSRIARRKDNLDSSLTIHHLKEEKRDLDANYDKLVEDVHQILNAQEDRVLDFSYMQTKEKRAEVGSASVVASMKNEMEKKDAANFELKEKYKVLKNLVEAQGSVIRNLKMNHLKEKEKLGEENMILKVQVDELTKSEKKLTEENLQLNLHMSDLKRGNENLIKRRDELKLQLAVQFKTLEKSKDKLKLIHDILKE >SECCE5Rv1G0375410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868167155:868174995:-1 gene:SECCE5Rv1G0375410 transcript:SECCE5Rv1G0375410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G09860) UniProtKB/Swiss-Prot;Acc:Q93VM9] MAEPSPPPPSNSGLRILLAKDRAPTSSPSAPAAVSSHADRDRIIGVFRTALSRNEAPEDFALQAVQEAIKPQKQTVLVLEENQTLENALRRLLQELVSSAVQSGNGIMQYGNSLDSGESNCLITRLLDIMLYLCERGHVEGGMVFQLLEDLTDMSTIKDCKDVFGYIESKQDVLGKQELFGRGKLVMLRTCNQLLRRLSKSNDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNETKYEKDATDGISVDFNFYQTLWSLQEHFRNPALTTTNPTKWQKFASNLTVVLSTFEAQPLSDADGKHNNLEQEEDAAFNIKYLTSSKLMGLELKDASFRRHILVQCLIFFDYLKAPGKSDKDGPSDSMKEEIKSCEERVKNLLEMIPPKGKEFLQSIEHILEREKNWVWWKRDGCPAFEKQPFEKKSGQAVTRKRKPRWRLGNKELAQLWKWAELNPDALTDPEQVRMPSVTEYWKPLAEDMDTSAGIEEEYHHKNNRVYCWKGLRFQARQDLEGFSRFCDYGIEGVVPPELLPPDVRAKFNSKPGEKAKRPKREDTKGTSAHPKEPQVAAATPETDGGGSGGDQEEGTVPMDSDNGATEDGQKQSPGEVSGPESGQCEAEDDGEDNTKSAHSRDRR >SECCEUnv1G0536890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:66782142:66784228:-1 gene:SECCEUnv1G0536890 transcript:SECCEUnv1G0536890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILIKIKHRRAASASRLNLPPGPWVLPVIGHMHLLLGAIPHQAMQRLARRHGPVMLLRLGHVPTLVLSSAEAAREVMKVHDAAFADRPVYATADIFTYGGDDISFARHWKALRKLCAMELLSPRRVRSFRPVREEEAARLVRSVADAGPVVNVGDMLKVMMNDVVMRASVGDRCAQRDAYLEELDRVLDLMSGFNLIDLFPASPLARAIGGRVLGATWEVHRRIHSIMEAMISDHRMAMEGEEDDDAGHEQRGDILTTLLRFQRGGGIGGVALTNENISGVLFDLFAAGSETTATTIIWAMSELMRSPHIMAATQSEVRRVLHGKTEVTEADIDGRLHYLQMVIKETFRLHPPVPLLMPRLCTEQTKVMGYDIPLGTTVFVNVSAIGRDEKSWTDASEFMPKRFDGENVDYGGTDFRFLPGGAGRRMCPDMMFGVSNIEMALASLLYHFDWKLPNGGNVEKLDMSEAYGITARRRTELVLEATVFVP >SECCE7Rv1G0472700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:146765556:146767129:1 gene:SECCE7Rv1G0472700 transcript:SECCE7Rv1G0472700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALGSGFGGSVKLKYVKLGYQYLVNHFLTLLLVPVMAATALELARLGPGELLALWRSLDLDLVHILCSAFLVVFVATVYFMSRPRPVFLVDYCCYKPSPSFRVPFTTFMEHIKLISNNDKSLRFQTRILERSGLGEETCLPPANHYIPPNPSMEASRAEAQLVIFNAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISIDLARDMLQVHPNSNALVISTEIITPNFYHGSRRDMLLPNCLFRMGAAAILLSNRRREAQRAKYRLVHVVRTHKGADDRAYRCVYEEEDDEGHSGISLSKELMAIAGEALKSNITTMGPLVLPMSEQLLFFFRLVGRKVINKKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLELSARHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRTVNTPTDGPWDDCIQRYPVHIPEVVKL >SECCE5Rv1G0326380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:478250474:478256261:1 gene:SECCE5Rv1G0326380 transcript:SECCE5Rv1G0326380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLPPAPPNPRQDAIDLHKAFKGFGCDSTTVSNILAHRDSMQRGYIQQEYKTMYSEELSRRISSELSGNHKKAMSLWILDPAGRDATVLKEALSAESLDLKAATDIICSRTPSQLQIMKQTYYAKFGTYLEHDIGQQTTGDHQKILLAYVGIPRYEGPEVDPTIVTHDAKDLYKAGEKKLGTDEKTFIRIFTERSWAHMAAVASAYHHMYDRSLEKVVKNETSGNFEVALITILRCAENPAKYFAKVLRKSMKGLGTDDKTLIRVVVTRTEIDMQYIKAEYYKKYKKPLADAIHSETSGGYRTFLLSLVGTH >SECCE3Rv1G0148270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:18832340:18832848:-1 gene:SECCE3Rv1G0148270 transcript:SECCE3Rv1G0148270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCGGGGGDANWMDMDGVNRVVRVAVRHGNAVDAIAVVYERDGGEELTGLWGGQGGKRTEFRLQPDEYLTSVEGHYGTFKGKLVIRSLKFISNLHTYGPYGKEHGVPFELPASPGGKILGFHARYGVFLDAIGTYVKMADQ >SECCE1Rv1G0041030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568303354:568303617:1 gene:SECCE1Rv1G0041030 transcript:SECCE1Rv1G0041030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRMGRHQRRASQSVFALPENFAALDDVPASDEHRKADGGATEQQQQQQGAGRHRRAMSMAVGSSRDLEMIKEDIGGYSNYKIGA >SECCE3Rv1G0163200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:145897990:145911717:-1 gene:SECCE3Rv1G0163200 transcript:SECCE3Rv1G0163200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) UniProtKB/TrEMBL;Acc:F4ISL7] MSHCLRVSPFLAPPPLLLCRPRRARCRRRAPRPVLTLARLDPPPLLRLRVSDSSDCLPSHGHDHHRPPPLRALIGSLASVWREGLFLVRCSAFAAVLSVAAALSWYAQHRARAFVEARLLPAACAALGDYLQREVRVGGARSVSPLGITLHTCSVGPRADEFSCAEVPVMKIRVRPFASLRRGRVVVDALLSDPTALVAQRKDFSWLGIPAPSEGGRPKRNSAEEGIDYRTKTRRLAREKAGGQWDEERDRAARESAQRGYIVPSGQSTSRSADEMLEDDGPVGNGKSSSPPLCADEMHRNDRHMDPGIIDSSSKHADLEKSFGVKSRIPGINFWSRMIPNPSKRRYRRKGHSKVVSGIDNSSQERILRRSAQAAVAYFENMDSGNPDNSSPGSGNNSSNGGGHADAGSGKATSNDAPIVSSDTELPPNSSRCLDCLGEGKSASAMPIIDANDVYAEHSHNQQPSQHSLHHSDNKMLVCNHLEDVQHGEGNLYQGHMLEEFESLSEDSIGRSFWPLQAKGSRVNFNAPYASLGVEIQKLKSRFAIGLEDAPAGLVEGVDQINPGGTQHMLPITLDSVYFSGGNLMLLGYGDQEPREMKQANGHVKFQNSYNRVHVHVTGNCMEWRQDQTSQGGGYLSTDVFVDIAEQTWHANLNVVNAFAPLFERILEIPVVWHKGRATGEVHICMSKGDSFPSIHGQLDVKGLGFQILDAPSSFSEIVATLSFRGQRVFLHNASGWFGDVPVETSGDFGLNPEDGEFHLMCQVPSVEVNALMKSVKMKPLMFPVAGAVTAVFNCQGPLDAPVFVGSGIVSRKSLSVSGMPPSAASEAVIQNKEAGAVAAFDHIPFSHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNAWICPEGEGDESAMDINLSGSILLDKVLHRYIPGGIQLIPLKIGELNGETRLSGPLIRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMVNSSSVSFDLNTRIQTSYIDDYSLHKEMYQMKKIMPLVVESVDLDLRMRGFEFAHIASSIPFDTPRPLHLKASGRVKFQGKVVKPSQVVDDKIYGALQSITDQSKLESDVSRLVGEISLSGIKLNQLMLAPQSTGFLSLSRDSVMLNATGRPDEKISIEVNGPLFFGTNEAIQDERLLSIFLQKGQLKSNICYHPESLTNLEVRNLPLDELELASLRGFVQKAEVQLNFQKRRGHGLLSVVRPKFSGVFGEALDIAARWSGDVITMEKSVLEQPNSKYELQGEYVFPGTRDRFPMESHGNGFIQKAMGGHLGSIMSSMGRWRMRLEVPDAEVAEILPLARLLSRSTDPVIRSRSKELFMQCLHSVGFNAESLRDQIKAVEMYHDWLDDDTIEDITLPALAELRGYWRGSLDASGGGNGDTMADFDFNGEDWEWGTYKTQRVLASGSYSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQALESSTTDSIHFLRQWVTPIKGILHMEGDLRGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSIPVTYVDSSSTEENLEAGDGKQGIIRIPVWAKDRGSSNDISETRIVRDKPEDGWEFQLAESLKGLSWNLLEPGEVRVNADIKDGGMMLITALSPYANWLQGYADVLLQVKGTVDQPVVDGSASFHRATVTSPFLRTPLTNFAGNVNVISNRLFINSMESRVGRKGKLSMKGTLPLQNSEPSASDKIELKCEVLDVRAKNVLSGQVDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGAVATRLASNKSSYLLAGFGQTTTSQDVSRFLGALSTSPDKTERTLENGSFKPNIDARLNDLKLTLGPELRIVYPLILNFAVSGDLELNGMVHPKYIRPKGILTFENGEVNLVATQVRLKSDHLNVAKFEPDLGLDPVLDLVLVGSEWQFKIMSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRMVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGKRVQASVVRQMKDSEMAMQWSLIYQLTSRLRVIFQSTPSNRLLFEYSATSQD >SECCEUnv1G0529310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7251506:7252720:-1 gene:SECCEUnv1G0529310 transcript:SECCEUnv1G0529310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSAPAAATAIFSAVLDDDDLLGEILVRVAFPTSLVRAALVCKRWLRLASAPAFLRHFRDLHPPSLLGFYVITKAYTPVNNQPPRFVPVPQPPELAAVVRRASFDLDSSGSDCFDLDYWNGLLLIFYGLGHPGTMVRCPLYPATYTTILPPVPNTSIRDGFTYFYHTVYPNGDGDGELGMSYICLAIGSNEQQSVWDVYVLQGDVWDVYSSAVTEIKITGPPLNTLISHDKVYNLALVSGIYMLVSLDLASSCLSLVNLPEELKCMSMDLSLANDSGVHLIYVKGSQLNIWHYMVDKKGLANWLPVDTICLREICVNHMISTRMFEDVVGGSALMVQACGVNSGFLFLEKDCVIYLFDIKRKEAKKVYEVTQEDTYIYGVTPFMMVWPPKFPVMKEGCDPKE >SECCE7Rv1G0460830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:33854745:33857898:1 gene:SECCE7Rv1G0460830 transcript:SECCE7Rv1G0460830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 4 [Source:Projected from Arabidopsis thaliana (AT5G15330) TAIR;Acc:AT5G15330] MKFGKDFRSHLEGTLPDWKDKYLAYKALKKLIKTLPPDALVADQPPPPPPLPPAGHGGAALGLGDWFARILDVELHKLNDFYMEREEWYVIRLQVLKERIERVKAKKNGAFTSKTEFTEEMLEIRRDFVLIHGEMILLQTYSSLNFAGLVKILKKYDKRTGGVLSLPFTQRARHQPFFTTEPLTRLVRECEANLEILFPVEDEVLESGSSSKHQAHNDAASRDPASSCDAETSEVYRSTLAAMKAIEGLKKASSTYNALSLARFFHGEDGEACSGAITSESSLSNSLTDSQVEDADKDDKEVQSKEQSSAQTDHNAEAERRGG >SECCE6Rv1G0448000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:853219099:853222040:1 gene:SECCE6Rv1G0448000 transcript:SECCE6Rv1G0448000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G38270) UniProtKB/TrEMBL;Acc:W8Q6G8] MAFPSLAPNPSSAAAWRRRSGLVALLLLLLFLSFQVVVHVPSVRSAVSRRLFSDHRHRAAREAGHRSCPGCGDIQDLEDFNKTIAYTDQDGRIKLFKVTAREFASSSIWENPLLPKNSQPLAEIKETAEGRPLATGSEANNLSSAAVSATQRPDPIKLKREVFRRRRKEQRIQELLQMDKEAELQMRNAATNSSMNFDNKVKVSYNIWRQEFHHTNTDSTVKLMKDQIIMAKVYATIARSQNEPDLYASLMKCIKESKATIGDAHMDSELDSSALERAKAMGHVLSSARDVLYNSDEVSRRLRVMLQSAELNIDTVKKQNTFLVQHAAKTVPMPLHCLHMQLITDYHLRDGVIKEYFQAAALEDEEDKAKLEDRSLYHYAIFSDNVLAASVVVRSTVTNAKEPNKHVFHIVTDKLNFAAMKMWFISHSPRPATVHVENIDNFKWLNSSYCLVMQQLESARLKEFYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGMVNGAVETCKESFHRFDTYLNFSHPKISENFDPRACGWAFGMNMFDLKEWKKRNITGIYHYWQNLNEDRKLWKLGTLPPGLITFYNLTQPLDHTWHVLGLGYDPAVDIAEIENAAVVHYNGNYKPWLDLAISKYKAYWSKYVDVDSSHIQRCYASKQ >SECCE6Rv1G0412820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:618089876:618090715:-1 gene:SECCE6Rv1G0412820 transcript:SECCE6Rv1G0412820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQEVRRVDSFSQLPFIRPAAAAQQQQQQARDTIRLFGREFSNNDALQQQQQEKRKQEAGAGSPDAANGSTVTSEGNGGGAKGGAGETRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQASLAMHRYAPGGHHMYGALLNYHHHHPAAGRYDQPPPHYPMWTTAGMGPYGGGGPGSMSQPIDGSPVAQGHWRVPLPAVDNFGAPVRHGAADMPPAVVVRPGEVMTCKDEKVVMSLLSSSPSLSSCSSTSPEKLGRCELGQQEALSLDLHL >SECCE2Rv1G0076740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:94219089:94219571:-1 gene:SECCE2Rv1G0076740 transcript:SECCE2Rv1G0076740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQESSTQAAAGGGAPLCANGCGFYGSEATKNMCSKCYRDHFKATGVAGPAVEGKINADDLILAFKSSVSLQDSTTAAAADAAPVADAPAKKAAPTRCMACKKKVGLLGFACRCGGTFCSLHRYVDGHACGFDYKKVGREQIAQQNPLVAPSKLHNKI >SECCE4Rv1G0239480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:405335133:405344049:-1 gene:SECCE4Rv1G0239480 transcript:SECCE4Rv1G0239480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAVPPPPRQLEVRRFASDRVGELRSLHAVVSARVDGRFQQPRSARRRTTGHLPSKRRRASRGAAAGQAPEEGNPSARQSRRVRRRRELAGNPAEGFSVAGDGARRLRTHLWHAKRFTMARRWGFVLPIGSQGSGRGSRAVLKWLKNGTIVHDASYFIPIQLDGPEDSLLSILGMVICPSPADKAPDLKHLQDKVMQGVCIENATLRRAGCPHSHVVGPVTYMWRPFSIGSSKLEAKEADLSNSEPRFSQGSRSSSQRQLWIWIHPAMLDEGLYAIRIACDKQMQDSGVLVNCCSLEGKIARLEVMGCKAMQSLKSIFHPVSKVLDTSDMSKPTSPHVLESSVIDHAEILQPGAILSMIVHDPRVSSEGTNSPSETVTNQENKLLEGGHLEAPSEERRIFSSMQMHSGRHDLLLSDCREMWDSGCKINPPVAEEILCMEKHHGRINFFCLDSEIDQGQATQANDCLSRSCPVILLKHAKERWSIIVPLSWLKPFWLFLVSHGAHAIGLRERRWIASKLKVPCFPYDYPDSKAYSSFMTEEAAVFDKAAEYRPAAKRPPRVTVPPSWHHIMASLSKEDGMVRCLEVDDLKPSDMVLPECFSLNSSGDSGSSLTNIVASFQLFVPRTIQTLRHYVKKLDMMSLSSSSEMEIGIDEPKLASGGTVKMPFPVNGLCLVRVLIRAFKEGFFEDGAVVCAPFLSDLTALKIRSEEDEEQCLEKWEVQLPQSHISSYFPCLGPKDDTTRKALRWPIGFVTTGFVHGSTGKDGAAVAFCDARLLAVLRQEQWNEKSMLGQEICVLVRNARSAAYRRALATVVLEQQKEDLEFL >SECCE2Rv1G0115950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:759178747:759179400:1 gene:SECCE2Rv1G0115950 transcript:SECCE2Rv1G0115950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAYCGSDYSSSGAQSPLAGGREEGSYMTVSSAPPKRRAGRTKVRETRHPVYKGVRSRNPGRWVCEVREPHGKQRLWLGTFDTAEMAARAHDVAALALRGRAACLNFADSPRTLRVPPQGAGHDEIRRAAVEAAELFRPAPGQPNAAAEAPAASPVASGNAELVASSPYCLMDGLEFEMQGYLDMAHGMLIEPPPMAGSSTWIEEDYDCEVSLWNY >SECCE3Rv1G0197830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:824031544:824032770:1 gene:SECCE3Rv1G0197830 transcript:SECCE3Rv1G0197830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAVRRLCAAGELRPALARLARGAKAGDGALDVAACTALVHACCRAGDLAEARRVFGVMPRLGLAPNEVTFTALIHGHFARGRREEGFALFEEMRGGGVEPNIYTYNVLIGEWCRTGEFEGARRLFDEMPVKGVARNAVSYNTLIAGLCRYGKVKDAARLLETMRKEGIRPSIVTFNLLVDGYGKAGQMSNALHFSNQIRAAGYQPNALTFNALIAGFCRARDIARANRAFSDMKERGLTPTNGTYTILIDALARGGDMDKAFEMFADMGKAGLEVDVRTYGVLVHALCMEGNMKDARKLFLSMEEKGVKANGVIYDIMIFGYGREGNSYKAMKLITEMRKNGLVPNFASYGLTIRCLCNDGKCPEAEALIKDMVRAGLQPNESVSQALLDAKARQGSSTSDFFT >SECCE3Rv1G0190700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:742635478:742641543:-1 gene:SECCE3Rv1G0190700 transcript:SECCE3Rv1G0190700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIGIIMTLAFLLSFAASDRQGDALYDMKLKLNATATQLTDWNQNQVNPCTWNSVICDSNNNVVQVTLASMGFTGVLSPRIGDLEHLNVLSLPGNKITGGIPEQLGNLSSLTSLDLEENLLVGEIPASLGHLSKLQLLILSQNRLSGTVPDTLATISSLTDIRLAYNNLSGPIPAQLFQVARFNFSGNNLTCGANFAHPCASSGSYQGSSHGSKIGVVLGTVGGVIGLLIIGALFIICNGRRKGHLREVFVDVSGEDDRRIAFGQLKRFAWRELQLATDNFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWNARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEGFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLEVVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGNLDAIVDRNLNNSFDRQEVEMMMQIALLCTQGSPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRREDYERMQQRFDWGEDSIYNQDAIELSAGR >SECCE5Rv1G0321430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:403648349:403653949:-1 gene:SECCE5Rv1G0321430 transcript:SECCE5Rv1G0321430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLALLLFLLCSSLALASGSEPTLSWTCGDEQASILETSDGRQNLSINGVLVKDRAQGCDRLRSYFGSGCLSCDERSQSWRGAWMRYCSDDGSQSSYVTSAPNISRRLLKQPTENGAKAEDDPCLNKGLHENKHDGNDSSEKEDPLLAVPGVLLLCCGLMLPCFHAERKEGRRHNSTSIQRNSVESLSSFDVSTSSDKIPPTPHRIPPSPSRFAPSPHIARVGSVDLSVQQILRATQNFSHSFKLGEGGFGTVYRAVLSDGQVVAVKRAKKDQFAGPSDEFSNEVELLAKIDHRNLVRLLGYTDKGNERIIITEYVPNGTLREHLDGQHGRTLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTDSYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEIISARRPVELKRAAEERITIRWTFKKFNEGNMREILDPLLEDRVDEEVLEKLLSLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGKSVRRA >SECCE1Rv1G0023460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:305028621:305029508:1 gene:SECCE1Rv1G0023460 transcript:SECCE1Rv1G0023460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTKLVALGLVVLVSIGFTDAVRMLASSSSASGGGGGGGGGGGGGGSGGSGWGGGSGSGGGSAYSESGGDGGNKWNFARGAGGGGGAGGGGGSNGGYGSGFGSGYGTGSGVSGSASAPSGNGYASADGKGEGGGGGGGADGSTGSGAGYGLGKGYGESGISKAPAPAAGGDGTSYSDAGGSGNGGGGGNNGSGGGAGVGAGQAGNDDISRGFANGGGSGNGGGTAGGGAEGPGVGVGSGVGSGAGQTGSTGSNGTGYATGMGGGMGGGNGGSTNGGTGSGGGSGSGSGGGGYN >SECCE3Rv1G0212940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:957053202:957056480:-1 gene:SECCE3Rv1G0212940 transcript:SECCE3Rv1G0212940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALVSAVTGALKPVLEKMAALLGDEYKRFKGVRKEIKSLTHELAAMDAFLLNMSGEEDPGVQDKVWMNEVRELSYDMEDSIDDFIQHVGDKDIKPDGFIEKIKSSLGKMKARRRIGSDIQGLKKQIIEVGERNERYKTPHAFSGPINAIIDTRALVIFEHASKLTGIDEPKAEIIKLLTEHDRCSSTQQLKIVSIVGPGGMGKTTLANQVYQDLKVKYECRAFLSVSRNPDMMNIMRTIRSQVSDQRFADTEEGSLQQLIINITDFLQDKRYFIVVDDIWDADAWKVIRRAFPESSSSSRIMITTRINDVAELCRSSFDGHVYHIRPLDMAHSRKLFHRRLFDSQEDCPLYLTEVSKQILKKCAGLPLAIIAISSLLANTERLEDLWNQVKESVGRALERNPSIDGMMKILSLSYFDLPPHLKTCLLYLSIFPEDSVIKKKVLIRRWIVERFIHAEGRYTSYEYGEWCFNELINRNLILPGETDKYGRVKSCRVHDTILDFIISKSIEENFVTLVGVPNLNLGTLNKVRRLSLHASEKENLIRPTCPMLSHVRSLDLFEQSEKIPSLDEFKHLRVLDYGGCFYLENHHLRNIGRLFQLRYLNLIWTNISELPEEIGHLRCLEMLDIRGTEVYCLPSSIVNLKKLSKLLVKEEARFPNGIENMQALEMLKHVSLFRQPSNFAQELGQLKNLMKLVLDFVDYSDEYHEAIASCLHNLGSQSLRSLTILCGGNFLQQGTLCPMPLTLQKLVTTFTTIHRVPNWMGSLVNLQHLRLEVTEAGQEDLCILGALPALLILDLVIRARKTSKYTDWLRVSCEVGFQCLRKFYYRTQPEPAALVFMAGSMPRLEILEINYGLDGTESLDFGIENLPRLTTATWIIHGKKDVVEAEKAAVERAGSKHPNYPTLVFRC >SECCE1Rv1G0019630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:239632364:239632585:1 gene:SECCE1Rv1G0019630 transcript:SECCE1Rv1G0019630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRAPRVQDTGVVKRYAEMGITAALSRPWDYPTACRELGELPRHGYAGLPKAAQALAAADVLVAFRLLPG >SECCE5Rv1G0320100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:381518760:381527490:-1 gene:SECCE5Rv1G0320100 transcript:SECCE5Rv1G0320100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPESADDAALAGCKDKLKHFRIKELKDVLHLLGLSKQGKKQELVEKILAILSDQQDQVSQLSGLTKKPAVEKETVLKIVDETFRKLHSPANSAAASPNQIDSGQSVKPKKKLNGSAQKDVKVRCPCGNSMANGSMIKCDNPQCNVWQHVGCVIISENSAESVPQELPSSFYCDICRINKADPFWVTINHPLLPTSIAPSKIATDGSYTIQHLEKTFPLSRANREMLQKAEYDIQVWCILLDDQVPFRMQWPLHSDLQINGLQVRVVNRQPTQQLGANGRDDGPVLTEYCKEGPNKIVLSRSDSRMFSLGVRIAKRRSLQEVLNLVPKEHDGEKFDHALARVRRCVGGGAEADNADSDSDIEVVADRVSVNLRCPMTGSRIKIAGRFKPCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRITSLIQSCEDDVSEIDVKPDGSWRVKGGAELKDLTRWHLPDGTFSVATNIGSKINTSIVKHEIKDESLSDQPGSRIKLGIRKNNNGKWEITKRGDVNSTQSSDSDHPEHFKNGNFVTPTSNNDHEDTEDLEPGQYDYPMSNVHDLDSSPIDGHVPAVSREQDIIVLSDSDDDNVTVLSPNALNSSSADDTGDPFPPNPPETKGTCEEQPGGGLDEASFLMFSEDFDDLGQLSFWQYPSNSQDAPGLQLTNNLGEVQNNIANHQPLHEPVAAAANLLEPGHNNCINESQASIARKCVDESLITAKKNASQKRRNPEDEITALDASVVDDDLPGEKLGGPLSSARQQRSVRPRLVLAIDSDSE >SECCE5Rv1G0371470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:847294834:847295889:-1 gene:SECCE5Rv1G0371470 transcript:SECCE5Rv1G0371470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIIVPMEPIHHVGGNGDPPPPSSEPDSARPRRRRPKFPNMAAVPLIKKVMAEFLGTFILMFIQVSSIIMDEQHKGVVGLMGIAVSVGLAVMVLVFSIIHISGCHLNPAVSIAMGVFGHLPPAHLLPYIAAQVLGSTAASFAGNAIYHPVNPRITTIPSIGTVEAFAVEFIITFILLFVIIAVATDPHAVKKLIAVAVGATVVMNILIAGPSTGASMNPARTIGPAIATGRYTKIWVYLVAEPLGAIAGAGSYMAIKL >SECCE4Rv1G0278070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:807108108:807108740:-1 gene:SECCE4Rv1G0278070 transcript:SECCE4Rv1G0278070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSASLALKAAAVAAVLTMLVLPSSGRCPSLGPAPPPPAQAPPPPALTPPVPPPSPAPWNPPCSQSRRQQCYSDTYPACYSACTTSTPCTVCQLYGALCTNCTIAEKDKCAADCAVGGGCDCDAAAGSACRGDCSPLFGRCGSCTTTENDKCTANCTGGGCDDCRGTARSACRIDCAAEDCNSCARGLTKSCDTKCSAECDKCFPYL >SECCE5Rv1G0354090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:721634714:721636720:1 gene:SECCE5Rv1G0354090 transcript:SECCE5Rv1G0354090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYVAVLSFAFLFLLHYILGKKSNGGKAVHLPPSPPAIPFLGHLHLVEKPLHAALCRLGARYGPVFSLRLGARNAVVVSSPACARECFTDHDVAFANRPQFPSQMLVSYGGTSLVSSSYGPHWRNLRRVAAVRLLSAHRVAGMSGVIAAEVRAMARRLCRAACAAPPLFELSLSVLMETIARTKGTRSEADADTDMSLEAQEFKQVVDEIIPLIGAANVWDYLPVMRWFDVSGVRSRILATVSRRDAFLHRLIDAERRRMEEGGDEGEKKSMIAVLLTLQKTEPELYTDQMIIALCANLFIAGTETTSTTIEWAMSLLLNHPAALKKAQAEMDASVGASRMVAAADVPRLSYLQCIISETLRLYPAAPLLLPHESSADCKVGGYDVPSGTMLIVNAYAMHRDPAVWEDPTAFRPERFEDGKGDGLLLMPFGMGRRRCPGEALALQTVGVVLGTLVQCFDWERVDGVEVDMTEGVGITMPKAVALEAVCRPRAAMRDVLQKL >SECCE5Rv1G0340100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:612204263:612204796:1 gene:SECCE5Rv1G0340100 transcript:SECCE5Rv1G0340100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDSEAPASSVAYGGGGGAAAPAGAGADSPFETNVVIILAALFFALLLVIGLNLMVRCALRRVWRGAAAAAGEGRASARVACSGSGIKRRVLRSLPVEVYGSGEDIDDVCAICLSEFVDGEKVRVLPLCGHGFHVRCVDAWLVSHGSCPTCRRPVIEDALAKATDTNTVITVVIV >SECCE4Rv1G0257850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:673074219:673074558:1 gene:SECCE4Rv1G0257850 transcript:SECCE4Rv1G0257850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRALFAWMLVAGVVALGSCPRPALSSRLRLGRDVEAGDAGVVAAAAGEWAWASRSLGSRATVPKSPLPNTPKSYVVPSPPPLLV >SECCE7Rv1G0499610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:655850970:655852402:1 gene:SECCE7Rv1G0499610 transcript:SECCE7Rv1G0499610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFQWSRPVSLLLYAVFFLSAAAVSEANIGEFDEHWQRRKLEARARAEATYHPNPIEVANSLNRAVHRAVREESGSRRKLLGLHKKFKGPCKATNPIDRCWRCRNDWATDRMRLARCAQGFGRHATGGLGGKIYIVTDGSDDDVLEPRPGTLRWGVIQNEPLWIIFARPMLIKLKEELLVGSNKTIDGRGVQLRIADGAQVTVQYAHNVIIHNIHVNDLIMGKGGRIRDSPQHAGFRTQSDGDGVSVFGSTNVWLDHLSLATCQDGLIDVIDQSTGVTISNCHLTNHNDVMLFGSSDSNPKDQIMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKNPTIISQGNRYIAPPNLAAKLITKRLYAPEGEWKNWVWHSQGDLMLNDAVFTDSGGANERKFDKDDLIPPKPGSYVTRLTRFAGCLPCRPGKPC >SECCE2Rv1G0065330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:8125594:8126157:1 gene:SECCE2Rv1G0065330 transcript:SECCE2Rv1G0065330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILLLLILAPLCVSVTARLKCPGVPHNGAVATCYHGCGAKLIYDLCIHTMQQGHIDMSPSHKEETTVYAILVLSAAVESMDTTSNMLTYQLQKNASISVQERTFYGACLTDYVAALNSLYHSHDVMLPNCFFKGINDDYLSALANLNSCRDRFIGPVMFTSPVYPMVLADRNKALLAYSIGKLLL >SECCE6Rv1G0448920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:859054219:859056618:1 gene:SECCE6Rv1G0448920 transcript:SECCE6Rv1G0448920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLTPRQPAKAYGGEGGAYYEWSPAELPMLGVASIGAAKLSLAAGGMSLPSYSDSAKVAYVLQGKGTCGIVLPEATKEKVVAVKEGDALALPFGVVTWWHNTLESSTELIVLFLGDTSKGHRPGQFTNFQLTGASGIFTGFSTEFVGRAWDLKQDDAAKLVSSQPASGIVKLSAGQKLPEPVAEDRKDMALNCLEAKLDVDIPKGGRVVVLNTANLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGPDGKRILETRIEGGSLFIVPRFHVVSKIADASGMEWFSIITTPNPIFSHLAGKTSVWKAISPEVLEASFNTSPEMEKLFRSKRLDSEIFFAPN >SECCE5Rv1G0299010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14590085:14605108:1 gene:SECCE5Rv1G0299010 transcript:SECCE5Rv1G0299010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLSHLHSRMHKYPCRSSFPTTTTTFPPPLSLISSLPSSFLPRRAQLVIPTMGAGATGLDVARAVPAKRRRVLPAKTRGGRPRQARRRAQPLQRLFRACRAVFRGPGTVPAPPEVALLRAMLDRMRPEDVGLSPAMRFFRTRDNAAQRNPTITHTTIYKSDNFSMVILFLPQNAVIPLHNHPGMTVFSKPLIGSMHVKSYDWADPDDPAASSPNDQLRLAELVVDDLFTAPCDTSVLYPTAGGNMHRFTAIAPCAILDILGPPYSIEEDRDCTYYADVPCSSQSQHPMNTKEQEGRRLAWLKEIEMPRDLKMCSVRYGGPPISDR >SECCE1Rv1G0059470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:706079770:706080975:-1 gene:SECCE1Rv1G0059470 transcript:SECCE1Rv1G0059470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAEGHRRRKNKSKKKKTGLTTAHDLPEHLLERIIICLGPSPCLVRAAAVCRRWCRVVADAGFLARLRAAHVHATVVGYYHEDEEQPKPVFAPSSLAVDRRRFSLDSFPGVGLMNDSRGSLLLLVSMWKIGSSRDLMVCEPLTGGRQRILWPSSALGDGLGVFHCLVDNGRHISMSSFRILSAVTKTLACVFSSGSNGGWRLVRTPATRVDVELPISYYFDENFVGRVNGLLYFGIQGNDAVLVLDETSAQFSAVVFPVNIWGSFHIGVSRVIGGGDGVLRIVRVISNVVKIFKQGRGGDDNEWVLEKQLELLEATVGLPGRDETLFEQGAKIVVANADYILMTPLLTPATKTWLFSVELDTMQVENEHERNKYAGPAYPYELPWPPVLPDHSRGRRRR >SECCE3Rv1G0214240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:964947104:964950956:1 gene:SECCE3Rv1G0214240 transcript:SECCE3Rv1G0214240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAPALGPLLGNTVNTVISPFYTLCSTNATYCFTAHTNVRNHRTETETLKGNLLRIKQRITDGERNGLISTEEANDWVQRVEQAISEEAANRESFDQRCRIFGCSLNCWGNYKTSKKAAEKVDAVKKYIGSTPLPDNVARTPPPPPVVDLSTHPAQLVPSREPTLRSALRCIKEEDAARVIGIWGSRGVGKTHLLTKINNSFLEHCPFDIVILIKASSECTVQNVQAQIINRFGINQNVNVTSQIHELLKKRSFLVLVDDLCEKIDLSAVGIPHPLGVVDQKKRKVLVVSRSQSICDLMSVDKYIHVLGLEEEEAHQLFEQSFGEENLYTDPHVGVLAKDLVRELIGRPGELIHFGKMMQRSRNARQWEDVIDAVKRSDLPKDNPLCMAERIVGTLEDSTKDLIAKKDDVCQKIKNAEREGKKSTNEVDRWLEKVAKIIDVVHVISVDCKLKKDVTMETSEKLREVQECLSICPSTVAIESMPPPVQEMPGPSMSAENRNLQDALQYIKDDPKVGMIGIWGPGGVGKTHLLNNINNSFGDGMTFDFVLFVTASRGCSVEKVQSQIIERLRLQSTGSKRLTIDEYMKTKSFLVLLDDLWDEINLEDVGIPYPLGNVNKLNRKVVLTTRLRKVCGQMKVKKELKVAYLQDHEAWQLFKDNIGAETLSSPHIEALARELMKELKGLPLALITIGKAMYQKDEYQWETAIQYIQQSCCANDKDPVELGMETNVFTQLKFSYDNLRNKTLRDCFLTCTLWPEDENIHKVDLAQCWMGLGLVNEHDIESSFRKSYSLIADLTAACLLEGSDVRLGSSFENSHGSVKVHDLIRDMALWISCDCGENNDKWIVAAPGDRDKKVIILSNKAECISLMYNRIPVRFNLDPIKLRILCLQNNELDESIIVEAIKNCSSLTYLDLRGNNLDRIPEELCSLVKLEHLDLSGNEFGETEVPHSFGKLINLKFLYLKFNGHVRIPIGVISSLTALQVIDLRSYITESTLSSLPELGTLPQLKALGILVRDLAQFESLGEAANLPIRYLTLKDLGALIRILSTDFAQRTLYELDIIDGNTQQITVSYDTEQPNSHFGALNNLRLKWMRYLREIKWMGATPAFIFPRLTCLELFSCQRLLHLSWVMYLPLLEQLYISGCFGMVQAFMRRHGDKLCNRQDKTKTFPCLKILWLNYNDLLETIGDNGMEFPSLERLEIINCPKLKRLLFQLDSLPLKLKELRFDSAQRWERLECEEGVKSFLQPALKFGR >SECCE7Rv1G0518020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856872409:856875336:1 gene:SECCE7Rv1G0518020 transcript:SECCE7Rv1G0518020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPAATTSSSVVSHGGPGKGGSILLLPFPGAQGHTNQMLELGRHLARHGLHPTLVTTRHVLSVTPLPSAPFRVAPISDGFDAGGFASCLDTDEYFSRLEAMGSQTLRELLLSEEATAVRVLVYDSHLPWAGRSCAVNVVYGEVWVGRLTPPVTDGHELLACGALGVELRPEDVPPFAGAPDSQPGFFKMSIGQFDGLEEAHDVLVNSFSDIEPTEAEYMELTWGAKTVGPTLPSFYLDDDHLPSNKSYGFNLFGDDAPCLDWLEEQSISSVVLVSYGTFSNYDITQLEELGNGAHKLSEELKAKCQKNGLIVSWCPQLVVLAHKAIGCFVTHCGWNSTLEAVVCGVPLVGIPHWADQPTITKYVESAWDIGVRVRKSENGLLRSGEVGRCIREVMDGERKNKYKRNATKLMQKAKEAMQEGGSSDKHIAEFAAKYLSI >SECCE1Rv1G0032940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:461172018:461174413:1 gene:SECCE1Rv1G0032940 transcript:SECCE1Rv1G0032940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWGSSRKRKERKWGSSRGHEPGDKGPTQGLRHRASPARIMKLYPHLTAAQRDMIEGAGFGGLLRLQCPTIPARLSTWLLRRFDTESSELVIPGRGRIPVTVDSVHRVLGIPKRGRDVLYGLDDESTASVLDKHGVDTPPSMASLEKSIRLMKSADEHFLRTFIMLVLSSFLCPTSSLKISPRCFPALVNIGSIKELNWCKFVVEQLEKCVSSLGKKNSAGGCLFYLVILYLDSLDVRGMEVPDGTPRVSAWDRKLMDKVIEMDMKNNGSFGKCFLKREATRKIISRGASSSTASVLLGDVSAIANFVSSNVLPEYCPQKKEALCKAAGNLCASITDALAKFMREVSGLEGCSGEAGKNSTELAVREDNNVENDGDEMDVDTLLDDSSELATKDMEDTSADEHEDGSSGEGEEGVSSSADSEDDPDWEGYRATRTRSRQNSVTRNSTNSKEPGDGKNKPRDVTTTGSGNDDSNIPDGNNDRVNQCSEEHENVAKPTSPNVHEDVMVPTLVVSQATEEPGDVTTTGNGSDIPEGDQGTVNRCSEEDHVHVAELNPSSGHVDVVIPTSAVSLPSTPSTQEKNTQQEGSIEDRMAIQPSSMLSGGSSMMPLDDNVTPSKEDKNMDMTPAINFVEGTPVVDLSTPESSDSECTVVRTVRKRSPVDGPKTPP >SECCE5Rv1G0355500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731888507:731890412:-1 gene:SECCE5Rv1G0355500 transcript:SECCE5Rv1G0355500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNIGQALAAVLTLLVTMRALWYLIWRPYALARWFARQGIRGPSYRFLVGSLPECRTMLVTGRAKPLDTGSHDCITTVQPFFRKWVSQYGKTFLYWLGPTPALCCTDMELVKQMFTVRTDVFQKDYLNPSLDSVFGNGVIFANGHDWKRRRKFVHPAFNQETIKSMSAIAWECTQQTMERWCAQLQGQQQAEIDMRHDSDEIAMGIIARVMLGKDHEEARDVFVAGREQLKIAAYASADPPLPGFRYLPTRRNRRMWKLDKFVTSKISPILKARLASSVYQDDLLGQMLQLQACRSSGGAETLSTQEMTGECRTLFAAGYETSASMITWAMFLLASYPRWQEMVREEVVRECPAHQPPTVDALAKLKLLNMLLLETLRLYCPITFIQRKTSSDTILADIKVPKGTMVSIPLLMLHRDKEVWGPDADEFNPMRFQNGVSMDTKLSRSLLAFSYGQRVCAGQNFAMVEVQIVIATILRSFSFSLSPTYMHKPSNFVTLLPRYGLPLVVRNLQQLTG >SECCE2Rv1G0068360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22759986:22760567:-1 gene:SECCE2Rv1G0068360 transcript:SECCE2Rv1G0068360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTKLAALGVFVILSIGLASAARVARYSSAAGTSIGGEGGGGAYVTGGGSGSGNGVGSANSGGSGVRASIVGGGDGGGSSQYGGSGYGEGSGSGMSSGTYNKGSHYDFGGYSSSAANGGGGGGGQAGDRDGSSGHGVGSGIASGSGKADGVSRGPSYLNAKSDGNGGGKGSAQNGGSGGGQGGGSGSGDAHP >SECCE5Rv1G0342200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631145398:631148930:1 gene:SECCE5Rv1G0342200 transcript:SECCE5Rv1G0342200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIM [Source:Projected from Arabidopsis thaliana (AT2G21170) UniProtKB/TrEMBL;Acc:A0A178VN28] MAAPSSLASSRLAAAAPAPQHHHQNHQLRLGCSRRRAQRLVAMAGSGKFFVGGNWKCNGTKESISKLVSDLNAATLESDVDVVVAPPFIYIDQVKSSLTDRIEVSAQNTWIGKGGAFTGEISAEQLVDIGCQWVILGHSERRHVIGEDDEFIGKKAAYALSQNLKVMACIGELLEEREAGKTFDVCFKQMKAFADNITDWTNVVIAYEPVWAIGTGKVASPEQAQEVHAAVRDWLKTNVSADVASTVRIIYGGSVNAANCAELAKKEDIDGFLVGGASLKGPDFATICNSVTSKKVTA >SECCE1Rv1G0056360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:686576044:686578539:1 gene:SECCE1Rv1G0056360 transcript:SECCE1Rv1G0056360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTSNKRRRVLVVGSFGASVLPDELTMEVLLRLPVKSILRFRAVCRSWASALSSEEFCSLHMAKAEAAAAPPKLIFISPSATFDSTGVYSSSYPSDGPLFTLDDVRGDFAALTPSPCRGLTLLHDALARAYYVFNAATRAVTRLPPCQHAIFSTTGLGFDTSTREYKVVRLFLGKLNEKQRIRCEVYVLGGQQGDRWRPAAGGVPFRFCSFVQAAITTASWSLVGPLLPVFADGFLHWLIYPGTLRRRPRAAVLSFSLNYETFGWVPSPPFEIPRAGVHLVELAGRLCMVRDLRPQDNSNMLEIWNYSSSDDWSLQHSIDLLQNAAGDLITSAQVIRVIGSVGNCGSTDKVILEATSKHKVITYDPLSGILELEAIDGIRETNSLYEADQQPAPRFSLFKESLAPVHKTNQETALSTPLAKAIKEVLLRLPGDYVAQFKLVCKQWLSFIESGGFIRSYHARNNMDKGPKVMLVGKGAGGLGFSFAPSKRLLGEGPSQGAWLDTKVVCSKPCHGLNLVSSEREDYLYNPCTGYHRTYPAIVPPHRVPHHVLAMMHGKVCTPEDHTFAVGNKNSGLGFNLLTQEHVVVQIFYHLKDFKSRQYFLTCSVIDLMSAQHHHEPPLPLNGMPPAYLSGALYWMSEPRLGQSYERAIVSFDVANERFGVIPCPSSIAMWSDTSPNQAFVVELEGILCVVLADPVAQEIDIWKLEHDQWDRAHKIYLEGCPGYSPAENVVVPLIIDPRDGRILLNTGRKLGFYDPLRRTIDNLYDLDEVLRVTCSEQSRGKHFTRSSEVPLQGENPLGSNILPFVPLLYEESLASYPCTPRAWDIRR >SECCE2Rv1G0079900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:124886638:124887105:-1 gene:SECCE2Rv1G0079900 transcript:SECCE2Rv1G0079900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINIPDGKFYLGDAGYACRPCILPPFRKIRYHLNEFSGRNYSRTAQELFNLKHSSLRVTVERAFEALKNRFKILDQRPFHPYSTQVKLVLACCILHNWILQWGFDEHVPEEEEVEPDDVVSSGHGVEAFDNGAWKNKMLGWAEAMWLNIG >SECCE2Rv1G0127600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:854383054:854388842:1 gene:SECCE2Rv1G0127600 transcript:SECCE2Rv1G0127600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEEIRNEAVDLENIPIEEVFEQLKCTRQGLTSDEGAQRVEIFGLNKLEEKKESKVLKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWQDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWGEQEASILVPGDIVSIKLGDIVPADARLLEGDPLKIDQSGLTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQQVLTAIGNFCIVSIAVGIVIEIIVMYPIQRRKYRAGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEELAGMDVLCSDKTGTLTLNKLSVDKNLVEVFAKGVDKEHVLLLAARASRVENQDAIDACMVGMLADPKEARAGIREVHFLPFNPTDKRTALTYIDAEGNWHRASKGAPEQIITLCNCKEDVKRKVHSVIEKYAERGLRSLAVARQEVPEKSKDSPGGPWQFIGLLPLFDPPRHDSAETIRKALVLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQSKDGSLESLPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLNEIFATGVVLGTYLALMTVVFFWAMHKTDFFTNKFGVRSIRDSEFEMMSALYLQVSIVSQALIFVTRSRSWSFVERPGFLLVTAFFLAQLVATLIAVYANWDFARIKGIGWGWAGVIWLFSIVFYFPLDIFKFFIRFVLSGRAWDNLLQNKTAFTTKENYGKGEREAQWATAQRTLHGLQAPEPASHTLFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTINQNYTV >SECCE5Rv1G0323640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:438442536:438449468:-1 gene:SECCE5Rv1G0323640 transcript:SECCE5Rv1G0323640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGHHLTEVALLASASGCLAAAGAGEREGWLDDPAVLLSLGPRARDLAVASAARSVLGIVPVAGGGGVTVKPALGPDDGRISAVEWVPLDAEGAQGEEGMALVVGTDAGWLLFYSLAGDLLHKQSIYPAKILKLNFSERKENAWEDSGSDELSVVFPGVIARCDGADLQIMLQKSFQEVKSHMWKDKFEQENDEDTSSFEKMPLQIWNVSKFGSCVDAAIVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRSRSLVGAILSRGVAATFSTISSLSKILWRSEPSPTKKPRPKPQAFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTRALVAVRLWKGYRDASCLFVEMLGNKDKASSSSMHLDYTKSDYCLCLAIHAPRKGIIEVWQMRTGPRLLTIPCPKGSRILQPSTRFSSSAFSSYTPLEVYLFNGDSGQLSVLNRHIG >SECCE7Rv1G0454040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:855534:861932:1 gene:SECCE7Rv1G0454040 transcript:SECCE7Rv1G0454040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRSTAEFGLPLLYAANEGDLPLLKRLVQSLEKRRRDPREVLDTAREDGLGALHMAARIGSVPVCRYLVEEIGVDVNADGDASVTPLLCAMFGGGTDTMRFLLDHGANPDKVFGDRFTPLHHAAGNGDREMVELLLAKGASVDSVSVSGTPLHVAAFKGNDETMKVLLENNADHNKILPGIDTPLIFAITASSVKCVKLLVEAGADVNDGRVTPLAAAADKGLTACLKCLLEAGADPNVPDPSGRVPIELAALNGTREDVEILFPVTSCIPTVHDWSIDGIIHHAKSVSMKQGDYSNVRRIEELKSLGVKSVKQNDYSTAATMYSMAMEHDPHDATLFSNRSLCWLRMGDGHKALQDALACREMRPGWPKACYRQGAALMLLKDYAGARDAFLDAAKLDPQSPEIKAALQEAMNSLETSRGATKTT >SECCE5Rv1G0357330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:745525291:745527205:1 gene:SECCE5Rv1G0357330 transcript:SECCE5Rv1G0357330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYEEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEALPKFLKNGDAGIVKMIPTKPMVVETFATYPPLGRFAVRDMRQTVAVGVIKGVEKKDPTGAKVTKAAIKKK >SECCE1Rv1G0010900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:66899973:66902428:-1 gene:SECCE1Rv1G0010900 transcript:SECCE1Rv1G0010900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSARVLLVAAVVGFLATTACEAAQPDYATALTKSLLYFEAQRSGRLPPTQRVQWRGNSALKDGADYGVDLTGGYYDSGDNVKFGFPMAFTVTMLSWSVVEHRGRLDAAGELGHALQAVRWGADYLVKAHARPDVLYVNVGDGDSDHACWERPEDMDTPRRAYMVNATHPGSDVAAETAAALAATSVAFTGPHGDPRYASTLLKHAKQLFEFAKNHRGLYQNSIPSTRNFYGSSGDEDELLWAAVWLYIATGDQEYKAYIAGANNVGGVRQSLAWDDKFVGAQALVTKLILQGKLPNNGRHAEMRSNLESFLCNVVQHGDGRSGRLTPGGMLYLQPWSNLQTVTTAMFVLVTHADHLVAARASLQCGGVRLPPAQLVSFARSQVDYILGKNPTKMSYMVGVGKRYPSQPHHRGASLPSIRNNPGKISCGKGSDYFHRSAPNLNVIDGAIVGGPDNNDHYDDSRGNYQQGEPSTYTVAPIVGVLARLLHN >SECCE1Rv1G0001470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4983089:4984444:1 gene:SECCE1Rv1G0001470 transcript:SECCE1Rv1G0001470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVYGLPMNGSVARVLACLEEVGAEYEVVAVDLHTGEHKRPPHLARNPFGQVPAFQDGDLVLFESRAISKYILRKGSSDLLRENNLSESAMVDVWLDVETQKFDSVMLPIIFQGLVIPVYMGGTSDLKVLEENLEKLKEIMEVYEGRLSKSKYLAGDFISLADISHFPMVHLLHETPYASVLDAYPHVKAWIAGVMDRPTVKKVMGLMKTFG >SECCE7Rv1G0526600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:896129092:896130360:-1 gene:SECCE7Rv1G0526600 transcript:SECCE7Rv1G0526600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIGRRFLNLGLFDGRKRAYSLRRLDLAKMDFFHRTAQEAATHGKLVPTLTLAKACAPKRTRMCNTDLATAEAAAPKINPPKSELLVRPPEVSYSIRSPRCLHFLPTASESKVILADHANRMLRFYTIDGCSYIDTMPSLHGYKHSPLAISVPPTNLHLRDGEDNGDLYIIDSVLHPDKAEVRPQFEALVWRGITTSLVSHRFWHCDILPLPPWITHHRNAFVYGHALVGDTICFSICGSEGDGTYCFHMATREWSKAGDWLMPFDGKADYVPELGLWFGVSEKLPCVADLSDVVRGEEPPPDQMRIWAHDDLPEEWQPSGLFKPRVINLGSGRFMVVGFLDAMEFDKDCNEMVTVKQFALFTGMEVAYGNNGKSDDGKNNCSSNNNGSKGKGVIGGLGMIKHKSGRYMFNNQQRIEEVL >SECCE2Rv1G0106600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:637702563:637704035:-1 gene:SECCE2Rv1G0106600 transcript:SECCE2Rv1G0106600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGELNAAVAEPIGAIQYPPSPGATFDDDCDDLYGDVNLSFLPLPPLSPSPSSPPKTPSPGFSVPSPSPSPPPRRNSSPKPQSVPEPPKNPTPQHQPPLPLQKPPSPRQPKAPTFRHQPPRRAPGGSTSSSSPTTALYIGDLPWWTTDAEVEAALAPHGALDGLYFYADKCSGRSRGSCRADFLHPAAAASAAAALHGRVFDGHHCVASLSCPPELRRFGADSDASAPAPAAASNPTQGRGGNASSVTAARGKVGSLGDGSAVGPMAPRPRQFGAMIGGGGGGYPSSVGQYNAGVGTDAMPSMVPPHVNPAYLAASRMAMGGPGTGMWPNQGMAGGLWGGLQPWNFGGCDMSWQQPRMQQQHRQAQQQQYRNGNGGYVKLRGTGQERPGGRNQDRDIGNVRGNPDRRPYGRGGGERPRERDRHREYVPERERGRERNWNDKDQQGGGEQKRYPQYTEHDDWERRGRLRSRSQSRDSDDDGDDHPRRRR >SECCE6Rv1G0450330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:867525938:867526450:-1 gene:SECCE6Rv1G0450330 transcript:SECCE6Rv1G0450330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKFPAPVADLCDANASLILTGELRILEPVFQTYGQRRSFSGRVVTMRVLEHNAGLRALLETPGEGRVLVLDGGGSKRCALIGGTLAEVARSSGWAGAIVNGCVRDVDDVNGCAIGVRALASNPRKPGKSGATEMHVDVDVAGAVVRDGEWLYADSDGIIVCDKEIYG >SECCE1Rv1G0038540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:540640363:540642265:-1 gene:SECCE1Rv1G0038540 transcript:SECCE1Rv1G0038540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALSERQPQSEKKAPRARPMSGKAVFVLCATSFFVGLLLSGRMTVLTPPASGSHGGSRIALFSDDDCEHRRKLEEESNPNDVMNEVTRTHQAIRSLDKSVSSLEMELAVERAKRNGGLGSSVPSKGLPKVFVVVGINTAFSSKKRRDSLRDTWVPRGDKLRQLEKEKGVVVRFVIGHSATPGGALDRAIDVEEAETRDFMRLDHVEGYHELSSKTRIYFAAAVATWDAAFYVKVDDDVHVNLGMLTNRLARYRTTPRVYVGCMKSGPVLSQKGVKYHEPESWKFGDEGNKYFRHATGQIYAISRDLASYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVSNFAAAA >SECCE6Rv1G0388880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:143239385:143247550:1 gene:SECCE6Rv1G0388880 transcript:SECCE6Rv1G0388880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAADAKKAWFGSSLMSVFMHADAADVALMVLGLVGAIGDGISTPAMLLITSRIFNDLGSGPDLLQEFSSKIDENARNLVFLALGCWVMAFLEGYCWSRTAERQASRMRARYLAAVLRQDVEYFDLKVGSTAEVIASVSNDSLVVQDVLSEKVPNFVMNAAMFFGSYAAGFALLWRLTLVALPSVLLLIIPGFMYGRILIGLARRIREQYTRPGAVAEQAISSVRTVYSFAAERATMAHFSAALEESTRLGIKQGLAKGIAIGSNGITFAIWAFNVWYGSRLVMYHGYQGGTVFAASASVILGGLALGSGLSNVKYFSEASAAGERVLAVIRRVPKIDSGSDTGEELANVAGEVEFKNVEFCYPSRPESPIFSSFCLRVPAGRTAALVGSSGSGKSTVVALLERFYDPSGGEVALDGVDIRRLRLKWLRAQIGLVSQEPALFATSIMENILFGKEDATPEEVTAAAKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDLASVGRTTIVVAHRLSTIRNADMIAVMQYGEVKELGSHEELIADENGLYTSLVRLQQTRESNEVDEVSGAGSTSAMGQSSSHSMSRRFSAASRSSSARSLGDAGDADNTEEPKLPLPSFRRLLMLNAPEWRQALMGGFSAIVFGGIQPAYAYAMGSMISVYFLTDHDEIRDKTRAYALIFVALAVLSFLINIGQHYNFGAMGEYLTKRIREQMLTKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTVSAVLIACTMGLVIAWRLAVVMIAVQPLIIVCFYARRVLLKSMSKKSIQAQSESSKLAAEAVSNLRTITAFSSQDRILGLFNQAQNGPRKESIRQSWIAGLGLGTSMSLMTCTWALDFWFGGRLIAQHHITAKALFQTFMILVSTGRVIADAGSMTTDLAKGADAIASVFAVLDRVTEIDPDNPEGYKPEKLKGEVDIRGVDFAYPSRPDVIIFKGFSLSIQSGKSTALVGQSGSGKSTIIGLIERFYDPVRGMVKIDGRDIKTYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEAEIENAARSANAHDFISNLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALERVMVGRTSVVVAHRLSTIQNCDLITVLDKGIVVEKGTHSSLMSKGPSGTYYSLVSLQQGGNQN >SECCE5Rv1G0337760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:594102191:594108503:1 gene:SECCE5Rv1G0337760 transcript:SECCE5Rv1G0337760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAARRQLGSGPMLGQVLRRLRPAAAASTEAARGYSSAAKEMTVRDALNSALDEEMSADPSVFLMGEEVGEYQGAYKITKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWFAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFQVSDEVLDSSFALPIGKAKIEREGKDVTITAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTLEEGFPQHGVGAEICMSVVEESFEYLDAPIERIAGADVPMPYAANLERLAVPQIEDIVRAARRACYRALPMAATA >SECCE3Rv1G0183480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:650431068:650433250:-1 gene:SECCE3Rv1G0183480 transcript:SECCE3Rv1G0183480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEFQFHDELASLFTQRPGPGMQQQEQQASWLADYLQTPMDYDLLCRALELPAAAEDVVKRELVVDTTPSGGALTPSAGGGTPNATSSMSSSSSEAGGGLCAGEGDSSGRCKKEDGDGEDGKGGDEGDKSKKGSAAAGKGGKAGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCVVKKRVERSFQDPAVVITTYEGKHTHPIPSALRGSTHLLAAQAAHLHHQHHGHLGMLPQMGMGGPAGSPFGRSGGGGGGIDVLGGLLQPRAHHGMTPPMIGAGAVHQAPTQGLTGSISSVATATANASSPPSLQMQHFMAPDFGLLQDMLPSFIHGAGGGGNNNNQPSSPYGKLH >SECCE7Rv1G0461650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:38860425:38865706:-1 gene:SECCE7Rv1G0461650 transcript:SECCE7Rv1G0461650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) UniProtKB/Swiss-Prot;Acc:Q9LRZ3] MAGGGDHPGANKRKREAAGRPKAPSKGAGDAAKRKKTYDAPAAAKAKPQPVTAKDKRVAAKEMSESRKMKRKPNYNLEKELAVLWEKMRCRDVSKENRSKLVTEALRKMDGKYFEIAGSHVTARVLQTCVKWCSQPERDAVFVALQPHLLHLSRKKYAVFLVKKLIKLATKKQLALFISSLHGHVASLLRHTIGAAVVDCAFHQATPPQKRSLLLELYSTELQLFKDLTEQKSCSLLETISKLGLQKSSVLQYMTTVIQPVLEKGIVEYSIVHTVILEYLTIADKTSASDVIRQLTPHLTQGSSVVDGEELSGVAEVPTKSKAKKKRSSEPLLIRIMQTREGLKIGLACLKHGSAKDRKKIIKSLKGQVMKLALSDYGCLFLACLLSIVDDTKLVTKVVIEELSKQLKELIFDKNGRRPLLQLLHPLCSRYLTPTDLSCLKYRVPSLIAKDEASESAINVNLDSKLGEGDVADKEHGGSEDTLVASDSKKDPFKRRQELLVKSELFEVLIETCIENVGELLRTNFGKDVLYEVAVGGKNNVLEGVTDRIHVLHNAIASDAARPRTEDVEHAFDNYHSSRVIRKMILDCPAFAATLWKKALKGKCKSFADGFSSKVVAAYLESPDSKVKDLAKSEVQPLIDGGLLKIPDHKAAEKK >SECCE2Rv1G0113520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:723270825:723273072:1 gene:SECCE2Rv1G0113520 transcript:SECCE2Rv1G0113520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRVAMAAWWVCAFLPLLAVAADGDSEPLIRLPTENGHPQAPAPAPGPAASAPEEEVTKWAVLVAGSSGYENYRHQADVCHAYQILKKGGLKDENIVVFMYDDIANSPENPRRGVVINHPKGKDVYHGVPKDYTGEHVTAKNLYAVLLGNKTAVTGGSRKVINSKPNDHIFIYYTDHGGAGALGMPNAPFVYAGDFIKVLRQKHASKSYSKMVIYVEACESGSIFEGLMPQDHNIYVTTAANAEESSWAAYCPGMETPPPSEYKTCLGDAYSVSWMEDSETHNLKKETIKQQYEVVKARTAPPNESSIGSHVMEYGDKTFKGDMLFLYQGFDPAKSYSSYFRQRLPSLKGVINQRDADVLFMWNKYEQLDGGSEEKQRALREIKETVLHRKHLDSSIDFIGKLVFGFEKGPSVLEAARGSGQPLVDDWDCLKTMVRVFESQCGSLTQYGMKHMRAFANMCNNGVSEAKMKEASISACDGYDMGKWNPLVRGHSA >SECCE6Rv1G0390050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:171614226:171615709:-1 gene:SECCE6Rv1G0390050 transcript:SECCE6Rv1G0390050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAATTMVTKNNRASLAMDKKNWLLRPVPEVAFPWSSQPESRSLEFPRRALFASVGLSLSQGAPPVAREHDGKALPADDVSHQLAAAGEAGVQKAQKVKKAKKQQLGLRKVRVKIGNPHLRRLVSGAIAGAVSRTFVAPLETIRTHLMVGSSGADSMAGVFRWIMRTEGWPGLFRGNAVNVLRVAPSKAIEHFTYDTAKKYLTPVAGEPAKVPIPTPLVAGALAGVASTLCTYPMELVKTRLTIEKDVYDNLLHAFVKIVRDEGPGELYRGLAPSLIGVVPYAAANFYAYETLRGLYRRASGKKEVGNVPTLLIGSAAGAIASTATFPLEVARKQMQVGAVGGRQVYKNVLHAMYCILKKEGAAGLYRGLGPSCIKLMPAAGISFMCYEACKKILVDDKEDGNGAEPQEETETGHTEGQAAPKSSNGDRP >SECCE7Rv1G0483880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:330936928:330945972:-1 gene:SECCE7Rv1G0483880 transcript:SECCE7Rv1G0483880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGEGKAGRAGAGYQQYASYGTGTGYDERQWWPWLVPTVLGACVSVFAVEMYLNNCPRHGSPLGGDAHCVAGFLRQFSFQPLRENPLLGPSSATLEKMGALDWAKVVHQHQWWRLFSCVWLHAGLIHLIINMMSLLFIGIRLEQQFGFVRIGIIYLLSGFGGSVMSALFLRNHYISVGASGALFGLLGSMLSELIMNWTIYSNKVAAITTLLFIIAINLAIGILPHADNFAHIGGFVSGFLFGFVLLARPQFGWLERHELPQTDQPPKYKTYQYVLWGAALLLLLVGYVVGLAMLFQGKNGNDGCHWCRYLNCVPTSRWKCNT >SECCE2Rv1G0132700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:893685589:893686179:1 gene:SECCE2Rv1G0132700 transcript:SECCE2Rv1G0132700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase A complex catalytic subunit NAA10 [Source:Projected from Arabidopsis thaliana (AT5G13780) UniProtKB/Swiss-Prot;Acc:Q9FKI4] MVCIRQATIDDLLAMQACNLMCLPENYQMKYYFYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDIEAKYYADGEDAYDMRKMLRQPVPKKHHHHHHAGGGCCSHDAPSAAAAGSSPTSSSPEKKADS >SECCE5Rv1G0340860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:618933869:618935266:1 gene:SECCE5Rv1G0340860 transcript:SECCE5Rv1G0340860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPD1 [Source:Projected from Arabidopsis thaliana (AT4G33495) UniProtKB/TrEMBL;Acc:A0A178V474] MLRRIAALRPPPPPRVAAAALVGGADAGYSSKSTSLPQKQQRVRDHAFDGIMEVQKRVRRFLALQALLLYAASPTAPSGAFSAGGSGAVSVPFSRLGALSRRQLRLSPLDAGNFMLRHPHAFHLFLHPVHRILHVRLTPRASAALRLEADAIASLRPGAVLRLRKLLLLAPPHHRLRLEHIRLLRRDFGLPDDFADSIILSNPALFRLTPDEFVEFVPSPDDPPDLTVAAVERSRERHYREHRAPGAGEEDARFAFPTRFPPGFKIGKYFRIAVWKWQRLPYASPYADVSGHDLRSLEAQRRMEKRAVAAVHELLSLTVDKRTTLERLALFRDALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELLASNEIHDARRKLEELLLMSREKANLDRMFTSMGRGWDELGGGRRGGAELREKFLGDAGGRKRKVGADDDDAGADSGEDSGVESLYIE >SECCE4Rv1G0265760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727373843:727382307:-1 gene:SECCE4Rv1G0265760 transcript:SECCE4Rv1G0265760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGPWPRAMAARWLLLLLLGPALQVHAQAQLDSSDFISIDCGLPETAAAYVHDATKLSYVPDKGFTDAGTNHNISAKYITSSLPRTWHTLRSFSDHSARSCYTLRSLVSGLKYLIRALFMYGNYDNLNQLPIFDLYVGINYWQTVNISATDELKICEIISIVSDDYVQVCLVDTGSGTPFISSLDLRPLKNDLYPQSDMSQGLVLLDRASFGASNSLIVRYPDDPYDRIWRPLSSKEWSVISTDNIVENRNYSFEVPSAVMQTAVRPTDPSSAFGFTWNAQPSAKIPEPGYVCMVYLAELQHLPRNTVRQFNIYLNGELDNSGGYTPPYLSTGVIYSDKPFHSSHQYSISMNATETSTLPPIMNAAEIFSVVSTIGTGTIAQEVVAITAVRDTYKVKKNWMGDPCAPKSYAWDGLRCRYFESRPPSVTGLNLSSSGLSGNVSFSFANLKGLQYLDLSNNNLTGSIPGILSQLSLLTLLDFTGNQLSGSLPSELLERTRNNSLTIKYDNNPNLCTNRDSCQPAPKKSSYMVAIYVVGPVVAVFLIVLLLVLLFLRRRMHGTTSNIITMGSKAIIAPSHAQSSSGHSSLRLDNRRFTYNELETITNSFQRVIGRGGFGKVYHGFLQDGTQVAAQTLAKIHHKNLVSLIGYYKDREYMALVYEYMPQGALRQHLGGSTNNGKTLTWRQRLRIALESAQGLEYLHKGCNPPLIHRDVKTSNILLNANLEAKIADFGLLKAFNSGNDTHVSTARVAGTHGYLAPEYLATFQLTNKSDVFSFGVVLLEIVTGQPHILNGPEPTSIVKWVRQRLAHGNIEDVVDAGMRGDHDINSVWKVTDTALKCTAQAPEQRPTMTDLVAQLQECLELEVARGYTNAGLYTTGNGDGMSTNVSQRTTVFGIEHFGRMSTGPALR >SECCE3Rv1G0199920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:849768747:849769013:1 gene:SECCE3Rv1G0199920 transcript:SECCE3Rv1G0199920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVTVANQCAGDERTGRPCVISRIRLQCGNFRSVIPVDPKVLRVVVPGVCLLNAGHSIMHDRNVSFVYTSYLRENLYVLSAVCSLGF >SECCE5Rv1G0312740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:244118222:244136472:1 gene:SECCE5Rv1G0312740 transcript:SECCE5Rv1G0312740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPVDPPEWLRTLPVAPEYRPTLAEFADPIAYILKIEPEASRYGICKIVPPLPAPPREATVQRLKASFASNAAASAAGDVGPTFPTRLQQVGLSTKNRRGANRRVWESGERYTLEAFRTKARDFELPRHAIPPKHGTPLQLEALFWGACAARPFNVEYGNDMPGSGFVKREVLDLDTDSLAAVPRDVGETEWNMRVAPRARGSLLRAMSRDVAGVTTPMLYVAMLYSWFAWHVEDHELHSLNYLHFGKPKTWYGVPRDAMLAFEDAVRVHGYADDLNAIMAFQTLNQKTTVLSPEVLLSTGVPCCRLVQNPGEFVITFPGAYHCGFSHGFNCGEATNIATPLWLQVAKEAAIRRASTNCGPMISHYQLLYELALSLSQRGPKDFHTVPRSSRLRDKKKKDEGDTMVKEKFVGSVIENNNLLSILLDKSSCIIVPEIPFPPTFFPTMMEPELTVKQSLTGGHCSISRQAVHNMSVDVALDKSIVVENMSHSQSVMEASLSACNRRKLYETKYGELGTAAFCLSPSKAQSGVTDKDKTGGLLDQGRLPCVQCGILSFACVAIIQPREAAVQFVLSREGISSSAKPGEVSESDGISNWITKNIEMVPQQGQVSEALSVSLAHVSDRCGQLYSRNNNGSTSALGLLASTYGSSDSEEESNQGKDNQLLETSVSFSSIVQRQRSNLHLYEECCEAKTTTSLLKSIEDNSRTITRCGRDTDINHLAKPREQGTSYDQCSVYVDLANDPTISGVKAYSDTHVTTAKSSIEPDALTQLKYNKDSCRMHVFCLEHALGTWTQLQKIGGANVMLLCHPEYPRAESAAKFIAKELGLKPDWKDVAFEEATDDDIRRIQLALHDEDAEPASSDWAVKMGINIYYSAKQSKSPLYSKQIPYNSIIYEAFGQENPDNLTDYRRQRSGVTKKRVAGCWCGKVWMSNQVHPFLVREHEEHNRAIVCSKVMLGANYHEKVYYEPSLTCNTMVNCSPLKRISRRKGGDSIEKSGARKKRCSANDEAPLHCSSLGMNSKTISDPPRNFDDHDKHEGGKIAEAPSTQQYQQYSLQSMNMKSSSNKPKDDKGNRNFLDLYDEDNDVDCWFNIDSGENAAIRHLEDSRQQELDTVKAKSPGELQGNMRKSSKCKARDDSLIGDKKVQKMNKKSISRKQKDVEINTQFREEYNEDNNWDEVPEGKNHDVKVESRAKTRSGEDDKRNNNSHELHDEDNDMDCWHDIHGGDRATMGNLDNSPVQRLEAAEVKSGGKLHCCKRKSSKGKAKDDYSNGDEKLQMLNIESISRKQKSDSLFDEGCDSDNTLDSLLNDVDEATRENCYEVREEEIDGMEVKPRGKVQTGKRKTSRCQAGDKAAKFPCDIEGCDMSFSSQQDLLLHKRDICPVKGCKKKFFCHKYLLQHRKVHMDERPLNCEWKGCKKTFKWPWARTEHMRVHTGVRPYECMVPGCGQTFRFVSDFSRHKRKTGHSSGKKNKEST >SECCE6Rv1G0407110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:554489057:554490314:-1 gene:SECCE6Rv1G0407110 transcript:SECCE6Rv1G0407110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTVLLPADIFRCASLQRLFLGFFAFPDTAGLSRGADVLPHLRELSMFATIIRDRDLDYMLACCPVLEKLAFVLNSTPGHIHLRSKSLQCVLLWFFTAEEVVVTDAPLLERLFLVDLASSPRQYRDDSTMMIKLASAPNLRALGFLETRTHRLHIGNKVIKPGTLPSPRTVLPGVKKLAVKVNFGVSKEVKMLVAFLRCFPNIDTLHIESLTEHTGKRHAKFWRELSTVECIKSHVKKMVIHEYRGDQSELRFLKFISRRAEELQTLYVLLNRESLASAPKVKKMTRSLVALAWSGDCQIMVLGPELQNDWSFQKASDLTVDDPFHW >SECCE2Rv1G0126750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:848526593:848528056:1 gene:SECCE2Rv1G0126750 transcript:SECCE2Rv1G0126750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSHLKRFRLPYHCAANILILRIVIAMTLAGAVLPNFAQWIAAAHEAVSQSIAIRPIHLLVVVLLFAMTTIIYLALRPRAVYLVDYACFRPNSTFRCTKASFLEHARLTPSLDDSSVSFIANVLERSGMSEQTYAPPALRYIEPYCGLDEARTEAELIVFSAIDDLLSKTCIDREAISVLIINCNVFCPVPSISDMIVNRYKLRGDIRVMNLSGMACSASLIAVGLASSILQVMPWGSHALVVSAETTGPCYYLGNKRSMQLVNILFRMGGVVKLLSTSRSNARFRLGHFTRTVTAANDSAYRCVYQEEDEKGNLGFVLSKDLMVVAGDALKANIETTGPLVLPASELLKFSLFYVAKKVLYWRKIRTYIPNFCTAFEHFCIHVGGPAVITSIQNGLNLSDMNVEPSRMTLHRFGNQSTASVWYELAYIEAKAQMKKGNKVWMIGFGAGYECNTLGLVCIQPSSGADGPWASCIHRYPVDVSYKA >SECCEUnv1G0530000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:10253374:10256364:1 gene:SECCEUnv1G0530000 transcript:SECCEUnv1G0530000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein-tyrosine kinase CEPR1 [Source:Projected from Arabidopsis thaliana (AT5G49660) UniProtKB/Swiss-Prot;Acc:Q9FGL5] MVATLCSVALLLVVVALLSAAGHGAAALDAQAAYLSRLKQELAGPAMARWDFSSATPVDYCRFPGVVCDDGNVTGIDLRSWRLTGRLPPGICAALPALRDLVLAYNEIRGGFPAGLLNCSSLETLNLSFAAVSGALPDLSPMRALRVLDLSDNLFSGAFPAASLAGMASLEVINFNENPGFDVWRPPEALTRLRRLRVLILSTTSMRGGVPAWLGNMTSLTDLELSGNALTGRIPGSLGRLANLEFLELYYNDLEGAIPDELGNLTRLADVDFSENRLGGAIPDSLCALPRLRVLQLYTNFLTGPIPAVLGNSTQLEILSVYKNQLTGELPADLGRYSDFNVLEVSENQLTGPLPPHACANGKLQYILVLSNLLTGTIPPAYADCLPLLRFRVSSNHLEGDVPPGIFALPHASIVDLSYNHFTGSVPPSIAGAKNLTSLFASANRLSGMLPPEIADVWGLVKIDLSNNLIAGPIPAAMGRLVRLNQLSLQGNRLNGPIPETLADLRSLNVLNLSENALSGQIPEALCALLPNSLDFAGNNLSGPVPPAMIKEGLLESVAGNPGLCVAFRLNLSDTALPLCQKGGEKRGFAGSAWIVGVCCVVCAVAMLALARRWVTRRRELKDGEQDGTSSPGSRGGGLSSYDVTSFHKLNFDQHEIVEALIDKNIVGHGGSGTVYKIELSSGELVAVKKLWVSSSKQQRQKQHQHRGHGHGHGRGYSGGEEDDSRELRTEVETLGSIRHKNIVKLYCCYSGADCNLLVYEYMPNGNLWDALHGGAGGGWGGFGFLDWPTRRRVAIGVAQGLAYLHHDLLFAIVHRDVKSSNILLDADFEPKVADFGIAKVLQATRGGGVVVGGDTSSTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGKKPIEPEFGETRDIVQWVTGKVAAAAEAEALDKRLAWSPFKDEMVQALRVAVRCTCSIPGLRPTMADVVQMLAESGPPPGSRSAKDYAGQKPKPAEAK >SECCE4Rv1G0291240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876156215:876156970:-1 gene:SECCE4Rv1G0291240 transcript:SECCE4Rv1G0291240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSASRVLPIIDEGSESESETGWTEGGVAPETTTTRKAATARAIVERRKAIVARMRELLRRAVVQSSSAAAQSKLRSSTVATAKKWKRVVTFKSRDRRRSVVHGGGLDGMSSASSVSSASRNSLSSRDAAFPRSPPPPFATAQKICFEDIIAMEQEAHWITTDSDFVVLEL >SECCE5Rv1G0351970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:705876356:705882560:-1 gene:SECCE5Rv1G0351970 transcript:SECCE5Rv1G0351970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGEAAAAALAAAKKRAASRSWILFDAAGEERELDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIVTSEEVLLRDPSDENVIPVVEELRRRLAPSSAAQHDGKDNLSGQHDAEAAEEDESPFEFRALEVTLEAICSFLDARTTELETNAYPALDDLTSKISSHNLDKVRKLKSGMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGGPNWFPASPTIGSKISRASRASAATIHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGVFGMNIPYTWNDGHGYVFKWVVIVSGLFCAFMFVTIVAYARHKGLVGS >SECCE4Rv1G0235720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:339408451:339426436:-1 gene:SECCE4Rv1G0235720 transcript:SECCE4Rv1G0235720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPHFKWAPSSFSTTHAYQHPAPSSSSSNSGRCSGRRPFRVQCAVTSAAAAVLDSECAAGGPLHLVYSSPDSAPVLQRNFESTLASETVLNKEAVVTAAAAEAVALARAAAEAAQEVVHMVQKSSSQPVFRKKGEVENYLAKEILRTEMLSSRENEYSDGVLSGVVEPYGIPSDESELDDDTQDADNIAVKSARQSERRARRTRATIKAATIVRGSPKPATSSKKKRSKGPSTMNPLGSLWKMTGRKLLTAKEEVELSNGIQDLLKLEAIQGELAEYNGGQPTFPQWAAAAGTDENTLRKRLDHGIHCKNRMVTSNVRLVISIAREFEGPGLELYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQTQIFRLPAHMVEASYRVKECTKRLRRKLKRRPSNEEIAVDIGMPVKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPSAETAEEMLNRMSMKKDVHQALDTLSPRERQVVKLRFGIDDGRIRTLQEIGNIMGVSRERIRQIESGAFRKLRGKKKVKCLMDYLVPVGNW >SECCE2Rv1G0098060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:475270154:475272818:1 gene:SECCE2Rv1G0098060 transcript:SECCE2Rv1G0098060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1 [Source:Projected from Arabidopsis thaliana (AT4G21790) UniProtKB/TrEMBL;Acc:A0A178UT97] MRELVSSSSSSPTAVLLAAGNAALRGWWEDVNESPAWQDGAFFSLTAAYALVSAVALIQLVRIQRRVPEFGWTTQKVFHLMNFVVNGVRAVVFGFHAYVFLLQTKVYKLVLLDLPGLLFFSAYTLLVLFWAEIYHQARSLPTDKLRIIYLAVNSIVYAIQVCIWVYLGINDNPLVELVSKIFIVSVSAVALLGFAVYGGRLFVLLRRFPIESKGRKKKLYEVGTVTTICCTCFLIRCIVVAVSAFDADVSLEVLDHPVLDFFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIN >SECCE6Rv1G0391530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:225309138:225312658:1 gene:SECCE6Rv1G0391530 transcript:SECCE6Rv1G0391530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRAPNAAPDPAAARRRADAVGWLRVIFPDVPLPPPSDASDNDLHAALSGGRLLCALLRKICPGALLDDASTDNVGRFRAAVERMGVPTFSAFDLERGQMTAVVTCILALKDRFGSRIGEERNLTFLTRSDSEGSRKYMVAKLQRVLTSPIMSEPSSPSLGGDMYSPSGVLQMKQGGFADLPGCKISDLMKSSSLDNAPTQSLLGVVNSIVDESIERKNGQIPYRIACLLRKVIVEIERRMSSQAGHIRNQNNLIKAREEKYQSRIRVLEALAGGQSGQTHMEKDKLKDKGQLPEEDMAMLMKCQEEVARLMKEKEDMIRLLKEKEDMVRLLKEKENMVRLLKEKDDMVDLKKGKVEETQQIVDEEKYRLLKEKDDTIVTLKMEKQETVRSLKEKEDMVSLLMAKEDMVDLKKVMVEERRRIIDEGNDRLLKEKDDTIFRFTKEKEEMVRLLKEKEDIIRLMKENDDVVRSIQKEDMVNSENGSIKDKKQTTDDGNDRLISEKNTIVVRLTEEKEEMIRLLKEKEDIIRLMKEKEDIVMSRKEKEDNKADLKKGTVGNMKQSTDEDMDTSTKEKGDILRLMKENEDSNNTIMKLKLDLEALKSLHEENCKLLESKKEDIIKLLADKEDNASIILQLKQDSLTKENGDILRLMKEKEDGNSIIVKVKLEMEALRSSYEEGCKLLESKEEDVVRLLADKEDNASVILQLRQELEATKRLHETHSQQLETRASQVKEELVHRIKEVELMLEDSIKRKREFEEVSKSRIQFWEQKGTVVNQFVGLQVQNVQLGMKS >SECCE1Rv1G0044780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:605301450:605302163:-1 gene:SECCE1Rv1G0044780 transcript:SECCE1Rv1G0044780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSDKTATPPAAGAAATGLALGVGGGNGGGVGPHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREYRGNKAKTNFPFASASAPPAAAAALTGDGSRSSNSSTVESFGGDVQAPMQAMPLPPSLELDLFHRAASTAGAGAGMRFPFSGYPVSHPYYFFGQAAAAAAAGCHMYSQAPKVTVASVSPSDSDSSSVVDLAPSPPARKPVPFDLDLNCPPPAEL >SECCE2Rv1G0116800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:767390023:767390687:1 gene:SECCE2Rv1G0116800 transcript:SECCE2Rv1G0116800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVSDECKLKFQELKAKRSFRFLTFKINEQTQQVVVDRVGQPGETYADFTATIPADECRYAVYDFDFVTDENCQKSKIFFISWSPDTSRVRSKMLYASSKDRFKRELDGYQVELQATEPSEMSMDIVKARAL >SECCE5Rv1G0375350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867952114:867953112:-1 gene:SECCE5Rv1G0375350 transcript:SECCE5Rv1G0375350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENKEVAALVEKITGLHAAIAKLPSLSPSPDVDALFTELVTACVPPSPVDVTKLGPEAQEMREGLIRLCSEAEGKLEAHYSDMLAAFDNPLDHLGMFPYYSNYINLSKLEYELLARYVPGGIAPARVAFIGSGPLPFSSFVLAARHLPNTMFDNYDLCGAANDRASKLFRADKDVGARMSFHTADVADLAGELAKYDVVFLAALVGMAAEDKAKVITHLGAHMADGAALVVRSAHGARGFLYPIVDSQDIARGGFEVLAVCHPDDDVVNSVIIAQKSKDVHANGLRSGRGGQYARGTVPVVSPPCRFGVMVAEVTQNHKREEFSNAEVAF >SECCE5Rv1G0346740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:663464056:663464796:1 gene:SECCE5Rv1G0346740 transcript:SECCE5Rv1G0346740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSSGTTSMEVVQVQNEEGEEVQPANGAPSAPALELNLLVALGVDAKGKAKSSEAEAAGPSVEQKAAAAAAAGGEKRRMFKCNYCQRKFYTSQALGGHQNAHKRERSIAKRVAAGRGGGPGALYGAADPLVPHHLRYPSVWPYSAPGRLFLGRGSAAAPFYGMHMHHHGWGAAALPSLAGLARHAGANRPMYPPDVPDAAPATTPIRWAGGSSGGSGSGHGGDRNGNEVKQEEEVAGKIDLTLKL >SECCE5Rv1G0348040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672096472:672102300:1 gene:SECCE5Rv1G0348040 transcript:SECCE5Rv1G0348040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNKRGGEDLTRSSHGRRRAKRAAMISKESPETIQISGDLHVHSNQDVLSELSDEVKSNMSKSVASLAVCSGNTLLFACSGIAIERELYVTKFVTSPKLALVFNSAIMEKKHDNVKIEVRHVGSEVYQGFLDSDDVDEHFSVVKVKTFLDVNVGLIANAEGILPYGKVVVLGRGTSGQLKATSVILAGDVSCSKYNEDPVLSVAKMTKDWEGGALFSLDGNFIGMNLFLVMERAFFLPWGVMLKQVYNFKIPLENRLGEGPKHEMFNRYQDVYRAGFEHILFGDVDSMGYPKLPKTMLDDGLVLVNTFEDTFGDVWGEGVWRELGKNASDISRIVVALACFKGEKMVSACTGVFIRWNGSTTILTSASLVRDIGDQNKIVENMTIEVLLPNKLVRKGKLEHYSLHYNVALVSVEDCRVVRPAKIQLDCAGIGGPLFNMRGEVIGMNFYSEKIGTPFLLWNEIGNILAYFKEKSDAGEVAKDSGSSFWKMDGDDTVRLNRWPVPMPCWRDPSDPSG >SECCE7Rv1G0495480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:598820797:598821114:1 gene:SECCE7Rv1G0495480 transcript:SECCE7Rv1G0495480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSGVSARLVLGLLLVTTVACHGARDIPATEPGSYRPQNVFGFGGFYPGPSVNWVFPGPNGVTPQVGFGGMPGSSSFPGTGGSPLTPSGSGGVVVSIHGAANP >SECCE7Rv1G0474410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:171631061:171634380:-1 gene:SECCE7Rv1G0474410 transcript:SECCE7Rv1G0474410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEERRLLVQGDGDHEPLLLPSLDASLYTGDGSVDIKGRPATRRTTGNWRACFFILGTECCERLAYYGIATNLVTYLKIKLHQGNLEAARNVITWQGTCYLTTLVGAILADSYWGKYWTIAVFSSIYFIGLAGLTISASLPALQPPSCLGSVCPEPSLLQNGIFFLGLYMIALGTGGIKPCVSSFGADQFDDSDPTERVKQGSFFNWFYFCINVGALLSGTVIVWIQDNSGWGIGFAIPTVFMALAIASFFSASNMYRFQKPGGSPITRVCQVVVAAFRKWHIELPLDASLLYEVDGQVSAIEGSRKLEHTSELEFLDKAAIISSTDAKSDLFANPWRLCTVTQVEELKILVRMFPVWATTIIFSAVFAQSSVFVEQGMVLDKRVGSFDIPPASLFTFNGISVMIWIAIYDRVLIPIARKFTGREKGFSELQRMGIGLALSILTMVSAALVELKRLEIARTEGLIHENVAVPMSILWQIPQYCFAGAAEVFTAIGQVEFFYGQAPDAMRSLCAALALVTVTVGSYLSSIILTLVSYLTTQGGDAGWIPDNLNEGHLDRLFWLMAGISFVNLLVYIGCAMRYKYKNV >SECCE1Rv1G0038960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:544359280:544362658:-1 gene:SECCE1Rv1G0038960 transcript:SECCE1Rv1G0038960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAAPLTGMDKYEEVRDIGSGNFGVARLMRNRENDGLVAVKLIERGHRIDENVYREIVNHRSLRHPNIIQFIEVILTPTHLAIVMEYAAGGELFDRIVDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLRRQEYDGKMSDVWSCGVTLYVMIVGAYPFEDQDDPKNIKKIIQRIAAVDYNIPDNILISADCRQLISLIFVSNPTKRITMKEIKNHPWFLKNLPRELTEEAQAAYYKRSSSVPSFSKQTTQEIMDIVQDARKVPRSSTSGYGYADELSDDEEKNAKVSEPEQNDEEDECDKKVREVLESGELDMSALRI >SECCE7Rv1G0475170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:182900274:182901085:1 gene:SECCE7Rv1G0475170 transcript:SECCE7Rv1G0475170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPFALASRLSPAARLPIRAWRAARPAPVWTGRTRPLSVASAAQENRDNSVDVQVSQAQNAGNQQGSAVQRRPRRAGFDVSPFGLVDPMSPMRTMRQMLDTMDRLFDDAVGFSTARRSPAAANETPRMPWDIMEDDKEVKMRFDMPGLSREEVKVMVEDDALVIRGEHKKEAGEGQVEGGDGWWKERSVSSYDMRLALPDECDKSQVRAELKNGVLLVSVPKRENERKVIDVQVQ >SECCE2Rv1G0083020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:160349518:160351516:1 gene:SECCE2Rv1G0083020 transcript:SECCE2Rv1G0083020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQISKKRKFVADGVFLAELNEMLTRELGEDGFAGVEIRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPDGGVELYAEKVLNRGLCAVAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNQYIDGAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPATPLPDLVTIHPPKDEDEFLRPLAAEIAVA >SECCE2Rv1G0101530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:566150004:566151536:1 gene:SECCE2Rv1G0101530 transcript:SECCE2Rv1G0101530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKLVARKGRLRQRYDNEYRLVAGCVPYRVDKDGQLEVLMVSTANRDDLVFPKGGWEDDEDVYEAACREALEEAGVRGNINRNPLGLWVFRSKSRQSLSQSSDSPRGACKGHVFALEVTEELKQWPEQETHGRRWLSPADAYGLCRYDWMREALTALLDLCSAASSPIPVAAAVAVSTASPELNEHAGMCISMMLMKSAGPADRSVALC >SECCE3Rv1G0194070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:776832346:776833875:1 gene:SECCE3Rv1G0194070 transcript:SECCE3Rv1G0194070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLTMALLLLLPLLCFLWLRRDTRRQTRSDGLKAYPVIGTLPHFVKNQHRLIEWSAGVIARCPTYTMTFNFRGLGLGAGVMTANPANVEYVAKTNFQNYPKGEFVVSVIEDLLGHGIFNSDGDQWLWQRKAASYEFNTRSLRNFVVSTVSSEVVDRLLPLLDRAGRDGRTLDVQDVLERFAFDTICCVVFDEDPACLAEEDGPGADGRAEFMRALTDAQNIVMARFMSPVKWAWRLQKLLNVEPERRMRDAVATIHGYIDRAVGERGAAGNPKDDFLSRFASSGEHSDEGLRDVVTNFIVAGRDTTSSALSWFFWLLSGRPDVEDKIVREVRAVRASGGEGGSDAAAFGYEELRSMQYLHAAITESMRLYPPVAMDTHCCRHEDVLPDGTLVGKGWQVTYSAYAMARLEEVWGADCAEFRPERWLDEEGAFPPESTSKYPVFHAGPRMCLGKEMAYIQMKSIVAGVLERFSLRHAGGEAHPRLVMSLTLRMGGGLPMQVKKRTEGAS >SECCE7Rv1G0493510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:568941556:568942389:1 gene:SECCE7Rv1G0493510 transcript:SECCE7Rv1G0493510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSRSVKLLSSRAKPLEVDVAEEDPRMSSSADNTVYCCIAKGNKVIYSYSSKDGDSQTEATAALCLENVPPYHRHYIHTLGSRSYGYLMADGHTFFAIIDPSVGNVGALQFLERVRDEFRNTNRNGFHDALVRAVQRLVASLEKMPRAALVPEAGAQRGGSNGSSGCTSSKAPLLGKGSGRKDKKKAKEKGMPTGDGDDEHHGTRGVRIDVQPEDVGGMSLERSTSQSRLRRQQSSRSLWMRHVKIIIIVDVLICVVLFAAWLAVCKGFQCVSG >SECCE2Rv1G0102410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:577310667:577311119:1 gene:SECCE2Rv1G0102410 transcript:SECCE2Rv1G0102410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFTAPILCNLRASPRLSHSTRQQPLHLHLSSAKTVPTLTGSRARNDFRAAAVYKVKLIGPEGKESVIEVAEDSYILDAAEEAGVELPYSCRAGACSTCAGKVLEGGVDQSDQSFLDDAQVGAGYALTCVAYPTSDLVIETHKESDLY >SECCE3Rv1G0145870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8595947:8598612:-1 gene:SECCE3Rv1G0145870 transcript:SECCE3Rv1G0145870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQVQPTGRKRGRTSDDTTARVVPRKEVERERRQHMKALCAKLASLIPKEHFSNPSTMTQLGSLDEAASYIKKLKERVDELQHRRSSVKAMAAARGASGASTLTTTPTMSGSAGSQEQEKPWEASALVVEVQQHSDTSMDVVLVCSTEKPIMLHEVITILAEEGAEVINANQSVTGHKIFYTIHCRAFSSRIGIDVSSVSERLRVLV >SECCE5Rv1G0314300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:269537727:269538233:1 gene:SECCE5Rv1G0314300 transcript:SECCE5Rv1G0314300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLTSDKKDRAWFYFISSTSLVISIMALLFRWREERIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSIEYIECTEMAITEFLLFVLKATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGRSSFKKL >SECCE2Rv1G0100720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:547138929:547148497:-1 gene:SECCE2Rv1G0100720 transcript:SECCE2Rv1G0100720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSPYFVPEEGARGIRSGESPAAALRRILATPGAHQAPCCFDALGARLVERAGFPICFMGGFCVSAARLGLPDVGLISYGEMVDQGRLITEAVSVPVIGDGDNGYGNSMNIKRTVKGYINAGLAGIMLEDQVAPKACGHTEGRKVISREESVMHIKAAIDARKESASDIVIVARTDSRQAVSLDEALWRVQAFADAGADVLFIDALASIEEMKAFCAVAPGVPKMANMLEGGGKTPILTPAELKEIGFSLVVYPLSLIGVAMRAMEDALLAIKGGGVPPPASLPSFQEIKDTLGFNRYYQEDKQYTVPQAESSMPSGNP >SECCE5Rv1G0354530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:724264673:724265103:1 gene:SECCE5Rv1G0354530 transcript:SECCE5Rv1G0354530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDRSPVPAKKIWLAIASRLGLRPTAGLRKLRKEVRTCEYRDVHIMWEMLREMDPSVPLEEKEAAAAAAVAAAAGARKKKAAWSRFVYYCCAF >SECCE6Rv1G0451720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873330812:873335675:-1 gene:SECCE6Rv1G0451720 transcript:SECCE6Rv1G0451720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFSRREKREGSARPQGRAVQPQPYHHQHQHHQQQQQALGAVFDARRGRYGPTDFDSGEIAIPPPHKPHKVSEPGTFIGRASIAGLEKAVEVLDTLGSGIASLNHGSGFLYGGTTRGNKVEILAFEVANTIAKASNLWRSCSDDNIKELKEEILHSDGVRILISSDPSELLHIAAIDKREELTILSREVIRFGDLCKDPIWHNLGRYFEKSTKDSMPQDHSKEHIGTTVQHLISLAQNTSELYHELHALDRFEQDFQRKFHEEESVPAARRESVMILHSELKRQRKLVKTLKKKSLWSRPLEDVVEKLVDIVTFLDKQIRDAFGETGTDFMEQGQSKRLGACGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPETVKSALRPRLQSVKTEDEERSVSQIKAEMQKTLRWLLPIAENTTRAHQGFGWVGEWANFGSDMDEKSGSRHSVTRVQTLHHADKAKTEEHMLELVVLLHHLVLQVKSRGYGHNKSTRRERSRSRKGGPSSSSEPPHHEADTTRHNTSPMNNSHGSTCPSPLSDSDRETLDHLSFKRMTSYGRSKSCEPRPDKGNKAHRSWDSCRSHGSSPAREFGRGSTSGREMVRDLDVIDGLGRLTLSFS >SECCE2Rv1G0135740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908411278:908414155:-1 gene:SECCE2Rv1G0135740 transcript:SECCE2Rv1G0135740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLPSSHHFVLLTILICLLVHHCSAVYDVESTAGSGKLLSAKLKLVGGSTEFGPDVKSLILTASLETDNRLRVRITDTDHQRWEVPQDVIPRPAPKDVLLDSTGNPSMPSNSTMSSASSDLTFTIHASPFRFTVSRRSTGDTLFDTSANLVFKDRYLEVTSALPADRASLYGLGEQKKQTFRLQHNDTFTLWNGDVTWSDQPDLNLYGSHPFYMDVRSGGAAHGVLLLNSNGMDILYGGSYVTYKVIGGVLDFYFFVGPSPLDVVDQYTQLIGRPAPMPYWSFGFHQSRYGYKNVADLDGVVAGYAKARIPLDAIWSDIDYMDNYQDFTLDPVNYPSKQLRPFVDRLHNNGQKYVVTVHPAIKRQTAPHEDLFLKRNGANLVGEVWPGEVYFLDFMSPRSTQYWARKISEFRRTIPVDGLWCDINEPSNFKDWQPLNPLDDPPYRINNSGFHLPINYRTVPVSTVHYNGVSEYDAHNLFGLLQAQATHAGLLRDTARRPFVLSRSTFVGSGRYAAHWAGNNVARWDELAQSINTILNFGLFGIPMMGADICGFNGNTTQELCSRWIQLGAFYPFARAHAEKTTLRRELYVWEPTARSARKALGMRYRLLPYMYTLMYEAHMTGAPIARPLFFSYPQDANTYGVDRQFMLGRGVLVSPVLQPGATTVDAYFPAGRWFSLYDYSLAYTMKVGKRVTLPAPADLANAHLAGGNILLLQHANLTTSASRQSEFHLLVALAENGTASGELFLDDGDSPEMGAVGGSWTLVRFSCDREESKGMVTTKLSSHVVQNSYAPSRAQVIGKVVFMGLQSAPKSFTIYVNSVQLKAAHTKSRTGGVFSVSGLSLAIGQKFEIKLVMSR >SECCE5Rv1G0364900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803503611:803504006:-1 gene:SECCE5Rv1G0364900 transcript:SECCE5Rv1G0364900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHQRPAADQAVSLSLSLSLGAVAGLNKKKRRAGGDQFVCKTRGRSFPSFQALGGHRTSHLRGRHGLALALTAGDQYYSVKPKSTTDQKSEHRCHICGQGFETGQALGGHMRRHREEAAPAPPVLLALFV >SECCEUnv1G0528100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3190298:3195573:1 gene:SECCEUnv1G0528100 transcript:SECCEUnv1G0528100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRPFHIICSIIFTLYFAHVQQPTTAQITAPWEVNALRAIRGSLSDPNGFLNSWNRGDPCVANWTRVICYNVTAADDGYFHVQELQLLRLGLSGTLAPELGQLSRMKIMDFMWNKITGSIPKEVGNITSLELLLVNGNQLTGSLPEEIGFLPNLNRIQIDQNHISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHMLLDNNNLSGFLPTELAQLPKLLILQLDNNNFSGTSIPSTYGNISTLLKLSLRNCSLEGPAPDVSGIPQLGYLDVSWNQLTGPIPSGQLASNITTIDFSHNRLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSDVWRNIDFSGNRSLILDFHNNALTNLSNPLTPPANVTILLSGNPVCQSQNQLDIAQYCQSTPEVIAEGGSIDNSTVCAPCATDFPLESVLKAPNPCSCGVPLYVDYRLKSPGFWDFVPYEAQFQEYLSSGLFLNSYQLEVTTFMWEEGPRLKMRLKLFPNNTILFNSGEVQRLRYMFTGWLIADSDIFGPYELIDFDPGWYDKVLPRRTKKSLSTGGIVGIVIAAFAAAAVVSSLITLIILRRRSRQISKKRTAKRIPMKIDGVKDFTFEELSNCTNDFSESALIGQGGYGKVYRGVLADGKVAAIKRAQEGSLQGSREFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEYMPNGTLRDHLSAKARESPSFPMRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVAKVADFGLSRLAPLPDTEGSAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNLVREVVAANQSGMILSVVDLRMGAVPGECVERFAALGLRCCRDETDARPSMAEVVRELETIWQMTPDTDGVPSESVAMDPTHTPGASSSTATGSRMGNNSQYDMSSSDVSGSNLLSGVVPSINPR >SECCE5Rv1G0349600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:685142664:685144664:1 gene:SECCE5Rv1G0349600 transcript:SECCE5Rv1G0349600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGAVEWWQEWKLRVLVLASLFMQYLLYFSLWLRKSPYLRRLRVLVWVVYVGSDAVAIYALATLFNRQKQTPEGESTTLEVLWAPVLLIHLGGQPFISAYSLEDNELWKRHTITVVSQVTVALYVFCKWWSGEKSLLAAAVLLFLFGIVKFAQKPWALKAASFKSLQGSSSAPLPQSIHTLEEYVQAAKKCTKVTEQSRAIWSNSMFVDQSASYSARLEKLSFFLSTKHDRWFNWLQDCLGSTFITLYTRLESFDSIPGSVGLMFLLPFLALCSIVLFATSRKDGQNKNDIRVTYILFCCTTFLEFLLPCIGFSLLVPCSRSFYRHIDSSSLLEPLYMWHSIVSQHNIMSFCVRKKRPTFLMKLATLRFLRKFVNQQWYIQQAPTAFVITQTVFQHVLDGWKNYIHDAASYRRFNELRGQWAVRRHHQLGWSLKKPFDESILIWHIAVDLCFYHPNTSNQCREEEATQRSREISNYMIYLLLICPEMVIPGTRSGLFTLACDEIVRNIKRPLDITEEILAWELLNMPTPPTATGGREEEVGMALDACKLAKQLMQLENEKKRWTVIQGVWVEMLCYSASRCRGYLHAKSLGEGGEYLSYVWLLWSFMGMETMADRQQRSEPPQEEGQEEEEEEEEITVTRCVPLTHIIIIHVTSFASIISWFTC >SECCE2Rv1G0137670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918911992:918913263:1 gene:SECCE2Rv1G0137670 transcript:SECCE2Rv1G0137670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLLPSTLSVPKKGSSMGAVAVKDTAAFLGVSAKAKKASLAVRTQVATAPSPVTTSPGSAGAKPGGKKTLRQGVVVITGASSGLGLASAKALAETGKWHVVMACRDFLKASKAAKAAGMADGSYTVMHLDLASLDSVRQFVDAFRRTEMPLDVLVCNAAIYRPTARTPTFTADGHEMSVGVNHLGHFLLARLLMEDLQKSDYPSRRMVIVGSITGNSNTLAGNVPPKASLGDLRGLAGGLSGASGSAMIDGDESFDGAKAYKDSKVCNMLTMQEFHRRYHEDTGITFSSLYPGCIATTGLFREHIPLFRTLFPPFQKFVTKGFVSEAESGKRLAQVVAEPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKVWELSEKLVGLA >SECCE1Rv1G0016780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:142571890:142572552:1 gene:SECCE1Rv1G0016780 transcript:SECCE1Rv1G0016780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGIGPAASRLSLLLLVVVFAGSSLHSRTEAAVRTCTYTVKVKTSCASPARTSDAVSVAFGDAYRNEAYGARLPSAPGGRAFERCGTDTFRVSGVCGYGVCYLYLRRAGRDGWAPEWVQVTEPGAGAGEKPATFYFGAPLPDGVWYGHNRCPKAKAASTSASARTNTTSAAASPLG >SECCE5Rv1G0321210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:399097081:399097726:-1 gene:SECCE5Rv1G0321210 transcript:SECCE5Rv1G0321210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSATSVAPFQGLKSTAGLPVSRRSNGASLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTTEALLKQVDYLIRSKWVPCLEFSKVGFIFREHNASPGYYDGRYWTMWKLPMFGCTDATQVINEVEEVKKEYPDAYVRIIGFDNMRQVQCVSFIAFKPPGCEESGKA >SECCE2Rv1G0070320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:34505581:34507513:-1 gene:SECCE2Rv1G0070320 transcript:SECCE2Rv1G0070320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEIEIHSCCSSSLPAAADSPIDNDDLLREILLRLPSLPSSLPRASAVCKRWRRLVANPRFLRSFRDHHRKEGPPILGFFEDDSVDLVFHPILDPPDSVPLRIDINFRCFSVLGCRHGRLLIIDTESEIWQLFVCTPVTGEQQRVPIPTDFKIGSFIGSVMCAASDLGHVHGACHSSHFKAVLVATYGEDGQTIARVYSSETGTWGNLISTPSPYLDFSFGSPGCLVGKSLYWLSMDHTILELNLEEKNLAMVSVPPCANNVTNHQIILAVDAAVGFAALSYPCLQMWQRNVNCHGAAAWVPWKTIQVHTILGLPPQTESARRQMEYILCYDEDTDTIFISVDANIYMVQIKSLQSKRLYRTNYVFRIYPFKSFYPPGTRITGGGAEMVHDR >SECCE5Rv1G0350300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:689646902:689649449:-1 gene:SECCE5Rv1G0350300 transcript:SECCE5Rv1G0350300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLQLGLSLVLLAPQHATRAAAPGSECKRQCGNVDIPYPFGIGVNCSLSKRFTINCTVQDGIHKPFRGKFEVIDISLTHATIRVLNYILGFCYNTSTSSMERMGLYGRGIRSSPSSPLRISDVRNKFTVIGCNALAYISDYDDTGYQGMGVATCRDPSDLVDGSCSGMGCSQTTIPNKIYDYSVRFSRVANTSRIWRFNRCSYAVLMEAAEFKFDVSYINTTKFNDTNAGRAPMVYDWAIRDVAGCDVARRNETGTYACLSSNSKCVDSTNDQGYMCNCTHGYEGNPYLQDGCTDVNECNQNPCPSDGFCRNIIGEHRCSCRVGKRYVKESNTCNPDTGFIIGVTMGLFGLMVIIVITVFWGQMIIQKKKLNKVKEEYFRQHGGLLLFDRMKSDKGLAFTVYSQDELIHATDNFDNSRILGKGGHGTVYKGIVKNSMPVAVKRCALVDERQKKEFGQEMLILSQINHKNIVKLLGCCLEVEVPILVYEFVQNGTLFELIHGKNHALQISFSTLLRIAHEAAEGICFLHSYASPPIIHGDVKTSNILLDDNYMAKVSDFGASILAPSDKEQFVTMVQGTCGYLDPEYMQTCLLTEKSDVYSFGVILLEILTGQLPLKLEGSETQRSLSSIFLSAMKENNLDVVLLSHVKGQESMELLRGLADLAKKCLDMCGDNRPFMKEVADELNRLRKLSVHPWVRIDLEMGAESLLGGESTSGYEIELNGYPMGESENQPINPRSSYYAR >SECCE2Rv1G0104020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:598627509:598628624:1 gene:SECCE2Rv1G0104020 transcript:SECCE2Rv1G0104020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISIDVSTLLGGTNSGESKPPDPSVMSGSLAFPSRAVANMMQRWKYREGSGLGAQGQGIIAPIQAIVRVRRRPKAGLGYREKPYDNGLHVPPEPPVEEERHEWENIARAMRLETECCETILALLHDMRLQGDDSVETTDALKAISESKKKKKKKGLHGKRTLGTLKARLPSSAARYIIEQVIMPRMVVDVREWKPAWDPDCHDWLRPFIPLIGHLPESLYSTVESKISNGEYEVVSPWKEYLSPVQWDTFSKRHILPMLTRLVREMRITPPKQTDPSFRNVMLWAPLLHAQDVVSILEAERFFDKWEGALRHWLQAAKPSLGEAIAWCTGWKTLFTPELLANESVLAHLDAGLDMVDPAMLDLDGLFSSL >SECCE6Rv1G0431890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:748548977:748549297:1 gene:SECCE6Rv1G0431890 transcript:SECCE6Rv1G0431890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKAFCISLVIFVLVLFTAPDPVAAAVYSVGEVVMPMSSSLRLEDSVVPELGLDLDVHRRLFGEVGKGALDPNKSACKPKCAGEGQPYTGRGCQAIYGCVPKSSE >SECCE5Rv1G0375690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869312641:869313210:1 gene:SECCE5Rv1G0375690 transcript:SECCE5Rv1G0375690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRVLLLLLAAAAVALLAAPPAAAARPCGRAQTLLISFSSFSRPNPDPADPSPLTTTVVTVLRVRRLGPHRFHAHDHLQIRRPAEPLPEAETDAASSSSFHERAKDILVVVSGLLFGFGCGALTAASMYLVWSLVASTGAAGPYDELYSDDDDDEDASDDGADSPKKAGYVIIHGAEDVVAAAGKN >SECCE2Rv1G0111670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:702739059:702742010:-1 gene:SECCE2Rv1G0111670 transcript:SECCE2Rv1G0111670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHLLQGCRLQSSHSPRHAATSRSGKIKMEAATSNPSSKRIALVTGGNKGVGLETCRQLASKGLKVVLTARNEARGLEAVEGVRRSGGGGQSDVVFHQLDVTDPDSVARLADFVRDQFGKLDILINNAGISGVDRDPVLVAKVKEQVESMDVDQRVQWMKENSKETYEEAKECMRTNYYGAKLVTEALLPLLQLSSSGRIVNVSSGFSLLRNFNSEELKNEFNDVDNLTEKKLEELLDSFLEDFKANLIEAHGWPTGGSSAYKVAKAALNAYTRILAKRFPAMRINCLTPGYVKSDMSMHMGVLTPEEGASNPVKVALLPDDGPTGAYFDRDGVASFV >SECCE6Rv1G0429770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737842194:737846876:-1 gene:SECCE6Rv1G0429770 transcript:SECCE6Rv1G0429770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEFFTIILSNSLRKQRLPDKFAKVFDRCQPRELMLREAGRRRRLWAVDVVFDADGHIYLGHGWKLFARAHDLRLGHFLVFSYDGHAVLTVKVFDGSMCRREYQHDDDAGSRSSSDNGNSLGAQGEGEAPPQTGAEEHDMEVEQGVSKMSNPRDSDGSQKERSYSFEELSEDSLSADNSVQSANLQTLSNNYVVSMQCHLTKAQKVKIDALIDIIEPKFKVLVVQMKKSNIKGRTLIIQKDYALEHFPCEDTTVILQLPGKNKGWKCTFRIRPYGTLNAGRRSLYLHNFTSDNHVREGDICLFQPMTNVKQKKFIVTVHVLHKACIDGTTQSPAGEGSDSDRCPKEGSSHYYKSANMPSVSYTSKDFSEENPSAYESVQLHDPRMPSKDYVLAGKCDLTLAQEKKIQALVTKIRPEIPVLVAQMKKSNVESGRGTLAIPKDYALEYFSCENQVIVVIKASIDRSPLERTDAGSNRRKPRAKIGLTANVKEDPASDVQEISSSGDEDHGDSYNSEGAWEPLFVPPDKPLLTDAQEKKVWKKVHAIKSELPIYVAVMNSTSVRLCKSPYLIMGKKYVSRYLEKQYFTGHGGKKNVMSLVLQREGENRRWHTELRLGSHRATIAKGWTSFARDNHLQVDDLCLFKLMRDEETVKMMVYIIRRKKCLA >SECCE2Rv1G0106410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:636332703:636342081:1 gene:SECCE2Rv1G0106410 transcript:SECCE2Rv1G0106410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVSAPVSTATVRVSNIPPSAVAKELLAFFNSAVAAAGEAYACEIAAARRGWLSRGDGSVQFDSTATATLAAELASSGRLPRFLGSLLSVSPAPADLLPRAPDHSLRVADARLLVGNRVAEREFEAADTWDSVRVEVIPGKRRIDLYLNHDSQRYRLEVFFDDIRNCYQCSFDGAGAILLQLMYAPRICTTISGPAVYSRFSDDRFHACKEDAKFTWVRAVDFTPNHSFGKCSTLALVLDEGAPVSSILNSLPLSGELGQLVISSMEFFGPSSKVVPLVDCPSGCSVSYEVLFRLNSLVHMGKIVAKDVNADLFKALEELPVHISRRIFEKMSKLDFTCYEPLQFIQQDAHSRKRSHDALLSSKTGGEGKLMMCYRIHITPSKIYCLGPEEEVSNYVVKHHKQYASDFARVTFVDEDWSKLFPDAISARTGRGLFSQPLKTGLYHRILSILKEGFSIGPKKYEFLAFSASQLRGSSVWMFASNDSLKAEDIRRWMGNFEDIRSVSKCAARMGQLFSSSRQTLEILPRDVEEIPDIEVTTDGSKYIFSDGIGKISERLAKEMACRIGLDYTNPPSAFQIRYGGYKGVVAVDPDSFRNLSLRPSMKKFESKSRMFNITSTSKSQPCYMNREVISLLSTLGIRDEIFESMQLNDMRELDEMLTNREAALSVLGKIGSAETKTASKILLQGYEPSLEPYLLMILKAHQDNRLTDIRTRCKIHVPKGRVLIGCLDETGELEYGQVYIRITKNSKEQKDNCQPYFAEDNGKDKTAVVVGKVAVSKNPCLHPGDIRVLEAVYDHGLYAKNLVDCVVFPQRGERPHPNECSGGDLDGDLYFITWDEKLIPEKVDSPMDYTAARPRIMDHVVTLEEIQKYFVDYMINDSLGAISTAHLVHADRHPMKARSPVCLQLAALHSMAVDFAKTGAPAEMPRSLRPKEYPDFMERWDKPTYISNGALGKLYRAAASRMQSATAPSSSAQSSPAFDPDLEVPGFEEFLDSAEECYDLYAEKLSTLMSYYGTEHEDEILTGNIRNRLLYLKKDNKRYFEMKDRIIDSVEGLHKEARGWFMSRPKAEASRRASAWYRVVYHPDHCRPGKKQFWSFPWIVCDELLKIKESNKRRRQQVDGAAA >SECCE1Rv1G0048160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:632411721:632414483:1 gene:SECCE1Rv1G0048160 transcript:SECCE1Rv1G0048160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGINVEEAFQCIVKNALKNEPEEELYMPDTVDVVGGNRGQGSSGCC >SECCE3Rv1G0158550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:89018673:89019498:1 gene:SECCE3Rv1G0158550 transcript:SECCE3Rv1G0158550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEARKQSGVGWDDRRCMIEADTDLWDNLLISYPNIGKFKKKFFPHFDLLGELYDGHTAEGTYNFTSIEQPSQIGEDFEDEREAEEVKETDDLEMMNQVQNDDDDLQILDQMDATHRNEDVDPTEQGGRTMAGSGKMPQKKPKKEKPNNSGDVIAGALGKYIELKKRQVDDEATYLANERAEATKLDDFSITKCMDVLKTMEDVTRAEKIKAFNVFKDASNR >SECCE6Rv1G0433950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763010484:763010969:-1 gene:SECCE6Rv1G0433950 transcript:SECCE6Rv1G0433950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMILLNSSDGESFQVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKTLAKVIEYCKKHVQASPNPADSAAPAEDLKSFDAEFVKVDQAILYDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNITNDFTPEEDAEIRKENQWAFE >SECCE7Rv1G0484480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344030077:344030784:-1 gene:SECCE7Rv1G0484480 transcript:SECCE7Rv1G0484480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSVSLPSRPHTKVEEELHSLEASISSPSMTVETISDGLRRLGDIYSTIEEIMCLPSNQICSSQQRKMLEGETECSLELLDLCNAMHEDFTELKAIIQDLQVATRKGDDTAVQVKIQSYTRLVKKAKKHFKKAAKKVTSDKEDCRMVRLLSEAREITTALLESTVHLLSKQIAVPKWSLVSKAFQKKNSVVCKEEQLQVLECSVGDLEAGAGILFRRLLQSRVTLLNILSS >SECCE7Rv1G0474990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:180514909:180519080:1 gene:SECCE7Rv1G0474990 transcript:SECCE7Rv1G0474990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSPSPSPEPPQSSAPAGGASNASLRWHILRRALLSRSASPQASEGTSNDPQEKGDTNKISRKTSRGFNLIECHTAPISQLNKSQGDSLDGNENGVECQRDVYVCYKLPCGGSPELNVIYRRDDSLELNDIEASNRFNIDTTGLVCCWPSEEVLAFYCINHSDMFRSKRVLELGAGYGLAGLVIAASANAGEVVISDGNPQVVGYIQQNISINAETFGQTKVKPMTLHWDQGQASDMLNSFDIIVASDCTFFKQFHQSLARTVKSLLKHSETSQAIFLSPKRGDSLNKFLDIIKEYDLCYELIENYDPTVWNLHKSYLAGDDTSWPNYNEEHCYPLLVRITFGHFVLS >SECCE5Rv1G0302490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:39070886:39074411:-1 gene:SECCE5Rv1G0302490 transcript:SECCE5Rv1G0302490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAVVVPHPSSGNVNPALQLAKLLHHHGVYITFVNTEHNHRVMEATGGAAAVRGHEGFRFEAIPDGLAEADRDRDAEDYDLRLSAATSQRCAEPLKELLLRLNATAGVPPVTCVLPTSLMSFALDVARELGVQSMVLWACSAAALMADMRLRELKERGYVPLKDESCLTNGHLSRTVIDWIPGMRPMRLGDMSSFVRSTDSEDFGLRFSMVEANGCTKAGALILNTFDDLEADVLAALRAEYPRIYTIGPLGSLLNHYLRDDDASGSGSGLSLWRKDSECLAWLDTQQPGSIVYANFGSLTVLSTDQLAEFAWGLAASGHPFLWSIRDNLVPGAGVGLSLLPAEFVAATAGRCCLTAWCPQDQVLGHPAVGCFLTHNGWNSTCESVAAGVPMVCWPGFADQYTNCKYACEVWGVGLRLDEEVRREQVASHVRHAMKAEDMRDSAAGWKVKAEEAVAPGGMSWENLRSMVTELGSANAEA >SECCE6Rv1G0433610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:760590776:760591384:1 gene:SECCE6Rv1G0433610 transcript:SECCE6Rv1G0433610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASEVDPSVAPHIVVEGSSRAGGNREQALPEAAAVEALVETEPADGKEEGQCGLCLYMEAGGCKEAFVSWAECVQAAEKDGSDMVDRCSQATIDLKKCMDAHADYYAVMLQAEPTVSDQDEAAIAATTADANKNKGEESAPSPDTDETKMEEALVQSAATTADEKDMVVQQEATSSTAAEGVKKEEAIVQKAESLSLGN >SECCE2Rv1G0116020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:760059133:760060969:1 gene:SECCE2Rv1G0116020 transcript:SECCE2Rv1G0116020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLNQQPTLLALSLLLLLALYLVRAALLGKRRNYPPVAGTMLHQLLNFGRLAEYQTELSQRYRTFRMLTPTCNYVYTVEPANVEYILRTNFANYGKGTMTHDVLEDLLGDGIFNVDGAMWRHQRKVASFEFSTRVLREYSSGVFRDTAAELAGIVAAAAGAGERVDMQDLFMRSTLDSIFTIGFGVSLGALSGSSQESAAFARAFDDANEQVLYRFFDPLWKAKRLLNVSSEAAMKRSVRTINDFVYAVIDKKIQQMGRDEHEFAKKEDILSRFLLEREKDPGCFDNKYLRDIILNFVIAGRDTSAGTLSWFLYVLCSNRRIQDKIAREVREATTGDRDVGVKELAASLTEDAISNMPYLHAALTETLRLYPAVPIDVKYCFSDDTLPDGHAVRKGDMVNYQPYPMGRMKFLWGDDAEEFRPERWLDDDGVFVPESPYKFTAFQAGPRICLGKEFAYRQMKIFAAVLLYLFRFEMWERDSTVGYRPMLTLKMDGPLYVCASPRRASG >SECCE3Rv1G0206760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:910897931:910902858:1 gene:SECCE3Rv1G0206760 transcript:SECCE3Rv1G0206760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDELLKKIRVLEEGQAELKREIGKLMLTPDRRDAQSVAVGRAFRALPPQPSSSRHVVAHGRFSDKHCHWILQSLGQAVHIIAPDGKLLYWNRYAEHIYGYSASEAVGRNAVDLIVHPSDFDAANNLIQTIFTGKCWRGKFPVKKKSGERFFMFIHNTPLYDDDGSLVGLIGLSHEVRTLEEIFSPSGSVESYQIPSAAKPKFHANDRPKSDSLNKGSLHSQQPLQSATTSKIVTLVTSITSRVRSRIWTGQNSDKQDGSALCQVELASSEENTPSGDVVHHAFVAEEKSSGKSCKTSSDDSGEGKVGFHKIFNSKAEALLTRKGISWPWKTDVDSGKNNMNSTQLHDKQENEQSHQRVSVLEPIIIPDCQNSEYTWASKYEVSGSWWDFNKNSVSSMSSTTGTTNSSGIARVDYEADCLDYEILWEDLVIGEQVGQGCCGTVYHALWRGSDVAAKVFSKQEYSEEMINTFRKEVSLMKKLRHPNIILFMGAVLSQQRLCIVTEFLPRGSLFRLLRTNIGKLDPRRRVNMAIDIARGMNYLHSSIPTVVHRDLKSPNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEMLRSEPSNEKSDVFSYGVVLWESLGYSQYNAGFVAPMLQVIGAVGFMDHRLEIPRDVDPQWASMIHSCWDSDPQRRPSFQELLERLRELQKQYNVQAHTKRKEAGKVAGNMSIKES >SECCE4Rv1G0216070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8576748:8577981:-1 gene:SECCE4Rv1G0216070 transcript:SECCE4Rv1G0216070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAETAGFIMSRAADGGGAMPPELVTRDFLGGCQAPAPAPAADDRRHDAAPMPGKPPLQKHVSPPPTARGDLNLFPAAGAAMAPLPTMAPAADCATTTTYHSVCTIEKVKTALERFERGKQGHHQHQQHSGAGAGASPSSSSVTTSSVKRRGDVAVEQGDGCDSPSASGGMVAAACPRCFLYVLIARSDPRCPRCESHVPPPPAPAPKKKPRIDLNVGFLGT >SECCE2Rv1G0072870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:61882202:61884053:1 gene:SECCE2Rv1G0072870 transcript:SECCE2Rv1G0072870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGGGRDDGGRSPPAVVYDDLVAVRKQAATLQTMLQGSPRVSDMGARELVKGMMAKLSSAMSVLGTTSGGVEASSGAVRVTGGRRKRSGTVSGPHRRSTSRRRSKSPFINMVTARTLNDGKTWRKYGQKMIHASTNPRSYYRCSHKPDQGCQATRQVQESNSNPSEYLISYYGQHTCKDPSTFRSLVIQGAADAAPPADCSNLISFASINGAAASTSTTSFAHRLVKEAADHHTMLFSRFSNYSSSPPVQEGASSGSPSPAGHGKFMPYAGGQLVDVIGQRTSPWTVGSAPAKYWPVVGVPGVDTDAGAGMDSFPSSPSSLGFMPGSLGGSFGNNIYDDDLFGFDS >SECCE4Rv1G0218720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:24015602:24016213:1 gene:SECCE4Rv1G0218720 transcript:SECCE4Rv1G0218720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHRRHRAGAPKPSWLVTIKATPPAPARGDAAKKLSAPARSPILPSPSVWQKGMSVGDRGDTGVAPASPRIGCMGQVKGARRCSRARGPTSSRGPAASGLPCGSLAGLLMGLFRRRSTGRKSRAYSKVRDVASDSSSSGSARASSSSGSAPGARAPRPLELAPPLPVPAPVVRRPAMSENAPSLWERRRGGAKVLEGLQLT >SECCE6Rv1G0386770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:101714875:101718816:1 gene:SECCE6Rv1G0386770 transcript:SECCE6Rv1G0386770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLATYASSDEDADESIPSPAPATRRGEAGGKPAGGIFSALPQPKSAPIFSSLPQPKSAPIFSSLPAPKSAPTFSSIPPPKSSVSSGNPKRVVQFRPTPIRQTTGVSSDEEDDAEKRRPSASEAPPPLSAGSGPVSSFLPAPRHSLGFGSGAARRSAVETAGAERSNLGAAGPSSSAVNRGAPERSDTGGTAGEDDPEESSDEDSMPAPEQQQEQQGLGAGAGHVQQQGYDAGVGDASGYEGYAWDPNYYANYGLDPNSNVNYGTEPQYVAYGVEQGGGYGNGYGGEYSGGYEHSTAPPCGGEYAGYSAEAVAMAAPPMREPTLPPEMSRIGVKRGRRDMPMEIIEVNQAELVKNRPREDKSKLTGMAFGPSYQAAPSGKGKPSKLQKRKHQIGSLFYDLKQKEMELSERRAKGFLTKAETQAKYGW >SECCE7Rv1G0516920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:849898282:849899238:1 gene:SECCE7Rv1G0516920 transcript:SECCE7Rv1G0516920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQTLTGFYFMGTTEEQLVHKVLPFTNVSGSRSLTSHAFLPNDRRVFLLDCCNGLLLCYVSAHRGERCYIVYNPATEEWTELPHCDHAGWVGIVRLGFDPAVSPHFHVFLLTDQLNDFGLPGVDVCSYVFSSETRRWVHKEKKWYSDIDLVRVNSAAYLNGCLHFFADVNHSTLCLAADKEAGTMIYSRLPSLNDGFMQQSQGCLHYAGFERDANDDHVVRLQVYVLEDYSSKEWILKHNIESSHLFGGRHDVEEDFCWIAIHPECNLIFFTLGWDRTFMCYDMDRRQLKVICNLENGDPPYLPYVPLYEELQSLHK >SECCE5Rv1G0368340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:828260630:828261291:-1 gene:SECCE5Rv1G0368340 transcript:SECCE5Rv1G0368340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWFGGKTDAKAEEAAKTGPSVQDRAIEAKDQTISFIGEKSEAVTKAASDTADAATKMGGDAMGKASETGQAITDRAIESKDQTFGFFGEKTEAAKKMAVDTGDAAKQKSAEVAKCVEENAAKFNGEPAAPKEPTGNMFQQAGGQVMGAATGAKDAVMNTLGMGGGKADADTAK >SECCE4Rv1G0287520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:855481146:855483881:1 gene:SECCE4Rv1G0287520 transcript:SECCE4Rv1G0287520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFALNRLMPPQRDRQRRRHQIRAQSRLMASMTTGKRKGSPCQQDDDSQSGKIKRMAIPELPEDILLHIHSLMPMREAARAACLSQAFLHSWRCHSNLIFNKYTIGLKSASGDKFHHKVDCILRKHKGSLKTFKLDYNEMDGLDDFSYLDRWLQIALKPGLEELTFVLSETETMRKYNFPCALLSDRVGNSLRHLSLRSCILHPTVELGPLRSLTRLYLWCVSITWNELECLLSNSPALELLDLTSCAEIRCLKLSCALQRLIVLSVLACEGLKVIESKAPNLSILYLRGSRLDFSLVDTLQMKELYLHQNNLIRDACAKLPPILPNIETLVIGSPWEVVDAPMLPTKFLYLKHLDVNLRTGTTEYRPYDYFSLVSFLDASPCLETLILDVTQSRMLHKSIFADSQLRHMPEHSHSCLKSVKISGFSSAKCLIELTCYILKNAVSLECLTLDTIYGHRCYDDGEDDWCVPMGVGILMETPRALSAIRTYIENEVPSTVNLTVMEPCSQCHAKALRQALSQSCNAVPI >SECCE1Rv1G0023100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:293453429:293464790:1 gene:SECCE1Rv1G0023100 transcript:SECCE1Rv1G0023100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLVYSRRKQAVQESTHIVGPPDDSASLNKTNIQNTEARSQVYSRNKRAGQESTHVVSTSHGSATLNSLVYSRRKQVGQGSTHDLGGAVGAPHNSASLNKGSIQNTEVREDSAVIACVVPDAGNTAFQIHCLRRSAYAAVLRAFYAQSDLLSRAKQECLARLRNELKISDTEHREYIVKASTNMHIKSLSAWSKGNIGNAEVAKESLDLKCVIPDAGDASFHIHCLERSAYASVLRAFYAQSDLLSWARLLTKLRIELRLSYMEHREVIARVSSNEYIKSLRKFSLVNYSALMKKTPAFDLHVVVGDKIDKTGQSFTSLVPQSPTPAHMMSPARNIGILGISYSTTKGSCFDPDATVPAKKLKSGSGSALAYFKCSPYAEPLPEAVSSVRAESPKDDLLDSSKESPCAMKAGCAVSPMLQEKHNQSNPGDFPWCVHKDMEVSRKRGTEVSPVSRSKRLSVITHSTGNIDHDSDIIKILLTCGLVNKVEELFKVKPDPASLQIAKLILNDQETHLLDALAKLSEVSSASAEVNQHDEVKGDPVSYDEAPTSSITELRRAETEGETQREEGAGAGGQASTPHHRQALGGGMGQVSLQEEKEKGRMRPTLLRTVSSLV >SECCE4Rv1G0295760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:900127712:900129460:1 gene:SECCE4Rv1G0295760 transcript:SECCE4Rv1G0295760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPPSDRLSDLPDDLLIHVLSFAPSREAARTTALSRRWLRPLWLHTAALNLDYRSYTTTTTGVVPLRWRAMDNADHAIAYQNSVGCGPKKVAVVMRDGTMHDDILSAACSREDEDDEEEDAAGVEELRLECLDYSGPRHCTHAALSEWTYYDLSLGLLPFAALRTLELTGCKINGRRRVLDFPCLEAVRLRRCVADLDTLQNMVDAAPRLSDLRLDALIFVPDRPLYSLRCPAVTVLAMANCHEFTETGMYSGGYYSIELDAPRLRRFRYAQVVTVDGTTFSFKTPPTHLEQVHLELHSTAAKPLRRSMLAATCHAKVIKLTAYSMADLGTADVPVFPNLERLEIEELCGWCLTDRAAAVTALFNLLRGCPAVPELRLKFSSRETDDMAAMSDQCSYERFDDQLYFGPTPQCLRRSLRRVVVRFDGQLGLTCFQARLIRYFARNAMLLEEVVVDGGRGYDYDARRCVHCKVAGCTPPNPFLVRLQEWFCCSGHRLRAASANLDKLARGGTAPSLWSLSEFPPLGTPAHRRWRRARPADIGGVDVALIRLQRPPRSAASCHRRSTASQGRPNINMGRRLR >SECCE1Rv1G0056790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689195132:689195692:1 gene:SECCE1Rv1G0056790 transcript:SECCE1Rv1G0056790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPAAAGSYVEPLFQDPLGILIFFILTWSISKLQRLLHTSSCQSCTCTVSTTTSTPPVLAETKASKAQNKSEYDAVNATQSDAEVAMRKMGLDFDREKSSEYISTLFDDDEPSFQEVKMAFSVFDQNNDGYIDAMDLRRILHNLGLGDRVGVNESEQMIARYDMNNDRRLDLMEFTKVLEDSFF >SECCE7Rv1G0483980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:336036158:336040991:-1 gene:SECCE7Rv1G0483980 transcript:SECCE7Rv1G0483980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEEDAAAAWEKVEAKEERIMVSVRVRPLNGRESGDSSDWECISPTTVMFRSTVPDRAMFPTAYTYDRVFGPNCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVMDIYDYIEKHPEREFMLKFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRDLLGMCEAQREIGETALNEASSRSHQILRLTIESSVKQYLGRGKSSTLVSCVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIVCTMSPAHTHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALLKHLQRELARLENELKLPESASCTSHAEALREKDAQIKKLEKQLRELIEEKDTVQSQLNCLLKSDADDHANDRAAKRWNVHSRSSESLVRNASEEALSVSETYGGSYEDQGHAEFDESYVFSADHDDLSIPNQTMDLPQQTRVRKPISPWHPPSNYSSDGTESYNMKEAAFRSASEVSEEHCREVQCIEIHEHRRSTSEEFNVLLHEGTKLHIPEVENISRDAVPEPDEVPEAVSITEKMEDHIKIYPSKEERQAEIIANAVEGPIEVHQCESDDFADNFVKLYPCDSNISLDVGKPYPHECLTVKRCITSSKDSALARSQSCRASFMIIPNSWFDDSENTGQTPPGEIFRYPTRRPDKVRRSLYQGNDDCQNNNTSVDLSADSGEVVCDEVVKDASTSDEVVKDMSTSDEVVKDLSTSDEVVKDMSTSDEVVKDMSTSDEVVKDMSTSGEVAKEWSVNEAEQEVCVGDISCVTDLEQKTAKHHDDQPEEHQAEQQIVRDECAAVKTVKDVGIDAVPSSVESPSRWPIDFAKRQREIIELWHDCNVSLVHRTYFFLLFKGDAADSVYMEVEHRRLSFILSSFSTSSAGGELNSAIASSLKNLKRERDMLYKQMLKKLSNGDKEGIYTRWGIDLGSKQRRLQLSRLVWTRGDMEHVRESASLVARLIDLVEPGQALKEMFGLNFTLAPRTERRSFSLLGA >SECCEUnv1G0542100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98496286:98496636:1 gene:SECCEUnv1G0542100 transcript:SECCEUnv1G0542100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISGARSLPDEQVRIASTKMDGIGPKKAIQLRYRLGISGNIKMNELTKYQIDQIEQMIAQDHVVHWELKRGERADIERLISISRYRGIRHQDGSPLRGQRTHTNARTARKQIRK >SECCE5Rv1G0320580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:390130197:390133763:-1 gene:SECCE5Rv1G0320580 transcript:SECCE5Rv1G0320580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALDMSLDDLISKNKSSSQRGRGRRNPASGSGSGSASGGPAPTGRRFQARAATRAAAAPYHQFNFQHQAPPAFAFAAQAHAQAQAHAHAQALAMVAPPSGVETGTKLYISNLDYNVSNEDIKELFAEVGDLKKYSINYDKSGRSKGTAEVIFSRKSDALAALKRYNNVQLDGKPMKIEVIGTNIEAPPPPAIFTLNTPTIGNFIPSYSGGRGRGGDGGRGWPRGRGGFGGRSAAGRGRGRGDVGRGRGRGGRGSQPVSANDLDADLDKYHSEAMQTS >SECCE4Rv1G0229800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:153865535:153867842:1 gene:SECCE4Rv1G0229800 transcript:SECCE4Rv1G0229800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVPSYPSDLGSSGRATRTQQRIRKEERTWTADTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKTDLKCPATKQVQQKDMSDPPLFTVTYFNHHSCNTTSRPIGSAPDTTEQSSSRRAVSICFGSHATGEQPTFLTSPGTLQSPASTTNQQSDRGAAYGHQFQWTDTSPSAGDAPVKMETDSLAGTGSSSGAASGHALSRTLLPIGQSRCIEYFQFL >SECCE5Rv1G0328260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:501101012:501101651:-1 gene:SECCE5Rv1G0328260 transcript:SECCE5Rv1G0328260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRIQKSIFHLGEEGDGHRGAGTDHHGDNIVDIQQRLGGHAHGRRLRRGGRDAGDVVVGLRILVQHHPQPCHVVLKQMVSSPPARHRRPCSFSAACFLCRRELSPDKDVYMYRGDQGFCSEECRQQQILSDEAREHRAMISKERRGLAHRQHHHGPRPSPPVAIRSAPRRLLAVA >SECCE1Rv1G0050580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:647597538:647599132:-1 gene:SECCE1Rv1G0050580 transcript:SECCE1Rv1G0050580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRRLWRMGGRVLGCFAACAGAGSGCLCARAPALEEEVEERKALVSGSSQVVRLSDLLVKGSSSRTLGFHLQPKTVELRVSMHCYGCAKKVHKHISKMEGVTWFEVDLERKKVVVTGDVTPLEVLQSVSKVKLAQLWMPPQPC >SECCEUnv1G0538240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:71786838:71789765:-1 gene:SECCEUnv1G0538240 transcript:SECCEUnv1G0538240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQDEEASVSVSVSVPEEEEEEEEDQGEEVKMALGPQVPLKDLLHLHLHKEEEEDDSLRRWKEQLLGPDALHTTTLLELGETVEPEVTILDLTILAPGRPDLVLPIPFVPDDKGHAFALKDGTPYSFRFSFAVSNNIVSGLKYSHTVWKTGVKVENQKVMLGTFSPQQEPYTYKAEEDSTPSGIFARGSYSARLKFVDDDGKVYLDMRYSFEIRKDWPA >SECCE1Rv1G0007760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42448933:42449807:-1 gene:SECCE1Rv1G0007760 transcript:SECCE1Rv1G0007760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDDGSLSPTRPFDKCLDETVSAEAILTALNGVTSSSKNDSKDDEWASSDDDADAMEHDSDSEADKSSVSFKEHRRNHYDEFRKVKELMRTGSLVEDEARANERAANNVEDKTTGEKATGDKSESPTSPQV >SECCE6Rv1G0396490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:366893636:366900848:-1 gene:SECCE6Rv1G0396490 transcript:SECCE6Rv1G0396490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSDSDSDSSPKSSSSSSASSSSARRRSPPRVRAHSDEGGSSDGVLVELPSQDARSPGADPDAGIFVSMPADDATSGETFEDAPDDLATARSLDESIAVIDFPDESSAAAECRRYKEERDVCAREAATLRRMLQELVGQEVSSSLHAEGPDDRAPLHSVLDDCSRLVLELNSVSRSREQEVDSLRARAAEVEVSKEVVDAYLGSWRQVSELAIGRMVASLDAVVGKDAISCEGADQNGISVVERKTLLLTERYRQVLLGIEQLEQVLAEVKPGFVAMGQRDHATILGNVSEELVSSKRNEANFMQKLNSFVEENKILTEELEKTKAARDVANAEAGKTKAEIEQMEHKLSTTKERLSMAVTKGKSLVQHRDSLKQTLAEKSGELERCMLELQQRSDALQESEGRIEELKMLLDEKSAEHENCVDELRETYNAWEAAKASIEQLNDANTTLTSVQASLSINDGVLQRIEEVMSEATFPEDLLSLEMIDRLEWLVEQKKIADMVFLEHRKIKDILGSVDFPHSVLTGELDSQITWLVNSLGQAKDDAARMQNESSEALHRLSAHESKLISMHEEIDRLTIVLLEEKQEKDILLNERSELMSQYDAAVDKLSVLSSQNTELVKAFAEVSDVKWEDNEPLETSKLVDQCLSSIQRRAKSSPIECESLEKLQTLVYTLHQELTLCKVILEEDMTDRSERMRLSGEIQKMTEAIYVLKNEKDSLQKEFEKLEEKLSLLREKLSMAVKKGKGLVQEREGLKRVVDEKNSEIEKLKYAIDEKISESENVKHALDRNSSEIEKLKHALDEKNSELEKLRQALDENNSETENLKHALDENNSISDKLKRDLEARNTEMENLKYEIVSRESANTDLTEQVENLSSQVMHFDKLQLDISSLSEEKGKVDNMLKEAKVSLGILVDSVSSVALPVDHPSEDPVKKISQIAQYIMESQAAKNHVENELHRAHEQVTLQAGRLSDSYSTIKILDDELSKLKEYISSTSEEKYQIQLRTAAVEEELEKTNEELAHNANKLEDANATISSLQDALSQARTDVAILSAEKNEAGAKHEMETSALNAKLAKCLEELDKSHGNLQSHSAKHHGYLEKLSTLVMDDSMVSLMAEEFGKKVISLRDMSLTVKGIHEHLAAMGFQIDPVMEDSEFGKLFSLPDYNNFVTERMLDRKSRKENIDDDSSLSTIVEQCSNQAGYFSGFFKDLSSYMSDNIILLLRALQLASSNFSHTLEEHDSLKIELENKDAQNRAQEDELLLLQKELRAMSSKCIYCTEQIQIIFDGVLDLGYAIDLATGNSSTVSKVGQTLSVLKDEEFGDYIKVVDTLVSSMNKLKSESQRLSDIKGLVITLLDELKMRLKQAESAAETASNDHQLDVERVCKLEEDLRTVNDERNGMEMRIQEYQEREDVLKARELELLSLEHTQTTVERGITDAISKDQLEAIVEKVNKLNIPSGESHLQREVAMFSSPMDKLFFVIDKFDALQREAETLRYENEDLQLNLESHAREIEQLKEVCRNTDSNRRELESKSSELLEVTVSMERMIQRFGYLAGKDALEDNKPASIQTLLPKLEKLIIASSTESGNAKSVKQELGSKLQAREKTVDELSAKVKMLEDLYHSQLVQPEVSKDRAFDASSSAIGSDISEIEDLGPTGKASVSSVPTAAHARVMRKSSSDHLVLNMGSESERLIAAHDSDDKGRIKSLHTSGLIPAQGKHIADRVDGIWVSGSQILMNRPRARLGLLAYWLFLHLWLVGSIL >SECCE2Rv1G0085850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:197361209:197366237:1 gene:SECCE2Rv1G0085850 transcript:SECCE2Rv1G0085850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISANNIEIGVIRADREFKVLTPAEIKDFLEEVE >SECCE7Rv1G0499210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:651289797:651291564:-1 gene:SECCE7Rv1G0499210 transcript:SECCE7Rv1G0499210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIYCSNMPIARFATFVIFASFFSTIGHLGVSAFDALDDDLLALDIVSKIHINRSFTAGASSDFGHIVKATPNGVFHPVSPADIAALIRFSLYQQTPFTVAPRGKGHSSRGQALVPGGIVVNMPSLGCGDHGHRVNVSVDGMYVDVGGEQLWFEVLHATLKHGLTPRVWTDYLRITVGGTLSNAGIGGQVFRHGPQISNVHELDVVTGTGDMITCSPGNNPDLFYGALGGLGQFGVITRARVGLERAPKRVKWVRLAYTDVHQFTADQELLISNGAGAGFDYVEGQVQLNRTLTEGRRSSSFFSASELARLTELALGTGSAAVYYIEGAMYYDDRSAAMVDQKLEALLGELSFVPGFVFVRDASYVQFLDRVGQEEQKLRSAGVWDVPHPWLNLFVPRSRIHDFAAGVFDGVLKGAKPVGLILMYPMNRDRWDDRMTTVTPDEDVFFAVGLLRSAVAAGDLERLERENEAVLELCDRAGMGCKQYLPHHASHDGWRRHFGAKWGRVAALKATYDPRAILSPGQGIFPATVASTTPATITAS >SECCE5Rv1G0358030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:752659473:752662917:-1 gene:SECCE5Rv1G0358030 transcript:SECCE5Rv1G0358030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADQATNLLQKLSLDAKTEAIEAPAAKEKASGGLGGKLNGVAATRNPRASEQWANPQDYSDASMYYGAYPAAYYCAGWGDYSMYLNQDGVETPTAGAYGDMYCYPQYGHDGQIYGSQQYQYPSSYHQPQNTASKPQYKSKTDKLAPSKDISSTVADPLQAVVSAPRATSNSVDEVKGLKKTSTPLNPSGNNGSYLNPSSRPAYPWYGGQIYQGKQQKPSSGNPTSTDSNPKSKVQSKNQTTQPLPPLMSLPNSPVASMYAANGMYNSNAYGGFWYGSQFYGPGMYGGWNNLSDGKYKPRGRTPYGLYGFPNENLDGLNELKRGPRSGLSNNQQGFGPAAATAEKAQDVSATDGSNAVVQDQYNRSDFADTYENAKFFIIKSYSEDDVHKSIKYNVWASTPNGNRKLDSAYLEAKSVNSPVFLLFSVNTSGQFVGLAEMVGQVDFDKTVEHWQQDKWTGCFPVKWHIVKDIPNNLLKHIILEYNENKPVTNSRDTQEVKLEQGLQVLKIFKDHVSKTSILEDFSFYDNREKIMQERKSQRQHQLKKITSPKLLPTVDNAENDSGNAQESQKPEVTGENKSAVEDNVVAAAVSGVAPKDANPTAASLAVANGC >SECCE7Rv1G0518380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859059113:859061331:-1 gene:SECCE7Rv1G0518380 transcript:SECCE7Rv1G0518380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGVGHGGSGEGAAVLLLPFPGAQGHTNPMLELGRRLARHGLRPTFVSTRHVLSSTPSPGPPFRVAAISDGFDAGGFASCPDPAEYFSRLEAVGSETLRELLLSEEATAVRVLVYDSHLPWAGRVARAAGVPAAAFFSQPCVVNVVYGEVWARRLALPVTDGLELLARGALSVELGPEDVPPFTSAPESQPVFLKTLIGQFDGLEDADDVLVNSFSDMEPTEVDYMELTWRAKTIGPTLPSFYLDDDRLPSNKSYGFNLFVDDVACMDWLEKQSISSVVLVSYGSYSNYDVSQLEELGNALCNSGNPFLWVVRFDEAHKLSEQLKVKREKNGLIVSWCPQLEVLAHKFIGCFVTHCGWNSTLEAIVFGVPLVRIPHWADQPTIAKYVESMWGMGVRVRKSESGMLRSAEVERCIREVMDGEKKKEYKRNATKWMQKARKAMREGGTSDKHIAEFAAKYSSI >SECCE7Rv1G0527000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:899676114:899677588:1 gene:SECCE7Rv1G0527000 transcript:SECCE7Rv1G0527000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMMMGTDVCSSRMLSLPRYESGDEELTVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEAPTGNEDDDEIDGGNGSFCGSFDMGDKDMNYSSIEYQKPTKPRVRHTRPWSSCTTTSGSRANNLHSTSKLRARVNLTKLGTPTLWRYWKHFNLVSMNPTPSEEQLFHGVQQHFQSQQLDELQVILGFIQTAKRLKTLYKKKNSS >SECCE7Rv1G0466850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:81926341:81930940:1 gene:SECCE7Rv1G0466850 transcript:SECCE7Rv1G0466850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRGLVISFAEEDGTCTCRLFRLDGTMVTTKLDEVPILERSSIHVGQFVVSATDAGGQIGVVTGVATDLDVAQIDDSGKVGKQILGVSTAGVRRVRALSLGDYVLSGPWLGRVVEVSLDVDVLFDDGAVCRVADAEPKVLKPASKKLVRHFPQTNTAFYPGGRVTSDSFEGSRWLNGHWKPEHKIGTVANVEMAGVSVYWIASAQHGTKQILVQESAPPTHQHPGSLAYFCSASDCRWALGDRCFLNEDVCDHEQHHASSPAHSALLPTMTVANSNTTVDVLWQDGTRQYGARSTTLDPYGYPYELVMEHDFFPGQHVVDNAPSDAVHDAAGDDANGSTRRVGVVRSLNSKDQTVHVSWFKAASTRPDGEASSLEVECEDTVSAYDLDRDPDNYFVYGDVVVRLPTVTGSTPAAQQPQATSTPADVPWVGRVVDLLDGHVQVKWSDGSTSMVLPHEISVANKESFIQLQDEMAYWVEEEDVGAPQELDAANTVNDPHDPADATNVEDGPAATSQNAEVPMHVPTGSHEDPDVGGGATEADLADLGTRSDASGDDDSEDDSAHDVVIKATEATGDAGPFKFPNFDVVKSPPDHHYLDTTDEGSSSGTGMVHVKKVQKEWKILHDSLPDTIYVRAFEDRMDLLRVAMVGASGTPYQDGLFFFDLQMPPSYPAVPPQVYYHSFGFRLNPNLYTSGTVCLSLLNTFSGEGTEVWLPGTSSLLQVVVSLQALVLNDQPFYNEAGYELLIDTPEGHRHELPYNENTFLLTLRTMLHLLRRPPQGFEGFVRDHFRRRGRHVLEMCEAYLQGCVDAGHGSVQLPCSAGFRITLASVMPKLTTAFAQIGAQGCDDCTATNH >SECCE4Rv1G0223960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:75709138:75710565:-1 gene:SECCE4Rv1G0223960 transcript:SECCE4Rv1G0223960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRPALFRSLLYEACGRTINPVSGAIGLMWTSNWDLCQAAADAVLRGDSLRSLSAVPAAFTERDMAGLYGNVGTNTGSSSSLHSSPENSSSAPARKRSKNNCGAAVGQQVKLPGPGPVLQSCELDLCLTPLSSPLAGGRRGGASDEYSTTTCCEEASGDAAEAGAPPLLNLFH >SECCE2Rv1G0085330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:189299708:189302300:-1 gene:SECCE2Rv1G0085330 transcript:SECCE2Rv1G0085330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAAARLKREDCPRTKHDSLFSPWKVLVGPSDWEDHSAGKEGVQRYHTRNLPDNFPGLYELGVARPSYDGVRARRNRSVLVVVVYLGQADNVRARLQQYGRTGSHLDTGNPLAAVGKAEMNALTAGPGLFREVFSRGYSLMFRCALMGSKKAAEKTEAQLLGVFDYAWNKLQNGACRREEILLKLEQGSHRLSLLGRVRHLKQRVFGEKAGIKINSIGSVEISSGSMKNMLPRVRTFVGFRPRLVNCVEDLNGAIDIHRKCTPQVNTAGNKQARRRSEGYKVKKIDVIKRQTAPIREAEAVCGVLLEDGSSCLEDPMEGRKRCELHKGRRVRVAYSRKVSSSSSTCQVAIPTVESIPQQTANPSKPDQACQTSADQSKNLLTNAKEPSQQRNSLEAKEMKIGEAPTEDDAYGTSHAESQFNQDEPVGRKWFELLKAQRSASAPSSRGQGCQTREGNDDASAICGVVTDDGYCKLAPVAGRERCEEHGGTEFTGASSAPCSGRSGWPSVCGARASDGSPCKNQPVARRKRCALHKGQRACCASTPSVK >SECCE2Rv1G0111790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:704212219:704214398:1 gene:SECCE2Rv1G0111790 transcript:SECCE2Rv1G0111790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVSVAASSSPLAATSRAGAPRPHHALPPARGPRSQALRAVTGPAVSRRWGVGRGATVVCAVQGQDTTIQVPDVTKATWQSLVIESEIPVLVEFWASWCGPCKMIDPVIGKLSKEYEGKLKCYKLNTDENPDIASQYGVRSIPTMMIFKNGEKKDAVIGAVPESTLITCIEKFTER >SECCEUnv1G0538370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72717974:72719197:1 gene:SECCEUnv1G0538370 transcript:SECCEUnv1G0538370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTAIPDHLLAEIFLRLPDPADLVRASAACIPFRRLATEGSFLRRFRRQHAPPFLAFLDHDRFHPALPPHPSSPAAREIAVAADFSFSFLPSHCRWTVQDTRDGRVLLRRLYKHDEQAPVFQELVVCDPLYRLYVLLPPVPDDLAASLKHPIPMAGRLRCKSFLIPLCDEEKTETAAAAEKTFRVAWLAYSRTKLAALVFSSSTGQWQTTAFKDWSGLFLGMADKAVMSQTHPLFLRRHYAYGCFYWDWVVIKRKKLLVLDTRRMEFSIADLPPGEWSAQGIAIVEAGEGRLGMFGLHGQIAPDLSYTTAQNKGKSPIQWQMEKTISLDCGFKYHIRDATERYLLLTRTEASSLENPLIEYFSIDVKTLQLQRVCAKQCRLMYGPMYGTHIYTNFPSSLSSRTI >SECCE2Rv1G0109120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:672992609:672998031:1 gene:SECCE2Rv1G0109120 transcript:SECCE2Rv1G0109120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMELEGCVDWRGNAVDRRKHGGTKATIFLYVLVVLRSCPSSANFSLVAYFHGTLHLDIVTSSAVITYLVGAVSFFAALMNFIAGAYIQRTTAIFVFSPLAVLGYMLLALQAYLPSLHPLDCEINKEPNNCESAKGWSLTLLYLSLLMYAIGEGCMRACIPFLGGDQFSNEDPKETQLKSMFLSWIKFANSLGAVMGLVFLVWIENNLGWPLGFMISALTVLVGLFVAASGLPFYRTEKPNGSPLKGILQALFTSPKKRQVATVDVMELQEIGAADSVDGEDKSGSKSTGTTQIEELTKVIIRMLPIFISCLLIYLPFTLLMTLTIQVGSTMDKGISAIQIPSASLIAIPTVFHMLMQPCHRRILTPLLKRFTGQIHTITPLQRIGAGSACGVAAACLAALVETRRLTVAEQHGPTSTGAGVPMSVFWLVLQFFLLSIMDAASFSGLIEFIKSEPSPEMKLIAPAAQSIIAGIAAWLACAFIQLANNASRHGDGGRGWLDGADFNRTRLDRFFLLLAAFELVALINYAFWARRYGKTQRSSGAGLVGDSSGN >SECCE6Rv1G0412040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:612396313:612396906:1 gene:SECCE6Rv1G0412040 transcript:SECCE6Rv1G0412040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAASTMSISHSAPLYLFLDVPAWEEYCLHEDISGGFEVAAAGAKSLGDDNDSARQLLGGGAQLPLKLPPRLQHPADWSTASSAATSPTTQAQLCMVPSWSPFTSSTRHRDFDPFAAALEKVRRDGAAPLPSKPIRRSRSLSHSSLQGAHAAATSLNRSHLTQKASRSPPHRSTRRKCVKRLLSWAATAAPALGK >SECCE2Rv1G0099840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:527427840:527452806:-1 gene:SECCE2Rv1G0099840 transcript:SECCE2Rv1G0099840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFVYINDESCRDSYRDNRVSNTKYTLWNFLPKNLLEQFRRFMNQYFLLIACLQLWPTITPVSPATTWGPLAIIFIVSASKEAWDDYNRYLCDKKANERRIWVVKDGIRRQIKARGIHVGNIVWLHENDEIPCDLVLIGTSDPQGICYVETAALDGETDLKTRIIPSICADLSSEQLGKIKGVVECPNPDNDIRRFDANMRLFPPIIDNEKCPLTINNTLLQSCYLRYTEWACGVAIYTGNETKSGMSRGTAEPKLTSADSMIDKLTVAIFVFQIAVVLLLGLAGNIWKDSHGRKLWYLMYPAERPWYDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKLIDCDDQMFDLETNTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFRRCCISGVLYGDNTGDALKDARLLKAVSSNDPDVVKFLMVMALCNTVVPIKSNDGTISYKAQSQDEEALVNAASNLNMLLTSKDSSGIAEICFNGSKFYYEVLDVLEFTSDRKRMSIVAKEVKSGKFLLLSKGADEAIFPCSCPGQQTKTYLEAVEMYSHLGLRTLCLGCRDLEEDEYKEWSKKFQDASCSLENREHKIAEVCNSLEQGIHILGITAIEDRLQDGVPETIKLLRKAGINVWMLTGDKQTTAIQIGLLCNLITHESNGQLLSINGKTEDAILQSLERALIIMKTASERKDLAFVLDGWALEIILKRSLESFTKLAMMSRTAICCRMTPLQKAQLVGILKSSGSLTLAIGDGGNDVRMIQEANVGVGISGREGLQAARAADYSIGKFKFLRRLILVHGRYSYNRTAFISQYSFYKSLLICFIQILFSFSSGLSGTSMFNSISLMAYNVFYTSLPVMTIIFDKDISETTVLRYPQILLYSQAGRLLNRSTFAEWFGRSMYHAFVIFLITINAYADEKSDMEELSMVALSGCIWLQAFVVTLDTNSFTCPQITLIWGNVVAFYMINLILSAVPTLQMHTVMWHLCNQPSYWITMALIVAAGMGPVLALRYLRNMYRPSAIDVLQQIEQTDGHAQALGNLESSTGTYLDYLLTDLRRNKSSIHQPLLSDSVASSR >SECCE1Rv1G0033130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:463107408:463109654:-1 gene:SECCE1Rv1G0033130 transcript:SECCE1Rv1G0033130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNVLSQSWRRGTHVLREGHPIEALYASSSQFHSGQALRSSRSFFGVEDFMDEDTSKPYTYKKEKRSKNPHKHISFKQRTIAHMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDVKAALTSRSDVPACLSVGRFLAERAKEADVYACTYTPRERDRFEGKIRAVVQSLIDNGIHVKLYLD >SECCE2Rv1G0101250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:559063232:559067828:-1 gene:SECCE2Rv1G0101250 transcript:SECCE2Rv1G0101250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGEMTGASMLRLQCFPSNMGKQSPGFTSARNPWLRRSRMVRHCLDLRHLCRLPKQRGTVPSKLPRNAPSASVYRGVISPLLEESVEAESRSRIIHFYRKPLLQDNETEELLKKVQAKVSCNIIDIQTEQCFNVELEKALASDKLATLQWLLAETYEPDNLQTRSFFEEEIRSSPYSVLVEVGPRMTFSTAFSTNAVSICKALSLIEVTRLERSRRYLLHLQPGSGELDESQLNSFAALIHDRMTECVYPSKLTSFWSDVVPEPVSVIPVIERGREALEEINMKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMASTLFQLVKSPLKANPNNSVIGFKDNSSAIKGHPVNHLRPSLPGSTSPLSLLMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLRMEEFYAPWEDSSFSYPSNLASPLQILIDASDGASDYGNKFGEPMIQGFTRSFGTRLPNGERREWLKPIMFSGAIGQIDHAHIEKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMSQKLYRVVRACVEMGEKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRKIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVLGEIDGSGKIVLIDSAAVEYAQLNDLPPPVPVVDLQLEKVLGDMPQKTFEFKRISRLGEPLDIAPEVTLMDILKRVLKLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTHTDLTGGACAIGEQPMKGLLNPKAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVALADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGKDGVLLHIDLAKGKRRLGCSALTQAFDQIGNDCPDIEDVPYLKKVFEAVQELLGERLISAGHDISDGGLIVTILEMAFAGNCGVNLNIDSKDSGLLQALFAEELGLVIEVHSNDLAAVKKKLQAAGVSVNVIGEVNITPEIELVVDDEMCLTESVSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKSRTSPLWHLSFTPNFTDKEQLTASSKPKVAIIREEGSNSDREMAAAFHAAGFEPWDISMSDLLNQNASLVEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPDIGGSLGAGGDMAQPRFIHNESGRFECRFTSVAIGESPAIMFRGMEGSTLGIWAAHGEGRALFPDKNILSGVVNSNLAPLRYCDDTNNATEVYPFNPNGSPLGIAALCSPNGRHLAMMPHPERSFMMWQYPWYPKEWQVEKGGPSPWLRMFQNAREWCS >SECCE3Rv1G0168950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:229582530:229582811:1 gene:SECCE3Rv1G0168950 transcript:SECCE3Rv1G0168950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEIFEHVREVDCYPNISIAYRILFTVPVTVASAERSFSKLKLLKNYLRSTMTQERLNGLATLCIEKKLLDDIDIEPIISEFASRNVRRKF >SECCE1Rv1G0033010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:461895780:461899055:1 gene:SECCE1Rv1G0033010 transcript:SECCE1Rv1G0033010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPEEGKRYARRDLLLKIQSDAQKCWEDGKVFHAEPGNKSPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFAFHCTGMPIKASADKLAREIQQYGNPPVFPQAENNSSAEVADDREVEQAAAVTPDKFKSKKSKAAAKTGMQKFQWEIMKSFGLLDEEIAKFQDPYHWLTYFPPLAEKDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRATGENAQPQEYVLIKMEVIPPFPPKLKVLEGKNVYLAAATLRPETMYGQTNCWVLPNGNYGAFEVNDADVFILTARSALNLAYQNLSRVPEKPTCLAELSGNDLIGLPLKSPLSFNEIIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQALVTKPALRAKFGVKDEWVLPFDIIPIIDIPGFGDKSAEKVCVDLNITSQYDKEKLAEAKRMTYLKGFTEGVMVVGEYNGRKVEEVKPLIKNKLLEEGLAVLYSEPEKKVMSRSGDECIVALTDQWYITYGEPEWKQKAVKCLKNMNTFSAETRNGFEHTLDWLNKWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQNGDMYGKERCSISPEQMTDEAWDYVFCDGPAPKSDIPPALLSKMKQEYEYWYPLDIRVSGKELIQNHLTFSIYTHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFQTLGQAIKEYSSDATRFALADAGDGMDDANFVTETAKSAIMRLTKEIAWMEEVIAAQSSLRSGPLSTYADHVFANEINIAVNETEKSYNSFMFRDALKSGFYDLQLARDEYRLSCGAAGMNRDLLARFMEVQTSLITPICPHYAEHVWQNILRKEGFAIKAGWPIADTPDPTLRIANKYLQDSIVLMRKLHQKQGSGSKKPKKGAAPPPSAGNKLTVGLIYVNEHYDGWKEQCLRVLQSNYDSQTCLFAPDEDISEALKNCFIEHEASFTQVQKLCMPFIRFKKDEARTIGPQALNLKLPFGEMNVLEENLELIKRQLGLEHAEVLSASDGAARARAGRHVSVLNNNPPSPGEPVAIFMSKQEF >SECCE4Rv1G0258740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679071262:679072290:-1 gene:SECCE4Rv1G0258740 transcript:SECCE4Rv1G0258740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVYATSSLVLGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVVGVWSLGKLGFLYHEPFNFQTAKKYAPAALVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAVADTTFRKQPCPSKLTFLSLVIILGGAVGYVMTDSGFTLTAYSWAVAYLITITTEMVYIKHMVTNLGLSTWGFVLYNNFLSLLMAPVFGVLTGEHLSVFRAIESRGQSWFELDAFVAVSLSCVFGVLISFFGFAARKAVSATAFTVTGVVNKFLTVAINVMIWDKHANAFGLVCLLFTLAGGILYQQSVTIKGSTPAHREAVANKGRDDDDEAEFDPEKQSLVLSPKDSDA >SECCE4Rv1G0226830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:114164043:114168696:-1 gene:SECCE4Rv1G0226830 transcript:SECCE4Rv1G0226830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSSAGLGGMSSDNAKGLALAVSSSAFIGASFIVKKMGLRRAADSGVRAGYGGYSYLVEPLWWIGMISMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHSILQEKLHTFGILGCVLCVVGSITIALHAPQERDIDSVREVWDLATEPAFLSYATIVVVAALVLIYFVVPQHGQTNIMVYIGVCSLLGSLTVMSVKALGIALKLTFSGVNQLFYPQTWAFALIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMNDSTGPTLSTRRSKHASQTAFAIEVLPLKYQDCVDEETLPLSLPKADNHYLMQELPLRYKDLNIA >SECCE5Rv1G0355150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:729490607:729493983:-1 gene:SECCE5Rv1G0355150 transcript:SECCE5Rv1G0355150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWGQGGSDSEEEVDEIESEQGSDSEKSEAGDGGRDGSKNRYLNSDDSDDSDTESHRVIRSLKDKRNDEMKATADQMRNAMKINDWISLQESFDKLNKQLEKVVRVNESTKIPNTYVRTLVLLEDFLAEAPANKEAKMKMSSSNNKALNAMKQKLKKNNKQYEDLIQKCRDNPESFEDHIADDKVSDDDSGDDGSDVDIVDPEPDPDDSSDENKSDLSGAWEKKLSKKDKNMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPISVWKKCVDNMLLVLDILQQYPNIVVDTSVEPEEKETQKGADYDGTIHVTGDLVAFLERIDSEFFKSLQCSDPYTKDYVQRLRDEPLFLVVAQNVQDYQERVQNFKAAAKVALHRVELVYYKPQEVYDAMKKLAEQTELSMDDGDTEAGEEHQEADDNSGPPPFVVIPKVVPRKPTFPPSNRALMDDLMSLIYKYGDERTKARAMLCQIYHYAISDEFSVARDLILMSRLQDGVQLMDISSQILYNRVMAQLGLCAFRAGFIAEAHGCLSELYSFGRVKELLAQGVQQSRYHDKTPEQERLERRRMMPYHMHINLELLEATHLVCAMLIEVPNMAASASDKRRPMSKTFRRLLDISERQTFVGPPETVRDHVLSATRGLSKGDYEKAFSVISSLDTWKLLRNKEHILEMLKLKIKEEALRTHLFSYSSGYESLSLDQLTTMFDLSEKQAHSIVSKMMVHGELHASWDQPTNCIIFQNVDQTRLQGLLFQMADRLSVLVESNERAYEARTGGALEGAPPRCRGGDGQDSYGLGKWQENFVSSQGRRGGGRSGYAGRTGGSGRGGGYQNDRFQNDRSGQGSRGGYGGGGSRFHDGRARTQFGMSSRGDGGARLVSLSR >SECCE3Rv1G0163470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:148083076:148097627:-1 gene:SECCE3Rv1G0163470 transcript:SECCE3Rv1G0163470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-alanine resistance protein 1 [Source:Projected from Arabidopsis thaliana (AT1G68100) UniProtKB/Swiss-Prot;Acc:Q9M647] MLCRLLSLLLLSALLAAAAGHGDSSASSCPFDGGHGGEPHEHHDHGHNCGGALDDSNHDHHHHHSHHHRDEIQRLLPEELAEEADLELEGFDHDHHHHHDHHNDHIHGDFQPESTLMGVWLRAMGCSLLVSMASLVCLILLPVILFQGKPSKAVVDALAVFGAGAMLGDSFLHQLPHAFGGGHSHSHNHEDHDHAHEHSHAHSLKDLSVGLSILFGIVLFFIVEKIVRYVEDNSQRGGHSHGHHHHHHKRQDISDKAKSGHIKSDDEGKDTEQLQKNSSLDGATGKLIDAGNEQKSNATKKPALDVVPGATDREPINSETDPAPGKALSSEDPSVSNSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEIGDFGILVRSGFTVSKALFFNFLSALVALGGTALALSLGTDPGHSSLIEGFTAGGFIYIAVAGVLPQMHDQKTTLSNSMCQLIFLTMGMLVALGISLVE >SECCE5Rv1G0320770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:392136996:392143082:-1 gene:SECCE5Rv1G0320770 transcript:SECCE5Rv1G0320770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 1 [Source:Projected from Arabidopsis thaliana (AT2G15230) UniProtKB/Swiss-Prot;Acc:Q71DJ5] MAVPGGGAAALALSLLLLLLLRSCVSGAAHASSALRQAVPRGDDGGGLCGQLLLPLGYPCTEHTVETNDGFLLSLQHIPHGKNGIADNTGPPVFLQHGLFQGGDTWFINSAEQSLGYILADNGFDVWIGNVRGTRWSKGHSTFTVHDKLFWDWSWQELAEYDLLAMLNYVYTVRQSKILYVGHSQGTIMGLAAFTLPEITKMISGAALLCPISYLDHVSAAFVLRAVGMHLDQMLLTMGFHQLNFRSAMGVQIVDSICDDGHVDCNDLLSSITGENCCFNGSRIDHYLEYEPHPSSTKNLHHLFQMIRKGTFARYDYGLWGNLRRYGRLSPPPFDLSSIPESLPMWMGYGGLDALADVTDVARTIQELRSMPELLYIAGYGHIDFVMSVKAKDDVYVDLMRFLRLRANGSLHSSY >SECCE2Rv1G0123830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828027921:828029030:-1 gene:SECCE2Rv1G0123830 transcript:SECCE2Rv1G0123830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCSHDAGVKKGPWTEEEDKTLVEHIQKRGGKVGSWRGLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFTDEEERLIITLHAGLGNKWSTIATHLEGRTDNEIKNYWNTHIRKKLLRMGVDPVTHQQLPPDQSHHHLNATSTAHLPEALLWAAAAASLGSLDTGALMQAQLLQQLLQAIGSNNGTSGLIANLAAANAMLNSSSSMVPNLLLQDLQPGYLCNTSNFAEQKVVQQQLTNDTSLGTSSFAAAEHADQLCNTAALFASHDAAPAGDWSPTEEFAGLLEPMMELPDLCSLEGDSFWKDILEDSYRL >SECCE1Rv1G0026730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:373964343:373971442:-1 gene:SECCE1Rv1G0026730 transcript:SECCE1Rv1G0026730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGEDGNGHLPRPRRPRRVGGMGSPPGQAASALPHPAPPPCTDYDMAYFKAYSHIGVHEEMLKDHVRTNTYRNAIMHHKDLISGKVVLDVGCGTGVLSIFCAFAGATRVYAVDASDIVLQAMEIVRENELSDKVIVLHGRIEDVEIEEKVDVIISEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYLAPITNSHRYQDSIYFWRDVYGIKMSCMMPLAKQCAFMEPSVETISGENVLTWPTVVAQVDCYTIQAPDLETITAAYKFTSMLQAPLHGFAFWFDVEFNGPVRQKFKKQASQPLDVNMQNSNPSNKKKKADVSIVLSTAPEDAPTHWQQTLLYLFEPIELKKDQIMEGSVTISQSQQHARFLNICLKYFTGDQWYVKESVMR >SECCE6Rv1G0377110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:146876:148755:-1 gene:SECCE6Rv1G0377110 transcript:SECCE6Rv1G0377110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRRALMALRLLFVAVVIRLLFVAVVSSTIASMAKVPAIFVFGDSTADVGNNNYLPGTSARADFPHNGVDFPGAEPTGRFSNGFIGADFLAVHMGFSGSPPPYLSLVAGSGEALGNTTAKEPVAPSASMRGASFASGGSGVLDSTGDTINMTKQIEYFSDLKDQMLSARLGALGASAFLSKSIFLISAGSNDAIDFFSQDPSPDSTALQQFREAVVSTYDSHVKTLYNLGARKLAVIDVAPIGCCPYWRSRNPAGQCVEPLNRLAKSLNDGIRDLFSGLVSEMQGMEYAIGSAYELVSSIVEDPQSAGLTELKSACCGGGGRFNADGGCTPSAGYCGDRGKFLFWDFLHPTQATSRLAGRAFYDGPARFVGPITFRELAEA >SECCE1Rv1G0057750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694871227:694871676:-1 gene:SECCE1Rv1G0057750 transcript:SECCE1Rv1G0057750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAETAAVVATTTTTSETAPMSPASAKAGAAIGPATGATRRGWLRRLVPREYLPRSRRWKPRADSARGAAEGANGVAAAGPSSYRRLASSLSRSLRWKRMPALPSLSLRAGSASAALDEVAFRVMYVVEAVVLGLALSCFFLCCGCHI >SECCE4Rv1G0295060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:895503878:895505362:-1 gene:SECCE4Rv1G0295060 transcript:SECCE4Rv1G0295060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAADALFPLDASTFAAESRAVVDFLEVYYRDIESYPVRPDIEPGRLRTLLPDAPPEDGEPVDVILEDIRRHIVPALTHWQSPNFFAYYPANASTAGFAGEMLSTGLNVVPFTWAASPAATELEGVVVDWMARLVGLPESFLSSRGGGGVLHGNTCEAVVCTLAAARDRALSSLGHEAILRLVVYASDQSHCTFQKGARIVGIPPANFRVIPTSAASAYGLTADSVRDMVKADVASGLVPLYLCATVGTTGLGAVDPVRDLGEVARRYGMWLHVDAAYAGSALICPEFKDYIDGAELADSVSMNPHKWFLTNMNCCCLWVVTPALLTSALSSNPEYLNNVGREGAAEVVDYKDWQIALSRPFRAMKLWVVLRRYGAAGMRAYIRRHVDMAKWFERMLDADERFEVVVPRRFSLVTFGLRPRQEGHDEMKMEALNRKLLVAINASGRAFMTHFVVDNKFVIRMAVGAAMTEMQHVQDAWELVKDKAKEVLATP >SECCE4Rv1G0222160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55053390:55055328:-1 gene:SECCE4Rv1G0222160 transcript:SECCE4Rv1G0222160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQPRTWAVFLLLALLSPWPVAEASHRVFPDLQAEAEAGRAVDVDDSLRTGYHFQPPMHWINDPNGVMYYKGVYHLFYQYNPKAAVWGNIVWAHAVSTDLVNWVMLQPAIYPTAPFDVNGCWSGSATLLPDGTPAIMYTGIDADGRQVQNVAYPKDLSDPYLREWVKPDYNPVIAPGPGVNATAFRDPTTAWRGPDGLWRLVVGTKDNHRGIAVLYRSRDFRRWVPVRRPLHHGDTGMWECPDFYPVTSHGVLGDVKHVLKVSLDLMRFEYYTFGAYDHATETYVPDAALADGNDGLRYDYGNFYASKTFLDPAKHRRILWGWANESDSTADDVRKGWAGVQAIPRKIWLAADGKQLMQWPVAEVESLRGNHVNLTDRLVKGGDYFEVLGLTTPAQADVEVSFAAMDLDKAEPFDPAWRGADAQTVCAARGAGVKGGVGPFGLWVLASDELKERTAVFFRVFKDGDDGKHVVLMCNDPSRSSYADHLYKPSFAGFIDVDIIKTGGKIPLRTLIDHSMVESFGGHGRMSILSRVYPTKAVGDKARLYVFNHGESDIKVTHLNAYDMRSAKINTDINRLIR >SECCE5Rv1G0351610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:701281874:701283673:-1 gene:SECCE5Rv1G0351610 transcript:SECCE5Rv1G0351610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKEEHSSCCEDMISSLPEEILIMILDKLDARTTITTTVLSKRWLDLPRRSHAFYDLSIYDILPPRYHRLKKIAMETKASYEVVKRTQNLTDICDKYDRYNAIKDLSDRWMWKIRLLTPILQRYERLAMRCYVKRVNAFLLPPDNVQRRSFQKLRLQTFWRPCLEEWFAAAVGRWGVEDIEIVVESSCKQFGFYRLDRCQNVRLKRLLLSNFCHYYGTPPLSFQRLTTITLCKGSSRPHLVYDILRDCLQLVDLRLRDGPHSPVPLRANFPNSRLKNLQVDNCDIYKIYLTSVPCLETFACRGQPTKLRYGEVPRLRHVSLNFLETGYAYTGEHDLVPRKNTHPLRRFFKGVPPPVEELFLQLRGHQMWLKPTAIIGPLNNLKKLFIANGPMDWDTFWIFILFAAAPYLQSLHVHFDNNLEKVSAAGWLDVQVEQPQHRHLRELVVIGFDGAAWQTGFVKRIMRASRRLVRVHLLDGHIVEDKEQELVGLEILPHRREWHECERLEVLEELTDDTDFPVHKIVLE >SECCE7Rv1G0477740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:227144857:227150552:1 gene:SECCE7Rv1G0477740 transcript:SECCE7Rv1G0477740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAKPNTSEEASTKAAPAAAAVATAAEATTPPTPSGSESPEGGKEEVGDLVEKVAELVDEIVVISDFRNAYRRQFCNLSRRIRLLAPMMEEVKEGPRPLPEASVAALRQLRDALVGARELLRLGSNGSKIFLVLEREKIMQTFQDITSRLEQALAGISFDELGISDEVREQVELVHAQFKRAKERPDTSDDILFNDLISAYNSSTNDNVNPDIIQRLSEKLQLVTISDLNQESLALHEMASGGDPGAVVENMSMLLKKIKDFVQTQDPIGIPASTTNPSRDDNLTSPVVPDDFRCPISLDLMKDPVIVSTGQTYERGCIERWLEAGHDTCPKTQQKLPNKSLTPNYVLRSLIAQWCEANGVEPPKRLAQLNNTPATCTASEHSKVIELLQKLSSQNLADQRGAAGMLRQLAKRSAENRACIGEAGAIPILVSLLPTTDVSTQEHVVTALLNLSIYEENKARIITSGAVPGIVHVLKRGSMEARENSAATLFSLSLVDENKVTIGASGAIPALVLLLGYGSQRGKKDAATALFNLCIYQGNKGKAVRAGLVPILLELLTETESGMVDEALAILAILSSHPEGKAAISTAAAIPILVGVIRNGSSRNKENAAAVLVHLCNGEQQQQHLAEAQEQGIVTLLEELAESGTDRGKRKALQLLERMNRFLKQQSQAQGDAMAQGHAQSQTLSQALVEAQADTQLEESLPPTSSHLPER >SECCE6Rv1G0394190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:291679103:291696356:1 gene:SECCE6Rv1G0394190 transcript:SECCE6Rv1G0394190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPHLPPRCPPLGPQITGRDDSLFTQSCRFPSEDPFFGEPPCWLDDLIADSGKMPNLPLLRRACSDSDAILDALRTFQSPIFPIQEGDLRPAGETGDSLDAAVRGESDSGVEVSCVYGPNSPRQKCRLTSSESSIVNAVLENVPSNPLQYLTIDPSTSLHGNVANAKGDACDDVNHLDQDKSLKRRSGQRSRVRKLQYIVDLERTVDSLQNMGADLAVRVASHFQLRNALSMENKQLRRQIASLQQAKLMKDGQTHFLKKETERLKQISARHRKSRSVTSCFEPGSIGADPSAINWQTVDMSKLSLNGSPAPVPPRGGYGL >SECCE5Rv1G0367510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:821950351:821954061:1 gene:SECCE5Rv1G0367510 transcript:SECCE5Rv1G0367510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEEEEEGAAVVEEKKDAAKATGKGAAASSGGGPTGTPLPDKKLLLFILDRLQKKDTYGVYSDPVDPEELPDYHELIEHPMDFSTIREKLLSDKYNILEQFENDVFLLTSNAMSYNSEDTVYYRQARSIEALARKDFENLRQASDSEEEQPKTAPRRGRPPKNAKKTVEKVEDGGSPDLSNVKGNRSVDNTETRKRWTSDRTRNNITMRDSSILHHNMFGSFSGKKTEKTGVYSGSLKYGKKTTILDDDRRSTYDQQYSNYSPLFSALDCERKQLIPIGLQQQHAYARSLARFAAKLGPIGWDIAAKGIRRVLPPGTKFGPGWVVDGEPPQNSQCPRVPEVTVPPAKSSIPSCGIPPKSDDLRRSSELSSDGDAASGEEHLTKNQPVASTSAGFDRSSTSASKIPMYENGVNMSGDGVGNTRPTPPLQQQSNSQEVPSNINGMTAVPNTMDQYAGQGLFGQMTHAQVLGMFSGVNGRANGYLHGHQLTEESVKTAQNGVIGKAAGTTSPLQEADHDPKGSYPQDENRSASPSLNAVGSPPRGKAASNLKQPDLVLQL >SECCE3Rv1G0185770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:677970660:677973900:1 gene:SECCE3Rv1G0185770 transcript:SECCE3Rv1G0185770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSGASASRLPSPLPFLARARPRCVSRYSSASACRAASSSAAPVGDGGARKPWLFVGLGNPGKMYQRTRHNVGFEMIDAIAEAEGVSLSSMQFKAMVGKGRIGDVPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVVVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQNRDFPRLRIGIGRPPGKMDPANFVLKPFTKKEQEELDFTFHRGLEAIRIMSLEGFNKSATFVNTAQSSEMLNR >SECCE2Rv1G0132940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894703330:894706516:1 gene:SECCE2Rv1G0132940 transcript:SECCE2Rv1G0132940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSAASLRLLRRPIGLTPLRPARRLLCVASPTALATDGDSSPASMAQSTVVDVNPPRGTRDFPPEEMRLRSWLFDNFREVSRSMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGMPKVRAEAELIQAIVLLFERLGISSSDVGIRLSSRKVLQAVLDMYSVPRHLFTQVCVIVDKLGKLSREEIEKELISTGLSSEAVQGIIEVLSLKSLDKLEEVLGSGVEAVADLKKLFSLAEQYGYADWICFDASVVRGLAYYTGIVFEAFDREGELRAICGGGRYDRLLSTFGTEDVPACGFGFGDAVIVELLKEKGLLPDLPRQIDDIVFPLDEELEGPASSVASSLRKKGRSVDLVEDKRLKWVFKHAERINASRLILVGKSEWERGMVRVKILSTREEFEVKAGELQ >SECCEUnv1G0565170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:390546180:390547049:1 gene:SECCEUnv1G0565170 transcript:SECCEUnv1G0565170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMATPALYMMVALALTSCAFAGRVLNEHPAAPPVKAPLPADPLPGPTEPSADPVVVPVPAPAAALPLPSNAAGAAGVAPAAGVGATANVGAGVAARAGDSPLTFFMHNILGGSSQPSALMVTGVVASADDLASGNTVVPYDSLVQSNGNAVNGGYKNTIPSVNAGGGATPQNLLLGMTTVVDEELAGGHELGAAAVGRAQGFYIASSQDGSSKTVVLTAMFGGEVHGDTLSFFGVHRMAAPESRIAVIGGTGKYETAKGFAAIRTLHPGDQHATDGVEGLLQFDIHLS >SECCE3Rv1G0165380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:173591289:173594075:1 gene:SECCE3Rv1G0165380 transcript:SECCE3Rv1G0165380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like tyrosine-protein kinase PXC3 [Source:Projected from Arabidopsis thaliana (AT2G41820) UniProtKB/Swiss-Prot;Acc:O22938] MHPAFRRCLFLSLGLISASLLALRAGAADAAAMQALRRGLAPPDWAAAPADHCAWRGVTCSAAHEVTAVELPRRGLRGDFSAAAGLRALARLDLSFNALAGAVPAALGALPRLELLDLSMNSLSGPIPAALGRAAGLKFLNLSNNALSGAIPDDLRGLKELQEVQISGNNLTGAIPGWLAGLPGLRVLSAYENALSGPIPPGLGLSSKLEVLNLHSNGLEGSIPSSLFDLGNLQVLILTVNRLNGTIPDSIGRCLGLSNVRIGNNRLAGAIPASIGDATSLTYFEADSNQLSGSIPAQFASCANLTLLNLAYNRLVGEVPDMLGELRNLQELIISGNGLGGEFPRSILRCRNLSKLDLSYNAFRGGLPDAICNGSRLQFLVLDHNQFSGSIPHGIGGCSRLLELQLANNNLSGEMPAEMGKIKSLQIALNLSFNHLDGPLPRELGRLDKLVALDLSSNEISGEIPGDMRGMLSLIVVNLSNNRLRGAIPEFGPFQKSAGSSFSGNAKLCGDPLDVDCGPIYGSNYGSDHRKISYRVALAVAGSCVLIFSVVSLVVTLFMWRERQEKEADAKKAEAGEVAVEARHVMASSVFIESLQQAIDFQTCVQATFKDASAVRSGTFSTTYKAVMPSGMVVCVKKLKSVDRAVVHHQAKMIRELERLAHINHPNLVRPIGYVIYEDVALLLQYDLPNGTLLQLLHNADNCDGESQKPDWPKLLSIAIGVAEGLAFLHQIATIHLDISSGNVFLDSHYNALLGEVEISKLLDPSKGTASISAVAGTFGYIPPEYAYSMQVTVPGNVYSFGVLLLEILTSKMPVDEEFGEGMDLVKWVHSAPERGETPEQIMDPRLSTVSFAWRRQMLAVLKVAMLCTERAPAKRPKMKKAVEMLQEAKNS >SECCE7Rv1G0476010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:196144168:196157541:-1 gene:SECCE7Rv1G0476010 transcript:SECCE7Rv1G0476010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein REN1 [Source:Projected from Arabidopsis thaliana (AT4G24580) UniProtKB/Swiss-Prot;Acc:F4JQZ3] MAAGHTRPPLVSSSQTSENAGQFKICRCGEGDPNSQTGETGDSPPTACPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNGPPRGNEPVVTLGGIDLNNSGSVVVKEDRKLLTVLFPDGREGRTFTLKAETTEELNEWRSALESALAQAPSAASTVGQNPIFNTDGTESSEASTEQSEDKSSVIGRPAQFALIEADGSPAFLEKALRFIEDYGCKGEGILRQSADVEEVKRRFRDYEKGKKEFSPDEDGHVIGDCIKCILREMPASPVPAACCTALVTAYRTDKTKRLDAINKVVYEVFPEPNRQLLQRILKMMMIVGSHKAVNRMSNSALAACMAPLLLRPLLLGECEIDKDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDIEEGSCSSDAYTESDDGDVDKEYSTDNDNHDEDGSYDSGEDDIEEDLDDNTEHSSGGSEGDGNSRINAKRDKMKVGKTERGLSREDKKSNASTDHIAKSSRAKFMKSDSSANRGKRTLWGRTSARKDLSTEDLEGYSDDEALIEKLENNKADLQSKVSKEVKENKNLQTSLEKRREALHERRLALEKEVETLRDQLQKERSLRASLESGLMNMRRGQVSLPSSIDSKTKANLEEVAAAEADVVNLKQKAGDLRGQLSSQVQLSSISLCESCNKQLLDKLAEEKQNAGLSPEISSSTEASSAIGSNALSRMLPNAGMADIVEQLRRQAAQNSSSSTGAQRLLRQNSNSLHRLQGPNAFSSSRTEEPGGGPPTALAKLTNRLNFLKERRALLASEMQNLDLARPPTAPPTAPTPNKDST >SECCE5Rv1G0322200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:417142945:417148588:-1 gene:SECCE5Rv1G0322200 transcript:SECCE5Rv1G0322200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycolate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G47760) UniProtKB/Swiss-Prot;Acc:Q8GWU0] MTEGLLTADAARSLVGSVDAFLFDCDGVIWKGDELIQGVPETLELLRKLGKKLVFVTNNSRKSRSQYSKKFKTLGLEVPEEEIFTSSFAAAMFLKLNNFSPEKKVYVVGEDGILDELKLAGFECFGGPEDGKKNIMLEANFYFDHDKSVGAVVVGLDQYFNYYKMQYASLCIRENPGCLFIATNRDPTGHMTSAQEWPGAGTMVAAVSCSVQKEPIVVGKPSSFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGVTTLPELQDASNTIHPDLYTNSVHDLVKLLQQ >SECCE1Rv1G0041280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:570702645:570702893:-1 gene:SECCE1Rv1G0041280 transcript:SECCE1Rv1G0041280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGYQAQAPAMPAAAERAGAGSGGGNKAPTGSTPRRGQIKEKIIKDVITAVTNLAAGLVRADKNGAGTGGLPAAGDADAK >SECCE6Rv1G0441490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811062796:811065570:-1 gene:SECCE6Rv1G0441490 transcript:SECCE6Rv1G0441490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGQLLVTLGGALAKEAAVFGGALLGREASALRGLFSKIRNSKAELESMQAYLQEAERFKDTDKTTTIFVSEIRGFAFQIEDVVDEFTYKLEDCKHGGFAGKVKKRFKHIKTWRRLAAKLQEIEVKLKDAKRRKKDYAVTGRFASAARSTNQGQALHFTRDEDLVGIEENRDRLIHWLTGGSGSGDVTSVWGMPGVGKTTLVAHVFNTVKVDFDTAAWVTVSESYGIEDLLKKIAAQFGFAVDIADIEMRDLAVSIHNYLQGKKFIMVLDDVWAARVWSEIRNVFPTSNCTGRFVITSRKHEVSLLATGESAIHLEPLQEHHSWLLFCNGAFWNNDDKECPVQLQELARKFIAKCQGLPIAIACIGRLLSWKPPTSAEWEDVYRGLDSQLAKDVIPDAHMILKVSLEDLPYDLKNYYELKRRAIVRQWIAAGFIKEKEDNRTLEEVAEGYLTELVNRSLLQVVERNHTGRLKHCRMHDVIRLLALNKAKEECFGKVYNGSATGAFSVEGVPRISIQGENLEQLSRSGATHLRALHVFGRYIDIDFLKPILTASNLLSILDLKGTRIRMLPNEVFDLFNLRYLGLRHTEIESLPEAVVRLQNLEVLDAFGTKLTYLPNNVVKLQKLRHLYAWTSGISVGGVKVPNGMQHLAGLRALQGVNTTAEFLREVGVLTELRTFGVCKVRNEHSADLSNAITKMSHLVHLEILAAAENEVLRFEGLYIPPTLSWFCLGGQLENTLMPELFSSWSHLKSLTRLTLAFSNIDEETFSCLRVLCGLHFLELIKAFEGERLHFTAGSFPKLRYLCVWGVAQLKQVQIEEGAMQDLVELSFTECPELKVLPNGIEHLAALEKLILKDTSEELIEKLRQKRDSDECTKHVMKISHIRNVTVGLRQKGLWERIR >SECCE5Rv1G0323060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:429769551:429773256:1 gene:SECCE5Rv1G0323060 transcript:SECCE5Rv1G0323060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MAAAAAGAGGRPWRVIPRPVMETVLHNHALHPRVPQPLLLHGPRGVGKSTLLLNRLLPQWSEPPHYAAFVDFLHPSPSSPAHAPWSLLADTPPSLPSLRLRLESALEELTRAAVLRGAVGSKDVLAALSRSHGLHTVLSRLAGPASRRGQGTNSVPVLWARAVLAATSSARGDDSTFCIGEGEATNCSMEERAYMQEAMAALRVAKKVLGMQEGWRKEAVREMNRTRRFSRPLANSATDWPCLLLDVLSGAAEEDFFQPKLVLNNVDALRKATCEDDTMVPAAVYHDSFIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFSEQEWKVVDELLGPNPRQLCEIYMLKQKANGPEVLHDTNIEEIIDTYLAHLQVSVVNPAMQTALSMVQKFASDVREGKVPENRLSFGAPWRHPPRSDNPDMCYKWAKIQLMDFVQSYVNTEFGLNYLADDSLEILDDPAAVAMMEVGLLYQQRDPSFVRPITRGIQRCLARWLVQQRLELTLQESISFSWQRVIRGRSYRHLMKEVGYK >SECCE6Rv1G0381220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:30383213:30384493:-1 gene:SECCE6Rv1G0381220 transcript:SECCE6Rv1G0381220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNKDDAFYRYKMPKMLTKIEGNGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDDKTGVSIVNGAHDTAKLAALLEKFINKYVQCYKCGNPETEVVISKKQTPSLKCAACGFISDVGMGDKLAKFILNNPPEQKKGGKDKKAMRRAEKERFKEGQAADEEMKKLKKDGKKKGASSKDSTKGVAAKKNATAGGSDEEQYATSPTHSQHAAADDDDDGVEWQTDTSAEAARKRMEEQLSAATAEMVMLAIEETEKKKRKQAKMTPYDELVEEIKANLGNAATAAQIKAVLSSSTLPAKDGMDALFEALFHGVGKGFAKDVKKNSDYLAAAVPDEGAQMLLLQAIEAFCGRCSAEALKEVPVVLKYLYDGDVLEEEAIVQWYDEAVAVSKDSQVLKNARPFVVWLQAAEPDEE >SECCE1Rv1G0009050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:51917531:51918785:-1 gene:SECCE1Rv1G0009050 transcript:SECCE1Rv1G0009050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEDLSESEEEDDEMMLLILPALYLASAGYEAKRTRYRSGAEWICEVLEDDRGQGYAKLRVEPHILREFARYVRSKHLLRNTRGVSVEEQIGMFVYMLSRNANFDQLSDRFERSRETIHRHIKACFDAIVSLKGDFVKHPGTKTHWKILSDPHYGPYFENCIGAIGGIHVPLTISDREAAPYRNREGSITQNVMLACNFDLNFVHVSSGWEGSASDAEVLHSAIESGFQVPRGKYYLVDGGYPNTASFLAPYSGVPYHIEEQEQRSCHARDYKELFNLRHAQLHNHIKDAIGLLKMRFPVLKVATSYRLETQLKIPEAAVVLHNIIQRQGDAEGSSVNQTIPINTRESGDDTHGHDVSALNNQCNTGDALRDDIAKRMWAAYIINM >SECCE3Rv1G0171590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:274143818:274148293:1 gene:SECCE3Rv1G0171590 transcript:SECCE3Rv1G0171590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGGVFFKILAFLCFVRLSQGRNHSATPDLPPLRKASSFSKIKHEAYDYIIVGGGTAGCPLAATLSNKYKVLLLERGGSPYGNRNISYLENFHICLTDESKNSPSQGFISTDGVINSRAKVLGGGTCINAGFYSRANRRFVQDAGWDEELVNQSYPWVEERIVYWPKIAPWQAALRDGLLEAGVSPYNGYTYDHLFGTKVGGTIFDEAGYRHTAADLLAAANPNNLRVLLHASVNKVIFKRRHGHQKQNAIGVQFKDENGGHHQAFLSQKRGSEIIVSAGAIGSPQLLLISGIGPRSELKKHNISIVLHNEHVGKGMSDNPLSSVFIPTKDPPKQSLIETVGITDDGVFIEASSGFGQTADSIHCHHGIMSAEIGQLSTIPPKERSLEAVHKYVRNKNSLPKEVFHGGFILSKIDGPLSTGNLVLVDTDPNSNPNVTFNYFKHPQDLRRCVYGIKTIERIISTNTFSNFTPKDGGYSMEKLLNMSVAANINLIPKHTNDSTSLEQFCRDTVVTIWHYHGGCHVGKVVDQQYKVIGTSGLRVIDGSTLSRSPGTNPQATVMMMGRYMGVKILRERLGRAAGV >SECCE3Rv1G0144030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3017074:3017828:-1 gene:SECCE3Rv1G0144030 transcript:SECCE3Rv1G0144030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNAMMVSMSAHSEDQQAQIIYHVTSSLRNPAPYAVLSDSGHRYFFDNPPCTGRNGEFAHLKKMRFFSTVTWLTLYMTIKYKLPLEMLHLANILLCGVFSRHYNEASRKYRFVMQLIELYAPYALFKGCFDDMNSQKLRMAMNKHGNINVAYCFDFDPKSLDWDGYFYSVHIPGVLKIMGD >SECCE2Rv1G0116910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:768664753:768668868:1 gene:SECCE2Rv1G0116910 transcript:SECCE2Rv1G0116910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAGLRWLLVLAWVAAQALAADDSKAVVGESGPRRHAYAAMMYMGTPRDYEFYVATRVMMRSLRGLGADADRVVIASLDVPPRWVQALKDDGVKVVSVDNLKNPYEKQDNFNSRFKLTLNKLYAWSLVSYDRVVMLDSDNMFLQNTDELFQCGHFCAVFINPCIFHTGLFVLKPSMEVFKNMLHELAVGRENPDGADQGFLASYFPDLLDQPMFHPPVNGTKLDGNYRLPLGYQMDASYYYLKLRWSIPCGPNSVVTFPSAPWMKPWYWWSWPVLPLGLSWHEQRRENLGYSSEIPMALFQALLYIGVIAVNRLARPSLSKLCYNRRMEKSTMFLLTTLRVVAAWSILAAYTIPFFLVPRTVHPLLGWPLYLLGSFSLSLIVINFFLLHPLAVLTTWFGIIGTLFVMACPWYMNGVVRALAVFAYAFFCAPVVWASLVKIMSSLQVLIERDAFRLGEPNQTAEFTKLY >SECCE2Rv1G0092740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:366191377:366212034:1 gene:SECCE2Rv1G0092740 transcript:SECCE2Rv1G0092740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSCALVSALVVGRRRGPSRQRAAAAGVVRCSLDSKVSDMAINAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYDSRTFIGGKVGSFVDKQGNHIEMGLHVFFGCYSNLFRLMKKVGADNNLLVKEHTHTFVNKGGIVGELDFRFPVGAPLHGIQAFLRTNQLKVYDKARNAVALGLSPVVRALVDPDGALQQVRDLDDVSFTDWFMSRGGTRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVLYDKSPDGETYVKGLLISKATSSDIIKADAYVAACDVPGIKRLLPSEWREWDMFDNIYKLDGVPVVTVQLRYNGWVTEVQDLEKSRQLQKAVGLDNLLYTPDADFSCFSDLALSSPADYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVEKQVLDLFPSARGLEVTWSSVVKIGQSLYREAPGNDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRRTAAYICAAGEELSAIRKKLVVDHSEKASRKVQMSQTS >SECCE7Rv1G0505510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:735989365:735989805:-1 gene:SECCE7Rv1G0505510 transcript:SECCE7Rv1G0505510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHDGINIPDGKFYLGDVGYACRSGVLPPFRKIRYHLNEFSGRSYHMTPQELFNLRHSSLRVMVERAFGAVKNRFKVKLILACCVIHNWILQWGIDEFVPEKEDVTPDEMISSGHGVEAFNNKIWKNKRLKWAQAMWDNRGHKRI >SECCE1Rv1G0027320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:381650547:381651665:1 gene:SECCE1Rv1G0027320 transcript:SECCE1Rv1G0027320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGWSSLPADLLNQISGRLISDADQLQAHQVCAHWRATIPRPAAYRPWVVAARQAPDGQGPVGEYSFLLPRGVQGVDFKAALPGLPYCCGTPRGWLALADDVRSPTRLVLWEPHSGTQIPLPCLSSVIQVFLSDDPLESSHWMAVATQLRRPRAHIIFFWRPGDAAWTGPAAVPCAKLHSVEFHAGNMYCIDRMSNLSIYDLRLGTRSPPVLLQCLGMSPSQASESLATRMSVKDCVRAVHAVACRGELLLVLLFHGRHPSLMEVYRPPWTLGCAFQVGERVTDLGGYTLFLGRGDAVALSAKEYPAIRGNCIYYLVHNLPKYRKHWAIVFDLGTGDVDDIPYPEVHKQENGCWPYSWFCPRRPFLKKQLA >SECCE1Rv1G0007690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:41988551:41990632:-1 gene:SECCE1Rv1G0007690 transcript:SECCE1Rv1G0007690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGHIAVEVDDEAERELQLLVAAGPPVPYTLSFTDLSYRVRQGRGGLMGCLPSRASNRLASTDAPPANTKALLDGISGEAREGELFAVMGASGSGKSTLVDALAGRIARDSLRGSVTLNGEPLHGSRLRAISAYVMQDDLLYPMLTVRETLLFAAELRLSRALSPAAKRERVDRLIDQLGLSRAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRTIARSGSVVVMTIHQPSARILGILGRLLLLSRGRTVYAGTPAGLKPFFAEFGAPIPDNENPAEFALDTIREREAQQPDGTGPLADFNARWQATHKPTDGDNAKRVSPMTLEYAIAESVARGKLVAGSGTGTVSLQMPTYANPMPVEVWVLIKRAFTNTRRMPELFGMRLGTIMVTGLILATIFLRLDDTPKGVQERLGFFAMGMSTMFYVCADALPVFVQERHIYLRETAHNAYRRASYVLANAVVSFPPLVALSLAFAVTTFFAVGLAGGASSFLYFSLIILASLWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYISLVKYPYQAVLQNEFGDANRCFARGVQMFDGTPIGGMPEAIKMKVLGAIGNALGTHMTSHTCVLTGADVLSQQAVTDLGKWMCLLVTAGFGFFFRALFYVVLLVGSKNKRK >SECCE5Rv1G0367150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819564199:819567716:1 gene:SECCE5Rv1G0367150 transcript:SECCE5Rv1G0367150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase, isoform 11 (EC 2.7.1.-) (CDPK 11) [Source: Projected from Oryza sativa (Os03g0128700)] MGNQNGTPGNDYYSRFPREHPASRYADGIEEDRYSDLTKSDRPWPDADSFRPTAAGVLRQGLDPTSISVLGRKTADLREHYIIGRKLGQGQFGTTYLCTEISTGCDFACKTILKRKLITKVDVEDVRREIQIMHHLSGHKNVVSIKDVYEDVQAVHIVMELLPGGELFDRIQGNGRYSEMKAAEITRIVVSIVAMCHSLGVMHRDLKPENFLLLDEDDDLSIKAIDFGLSVYFKPGQVFSELVGSPFYVAPEVLHKRYGPESDVWSAGVILYVLLCGVPPFWADTQKGIFDAVLKGHLDLESDPWPKISDGAKDLIRKMLCNCPSERLKAHEVLRHPWICQNGVATDGVLDPSVISRLKRFSAMNNLQKLALRVIAERLSEEEIAGLRELFKTVDIKNRGVITFGELRKGLTRYGTELVDTEICDIMEAADTDTDVTINYEEFIAATMPLNKIEREEHLKAAFTYFDKDGSGYITVDKLQQACAEYNMEGTLLEEIILEADQNNDGQIDYAEFVAMMQGNTNGGNIALGRPTMETSLNVTLRDAPQVH >SECCE6Rv1G0416540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649179330:649180574:-1 gene:SECCE6Rv1G0416540 transcript:SECCE6Rv1G0416540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPASTPNWSSLLPELLGELIARLPHIADRARFRAVCRSWRSAVRLHVSPRRRLPWVVLLDGTFLTLSDGGIHRTLFGNNTECVGSTGDWIALDSKDEATQTDTYRLHNHFSGATVPLTELDSIIGKVLEDFEIRKVVMRSTPRDLIAITANIWKCPLILCRPGKGVWVPKLLAMPYFCICDILFSGDNMLYAITKAEDLFALHLAEDGDGKPIVTNVKRIIRHAPGHEDDMYDDGMWKRLSDIDSSSNEDAHDELLADDNDTDHDRQHFAFMKDKTFSECEDGAREGWNEIHISRHLVQSQGKLLMVKRERLIAAITPTHHTRKVEVFEANMDACAWVPAECGLGGGQAIFTSYRFSNTVCTGGEVEEDVIYFPDTNDVFDIRSKTIRPLMHMNPLHDRWRATWVFPPNLVV >SECCE2Rv1G0130660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:877939197:877944925:-1 gene:SECCE2Rv1G0130660 transcript:SECCE2Rv1G0130660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLSSGCFVEERAALMDIRSSLRRAHSLSALDSWGEDGDDCCSWERVKCNNTTQRVSHLNFSSVCVTNSEGSWYPNSTAFSELHDLQYLDLSYNYPCSLALEGLVGLAKLQYLDLSYSAWGGRFPEFIGQIFSLEVLVLNGNGMTGGLPSTAVENLRNLRQLNMYWNRFNGSLPALLFALPHLQSLDLSGNDFDGHIPIGSFSGPMSLEVLDLSFNRLNGTLPVRAFKNIRSLNLGHNQFSGSLPVSLFALPQMKSLDLSYNNFEGHFPTSVSSEPVQLEVLHLESNMLSGALPTERETKNLQNLRELYLSSNQFSGNIPTSLFSLPHIERLNLSNNLLGGSILINPSSNLSLTLKSLRFSQNNLSGRLSFISLQSLTKLEEIDLSGNVNLTVDINIFGWTPPFQLKQLLLSGCDLDKNIIAEPHFLRTQRRLEVLHLSNNNLSGSMPNWLFTKEATLLDLNLGNNLLTGSLDPIWHTQSSLGVIKIHMNHITGQLPANLSSMFPNLHVLDFSSNDLFGHIPTSMCEISSMDLIDLSNNKLSGGVPACVFTNFPWLYLLKVSNNRLGGPIFGGMNNLSNNIYELWLDGNKFNGTLPHDLAGEFLEVIDLHDNELSGELDTLFWNLPYLLALNLAGNRITGKIDQHICDFTRILLLDLSQNKLTGSVPNNCFIVLNFLNLAGNSLSGNISFLLFNTSSLIALDIRHNQFMGNLNWVSYLENIRLLSLGGNKFEGQITPTLCRLMYLRILDFSHNKLSGSLPACIGNLSFKGDRNDAIFQSVYESNTYDYFSGYDLRGFTFPTKGNTYTYGRNVFDSMAGIDLSANMLDGEIPWELGNLSHIKSLNLSYNFFIGSIPATFGGMEEIESLDLSHNELSGPIPLQLTQLSSMGVFSVAYNNLSGCIPNSGQLGFGMESYLGNTNLRQITHGNLCAAPGPDPAAGKEVEETTSDPVLYVVTAAGFVLAFWATIGFSFCHPYGRSVMLKL >SECCE4Rv1G0287020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853011005:853017390:1 gene:SECCE4Rv1G0287020 transcript:SECCE4Rv1G0287020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVLDAFASYVQNMLTEMVTEEVHMLLGVGDEIGKMEVKLEDLKNFLTDADKRNITDESVRQWVAQLKRAMYEAADILDLCQLKAMERVPSTKDVGCFNPLLFCMRNPSHAHDIGTRIKALNKKLDIIKERSAAFSFINLASYEDRSNKIHGSHSGNKRRETSGEFDRSGVVGEKIEQDTRNLVEIMLSEKEGNTNIMVVAVVGVGGIGKTTLAQKVFNDKALNDEFEKPIWLSINKDFDKVELLRTIITQVGGVHGGEKAMAVLQPILSTTLKGKKLFMVLDDVWNHGAWDDVLKTTLANVVARGSRVLITTRDETVARRMKAVLPYHHVDKLQEEDAWSLLKKQIISSETEDRETDMLKDIGVQIVARCDGLPLAVKVMGGVLCQKDKKHSEWEMVLNDSIWSISGLPTELNHAVYLSYEDLPSCAKQCFLHYSLLPKTGVFSRDEIIGMWISEGFLRGSSDDLEEVGSKYYKELILRNLIEPNIMYADQSVCNMHDVVRSFAQFVARDEALVAHSGETNIVSKLSAHGFLRLSVESKASESDGLDWSSLQAQKTLRALISVGCINIKPGDSLVHFPSLRILHIDSALVVALLESLHELKHLKYLSMKNTDISSLPDSIGKMKFLQHISLRGCKQFVNLPSSIVKLRQLRYLNMRATSIKGIPRGFFALTNLRIVLGFPAQLDGDWCSLEELGPLSQLKDLELDGLENITASSSAAKTKLGEKVHLTNLTLNCGSILGDDGLIKEEDGVSEKEQQQIEKVFDELCPPPRLEILDIKGYFGRWLPMWMMSSSVVPLNSLRILLIDDLACCTQLPDGLCQLAYLEFIQIERAPAIRRVGPEFMQSYHHHSSHPSHMVAAFPRLHKMQLIGMVEWEEWEWEEQVQAFPVLHQLLLRNCKLRCLPPGLASQARALNTLSIEYVQGLISLENFPSLVELYLDENLDLERITNLPRLQKLTILNCPKLKVLEGLPAVQRLMLVDELMETLPGYMGDINPRHLVLYCSLALLAPISTGQSGPDWDKFSHVENVKAYAREGDNINKWYVLYTADPYNLETNVSISVFKSRGTLTSFEDMQRFEYVFKMTRKTFNYICSLVYVPSLEDMNKCTFVDGRVLCLEDRVAVALRRLHSSESTETIGSFVGVNESTVMLVTQRFIAALFERATHHGYWPDSSGMDKIKSMFGSIHNMHNCCGVICTTNIPFVPTASNHEKNDNTWMQAVVDPRMRIMNDWLGPADSMNQLSLLQDSEIFKGSEKGDWLNGSKLKVAVVGSEVGEYIIGDEGYPLLPWLFTPYQEEDLSEPKAEFNRRHSAATTCALEALARFKDTWKYLQVEMSYAVNHDIIHACCMLHNIIIDMEDDAAMPSPDPTDWNHCEDVRQLENEDAVRARDMLAQYFLTTMSSKSGVGTVDAEEDDEVAATGSGDENKEQEAETTAAEEERQC >SECCE1Rv1G0003520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14577713:14579450:1 gene:SECCE1Rv1G0003520 transcript:SECCE1Rv1G0003520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEEEEEEEVVDMEPLFFSEAYVAKMVAEAEEEEERCRREEEEKMQKEKEYRRRRKAHEEVMKSIIQRDPKLERNVYTRFFLRDFSVFDIDEESSVPPMRYTDSIYQDEFGLEDSANILSVSIASSDVGFPVNVYGRVIARDSIDYKCIYLFHRNRDDCQRVNKDGMLILTGPSRGLVLVDFIYLEIDLKIREERVFLDRPFSKGLISIDGRVLSREKDIVVRSETLESWLSTTEVRFTTVLNAVECTFEIKLIEGFFKGNITVGIADKARKLDTEQTIVIHDSTADGVVTSDGSGVIKLRRSVITICLERMVMFHINNEAAGVCAERTFDFTPRRTGADEEKISCGAGKFMFRVVWSLMDFRL >SECCE7Rv1G0524730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886992791:886993231:-1 gene:SECCE7Rv1G0524730 transcript:SECCE7Rv1G0524730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEMYVHHAEPLRANTATCIPRLRGGGVRRRPPRGAAPAPAASASVMDRVRDVLLRLAMLSAASTSPKAGSGRLQQHTTAAAPTRAASVRMSPSYSESYPSDAVDDCIEFLKRSAAGNGAVVSAPAGAAAAESASSAPPPSPLHA >SECCE5Rv1G0312550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:237098445:237106622:1 gene:SECCE5Rv1G0312550 transcript:SECCE5Rv1G0312550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCVGPRRSFAKNGFFSTISGSIWPNRSAAPSSSPSEPTTTSRSVPVVQPTASVPPPEVKPPAPEQTPTAPPAPIVISEPARPPPQQHSQPAEKEADTPPPPPPSQQQPSSQPAQPQPRQQSRPKKPSGHIKRISSAGLQVQSVLRRKTDNLKDKYSLGRKLGQGQFGTTYLCVDKATGLEYACKSIAKRKLITDEDVEDVRREIQIMHHLAGHPNIISIRGAYEDAVAVHVVMELCAGGELFDRIVRRGHYTERQAAELARVIVAVVESCHSLGVMHRDLKPENFLFLGNEEDAALKTIDFGLSMFFRPGEMFSDVVGSPYYVAPEVLKKNYSQEADVWSAGVIVYILLCGVPPFWAETEQGIFEQVLHGTLDFESDPWPSVSEGAKDLLRKVLVRDSKERLTAHQVLCHPWLQMSGEAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINYDELKAGLERVGANMKESEISQLMQAADIDNSGTIDYGEFIAATLHLNKVEREDHLYAAFQYFDKDGSGYITADELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYNEFVAMMQKSTAGFGKKGHQYNLSVGLRDALNLKSNS >SECCE1Rv1G0034140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:477452089:477452460:-1 gene:SECCE1Rv1G0034140 transcript:SECCE1Rv1G0034140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLASSCAALVAQRRGFSAAITAIGVSAKKVEEKAVKLGTPATEETTEDKTAFWEPDPKTGDYRPVTGTKEVDPADLRAEMLNRRLLQQQQ >SECCE3Rv1G0206630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:910048327:910049811:1 gene:SECCE3Rv1G0206630 transcript:SECCE3Rv1G0206630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGARPVRWPRVLAPAHLAGAIRRQKSPLEAAQLYADAPGWYPRGSYRHSDAVHASLLAAAASSSALLPSLLRRVLRRSPSADALLAASIPHLPPADAASIFRSSLPASPAPSWSLSFSALLRRLLSRGLLPEAARLLDEFQGRPEVSVASEDLTLLIEEMCRLRRPDLALQVLEEMSNQCLEPERGAYRAIVPALCDAGMLDEATHVVYSMLWRVSQRGCDGDVVVFRALLVALCAASRGELAEQVLDKIIRKGLRTPGSRRSLRVPMLDVLTIEDAREAIDRALVVRGGRTVASFESIILDLYDEGRLNEADNLFQDMGKKGFKPTICMFEAKIVALCKEQRVDDASKVLEEELAKNGLVPTVTTYNLLMKGLCDAVQAMKALGYLKKMDKQLGCVARKETFSILISGLCSEEKFVEAARVMERMVKNHHRPDRNEFSNVIEGLCSVGRTYDALVWLEEMVDHGETPDVRVWSCLVSSSLGVGESVMVAAM >SECCE4Rv1G0292610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883267736:883269109:-1 gene:SECCE4Rv1G0292610 transcript:SECCE4Rv1G0292610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLRNSRSVFSRLMTLRRYCNPTKPSPAPRSCSGVVDSIRGLFFRPVKPAAYCPTPALSQPVALSRGQPSIPRPRGWYRDPWKVARASGAAVLLPYATMFTLFAAVSYDETVPFTNRTHRVVFSPEFDRELGDDLFEKIKKERSKDILGPSDPNTVRVRRIAADVIRGIQDIFPTDGLGDDDAKQGPQTGHLRDLQWEVIVIRGESDNACSLGGGKIVVFTGLLDFLETDAEIAAVIAHEAAHVVARHFMELTILIPRILRRVLPFSRRTELEADLIGMMILAAAGFDPRVAPEIHQKRESTVLNDYIGTHPSSKKRSQVLSQGDVMKEALELYSKQVCAGKGADRRFPYGGRISDTLMSE >SECCE6Rv1G0408590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:574536424:574545313:-1 gene:SECCE6Rv1G0408590 transcript:SECCE6Rv1G0408590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAMDAIVELVELSESMRQAASLLADDDPSDDAAPRRPSTFLNAVALGNVGSGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSTKSIVLQIDSKSQQVSSSALRHSLQDRLSKATGPGRSRTDEIYLKLRTSTAPPLKLIDLPGIDQRVIDDSTINEFAGHNDAILIVVIPAMQAPEVASSRALKLARDIDPEGTRTIGVLSKIDQAATDAKTVACVQAILSNKGPRTAAEIEWVALIGQSVAIASAQSGSVGSENSLETAWRAEAESLKSILTTAPQNKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQVVKDELARLGESMVQSPEGTRAVALELCREFEDKFLAHVTSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLKSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNATANGTPGLGRYPPFKREIITIASNALDTFKSDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDEVKTKSSKKAQDAEQSMMNKGSEQSKSSKDKSNQQDKDTKEGPNLQVAGPGGEITAGYLLKKSAKNNEWSKRWFVLNEKSGKLGYTKKQEERHFRGVIVLEECNLEEIEEEELSKTSKDSKKANGPDKGPSLVFKITNRVAYKTVLKAHSAVVLKAESMADKIEWVKKIKGIIQSKGGSVKSSNTPEGGSMRQSHSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISSQSNARIEELLQEDHNAKRRREKAQKQSTLLSKLTRQLSVHDNRAAVSSYSDDTSGPESGPQSPSQSGEDWRSAFSAAANGSADRSSSQNESRSRSADSRGRRYENGDANGANSGSRRTPNRLPPAPPKY >SECCE3Rv1G0198530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:833049059:833055772:1 gene:SECCE3Rv1G0198530 transcript:SECCE3Rv1G0198530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYIAREATKLWRKVCAETSVELQLLFEKWHLLLAGLVFQYIHGLAARGVHYLHRPGPILQDMGFMALPELGQDKGYLSETVFTAIFLSFLLWSFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPKNVLEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRLIKLFAWLMAIVQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDKNLPEMPDRTSGLSLLPVSTKDKSDRMKEEPHKPEKDNKMKEELHKLLNGNTVDSTDRRQWMPMNGKHGEDMNHTLSDAAPNGT >SECCE7Rv1G0522250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876638264:876639991:1 gene:SECCE7Rv1G0522250 transcript:SECCE7Rv1G0522250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGLPLAIVIMAGHVACNPNKSAAEWSKVCKSLFPESGKDHGKEGGRDLTQEEVGRIVSHCYNDMPADIKTCSLYLSIFPKGQKISRKRLTRRWIAEAFVAEKQGLSVEDVAETYFNHLIRRKIIRPVEHSSNGKVKKCIVHDMVLEHIVAKASEENFITVIGGNWLMQLPSSKVRRLSLQESDSKRANDTEKMNLSHVRSLTMFGSLNQLPSHSFKFGIVQVLDLEGCMGFKGHHTEEICKMLLLKYLSLRRTNTKQLPKAIGKLENLETLDIRETSVVVLPKTVCQLERLVNILGGDKRTRKALKLPEELNKKKKMKALRILSGIEIVGGLADLHHLTELRKLAIYKLSTMSDDPSFKDLSSSIEYLGGYSLHTLIIDDESSKFINSLDDLSSPPKFLVALELSGKMVQLPSWITQLGALTKLTLSVTALRTDNLLLLSKLDALFSLTFSFRAEKQDSETLTILAENKLSSDGEITIPDAGFKSLKLLRFLAPLLPVLSFSKNSMRELERLELRFSMLEGLYGVENLAGLKVVHLTLEDKDGEHMTKEVQHEVEAAVKRRSDGKAPKIILDQ >SECCE3Rv1G0204120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:889796409:889798609:1 gene:SECCE3Rv1G0204120 transcript:SECCE3Rv1G0204120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPASGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSTANKLMAVNWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKGATA >SECCE2Rv1G0078610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:108928154:108929752:-1 gene:SECCE2Rv1G0078610 transcript:SECCE2Rv1G0078610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAGSTQTLTPQHVADSQPAMENLPAELLADILRSVPPRSLAVCRSVCKHWCTVVDSHGLLLAVTHIVPCSLHGIYVNYAMMRRSGFFSRVAPRTTSPIDGMLSFLPGQLMRYRSVLDHRNGLLLYENSQGMYVCNPATRRWATLSEPPRVQTSYSHLPFYRHRMYLVFDPTMSLHYDVLFFPDVPEKPKPPQLRPGESSQISRADYEHERESLGSMEWPPCIYPLQVFSSKTGRWEEKQFIREGDAAVTVSDVWLDALAPTSSRNSLQRHAVHWRALFYVHCDSGFIMRLSLEKQNYLVIKTPKLDTTGFGVDATGRETHLTTYGYLGKSKHGVYYTALCGYTLQVWVLRKTLESCETPEWELKHQANLTNLNIHHDQHLRGERYEKCWILNGQSEESEHGWDSSDDSAIDLEGGSDMDYKDYHFAVDFLGYHPYKEIAFLGNRFQGFAYYLENSNLKYLGSPYPPYLGNRDYGLRPILESFIYTPCMDDMLPIQNDA >SECCE2Rv1G0079370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:118091343:118093187:-1 gene:SECCE2Rv1G0079370 transcript:SECCE2Rv1G0079370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEQQQVVFRSTLPDIGIPDHLPLHDYVFERLAERRDRACLIDGATGETLTVGDVHRLSRRVAAGLYSLGVRHGSTVMLLLPNCVEFALAFLAASRLGAATTTANPLHTPPEIAKQAAASRATLVVTEPAFVAKVRGLAGVVIVATGDGAEGCASFADLTAADDSALPEAPIDVANDVVALPYSSGTTGLPKGVMLSHRGLVTSVAQLVDGDNPNLHLREEDVVLCVLPMFHVYSLHSILLCGLRAGAALVVMKRFDTVKMMELVERHGITIAPLVPPIVVEMAKGDAMDRHDLSSVRMVISGAAPMGKELQDIIHAKLPNAVLGQGYGMTEAGPVLSMCMAFAKEPSPVKSGACGTVVRNAELKIVDPETGLCLGRNQPGEICIRGRQIMKGYLDNPEATAETVDKDGWLHTGDVGFVDADDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHPGIADAAVVPMKDDASGEVPVAFVVPSSDGADITEDEIKQYVAKQVVFYKRLHKVFFVSSIPKAPSGKILRKDLRAKLAAGSC >SECCE4Rv1G0225680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:98000557:98014030:-1 gene:SECCE4Rv1G0225680 transcript:SECCE4Rv1G0225680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHTTPTQSDLECMLRDEKAEPKALPLSLLEDITNGFSDEREIGRGGYAVVYKGMLENGAVIAVKRLSNTHMCEKEFQREVECLIKVNHKNVIRFIGYCADSQGRAESYNGKFVIADVRQRLLCFEYLDNGTLDKYITDASSGLDWRKRYQIINGICKGLHYLHKNRILHLDLKPPNILLDDNMFPKIADFGLSRCFDVKQSRAFTTKIAGTLGYLPPEFGSREITYRFDLYSLGVIIMEILTGKRGYEAVEDILESWSIRLEQSQKDIQLEQSQKDIQLEQIRVCAEIGIECIESNPLKRPVTHHIIARLPETTDGPTEPAGTRSSTPQADDPHKGALKASSEISSVSELADEVSSMTVSKTSSDQLRRISPPKGLRETNTKDFSFPGLSSFLLDRTMSGQISEVVLLAVTKIGDILLEEATKGTIAKLSEKFTNLKELPVKVEEIKKVLTMMGNVIRQIGRVYLTDDVVKSWIGDVRKVAYHVEDVMDKYSYHLRQLQEEGFLRKFFIKGTYYARVFTEITDEVVEVEKEIRLVIKMKEQWLQTSQLVANPLTEMEIQRSQDSFPELAKDGDLVGIENNRIWLTSLLYSEEPKPENTVITVSGMGGLGKSVLVSNVYEREKINFPAHAWIVVSQVYTVDALLRKLLWKIGYTEQPFSAGIDKMDVYDLKKEIQKRLQNRKYLIVLDDVWEQDVYFQMHDALQDLPGSRIIITTRKDHVARISSSNRHLELEPLSKSDAFDLFCRRAFYNRKGHMCPKDLEVIATSIVDRCHGLPLVIFTIGGMLSSRRRLDIWTQKYNNLRSEWSNDDHVRAILDLSYHDLPYDLRLCFLYCCLFPEDYHMSRASLVRLWVAEGFVVRKEHQTPEMVAEGNLMELIHCNMLQVVEYDELGRVNTCKMHDIVRELAISVAKEERFASDYDYATMILVQQDKDVRRLSLCGWKDDRAVKIKLPHLRTVLSLGGTASSPDMLSSILSESIYLTVLELQDSEVTEVPASIGRLFNLRYIGLRGTKVKSLPNSIENLSNLHTLDIKQTKIEKLPQGIVKIKKLRHLLADKYADEKHIEFRCFMGVQAPKELSNLEELQTLETVESSSDLAEQLKKLMQLRSVWIDNISAAHCANLFATLSTMPLLSTLLLSARDNNEALCFEALQPSSTYLHRLTIRGQWAMGTLNCPIFLSHGKNLKYVALSWCDLVEDPLGMLAPHMPNLAFLSLNRIGMLAGNTLVLSAGSFPNLKTLVLKNMPDVSQINIIEGALPCIQGLYIVSLLNLDKVPQGIESLDSLKKLWLLNLHKDFRTQWDSKGMHQKIPKVREVRV >SECCE6Rv1G0445570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:838189480:838189944:-1 gene:SECCE6Rv1G0445570 transcript:SECCE6Rv1G0445570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEQNLGRVKDKQAHEKEVGKLKKEIDFLSNNYSQLVEDVSKLFDYQDGKMSHDMDYTSQAINDLNEKKKQLEDQAQIELSMEKLKLAKEQRCILQSQADIIQNMRKAMKEVEGDRDLLKQEKKKLEYLIADLLNAGQASKDKLERIKAIMNE >SECCE7Rv1G0458380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:21499460:21501692:-1 gene:SECCE7Rv1G0458380 transcript:SECCE7Rv1G0458380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSSAAEGDKAKVVTILSIDGGGVRGIIPATVLAFLEKELQNLDGPDARIADYFDVVAGTSTGGLLTVMLTAPDKAGRPLFDAKDLAKFYIDESPKIFPQKGSIFSKIGSALATVTGPKYDGKYLHSLLRGHLGDTKLDGALTHVVIPAFDIAYLQPTIFSSFQLENQPSKNSLLSDIAIGTSAAPTFFPAHYFETSDGKGGTRTFNLIDGGVAANNPTLLAMNQVAEHMVLAGQQPASKLYIVISIGCGTSSLPKLKYSAKDAAKWGILSWILKDGTVPILDMFNAGSAGMVDFHLSVLSAVLGSSHQYLRIQYDKLTGSAGSIDDCSKANLDKLVKIGEELLGEKVSGVDLETGRNVEVPDGGTNAEELAKYARQLSDERRRRRNK >SECCE7Rv1G0507050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:757855548:757856715:1 gene:SECCE7Rv1G0507050 transcript:SECCE7Rv1G0507050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVCRDSGAEWSAKQHKGELEASAATPYDLQRQLVSAACAEDKSGGVQSSFTMVSPKSAIFQVVIGGASAGPIGGGAAAGGAAASGGAAAEVPKAEEKKEEEKEESEDDLGFSLFD >SECCE2Rv1G0118470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:782814281:782814520:-1 gene:SECCE2Rv1G0118470 transcript:SECCE2Rv1G0118470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRRVGVPRKSDYLLGVVDGGDDPGVAHLEQRRPVGPREDTDLALELLHLQGKAANGKNQRQSSQHEEDEAAQLWTK >SECCE5Rv1G0339030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604458461:604458951:-1 gene:SECCE5Rv1G0339030 transcript:SECCE5Rv1G0339030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAASSSSSPPLTPPSPLPVSVGPGRRSYAFTPSPSASPPFSARDFDASPSPLLARRAVRSEPRLHYSAFSMDAAASARREQPPRRRFDLGAWCFEWVLMLVRCCCCSWSPASRQQLC >SECCE7Rv1G0478450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:237238387:237240122:1 gene:SECCE7Rv1G0478450 transcript:SECCE7Rv1G0478450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRAAQAATRRKKMTKQLTGKRDDTALHGAARAGLLVAVQETLSGASPEELRALLSKQNTAGETPLFVAAEYGYVALVNEMVKYHDVATAGIKARSGYDALHIAAKQGDVEVVKELLQALPELAMTVDASNTTALNTAATQGHLEVVRLLLEVDGTLALIARSNGKTALHSAARNGHVQVVRALLEAEPSIALRVDKKGQTALHMAAKGTNLDLVDALLAADPSLLNLPDNKGNTALHIASRKARHQIIKRLLELPDTNLKAINRSGETPLDTAEKMGNGEMAGVLAEHGVQSARALSPTGGGNPGRELKQQVSDIKHEVHSQLEQTRQTRVRMQGIQKRINKLHEEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVDADSLAPGQELGEANIAHETPFIIFFVFDSVALFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLISVAFLALSFVVVGRTEQWLAVAVTIMGATILVTTIGTMLYWVIAHRMEAKRMRNIKRSSMSRSRSHSGSGLSEHEWVDEEFKKMYAI >SECCE4Rv1G0265330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725554258:725555841:1 gene:SECCE4Rv1G0265330 transcript:SECCE4Rv1G0265330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIISAVVGDMVGRVISLLAGRFKGQGCTDAKLRRICHMLVKVHSAVEEAKGRQITNCGTLEWLSELNDGVYQGRYLLDTVRCREQELEDEHADKVVGQPFSLSLLNPAKRVRVAACAVKSLLSRHDVGGPEEIDRVVEILETISGDLKDFMMLLQNCQPIRRPLATNIFIEGQMFGRHVEKQRIINFLLHDGGVSTGKLGVLPILGGTGVGKTTLAQHVCDDERVRNHFPIILYSNFSYTRAMARGEAVFVLGSKNAVRDATKFIEIVHVLKEKYLSKRFLMVFEDVDMGKKQMLEELLPIFRHGKLGSRIIITTNNRDVASLGTVQPINLKVMPHQEYWFFFKAHAFAGRDVEEDPRMLAAGNAIARKLNGSFFGAKIVGRVLKAHPNPRFWCKVLGSNIGGLSLLGDGIGYIADLAENLLPIYANICEVTISKTPYPSQTEVARLVYQASPSASVVAPRGDIGFAKVLLCTSVLPLQSLYYTAHCSLRGPDYSPEFTKLEGGRFAHLTPLKRRFPNIRNICV >SECCE4Rv1G0240680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448126716:448127186:1 gene:SECCE4Rv1G0240680 transcript:SECCE4Rv1G0240680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKRTAKSDPIFRNRLVNMVVYRIMKDGKKSLAYQILYRAVKKIQQNTETNPLLVLCQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPVRNMAFKLSSELVDGTKGSGGAIRKKEATHRMAEANRALAHFR >SECCE3Rv1G0144690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5232020:5232517:-1 gene:SECCE3Rv1G0144690 transcript:SECCE3Rv1G0144690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRLLVILVFSALLLDVAVADTYPADCPYPCLLPPPTPPASSADCPPPPSSPSGSGSGYVYPPPSSSGNAPPTPSSWSNPPPSGGYIPGYYQPPAGGSSGGGGGGGGGGNFGPAPPPPNPIVPWYPWYYRSPPSSSATRGSASSAVVLCLVAAVTAAAALVRY >SECCE4Rv1G0214700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:1429267:1430376:1 gene:SECCE4Rv1G0214700 transcript:SECCE4Rv1G0214700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPAASSKPAKSGGGKQKKKKWSKGKQKEKVNNAVLFDQATYDKLLTEVPKYKQITPSVLSERLRINGSLARRAIKDLMERGLIRMVSIHSSQQIFTRATNT >SECCE2Rv1G0126220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:845076788:845082639:1 gene:SECCE2Rv1G0126220 transcript:SECCE2Rv1G0126220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQISTYRTWQALCVRYKGEKKFKVSGKVTYNGHGMDEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLMELARREKAAKIKPDHDIDVYMKASAMGGQESSIVTEYILKILGLDICADTLVGNEMLRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPRENVLEFFELMGFKCPSRKGVADFLQEVTSKKDQEQYWCRGDRPYSFVPVKQFADAFRSFHVGKSIENELQEPFDRTRSHPAALATSKFGVSRKELLKATIDRELLLMKRNAFMYIFKLVNLTLMAFIVMTTFFRTTMHRSVEYGMIYLGALFFALDTIMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFVEVGVYVFTTYYVIGFDPSVSRFFKQYLLLLAINQMSSSLFRFIAGIGRDMVVSHTFGPLSLLAFVALGGFILARPNIKKWWIWGYWISPLSYAQNAISTNEFLGPSWNQVVNGTNETMGVTVLKSRGIFTEAKWYWIGLGAMVGYTLLFNLLYTVALSVLSPLTDSHPSMSEEELKEKHANLTGKILEGHKEKNSMKQKTELSVISGMDSSGSRKGLVLPFTPLSLTFNDTKYSVDMPEAMKAQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGEITVSGYPKKQETFARISGYCEQNDIHSPHVTVYESLIFSAWLRLPSDVDSERRKMFIEEVMDLVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSTLIEYFEEIEGISKIKDGYNPATWMLEVSSSAQEEMLGIDFAEVYRQSEIYQRNKELIKELSMPPPGSRDLNFPTQYSRSFVTQCLACLWKQNWSYWRNPSYTAVRLLFTIVIALMFGTMFWGLGNKTRSQQDLFNAMGSMYAAVLYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIEFPYVMVQALVYGGLVYSMIGFEWTVAKFLWYLFFMYFTMLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGYIIPRPKLPIWWRWYAWICPVAWTMYGLVASQFGDLHHPLDRGVPGPKMTVAQFVRDYYGFHHDFLWVVAVVHVAFTVLFAFLFSFAIMRFNFQKR >SECCE2Rv1G0127890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:856964764:856968344:-1 gene:SECCE2Rv1G0127890 transcript:SECCE2Rv1G0127890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G35630) UniProtKB/Swiss-Prot;Acc:Q43127] MAQAVVPAMQCQVGVRGRSAVPARQPAGRLWGVRRTARATSGFKVLALGPETTGVIQRMQQLLDMDTTPFTDKIIAEYIWVGGSGIDLRSKSRTISKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDTYTPQGEPIPTNKRHMAAQIFSDPKVTAQVPWFGIEQEYTLMQRDVNWPLGWPVGGYPGPQGPYYCAVGSDKSFGRDISDAHYKACLYAGIEISGTNGEVMPGQWEYQVGPSVGIDAGDHIWASRYILERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTLSMREDGGFDVIKKAILNLSLRHDLHIAAYGEGNERRLTGLHETASISDFSWGVANRGCSIRVGRDTEAKGKGYLEDRRPASNMDPYTVTALLAESTILWEPTLEAEALAAKKLALKV >SECCE2Rv1G0124090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:829604040:829605603:-1 gene:SECCE2Rv1G0124090 transcript:SECCE2Rv1G0124090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGESTVAVKLFIDKEKKRVLFAESDQDFVDVLFSFLTLPLGTIVRRLGKQSQVGCLDQLYKSVEELGEDHFQTKPCKTMLLSPRNAAAVHLDRLKVEVDDIVQTQFYACKRHMGYFSSVPDVGCPFCDTMDITIRECSERDTLDEIFAKSRTKFIITDDLQVAPSSTALMFSLMDKLGLQEQANIEEVVLQLNSNKMISLLARALLSKQPLTDLYFDVTITPDATTSVFQLPDNLLAEKADEAEPVFKAIKMRLIQSKDDTSVLYAEVGHDFVDIFFSLLCIPIGSIIKAFGEWSPNGSIDNLYKSVNEEACVNQEYRSLLLSPKLPPFFGCSSNVLQVEELPTRSVSRYNHEVNPKEPVRGGTCRAYIKKGSTNFMVTDDLHFSNFCLANSLKAIHAAKIPKGKLVEKEVTLDKAQVLKLLKAAMLTRGALSTVLLPK >SECCE6Rv1G0411530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:608014252:608016234:1 gene:SECCE6Rv1G0411530 transcript:SECCE6Rv1G0411530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSCHHHDDCRVAAAPPPLPDWEWEWDWEELVRCQLGDIHGAMPALPSLGGGEEESPVSSSEASSGGGGGGYLEDAVAHWGDRSKRQRTAAEAEGPPRCPAMASEDLQCLLQSFWDSSSGEGDLLHDLNTLAPETSGFASEEGAASGREKEQGEGGRSAAGGAAAGQGEACGAAAGPPPPSPATAAAPRPPLQKATTGSGSGSGNNCGPATSSSCSSLAGRRKEGVLYPFAVVKPLVLEGDTLGDVNRRLLKRPARPVRHPVGQFACGPAVASPSGPGLSGKAVVSLTKIRTGGKGTITIIRTRG >SECCE1Rv1G0012950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:98690058:98694153:-1 gene:SECCE1Rv1G0012950 transcript:SECCE1Rv1G0012950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCNLAAGGLIIFILLHTPFVVAQTGRGSVCSDTSGKYTANNTYRENMHSLATSLPYSASKSPLLYGASQSGTIPDAIYALALCRGDTIASSCAVCVAAAIRNAQDRCPLFKEVIVYDDPCNVRFSNQDFSSNPLQDNRPNFNLWNSNNVSAVLAPVIFAAVGRLVNATAKYAAADQIRRFGTGEVEVPFDKTNPKIYALAQCTPDLTASDCWACLMNISSEYMPKYVFGKSGGIVYGLRCNFRYEMYPFFSGQALVHLPGPFAQPPSSAPQPSGRETAGTKERMRALRTPIVVAIVVLIFAAVLVTFGTWLYFWRRSKKRATKALHQNETSSNNIPSIDSLLLDLSTLQAATDDFAEHKRLGEGGFGVVYKGDLPEGQEIAVKRLSQTSRQGIEELKTELLLVAKLNHKNLVRLVGVCLEKHEKILVYEYMPNRSLDTFLFDAEKVKELDWIKRFKIINGITRGLQYLHEDSQLKIVHRDLKASNVLLDSDYNPKISDFGLAKIFGGDQSQIITHRIAGTYGYMSPEYAMRGQYSTKSDVFSLGVLILEIITGRRNYNPEEDDLINVTWEHWSREKAIELIDKSLSNYCPIDQFLKCIHIGLLCVQQKPADRPMMSAVNFMLSSTDVHLPSPTRPVFCTQEIGTSSEPNLANEKASSNEVTITELVPR >SECCE6Rv1G0448020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:853225198:853228464:-1 gene:SECCE6Rv1G0448020 transcript:SECCE6Rv1G0448020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMGTPLPLPPRLARIRWLVALLLLSAMGATRAHGGGDERALQAFKERISDHSGALASWNRSISYCAWEGVTCSRSRVVALDLNSRGLSGAISPAIANLTFLQMLNLSFNPLYGEIPPSIGSLRRLRYLGLQGNMITGAIPSNISRCTSLRSMTIANNKGLQGSIPSEIGDMQSLRVLQLYNNSLTGNIPSSLGNLSRLAVLSLAANHLEGPIPEGIGNNPRLGFLQLAMNNLSGLFPLSLYNQSSLYIFFVTDNNLHGRLPAHLGISLPSIQQFGFGNNRFTGVVPPSLTNISGLQLFDVANNGFSGVVPSDLGKLQYLRWFNLVGNKFEANNEQEWQFFTSLTNCSRLQLMSIEQNRFSGQLPTSLSNLSTNIQELNIFANNISGTIPSDIGNLIGLEVLVLGRNLLTGIIPESIGKLTHLKELYLGYNDLSGFIPSTIGNLTALSKFGASINGLEGPIPSSIGKLTKLSQLGLSRNHLNGSIPSEIMQLPSMSIYLALSYNLLEGPLPSEVGNLVNLGQLLLSGNQLSGKIPATIGGCVVLETLLMDGNSFEGNIPPSLKNIKGLTILNLTNNKLNGSIPRDLSNITSLQELYLAHNDLSGPIPELLGYSTSLFHLDISFNNLQGEVPKQGIFGNLTGLSIVGNNELCGGIPQLQLPKCPSSKKSLPKSLRIIVPTAGGILVLLAAHALAGFLYRKFKSRLRKELLSPQTNMIDLPMVSYNDILKATDGFSEANLLGKGRYGTVYKGTLENFAAAVKVFDLQQSGSYKSFLDECEALRRVRHRCLVKIITCCSSINHQGQDFRALVFELMPNFSLDRWIHPDIESQNRMGTLSLAQRLDIAVDLVDAIDYLHNGCQPSIIHCDLKPSNILLTEDMRARVGDFGIARILKEAASEASASSLSSMGIRGSIGYVAPEYGEGLPVSTYGDVYSLGITLIEMFTGRCPTDDMFRDGLTLQYFAEAASLSGNVMEIADSNIWLHDEATDSTNTENITRAMECLVAIFQLCVLCSKQLPRERMSTSDAAAEMHAIRDAYICNQR >SECCE7Rv1G0491920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:541198937:541202416:1 gene:SECCE7Rv1G0491920 transcript:SECCE7Rv1G0491920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G54590) UniProtKB/Swiss-Prot;Acc:Q9FIU5] MNGVSEGLIIGTTVGVVIGVLLAVGILLCMRYRRSQAQIRSSSSRRSSTIPIRANGVNTCAELSNSTTGQESPREFEDRGPSLWIEGPGRKSLISASGIPKYAYKELQKATSNFTKLLGQGAFGPVYKADMSSGEILAVKVLANNSKQGEKEFQNEVLLLGRLHHRNLVNLVGYCAEKGQHILLYAYMPNGSLASHLYGENSAPLRWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMHARVADFGLSREEMLTRNGANIRGTYGYLDPEYVSSRSFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGAFDVEELNDMAAAAYKCVSRVSRKRPSMRDIVQALIRVAKHSHSSRNHHGRRLPPGRTDDESVDLEASEDQSSASGHQRQESVGSVSELPEV >SECCE3Rv1G0184170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:660244696:660246555:-1 gene:SECCE3Rv1G0184170 transcript:SECCE3Rv1G0184170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g40405 [Source:Projected from Arabidopsis thaliana (AT5G40405) UniProtKB/Swiss-Prot;Acc:Q9FND7] MAARLRDSSTLLPSLVRASSQSRLREIHAHLLVSGRLASPSHRADFVASLASSSHLSYARLLLPRRPATLVAHNGLLRALARGPCPSLAFAAFRELPLAPDHYSFTFLVRAATSLAAAASATPVPTDVAVNLLAGSVHAAAFRHGHAADLHVQSGAVSMYAAVGDVGAVRAAFAEIVSPDVVCVTAMLGALSTGGDVDTARELFDGMPQRDHVAWNAMLTGYVRVGRSREALGLFDEMQKAGVSVGEATLVSVLTACAQMGALDRGMWVHSYVCSRGMRVSVTLGTALVDMYSKCGVVTMAMEVFETMSERNIYTWTSALSGLAMNGMGEECLELFKRMESAGMEPNGVTFVAVLRGCSVAGLVEEGRACFNSMKDKHKVEPWLEHYGCIVDLYGRAGRLDDAVDFINSMPVEPHEGVWGALLNASRIHNNVDLGKHAMYKLTKIESKNDAAHVLLSNIYAESHNWKGVSKVRDIMKSKGVRKVPGCSAIEVDGKVHEFFVGSKSHPRYKDIEIMLAEMSHKLRLQGYAANTKEVLFDIEEEEKEGAISLHSEKLALAFGLITLPEGMVIRIVKNLRVCKDCHDYTKMISKVFDREIVMRDRNRFHHFKHGACSCRDYW >SECCE7Rv1G0522560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878453171:878454262:1 gene:SECCE7Rv1G0522560 transcript:SECCE7Rv1G0522560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHGIMRPKRLKSARATGEELGSYEAACNADPELRSFDAALRRRASLAVSAAASGVEVRSMSLGSLREVTGCLVEMNQEVVRVVLASKHDVWGCPELFALVEDYFDASLHTLDFLAALDKALRRARDSQLVLHLALQAQNPSVEPPRALSALRRFKEAAGEPFTDEFFAAFQAAYRQQLGMLDRLRRQKRRLDGRLRSLRVWRRVTGVVFATTFAAILVCSVVAAAIAAPPVAAALAAAASLPVGSAGKWVDAMLKRYRDALRGHKDVVGAMQVGTFVAIEDLDSIRALVGRLEVQIGSMVDCAELAERDEEAARLAVEEVKKKLEAFMESVDDLGQQADRCSRDIRQARTVVLQRIIHPH >SECCE4Rv1G0239980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:435691007:435695475:1 gene:SECCE4Rv1G0239980 transcript:SECCE4Rv1G0239980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSFSSPASFAGDNSGALTSATILRFPPNFVRQLSTKARRNCSNIGVAQIVAAAWSDRCAVPSHSGGGSRVRGTSSHAAAASAATSAAAAAEVGAIPNAKLAQPSAAALAERALLGSDASLAVHAGERLGRRIATDAITTPVVNTSAYWFSSSQELIDFKEGRHASFEYGRYGNPTTEALEKKMSALEKAESTVFVASGMYASVAMLSTLVPAGGHIVTTTDLYRKTRIYMETELPKRGITMTVIRPADMDALQDALDNNNVSLFFTETPTNPFLRCIDIELVSSMCHNKGALLCIDSTFASPINQKALTLGADIVVHSATKYIAGHNDVIGGCISGRDELVSKVRIYHHVVGGVLNPNASYLILRGMKTLHLRVQCQNNTALRMAQFLEEHPKIARVYYPGLPSHPEHHIAKSQMTGFGGVVSFEVDGDFDSTRKFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQRDIYGIKDNLIRFSIGVEDFEDLKNDIVQALDKI >SECCE6Rv1G0403770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:509888177:509888668:1 gene:SECCE6Rv1G0403770 transcript:SECCE6Rv1G0403770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPEPAATETGDPVKIRRLEIADRERGFLPLLAQLSSCPDLTESEFAACFADLAALGDDHVILVAEDPAAAPERRILSTGCLFVERKFLRGGGKVGHVEDVVVDAAARGRGLGLRVVRRLVEMAKEAGCYKVILDCTPELRAYYAKCGFVEKGVQMAVYF >SECCE2Rv1G0123940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828674698:828675232:-1 gene:SECCE2Rv1G0123940 transcript:SECCE2Rv1G0123940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTSQSVAALVVLMLAVGTLTVAQAPPKQSGQEDHLFEVTVRPVDGAVGDDYNNRHYRLLATVLGSVEAARSAMFETGPRAFSAYLTNNQARRLSRVKGVLGVRQRDDPVPTDDGH >SECCE1Rv1G0050970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:650635450:650638302:1 gene:SECCE1Rv1G0050970 transcript:SECCE1Rv1G0050970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKPTPAPAPARPAGPGLYADIGKKARDLLNRDYTTGQKFTFTTTAANGATITSSSTKKNEAILSDLQTQVKIKNFTVDVKATSDSSVVTTITVPELYTPGLKGVLSLPFPYQKSTPGKAELQYLHPHLGINGSVGLNSNPLVNFSGVIGTKAFAIGIDVAFDTASGDFTKYNAGLSHTNQDLTASLNLNNKANTLAASYYHQVQPTTAVGAEIAHSFSSNENTITVGTQYELDPLTTMKGRYNNFGIASALIQHAWRPKSLITFSTEVDTKALEKSPKFGLALSLKP >SECCE3Rv1G0152800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:50239785:50240665:-1 gene:SECCE3Rv1G0152800 transcript:SECCE3Rv1G0152800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREWVRWEEEILLEDDDEPHTEFFYLRSAPPQGGGVSERDLAVVGKYCPDPAPGNMVYSADPQFLRFLQEKITCDSVLATGVTVRVEITQLSWKSRKDVMDWLNSLVSESSGQSSNTGAAAPVNPVSVQETCITNEQAPVPAMDEHQVIDLTMSDD >SECCE4Rv1G0291530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876869023:876869660:-1 gene:SECCE4Rv1G0291530 transcript:SECCE4Rv1G0291530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSTMRPRCADQEDMPNKWESARLDKTKEKEVKNPSCWCGDECKVKSPPPLCKYFTWIDHEVPEDVKKDQLQDCLRRQRLFEESLQRAEDEERRERERKERKKREEERARKEKAAREEERARKLARARDAQEEDEARDKKGKWPRVTQ >SECCE1Rv1G0022150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:280703514:280703837:1 gene:SECCE1Rv1G0022150 transcript:SECCE1Rv1G0022150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTMGHVVALLSAALSGSGVVGCGGHDDHYHSGGCCVCISRFRDGEDIRRLPCGHAFHRHCVDRWLALCRRTCPLCRLHVGGMAPDEHELSDDLVIWFSSLFVAGL >SECCE7Rv1G0465090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:66612831:66614006:-1 gene:SECCE7Rv1G0465090 transcript:SECCE7Rv1G0465090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSASASTAMQEAAKTPSTSPPRAMATPTASPARYRPSLLVIFSACLVLIGAGGPLLLRVYFVHGGQRLWLSALLQISGWPLLLPPLCVSLFRGRRHGIANLLLPLRLVGAAAVLGSLYAVSCFVYAMGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVMLLIIGPEVLGVGPGSGKPAGEPSKTYWTGFCEGIAAAALAGLVLPLVEVAMERYGRRTGPAARTPPPYSTVMQMQAVMGAAGTMVCLLGMAIKSDFGALRSEAAAFGLGETNYYLVLVWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSEILAVLFLHEKFDGPKGIALVLSLWGFASYMYGERVQQKKAEAQRSELLQQQAARKTGDLELAAP >SECCE2Rv1G0072570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:57550993:57551490:-1 gene:SECCE2Rv1G0072570 transcript:SECCE2Rv1G0072570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKKLVQFGKGFFERKEESTSTDMPLGTAMHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKPTTPWGYPALGRKTRKRKKYSDSFILRRRK >SECCE3Rv1G0167520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:204311295:204311714:1 gene:SECCE3Rv1G0167520 transcript:SECCE3Rv1G0167520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSCWESITSAVLPANPTSRQAALREQLLEREEAAASQRAAVQRLTERADALQRKIEDVVRETAAAQAAASSAERRQREAEDQRRVAVRTYEMHEEKLRVLAKELKVKEGRFRVLDAILTTVQSSNRPVSRNTVRW >SECCE5Rv1G0354900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727611395:727611916:1 gene:SECCE5Rv1G0354900 transcript:SECCE5Rv1G0354900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSYDAAADGPATARVVLPSGELREYSPPATAALALEEVGQQGWFLCDADRMGLEGSLAAVAAGEELQPGQIYFVLPAEMLRRRLAPEEVASLAVKASAALVKAATVSSAGGRRRRGSVAPLVFAPSEEDYSAEDAFTLATLAQKRRVAYRGGRSPPRFSPDLTAISESE >SECCE6Rv1G0397680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:399468567:399474967:1 gene:SECCE6Rv1G0397680 transcript:SECCE6Rv1G0397680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRATAREIEDEFRVFGVLKSVWVARKPPGFAFIDFDETRDAKDAIRELDGKNGWRVELSTKSGSGRGRERERSGGSDMKCYECGESGHFARECRLRIGSGGLGSGRRRSRSPRHRSRSRSRSPRYRRSPSYGRRSYSPRDRSPRKRSYSRSPPPPRVRSISRSPPPARARSISRSPPPARGRSLSRSPPPARARSISRSPPPPRARSISRSPPPPRTRSISRSPPPARERSYSRSPAQPPQREESPYANNA >SECCE5Rv1G0326100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:473789165:473790527:-1 gene:SECCE5Rv1G0326100 transcript:SECCE5Rv1G0326100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQDWSSLPVDLLILILNRLRWSSHPSFALVCRQWRSAVSPFYPAWITPLLLNTTDVGTTNIRYYSPYFQKNFEIDDTLEAPGAKICCANGRHVTLCMPEQILNVDLRSYDNDHLPMISQSRFEHIYDGMRKMVGIDTVCLQKIGCCMQNSDAVWGRWAYCYPDRTKFTAAPVSNPVFHRGFIYLLLEDGRLAVCDECKHEEGFEILEKPQSFGFVYEDSYLVESDQGELMVVLIGRRGSPVNTFKLNEHTMEWEEMESLDDRALFTGTLTTVMKKTAIKSIQNKVFLPRLYDWPDIVYADFVLRDGEFAFVESRRVDNMVKVGNDAHSTNMWSYELGQRENPRDFWETERMDYSIWVDFRNS >SECCE1Rv1G0009980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:59283967:59284983:1 gene:SECCE1Rv1G0009980 transcript:SECCE1Rv1G0009980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVADLVNYRANTIPGWGWRLSLGVGIIPAIVILVGAVFIPDSPNSLVLRGRVDEARHSLRRIRGPTADVDVELKDIMRAAEEGGRHKSGAFRRILLREYRPHLVMAIAIPLFFELTGMIVVTLFAPLLFYTIGFTSQKAILGSIITDVVSLVSISVAALSVDRFGRRFLFKLGGGVLLVCLVGMTWIFGAELGTNGGKAMPRPYAVAVVALVCLFVAGFGISWGPLKWIIPSEIFPLEVRSAGQSMSESISLTLTFVQTQSFLAMLCSFKYGSFAYNAAWVVVMTAFIIGFLPETKGVPIEAMGAVWAHHWYWKRFVKPAPETTPTPDKQPDGSLEM >SECCEUnv1G0551400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:233310209:233312053:1 gene:SECCEUnv1G0551400 transcript:SECCEUnv1G0551400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGEGAGPNPPATTMIYAMVARGTLVVAEHTAYTGNFRDIAAQCLHKLPAGDSRFTYTCDGHTFTFLLHQGYAYCVVATESAGREVPLAFLERIKEEFNKRYAGGKAATATANSLSKDFGPRLKEQMQYCMDHPEEVSRLSKVQAQVSEVKGILMENIDKVIDRGEQIEGLVTRTEQLHDHALDFRTEGTRIRRRMWYQNMKIKLIVAGIVVALILIIVISICHSDHCK >SECCE4Rv1G0223250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:67620811:67622193:-1 gene:SECCE4Rv1G0223250 transcript:SECCE4Rv1G0223250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRLPVSNACPAGIYANPQRQQRGHASTTSTAGAVAAVAAPPSTFAPQTTRLSAPPTIAVNTRHAAPVAPVFAPARRNGDNKTTLASMWREIQGEGDWAGLVEPLHPLLRAEIVRYGELVAATYKAFDLDAGSKRYLNCKYGKARMLQEVGMAGAGYAVTRYIYAAPDVSLPGVAGACPSRWVGYVAVASDDTARRLGRRDIVVSFRGTVTGSEWVANMMSSLAPARFDPADPRSDVKVESGFLSLYTSEEGAGRFTCGSCRNQLLSEVTRLIKQYKHEDVSITLAGHSMGSSLALLLGYDLAELGLNRDGRGNHVPITVYSFAGPRVGNMGFKNRCDELGVKVLRVVNVNDPITKLPGIFLNEKSRVLGGRLELPWSCACYTHIGVELALDFFKARDPACVHDLEAYLGFLKCLKVTKVKKQGAELARKFDLRQSFDAAWRWQMTAIQVSGLVQSLGI >SECCE1Rv1G0050510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:647350866:647352601:1 gene:SECCE1Rv1G0050510 transcript:SECCE1Rv1G0050510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSKLAQLQAKACEATRFVARHGCAYHKNLMEKNKQFVVXXXXXXXXXXXXXXXXXPPPSLPRALVSPLPGRYEAFWKEADQVKQLWRNRGDLTVEHAGIVALFGLELYGWFCVGEIAGRGFTLTGYSV >SECCE1Rv1G0010800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:66096606:66109834:1 gene:SECCE1Rv1G0010800 transcript:SECCE1Rv1G0010800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAAAAAAEGEEKAAEAGGRELLYCGTVRFDIMGRKVKGGVQGRGNLVSPTRLRPLVGVDIRFVASGCAACHCVALSSDGRCFSWGRNEKGQLGHGDTLLRNLPTVVSELSKYNIIAAGVGKNHTVVVTDEGKSFAFGDNRHGQLGMGSLRSGTKVLPVPCLVTKATNAVCGADFTVWLSSVEGSSILTAGLPQYGQLGHGSDNEYNANVSSIRLAYDPQPTPRAIAALSGKTVVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHNEQKDEWQPRLVEIFQKNNILSPSDIISAGSASSACTAGRGQLYVWGKLKNWGDECMYPKPVRDLSGWNIRCMASGDMHHIVGAEDSCISWGLSEYGELGYGPTQKSSVNPKKVDILEGMRVTSVGCGVGMSLIVVDRANVGDKLDQLDNFDGNIDALFQENTKKLYFLPRRDIKEKSNPKTSQHPAIDKTAEETLKAEQVTKAASPTTNSRSNKRKKASKHEEPEAKDEIKQVPKEEAECGGHGRGRGRGRGAKTATPEPMPSGRGRGRGRPKKGSPGAATPEAGSSGRGGKKSGKRGRPRK >SECCE6Rv1G0404020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510943963:510944286:1 gene:SECCE6Rv1G0404020 transcript:SECCE6Rv1G0404020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKKNPREAKVTFEGLVTEALPNGMFRVRLENDTIILGYISGKIRSSSIRILMGDRVKIEVSRYDSSKGRIIYRLPHKDSKRIEDSKDSEDLKDSEDLKDTKDSKD >SECCE3Rv1G0159820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:99932462:99933325:1 gene:SECCE3Rv1G0159820 transcript:SECCE3Rv1G0159820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFVERLEKMGYRDGKTMFGAPYDFRYAVAPVGRPSRVGDAFFLALKGLVERASGLNGGRPVVIATHSFGGLLAHQFLVRQPLAWRQRFVRRFVPIAAPWGGIVLAMLSLVSGNNMGLPFVEPRELLQQGRSLQSSLWVLPSPAVFGTATPLATTKSRNYSAGDVADYLVAVGSSEAVGPYESRVLPLFGGELPHPGVPVTSVVGVGVGTTERIVYPGDDFDGTPSMVAGDGDGVVNLASAMVVETPWSRHSGDFRMVKVFNMSHNALLVDDRALEIIIREIQRVD >SECCE6Rv1G0391190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:212042074:212050603:1 gene:SECCE6Rv1G0391190 transcript:SECCE6Rv1G0391190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKSPDDRPEAAAAPQPLEWRFAQVFGERGAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARGNASRTELERQDYSVPRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWGQTANDALFILSTNDKTIKYWKVQDKKVKRISVMNLDTSQSADNGTTSSSSTGSSRGLPNGGSSEKSYNCANNDPPFPPGGYASLRLPVVTGEELNPVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGAIRLIDLRQSALCDNHSKLFEEHEAPGSKSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGRRVATGSYSNLFRVFGCIPGNTEATTLEASRNPTRRQVANPTRPTRTLTSLTRAVRRGGENAGADGTGNSNDFSTKLLHLAWHPTENSIACAAGNSLYMYYA >SECCE3Rv1G0159940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:100536258:100540881:-1 gene:SECCE3Rv1G0159940 transcript:SECCE3Rv1G0159940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPPPPSPSPSASRAAMACVVTSEVATVLAIMRRNVRWATGSDDDPLDHPLVAGLKSLRRAAAAWGPRRWRDVEPLLYLGPFLDVVRSDEAGAPATGAALSSLCKVLSLDLVGPDAPGADRAMAAVVEAVAGCRFEVTDAASEEAVLARALQVLLACVRGRAATTLSNRHVCDIVNTCFRVVQQAGAKGELLQRVSRQTMQEVVRCVFARLPDIGVTAVADQKIAGSKNEVLSASEMGKRSDSVYFSSSQDEVGGEFGVVQDKAMMELFGVPCMVEILQFLCSLLNIADDNQMDPNMNPIDFDEDMPLFALGLINSAIELSASSIQRHPKLLAFVQDELFRNLMQFGLSDSPLILSTVCSVIFTLFYHLRRELKLQIEAFFACVILRLTQSRYGASYQQQEVALETLVDFCQQKDFMVEMYTNMDCDLQCSNVFEDLVNVLSKSAFPEESTLSTLNVLALDGLVAVIQAIAERIGNSPQHCQQPVQELSEYFSFWQLKCENINDPDHWVRFVNQQKNIKRKLMVGVEHFNRDKRKGFEYLQSTHLLPEKLDPRSVALFFRYSPGLDKNLLGDYLGNHDEFSIQVLHEFSRTFDFKELNLDAALRLFLETFRLPGESQKIQRILEAFSDRYYEESPELFVNRDAALVLSYSVILLNTDQHNVRVKNKMSEEDFIRNNRRINGGNDLPREFLSELYYSICRNEIKTIPEQGVGCSEMSFSRWADLMFKSKRASAYIACHSYPFLDHDMLLIMARPTVAAISVIFDNVEQEEILTRCIDGFLSVAKLAAFYHLNDVLNDLVVALGKFTILSIACDDPATAFGEDAKAIMATEALFTIAATHGDHINRGWRTIVDCILRFHKIGLLPSCLTNGTAGDQESFSASLPSKVSSSSPAAPQVEPISIPKKTYGLMGRFTQLLYLDAEEQRFQPTEEQLAAQRNASKTIKRCQIGTIFTESKFLQADSLLNLARALIQAAGRPQKITSSIDVESNAVICLELIVAVTLNNRDRIVLLWHDVYEHITHIVQSTVMPCNLVEKAVFGLLDICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCESITQKVTCLVKDNATHIKSQTGWQTIISLLCVTAHHPDASDAGFEALIYIMFEGAHLSPANFVLSVEAARQFAQSRLGSAERSIHALNLMAESVNCLARWSHEVKEAGGEAERMLEGIAEMWLRLVQALRKVCTDQREQVRDHALVLLHRCLVADGISVPSSAWLMSFDIVFQLLDELLEIAQTFSPKDYKNMEASLLHAIKLLSKLSLQSLNDLSAHSGFGKLWLEVLDMVEKLMKVKVGGGNRTEKLQEAATELLKSILLAMKANGILSRTSAGRESSLWEATWLQVNKISPTLQSVVFPDYDDAVQGAQSKLDIPAVSEGRLVPV >SECCE2Rv1G0065300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:7890288:7890851:1 gene:SECCE2Rv1G0065300 transcript:SECCE2Rv1G0065300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILLFLILAPLCVSVTTRLKCPGVPHNGAVATCYHGCGTKLIYDLCIRTMRQGYIDMSPSHKEETTVYAILVLSAAVKSMDITSNMLTYLLQKNASISVQEWAFYKACLTDYDAALNSLYQNHDVMLPNCFFKGINDDYLSALAYLNSCRDRFIGPVMFTSPVYPMVLADRNKAMLAYSISKLLL >SECCE7Rv1G0526560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:895988425:895994175:-1 gene:SECCE7Rv1G0526560 transcript:SECCE7Rv1G0526560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMIAAVQELSGLTTRELSEMLKESDSFVLQSKAEGGGPEQVDMEKLVSSLPLHLLAVSLDIGRGSDLTYVLRGVRFLHCLSELATRHTKLEQVLLDDVKLAEQVMDLIFFVLSILSHWKKEDHLGASPFIHSSLVAASLHLMTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHEDMRLLGVRLSTLGTKAFPVGPFDSQLTYFICQQCEASLQFLLSLCQQKLFRDRILKNKELCRNGGILSLSFTILKLGVPEWLKGSTDIASSISRQKAKILSFLLQLCESESISYLDEVATLPKSMQLGLEVLDLLKIAFGRKQKPAAGSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILATPHDEFVSSWCSVDLPVMEDDANLDYDPFGAADLALLAASNMLTEAKVNYSCNFRSISMPSIQYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQKYLLSESPKPSLDHPAVDEVTIVCTNLGSLSHYAKSLIPGNLLNEEDVQLLSDFAYKLQRWCKVQVGQRISQVAKSDVTSEMKVDLQPVQQPQPTRASVPDPNMDKDAPPKDVQNMEESMATPPMKQDGNARDETPRNRASINGGLLQNSVGQNLIHLGVARTTSYPGSSAATSMEVPRCRSVDHFKTPEPAKESGLRDEDERQPSRRGKKRTIMNDGQVNEIENALVDEPEMHKNAASLQTWAEKLSGKGAEITSSQLKNWLNNRKAKLARIAKERGVPYDGEGADKSSTPATSQLGDSSESAGEESYLPPSRVLNALGLSNSKGSSRLVTPDSSEPSTQDMMTSRPFTRSLSFEPGRPVVLMDNEGNEVGRGEIFQVEGRAQGNSLAESHTCIIDVTELKVEKWRELPHPSEASGRTFQEAESRHGGVMRVAWDVIRLAPVAT >SECCE3Rv1G0172940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:310809175:310809799:-1 gene:SECCE3Rv1G0172940 transcript:SECCE3Rv1G0172940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGNRIPEVGMRFRNSDEAWEFWLQYGGHIGFDVRKRNTIKSRTDGTITSCRFVCSNEGYRRKSQIDHEPKRIRAETRTNCKVRMIVSFDRVAKFFEVTEVDLEHNHLLQLPQTCYLLASQRNISDVQALEIETADDSGIMPKASHD >SECCE6Rv1G0404900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:524637396:524639074:-1 gene:SECCE6Rv1G0404900 transcript:SECCE6Rv1G0404900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLHRSLLLYAAAAAGFWLFSPAHCAASALPDPAPLDPGLFMPSATPAQPGSATIPAFPEQSDAVSGASSSCPLDPSPRLLPAVLSSCDADGALPSRLRCCPALAAWLFAAYAPTALAARLARPASAAPVDMPVPPDDSEACAGAADRALRSEGAVLPRPPGANGTCDVAFCYCGVRLRRLTCGPQPTGVGQWAPAGAAVRRLERGCARPGVPGCSKCLRALSTIKAGPGGGVPAAASSERDCQLMGLMWLLQRNATRYGAAATAVIRALMAADEASAVGVAAVAGPAACSLPVDDMPFAAEYGRLSGADGRPPALRRFHLVLLAVLSVVCSL >SECCE6Rv1G0438940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:793366933:793369803:-1 gene:SECCE6Rv1G0438940 transcript:SECCE6Rv1G0438940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPVDFKLPHFFNYPPYFTLQPVRETREKQVQLWKELILDYCRSQKMYIISLEEDFPLFSNPKIERSLSYEAKEVFLAALVSEGRAEWIDKGHKKCLILWLRIQDWANYILDFVKENGLEVTTIEDIRSGIETHGTELAGIDRGVLMRALRLLEQKGKAVIFKGTSADDEGVKFSV >SECCE1Rv1G0011490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:78339243:78344984:-1 gene:SECCE1Rv1G0011490 transcript:SECCE1Rv1G0011490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKKQVFTIQVEDGQPGKDGRPAVGPVFRNILAKDGFPPLDPDMRTSWDVFRTAAGKYPDNRMLGWRPFKDGVPGPYLWKSYKEVYEEVLQIGSALQQLGVQPGSRVGIYGSNCPQWVVAMQACNGYSTICVPLYDTLGAGAVDYIIDHAEIDVVFIQDKKIKEILSPNCTSATRLKALVAFTSANNEQIKDAEQIGMKVYSWNDFLKMGKDKPVQPRPPQPNDTCTIMYTSGTSGQPKGVMLSHESHGMYVKGVDLFMDQFEDKMSTEDVFLSFLPLAHILDRMIEEYFFHKGASVGYYHGDLNALRDDLMELKPTLLVGVPRVYEKIYEGILKALADLRPLRRVIFNALYNRKLASMKAGYTNKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSTEIEEFMRVTSCAYFIQGYGLTETLGPSTVGYPDDMSLVGTAGVAATYTELRLEEVPEMGYDPLGVPSRGEILIRGTTVFTGYYKNPELTNEVMVDGWFHTGDIGEMTPDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYVFPPIIEDIWVYGDSYKSMLVAVVNPHEENTMKWAGSNGYKGSFSEICKSEGLKEQILKELAAAAQKNKLRGFEYIKGVVLDPVPFDIERDLVTATMKKRRNYMLKYYQPEIDKVYKTLEGQRAANKAK >SECCEUnv1G0552700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:255710952:255711443:-1 gene:SECCEUnv1G0552700 transcript:SECCEUnv1G0552700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPEPAATETGDPVKIRRLEIADREGGFLPLLAQLSSCPDLTESEFAACFADLAALGDDHVILVAEDPAAAPERRILSTGCLFVERKFLRGGGKVGHVEDVVVDAAARGRGLGLRVVRRLVEMAKEAGCYKVILDCTPELRAYYAKCGFVEKGVQMAVYF >SECCEUnv1G0541030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93142373:93143899:1 gene:SECCEUnv1G0541030 transcript:SECCEUnv1G0541030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSISQELLIPTALVLLVSLCLYFRSSSRSKNRSVLPIDWPIVHMLPAFIANLHNLYDYCAVGLAESGHNFRVHLPQGHMFLTCDPVNIRHIFTTNHANFPKGVEFAAIFDIMAGSFFTIDGEPYHRQRVKFHSMLGNPRLVASMVACCRDKMENGLLPLFTHMASTGTPFDMQEVVSRFMFDLAAKPLFGVDTSLLSLDMPPMDVAIAMDTVMEVAFFRHVLPASCWKAMRWLNIGPERKLDAAHTVVRVFVTDMIKKKINRGCIGNEEEQESADILSSYINDPDYADVELLRAMLLTFMLAGKDTVGVTLLWTFYMLAQNLNIVSIIRSELSPIALHKIDTGTGAMLIFDPEETKSLVYLRAVLYETLRLYPPGPFERKTMAVDNIMPSGHEVRAGETILISLHSMGRMEDIWGKDCRDYKPHRWLSEDGNKLRYEPSHKFLSFNSGPRICPGKEIAVIQMRTIVAAVVWNFDMEVVQGQSIEPKLSCTLQMKNGLIVKLKKREI >SECCE1Rv1G0027910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:390929820:390930176:1 gene:SECCE1Rv1G0027910 transcript:SECCE1Rv1G0027910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRQQQHRAEMVAPKGCVTVRVGAEGEEQRRFAVPLDHLKHPLFAALLDEAEREYGFRHQGAIAIPCRVDRFVHVEHLIDRDLGAHHHQLVDLDCGATTAHGHSHLHLPRFVGCFRA >SECCE2Rv1G0066680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:15039566:15043115:1 gene:SECCE2Rv1G0066680 transcript:SECCE2Rv1G0066680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSAAYGATSDDDADAAPAPSWPATALPSAASPPPKRPRWESPPYPGYPPPPPLPAPRPGPPQAAPPPLTGRRYVSKRERALLAASRPPVGSAAPLPPPAAAEFDSAVVGSFADSNIRADILHSLRCQPKPGSNNSSPMRLSVPLMGHTKAVNCVDWSPSHGHLLASAGMDHTVRVWNVWNRGNTTARVLKHHTAAVKDVKWSHHQPFLLSGGFDCCSRLVDAEEGKEIRVFKEDQPVEVIKFNPSNSNLFLSGGSRGSLRLWDIRTGLATKEFNRSLGTILDLEFSADGKQFISSTDTTRSNVSENTIIVWDTLREVPLSNQVYTEAFTCPCVRYHPYEASFVAQSNGNYIAIFSARPPFKLNRYRRFEGHGVWGFPIKCSFSLSGRELASGSSDGCIYFYDYKSSRFLRKIEAFKEACTYVAYHPALHNVIASCGWTGEISVFE >SECCE1Rv1G0042970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:587443095:587445005:1 gene:SECCE1Rv1G0042970 transcript:SECCE1Rv1G0042970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARPLVSVKALDGDMATDAAGVPMPHVMNAPIRPDVITFVHRLVSCNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVGGGGTHRAGQGAFGNMCRGGRMFAPTRIWRKWHRRVNVRLRRVAVASALAATAVPAIVTARGHRIESVPEFPLVVSDSAEGIEKTAQAIKVLKQLGAYADAEKAKQSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLDEVYGSFEASSSKKKGFVLPRPKMTNADLGRLINSDEVQSVVKPINKEVKRREARKNPLKNAAAVLKLNPYFGTARRMAVLAEAARVKARKEKINSKRTKLSAEEATKIKAAGKAWYQTMISDSDYTEFDVFSKWLGVSQ >SECCE3Rv1G0144860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5501916:5502357:1 gene:SECCE3Rv1G0144860 transcript:SECCE3Rv1G0144860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKALFVLAVLLASAVLLAAAASEQTRDMEEKVGVQAGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGCGRWGCCRRGFRGNCIRCCRAANDVPEPMDRLEVHN >SECCE4Rv1G0295420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898247779:898249368:-1 gene:SECCE4Rv1G0295420 transcript:SECCE4Rv1G0295420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALATTSSSAPLLMGAVSLVGALMFLLNRGGKKEQNLPPGPPAMEFLAKFINLRRSIFYVRPILRELHARYGPIFSVRLFKTFIFVRDRGLTHEMLVRGGATFADRPDPLYQPWPMFFDGGILINAYGTYWQRMRRNLVEALHPARVALFKQARSRTRDALLARLSAAAAGGGEVVAVREIFRRTLFELLAYMTLGPGLSTETLNDIFMVQKDAFGAVTSFSYFMAFVELTKRIFSKRWAALQEIGKRREAILSPLIQDRRLRASSSGGGMETDMDTDTDTDQDPPCYADTLLKVRLPDEGDRALTNAEITALCSEFMVAGTDTTASLMEWLMAEMVIRPDVQAKVYQEVSGDEELKESDLAKMPYLKAVVLEALRMHPAAHLIFPHKSMHDTEVGGYTVPKGAEVNFLVADCALDPTVWTDPEEFRPERFLEGGEGHGVDITGNKEVKMIPFGAGRRMCPGYSLATLHLQSLVATIVKEFEWLPAVEGQKIDMTEDLDGVIVIRDPLRVRLIPRRSSELVSTHSDLK >SECCE5Rv1G0352100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:706666375:706669089:1 gene:SECCE5Rv1G0352100 transcript:SECCE5Rv1G0352100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATEEEGAAAVAEEEAYEQEDGDGEEYDEDEEEGYEFGDAADAAQCVEMAERGPGGAVATVSIRDFEALAALSRKRKALPEEPPQGDESSKRRKQQGELTEAESANLFDQLMEGFGLRRKKRRRSKDGKRKGRARGRRNRCSPEVIKKLGDATLLFTENRFKEAIPILHEIVRIAPNLPNSYNLLGSIYKENGEIDKAINFVMLAAYVSPKDVSLWKKLIDLALKKEDAALARHCVIKAMRADPEEVGLKFDCANIYRTLGDCHKAAEIYEQIVGIHPSNTVARKAAAQMYRDSGQIDKAIDLLEDFINAQTSSIDWGLLDLLISLHLRNNAHGEALRQIEKARLVLGSGHKLPVRLQAKAVICQAYLGDMKYAEVFLQSVHLGRSKENADMVKEVASTLQSLGQYGYALKFYSLMESVAVHNDGRSFVEAARCYMVMGDKGKAIPYLYKALEGMEDNVDVRITLSSLLVDEDESDEAIKLLSPPPENPELQSADIPDHQKPWWLDGEVKMQLAKLYYNKGMMEKFVETIFLPILETLDIEYANRKVKMNRKLTNDVLQERTKVLGEARQDSVFQGCRPIASTAQLVKANRAKKLLEKRAAESNEDTIRDDTRRAKQIPPLPGLLTNVENHQLVLDLCRTLTLLQRYFEALQIINHALKLGNDPLSDDIKEELRSLGAEIAYRAPDPSPGFDYVRYVVHKHPQSISAWNSYYKVTSRAEEKGHFKFLLRARRDPKCVPPKIISGHRFTAISQHQSAVRDYLEAYKLDPENPLINLCVGSSLINLSLGFRLQNKNQCIVQAFAFLYKCLRIGSNRQEALYNIARAYHHVGLKTLAVIYYEKVLAMEVEDHPIPKLPFEDLQEHQDFRPGYCDLRREAAFNLHLIYKESGATDLARKILKTYCSI >SECCE7Rv1G0462740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:48280252:48281776:-1 gene:SECCE7Rv1G0462740 transcript:SECCE7Rv1G0462740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >SECCE4Rv1G0268710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744401135:744402760:1 gene:SECCE4Rv1G0268710 transcript:SECCE4Rv1G0268710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSFGVSEGNDRAGGGRVTMFVVLSCITAGMGGTVPAAHRLHLLVAGLLTTFLASGVTARRGRRPSMLLGGAAFLAGAAVGGASLNVYMAILGRVLLGVGLGFANQAVPLYLSEMAPPRHRGAFSNGFQFSVGVGALAANVINFGTEKIKGGWGWRVSLSLAAVPAGLLLVGVVFLPETPNSLVQQGKDRRDVAPLLRKIRGTDDVDRELDGTVAAANTATATGSSGLRMLLTQRRYRPQLVMAVAMPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSSVVTGVVGAASTLLSMFFVDRFGRRTLFLAGGTQMLASQLLIGAIMAAKLGDDGGLSKAWAAALIFLIAVYVAGFGWSWGPLGWLVPSEIFPLEVRSAGQGVTVATSIVFTVLVAQTFLAMLCRMRARIFFFFAAWLAAMTVFVYLLLPETXXXXXXXXXXXXXXXQVDRVWREHWFWKEGPRIRGGASDRETLKQMRRDTYSHRYKSPYRVVYCSFRAVAYKL >SECCE1Rv1G0010510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:62919624:62926386:-1 gene:SECCE1Rv1G0010510 transcript:SECCE1Rv1G0010510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPDRREFLYRDGRRHDAAAGDPLLPAPPATPRWRDSPYHPPPPPPPLRDHARPSPRRTASSASSEGYYRQGAGAYDRSYPDEPIGYTPSRSDRYWLEEDPPAGYKGFSRYGGGGGRRDGRDLRGSYRRSPFRSYGGDFPRGHQEPPPPPPLRRSPLRSVAVPICYDPPSDRADREDREHQPRATPWRPLRRRESRSDAADAAGAGPLSARQSATAGAASDKNAPSQSVAVADSQSAEEETPRKKPRLGWGQGLAKYEKQKVPGSSESAEPVAEGSPGGVEQKEVEGAPAPALCASPVAAPAAPALCSSPVAAPAAPPPAPPSSSPVPEDKSCELTANTVTVSNKDIPGAEVQAYNDEIPIKLGQLDGDRIGSLANVLAELLQHEDSCSGDSRRLTNSSKLLLLKENIARELEKTELEIDSLECELKSVSTESENKAVEDAQNPSPSSGTSKSLAKPATSKVLAKPETSKVLAMPEICGTSSSPKEQGVLTPCKLSVEQEADANGVDLMDVVAAPVCSVTAVSSGESVACPGVVAEAQVAVAADVAPLKPSEGTGSQIDAHCLRQEPSLSHDNVSSMKADGSSALSTRQCSHHIDSSNLIPSIIAVNNDIAKEFNELVFKPLPAGQPCLGLSSQMKNDPSVRKKLGIHKNRLRFKEQALTFKFKVLRHLWKEDVRLLSVRKQRPKSNKRTDQSNRASQSGSQRQRSSNRSRLGMPAGNLNTFPTTEISDVANKMFTEFQFKRCRNYLKMPALIIDEKEKESASFVSKNGLIEDPVSVEKERSVINPWTHEEKEVFMQMLASFGKNFSKISNFLQHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQQPTGNYLGTKSGKKWNPENAASLDMLGNAASVVAAHGLDYANRVEKDNAKSIIRTSCRSDVSAVAKGSLDKDGIANVSLHERESVAADVLAGICGTLSPEGMGSCITSSADHGQKIGATRMEYLMATPEADKSFDEDDDLSDQECEVDSVDWNDNEKSLFIEALNNHGKDFARISSYVKSKSYEQCKVFFSKARISLGLDLIYQQTTDAGLPTGDASGGRSDTDEACAAEMDSPICSTQSPVEMEMEVCPSAEKTLQGHLLSGITFKQSETDRSDGPDFVDLTFEEGEIKAEDSNNCNIPVDHRQSSEATHQSTPSCAPIDINSSESTGSMENREHSNQVSVHGNGAMASSTEQPLEISSSLHNVEVIELSKASEKICTQVSSREHAPESSAPISAGNLTTPVFLPGVIQSSDVFEGICTKESSVEGPSQQAPSSAHMKAGNLTLSVCLPGEHCSKVSSIEGPSKYAPESAHVKAGNSTPSVCLPGESGSKENVAHFSDRVGVSCIRPAFSSSYQQSVPTDLLPAKPKSQVTPLTPKDLMPVQFSSDLPDPTSIRFEGIASITSPNFGDHANRVSNTPGPKDMNKFPVFNEQSRSQHDVLFRNIDGYMQHRRNHHLAVDIPAFSDSTVSGSVGISHPDQFTITKYQNGRSGSSGLSSTSAGFLMNGSSKELREGQLKPCSHKASTESCDQVKRPGDVKLFGKILSHQSSLQSSGSSSNVTRSKPPPPTTAAGLLGSGMDRMVYSSRPTNTAHFGQDERVLRSYTHLDGSTVQPESSLFRVAKCQRSSLASVPFYSAKNGTLGVFPEYQQPLRQQLPSDPKRLESYADLQKRNGIELISGFQQQQPGKAARLGGAGILVSPVSDPVAALKAQYGSSSKFLGKDADHPWKDIGNR >SECCE1Rv1G0046240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:615465942:615479881:1 gene:SECCE1Rv1G0046240 transcript:SECCE1Rv1G0046240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase and polymerase-containing protein TEBICHI [Source:Projected from Arabidopsis thaliana (AT4G32700) UniProtKB/Swiss-Prot;Acc:Q588V7] MASGSSRGHVDQFFAAKKRRPPSQHGSPRAAKGSLAGYLVRSPPAAAAAASSAPLAGSPSGARRSLAASMNADIANSAAAMNVDAGDSVAPAPDYGDDLEMKRFTMEFLSHYCSDIPSVMMSDAGKGEPDKNQKRSAVNSFLAPCGDRSAKKQCVARCDAVEFARVKELGDNVPLKCVGNHGASEPLEGLHEGAKLSGEGFAALQRSSFTPYAAQKMAGFSAAPGETPKSASSLISPGEDFWNAAMEFADDVSAMADKGPRRRHCDAAEDKSSCAVALGSKTFPRSGNEEFNCENTVGSNPMKQIDTFSNTAELAAANRQHKNNSPLPVKHLDFFHEDAIQVSGLEGKEKCDTVPGSAQVNQGPPMDSSFHRTENLMHSVDDIKTITLSESKTAWMGHSIDVGGRGSCMIKSDLNQLIHGETKPLVAHSNPGKPNRDSKSKFASQRVETYTPTSSVPLKDHSKLSSWLPHELCAIYMKKGIPQLYPWQVECLLVDGVLENRNLVYCASTSAGKSFVAEVLMLRRILSSQKIAILVLPYVSLCAEKAEHLEQLLAPLGKHVRSYYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEDGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGETSGSSSGKAGATHGLQIIGMSATMPNVAAVADWLQAALYQTAFRPVPLEEFIKVGNQIFDKDMNIVRVLPKIADIGGKDPDHIVELCNEVVLEGHSVLLFCSSRKGCESTAKHIAKYLKVANVGSKEGSEFRDAASAVEALKRCPAGLDPVLEETLPFGVAYHHAGLTVEERDIVETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESILVCRPEEVKRITGIVRSDCPPLQSCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKSFDDVVKSAQDSLRWLCHKRFVEWNNDTKIYSTTPLGRASFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPINVEVEPDWELYYERFMQLSSLEQSVGNRVGVTEPFLMHMAHGAAMPIRGRPKKNTSGGSGANTLINDQSLRVSKRFYVALMISRLAQEIPVTDVCESFKVARGTIQALQENAGRFASMVSAFCQRLGWQDLEGLVAKFQNRVSFGVRAEIAELTTIPFVKGSRARALYNSGLRTPVTIAEASIPEIAKALFENSWSGQDDSGLRRMQFGIAKKIKNGARRIVLEEAEAARVAAFSAFKSLGVEVPQFTTPLLPDSEDSPPRDVMVFPGAGHAKCQESVLGARVGDERNICSDYVAQRASTKIVEEDMHPVSSIHIKESQGIANSVNIASMQEASPLSTTPSRNVADKGPVNAHNFPGGFDGFLDQWSSVNEFSFDLHFVKRSSKLSLTIFEILGLAVCWENSPVYYCNFPKDLTPTGSNDSVELWEGFRRRWSRIVGIMQQKSVKKTTWNLKIQIQALKSPCVSCQRLARLHLDPKTLNNIEVLDSTYVLLPPISVYNGLDICLVAWILWPDEESKTVPNLEKLVKRRLPSEAGAAANRDGRWRNQMHKAAHNGCCRRAAQTRALGSVLMKLLVSQNLSDLIETVEGPLVNVLADMELWGIGADMDACLRARHIIITRLKELDKEAYKLAGKSFSLNANADIADILFTHLKLPVPKGCEKGKLHPTTDKQCLDHLRDLHPIVSVIKEHRTLAKLLNGTLGSICSRAKLCIQSQRYVIHGNWLQTSTATGRLSMEEPNLQSVEHVVDFTTGKNDKDFTSMSMVDHHEINAREFFVPTQENWLLVTADYSQIELRFMAHFSKDPVLIELLSKPDVDVFTMIASRWSGKEESLVSSKDRDNTKRFIYGILYGMGANSLAEQLECSPAEAAQKIQSFKRFFPGVSSWLQQAVASCRQKGYIETLMGRRRFLSKITAGNSREKAQAQRQAVNSICQGSAADIIKVAMLKIHSVITNGSNTVDSMDGLTQNFSQIRGRCHLLLQVHDELVLEVDPSMVAEAVNLLQISMETAASLLVPLRAKVKVGKTWGSLQPFQPEP >SECCE1Rv1G0019910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:246305505:246324821:1 gene:SECCE1Rv1G0019910 transcript:SECCE1Rv1G0019910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSITVMTLNLHEGNQPSESPNSWEKRRDICVSVITSYSPTILCTQQGLRCQLDYLQHCLPGYEQFGISRKGSQDTTDEYCTIFYEKEKVELTEGGTFWLSESPSVPGSISWGATAPCIATWAISFCMILLVEPPGFSFQIVNTNLEEDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGEKQGAVEFLKLVFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLAEMPS >SECCEUnv1G0537360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68672365:68674260:-1 gene:SECCEUnv1G0537360 transcript:SECCEUnv1G0537360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSAFRLARLSLARGKQHSSAALDAIGDDPLATGSGQLVVGVESQYRVVYRLVNSIYVLGVTTASDHASPAVHAFAVADAVNQAVSVVVAACRGVDVTPDKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEARARGADSWPVVEHLAQDRHAARDAFTGASFELPQETLSAGDEFAASSLAPAAAAATGDEPPPEEAPPVEKDPFAASDMVVSKPEEALVGAFKKNKETALVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLAEAFEGFNAPFGGGLDASEFVTTTKKDHKDKTLTGLELLAMSQAPNAASSGSQLDSLLVTPSKEMTVPELCIVEEINAEFNESVLARVGLKGTIFLRTLPPKKAAGRETEFSFRLEGTSGMKRAALQSTVLSSLENGLFHVKTPSKEEPIPIMKYSFLPKHSPLPLRMRLVKRHSGTLLSLMIHYASNPMLPQPLSNVTFIVKLPVDPTLLNVSPKAVLNRAERELRWHISDIPLKGPAGRLRARMPVDQDSKDGELEVVGMVKFAYQGPFTLSGIKLCPAINSTAQFNEVGHTFSSGSYRCI >SECCE6Rv1G0425090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:704188779:704191212:-1 gene:SECCE6Rv1G0425090 transcript:SECCE6Rv1G0425090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSRRQRAAKLMAKPSVKAESSLHKLKVNPDDRISKLPNDILVNILDRLGFRDVTRTSVLSRRWSQLPANLSRLRISARDFLSPQTSISNEELDEKLLRTNALLRINAANAAVVKATKSTLAHRDPGGCTIRLLSTTFYLRGDTPISVGHTVGNAMATLKVEKAEFTVLTQHKGRKISIDEMLKFGTRFVSFFNECHNAFAGLTRLYLENLRFRESDFVSNIPVTCKQLNYLGFYNCDTEDWITLRVEHAQLSELSIVGCRFHMVELTWVPKLTWLAFLYWLSVPELPLSLGYVPLLGVLRLSNTACNFHKNVKLSTFLHETSVRDLTLGFKCEKIWVQPECLTRRQAYVFQQLRILNLVKIPEGYDLTWTMFFLEAAPSLEELYMMVLDHPCEMEMNQEIRRQSYSENKGIEWESPTSNFKHHCLAKFILVGFQANKDYMVTHVRRVLKAAVNLQDVYLYDKLACAKCLKKVENAQDYDELVRSMCPGVNLPIKFPYANEDQRAVQKRMPRGIGSLAKIHFISFDDMKAEHRPRIGASSMVAMEDEWISKLGLRI >SECCEUnv1G0550080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:212454342:212456995:1 gene:SECCEUnv1G0550080 transcript:SECCEUnv1G0550080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASKLLYFQRRPSPAPPDPGPPEPPGGRRRPCRGASASGPRRQRSSAVSNHHHHHHKPGQELVSANQRDGAKLMGCAGNKTEHIGVACSSSRLSRSVSDHGRLPDAVQQARERLLQRLNSVDLSGRRENTSCSETIWAGVVTHPADIGVSNLADSELGSLTSYFQSSVSITTYNKVQETFSGCFSVMDKSMHVTPCTEPVPVLHEMACEDVEEGENTGPSLECSICLESCGEADGLIQLRCKHVFHSACLEQWLRSHGDCPYCRARVLLTSEA >SECCE7Rv1G0513290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:820599122:820604234:-1 gene:SECCE7Rv1G0513290 transcript:SECCE7Rv1G0513290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 16 [Source:Projected from Arabidopsis thaliana (AT1G11660) UniProtKB/Swiss-Prot;Acc:Q9SAB1] MSVVGLDVGNDTLVAAAARQRGIDVLLNAESKRESPAAVAFSHSARLLGAHAAGAASSHAPFSSPKRLLLLASRPAPRDLPRLPFPVDVARSGAGAGGARVHVDHLGRRIALSPTHILAMLLAYLRQLAEDDLEAPVADCVISVPCYLTQAQRRAYVDAAAVAGLRPLRLMHDLAATALGYGLYRSDLGVAGGPTFVAFVDVGHSDTQAGVVAFDPSGMKVLSHGFDADLGGRDFDEVLFEHFAEEFRDRYKIDVVGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVRGMIRREDFEKLCAELLERVIEPCKRAMADAGIGLDKLQSVELVGSGSRVPAIARVLAGFFRREPSRTINVSECVARGCALQCAMLSPTFRVREYEVQDVIPASIGFCTNEGPISALTSNALFRRGQPLPSVKIITLHRNSGFTLDAFYVDENELPPGTSTQIGSFEIGPFQAHSEKSKVKVKIRLNLHGLISVESAVLIDDDQRDSNSADSMEVDSSDNMDHKSRNERPMHRQDLQIVESIYGVMSKQELLEAQEQEQQLAYQDKLVERTKERKNALESYVYDTRNKLSERYRNFATDSEREEISVNLQQTEDWLYEEGDDETEAVYTSKLEELKKLVDPIEYRCKDEEARAEATRELLKRIVDHRMAAKSLSAPERDAIDNECAKVELWLRESSQLQESLPKNVDPVVWSHEIRKKEEELDMSCSKIVTSRARGHGNDDGC >SECCE1Rv1G0013700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:107671938:107676920:-1 gene:SECCE1Rv1G0013700 transcript:SECCE1Rv1G0013700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLGALALKYTGLAVSDHDSIVAINIFIALLCGCIVFGHLLEGNRWVNESTTALVLGLITGGVILICTKGVNSRILIFSEDIFFIYLLPPIIFNAGFQVKKKQFFRNFATIILFGAAGTLISFVIITFGAMGLFSKLDVGPLELGDYLAIGAIFSATDSVCTLQVLNQDEAPLLYSLVFGEGVVNDATSVVLFNAIQNIDINHFDVFVLLQFIGKFLYLFFTSTVLGVAAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFIAEIFLFLYVGMDALDIDKWKLASSSPKKPIALSAVILGLVMVGRAAFVFPLSFLSNLSKKESHPKISFNQQVIIWWAGLMRGAVSIALAYNKFTTSGHTAVRVNAVMITSTIIVVLFSTMVFGLLTKPLINLLIPPRPGTAADISSQSFLDPLTASLLGSDFDVGQLTPQTNLQYLLTMPTRSVHRVWRKFDDKFMRPMFGGRGFVPFVPGSPIERSVHGPGLLGTVTEAEDRS >SECCE1Rv1G0026470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:371293264:371297150:-1 gene:SECCE1Rv1G0026470 transcript:SECCE1Rv1G0026470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGSSNIGFQLLKKSGWKEGTGLGAQEQGRLEPVETRVKNNKRGLGSKEPKPKPKVEDDVETAPSKRPKQGAPTTKKAKLAAKRIRKMQEEEKRAQEREFEIAFFREFWPDNV >SECCE4Rv1G0275850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:795764240:795764869:-1 gene:SECCE4Rv1G0275850 transcript:SECCE4Rv1G0275850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALTSAGRRVAGQARATPPLASCRNGASMNHSSATARREEEQYEDVRSAAAAMTGAQVEAALNRKNVEVLQGEEHVATVLPDETIGGALDGGEEDAAWVPDQDTGVFGPAHVDTHGGDAHPPAPPHLYGGATAATPGGSASVLDQAVFVREEDMEDVERPAAVDMANANADVNASIKNY >SECCE3Rv1G0176760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:449551144:449602090:1 gene:SECCE3Rv1G0176760 transcript:SECCE3Rv1G0176760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFYAVGFWIRETGQALDRLGCRLQGNYFFHEQLSRHRTLMNIFDKAPHVHKEAFVAPSASLIGDVEVGQGSSIWYGCVLRGDANNVQVGSGTNIQDNSVVHVAKSNLSGKVFPTIIGDNVTVGHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMIAAGALVRQNTRIPCGEVWGGNPAKFLRKLTDEEIAFIAESAANYSNLAKAHAVENAKPLEKIDFEEVLHKKFAHQDEDHDSMLSVTREVPPELAPPSPTPAQ >SECCEUnv1G0539110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75137563:75138459:1 gene:SECCEUnv1G0539110 transcript:SECCEUnv1G0539110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMYIRATGETRSFSSTTSIWGNDRFMRRDALEHSVDLKCDCLTIRCDVMVVRNSRVDDDDDAGGRGHDTKVLLPDIHQHFNSLLQNKVGADVAFQVGGETFPAHRCVLAARSQVFMAQLFGPMKEASSSVIQIKDMDPKVFTALLSFVYTDSFPDMYEDKIKLSELCKDTVQGQEDEMSEAVGQAQNGEAAEDEMGLLQWLQGLFVAADWYDLQRLKFICVKQLSQRIGVSSVASTLALAEQHHCRGLKEACLKFIQVQSPSCLQTLMASNGWGHIVTTYPSVLNELIAMLASNQRK >SECCE6Rv1G0400800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:457983707:457985195:-1 gene:SECCE6Rv1G0400800 transcript:SECCE6Rv1G0400800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKKGLKKGPWTPEEDKQLVDFIQANGHGSWRLLPKLAELNRCGKSCRLRWTNYLRPDIKRGPFTAEEQKSIVQLHGIVGNKWSMIAAQLPGRTDNEIKNYWNTHLKKQLRLMGLDEPPPGPTAGCPAARHMAQWETARLEAEARLSLLSSSGAAARATTSGSASSSSTAAAGAAVADHAKPADVFLRLWNSDIGSSFRKAAAPVSVKEEAVVPGDDSSAASNEMDAAAAEYQMFLDFAGEELGLFHGRHGGFSLFPPLDVLAEASLDTAFQEDR >SECCE6Rv1G0404580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:521149458:521157932:-1 gene:SECCE6Rv1G0404580 transcript:SECCE6Rv1G0404580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAASPKSDRAALLKAFDESRTGVRGLVESGVSTVPGLFIHPDPYASVPLAPPGVSIPVVDLSLPAHLAAAAAEAAARDWGFFYLVNHEALVPSGHPARALAAVRAFNERPAPERTAHYGRDLAGGVSYASNVDLFRSPTASWRDTVQVMFGPTPLNTERIPSVCRSEVIEWEAHATTVARAVMALLSEGLGLGDAALEETSCLEGKLMVCHYYPVCPEPERTMGIVPHTDPGVLTVLAQDGVGGLQVKHTNGDGESYWVDVKPAPGTLVINVGDLLHILSNDKYKSVEHRVVMNSREEARVSVGVFFNPGKRGESVLYGPLPELVSTENPPKYRCFAMSEFLGAFYKRDLASKALLEHFKL >SECCEUnv1G0551080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:228935523:228936371:-1 gene:SECCEUnv1G0551080 transcript:SECCEUnv1G0551080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLGYTCGPATIVPSTVYWSADHRRKTQALMTWTVTCTYSQQLASRYPTCCVSFSSFYNSTIVPCAKCACGCGAHKSMGGRGGKSHSDGCIMGDSKRALTPGVNTPKKDGAQLLQCTNHMCPIRVHWHVKLNYKDYWRAKIAVTNFNYRMNYTQWTLVAQHPNLNNVTEVFSFQYKPLLPYGNINDTGMFYGLKLYNDLLMEAGPFGNVQSEVLMRKDDRTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNSAPLVTPRSVIAAASACFLVLLLLVA >SECCE4Rv1G0277210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:802163448:802164839:1 gene:SECCE4Rv1G0277210 transcript:SECCE4Rv1G0277210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLPDELVEEVFLRLPPDDPAALVRASLASKPWLGVLTGPSFRGRYREFHGAPPMLGFLYSGPGGFGHEEDPAPRFVSTSKFGARIPDFASTAPDFDFVVAWDCRHGRVLLGDAEVDPTELVVWDPMTGCTRQLGGPDDIDQIVVLCAVSGCDHRSCHAGPFKMVCVAVDISVDGERVARVCVLSPDMGDSDEWKEPCPGLDLAADAFIRHMPSVLIKNALHFMVGYHDDNYRVGILKYSLTSDSLSLIDVPFAARADILMAMKDGSLGIAHVDSRLTLYVWSRQIDSNGVASWTHGRVVDLKNIIPIKNPKIRPSLIGSVEGSDIIFVNTDLCVYEIDLKTLEWKERMKGGYVYSLIPYMSFHNPPERVIPGDAAR >SECCE3Rv1G0151690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:40671898:40672998:1 gene:SECCE3Rv1G0151690 transcript:SECCE3Rv1G0151690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGGSHMQGHRAPRLFGRERPVHAALGGREAADIILWRRPKVSASILGAATAAWALFEVAEYHFLTLACYAAMIAMLTFFIWTNASAFMNLPVPRIPETILSERTAKKVILGLHRRLTWFVHRLYAIACGEDIKKFIMTVVSIYIASVVATCFSSLTLLYLVVLMTMTVPALYERYEHEVEHLVATGARDVRTHLARMDSGVLRKIPRGKGATTTAAAHGHGATPNNVHGWHRSHVN >SECCE4Rv1G0229450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:149167955:149169400:1 gene:SECCE4Rv1G0229450 transcript:SECCE4Rv1G0229450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSVARIMSFAHFGLKFLPKRLALSPGSLWKFRKVSPAMETEIVSRKLPELPQDLLRKVSPPMETETVVRNLPELPQDVLMDIFSLLEIPDLMRAASVSSSWRSAYTSLCSQLKLYKRPQTPCLLYTSESAGENVACLYSLAEKRVYNLTLPDPPIRSRYLLGSSHGWLVTADDKSELHLINPVTGQQIALPSVVTIGYVEPIFDNAGTVIMYKLREQLYDPDLDPEMVGPEMFPHAPDKLRDHVYIRVFIFPDPSTGSYIVVLIHGPGCQLSFARVGDCKWTLLTPDWDYDQCIYMDGLLYASTRAGRMDAFDITGPTATRNIVADEIAIYSSEYKGEFYLLQAPWGDLLQVCRKAELIDAGYKELIVKTNKVLLHKVDMEAQELVEINSLHHNVLFLGRNLSICLSAEEYPQLKANCVYFADDEQHNWMYKTNPRDIGVLNLEDDSREEIVSPLWSSWPSPIWITPSLTAMNLSLYK >SECCE5Rv1G0356850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742087684:742088193:-1 gene:SECCE5Rv1G0356850 transcript:SECCE5Rv1G0356850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHRSRAEATITSLARERTIPRYHTLLAVAIASGANMSMLAMVMACALLLASSTCHAARHLADTTPAAAASAASAVPGLPAVPALPAVPTETVTLMPPMPSVTLPTVPQVTLPPMPAMVVPKVTLPTVPQVTMAPMPAIVIPKVTLPPLPFIPNVNVPMPFAAPPPSA >SECCE3Rv1G0161850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:123289164:123292053:-1 gene:SECCE3Rv1G0161850 transcript:SECCE3Rv1G0161850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFKVTRISEGAVKPASETPDHTLPLAWVDRYPTHRGLVESMHIFRSGADAAPAVIREALGKALAFFYPLAGRIVEQPEKGCPAIRCTADGVYFAEAVAECSLEDVRFLERPLLLPKEDLVPYPADDLWGVEPHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFIKAVGDMARGLPEPAVKPVWDREKFPNPSIKPGPLPELPVLALDYIVLDFPTGYIDGLKTQYKAHSGKFCSGFDVLTAKLWQCRTRALNLEPEATVKLCFFASVRHLLKLDAGYYGNSIFPVKMSGTSKKVLESSVMEVIDMIREAKQRMAVEFFQFAKEETQQDPFQMTFDYESIYVSDWSKLGFSEVDYGFGPPMFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKEHSEEFARGMKEDLP >SECCE7Rv1G0484350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343816120:343816833:1 gene:SECCE7Rv1G0484350 transcript:SECCE7Rv1G0484350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASTPSSPRSNKTEVEQQLQSLSTTISSPSATIDTVCDGLRRLGDIYSCIDNMVCTPSSQISLCKTVERKAVEVELGRSLILLDLCNGMRDSFMELKMTVQELLLALRRGEDVSSQVKAYVRLANKVQKQFKKIIKKTASDKNDCRVVVLLAEAREITISLLESTSSILSKQVEMPKWSLASKAFQRSKIVCEEEQLQALEHNIGDLESGVELLYRRLIQNRVSLLNVLSS >SECCE1Rv1G0043260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:591308256:591309326:1 gene:SECCE1Rv1G0043260 transcript:SECCE1Rv1G0043260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIAAAGKPSGSASAIVAASARGNHTLKIDGYCCTKVTPTGEFLQSSQFSVGGHHWRLNYYPNGVDSQSAGYISIYLKRDEIATEDVKAMFTISFAKVAEKRLPWPWACTPVSGFGGEQMRGYPKFIKREDLEKSEYLKDDSFTIWCEIVVLQDICTKEARAPKFVTVPPPQLNQHLGDLLKTEKGADVVFEVGGESIAAHRCVLASRSSVFSAELFGAMKEGNTASVIRIDDMDAQVFKALLYFAYTDSLLETEKEDEEAMYPHLLVAADRYDMERLKLICEEKLCEYINIGSVPTILALAEKYHCNGLKKACFDFLSCPARRSAVVSTDGFKHLYKSFPSLVMELIAMPSAP >SECCE2Rv1G0131620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:885713983:885716697:1 gene:SECCE2Rv1G0131620 transcript:SECCE2Rv1G0131620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAPLNVDVVAVNTTTQSSNVSTATKAFNPVVCYSPMMMTTNGMWQGEGVNPLEFSLPLFIVQVSVIVVTTRLLVVLLRPFRQPRVIAEILAGVVLGPSMIGQSEVWASLVFPVRSLLTLETVAHLGLLYFLFLVGLEMDVDVIRRSGDKAVLVAMAGMALPFCMGIATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLNTELGRTAMSAAIVNDMCAWILLALAISISEVDSTALSSLWVLLAGVTFVLFCFYAVRPLMWRLIRSIPEGDGVSNAQITLILTGVMIAGACTDAIGIHSVFGAFVYGLVIPSAPLGVTLIEKLEDFVTGLLLPLFFAMSGLRTNVRMIRDPVTVGLLVLVFVMASFAKIMGTIIIAALYAMPFREGIALGFLMNTRGLVEMIVLNIGRDKQVLDDESFAVLVLVSMAMTSLVTPVVTGVYRPSRRLVGYKRRNLQRIRHDSELRMLACVHTTRNVPSVLSLLELSNPSKRSPIFIYALHIIELTGRASNMLAAAAASSSSRTSSSSALPAATEHIFNAFENYERLTGGVSIQTLAAVSPYQTMHDDVSVLAEDKHVSLIVIPFHKQQTVDGGMEPINPSIKGFNESLLATSPCSVAILVDRGLSAAAARMATEHRVALFFFGGPDDREALAYAWRMVEHPGVSLTILRFLPPDYRAAARSFSEASYRSAASGGMDPRGAPMSASTEGKSELQMDEEYLGEFRARNHGHPAITYTDRSVTNSEETVAAIRGMDNSAHELYIVGRRPGEAGSPMTAALEDWMESPELGPIGDMLVSSDFSMAVSVLVVQQYVVVGAPMAAAVPAPSSDPVRQYVGNANQRSGAYRASPASSTTDSRWSNDTVGF >SECCE5Rv1G0352850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711857776:711860042:-1 gene:SECCE5Rv1G0352850 transcript:SECCE5Rv1G0352850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRLHGTIHATIVGADNVHDQSRITGIVPGLFGFFGNVVQGLQEASGVGRGMPRMYATVQLDQARIARTRTVVVPGAGYPRWHEDVRAYCAHQASHVVFSVMVEQLSTYDDTLLGRAYLPVRELLAVAPGNTIERQLDVLGAYNNRLYGGPKIHVRISFRDVDLEGIPFGFTGVPNTFFSQRQACRVTLYQDAHASDTFRPTIPLAGGGLYKPGRCWEDLFDAISNARHLVYVTGWSVFTEITLVREGQRPGGEATLGELLKRKAREGVRVLMLVWNDPSSSQLLNDLGVPTSTWSLMNTSDEETAKYFRNSGVHCVLCERNMRVVYQIGQAQAGSPQLMPHLAVTHHQKTIIVDQELPHARGQRHIISFLGGLDVCDGRYDTQSHSLFRTLGAGQAHAKDFHQPNFPGASLHNGGPRGPWHDVHCKIEGPAAWDVLHNFEQRWRKQGGGNNLLVNLVALASTVVPLSSPVILPNDPEAWNVQVFRSIDDNSTLGFGGTKDETHKAGLVPGKHQMIERSVQDAYIHAIRAAKRFIYIENQYFIGSSFQWKANGGKNPADVGALNLIPRELSLKIVRKIEAGERFTVYVVLPMWPEGAPTDDTPQAILDWQWRTMEMMYADIALALHKMKMNDADPREYLTFFCLGNREVKRSGEYQPAGRPLVGTHYARAQNARRFMIYVHAKLMIGTISINSFLPILYVLYILGMFLT >SECCE6Rv1G0411120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:605560436:605566052:-1 gene:SECCE6Rv1G0411120 transcript:SECCE6Rv1G0411120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPDRDADDKGVDLWELFRHYDELYFRGALAGAGFSVEWAYPRMKTITSFGSCSFGDLSKITLYKPMPQYCTKADLKNALLHLMIHAIVFVKRGLTSLGHGPAFRDWMDAINTSPIEDYARPIGGYCITTTHDFSQEKPCNMQGFLWKCESCGDTLVRAKSLGPPSDSCCIENISQDATCGNMLCHWHNHKMDCGGTYVVTKPAVTPGQKMAPKGTLRLLTGTTKMSKSQQGAVQQSDPDSDEVQENRSLKKARKSNMPEDFQKAIVLSAAPRRRLKPKQELLAWAMREQLLTGSCDDAKSLGSSSSKKADDSQKAIVLSAAPRSRRLKRKQASATSEEQGFLSPASRNKANSPRSNTSRKEVRKSSVQPCASQKKLKLGPGLAALEKHISRPAATQSMLKQPTRTGSSMKSRKQHQPEDSPRITVQPAVPLTRSKRKQSNRAVPERQAARSEARASAEEKEYVCFSLWQNFYESECSSGSAEPLVNKRSVRRKRERERLVHSRSIEARPDEEVSSGQTKPPSLHLEVTAIDDKVMSEAPRGQSQPPATSMDVLAPPDHQVASRAPGAGGQSQPPAPSMDIVIPPADHRGRSDPSTSMAIAAAVAPADQVMTQATADQSQPPAPCSIAAGQVVPPRSADPPGLTPPNPRSWPAVIDISDDDDD >SECCE6Rv1G0401400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:465506398:465508662:-1 gene:SECCE6Rv1G0401400 transcript:SECCE6Rv1G0401400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPAPFVHRLRLLRSAVVSPSSAPLPCSRPRLAIPFAQPQLRAPAFAAASSPLLRPVGARFSLFSSMAATASSASSVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELAQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGDNVAPVYKFLKSSKGSLFGDNIKWNFSKFLVDKEGHVVDRYAPTTSPLSIEKDIKKLLASS >SECCE4Rv1G0291380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876496319:876496858:-1 gene:SECCE4Rv1G0291380 transcript:SECCE4Rv1G0291380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTNPERVRAMVACAERLGMPRGSGMLRQALQAVAFLNEDKIADKVDYLKNTFSWSAAEVVIALSKAPMLLKISKDMLQRKSEFLLSEVGLEPVYVAHRSEILGLSLEGRLRPRYYVLNFLKENRLVDRDLSFYTAVIRNEKYFIDKYICPHMEAAPCLAEDYATACNGEVPTNFRFT >SECCEUnv1G0539280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75467914:75468489:-1 gene:SECCEUnv1G0539280 transcript:SECCEUnv1G0539280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDLDFSSMSMSMGSYFDDVLVGGTPAEHLLACCTHTHTCNPDHLPHTHTCHHVHSKLAASASSDADSPTEPEDAHATSRSKKQRRPSGNRAAVRKYREKKKEHTAVLQEEAARLRAMNEQLSKKVQDHAALEAEAARLRCLLVDVRGRIEGEIGAFPYHRRPAKGDGPVMMSSCGFVRNCEQPPVCFH >SECCE7Rv1G0525540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:891194467:891195735:1 gene:SECCE7Rv1G0525540 transcript:SECCE7Rv1G0525540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITKLLAHTTSIMAHVQTENEVMSTDDFLQAQAELYNLCFAYVKSVALRAAIDLQIPDAIHRRGGSATLSDVAAETRVHGSKVSHLRRLMRVLTISGVFSAEGRDGDVVYKLTQVSRLLVAGALSPIVPVLVDPLGATALFSLGEWFTDERASALTLFEVAHGCTRSEMTAKKGTGGLFNAGMVADSRIVMEILLNEHMGIFEGVGSLVDVGGGNGAAAAAVAKALPHVKCTVLDLPHVVQGAPTGGNVQFIAGDVFQYVPPADAVLLKWVMGQWQDEDAVKVLRRCKEAIPAGGKVIIFEAVVGSDSGEDAPHRETQLLFDIFMMRVDGGGERNEQQWKKIIFEAGFSDYKISAVLGFRSIIEAYP >SECCE7Rv1G0498590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:641942848:641947772:1 gene:SECCE7Rv1G0498590 transcript:SECCE7Rv1G0498590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MEELRLGGGGGGGRGKPPIPSSASARKPVLSKHASFARVPLSNTKSETERAFESTDVEYIPVVRSGGWADIGSRSTMEDVYICCDNFLRDFGPENCEEGPSSFYGVFDGHGGKHAADFVCSNLPRFIVEGDGFPGEIEKAVSSAFLQTDAAFADACSVNSSLASGTTALAALITGRSLLVANAGDCRAVVCCRGKAVEMSRDHKPSCNREKMRIEASGGYVYDGYLNGLLNVARAIGDWHMEGMKACDGLGPLSAEPEVMMRNLTEEDEFLIIGCDGIWDVFRSQNAVDFARRRLQEHNDPVACSKELVDEAIKRKSGDNISVVVVCFNSIAPPVLTAPRPRVQRSISAEGLRELQGFLDSLAD >SECCE4Rv1G0294380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:890146085:890147248:-1 gene:SECCE4Rv1G0294380 transcript:SECCE4Rv1G0294380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCFSLVPPLDDEDLLQEILIRLPPLPSSLPRASTVCKRWYNILTDPRFLYRFRKHHRKPPLIGFFDKEHCQAPVFTPMLDPPNRIPVSFTLPENLPAWEPWVFFGCRHGIALFLDRPRHEAVLWDPYTNFQRRVAFPPGFDNRQASFIMSAAVLCSAGDEQHVHGDCHQSPFKLVLACHDTKCTKAFVCTYDSMSGIWEDSISIATTDMICRDRPSILVRNALCWLLHGGGILEFDFERLTLDVIEKPASVDVTNSFGIDWSFQIIRGEDNGIGFAFLPKPELSIQLWARRSDCDGVVSWVLQKTVQLDELFTRPLRSGMAKLVLMPGYDEDTNVIFLSSVSHDFMLQLESMKFKYIGRREYQSSRIYYPYANFYTAGNISYLA >SECCE2Rv1G0089270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:255091925:255095521:-1 gene:SECCE2Rv1G0089270 transcript:SECCE2Rv1G0089270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHRMLYRLAATILIAFLLTPPAAAQPPWQICGKSGNYAANDTYQSNIRQLSATLPKNASASRTLFATGSIGSLPDIAYALALCRGDGNASACEACVTTAFQDAQQLCAFNKDATVIYDPCYLRFSNQNFLATTSNDNLIVLENTQNVSSPVDVFDAAVQTLLNATGDYAAANSSRRFATGEEGFDTSNPTIYGLVQCTPDMSPADCRSCLGDIITQMQRHLSGRIGGRMIGARCNFRYEVVPFFSGSPTLRLPAPPAPPAPPPAPVDVTPTATPGGKSANNVLAIVLPIVAAILAITTVFLCYLRRRRRRRPVRSELPHDSTNHEDVDSIDSLIIDLSTLRGATSNFAEANKLGEGGFGAVYKGDLPDGQEIAVKRLSRNSGQGIGELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDPERKKELDWGKRLKIINGIARGLQYLHEDSQLRIIHRDLKASNVLLDSDYTPKISDFGLARLFGADQSREVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGILMLEFVTGRRSSGSYNFDESVDLLSLIWEHWSTGTISEIIDSSLRSHAPGDQMVKIFHIGLLCVQDNPADRPMMSTVNVMLSSSTVSLQAPSKPSFFITKNGTYSTPYSESSYPTASKSTAKSAMASPNEVSISELEPR >SECCE1Rv1G0023260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:301326747:301328627:1 gene:SECCE1Rv1G0023260 transcript:SECCE1Rv1G0023260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRGVGMSAPQWRGGALDLRAALRSGGNLLFGLFVAAVLAFTLLAAVHSPDDPLLHPSSHQLTAFLTSATSNSTFLADDSVLRTGEDFATGSNSSEDAPATVGPKFIELSDVGSDKTEAETEQSVTVDTDTDSDANSAAQEEKPIVEAVSCDTDAPVDCTGDRELFNLLMRTSIERFPDLHFYRFGRPVVVPESPMACDLAWRFRPAEDATGRTTYYKDYRRFTLTRDVNTCSLVVESIGEYHSGTGAKRSGRRKGKKGKKGKREAPVTTDFVPAKTQLRIDENGANADTTTAADQVFVVGDAVNDSMPVVASESDFSRGRYLIYMGGGERCKSMNHYIWGFLCALGEAQFLNRTLVMDFNVCLNSRYTASGKDEEKDFRLYFDFEHLKESASVIDQSQFWTDWGKWHKKDRLKNHYTEDIKVTPMKLRDIKDTLIMRKFGHVEPDNYWSRVCEGETEAMIKRPWHFLWKSRRLMEIVSAIASRMSWDFDSMHVVRGEKAQNTQLWPNLDADTSPENLLTTLNDKVGAGRYLYIATDESDKSFFDPLKNKYKTRFLDDFKDLWDENSEWYAETKELNNGNPVEFDGYMRVAVDTEVFLRGKRKLETFNDLTHDCKDGVNTCAAAS >SECCE4Rv1G0280530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:822357994:822358641:-1 gene:SECCE4Rv1G0280530 transcript:SECCE4Rv1G0280530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAQCTTKLLILVFSSSLGGGQGQWHAHTFDPWSRQAIFASDGPYINCHGGLSNRQFIHGYFYWHLDYRNELLMLDVRAMELSAVNLPPERGTNCFALVEAAQGMLGLLTEGYDNDGHDSKPYWLTYSILRNNQWHLDKLIPLPVDDAALIGVAGGYLLLAALYTTSAQETLDFGCFSIDVKTFQVEFFADLSKHFIYGRLYAGFPPSLCAPTI >SECCE7Rv1G0481770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:290034318:290036685:1 gene:SECCE7Rv1G0481770 transcript:SECCE7Rv1G0481770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSEPSDESNKPTQMDLEDQADAKGKGKADAAGEKEGKGKGDELADSIGSLSIGPGRTNFKKKPVIILVIGMAGTGKTTFMHRLVCHMQASANMRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKEYGLGPNGGILTSLNLFSTKFDEVISVIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASIFPTVVAYVVDTPRSTNPVTFMSNMMYACSILYKTRLPLVLTFNKIDVAKHEFAVEWMQDFEAFQTALDSDSSYSSSFTRSLSLVLDEFYNNLRSVGVSAVSGTGVDAFFEAIEASANEYMETYRADLDKRIAEKERLEAERRNENMERLKRDMEKSKGQTVVLSTGLKDKTPASEMTGDADEEEEEEALEDFRISEDDDDEDEGEDDEVTHFGF >SECCEUnv1G0562670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:367738557:367740038:1 gene:SECCEUnv1G0562670 transcript:SECCEUnv1G0562670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAAVVIVVIVVQVAVLWPFASAQLRADYYAGVCPNLEGIVRSSVKQSMVKSPVSAPATLRLFFHDCAVTGCDASVMIVGSTGDNGNADDYSLKPEGFQTILDAKAAVDNDPQCRYKVSCADIIALATRESVSQSGGPNYTAELGRYDGRKSTDKSVKLPHPGDNLDSLNAFFSTLGLSQTDMIALSGGHTLGAADCGFFKHRTGGNDQSMNPSFDAQLQGTCAKQNFASLDDMTPIGFDNFYYRNLQTGRGLLGSDQVLYTDERSRGTVDFYAANQGTFFSDFVVAMTKLGRVGVKTAVDGEIRHDCRYPN >SECCE2Rv1G0141400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935563682:935566359:-1 gene:SECCE2Rv1G0141400 transcript:SECCE2Rv1G0141400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSDMEMLMEDIISLRRERKDLLESLTPKISCWRDHISGAASSFQSDSQQVYLTDDFDILEDVEDLGQRMLSLAHSLSISHAPISLYRADELVSTASKLANISLDFLDSTEATMEEERDRMMEIRKTEGEGTAEELDEEMEMRKKTKQTQEKKMRNDDELSKLFLSNEFLKLSSNVLDRPYCYKNELAMLFTVEDEEEYERVREAEREAERQRQKSKQRRQRDKSKQQIVVKKERANKQETKSSADQLKEGLDYELGFFADYRRVWGYSNGSNAGRCGDFEDKTTLSPLQFTHCTPGILPPRAAVTESTLQIYSFKLVGLTEQLKWPLYVYGVVAARDTVDRNRNLLFSRSRIMGQLLSGEDSNLRLTGPSRAILSVDYVDFEVELKVRDGDDERSDTQLMCVSKRYEEADGDGERQPLLFHSPLCSAELRFESVPTTVQATILSVRVVGGEFLPFSSGGQVACTVAASCERVVLFDSTEKITREDDEVDLDGYIPLSRNAISVEFEKGVTVEVIAYVDSGSISDRVHFPSNWCNISQDRCSICGSEVVITVAWSRVVRDKMEMLLEGYAAQV >SECCE5Rv1G0365040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:804080104:804083322:-1 gene:SECCE5Rv1G0365040 transcript:SECCE5Rv1G0365040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGILVSAATGALDAVLEKLATLLVDEYKLRKGVRGEIKFLIDELTAMHAFLLKMSEDEDPDVQDKVWMAMVRELSYDIEDSIDDFVQGGEDSVDDKDAKPDGFLKKIKHILGKLGKRKAHHRMFEDLKKQVIEAGERNERYKTRQVFSNTKNAAVDPRALAIFEDASKLVGMDEPKAEITEMLTKEDEVASTQLQKVKMVSIVGSGGMGKTTLANQVYQDLKGKFECRAFVSVSRNPDMTNILRTILSEVSCQDYAHTEAGSIQQLISKISGHLAERRYFVVIDDIWDVKTWNVIKYAFPMTRCDSVIITTTRMSDVACSCCSSIGGHIYNIRPLNMAHSRQLFHGRLFNSEEECPSSLEKVSSQILKKCDGLPLAIIAISGLLVNTGKTEHLWNQVKDSIGRALERNPSVEWMIKILSLSYFDLPPHLKTCLLYLSIFPEDSIIEKKYLIWRWIAEGFVHKEGRYSAYELGERCFNELVNRSLIQPVKLGKYDKVLSCRVHDTILDFIVSKSNEENFVTFVGVPSLTISTQSRVRRLSMQVEGEGHSAMPTSLVLSHVRSLNVFGDTVKIPSMLEFTHLRTLDFGGCSQLENHHLANIGRLFQLRYLDISRTEVSELPEQIGRLRCLEMLDITGTDISDLPASIVNLGKLAHLRVSGHVRFPDGIAKMQALETLKWVHAWQSYNFLEELGQLKNLKRLDLNHMDVAQEHKEVIASSLRNLCTQNLCSLSMWNDHDSILLNTWCTAPPLNLQKLVTFDSTRPKLPDWVGLLVNLQKLRLQLERIQHEDLCILGALPALLTLGLDGMNDQSSCEDRRLTVSPEVGFRCLRVFTYMVQEDRMMDLMFTARCMPKLEKLEIIFSGNVENESLSSAG >SECCE2Rv1G0114600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:736429982:736433441:1 gene:SECCE2Rv1G0114600 transcript:SECCE2Rv1G0114600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRWLPLEANPEVMNQFMWGLGVPEDVGFCDVYGLDDEMLAMVPHPVLAVLLLYPQDERKESDASATSTVETKEPNKKVYFTKQTVGNACGTIGIIHAIGNAVSRIKLVDGSYFHRFYKQTADMDPIQRAAFLEEDQEMEDAHSVAAAGGDTEAKDGVIEHYVCFTCVDGELYELDGGKPQPIHRGPSSPDSLLQDAARVIKARIVEYSESLNFNVMALSKM >SECCE6Rv1G0410750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:602212944:602214217:-1 gene:SECCE6Rv1G0410750 transcript:SECCE6Rv1G0410750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSLAAASPLTASTPHGLAVSAPRAPFLGLRAFGASVTRFAGLAAAPRPSGRGDAAVVRMAKREQELEEIRAMETENLEQEVVDLKGELFLLRLKRSARQEFKSSEFGRMRKRVARLLTVRREREIEQGINKRNSRKLDRKWKLGIVVGPPPSLREKKEEE >SECCE7Rv1G0476510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:205763112:205782294:1 gene:SECCE7Rv1G0476510 transcript:SECCE7Rv1G0476510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEEPAPTPTPSPAKPSPSSSDAKRLRRCVQSRLPFGSGRPGGGGGGGVAPPAPAAEEAAGKEAAEEPEKGKRKARPRRSAAARKPSSNKETTGLDQGSKDEVILVDESPQKKQRKGRNQDAARKAPNRKRCKVLESPDGHVSCLQLHSSQTEAILPEGSPVSIDIDLNNVPSEATQANANDVLDNEEKSQVIVDLRSEAKTAAQEIRMLSSGKKLHPFFASRKVNKGAEQDAFNIEDTNSLSAIERDPPLWPVHVMYQLETSIPIHWSKWLIGEGSFLDTSAADTLENPVSFFEGFVKPLTIESNSKRMCLDQLAEQNVANHTALGMDFPSFPKEQSESNLSSLNVIHLDEESSPHDSLTYNKHPERILQGRPEVDQKGSCQPAYYLWTDKYRPETAAQVCGNIEHVKFLSEWLKGWDERGHKNKQTGVANESINASFCQDESDTDCSEDASDYENVLLITGPVGCGKSAAVFACAKEHGFNVIEVNTSDMRNGAYVKQKFEEATKSHGLEKWSQEEVTTPPRIDSLDPASETPDRTEYKHLVSCSTRKASNDDEHMLPVKCYSSSKLSDEAPKQVINKTLILFEDVDTVFDEDRGFISTILKIAETTRWPIILTSNKKDPALPHLLDQLVLDFKYPSSGELLSHVGMICKSEGVDVTAPQLKYFINACLGDIRRTTMLLQFWYQGKQEYTERSNKCLSVPFSLDLDAVHSTVPRMLPWDFPCKLSETVCLEIEKTIHLAEEKKRQMELSEFEALELQITAPLTKGRSAVKTRKIKKSKLKHGHSTECNDISPCKNDLDDFDDAPDTSLPSDQQKVRKKHGVVLLSESDDDQADAYIAKDARFTVPEGDLLPQPPEVPHIHGQGISNQFCFPSESRETFEITNSFQNQFESNLVGSISHICDTFMSQGVSCVPESSLAVGGVSASVSSDDLLSSMVFNGLSTFNNDGVCTTPMTALEDSNHARSLMSGSQKCMEDVVGETCEAYAESFCRNEQESCSTTGYQLMDECSRADSIWLLSGKKTNDCCKVEHVQDTWNRLRRCCPVLPCETNHNRTASGALKLASGVSDLISESDLMLTRCYPLTNDILDPSSTPSAEPDDLSWYDKHLEMGSVYAQHALCVFSRDFQDREDGFIDLSQELLFASTTATSLGKLISSGINSDDGYGNISHMKNPTSCISKGREQLVHLCDALLPVVPSKLSLSLRGPAFVDYLSSTCQISQLENLRLTDSQVANKQRRCRQSRHYLSSAALSMSPEGIELLAQSSRFGDRHEKVID >SECCE4Rv1G0296440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903064845:903066407:1 gene:SECCE4Rv1G0296440 transcript:SECCE4Rv1G0296440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQESNTSGGATMEEEEEEEEHRHGERPLLSACEQQEEDASKPLPLPAKRRRSSSLSRSSRSGDQGRQEEAPGPGAARSLSFSRLFSSFRMMATSSPVSLDIDELAAAEDGRAEQWQWRSQSKRQHQKPVCRTQSMPMTTISRRLPPHGHHRKRVADSSSLRRIRVSLSSVPVPLPASSPPSSPSPSEEEANGEGGEEEVGEEEAVCRICMVALLDQEEEEGAGAGDGVLKLECRCKGELALAHRRCALKWFGIKGNPNCDVCGHDVLNLPVTLRRVAVPPPPPPPPIAAGDNGTGSQEEEEARERGGLRGVWRHGTVILVVVSMLAYFCFLEQLLVGDHGNAAAALAVSVPFAVVLGTFSALTTAGMASSKRYVWAYSALQFLLVVLFTHLFYRYVKLQAVISIILSTFAGFGVAICTNAVLLQAVRWRAAATAPRRPQLPPSDLHQIPQP >SECCE4Rv1G0289890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:868986103:868986621:-1 gene:SECCE4Rv1G0289890 transcript:SECCE4Rv1G0289890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMITLKSSDGEEFQVEEAVAMESQTIRHMIEDDCADNGIPLPNVDSKILSKVIEYCKKHVQVSPKLADSTDASSSTSTAAAAPAEDLKSFDAEFVKVDQATLFDLILAANFLNIKGLLDLTCQTVADMIKGKTPEEIRKAFNIKNDFTPEEEEEIRRENQWAFE >SECCE6Rv1G0420390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:677204189:677206078:1 gene:SECCE6Rv1G0420390 transcript:SECCE6Rv1G0420390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLHDERATGEHRRSKRRRPDAKAAEPRARRGKHAETLAPPPKRRAARVALARPSSPPRGHRDSEDVAVAPPPGRRVKAPAPPPKTEWHSISYRLRNRVVQDTSNRKPAPSRYDNGIEIKHDVTTWQTPTCARVALEHYNNMNQGDEHEMVKAVSSHVFVFNGIWFHSNFLAKRKGATNCVDLIPKYFFAELEIVGTKKLSCVSCIKLHPGDPKNIGGCSLCYENIMHPAGGGYHGAQPRSVRHAPGGLQISFKF >SECCE4Rv1G0235500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:336582334:336590545:1 gene:SECCE4Rv1G0235500 transcript:SECCE4Rv1G0235500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSHLILLAVLLVSSLAAAAKSADEDVIFGFAKLLSNPPSSWGAGGDVCVFDGITCERGGSGRVTSIDLGDMGLTGTLPTSLSSLTALKELHLQGNALHGDFPSLAGCTDLTRLVVDGNGFTSLPSDFLKDLPSLQYLSLEDLPLKPWSVPDAIVGSSFLETFSASNASIAGAFPAVLANLSSLRSLRLSYNNLTGGLPAGLAELIALESLQLNNQVSDGKLSGSIDVVAAMKNLRLLWIQSNKFTGPIPDFSNSQLEAFNVRDNRLTGVVPASLYQIKTLRNVSLTNNLFQGPMPDFRGVNVDLAADTVSRFCQSRPGPCDPLVTTLLAVAAGFGYPAELAETWNGNTPCGNWIGIVCSNGDVSIFDLHNRGLSGTISPAIANLTSVGKLDLSNNHLTGVIPDTLTTMSNLKIFIVSNNSLDGELPKFKPSVKVLADRNQFGKSDGGSQSVSAGALKWKSDAGMISGILVAVVLLVIIVGLLVHHCRKKNAEKFRPVSSKGSAVESEMTKIQVIETNWSVNGNTAVPADYSQVSAGSANITHLFQSHGMQLHIEVLLKATDNFNENCILGKGGFGVVFKGNLNGRLVAVKRCDSGTMGTRGQQEFMAEIDVLTKVRHRHLVGLLGYCTHGYERLLVYEYMSGGTLREHLCDLQRSGYTPLTWTQRMTIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLANDTDKSMMTRVAGTFGYLAPEYATTGKVTTKVDVYAYGVILMEMITGRKVLDDSLPDDETHLVTIFRKNMLDKEKFRKFVDSTLELSTEAWKSLLEVADLARHCTAREQNQRPDMCHCVNRLSSLLDEWKPTEVDDDDECETSEMHLNQQLEKWRCDDFTISDSDTFSTFSM >SECCE4Rv1G0259090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:682350920:682351880:1 gene:SECCE4Rv1G0259090 transcript:SECCE4Rv1G0259090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVEDSSVNGFSSSQGPGYSKFVAPCTYYGSPASKKSEKNKPHIMLVFIIVPVAPGRSRVMWAFPRNFGLWLQKITPRWFDHIGVNAILDSDMYLLHIEERNFAKAGIENWQKSVYVPTSSDGTVVAFRNWFRKYCKFQVGWAAPTVDQLPATPTKDKLMDRYWSHVAQCTSCTAALKAMKALEVALQVASIAVVGLLAVFKGTLVTSVAQRAVVVSLAVLCFAASRWLADFIQKNFYFEDYVHAYK >SECCE7Rv1G0481300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:282657038:282657430:1 gene:SECCE7Rv1G0481300 transcript:SECCE7Rv1G0481300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTMAFMATFAAPRTVPQPSATVPSRPNVVSFAAPAMRAHGRRLVAVAGSSSTPPELAQKVTESIKQAEETCAGDPEGGECVAAWDEVEELSAAASHARDRKKDHTDPLEEFCEDNPETDECRTYDS >SECCE5Rv1G0334530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566679069:566679802:1 gene:SECCE5Rv1G0334530 transcript:SECCE5Rv1G0334530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSFEKDTKIMNAASFTIEREDHTVGNILRMQLHRDPNVHFAGYKLPHPLQYKIIVRIHTASQSSPTQAYTQAINDLDKELENLKQAFEISDSLI >SECCE5Rv1G0372140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851406368:851407511:1 gene:SECCE5Rv1G0372140 transcript:SECCE5Rv1G0372140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEASEKKMIMLKSSDGEEFEVEEAVAMESQTIHHMIEVDCANKGILIPNINSKILSKVIEYCYKHVSAKQADVATRATGATTSDTVAPSALAEDLKIWDTEFIMVDRDTLFDLILAASYLKIKGLLDLTCQTAADMISGKTTEEIRIFFNIKNDYLPEEEEMIRRENQWAFP >SECCE7Rv1G0478600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:239686121:239686720:-1 gene:SECCE7Rv1G0478600 transcript:SECCE7Rv1G0478600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCWCTHAPKGAMATPLSSPFSVPTLRAPLWHGRLHAPLRASSGDDAAAGPETPAPVATAPAADEEFEKRVLQLKSRVGPKKRGSAGARKRKAAAESNAVTLPPVPLREPRSALGLPVEFGFTAYSERLNGLLATVGLTALLLVELGSGKSLVKYHQAPTLFLQVYTIVAAGTVYVKYEKERISVWPAPAPPKAPTSGE >SECCE6Rv1G0428380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:726337955:726340270:-1 gene:SECCE6Rv1G0428380 transcript:SECCE6Rv1G0428380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAELLCAQLEEQSIEEDEPILEDDDDDHEDDDEDEDEMDDDDVEGGDASGRSRQTRSEKKSRKAIEKLGMKVITGVNRVTIKKSKTVTFVLSKPDVFKSSHSETYVIIGEIKFEDLDTELQTQAAEQFKAPPGPSSVISKGEPSVAAAQDDEEVDETGVDKKDVELVMTHASVSRFRAVKALKAADGDIVSAMMELTN >SECCE5Rv1G0354950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727831077:727834777:-1 gene:SECCE5Rv1G0354950 transcript:SECCE5Rv1G0354950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSLIQGISISVSDDDEASGKVRVRVRRKRNRHPVSARRRFLRRAARLGGPLLLAALAVSLFAYEYYRLSPFSSSSSPPPPPPTQAAGNLSRVDRTRKSCLKMVDTEMLQNLELREPPEQNLPVKKVVYRSSLPHLEDNISSHMTNSRFNSFTGYQTLTEREESFKPMETTAVHCGFYSENGGFRVSDVDKDYMRSCSVVVATCAFGGGDDLHQPIGMTEASVKKVCYVAFWDEVTLSAQHEEGNKIGEDLRIGLWRIILVRDLPFTDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVIEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKIQLDQYRQDGIPDDKRFNGKKALAEASAIVRDHAPSTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRLPGVHLFPVCVRKDLVNSFGHRRKVKPLAKEGR >SECCE4Rv1G0254260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:633927505:633927828:-1 gene:SECCE4Rv1G0254260 transcript:SECCE4Rv1G0254260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFEQLSAVADMALDGRGFDPEQLAGVLALFEGEARASWAAAEAEHEAVARGTEAAVETAQGHLNAVMGAAVGKYRGSAGEADALSAAIAAMDTAFKATSGTRPS >SECCE5Rv1G0318320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:359449142:359451272:-1 gene:SECCE5Rv1G0318320 transcript:SECCE5Rv1G0318320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTKTRKLVKGLAKPAPAWLKAMEQAPPVTFPRTDGKIEKIELPEDVYVKRFFRRHPDSLYHDAIKISGFDPPPARVFAWRVLELKEHGVNEDDAMDVADMEYGAEKKAKKLAYKELKQIARREGKPPPQNPYPSAIKEIQAEEKKYVRDRFHNPKVLEIVNKMKEDRQMFLQDRAAASGEAQ >SECCE7Rv1G0497760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:630517208:630518002:-1 gene:SECCE7Rv1G0497760 transcript:SECCE7Rv1G0497760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPGYGYGGYGAGYGYGYGGGYGAGYDMAGYGNGAGGAYYTNDRYPAAAPPAYEDPLAGRRQHDFPAPLTGLEFQPSDTCPKNYVIFDQTYDRSRVMYHPSLANNFGSSGGYDQQHCNNGGYDQNYVGKSTYYGGDQDGGECSIRQKEDTDEIDALMSSGDGDEEDDVLSTGRTPACRGGGSPDSTCSSGYVVSVSPTGNAAGAGGGGERKKERMKKMMKTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGVRGSRS >SECCE3Rv1G0167740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:207913709:207914665:-1 gene:SECCE3Rv1G0167740 transcript:SECCE3Rv1G0167740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIMAARGQGLEQDFDFFVVVDFEATCVKDARIFPQEIIEFPAVLVDGATGRIESAFRRYVRPKHHPVLTQFCRELNGIRQEDVDGGVDLGEALWLHDAWLKAATAGAGSKRSVRLAVVTWGDWDCRTMLEFECRFKGIEKPSYFDQWINLRVPFQAALGGGGRVNLQEAVRAAGLDWEGRLHCGLDDARNTARLLVEIMRRGVKITITGSLAPPPPLIQKQPPRTSACAGSSALASPPAPIQQQPPRTGPLTLVPAPIQQKQQPPQPHIIRHCGGSSAPCSWYCGEASRVGMEPGPMQSGCGNWTPAMGPYFLWSN >SECCE4Rv1G0270210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:754005047:754007183:1 gene:SECCE4Rv1G0270210 transcript:SECCE4Rv1G0270210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPWLAGLVVPLLLLSVYPLDLLAQARRRGLPPGPRPLPLIGSLHLLGDQPHRSLARLARTHGPLMSLRLGAVTAVVVSSPDAAREFLQKHDAVFATRAVQDAVGAHARSSVAWLPHAPRWRSLRRIMATELFAPHRLDALQCLRAEKVRELAAHVTNLARDGVAVDVGRVAFTTSLNLLSRTVFSTDVTSLDDHGESKGFQELVTEIMEVAGSPNLSDFFPALAAADLQGLRRRLARLFARLHLVFDREVDERLRRRSRDATGEPRKKKNDDGGGGDFLDVLLDVAERDDDQAALLDRDTLRALFSDLFAAGSDTTSSTIEWVMAELLQNPLSMAKAHDELARVIGSGRDIEEPDIDKLPYLQAVIKETFRLHPPAPLLLPRQAHTTITIAGYTIPKGARVLVNVWAMGRDEAIWSEPDKFMPERFLERAVDYRGRDFELIPFGAGRRMCPGMPLAIRMVHLVLGSLLHRFEWRLPAEVERSGINMSEKFGVTLTKAVPLRAIATPMLVDDNH >SECCE1Rv1G0050080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644702492:644703880:-1 gene:SECCE1Rv1G0050080 transcript:SECCE1Rv1G0050080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRADVKKRHPPGPGRRRSHPATHTRGTMPATVVALLLCSLLAVAGAAMDPAEREALLRVMEAVSSDRDWRSSSVPDPCAAPWPGLECKPDAADKRLMRVTRLDFGVPPNPACREAAAFPTHAFSALPHLQSLFLVDCFKNPAKTAALALPPAANLSASRLQQLSIRSNPSLSGTLPPQLAGLRSLQVLTVSQNPLVRGEVPRGIGELADLVHLDLSYNSLTGPIPARIGELRSLQGLDLSYNSFSGPIPSKLGRLAQLQKLDLSSNNLTGGVPATFSGLSSLTFLALSNNGLSGRLPAGLAGLRELQYLIMENNPMGVPLPPELGGIARLQELRLANSGYSGSIPETFGRLTSLTTLSLQNNNLTGRIPAGLSRLKRMYHLNLSKNGLDGAVPFDGAFLRRLGRNLDLSGNPGLCVDDRAAMKEVVGVGVCGGDGSGAPLTRGGALWPSASALLCCCLLL >SECCE2Rv1G0114090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:729960031:729963639:1 gene:SECCE2Rv1G0114090 transcript:SECCE2Rv1G0114090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGQDWEPIVVRKRAQTAGDKKDEKAVNAARRSGAEIDTTKKYNAGTNKAASSGTSLNTKRLDDDTENLSHERVSSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNNQIIGKLERALGAKLRSKK >SECCE2Rv1G0124580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833172723:833173178:-1 gene:SECCE2Rv1G0124580 transcript:SECCE2Rv1G0124580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVRHLLVLLIAFTAFNGSSAARRLLDTAAAPEATPARPSTPEAPTTLPPMPSIPAVPKLTMPPIPFIPIPKVAMAPTAPGTVPSLPIPAIPTTMPTIPTLPVTMPPMPSIPITIPSIPITMPTTIPTIPWFQMPPIPFMSPPPQTTSP >SECCE4Rv1G0250700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:595902915:595904002:-1 gene:SECCE4Rv1G0250700 transcript:SECCE4Rv1G0250700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLLLPLALLVLAASSAAVAQLEIGFYSKTCPDAEKIVREEMAKIIAAAPSLAGPLLRLHFHDCFVRGCDASVLLESTDGNVAEKDAKPNKSLRGFGSVERVKAKLEAACPGIVSCADVLTLMSRDAVVLAKGPFWPVALGRRDGRVSSATEASNELPPASGDVPLLAKIFASKGLDLKDLVVLSGAHTLGTAHCPSFADRLYNTAGDSGANGLVDPSLDSEYADKLRLKCKSVDDRTMLSEMDPGSFKTFDTSYYRHVAKRRGLFRSDAALLFDNATRDYVQRIATGKFDGEFFRDFSESMIKMGDVGVLTGAEGEIRKKCHVLN >SECCE1Rv1G0032800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:459502884:459503808:-1 gene:SECCE1Rv1G0032800 transcript:SECCE1Rv1G0032800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSICSAYSRGGAIRRRGAAARSPVPYREQPMEYEPAVICSRCGRKAPWWISWSVANPGRRYYSCVEAQWHDDPTSPFLRVLLGDLRDRVWKLEDDVAALCTDGDAGAGASRVEMQKTTEKTARDGGAGASIRGNLVRKGLPLVCGFLIFVSGLVLGMILS >SECCE2Rv1G0108520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:664852310:664854329:-1 gene:SECCE2Rv1G0108520 transcript:SECCE2Rv1G0108520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDGDNKRLFRVRRTALQMLGDRGYTVNDEEIKLSLYEFVQRYGDPVRRDEIVIHCEKEGDPNDKVNVFFLDESKTGLAVVRSCVEKMKQGNVTNGILILQKALSGPARTEVARSKKYHLEVFQEGELLVNITQHHLVPKHELLSDEEKKELLQKYTAHETQLPRIQLTDPVARYYGMKRGQVVKITRKSEVAGEYVTYRYVI >SECCE2Rv1G0115870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:758046579:758049685:1 gene:SECCE2Rv1G0115870 transcript:SECCE2Rv1G0115870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEVLHDTTGKKEAVVCYMDTPLPYAIEENFGGCFFEDDVDLAQVLQDQEIVYQLIQGNDGSGSSRTHSDPSSSCGHTWKSGGRRLTRGANYESQLAVDEALARDLQAMEDQIANATIDDNKRKGRKPVSSSTSNNGNTSVSRSSQGLTEDGVDPDNMTYEELQQLGETIGSESKGLPEDAIALLKSSTYKIRIFSRKEKHDECVICCMAYKNRDKLTTLPCQHQYHQTCVAKWLKINKVCPVCNKEVFGS >SECCE5Rv1G0301830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34063522:34064826:1 gene:SECCE5Rv1G0301830 transcript:SECCE5Rv1G0301830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALLSLCLLLACSARQSAAYGHGGTQGAYVDVEGTVRKEVEKAIKCNPGIGAALVRLVFHDCWVNGCDGSVLLDKTPSGTNTEKKAINNIGLDGFSLIDTIKYKLGDSVSCADIVVFAARDAARYLSGGKIAYSVPSGRKDGIVSSAAAADAILPQSTFEFQQLVDNFAKKGFNQEELVILSGAHSIGVSHLSSFQDRLNDSTATPIDDSYKQALVADIEAQKKSQNSLDPIEKNNIRDMSSKFQTDAGYDPTGVNTAATGALDNSYYHANLQNRVLFKSDWVMRTDSKAGDDLAEYMNNATKWNNDFAAAMVKLSKLPAEGSTRYEIRKNCRVINNKSY >SECCE3Rv1G0145910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8752947:8756769:1 gene:SECCE3Rv1G0145910 transcript:SECCE3Rv1G0145910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKSAIEEQRFQDASRLTKLAGTSLVGWWVGYAKDTDDSIGRIVRITPGVGRYVAKSYSPRQLVTASSGSPLFEIFLVRDEDETYTMKVVHLRPTKGASSASSSVSSTPAEDPVKAEIESSPESSALSEGITEEASTDTTLKGNEDVEEKAQDTGRTKESGVEGLKSVLNFFKSRIPEFKVQVINVEVPEEAELVADSSEELVQDDVKSTSESSLEEPSTEEFQEEDVSDGDLDSNDDESKGPEVKLFISGVVHNKEEAGAKSYVRVPAEINNLERDSFELYIPGKGSDRDLSETKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLKLTLSKARVKLTENTIFNRIVTDSNGSDPFNGLYVGAFSPYGPEVVQLRRKFGHWNSTDDVEFFEYVEAVKLTGDLSVPAGQVTFRAKVAKGSRLENRGAYPEEFGVTASYKGQGRIAQPGFKNPRWVDGELLVLNGKSAIPHIGGAELGFLYSVPEQSFLVLFDRLTLPE >SECCE5Rv1G0299890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:20444733:20445561:-1 gene:SECCE5Rv1G0299890 transcript:SECCE5Rv1G0299890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAATAITAAPPALSATRLLAIPPPRGGFPSISLPTSIGGGGDRGGGRSAGGGGGGGGGGGGDSGAGTAAAMALVEAGTSDGSDVILLHVGGMSCGGCAAKVKRILEGQPEVASATIDFAKATAVVRTTPEAMATKELGEKLANHLRSCGFECHMLDETEQS >SECCE3Rv1G0149580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:26466080:26466670:-1 gene:SECCE3Rv1G0149580 transcript:SECCE3Rv1G0149580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSLLSSSYTGSNNNNKRAREADLEVVSSAEAEAAKRMRPEVLLDLLDDDTDAAAAGDLASVMRSLEEEICAGDLAPPLPELGFLLEASDDELGLPPAAGSSSSSDDAGGWEPEEPAGVFGEQIWGFEDETEGAYAFGGVASSPEAAAASAAAAAEWGDDGFHAGLFGFGFGDESFGPSDFDVLRQETMPAV >SECCE2Rv1G0109730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:680105667:680107238:1 gene:SECCE2Rv1G0109730 transcript:SECCE2Rv1G0109730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASGFTGLPDPTTSAMARLPRRLVRQRTAGGNGKDRLSALPDELIHLIASRLDTRTALSTAVLGRRWARIPRDLPALDLRVSDILPAEYHRTLALRQGKSRRVQELAGELDNLLVLFEDIMMESLAAGITGLLEADGHACRRVKTLRLDFFRTHDDGGCIERLIAAAVGAWGVEDLEVVAYPSATCHDHDAPAYGFPHDRLDDGGRSRLRSLTLGNCTLPPLRTYEALRTLVLQGVAASTPVAAYERLLTDCARLHTLHLKSCGCTEELDHLVVDAPCSSLTELVVEDCAFSAITLHDLPALTRLACQDSSASVMLTFGSVPSLTHVNLTFRGSQKPDDFLGSAPDATTSLVLRFTGPVRWVLPRHVATPFRGLKRLLVADVPSNWDVSWPRILLLSARSLEALHIHVALAHSDEDEEPGEEIIWWQRPKLRHRRMKELVMVGFTGTPRQTQYLRDLVKVCRSLQRLVLLRDGHVQYNGLWDWKMVGKQECPRRPRWSDDDEMAVKRMIKSRSRAHPEVILG >SECCE5Rv1G0337040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588543484:588546216:1 gene:SECCE5Rv1G0337040 transcript:SECCE5Rv1G0337040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASALPPLLVAAVLLLMAASATAMMVEDSAPDNIQPLSTLNLAAALVAMDTASAIHASPDVLGKDGEDSAWVTVNFTTPSPSSDHWIGLFSPADFSSGIGGAKVAGEGDAPAGLPVAPIKYKFGNSEPDFLRTGGGKTSFLVINQRSDYAFGLFSGGKDNPKLLAVSNKISFMNPKAPVFPRLSQGKQWNEMAVTWTSGYDIGEAYPLVEWRIKGEETSKRTPAGTLTFTRGHLCGDPARGQGYRDPGFIHTAFLKDLWPNRKYSYQIGHELQDGTVAWGKAATFRASPYPGQASLQRVVLFGDMGLGAKDGSSELQGFQPGAQVTTDRLVKDLPNYDAVFHIGDLSYANGFLAQWDQFTAQIEPIASKVPYMVASGNHERTYMDTGGFYNGNDSHGECGVPAETYFYVPAAAHRGKFWYAADYGMFRFCVGDTEHDWRPGSEQHAFLDACFAGADRKHQPWLVFLAHRPLGYSSNDFYAQEGSFAEPMGRALQPLWQRHRVDLAVYGHVHNYERTCPVYENTCTVKGKDRQSSYTGAMGGTIHVVAGTAGAKLRSYAGGAWPQWSVARNESFGYVKLTAGDHSSMRFEFIHSDDGAVHDAFTITRDYKDIMACAVDSCAPHTLAN >SECCE7Rv1G0472460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:142911295:142915729:1 gene:SECCE7Rv1G0472460 transcript:SECCE7Rv1G0472460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRSSYVDDDDPDGDRRRFYDRGGPPSPPPPRRRPAEYESDEFDRRSGFGGGRFYDHRYRDSPSPRPYGGDRAMHRSESFSGFRREFPKGFRADRDRSRWDASGSGSGGGGSAWRRPGGPWRDPHGLDGHKSATRRQAPSPPTPPRRSPSEPRRRIDGPKGDKLRKHNCAAGEIEEGEVAPDPDTKAPPPPAVTEHQKWVDSGRLDDKGTSKRCELKKVDSPGPRLRVDLRTQAADNSGKEKEKIRDDAAAEAGKVTNMQHEKSASDVTGKVANIQHEKSTSDVTGKVANTQHEKSASDVTEKVANTQHEKSASDVTEKVCAVDEAANAVDQGGESTSSAMQLEARHEEVVTQQDGANAADVGGQSTSSGILKEATIEEVTTQVETTIAVNDVGKGTSSSIQKESLQEAVMALGEIASDDDTVWIGSSSTTLQKVLPKEATDGNINAGGDVGNCASSSILQEAMQEKVKYVDVAVNIADAPKEVSSFSMLKETVHEDDTPLTANTINLLGDSNLSVMLEEAMHETVTMKELTANALDIAGKSSSSTMLQEDVMTSLQESQEFKETEIGNVGDKKIDETTESIASQPVEEGLKRYGCENRVALDKTEVVEEKEEAVENEIVAKQVIHVDLEAKLAGTGAFLQPPKDHIKDTEEEGKTLDLIMEKPRAEDKGKGIAFDVLNKAGGGTLVGRGFDIGLQPDTDQKEAWKSTSTTSVKPEDDTLKIGRLDLSLSLSGGLQDPEFQSFVPRPDSVAHGPCSQLSSSSSSFCTNSDGITASVSLTNSQAFVRNPSFSHTQRSLENCEHSVGSKPLFQGVDQVSNSTGWQVELLSNISTEKENPTPLLQKVLQNGHLSDNTLVGVNMQNNGLSPVLSTAHNHGSLDAGLGHSRHRRQLTRERSSSSLSRGELQHEEQLVLNGAGVVERVISKIVSDPLNYTGRMLQEMTGNSRAYLREAISEIIINADKRGQIVALQEALKKRSDLNSEILQRCPRVLLEILVAIRTGLPDFLKKSSSIATPDLVDIFLNLKCRNLSCQSVLPVVDCDCKICKQKNGFCSSCMCIVCLKFDTASNTCSWVGCDVCLHWCHTDCGLRHSLIRKGGAGSRAHGTNEMQFHCGACGHPSEMYGFVKEVFRTCAKQWRVEALVRELQYVERIFSASDDARGRRVRDFVKQMLIKLENRGYYSEVIKYVIAFFSDDNPNMGSGPLVPLKGIPCSIAEGINGIPSSSRTATWLPSVTLEGVPFLQKAGVVSTTGSQSMSRKIAETGFQAVNNKPVSDELDGLVRLKQAEANMYQERANEARKEAESLKNIVMVKNARIEEHYATQMSELQINELQERRKQNIEELQVIERSYHQFLSMKTRMKDNIRELLLKMEATKQNLST >SECCE5Rv1G0357810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:749453319:749453804:-1 gene:SECCE5Rv1G0357810 transcript:SECCE5Rv1G0357810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESWKEAEDTGVHAPEAPIMCINNCGFFGNRMTENMCSKCYRDTAKAKRMALLVENKTAAAVASSPTPMVAEIKDEASASAKEGKQVAEEEAPKPPSNRCLSCRKKVGLTGFKCRCGDTFCSTHRYADAHNCKFDYKQAGREQIAQQNPVVKADKVTRF >SECCE6Rv1G0409080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:581353571:581354417:1 gene:SECCE6Rv1G0409080 transcript:SECCE6Rv1G0409080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFWGGAKADEVADFDEHDPTPYGGGYDITLTFGRALPPSDETCYPISTAATSSSSFESHGAAEQQRRRPQDQDSHGSAGYGRRPEETHSSAAGYGQGRKAHDDDDDGGAYRKPKPSYGDDDRPSYGRKKNEEDDDDDRQRRYKKRDDDDDDDERKPRYKKHDDDDDDGERKPRYKKRDDDDDDDDRKPRYKKRDDDDDDDDRKPRYKKHDDDDDDDDERKQRRGNNRRRDYDD >SECCE1Rv1G0058490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:700970140:700974542:1 gene:SECCE1Rv1G0058490 transcript:SECCE1Rv1G0058490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGKQRQDKYYHLAKEQGYRSRAAFKLLQLDARFRFLPTARAVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTSKCRSAVRKLMDSKGVASFDVVLHDGSPNVGGAWAQEATTQSALVIDAVRLATMFLAPKGAFITKVFRSQDYNAIMFCLKQLFEKVEVTKPNASRGTSAEIYIICLKYKAPAKIAPELLDIKHLFNVEPEKKTPRDVLGTKKDKRQREGYDDGVTVLRKVGLASDFIWSDVQTPLEFLGSVTEISFDDAASVPIKNHEITTDEIKNLCDDLRVLDKNSFKHILKWRIRIRKALSSSSQVTPKADGTATETKVNDDDQLLQEMEELTSFIDRKKRREKKRLSRRRAKDKTRKATGMQIDATEEGYADPDLFSIKAIKGGKDLQAVESAEYNAEDGSGDSENEATQTHEDSDEEMDSDEEQQRYDAQLEEMLDEAYERFVTKKGGEVKQERKRAKRINTDADTDLLVEGGEDDGSDVDMDQGSEEEEEDQEANPLLLSFDPAKPTKEQTTKQWFGQDLFAELGADLVDKSDSEDEREKPRKNLKKKVDSGKKETLAKAQLDSGKKEKPTKAQRLQQDDVEIVAAEPVRAADDSSSSSDESDDLDEDMDDDTKAEVLAYAHKMLRKKQREQILDDAYNKYMFDDVGLPKWFAEDEKRHTVPMKPITKEEVEAMKAQFREIDARPSKKVAEAKARKKRVAAKKMEKARQKADIVADQSDINEQSKAKMIDKIYRKAVSTQRPKKEYVVAKKGVQVRTGKGKVLVDPRMKKDKRSAGSGKKGGKGKGGGKGKKGAGGKGGGQKKGGKAGGGGGRKAGGGGGKGGPR >SECCEUnv1G0553560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:272880068:272882488:-1 gene:SECCEUnv1G0553560 transcript:SECCEUnv1G0553560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQGVAFMFPLALLLGAFASIPQSVESIGVCYGMSANNLPAPSTVVGMFKSNGIKSMRLYVPDQAALQAVGGTGINVVVGAPNDVLSNLAASPAAAASWVRSNIQAYPKVSFRYVCVGNEVAGGATRNLVPAMMNVHGALASAGLGHIKVTTSVSQAILGVYSPPSAGSFSAEADAFMGPVVQFLARTHAPLMANIYPYLAWAYNPSIMDLSYALFTASGTVVQDSGFGYQNLFDTTVDAFYTAMAKHGGSNVKLVVSESGWPSGGGTAATPANARFYNQHLINHVGRGTPRHPGAIETYIFSMFNENQKQSGVEQNWGLFYPNMQHVYPINFRWS >SECCE6Rv1G0447500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850722761:850735654:-1 gene:SECCE6Rv1G0447500 transcript:SECCE6Rv1G0447500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTVETLASTAICGIIHSVLGGQPMMIVGVAEPTVIMYTYLYSFAKKQQSIGQGLYLAWAGWVCIWTAVMLFFLATFNASNVISKFTRVAGELFGMLITVLFLQEAIKGIVREFGPPKGVDDGSPIHQFQWMYLNGLLGVIFSTGLLYTALKTRRARSWLYGLGWLRSFIADYGVPLMVIVWTAFSYTLPSKVPSGVPSRLFSPLPWESSSLQHWTVAKDLFSVPPAFIFAAILPALMVAGLYFFVVASQLAQQKKYNLKKPSAYHYDILVLGFTVLLCGLIGIPPSNGVLPQSPMHTRSLAVLRGQFLRKKMVQTAKESVVNRASRMEIYGKLHDTFIEMDSEQNMGSVDMELKSLKDAVLRDSGEDGKPAAEFDPRKHIEPHLPVRVNEQRLSNLLQSLMVGGCVAAMPVIRMIPTSVLWGYFAYMAIDSLPGNQFWERIQLLFVSSSRRYKVLEGHHASFVESVPSRTTSAFTIFQFVYLLICFGITWIPIAGILFPLPFFLMIAIRQHLLPKFFEASDLRELDTAEYEELEGFHQEGEAGSNLGSCRTSIDAEMFDELTTNRGELKHRTVSNREERHLQVHSNVVQPSV >SECCE3Rv1G0149410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:24730479:24731741:1 gene:SECCE3Rv1G0149410 transcript:SECCE3Rv1G0149410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPFAAAAFRRSARRPWVLTDSKCHIGDRDNATTAHAVTSQGGDIKVTFELADPPGVSRCFVHCPGLAEGCYGGDPVVVSSADAFVLLVVPFTEGARRREYNDFFVYRAGPGAPSLRLLPATYGCPDYIMLAGVVPLGGADLNNEDYAVVFPVALRPRDSNSKKYIDLRVYRSDKSEWPWPWCYKMAKIATDTKTCNHHQVMRHQGTRVIFAGAETLGWVNHWHGILLCNVLDNNPVVRLIQWPVPIPCDLVSRFGIGVDDIYPRLSRDVAISNGVIRFVELKSCRCTDTRNEKGVIGQGWTVTTWNWDIRSKKWHKRFTLKADNVPVTGSCFPKVSGGKRLSCDKVVHGGPTLSLCDDDVVYIMARLDIRLPVAWMLAINIREGTLEAVKQCFAEKMLGLEPTYVQCALSDYIKQWRK >SECCE4Rv1G0287800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:856841590:856842772:1 gene:SECCE4Rv1G0287800 transcript:SECCE4Rv1G0287800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSCRTRPCLLALFLLFSVAYGQLSPSFYAKTCPKLELIVRSTMTKAVLAERRMGASLLRLYFHDCFVQGCDGSILLDDMGSFVGEKTALPNGNTVRGYEVIDEIKKNVELACPGVVSCADITALAARDGVFLLGGPSWKVPLGQQDSTTANLNEANSDLSVTSLSLDGLIGSFAKKLLSPRDLTALLGAHTIGFAQCVNFRDHIYNGTDIHPAFAMLRKRSCPAQAPNGDGNLAPLDLQTPLVFDNTYYHNLVAKHGLLSADQLLFNGGSQDALVRQYATNPKLFTSDFVTAIIKMGSLSPPSGTPTQIRRNCRVVNS >SECCE5Rv1G0360560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:772347255:772349039:-1 gene:SECCE5Rv1G0360560 transcript:SECCE5Rv1G0360560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLSSLWTPPPLAGDDPDSAVEAVHSKPAWDRHWEAHRNASKLMVIDFSASWCGPCRFIEPAFKEMASRFADALFVKIDVDELAEVAKTFRVEAMPTFVLVKGGQEVSRVVGAKKDELDRKIKTFI >SECCE7Rv1G0517590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:854989835:854990530:1 gene:SECCE7Rv1G0517590 transcript:SECCE7Rv1G0517590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSQLLALFLAIVLTAATPPPSEAAVVPAKAPAPSANNDVVAKPLAWCMIPCLPILPPILCVPPIFCPPTPSAPPPPSPFKTHPKECLPSLMGLMPCKGFLTNSTAPKPPRQGKCCDGVRSLFQNFPICLCHVYNDSDLSKLMSAPVDGAQFFGLSSACNASPSDFVPCYDVPPMRAAPTPPKAAP >SECCE3Rv1G0209110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936526342:936527086:1 gene:SECCE3Rv1G0209110 transcript:SECCE3Rv1G0209110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGSTDRAERRRRDRAPGHNGAPDPLAAPPQDLSASASASEADVSRKGCKVAPEPKDGEETTPLPGSPSFRIYCQKAAQVDALVAEAADEDADGDHGDGFASAADTTLAVRKSDPPHCSGELPKCKEGWLKLRGQTVVDALHSFIVCHSKRTSAPPPHPPAAKPPRRPGAASPAAAAAEPYL >SECCE1Rv1G0045450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:610239883:610241337:1 gene:SECCE1Rv1G0045450 transcript:SECCE1Rv1G0045450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELGKKLRILIIPFFATSHIGPHTDLAVRLAAARPGTVEPTLAVTPANVSVVRSTLQRHGASAATGAIKIATYPFPEVDGLPLGVENLSAAGADGWRIFAAAIDEALTRPAQEALIRELSPDAVFTDVHFFWNSVIAGDLGVPCVTFSVIGTFANLAMSHLGGEVDLDSGCEEVIVPRFPGPEVRIPRTELPEFLRVQEEHDRFNPRLAGIRRCFGHAFSTFLDLERQYCDLYARSGHAKRAYFLGPLSLPLPPAGASGGEPPCIRWLGSMPRCSVVYVCFGTFASISADQLRELALGLEGSEKPFLWVLRADGWAPPEGWEERVGKRGMVVRGWAPQATILAHPSVGAFLTHCGSSSLLEAAAAGVPMLTWPLVFDQFIEERLVTDVLKIGERVWSGPRSTRYEEQETVPAEAVARAVARFLEPGGTGEAARGRAQELAAKAHAAVEEGGSSYCDLRRLIDDLVEARAAAGGTTATPSLP >SECCE1Rv1G0063020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:722571938:722572828:-1 gene:SECCE1Rv1G0063020 transcript:SECCE1Rv1G0063020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGTKQEQQQHPHPAPPPGAEIVDPKFEWTEKAGTYVLRLTLTGFRKDDFRVQVDDAGRLTIRGTRPGASLHKVFQLPSAASLDDIAGRFEAGVLTLTMPKRAAAPKEAGAPAEQVDGDVKTGDAGGEKKVPKPAPSGDAAPTSIEEIRTKPKEEQQKPKEEKEVEPKAPQVAAAAPQMPEKQAPNPTSSDKAMAVVDRECLAETVRRRGEEERAEAAASATAMDARAEQEKKAVATAFSGWEERMTEGLGQMTDMKWTEGAMEMARRNKEVVAVGIAAFTLGFFVSQRLFKK >SECCE4Rv1G0285210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843254281:843254592:1 gene:SECCE4Rv1G0285210 transcript:SECCE4Rv1G0285210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE2Rv1G0142750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:942659713:942665614:1 gene:SECCE2Rv1G0142750 transcript:SECCE2Rv1G0142750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTKKTSCARCPAHPGSSTWFAQAIRQAGRTFRDLAMNNNTLMITTTTTSITTTSSREGSVDGKPNRVHFLLPAYQATAKQADDSDGAAEFINAEEGDAIWVPPEAAGDDDGTKNLGAYTNDDDDDDDDDDNDDDISWGQPSSSDSEPSPSPSPREERQTAMLKAMDRQLKMLTTRFLASAGISLPHQDDGGESWLDIVTALSWEAALLIKPDGKAGNEMDPGSYIKVKRVASGTRRQCEVINGLVFRKYAAHKHMPTKCQNPKLLLLRGTLGDSDVGLSSFDSMEQEKDHLEKDISQVMEKCAPDVILVEKTVSRDIQELLLNQGVTLVLDMKLDRLQRIARCSGSPIVSVLDIMTTTKLKQCDYFHIEKVTEEHNGGEGGKRPLKTLMFLEGFPRPLGCTILLRGANSEELKKVKQVMLYTVFAAYHLVLEASFFEDQRVFLNAPDSVGMKEGPSSPVSHEENGVRYNRSVEHISDAEASTAPSANSDALHSPTDDCSTGLTEGASKTIHSNHALPSEKMLVTSVSGSLRGFIDKFRRQNIYLPVTSQETADNQKEGTPELNQEVPSEGLHAVVMTDGPVDSGEYADSLKDFQKQTDQQLSVAFENGGQHSTPYSEEKTLHIDEADDVLDSQSILILMSSQCIAKQVICEQSHLSRINYYGNFDVSLGRYLQDILQNQNLSCFSCGEPPEAHMYSYTHRDGNLTVLVKRLLPEHRLSGESKGKIWMWTRCLRCEQESGISKSSRRVMMSAEARNLSFGKFLELSFSSHSADRSLSVCGHSVNRDCLRFFGLGSKVAMFQYSSVEIYNACKPQQTLEFHNPSTHELFEQQGRNVLARGVTLFTEVENIIQHMKNQFPEVAINCGTFLPVKEFSQLEEMLIKEKTEFVDFLMKAVDQHGVSRSSVHEILDVNWLHQDLLLGQYVWDRRLHGLLLGKSAGKERMSNSMKKVTIELTHDRTATGAEGTSSQLSLENGSIEPVQFGELGENRYSSAVTDEIPQEKHYEKQDSAKMSPSGTSDRLDALQRSIAFKQDTFGTPQFRVSEWEDRERWVWSPLSELRLAYRQELQAGCLEKFELVNRYSPSHLPPLHKQSAEEAPPPRFVVGPGGNVLSVSEDEISSIISRALAISEDRRHLLDSIVESHASDTTSMLSECSSSVSSSSWSSESSDSEAGFLSDELYNYDSSHLSSSLHPEISVNGKANLKGKYSVICVHANQFYTLRQKCCPSELAYVASLSRCKKWNAQGGKSKAFFAKTMDGRFIVKQIQKTEFESFIEFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEVKIDLMVMENILFGHNVSRIYDLKGAVFSRYITESSDPHTVYLDQNFVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGIDEQNHEFVLGIIDYLRQYTWDKQLETWAKTSLVVPKNELPTVISPREYKKRFRKFMAKYFLTVPDDWTTAKKSSGTCKYCTRGNCNLSKIDSQKPQLQAESCSIQ >SECCE3Rv1G0185190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:672529032:672532375:-1 gene:SECCE3Rv1G0185190 transcript:SECCE3Rv1G0185190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTAYYDTLGVSTDASAAEIKKAYYLKAKLVHPDKNPGNPDAARKFQELGEAYQVLSDPAKRDSYDKRGKEGLSQDNMIDPTTVFGMLFGSDYFEDYVGQLALASVASVESEEDSDSPEARARIQEKIKRLQTEREQQLILSLKNRIQPYVDGKQNEFGDWASAEAERLSQAAFGEAMLHTIGYIYVRQAARELGKSKMYMGVPFIAEWVRDKGHHVKSQVNAASGAIALIQLQEGMKKIEDGDNKEEQILKSIEEKKDAMLNSLWKINVVDIESTLWRVCRAFLRENAVSKDVLTLRAKGLKKLGTIFQGAKSHYHRENSLRVECRTVEETPSH >SECCE6Rv1G0451780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873703036:873706090:1 gene:SECCE6Rv1G0451780 transcript:SECCE6Rv1G0451780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDNTKGLALAVASSAFIGASFILKKIGLMRAGKCGVRAGGGGYTYLLEPLWWAGLITMLLGEVANFVAYVFAPAVLVTPLGALSIIVSSVLAHFVLKERLNKLGFLGCISCIVGSVVVVLHAPEEHMPDSVEEIWDLATQPGFLAYAGTTLVLMAIVVVFIEPRYGQKNILIYLGICSSMGSLTVVSIKAVGVAIKLTLDGMNQLAYPHTWLFILVAVICGVSQLNYLNKALDTFDLAMVSPVYYVMFTTLTIVASSIMFKDGSGQSLSSIASECCGLVTILSGTILLHAAKQKEAASSPASTWPLDRGISWYISVGSDNLLRNVEDDYFAAPQNSPATP >SECCEUnv1G0554180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:283115918:283119647:1 gene:SECCEUnv1G0554180 transcript:SECCEUnv1G0554180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVTGAMGSLIPKLGELLMDEYKLHKRIKKDVEFLKKELESMHAALIKVGEVPRDQLDRQVKLWADEVRELSYNMEDVVDKFLVRVDGDGIQQPHDNSGRFKELNNKMIGLFKKGKNHHHIADAIKEIKEQLQEVAARRDRNKVVVPNPTEPITIDPCLRALYAEARELVGIYGKRDEELMRLLSMEGDDASNKRLKKVSIVGFGGLGKTTLARAVYDKIKCDFDCCAFVPVGQNPDMKKVLRDILIDLGNPHSDLAILDANQLIKKLHAFLENKRYLVIIDDIWDEKLWEGINFAFSKRNNLGSRLITTTRDFDVSKSCCSSDDDSTYKMEPLSTDDSRRLFHKRIFPDASGCPSEFQQVSEDILKKCGGVPLALITIASALASGQRVKPKHEWDIMLQSLGSGLTEDNSLVEMRRILSFSYYNLPSHLKTCLLYLCIYPEDSTIGRDRLIWKWVAEGFVQHGDQGTSLFLVGLNYFNQLINRSMIQPIYDELGQVRACRVHDMVLDLICNLSHEAKFVNVLDGTGNSISSQSNVRRLSLQNKMEDHQAKPLTDIMSMSQVRSITILPPAVSIMPALSRFEVLRVLDLSDCNLGKSSSLQHNLKGVGHLIHLRYFGLDNTGISELPTEIGNLQFLEVLDLGCNHVLHAVPSIVCKLRRLICLNVYPYKIVPAGVLQNLTSIEVLREVLVSLNVTAQELGNLARLRELQICFKDGSLDLYECFVKSLCNLNHIESLSISCNSKETSFELMDLLGERWVPPVHLREFVSGMPSQLSALRGWIKRDPSHLSNLSELFLSRVKEVQQEDVDIIGGLLPLRSLWIRSTHQTQRLLVIPADGFRCMVEFKLNCGSAAQIRFEPGALPRAEEVWFSLGVRVAKEDGNCGFDLGLQGNLLSLRRSVMVDMYCAGARVGEAKEAEAAVRHALEAHPNHPPIDIHMTPYIAEGARDDDSCEEN >SECCE2Rv1G0087540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:227533681:227535048:-1 gene:SECCE2Rv1G0087540 transcript:SECCE2Rv1G0087540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 23 [Source:Projected from Arabidopsis thaliana (AT5G41920) UniProtKB/Swiss-Prot;Acc:Q9FHZ1] MIQGVLSRAPATDAMKAKRSASMPDDEEKARGKRLQLHGSASEQGLAVATGEEGERVAEAPETRGLRLLRLLLRCAEAVAMDQLPEARDMLQEVAELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLALRPLAAAQSRRISSAFQAYNALSPLVKFSHFTANKAILQALDGEDHVHVIDLDIMQGLQWPGLFHMLASRPRRPLSLRVTGLGASLDVLEATGRRLADFAASLGLPFEFQPIEGKIGHVADTTALLGPRYHHHHREATVVHWMHHCLYDVTGSDVGTVRLLKSLRPKLITIVEQDLGHSGDFLGRFVEALHYYSALFDALRDGAGTAEEERHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVSLAGSPAAQARLLIGMYPWKGYTLAEENGCLKLGWKDLSLLTASSWEPTVHHGEDGHAAVAEQKGHDS >SECCE6Rv1G0443870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:825369840:825370478:-1 gene:SECCE6Rv1G0443870 transcript:SECCE6Rv1G0443870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSITDIPDQLLAEIFLRLSTPEDLARASAVCLTFLRISTDRSFLRFFRCLHAPQLLGFLDLDGFYPAMPSNPAARALAVTADFTFSFLPSHRRWTVQDIRDGRVLLARNIGKHGQPPVFGDLAVCDPLHRCYVLLPPLPHDLAASLEQPFPPVSEACWKRFLVPLGKDEVAAGETTFRVILMAQHIAKPVWLLSTSLRALINGKPLHPRI >SECCEUnv1G0562990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:370623793:370626394:1 gene:SECCEUnv1G0562990 transcript:SECCEUnv1G0562990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPRTPTVMLTSWASIARMLLLLITVPAVAAIGDPLAMIGMPNCNTTCGKVSVPYPFGMGPAHCYRSPGFKLTCDHGSSNIPRLLLGAGRSGMLEVVDIFLDPSSITIRTVQSVNTGGWSGQWRLGGTETTGGLSYFLKPGYNELILTGCNVKAALRGNGSLISGCTSLCGAGSVKPDIYCRPNGNVSACTTIGYCKSPIVIASTSLGMELKRLNYCLPNPKESDLPVNVLIAQTGWFDLQQYLDVVLNITTSDHKDQLTIPVILGWGVIHGPANYPIIRVECPEHTAQSICKSTNSECRQAALGGAYSCRCMNGYEGNPYLTGGCQEVKVNECNQNGTYGCLGDCEELQGLFRCHCPRGTRGDPTIPGGCVKSGNLGLIIGFSVASGPCILLLVLGALLITRDLKQRKTMALRHKFFSQNRGQLLKQLVSHRADIAERMLISLEELEKATNNFDQARRLGGGGHGTVYKGILSDLHVVAIKKSNIVVKREIDEFINEVAILSQINHKNIVKLQGCCLETEVPLLAYEFISNGTLSDHLHMEEPRSLPWKDRLRIMGEISKAIAYLHSAISVPIIHRDIKPSNILLDDTLTAKVSDFGASRYILIDQTGTATAVQGTIGYLDPMYYYTRRLTESSDVYSFGVLLVELLTRKRPSLCMSSEGDWIVTRFVELHEDGNLADILDPQVVEEGGNEVEEVATLAVSCMKLVAGERPTMREVEMAMEALQQPKERVLGDLAAASLNYPATSRRAKQSETSRCYSLEEEFMVSARYPR >SECCE3Rv1G0203470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883698504:883699044:1 gene:SECCE3Rv1G0203470 transcript:SECCE3Rv1G0203470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASGMEGLGEDGDVALGYPLIDDVERGQRKPAAAVGYPLPPERRDPPPSSTTRPPTRDKCASCKMFTQGFLVTLGIVLITDFVLGGLILKRQPHPLWVLLCSPFYPLVYVGTMLLVANCGTSESDVQDG >SECCE5Rv1G0374160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862041660:862044692:1 gene:SECCE5Rv1G0374160 transcript:SECCE5Rv1G0374160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTPCSTPPFQFLISSPSPPLASTPRRTNSRPPPPATRLRCCCSAGDPAAMVKAIRVHELGGPEALRWEDVEVGEPGDGEIRIRNTAIGVNFIDVYFRKGVYPAPLPFTPGMEAVGVVTAVGPGLTGRKVGDVVAYAGKPMGSYAEEQIIPADVAVPVPPSVNHKTAAAIMLKGMTVHMLVRRIFKVETGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSNEEKATHAHFDGCHHVIIYTKEDVATRVKEITGGKGVNVVYDSVGKDTYKASLECLASRGFLVSFGQSSGSPDPISMGDLASKSLFLTRPSVMHYTGTRDELLEAAGEVFANVANGVLHTRVKHTYPLSEAARAHADLEARKTSGSVLLIP >SECCE1Rv1G0008990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:51204395:51206026:-1 gene:SECCE1Rv1G0008990 transcript:SECCE1Rv1G0008990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFCTLSGADLPSSGSTGGDERTRALAGHMAPEEGAGAKIVEEGQGIAVGRASRHRALRPDAAEAVQEGGCDRRAKTKKRAAKGEGRARYGCAFEDGEEEEEGIAAPNRLVWSKMKGYPWWPAQVFDPADASPLALGERRREGAALVGNFWQKTFAWVSDAAALRPFRDGFRRFAAVDRAHVQLWGARATMSPFASALDTALGEVARRVEAGLSCDCAIGNPGVSKKQVIDNPGVREGAHGAVVDAAFTRDAFRGEAFLEYVSALAVAPLAGADRLELTIATAQLTAFTRWRGTRGLPVYTACHGIDDVAADGVMEGKPAGRATKRGRPKNDNAAEGKRKMSRYCTCDGSASESAEDPLEKYEPRPRPVSRQTTTKMGRLMSRAARGMSLSPASRRADPQANAGVTMTRCTGAAADHANAVKLQNGGLKKDDEPLLTGLSLNFTGPDTVPPASDLVKIFSKFGPVVEARPEGLTVSVVIFKRKLDAEAAFSRTPKIRALNSNLVSYRLAYSLPAPTPVDSPQCPMNTDEMDHLLVDDEEALQ >SECCEUnv1G0530950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:15196960:15198266:-1 gene:SECCEUnv1G0530950 transcript:SECCEUnv1G0530950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLARASQRSRYSKKRAPPERDWAELHLDLISCILHRLDQAELLIGGVAGVCRSWRRAAREEPELWRRIDLRGGLWFVPPFRREWSLQTMVRKALRLGAGQCEAFLCERVNDDILLILADRAPSLKSLHLISSSVSDQGFEKAIKMLPLLEELEISHCSSIRTIRVFELVARQCPLLKHFRHVAGMHFANGNAVAFAAASMQKLRSLHLVGHTFHSEGLVAILENCHDLEYLNMRECKPIDIDDSLQVKLAQINMDDREYSSDHYEDYEYLAGCTYYHPYSPACGSRPCWRSDPELVEMHFDYCMYCLRDDVDDYDIGDDGDLEEYEKILDIKSMRRYLS >SECCE7Rv1G0466100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:76388722:76412159:1 gene:SECCE7Rv1G0466100 transcript:SECCE7Rv1G0466100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] MASSSSSSAAASAAALEAVQVLVASLADDSPRARDSALAALREIAPLNPLVVLDCCATVSRGGHRRFGNMAGVFLVMASAVRALDRRDAEREFLRKIAKIATAEIVSSKDFNVDWQRAAATLLVAIGSHDPDLMMEEIFLYFSGPTSALPAMLQILADFASAEALQFTPRLKDVLLRVLPILGSVRDGQRPVFANAFKCWCQAAWVYIGDASSGLPFDDDVMSFMNSVFELLLKVWTGSRDLKVRLSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCKKDQEVAFTAAHSLHNLLNASLLSESGPPLLEFEELTVVLITLLPLVSVNISKDERSYISKGLKTYNELQHCFLVTGLAYPEDLCMFLLSKCRSKDEASIVGALGTIKHLLPRLVESWHTKQTLLVEIVKSLLEEQSLGIRMALAELIVVMASHCYLSGHPAELAVEFLVRHSAITDDDLNDINTLKNEYFQDKRFEMKISLAGLSELRAVCEKGLLLLAITIPEMELVLWPFLLQLIIPKQYTGAVATVCKCITELCRHKLSQTNPLYTEFNASNEMPSPEDLFARLLVLLHNPLARGQLATQILTVLCYLGQLFPRNLSLFWEDEVPKMKAYISDPEDLKQDSTYQEIWDDMIINFLAESLDVVNNNVWVISLGDAFARQYDLYATSDGHSALLHRCLGMLLQKVDDRIYVREKIDWMCRHSSMSIPVNRLGLAQGIGLVAASHLDTVLEKLKNILENAGQSALQRFLSFFSFREKVEDVDDTYAALALMYGYAARYAPSTVIEARINALVGTDMLGRFLHVQHPTAKQAVITAIDLLGRAVISAAEIGISFPLKRRDQLLEYVLTLMGRDQSDDLVDFSIELLQTQSVALSACTTLVSIEPRLPMETRNRVMKATLGFFALPTEPSNIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSASAEHQRRRGCVAVHEVLVKFRNLCSSGFGASGSYPTLSLKQIDQGGPRVSSSLPSAFVLPNRDSLSLGERIMAYLPRCADTDDEVRKVAIQIIALFFNISLSLPKQKTSANDIDLESSYGALSSLEDIVSIIRRASVDQIEVFHRVVSSVCILLSKDELVVLLHSCTLAACDKVKQSADGSIQAIIVFIIRRGKDLREADVLRTTQSLLSSAVALTDKHSRQEVLNAISCLAENTNHIVVFDEVLFVAGRDICTKDITRIRGGWAIQDVFYVFSQHKVLATLFLEYILSILHKEPVATNDSEKGEINSESSADDCILQATMFALNAFLRGGGKVGKQAVEQSYPSVLSALILKLGGLHGLAELGRNELLRSLLIAFQSFCDCVGDVEMGKILARDGEQTEKEKWIDLVQEVACSSSVKRPNEVLPTCVILCKSLDRNQRAEREAAAAALSEFIRHSEKEPALLEQMVEELCQHVTDDSPTVRSLCLRGLVQIPESHILNYIQQVLGVILALLEDATESVQLTAVQCLLTVLNVSEQDAVDPILISLLVRLRNLQISMNTKMRSNAFAAYGALSAYGAGSQHHAFLEQIHATLPRLILHLHDNDLSVRLACRNTFQLLAPLMEVDGLSSLLNKQYFTSDRRSDYEDFIRDLTRQLCRLSPARVDSYLESAIQAFDAPWPVIQANAVCLVSCMLSFLDDQRFLAPYFSQVFAMLVGRMSQSPEAVVRAAASSALGLLIKRSNMLKSLSSRFDRTDPSQSSQHGEPHAKISSELQEEAAAKPNGAQGE >SECCE7Rv1G0505180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:731542636:731546239:-1 gene:SECCE7Rv1G0505180 transcript:SECCE7Rv1G0505180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGWDINITIFGHDNTVFTLQSFESNFNCFVVLPFQTGEEALDSLKRGVAKDEELDLIVAEVHPGNTEVGTLRLFHHILNELEVPLITMCGYDEAASARMTLGTCFNVVKPLDTETVNFLRMRALQHRSIKNHRSETEDEEQAALNVNVYSYNLGRFIWSSELHEKFLQAVEVLGASATARKIHQYMNAKDLNLTIQHVASHLQKHRLRVQRQRLSHYEEGYQHYASIKELSEMISSAYKADSAKPNNHPATTQTQFTHGVASAIWDKYPGMVWPHVEGSSAASTMWYNYPGKLCRQVGESSARARVSQTNACSPPVLIHGTKSIWDRYEESLKYYNESLSYKREVLPVKSKALDGYGCKIFRKREETSRTAASGKIVINMESDDMQKDTTDDVHAEVTPQEDTMDEVHAAVTLQKDTRNEVHAAVTLQKDTMAEAHAAVAPHEMNEVPAAAMGAGYLVDLAGNALLDGIDNYQPAAENVQSEPFSDWEEVEKFWRNQMGGQGQEQQGLEPVDLLQIDGIDPEELLQADEAWNQALQPANPANVVDNSPMANEPAAGDALAYDSANQSGVAYNVL >SECCE6Rv1G0382300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:40970719:40974534:-1 gene:SECCE6Rv1G0382300 transcript:SECCE6Rv1G0382300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPTVSATMGVLNPLLSKLATLMGDEYRKLKGVRKQASFLMRELGAMKAALEKLELVDALDPLAKNWRGHVREMSYDMENCIDDFMRQFGASDAKAGLIKQTAQRLKTLRERHRIAVQMEELKALALEANERRMRYKIDDCVNYSSSVVPVDPRISAIYKEAAGLVGIDGPREELVSWLIDAEKKLKVVSVVGFGGLGKTTLAKQVYNKIGVQFERKAFVSVSQRPDMTSLLCGLQLKLGMEESSHIREVQDIIDRLREHLTHKRYLIVVDDLWDQSAWNIISCAFPEDGNGSRVIVTTRLGDVAIRACLHDRECIYQMNPLQEQDSRSLFYNRVFGSEDGCPPQFKEVSAEILKKCGGLPLAIITIASLLASRQARSRSEWESIRDSLSAKFATKPTLEEMRSILNLSYMHLPLYLRACFLYLGMYPEDRKISKVDLIRQWVAEGFVSNLHGSNLEDAAKSYFHELINRGMIQPEDTICGEVLSCSIHDMMLDLILSKCGEDNFIRVAYDYKDIAQRDGCNYRVRRLSLKLSAGSAASGTIATSLTQIRSFAWFGESKYTPPLSEFKYLRVLLFEFPDYWDMVVDLTAAGQLFQLRYLKVSAASGSIELPTEIHGLVHLETIDIDCRTAQNIPSDIVMLHRLSHLILPRDTGLPNGIGNMKSLHTLRCYCMGKSSLDDIEGLGELTNLRELTLTKSYKFDMVRAGVEALVSSIGKLCDLKFLYLACNLQHYVDLLDSISDPPLRMENLRLGGWPLYKVPKWIGDLHCLHRLSLCVERLRTDGVHVLGQLPSLVYLSLKVLCIPQDSAAIICTGSFPVLECLALRSRDDDATACMGFEAGAMPKLRRLVLGVHDRWGGGSALPVGMVHLLSLEQIHVDNMSSVHDDRDVESAFRNAAQQGAWTIGLKHRRTRAARNWSSTTATSLNRFVFGCCCPSRWTMVMLIRKINSPLFESLREASWSFR >SECCE5Rv1G0331840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:541653440:541655068:1 gene:SECCE5Rv1G0331840 transcript:SECCE5Rv1G0331840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAAARRQADKWMSVAEKLLMAKDLEGCKEFSSQAIAADPRTPGAEDLYAAADVLLASQRRRLPNGQPDPYAVLGLDPAKPASRLPDVVHSKFRRLSLLLNRSHPDRPCSVYVAEAARLVADAWAFLSNAGLKSALDAELDAAAAPRAPMQQPAVERRPQPSPPPQQSPVWAAPQPRLPPQRIPVRPVPQPTPPPQPSSLRAATQTPPPPQPSSLRAATQTPPPPQRSPLQPATQPQPSPQPSRLQAAIKPPPSPQPSPLQAATKPPPSPQPSPLQAATKPPPSPQPSPLQPATQPPPPPQPSPLQAASQPPAGVATPPVESGTSPALTFWTLCKGCSHIHQYDRLYEARKLKCSSCHQPFVAEAMPEPPPIVPGTDMYYCTWGFFPIGFPGCPGFADLVNSQPQGSGQLNVPWLGANGRVAAENGTPVTIGAAREEPVASEPSPEPLTRMRVEVPLVPEPAPEPLMRMRVGVPAVPESVMPTRVEVPAAPEPVMPTREEVPAAPEPVQPTRVKSVKVGAKKRGRPKGSKNKKNLRVVT >SECCEUnv1G0537170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67724948:67725175:-1 gene:SECCEUnv1G0537170 transcript:SECCEUnv1G0537170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCCGPSDVQVLPRTASTTSSSSSSAAKDSGDGGKKKRQQVVKKEEGKEKKRSNLDRAALTTPRLPFHSRPGLM >SECCE1Rv1G0044800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:605568063:605571583:-1 gene:SECCE1Rv1G0044800 transcript:SECCE1Rv1G0044800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDEANFGDITDASAEAEAAAKFHPNKARGKLRKKSVPSRSVVPKLTVETSDEKAVALSQDNFSQGLTTNQEITSSAVLGSETIGGAEACGGTLYTPSDDVLTVSSVDRVSQNDDPRDDPSEVATIQENLVVSDTQASSTHPTSKTVDDLADFGELFDTHSEEENIAKSKLKIKVKLNKAASKSRKTGQKKVASTVDVISQNKKDGNDDLRGCNDEQVQAPRHEEHVQTSDSQPPLGTDDGIVDNFANHNRILEEPVPEETAAKLCPKSQRKPGRALSKAVGTSDDYAAANLKDIIDSVDIDSQDGLINPHTDDPQPIFEEPSAEAAATFLLDLGKKKGKGKSVTFVLPDDYEGVAATDTNHSDIGSDENLNTLPQQAPQKHCLTEEHSDDQEYTDRESQYYEGERSDHGVEQQSKLDVGKQELSMNLRSRTEIQKVGLSEHIVDDHLDEDFVEPSAAEQNNDSGDENSAGGKKKAPRKSRKKDPNKEPLRGSKRTSTKSTLEKSQQNQQKNKSEVSSRGRKRALKDTMTEQPEKKLTHRIRQKRAKEVQTLLQKPDHEIDRMKLSVTHLRLLQEARERIQSKTPSGPSSSNQSSSHFGDTDDFDPFGDNYDNDRTENQALENATKLNYHSYMDKKARAKWTKSDTDLFYQGLQQFGSDFAMIQQLFPDKSRDQVRQKFKSEDKKHPMQVHDAILHRSRDNLYLKQVMKQLNIEDSLKDINITQKQEVASTEGNTGHDVISETNTFSVENASNLSDEEMGTHQSEVKEGEDVAGNADDVDLDVFDWY >SECCE3Rv1G0191620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:749636600:749640396:-1 gene:SECCE3Rv1G0191620 transcript:SECCE3Rv1G0191620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPNVSWGCLLLLLCFAWMGDAAYMKYKDPKQPVNTRIKDLIGRMTLAEKIGQMTQIERSVASADVMKNYFIGSILSGGGSVPAPQATPAMWVNMVNEFQKGALATRLGIPMIYGIDAVHGNNNVYNATIFPHNVGLGATRDPDLVKRIGEATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHKIVQQMTDIILGLQGEIPVNHTKGVPYVAKNKVAACAKHFVGDGGTHNGINENNTIIDERGLLGIHMPPYYDSIIKGVATVMVSYSSVNGEKMHANHDLVTDYLKSKLHFRGFVISDWLGIDRITSPAGANYTYSVQAGINAGIDMVMVPFNYTEFIEDATSLVNKRIISMSRIDDAVSRILRVKFTMGLFENPLADLSFADQLGKKEHRELAREAVRKSLVLLKNGNTPNQQFLPLPKKASKILVAGSHASNLGYQCGGWSIQWMGGSGDITAGTTILDAIKSTVGDATLVIYSENPDDSFMKKNDFSFAIVVVGEPPYAETVGDNTDLTILDPGPDTIRTVCSAVKCAVVVVSGRPVVIEPYLPLMEALVAAWLPGTEGQGVADLLFGDYSFTGKLSRTWFKSVDQLPMNFGDTHYDPLFPFGFGLAVNSSQPGFSGARSRGDKKEITAWAALSLLLPMMLAWA >SECCE3Rv1G0190180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:735702224:735703783:-1 gene:SECCE3Rv1G0190180 transcript:SECCE3Rv1G0190180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKPPLPDPSPQAARLPSPSSSSSSSAAAAKRGGTGSRGLLMGRYELGRVLGKGTFAKVYHARHVQTGESVAIKVLDREKAVRSGLVSHIKREIAVLRRVRHPNIVHLFEVMATKTKIYFVMELVRGGELFSRVSKGRLKEDIARRYFQHLISAVGFCHTRGVFHRDLKPENLLVDEAGNLKVSDFGLSAVAEPFQPEGLLHTFCGTPAYVAPEVLARRGYEGAKADIWSCGVILFVLMAGYLPFHDQNLMAMYRKVYKGEFRCPRWFSKDLTSLIVRFLDTNPSTRITLPEVMESRWFKKGFRPVKFYIEDDQLYNVIDAENDMLDLGLPDPLPQPLPPRPPSPSPTEVDGDDSGSESDASVVSCPATSSFEERQRVRGPLPRPASLNAFDIISFSRGFNLSGLFEEKGDEVRFISSEPMSDIITKLEEIANVKSFAVRKKDWRVSLEGTREGVKGPLTICAEIFELTPSLVVVEVKKKAGDKEEYDDFCNKELKPGMQHLVHQMVPVPNTPTISE >SECCEUnv1G0550520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:220640088:220640591:1 gene:SECCEUnv1G0550520 transcript:SECCEUnv1G0550520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGHEFVKCESKPEGQIVKKCYHFGWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPVAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE7Rv1G0453880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:179254:181296:-1 gene:SECCE7Rv1G0453880 transcript:SECCE7Rv1G0453880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLRSASASSLRGILLRHSSAAGAPPRAVSDFQVPGSATWRHFSTCKPNPLVKGNSFGSMASLYSQTRWASQATAVKETDPSGGKISIGPKSKQIKEEDKDDGLVYQGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGGVASTVIFLSATTTAALHWFVSPYIHKLRWKQGSDSFEAEIMSWLATPLKKTIKFADIRPAETNRPFVTFRADGNFYFVDADHFPNKALLERLTPKLPNESAFKNL >SECCE5Rv1G0314140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:267905356:267980955:-1 gene:SECCE5Rv1G0314140 transcript:SECCE5Rv1G0314140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGGGAALAGGDVAGLLDKAKELDQLRKEQDDVATEINKIHKKIISSPEMVDKSVDAMMARLRGFYVQAKELSEGEVSASSALVGLLDGLLQSGVSAAPRKKIEISEQKKKRMKSDTDTTRFSSASMRSQLDQAANLKGEQVAARVKSDDEKDEWFVVKVIHFDKETKEFEVLDEEPGDDEESAQKFERKYKLPMSYIIPFPKKGDPSSAQDFGQGRQVLAVYPGTTALYRATVASQRKRKSDDYNLLFDDDEEDGNLPERAVPFYRVVALPEGHRQ >SECCE5Rv1G0373630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:859967366:859985885:-1 gene:SECCE5Rv1G0373630 transcript:SECCE5Rv1G0373630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLNHPGVAAEVRLVLQGATDADRESVRRELCQLVDYGHDGCLLVLQVCLDEVLLNDREAKNLQLKHDLLSATFRYCLDKTYFSTCFCEALMRIKTATDGLLETLSSALELSAAEKVGIGLALSDSDNSGMKLKGQQFAIAQIEELCLNPNQLVSNDQIHEIVVFLHQTDGLTKHMDTFSNIASLLEVGQSPFFAPIPKEQHDVQSINHSRHLEMYLDSTNDDFESLLSEIGKEISMADIVTELGYGCTVDSTQCKEILSTFQPLDDVAISKLLGAVIGTQNSLAEVHNTYATFVSAIRNTHLSESPQLTTWNTDVLVDSINELAPSTNWVHVMESLDHEGFSIPDEAAFCLLMNIYGRACKDPFPLHAVCGSMWTNTEGQISFLKHAISAPATIFTFAHSSRLLALPDFASLGPGNHAWFCLDLLEVLCQLAEVGHTVSVRSMLEYPLGQCPELLLVGLGHINTAYNLLQFEVLSCAFPAILKDATKRNVVNYLWHINPCLTLRGFVDAHSDPSCLLRIVDVCHDLKILSAVLESTPFAFSIRLAAAACRKDHSHLEKWLTEKLTVYKDGFVEECVIFLKEAMNAASYAVEGATELPQASVVDMYWEACPPFIKVLQSHLGQLLSNQLADELRELCALYESRNQGPVARDVPTLEGGSDDVEVEANAYFHQMFSGQINIDVMIQMLTRFKESPDKREQSIFNCMISNLFEEYKFFTKYPDKQLKLAAVLFGSLIKHELVAHLGLGIALRAVLDALRKSVDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRATHAEMVSAIERALARISSSQNEPNAGNLLSTEQLVSGSSSIEAMEASEPSWQLMGTSPTQLGRTPYPLQQRQPSVLGDRSKISIGTSQNKSILPSQPSVPPASADSAFNLKTTVPPSSLAHSTSMSNSAHSTSFLRPRNTSTVLPRQHSYTTGFGNALNIETLVAAAEQRDNPIETPPSEVHDKILFMINNISTSNMEAKAKEFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKLNSRSLSKEMLKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKSLIVEAYERGLMIAVIPFTSKILEPCNSSIAYRPPNPWTMGILSLLSEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPTSLLKDRGREVEGNPDFSNKDVASSQTPVAAEVSSGVNPPIKHVDLQPEVNSTSRTLSLPTMYTAPVRLPPNSMVEDDKIALMMPEQVPSHTLTQVSSSQTPLVSLSPSPLSLTQLLSLIPHDEIRFKISSKLLPFGSQLQFSKIMGVALDKAIKEIILPVIERSVSIASKTTKEIILKDYATESDYSAANRSARLMVGTLAGSLAHVTCKEPLRVALLSHLRSLTQNLASNSETLEQIVNVLINDNLDLGCASIESVAARKAVDSIEGEITQSFSQQRKKREAAGPAYYDSFTYAQGPFAPVPEVFHTKAESPAQQRVYEEFVHVWQSHGQSVGAAGSGSSGTAAVSSNFGVPRAYSPSLAQASSAFLSAQTAPLTLTQPTELVYEELIPGAAQLSSDSPAQVGTGDSSGWLGGTIGDASTSPPLMPNDLPAGGIMDLNVMTPPPATVSDNLGTGLPDTLSTGDAMERYEQVSQKLEVLIAKDGKDVEIQSVIAEVPDILLKCVNRDEAALAVAQKVLKSLYDNTSNSGSVMWFLATLVAIRNVCKLVVKELTNWVIYSDDEKKFNSEIIFALIRSDLLDVREYNVHLAKLIDCGRNKIATEFAMSLLQTLITQDSASISELQDVVDVLSKISRRPGSPELMQQVNEIARNNANNAPGFAFGKDKKVLPNRANKEENSVNDATVADSVGFQDQVAHLFSEWCQICDHPNASDAAYSRFVMQLQHIGLLKGDEFTDRFFRILTELAVAHSLVSEQINAPGGLSQQSSHISYFPIDSYSKLVSVVIKNCSVEIGPNKGSLLPKILSVTIRVIQKDAEEKKASFNPRPYFRLFINWLNDLSTSELHHDGANFQVLAAFANAFHILQPSRIPSLSFAWLELVSHRTFMARLLAGNSQKGWPFFQRLLIDLFKFMEPYLRTADLLEPVHLMYKGTMRVLLVLLHDFPEFLCDYHFSFCDVIPSSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISLPPRIMSDVDGALKSKHMKADVDEYLKRPEGSSFLNDLKQKLLLPQNEANVAGTRYNVPLMNSLVLYIGIQAVQQLQANKANASASAQQINHNTMDIFQIETATEFFRYLVTNLDTEGRYLFLNAIANQLRYPNSHTHYFSFIILYLFAEATQEHIQEQVTRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRAFTHCAPEIKQLFESVAKSCGGGAGKAVDDGSDVSH >SECCE3Rv1G0168930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:229368009:229369581:1 gene:SECCE3Rv1G0168930 transcript:SECCE3Rv1G0168930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIALGHRREASDPGCVRAVLGELVLTFLFVFVGVGSAIVGGQAVAAGGDTSAALIAVALGHALVVAVFATAGFHISGAHMNPAVTLSLAVGGHITLFRAAFFVLAQMLGSSLACILLRALTGGLVTPVHALAAGVGPIQGVVAEVVFTFTLLFTIYAAILDPKSSAPGFGPLLTGLLVGANTIAGGALTGASMNPARSFGPALASGNWENHWVYWVGPLAGGPLAVAVYEFVFTVPVTHQQLPVVE >SECCE1Rv1G0031040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:438203961:438208242:-1 gene:SECCE1Rv1G0031040 transcript:SECCE1Rv1G0031040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGDGEGAGSESPPSGARATLNIRCANGAKFTLQADLGETVGAFKEAVAASCDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAQPAASGAPAAASPQASTTPTSGPAGGLGGLFPGLGATGAASGRPAGLFGAGLPELDQMQQQLSQNPNLMREIMNMPMMQSLMNNPDLIRNMIMNNPQMRDIIDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIEASPEGFNMLRRMYETVQEPFLNATTMGGGGEGTPASNPFAALLGNQGPNQAGNAATNAPTTGPESTTGTPVPNTNPLPNPWSNNAGGAQGTTRSGPAASTRAGATGGPGGLGSADLSSLLGGLGGNARTGAAGGLGGLGSADLGSMLGGPPDASLLSQMLQNPAMMQMMQNIMSDPQSMNQLLSMNPNARSLMESNTQLRDMFQNPEFLRQMASPEALQQLLSFQQTLSSQLGQNQPSQAGNLGGNGTGTRGNVGLDTLMGMLSGLGAGGGLGVPNASNVPPEELYATQLGQLQEMGFFDTAENIRALMATSGNVHAAVERLLGNFGQ >SECCE5Rv1G0321720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:409100070:409105097:1 gene:SECCE5Rv1G0321720 transcript:SECCE5Rv1G0321720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRAVKLREAHKAGSGSPAFCSVAWAPGGQHVVTASAADVAILIHDAAAVSAAGTGARGSGSAAAVATIRLHKDGVTAVALAPGSGSSLASGSIDHSVKFYSFPDGTFQSNVARFTLPIRSLAFNKKGALLAAAGDDDGIKLIATVDNTISKVLKGHKGSVTGLAFDPKNDYLASIDSFGTVIFWDLCLGSEARSLTRVAPTFGSDHSVKNTLCWSPDGHTLAVPGLRNNVVMYDRDTAEEVFTLKGEHEQPICSLCWSPNGRYLATAGLDRQVLVWDVKSRQDIERQKFDERICSMAWKPEDNAIVLIDVMGKFGIWESVVPSTMKSPIEGAPELNPQRVPLFDDEDEEEKPSTSAGFDDEVDESLGDSAPFNHKRSRRKTTFDDHMNEGSEDEDMIHEMQSGKRTKGRHRDNKEGARKAMDDSATSGRLVTARMQAAFQPGSTPSQPGKRNFLAYNMLGSITTIENEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNESGSVFANPCKGDNNMSTLMYRPFSSWAGNSEWSMRFEGEEVKSVALGAGWVAAVTSLNFLRIFTEGGLQMHILSISGPVVTVAGHGDQLAIVTHASDCLPSGDQVLDVKVFNITEGAQSMSGRLVLTPSSQLSWFGFSENGQLSSYDSKGILRVFSAQFGGSWLPVFSSTKARKSEDESHWVVGLDANNIFCILCKSPEFYPQVMPKPVLTILELSFPLASSDLGANSLENEFMTRKLHLSQIQKKIEEIAALGLDTTAFEDEAFNTEAALDRCILRLISTCCNGDKLVRATELAKLLTLEKSMKGALTLVTRLKLPILQEKFSSLLEERMLNDARTAGTIGVCSNPASTNHSPVLRTIQPAKIVQNGGNLEEASTPNPFARRSSAAGPKKAELEQPKHVKDTAAKVSPVVTPLAKIPKKNINSEGKAKRERDGTAGVGTAEQTLKGGIDHDQSGAKNKSSEDGNRGEPQRPLNPFAKSSSSKEQSSSLLDSMKKMKVESEKVDKANSKKVKV >SECCE5Rv1G0351580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:701059101:701060195:1 gene:SECCE5Rv1G0351580 transcript:SECCE5Rv1G0351580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAACTGGLLRATTAKESRLPSSSPTASRPSCRIPSLKFPFLWDTKARHGKISRAAEQRAALITLGAATASTAEEKQRLLGESSSVNLLLPLAYEVTRRLVLRQFGATWLALTRRCRAKVVEAVIHQGIVTCQSFTLLGVAGSLLGSVPCFLEGCGIVLQSFSLQFRAMSQTIDQAEIIKLLIEALDMFLIGTALLTFGMGMYGMFYGSQRVQEPIYERLKEGARIRSVTQAKSRFGHAVILLLQAGVLEKFKSVPLVTGLDMACFAGAVLASSAGVFLLSKLSAHPQPCNKQASFA >SECCE4Rv1G0281830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826981739:826982923:1 gene:SECCE4Rv1G0281830 transcript:SECCE4Rv1G0281830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEEMFERNTKSRLESHPGLTAEAAGLLTDDLILEILSRLPARSLHRFKCVSVSWRDLITDPANRNKLPQTLAGFLYTSPGDHFASVSGDGAAPFDLSLPYLRNNKDDKGITLVDACNGLLLCRRRKKNKATPWKEDDFGFVVCNPVTGRWVELPPQPRAPPRRYTHTASLAFDPAVSSHFHVLHYEETYTGSYIIGVNIYSSRKGVWSHRDCWMVEKVTLFSRSKCVFAGGMMYLMGNLEEMNGEYVLVGVDMEGKVWKTIRTPYGRRFGTIGLSQGCLHYVVAFVGDYNAIQITEIALWCLKDRDSTELVLKHTANINKLMSMTGKMYMVVEIHPDCDTIFLVSFRGDTLAAYDMRHQKVGCILNLEKNTRRFLPYVPLFSESLADEDGR >SECCE3Rv1G0208970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:935959555:935961472:1 gene:SECCE3Rv1G0208970 transcript:SECCE3Rv1G0208970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGSSDAEAELRRGFKALAVTRPDPAAAVYEVRLSRPAQLNALSPDSFAEIPRAMALLDRLPSARAVVLSAAGPHFCAGIELGGPGNPLTAPPARGADPAAAAEGLRRGILGMQAAFTAVELCRKPVIAAVHGACVGGGVELVAACDIRYCSRDATFVLKEVDMAIVADLGALQRLPRIVGYGNAADLALTGRRITAAEAKEMGLVSRVFDSKQELDAGVAKIAKEISEKSANAVMGTKAVLLRSRDITVEQGLEHVATWNSGMLRSNDLLEAIKAFMEKRKPVFSKL >SECCE6Rv1G0382910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:48322639:48323853:-1 gene:SECCE6Rv1G0382910 transcript:SECCE6Rv1G0382910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELDEETYMEQPDGFVVPGQEGKVCKLLKSLYGLKQAPKQWHEKFERTLTSEGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLDLIKEIKDFLSRCFEMKDLGVADVILNIKLLRDDNGGITLLQSHYVEKVLSRFGYSDCKPSPTPYDPSVLLRKNRRIARDQLRYSQIIGSLMYLASATRPDISFAVSKLSRFVSNLGDDHWRALERVMRYLKGTVSYGIHYTGNPMVLEGYSDSNWISDADEIKATSGYLFTLGGGAVSWKHVKRRLKSVRKLKNSGVITVDYIQTTMNLADPFTKGLSRNVIDNASREMGLRPTV >SECCE2Rv1G0138720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:922960271:922963450:-1 gene:SECCE2Rv1G0138720 transcript:SECCE2Rv1G0138720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANWGLGVGVDLNGPPPTGDDWRAQLQPEARSRIVNKIMECLKKHLPVSVPEGLNEIQIIAVRFEEKIYNAATSQSDYLRKISLKMLSMETKIQQAQGNARVIPNQINLGQASCLRMTDGSPWRPIQGSGPTAVAAADAAGVDPNALPPTGGDWRDQLQPEARSRIVNKIMECLKKHLPASVPEGLSELQKIAVKFEEKIYAAATSQADYLRKLSLKILSMETKT >SECCE6Rv1G0451130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871350123:871353347:1 gene:SECCE6Rv1G0451130 transcript:SECCE6Rv1G0451130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAPPPAVATALSALLRRGANRSHSLRVSRPRCVSSDAVAEPAPAPALGRRGGHAGTRLEEAVPAAEGRSRVDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKVSHTVKGGDKVSCTVSELQPLRAEAEDIPLDIVYEDDHLLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLARSPIYDEYPESSDDDVDVFDVDQFTSGEVSSEVREAIVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTISRVYISLTCGVPSPNSARIEVPISRDPNNRIRMVAAPGSGHRYAKHAASRYKVREVFCAGGSALVEWRLETGRTHQIRAHAKYLGNPLLGDETYGGTKSMALSLLRPITPSKYHGDLSNLVSKIDRPCLHAALLGFKHPHSGKVLEFSCPPPDDFTEVLDELRRVTPASEGQDSDSAAQV >SECCE2Rv1G0076710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:93936096:93936566:-1 gene:SECCE2Rv1G0076710 transcript:SECCE2Rv1G0076710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQESPTQAASGGAALLCANGCGFYGNAATKSMCSKCYRDHLKATGVDAPVVEGKLKFEDLILAFKKSMSLALEDSTAAPAAEAAAKKSAPTRCMACKKKVGLLGFACRCGGTFCSLHRYVDGHACGFDYKKVGREQIAQQNPLVAPSKLHNKI >SECCE3Rv1G0179360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:537709757:537710149:1 gene:SECCE3Rv1G0179360 transcript:SECCE3Rv1G0179360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGTGAVKRRLAVAAAVLLLMGSAVTAAAGGVGVGRPCFDACFDQCVPREEFWFCQFSCYHRCSGGRSAAAVKARDGDEGDCEHSCVLSMCGQLHPDSKMMAVCLDTCRKSYATNGCRRPGSASTSSDM >SECCE5Rv1G0371250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846557669:846558235:-1 gene:SECCE5Rv1G0371250 transcript:SECCE5Rv1G0371250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEREEAGVALTGRRARADTRHPVYRGIRFRAGKWVSEIREPGKASRIWLGTYRTPEMAAAAYDVAALALRGAQAAGPALNFPGEALSRPAPASCAPDDIRAAAATAAAMVVGAGSSPPMEADGGSSGSGSCSAGERGRVVDEDDVFEMPRLLASMAEGLMMSPPRLGAATAVDDDHDGGMSLWEHS >SECCE6Rv1G0387510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:113778173:113778742:1 gene:SECCE6Rv1G0387510 transcript:SECCE6Rv1G0387510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIDVDEFIFSTYWIGLEKPSKSLLEPVISVDDSVGQIYLPCYDFAPSGQTAHPPEGVCQGYTCRLKNPQRHKSLVRLNAVEPSLMNVVHHFKLKPGFKSIWTAFARINHYKYQAWSEFKIKFKRRVSAYVADWKDPINLDSKDRAPGLGVDDVEPEGWAQKYCEVKDNILQLLTGRWFGVGFGNPPH >SECCE4Rv1G0216840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12766687:12768721:-1 gene:SECCE4Rv1G0216840 transcript:SECCE4Rv1G0216840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g75430 (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G75430) UniProtKB/TrEMBL;Acc:C0SV32] MVTKRLQESGSPKQARAAPVAADSANGPLMLLRLRGQCCCGHPAASPVGPLALTLGAPAATDDDVCRRCCCARDADAPPALAPAGVMPSVDSVLRGSRYLRPVQELLRDAVSAVVGGDDDDASAGGGSEDDAAGHEAALRELRLRAAATSRRGIQAKNDGGAGAGAVQAKLLGLLSELEGRQEHYFQELGRVAASFEPALGPAATAGYTSLMSRAMTRHFGNLRRAILRKLAALIARPAPAPRARLWTDEDSEEEDDEEEDCDEYDPAQQEHEDMVSRLVRRTKQAAAARAAEQVCKPMRGLPEGSVAVLRAWLFNHFLDPYPSDNEKLRLAVSTGLSRRQISNWFINARVRLWKPMIEEMYAHEFSRDDGAAAASSQ >SECCE5Rv1G0314390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:270114965:270115468:1 gene:SECCE5Rv1G0314390 transcript:SECCE5Rv1G0314390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKHFLNPSFSTHTGCTEEYKDFFIGPVHVEDVALAHITLFENLAASGRHLCVEPICHLSDFASKVGELYPDYKVPKFPEDTQPGLVRAEAVPKKQMALGLQFTPLAKIITDAVESLRSRGCIA >SECCE2Rv1G0110860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:695050924:695053876:-1 gene:SECCE2Rv1G0110860 transcript:SECCE2Rv1G0110860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MYAVGGGGGGFKAPSTASGRRKNQEDDEEEEEEEETGDGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKNLVHSQYRRRLLLRSAAAAARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKCAEAFIREFFDQNPLSHVGLVTIKDGISHRLTEIGGSPESQINALMGKLECSGDSSLQNALELVSGYLNQIPSYGHKEVLFLYSALNTCDPGDIMETIEKCKKSKVRCSVIGLAAEIFICKHLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVAPFDEVTFKLGQKGGQNCFGCQQSLINTGGQSNIHVRCPKCNHHFCFDCDIYIHESLHNCPGCESQRSSSR >SECCE1Rv1G0036630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:511056035:511063284:1 gene:SECCE1Rv1G0036630 transcript:SECCE1Rv1G0036630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MAAVHLRPFHPLALPAAKITVSPNRLHLSAKARATGRTARLALLVCSASSPATPAAPSSSSSSSSGDASGAAAAAARWAAWIPRAAVGGVGPEKVLRLISGAAATPICQFVDSPRTFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVACLALLSMWVLPNHVWKDQLGRVTLLSGIIFIMLGFGSDGAPSLVQTRTPPPSVLGVPNIPSSLSGYSYTIMKLGPLQFTRKGLSVASTSACLSFAIFQSASLCLTTTTSEQLASALWWFMIPLKLIGAPVPEIILTLLLSLRFINLVFDEVRNSALAIVTRRIDWKKLATMETIDIFFNYVQRIFKNIFDHAEQISKAMVARGYRGDPSKHKIYFLAESSFGIVDALSLLCLLAVMGLASFSERLV >SECCE3Rv1G0144580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:4865055:4866455:-1 gene:SECCE3Rv1G0144580 transcript:SECCE3Rv1G0144580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVVGHAAAVTQLVGNAFGLISKIKRAVETARQNKLECDLIARRVPTINGVLSSLPQEPEVVPPLQELNSTLQEAHDMVVACRDWSSANLFFRSRRHAECFTQVNLRIMSDINILNLCLLSIIARRQTTTTTMAAVPSPGSCSGYLHQTRSHMVAVPDYPRMFTLAEIAVATNNFSLELGGGCSSGRVYKGRLVDGPEVAVKVLDRHGRRDVEHAFVTELEILFPLRHDHIVRLVGWYTEEKDPMFVYEHEHMSNGTLRDHLLHVGLRGRMRVRLSGGFSSTPARVCWRTRVEVLLGASRAVEHLHRSGIIHLDVTSSNILLDATWTPRLTGFGAAVLQQAPAVAGGQVVEEVVGTPGYLDPEYHDTGRVSPASDVYSFGMVILEALTGEDPATLQVDSSLLAIRDGKLRHVLDRRPATLPQLEALELVAYTAERCMYPRGKGRPSMPDVVASLEKALGIITS >SECCE2Rv1G0102530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:579247740:579253278:1 gene:SECCE2Rv1G0102530 transcript:SECCE2Rv1G0102530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFVQRGPGGSSSNSARSGSQPLQQQQNQPAAAAREEELTVQVQPQPPELLASDDTTEHLLEGGDSISNDPSRLVETVSESSSHVEERSEREKPPKDDSNVTDPPFLVELSGLQLSDQFEQANPVQSGTVPSQITGAASHPPPPPAPPPKPSSSGNNGLRRMGSGSSNGVRIGSSRRPAAWPPVAAWTSASGSRPSSPRSLADCEGYNSADEQGACYTSSYDDSEREHMFEHDLRRVKGFEIRKMAADGNCLFRAVADQVYGDPETYDMARQMCVDYMERERDHFSQFMTEGFTPYCKRKRKDKVYGNNIEIQAFAEMYNRPIHIYSYSTDPINIFHGSYNTDVPPVRLSYHHGNHYNSVVDPRRLTVGAGLGFSSLRGTNSVDRDQVKAAIKAQQDQQIENALLAEGRLYSDLELTEKEIERMVMEASRAEYLNQQQVNFRESSRSGAEPSSSAAISGSSGSAAAADRGSENCFVLPDTVLTRSMQLLLAMGFSYMQVMEAYSIFGEDMDSMICYLVEMGGTGASPGGSNRRKGKAAE >SECCEUnv1G0556690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:313621791:313623299:-1 gene:SECCEUnv1G0556690 transcript:SECCEUnv1G0556690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPGRSNPLTAFGELPPFRPLNDDDVRSYLYKAVDFISDYYKSVESMPVLPSVKPGYLQGELGASPPVHPAPFDVAMKELRASVVPAITHWASPNFFAFFPATNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLCLPRSFMNRTGAARGTGGSVILGTTSEAMLVTLAAARDIAMRRSGAGIPNLTVYASDQTHSTFFKACRLAGFDPANFRSIPTGPETNYGVDPVKLLAAMQDDAMAGLVPTYVCATVGSTSSNAVDPIGDVAKVAAMFNAWVHVDAAYAGSACICPEFRHHLNGVERVDSISMSPHKCLLTCLDCTCLYVRDAHRLSESLETNPEYLKNDASMSGAVTDLKDMQVGVGRRFRGLKLWMVMRTYGTANLQEHIRRDVAMAKMFEDLVHADDRFEIVVPRNFALVCFRIKATGVRANDEVNRLLMANVNKTGKAYLAHTVVGGRLVLRFAVGSSLQEERHILSAWELIRKTSSEMTN >SECCE3Rv1G0163730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:151414177:151424677:-1 gene:SECCE3Rv1G0163730 transcript:SECCE3Rv1G0163730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDSWDADKILDVYIHDYLVKRNLQNTAKAFQAESNLSPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSDVATSYIETQSIKAREQQQQQHPHSQQSPQQIQVQQLMLQRQQQEQQQQEQHQQQQQHPQQQQRRQQKQQQRNESSYLPTSPQNGSVSADPPTQLNTAATSSLSAKAYEERMKISAQRDTLEEASVKQRFNENVGQLLESNPASLLKSAALSAQASGQIFQGSAGGVSGTLQQAQARSLQLQGSTQEIKADSNATLNLRAAGADGSLLGVPGTNPAGHNMTLKGWPLTGLDQFRSGFLQQKSFMQNPQALQHLQFLTQQQQQQQQLLLQAQQNITSSSGEMDARRLRVLLSSRRDGQSNAFTDIIPSVGPSLQNMYSHVQPMETDMLMKKIAALQQQRQSSNQQQLLQQSLLNQLPQSSNHFSGHHEKMVPGSVSMDGSLSYSFRGNEQVSKNQNGRKRKQPISSSGPANSSGTMNTTGPCPSSAPSTPSTDTPGDTISMPPMHHNVSVSKGLVVLGADAPGTRESPTNQIADMDRLVEDDSLGDNVDSFLSHDDAAGPSDARSRCMASSKGFTFREISSARASTNKVVCCHFSSDGNLLATGGHDKKVVLWHAETLKQKAVLEEHSLLITDVRFGPSIPRIATSSFDKTVRVWDVDNQDDTVHTFTGHSASVMSVDFHPNKDDLICSCDGNGEIRLWSISNGRAVRNFKGGSSQLRFQPRLGGFLAAASENVVSILDVETQACVRIFEGHTEHVDSLCWSPTGDYVVSTSEDTVKVWSVNSGNENCVQELNSTGSKFHACAFHPKYPSLLIIGCYQSLELWDMVENRSMTVAAHDGLISALASSSSGLVASVSHDKHVKLWK >SECCE4Rv1G0267370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735845328:735845820:-1 gene:SECCE4Rv1G0267370 transcript:SECCE4Rv1G0267370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPPAYHDQKLGAAKRCAREAALAGAKAAAVAAVAAAVPTLLSVRMLPWAKAHINPTGQALVISTVAGMAYFIVADKTIVSMARKHSFENAPEHLKDTSFK >SECCE1Rv1G0023910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:316054693:316058144:-1 gene:SECCE1Rv1G0023910 transcript:SECCE1Rv1G0023910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSCTPNGSRTPMRRLTNDPHPAADSSREIEMRRHDHRQARASSRDTLRPALLLFLLLSSSQLRGASSARGEAEAVLEWKDSLPLTAAAAGALASWDRAAAANSSFAVCSWHGMTCDVFGRVVGVDVSGAGIDGTLDALDLSALPSLGSLNLSYNTLVGSFPSNVSAPLLNITSVDLSNNNLSGPIPPALPAYMPNLEHLNLSSNQFAGEIPPSVANLTRLQSLVLGKNSFSGGIPPALGSILGLRVLELHSNPLGGAIPASLGMLRSLERINVSIAQLESTLPTELSHCTNLTVIGLAVNKLSGKLPVSWAKLRKVREFNVSKNMLTGEILPDYFTAWTRLTVFQADKNRFIGEIPAEVGMASMLEFLSFATNNLSGEIPAIIGSLTNLKLLDLAENEFSGTIPRTIGNLTRLETLRLYNNKLTGQLPDELGNMRALQKISVSTNMLEGELPAGLVMLPNLVYIVAFDNFFSGTIPPISSRQLTVVSMANNNFSGELPPGLCLSASRLMYLGLDSNRFNGAVPACYRNLTKLVRIRMARNRLTGDVSQVLGLHPNLYYIDLSGNSFGGELPEHWAQFKSLLYLNLDGNKITGTIPPGFGDMAALKDLSLAANHLADAIPPELGKLQLLNVNLRHNMLSGPIPSTLGNVTTMLLLDLSGNQLDGGVPVELTKLDRMWYLNLSSNNLTGGVPALLGKMSSLSDLDLSGNPGLCGDVAGLKSCNLLSTEAGVGSRGHNIRLVLVVALSVVGALLFFVTTMALVLVRKKRRTDEDTEETTASGSSTTAALQASIWSKDVEFSFGEILAATEHFNDAYCIGKGSFGSVYHAKVPGGHSLAVKKLDVSETGDACWGISEKSFENEVRTLTHVRHRNIVKLHGFCATGGYMYLVYERVERGSLGKVLYMGGERSGERFDWPARMRAIKGLANALAYLHHDCSPPMIHRDVSVNNVLLDGEYETRLSDFGTARFLAPGRSNCTSVAGSYGYMAPELAYLRVTTKCDVYSFGVVAMEILTGKFPGELISSMYSLDETQAGVGKSAALLLLRDLVDQRLDSPTEQMAAQVVFVFVVALSCVRTNPDARPDMRTVAQELSARRRSTLDKPFAGIMIGDLVSSRV >SECCE2Rv1G0102510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:578703037:578703759:1 gene:SECCE2Rv1G0102510 transcript:SECCE2Rv1G0102510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYRPRRSPATERFVGLFSSPSSSPTESSFVAGDEFHEDDFMFSSAPVAASDARPDGPGSPTRVPHSHLGLLAALHEGDKRLLVRRAGGGSGAAASAVAATPATLLRRKATIAAATSASGGSLSPTQSPASAAWAIPANPRPKNLGPAPQYHQSAPVKVPVRPPRKPAMDKWDELDDDDELRHGDAAMLPPHEMVARASAGGAGPAAPFSMLEGAGRTLKGRDLRRVRDAVLRQTGWLD >SECCEUnv1G0557730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:326818886:326819956:-1 gene:SECCEUnv1G0557730 transcript:SECCEUnv1G0557730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTACCKKLVEDIGGWIKVYDDGSVERSPPPPEASQLATAIAPYDVPRNGVTVHDIRASPPLRLYLPEAAPLAGRRLPVLLHFHAGVFCLTDPTWSLYHCFYARLAASIPIAGIVSITLPLAPEHPLPAAIAAGFAAIDWLKSLAQPGLLAEPVPEPTSDPVGKLKAVADLSRVFLIGDSNGANLVHHVAAGFNSAEPSYWGPVRLAGAILLNPGFSRSTPSRSELADVQVDPYMDYKLADRFLALALPKGATRDHPYIWPVQDDAAAAVLAVPPLLVSVATLDTMRDRQVEYCNVMRRAGKDVEVALSPGVGHMFYLNQGAPEPADEEAAARIAELIKAIGGFVGRRHGCVARM >SECCE4Rv1G0232200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:194007962:194010370:-1 gene:SECCE4Rv1G0232200 transcript:SECCE4Rv1G0232200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPAGDFLADAEPFSPSLFLDLPPTPSPPRAPFASASDDLDLDFISRMLMEEDIDDKFFYQYPDHPAILSAQHRFAQIISDSNTAPSTDDSANTTVASSTFSSDSATARSTSSCSDSATNTARSTSSSHSATNTANSTSCSSSHGGLAPANPTWPYERIYLSQPLQSPPYIGLGFPVDDVSNLLFSGVPTAGYFGHTPALSKGYNDDFVAPAAQNGRSTGIQSFAAFSYSSAAKEANTSWNNGAAKAATQPACRGGPGTPASAFFNGQTDGDVDMLNMAFRKGMEEANKFLPTNNTLDAISNKPVLRDFTGDQLKKEEVDRLRMLMFSNGRGRKNRHGVEDLEAEAGRRSKLMMPEQEETGVGEMVEEIMLRGHEIIMKGIEDLHIAMGTEAEKNHRKGNGKAARGRRGASEVDLRTMLVHCAQAVATGDHRGSNELLRQIKQHSSPKGDATQRLAYCFAEGLEARLAGTGSHVYQSLVARSTSVGEFLRAYKLYMAASSFKKVNFLFVGKIITDAMVGKSRLHIVDYNVQYGFQWPGLLQMLAEREGGPPEVRITGIDLPQPGFRPAFQIEETGRRLSKCAREFGVPFRYHGIPAKLETVHAEDLNIDPDEVLIVTSQCGFSNLMDESVIMDRQDIPSPRDMVLSNIRKMRPDVFIDCVVNGTYGAPFFVTRFREALFTYSAQFDMLDATIPRDNDDRLLIERDIFGPCALNVIACEGADRVDRPETYKQWQVRGHRAGLRQVPLSSAVVKLVKDKVKSLYHKDFLIDVDNRWLLQGWKGRVLYAMSTWVAGDHDNSKF >SECCE1Rv1G0044050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:599007847:599014166:-1 gene:SECCE1Rv1G0044050 transcript:SECCE1Rv1G0044050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDVHSTVSYSVPNTKKLRYTFFQFAVRCSGVYSFIMKQPLLDQATISSSEATSTKSLFTDAGWFSVITFSWMGPLLDLGRKKTLDLDDVPFLNDSDSVHGVLPNFKAKIVSNSATGQFTGATAVKLAKAIVFTTWKLILVTAVYALLSSVASYVGPYLIEYFVDYLNKSSRSSKEGYVLVLTFVVAQLIEGLSTRHLQFRSKQVGVRARSSLVAAIYQKGLALSNQSRQSNSSGEMINVVSLDAECVGDFSRSMHDLWRLPVQIVLAMLILYSTLGFCPAFAALLATALTIGGNKPLGRMEQNYQEKMMSAKDVRMRAMSEILHSMRILKLQGWEMIFLSKIIELRKVEMNWLKKNVYTSAMLLSIFFSAPAFVAMVTFGVCVLMGIPLETGKVLCALATFRQLQTPIHGLPDAYSMIIQTKVSLDRICSFLCLEELPSDVVTKLPRGTTDVSVEVTSGQFSWNTSSQVPTLRDLNFRVQQGTRVAICGTVGSGKSSLLSCILGEIPKLSGEVKTCGRISYVSQTPWIQSGKIEDNILFGTEMNKERYEKVLEACSLIKDLDILPFGDQTIIGERGINLSGGQKQRIQIARALYHDADIYLFDDPFSAVDAHTGLHLFKECLLGFLASKTVVYVTHHVEFLPSADVIMVLKDGKIIQAGDYTEILNSGKEFTELIVSHKDALSTMDTLELPSSNYESSCHLNGNGSILPIADEQTHDNNEEVLVQNGQLVQEEEREKGRVGFIVYWRYITMANKGAFVPLILLAQIIFQSLQIGSNLWMAWAAPVSKDVNPPVNSSMMINVYVALALVTSLCVFIRSYLLVMAGCKTATMLFDKMHQCIFRAPMSFFDSTPSGRILNRASTDQSAVDTQIYDLMGYLLFPAIEILGTIILMSRVAWPVFVIFVPVIIASLSYQQYYISAARELQRLTGVCRAPVMQHFAESIAGTTIIRCFDKKREFISWTGQLMDNLSRASLYNAAAMEWLCFRLDFLSSFIFGFALILLVTLPTDLIDSRTAGLAVTYGLSLNMLLGWAIMVLCALENRMISVERILQYMTIPSEPPLTISESRPDCHWPTKGEIELRNLHVKYAPHLPLVLKGVTCTFSGGMKTGIVGRTGGGKSTLIQTLFRIVDPCIGQALIDGIDISTIGLHDLRTRLSIIPQDPVMFEGTLRSNIDPLDEYSDEQIWEALDCCHLGDEVRKNELKLDSTVTENGENWSAGQRQLVCLGRVILKRRRILVLDEATSSVDPITDSLIQKTLKQQFAECTVITIAHRITSVLDSDKVILLDNGEIAEHGSPAKLLEDSSSSFSKLVSEYTMGSKL >SECCEUnv1G0535540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:59051793:59052425:-1 gene:SECCEUnv1G0535540 transcript:SECCEUnv1G0535540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPAAAGGAGAGAWQLQQGMGVRAVAVADVVLGLATAAFALFAVALNPVDSLPQTDAALRSANCGATPQQELSMHFDALVMLLTAAAQVATAGASWVLVTAFGRGMARLLALFAHALGGFNAYALYYVVRGVAAVTVGVCIGKDGRVTLLIYHAIFLVTQAVLLVAGFVAILCGV >SECCE2Rv1G0132320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:889119832:889124186:-1 gene:SECCE2Rv1G0132320 transcript:SECCE2Rv1G0132320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPGYLEDHFNIHKLSGTGGASPPEYMTSAAAAQYAPAPLRMAMYERAPPQHQHHQQQQHQPQLQPALGMWSSEPYKVDSGGQATSGSSIMEPDAKFDHAGLGEDPQMDELETAGDADQEASKPKEKVMRRLAQNREAARKSRLRKKAYIQQLESSRIKLAQLEQELQRARQQQGVYGGSNPGASLQRHHGGGSAGHLGFAGPMMDPGVAAFEIEYGNWVDDQKRNTEELRSALQQGQGTSDLELQMLVEAGLANYESLFRIKGAAAQADVFCVMSGLWRSPAERFFLWIGGFRPSEVLKILSPQLHPMSEPQSVAVYGLQLTSAQAEDALSQGMQKLQQTLAESLTDPFVAPDAYMVGAVEKLKGLVGFVQQADHLRLETLQNMHRILTTRQAAKGLLVLGDYFQRLRALSTLWAARPRESAIS >SECCEUnv1G0551880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:241169664:241170146:-1 gene:SECCEUnv1G0551880 transcript:SECCEUnv1G0551880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEYLQLQIVRQAQPACVTAPLPVAWLEGDVLAEYVQFLKEAEEAAAPPLPGVKWLEGDVLAEYVQFLKEAEEAAAPPLPGVKWLEGDVLANFLQFLAEDEQVVHHGSGNSSSGSNDSDFMCEKDEDDEEEEMEYLLGHIMSLPAVMARAAAAASAQD >SECCE7Rv1G0473630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:159504740:159506644:1 gene:SECCE7Rv1G0473630 transcript:SECCE7Rv1G0473630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRFLCCLLVALALALAFRRCDAQAAGNGTAGRFACLVPAPCDTFVLYRTQSPGSLDLGAISDLFGVSRAMIASANGLSLDAEDAALLPDQPLLVPVRCGCTGDRSFVNVTYPIHSGDTFYALALTGFENLTTPDIIQELNPQAVFNKLNVSQVVTVPLFCRCPTSAERSGGVQLLVTYMWRPVDTMSEVTKLMNSSASAIAAANNVSADFTSTTMLPMLVPVARPPVLPPLRYVASASTGDSGASKGFSGATVAASIAGSLVAVAALYAAIFAYRRYRKKKATVHSASRFASPRFCFNQNAYGIQTSSSIARMMNGGDKLLTSVSQFIDKPVIFGTAEIMEATMNLDERCRIGSSYYRAKLEGEVFAVKPAKGDVSGELRMMQMVNHANLIRLAGISIGADGDYTFLVYEFAEKGSLDKWLYQKPPSSLPSSSSSVDTLSWNQRLGIAYDVANGLLYMHEHTQPSMVHGDVRARNILLTADFRARISNFSVATPAMADAAATSSDVFAFGLLVLELLSGRTAMEARVGAEIGMLWRDIRVVLEAGDKRDARLRKWMDPALGDEYYLDAALSLAGMARACTEEDAARRPKMADVVFSLSMLVQPLPVGDAFEKLWQPSSEENIGIVNEVAAR >SECCE6Rv1G0452610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:878629751:878631795:1 gene:SECCE6Rv1G0452610 transcript:SECCE6Rv1G0452610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVACRRKPELVLPAQATPHETLALSDVDDDIDLQFLQPAIEFFRAVDHGPGRPATAAKVVKAALADALVHYYPLAGRLREAAGGKASCRVHGEGVVFVEAEVDVSMDDLGKPSPLPPYPCVEELLCEVGNPRVVIGVPLFFMQVTQLRCGGFVIGLHICHNLADGYGTTQFLKCIADLARTGGDASQIISPVWNREILTARVPPHINPEFVRFLQRLGSNGDDVMLSTPPEEMVVRFFLFSPEDIAALRSHHAPAHLSPPARSFELLTAVMWRCRTVALGYEHHQRVGLMFSMNVRGGGKHHGLVPHGFYGNALFYPVVDTTAGELSGNPLGYTLGLIREAKRNMTNDNMESMVDFMASLRGRPPLTIDKMYEVSDMK >SECCE3Rv1G0188200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:710623091:710625353:1 gene:SECCE3Rv1G0188200 transcript:SECCE3Rv1G0188200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRVVHSGSSLQATMAGHRQSLAVASLKLPVLLLLWIFSLNWGHAVAHFDPANMTELQKHVSFFDRNKDGFITPAETIQGFVAIGCEYAFATAASASIHGALAPQTTPAGTPLPHLTIYVENIHKAMHGSDSGVYDAKGRFLPQKFEELFKTYAILRPDALTLTEMHAMLFAKRDLDPISWAPPEIEWGLLFTLASDWLGFLHKDSVRGVYDGSVFTKLEKKWHPSQSDI >SECCE6Rv1G0416730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:650000647:650001512:-1 gene:SECCE6Rv1G0416730 transcript:SECCE6Rv1G0416730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMDHAFSITDEDDLVGGGAGRRPCGTLVNEIAFAAALLAVGVLGVIAGLVMAAHRVGGDRSYGETNIRLSPFLMDVSVFLDTWIFFTMLGVVIFIPGFYYTRIAYYAYKGYQGLSFANIPPI >SECCE2Rv1G0115770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:756008605:756012351:1 gene:SECCE2Rv1G0115770 transcript:SECCE2Rv1G0115770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) UniProtKB/Swiss-Prot;Acc:Q9CAM7] MAASSSAAAPAPADTYDIPWVEKYRPSRVADVVGNSDAVSRLEVIARDGNMPNLILSGPPGTGKTTSILALAHEMLGPSYREGVLELNASDDRGLDVVRNKIKMFAQKKVTLPPGRHKIVILDEADSMTTGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMVVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATVSGFRFVNQENVFKVCDQPHPLHVKSMVKNVLDGKFDEACSGLKQLYDLGYSPTDIITTLFRVIKNYDMAEFLKLELLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKA >SECCEUnv1G0533630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:32120443:32121026:-1 gene:SECCEUnv1G0533630 transcript:SECCEUnv1G0533630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVARQGRELQRYSASTGGRIVVGCIPYRVRCDGGDEGELEVLVISSQKGHGMMFPKGGWELDESMDDAARREALEEAGVSGDMGKVLGCWHYQSRRYQTTYEGIMYPLRVTDELQQWPEMASRKRTWATVQQVMEGCQHCWMREALQELVSRHAKPQSAL >SECCE7Rv1G0478920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:243394759:243395271:1 gene:SECCE7Rv1G0478920 transcript:SECCE7Rv1G0478920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIELFQGVAVDGSSAYVPIDEDEEEYDVADDGNEQSPMSTTSRKRGSNGGEQSASSPGKKHKSPMVKLMTELINIMNSENTSDMITEYANKRQEAKDKAKEKKSNSTKESITHCQLLAVQCGAEETSVEYFMATQLFVDEANRVIFENISSKDARLTWLKRWCTMKKLY >SECCE2Rv1G0110550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:691604759:691622792:-1 gene:SECCE2Rv1G0110550 transcript:SECCE2Rv1G0110550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLASSVVAAAPRWLPVADSILRRRCPRRSPLPILLFNRSWSKPRKVLRSISMVSSKVNKQGDLCNEGMLSHIMWWKERMESCRKPSSVQLTQRLVYSNILGLDPTLRNGSLKDGTLNMEMLQFKSKFPREVLLCRVGDFYEAIGFDACILVEHAGLNPFGGLRSDSIPKAGCPIMNLRQTLDDLTRCGYSVCIVEEIQGPTQARARKGRFISGHAHPGSPYVFGLAEVDHDLEFPDPMPVVGISRSAKGYCLISVLETMKTYSAEEGLTEEAIVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECNGKSFDWFDGSPIDELLCKVREIYGLDEKTSFRNVTISLEGRPQPLYLGTATQIGVIPTEGIPSLPKMLLPPNCAGLPSMYIRDLLLNPPSFDVASAIQEACRLMCSITCSIPEFTCIPSAKLVKLLESKEVNHIEFCRIKNVLDEIMLMNGNTELSVIQNKLLEPASVVTGLKVDADILIKECRFISKRIGEVISLAGESDQAITSSEYIPKEFFNDMESSWKGRVKRVHAEEEFTNVDVAAQALSTAVTEDFLPIIVRVKAVMSSHGSSKGEISYAKEHGAVWFKGRRLTPTVWANTPGEEQIKQLKPAIDSKGRRVGEEWFTTTKVENALARYHEACDNAKGKVLELLRGLSSELQDKINILVFCSTLLIITKALFGHVSEGLRRGWVLPAIYPLSKDYSMEESTSEMDLLRLFPYWLDTNQGNAILNDVNMRSLFILTGPNGGGKSSMLRSVCAAALLGVCGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVSRATGRSLVLIDEICRGTETAKGTCIAGSIIERLDDVGCLGIVSTHLHGIFDLPLSLNNTDFKAMGTEVVNGCIQPTWRLMDGICRESLAFQTARKEGMPDLIIKRAEQLYLTMSRSNKQTSTAHHGPSVGYSNVNGLVDMPDGLGNMFEPLSGALRNDVESIVTAICKDKLLDLYNKRSISELVEVVCVTVGAREQPPPSTVGRSSIYIIIRRDNKLYVGQTDDLVGRLGAHRSKEGMQDATILYIMVPGKSVACQLETLLINQLPSKGFKLTNKADGKHRNFGMSVTSGEAMAAH >SECCE2Rv1G0074700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:79103918:79106595:-1 gene:SECCE2Rv1G0074700 transcript:SECCE2Rv1G0074700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSSSRRRRRGNSNRPPPPPAPITEAGEVTSDEAELPSAVAHGLECIPFSRPAPPKPNPREPQPEPHGATHPSSTSSSSRRRRGRRGRKGPAPAPAPAAEEARDWAGLLLDVLCAILHKLDHVEILTGAGQVCRSWRRAARDVPALWRRIDMRGHADIHELDLCGMAQVAVRRSAGQCEAFWGEYAAHEGLILFLGDQAPSLKSLRLISCHRVYVEELVEAINKFPLLDELELSQCSNIGSGNHLFQVGKACPQLRHFRMSKLRFYNLQDDSDNEDADSEFRYNRDDDAKGIATMLELRSLQLFAYNLTNKGLTAILDNCVHLESLDIRHCFNIAMDDALRAKCSKIKTLRLPHDSTDDYDHEALSPIWPGLGIGVGSDSDDDYWYGGPDYILDSDEYDDYCDPERYLDGVYIGRRVTLMDLLDSGY >SECCE7Rv1G0505390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:735290941:735292188:1 gene:SECCE7Rv1G0505390 transcript:SECCE7Rv1G0505390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP F-box 1 [Source:Projected from Arabidopsis thaliana (AT1G31350) TAIR;Acc:AT1G31350] MAAPALAKRPCSSPSSSASCRETKRLRAAAPAPMERHDGATAADAATTTTSQSQSQPLLPGLPDHLAQLCLSTLPPRLMHAVCRPWRRLLYAPSFPPFLSMYALLEDAGDGGASFAAYDPIAARWDSLPAPPMPSPPPTLCHPSFLSRRLPLQSVAAAGKLVLVAGSTQSLHPALCRPLVFDPAAAPAPRWLVGPPVPLAPRRWCAAGTARGRVFVAGGVGAGYDLAVARSGATWDLATPSAPWEPLPPLRDGRFSRDAAEAVCSGGKVCMVNLRGSGAKEGAVFDLVAGRWEDMPPGMLAGWKGPAAASPDSGDTIFVVDEERGALNAYDWGSDRWATVAEAVRLKGAAEMASGGGRVCVVSHGGEKVVVVDVTPKAGRRGSITPAPPRMWEVEAPAGRRVVSLHVLPRMTRPE >SECCE2Rv1G0135210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905760565:905763020:-1 gene:SECCE2Rv1G0135210 transcript:SECCE2Rv1G0135210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAVEVLVPWLAWLVVSLLSLYLLNLLAHARSGLPPGPRPLPLIGSLHLLGDRPHRSLARLARTHAAPLMSLRLGAVTTVVASSPAMARELLQRHDAAFAARSVPDATGMHAAGSVPWLPPAPRWRALRKMMATELFAPHRLDALHRLRSDKVGELMEHVARLARDGKPVNVGRVAFTTSLNLLSRTIFSHDLTSLDDDNRSGEFQEVVTGIMEAVGTPNVSDFFPVLAPADLQGTRRWLARLFARLHVVFDAEVDQRLRARDAGQPRKDDFLDVLLDVAAREDGEDLLDRQTLRSLFTDLFAAGSDTSSSTVEWAMTELLQNPSSLAKACNELEQVIGPGRNIEEADIVRLPYIQAIIKETFRLHPPAPLLLPRRPEATMKIAGYTIPKGSRVFINVWAIGRDKDVWNEPENFMPERFLGSTVDFRGADFELLPFGAGRRICPGMTLATRMVHLILASLLHQFKWSLPVELERDGIDMEDKFGLTLTKVVPLCIVATPV >SECCE1Rv1G0027450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:382949471:382949746:-1 gene:SECCE1Rv1G0027450 transcript:SECCE1Rv1G0027450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAMLVLVALVVAAMATGGAAQCNAGSLAVCASPIISGSTPSKTCCNNLRNQRGCFCQYARNPAYSSYINSPNAKKTLTACGVPVPKC >SECCE7Rv1G0502150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:693368255:693368554:1 gene:SECCE7Rv1G0502150 transcript:SECCE7Rv1G0502150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLQMPAVAKKIAVPLKKHHPNLHVAHAGAGKGGGEAPAVMVTTPKAASQAPKHAHLLASPRACLCSPTTHAGSFRCRLHRSGAGLHEMGKKPSPGA >SECCE4Rv1G0216650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12159709:12160134:-1 gene:SECCE4Rv1G0216650 transcript:SECCE4Rv1G0216650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALTSTTTIASSPRPPAPFCGRSGWQRARRPPPSSSSTGHGGCARPLDRVAGWVGGGIAAAFFASLERCSCVNVRTHDDLADDEQRDSVAPLMLGNDGDDDVDEGRGRSRRRCRGGSGKGRRSGGLGGGGMGCHGDQI >SECCE7Rv1G0458330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:21348513:21356917:1 gene:SECCE7Rv1G0458330 transcript:SECCE7Rv1G0458330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAMASPSPRPPPRGNRHPRACPAAAAAAAPVPRRLGAAARCRAVAAPAGPPPPGVAERPEADVVVIGSGLGGLCCAGLLARYGQDVVVLESHDRPGGAAHSFDVKGFHFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVHVPEGQFESRIGPTDFLKDLETYVGLDATREWQKLLDAVIPISAAAMALPPLSIRGDLGILSTAAGRYAPSLLQSLIKMGPRGALGATKLLRPFSEIVDSLELKNPFVRNWIDLLCFLLAGVKSDSALSAEMVYMFAEWYKPGCKLEYPLGGSGAIIDALVRGLEKFGGRLSLNSHVEKILIENGRAVGVKLRGGQIVRAKKAVVSNASMWDTLGLLPPDAVPRSYQDQVKATPQCDSFMHLHLGFDAECVKEDLGIHHIVVNDWDKGVDGEQNVVLISVPSVLSEGLAPPGKHILHAYTPGTEPFGLWEGLDRKSAAYRSLKEERSEVMWKAVELALGPKFSRERCEVKLVGTPLTHKRFLRRNRGTYGPAIKAGEATFPGQATPVPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVAQHSELLDAVGI >SECCE7Rv1G0519530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864977464:864978876:1 gene:SECCE7Rv1G0519530 transcript:SECCE7Rv1G0519530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLVVILALLLSGLLAVLRRGRAPPPPPSLAMHKIVDPAVAHRALVENADAFSNRPPARLHVALAGRRGGQRSENLNSVAHGPHWRALRCNLTAETLHPSRLAGLAPLQREAVQGLIATLSSAPRGSREEVTEVHQHLYGAVFSVVARLCFGDAVDEAHVRAMRHVIQRFQIAIGLVKPFSAMGSAMEKLVEWRRLRRLFAIDVRLKELFLPPIEARRRGVQSPRPRDDDGRRPYVDSLVDLRVPGEDGGRRALRDDEMVHLISEFLGAGTGTAVATLEWALAHLVDKPEVQEKLRGEVDDGEVSRAGAGMPYLQAVVLETLRMHPPLPVIPRHVHADTVGVLVEGMDVPPPAGDFYVNFSAGDIGRDSKIWSEPDEFRPERFLSGGDGEGVGPLPGPKQIRMMPFGAGHRFCPGVGMAMVNMKCFLAALVREFEWAPPTGTAVVDLTELDSFFKVMKKPLSARVTRRT >SECCE7Rv1G0474800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:177373086:177378328:1 gene:SECCE7Rv1G0474800 transcript:SECCE7Rv1G0474800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSL-kinase interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT2G36960) UniProtKB/TrEMBL;Acc:F4IP39] MEKEVCEEMSPPPQPVDLPDATPPSASDPSQKPAKKATRQWAAWTRQEEESFFNALRQVGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKLLGPGFSLDARNSKDTIAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVDALGNQLLKDRKRTRRKCSLGDTRLSSSSPVLSKTPGNQSFAVGLLPMDAQNGSKGASSKGAFMKRVAEPNSNKSGVIRGDLSATRTVRQKRRAGGAGACAAYKKWERAAMAGVSLVADAAEELERNMTTQNLSCNADATVLASSPNNASTVDDTGANHMKEADPQAPSKLKLQLFPINEATRKALEKDDHNPHLELTLSSKKKMSSVLEHLNRKWGNSNIACGELVLFPYCAHQEDLATYQRWTTQDTVAVADVFFSVNSPSIFRLRYGWFSLAELEAGLSEISLTRFENCMIPEDIQVKSSSEACVQKDGTLPSDFTSEQSSNNSKDQSALLLGTPSSTGKNAAEEPSINTFPSQSGDHNQDQVPANQAFEVDPQMDCLAISEVDWADTLTDISVGYLLTEASKAANLDCEVTSIAQNPLFDENPCSYDSFDAAVALHASRYQAAEQPAHTSHSTIWGAEETCDEFSFMLATARKQQGLNTSASSPPDSDNEVHSSNSEGFQGFLQDLAGGDTPGNPCVDDVKAMEELCARSPPQSDNDFGLKDQPLDDVFWPDSLGQLDLDLDIPPIRSLADEFILGDSQNSWSRMMENSMDAFRNMSFFVSDNNDSVLPPIM >SECCE6Rv1G0438690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:791754487:791755894:-1 gene:SECCE6Rv1G0438690 transcript:SECCE6Rv1G0438690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVFGIPISVQTVIATGEYKEPITQKDVADYTMKNINAGGKDLEAQKFVDNLKERYGNGISVKCLMYNATGTTLSLAVYHDWHGHIYDTPYPSDIQNGQWGAFLHVHPTGAAAGSAGAVVYRSKIPSSSSSCDWLFSWTVPYIGGNGVYSEIREEGHYPSVGSWEYIYNVKLANSNLSSTDKNYGYVSKTDIGEGTTMNARAVFQLPY >SECCE4Rv1G0268280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:740983057:740984522:1 gene:SECCE4Rv1G0268280 transcript:SECCE4Rv1G0268280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGVLLPTVVMLALNVMSAVMVALVKVAMAGGLDPLVLVTLQQLAAAVFLGPIAHLREGKSRPKMTLEIFAYLFLSAALGAAMRQYMLLLGLRYTTATFVSAFSNIAPVLTFVLAVATRSESLHLRAATGAAKLAGTLVSLAGAMLLTFYRGVALTHANSGHAVLHSPSPSPPAADSGSGRRWTLGTVAILGNCVCLACWYLLQGRIARKYPYVYSCNAFLSTFSFLQVAVVGLCVKRNLAAWLITNKFQILAILYSGVVATGISFVLLTWCIQKRGAVFVAAFIPVSQVIICIMDFTVLHEPLYLGSVVGSVIVIGGLYLLLWGKRQEALQQHPRVAKDDLEQQQQQQQEQVQSQP >SECCE7Rv1G0464450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:61604963:61606949:-1 gene:SECCE7Rv1G0464450 transcript:SECCE7Rv1G0464450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNLGRPIHHGGPRSIPVPSHYKSRLGFRGASDKSPRPLPFSPLAPPLTSLPPPPPLLRGTTRTLEPRPAMAAAAGEAPRALSQKEQDIQMMLAADVHLGTKNCDFQMERYAYKRRSDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQHTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLYWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEDEAAGAPEYAAITDYGAAAGQWGGDQWTSDAPAPPPVTGGEWPMAEAPVAVGDGWDAAGAPVAVEGAVPAPAVAATGWDAGVQPAAQGWE >SECCE2Rv1G0122710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:818239373:818245781:-1 gene:SECCE2Rv1G0122710 transcript:SECCE2Rv1G0122710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) UniProtKB/TrEMBL;Acc:F4IMH3] MAKSSSATASASGTKKRKSKSGALTHEEVKALGLELLSSRAHLNHAPTLLALLSPTAPLSIVLEALISLQSFFEPLLPSIPSASAAAAGGASDDPELVFGAWLRQRFEEFIAALVELSVSPDSDDAIREVALDAFMDFVKLGKDGSFHSAIYHKFLHALVHATDSIDAVLELLESKYSKYADVCYFTYTSLDKIANSLGSQTTGSGKDGLQNGDDGAKDRSAICVSNVYNILVHIPALDFKKESKFDMWSTVGLSSKGEKDTSEGSSATRISKKLKLKFTKAWLAFLKLPLPLDVYKEVLATLHQNVIPSMSNPAILCDFLTTSYDIGGVISVMALSGLFILMTQHQLEYPKFYDKLYALLTPAVFMAKHRSVFLQLLDACLKSSYLQAYLAASFAKRLSRLALSVPPAGALIIIALIHNLLRRHPSINFLVHWEVAQDDGEASLPKKIGADPFNNEETDPAKSGAMRSSLWEIETLRHHYTPAVSRFVASLETDLTVRAKTMEMKITDFSSGSYATVFRDEARRRIKQVPLAFYRSTPTSLFQESDFPGWTFGCQANIGAQASVEGNAVHTLETVDASPAKRSRA >SECCE1Rv1G0048740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637255813:637256070:1 gene:SECCE1Rv1G0048740 transcript:SECCE1Rv1G0048740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVVTPNKLDKQYYKNVLSHTVLFTSDAALMTSQETARMVVENAKIPGWWENGFEKAMVKMARIEVKTGYQGQIRKNCRAINHY >SECCE5Rv1G0306780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:99974902:99988133:-1 gene:SECCE5Rv1G0306780 transcript:SECCE5Rv1G0306780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLGAARRTPARASASEAGNDENAPGDGSDAALVGGAEFDTAASRPPLLAIQPQASGLKRKPESPAPTPSKLPFRTPEKAAARSRFGWAPPRAEELPPRMGATTMTTPRAHRGKAVPSASSEGGSTHSTPTKSVSKPAYSVALSGPRPVTSGGARGPGSGLGCSTAARGAPVSFGPATVVSSVEVPQFELREDPSFWMDNNVQVVIRVRPLNNSEKTLHGYNRCLKQESAQTITWIGQPETRFTFDHVACEGVNQEVLFRVAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSPERGMTPRIFEFLFARIRAEEESRRDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLALREDIRNGVYVENLTELEVGCVNDIIKLLMQGSMNRKVAATNMNRESSRSHSVFTCIIESTWVKDSTTNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMSLVDLTNGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSLCSGNETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLKEELAVLKRHRVTRSLPFSTDICGRSGGDVDDGSDHMSVDEENNNDTQSIKSLEDLKISNKQMRSLEETLAGALRRESMAESTIKQLEAEIEQLNRLVSQREEDTRCAKMTLKFREDKINRMEALVHNKLPAESYLLEDNKTLSREIELLRAKVDKNPEVTRFALENIRLSNQLKRYQQFCNEGEREVLLDEVSNIRNQVVPMLEGMMLTEQQNKLSSKFEDAEHRSSLASEPETLPKELKRACQELETCRSELQGCLGSNKKLTREIADLQKELSTIKMTKREECQFEYGSNARAKMEDCCDEAFMDNTEDILNLQLELDILKTILAEERTVRGEVEERTTTLSDELKAANFRILQACKQSDAIESELNDARSVIEALESQQILLINELDELKKNNQKSFEILKKRGREISRLNTEIDNHRRQGLVASGEPKMQLLKCIENEDSPLQRKLKRMQASLEKANDLNTRHQRDQASDSSAEQEMDEVRRQVEVETTEVIMCLQEELMSLQQQLDASKKNELLAKQSLDELQLERKELNDRLFEVMKENESLSELTKEKEKKIQLLTSGQDSLQEDLISLQQQLDASNKNELLAKQSLAELQLERKQLNDRLLEVMEENERFAELIEGKEKKIQLLTNDWESLREELSSLQQQIDASNKYELLAKQSLDELQLERKELNDRLLEVMKENGSFSQLIEEKENKIQLLTNDWESLQEEFLSLQQRFDASNKNELLANQSLDELQLERKQLNDRLLEVMKENESFSALTEEKEKEIQLLTHDWDRLAADIGSFLVEGNASLDEASDQVTFISESFSQRRWVEDQVQKMCQGISDREKLLEELQSRLKEADDIKCDLDLKLRSLRGAMEAINEMHQHERNDQEKAIALLRSQVSEQGQVNQRLELLLDESIGTFVQKEVLEQNYVSSLRGMEEEIHQLKTQLDQSKIHIAQSLSQIKDKEQTFEKLKNEENTILLRMSSDVLKAKGIIHEFGVGFNTLESSFSVDPEEVVCQNSDLNLEDRDELKTFGALEAGEQCNADALCQLSKEMESAVYKLQTLQSQMAKLLQEKENVKECLLQSRSTVQDLNSEVLQLKSQMIDQQTLYEARVEELEIKMQGKDNDAATSLVSWHKEIEALESELSETKVLAQQKSFEAFTLIAKFQDAQTTIADADSTVKALVEANENAKLQAEKYKQKESSYIVERNDLLNEVSSLKMMLDVKGQNYLDMEKKFESSLLEANEVALELEDGIRCLKKLLSENLEFVSSDVKWMKSKLRQFTELARTWLEENWLEIIGKDCAVSVLHLCHMGILLERITGLHAENGFLQRGICESNSLISTLRQHNDKAKNELEMCSVLKGKLLLDINHSFGRVAKKEQEATELSSRLDSFGKKILHLQAQEEAMLARSDSIYNELSVLTKEIDATNRSSLAAESEEKEELHNQLDEALFLNGMLKDTMLEVLSLPEVNSAIPAKDMKGCNEFELCSWFVNYHHDSIMINAIASDIVFIVLASKVEQEKIQLQTQNLMFTEVLEGLKTEATMWKVDQDLGSVAIYALHEENSYTRIDLENLKRNKDEVMERLLATREENSKLQYVVDSLESNIKSLQTDLDGKAKALMELQCSHAVLCKELELKAEVIELGISRENALRSENDLLKHEMLDILCKEQRVFNLVSNIDMEKLSFSFQAYLDQINTEVQKHIDEQLTTVMKFSNDLNLVQLSVEELSTHNSFLESELARKDELAKGLSFDLSLLQESASVAKDQADQLIELTEAITSLEHEVASKSHDLDNLVSGSQLLEAQVMKSNENILVLEEQLASTVGELNAVSMENTELRSQLNHIEQISYSMKEELAHKSNATERMEEELIELRNLLDERNSFLQNLQNNFSKLSDEKQYCDSQVLLLREKLEMAQAVAEESEAIATEARQIADERKTYAEEKDEEVKLLERSIEELESTIFALENQVGNIKDEAERQRIQREELEVELQRVRHQMSSVPSSGKVKSFGEDGMVDSTDSFRHSRETHTELLSAQESIKILQKEVAEKESEIAQCKSHISELNLHAEAAAREYKQKFMELEAMAHQVNTDNPSTNACSMRPEKISLKPRGSGSPFKCIGLGFVQQVNSEKDEELSAAKQRIVELEGIAASRQREIFMLNAKLATTESMTHDVIRDMLGVKMNMTTWATLVDKQQKMSTKESVAYQTEESKESNELMKLKQQLDEFIEERQSWIDEINQRQSELGAARITVEQLRQKEHFMVAEVDLLKAENANYKTIIFNLEDEVKKLTRQQNLQLRINHHVKTKEENILLKKQNEELSAKLQQLGAIVTRTKEKLARYMVSDGKDPHEQIEEEELLRKKLEESEQDRSKLAENLSSLCTSVLKVAGVRNHESETSLLKAMEALNQLQCCISSLESEVEDLRIKCKLLREKARLTSLRSDSSSASSGANESSRSPRVCRSPSISSFR >SECCE6Rv1G0406310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:543109859:543111467:-1 gene:SECCE6Rv1G0406310 transcript:SECCE6Rv1G0406310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISTARQQQQPAHQHTQQAMAALRALVVVGVAIVAAAHCWVAGGTATKGPVTYVFGDSMSDVGNNNYFQLSLARSNYPWYGIDYPNGVATGRFTNGRTIGDYMAAKFGIPPPPPFLSLSLADDDFLAGVNFASGGAGILNETGVYFVEYFSFDEQISCFETVKRAMIAKIGKEAAEETVNAAMFQIGLGSNDYINNFLQPFMADGTTYTHDQFIRLLVATLDRQLKRLYGLGARKVAFNGLPPLGCIPSQRVKSTTGECIAHVNSYAVQFNAAAKKLLDGMNAKLPGAQMALADCYSVVKELIDHPQRNGFTTSDTSCCGVDTKVGGLCLPDSTPCRDRKAYVFWDAYHTSDAANRVIADRLWAGMTTASAPAPNPRAGAPGPVAVAAPSPSRA >SECCE2Rv1G0073120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:63966657:63969016:-1 gene:SECCE2Rv1G0073120 transcript:SECCE2Rv1G0073120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSRMMVKPVYSEPHPLVGEKVPLTVFDRAAVDIFVPMVLAYPAPGPSNEALKAIAPCPALAGRLAVDQLGRRFLHVNNEGVLLIEAAVPVDLADVLLDDRTTRVDYLYPSTPEVREFLCLIKISRVRNLGRPLLQIQLSRFKCGGLVVGICFHHHAADGHSMSMFLTAWATAVREGNDFITTPPLLHRATTAVPRRTPTPVFDHRSLEFRCGEGDAYAVVPMGRIKNITVHFTAEFVADLKARVGARCTTFQCLLAHVWKKITVARGLQPEEFTKVRVAVNCRGRADPPVPMNFFGNMVLWAFPRLQVRDVLNSSYGSVVDTIRNAVAHIDGEYVQSFLDFGRVADANGEELIATAAPAGSMLCPDAEVDSWLGFSFHQLDFGTGAPAFFLPPDLPVEVLMFFVPSHKANGGVHLFIAIAEDHVTAFEQICYLI >SECCE5Rv1G0326940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:487702979:487716606:1 gene:SECCE5Rv1G0326940 transcript:SECCE5Rv1G0326940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKARKQKPEGGASGGPTVLHQKLCLSIDMENQLIYGYTEMKVILAENDSFALHADSMTIRNILVDGEAAEFEYSPHWKNVDDQSSWLSVSCLKTAADAACSAYVSSLTSEAVPNLIISSERSVKSTTELPGDENGEKHEGIGGKHVQFSDDKAVKICNGSAEENGKEEDKENKKEDEKDNEKEEKTEMEVETEKEKETEEKEKEKEKEKEEKEKEVINENEKEKEMQIENEKVKNTKLVRVDYILEKAETGVHFVNNVLHSNSQIRRAHCWFPCIDSATQRCPFDLEFTVSTDLVAVSNGDLLYQVLSKEDPPRKTYVYKLSTPVSAQWISLVVGPFEVLPDKNGISVSHMCLSPTLSKLDNTISFFHDAYSCYEDYLAASFPFGLYKQIFLPSEMVVSPTTFGASTCIFSADILNDEKVIDQIIGTRIKLAYALARQWFGIYTSAEEPNDDWLLDGLAGFLTDLFIKRYLGNNEARYRRFKANCTVCQFDVSGATALGSPDASTDLYGTQTLGSYGKIRSLKAVAVLQTLEKQMGPDSFRKILQMIVASTRASRTLSTKEFRHLANKIGNLERPFLKEFFPRWIESCGCPIMRLGISYNKRRNLVELAVSRGCTAKADPGPDSHVNGDIQEGATGWPGMMSVRVHETDGVYDHPIMPMAGEALQVVELQCHSKLAAKRFQKTKKGSKPDGSDDNVDASTQENRTSMDSPLLWIRVDPEMEYLAEIHFHQPIQMWINQLEKDKDVISQSQAIAVLEKLPQLSFAVINALNNFLNDTKAFWRVRVEAAYALAVTASEDTDLAGLLHLVKFYKSRRFDTDIGLPRPNDFHDIPEYFVLEAIPHAVALVRSSDKSSPRQAIEFILQLLKYNDNNGNVYSDVYWLAAMVQAIGEVEFGQQGIGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRALARIAERVSSSICLDRICELIAPFRSMDKPWKVRIEASRVLLDLELHHKGLDAALLLFLKYTDEERSLRGATKLSVHVLRICQANIESNVTDQIKLPTLFGLLHLLSSKKAYNNVLLRHNVFCILQIAAGRSPTLYGVPKFVAPSPLVQEISVDQHTKADSSVPQLSRPQEPSTSTPSVREVLPATGPSKDADNISNCSERRNVVKIRVRRSASSSKADGADHQDHSHGGRNENEAGPCSSMSVDAPMVGAPNEPPTTSNHNIEEQNSCHDRESRMSASVSNAKVMDTYEISKELQCTADSRIDAADSRLDVVPKDEFSPVVNVREDVDKPGSQLEGVSTSYVGTQAPESVNGLHSKEKKRKDKKDKKRNRDEKRDKKDDPEYLAKKRLKKEKKKMEKELARKQKEGDRASSQQDIVVKPSDSQGTVAATPPAREQSAEPQVSNKDAAVDTSRTPPPTKKIKIKFKPLKKIG >SECCE7Rv1G0494520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:584752986:584755342:-1 gene:SECCE7Rv1G0494520 transcript:SECCE7Rv1G0494520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEMAADSSMGMGMGFHQGASSLHHHNMLSFQSNPDSAPAPAPPAPPVFLPSPNAPVLQGAPPKYKFVTGSPSDWTPYELSIFHEGLARYAREPTIMKYIKIAAMLPTKTIRDVALRCRWTAGKESRRRKPDEFYAGKRIRDLKEKMVVSTSLANFQMAPPNNLVPFSMSMHHPVPILDNATQHLLEENIQLLNQISANIETFKLGENMDLFLRTNNNIQTILKRMSETPGVMGQMRPLPEPVNEGRLNSLLQLDRVVASYGDMLHNTRMKDEARS >SECCE2Rv1G0080080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:126993872:126995689:1 gene:SECCE2Rv1G0080080 transcript:SECCE2Rv1G0080080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPPAVASPASEHTKSKKKKSKSKDASVDPAAAAADTTSLADAEAKTDGYMIKPQALVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPLAEYLRYGVINLDKPSNPSSHEVVAWIKRLLRVEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCIARFHAAVPDTARVARALESLTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDAERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDAMWALDNHKDESYIRRVVMPLEVILTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIDVGEEVVLMTTKGEAIAIGIAEMPTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMIAEGLLDKHGKPTEKTPAEWLRNVVLPTGGDAMIASLAAAPEPEKVKVEQQDVVPSEEVKEKKKRKSDEDDVTASTPAKKMKAEEVTEAVEGEKSEKKKKKKKDKGEPGSEAVKEEKSSLSDEEKGTSEKKKKKKSKEGGGDVAPESAEVEKSEKKKDKKKKSKEGGDDVATESEVEKSEKKKEKKKKKKDAEEAAQ >SECCE3Rv1G0151880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:42313794:42319605:1 gene:SECCE3Rv1G0151880 transcript:SECCE3Rv1G0151880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRPCADPPPATAQQRPTKTRTADKVMLLLFETPSGFALFSFLATLIEQPNALEVIWTNFTKIERAKKVVWLRQFQTIEDSSTAINRRTGVNGSLTEMIMKYHCPGQRMAVGEPGYKKIIEERLKITCVYDPTVMELMWGIQIRMRQLVPREKSYLAESERLPMNQGLQNVLSHYDFNYFNPEMLNERILIMAYALFEGDSFENEKTGDLHHAASVIKDVSGIDTDAWDLLKIATAVQKIWCPKEASNSCEFSEHEISRLVNDADKYEVRLDKDACLKVSRQMISVFHFRNNKKILLTKYVAQALDAYDAE >SECCE7Rv1G0483050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:317222591:317224136:-1 gene:SECCE7Rv1G0483050 transcript:SECCE7Rv1G0483050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSSVHHHSLLKMPAVFTTNDTSTPNTSSFLLYNQASHGQSSAPANACAVMVEDASRESSSAVLDNGSLQASASVDRKRKATDDSTTLSSAHSKDCKDGKSRRKREKSSTEQDQEEAPKGYIHVRARRGQATDSHSLAERVRRERISERMRLLQTLVPGCDKVTGKALVLDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGLDSDGLHDQPQKIGGMFQQEALAVPAQNQASPAASQAMIDTTSYSLQGQGGISFSQSQSQDSGSYLMQSVGEQRQELLNQLVFSNMCSFQ >SECCE3Rv1G0183950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:657454193:657458431:-1 gene:SECCE3Rv1G0183950 transcript:SECCE3Rv1G0183950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF guanine-nucleotide exchange factor GNL2 [Source:Projected from Arabidopsis thaliana (AT5G19610) UniProtKB/Swiss-Prot;Acc:F4K2K3] MARTPASDDDDDGPPTYTVARGTRRDPRLKDLGISCMLNTEVAALLAVIRRRPDPYAYLPPAVAAAEEAVFAGLIQSLKSLRALLFQPRHGAWRCSDPSMYLSPFLDVVQSEEVPPAATGVALSSVLKILRIDVFDECSPGARDAIQAILTAVTNCRIERIADAGAEEAVLLRVLQVLAALLRARAAPLLSDSAVCTAVNTCFQVVQHAASSRGSELLQRTARHCMHEILQAVFARLPDIREDAEDDLSVTSAAGFGARCMVDVFNFLCSLLLNATDMVMTPEGHGAFTSEEDVQLFALVLLNSAVELGGEAIGKHPKLLRLIQDDLFYHLIYYATECSPLVLSMICSTVLNLYNFLRRFLKLQLEAFFMYVILRVGGGASGLQLQEVAIEGLISFCRQTTFVIEMYVNYDCDPLMRNVYEEVGKLLCKAAYPLSNPMTTVQLQAFEGLVNMITTIADNVEVEKAPDQEAYNVEISEYRLFWLERWDSSDDHGHETWVDFVRKRKLKKKKVAIAANHYNRDEKKGVEFLKLCHLVPTPPDPKSMAYFLRYSPGLDKVKIGEFLGDPDEFNLKVLKEFTDTFDFNGSILDTALRTYLESFRLPGESQKIQRVLEHFSERFYEQQTQEVFATKDAAFILCYSVIMLNTDLHNPQVKKKMSEDDFIRNNRAINSGKDLPREYLSELFHSIAVNAITMFSQGATTIEMTTSRWADIVKRSRSIEPFTPCDFKHKLSREVFIAVSGPAVATLAAIFDYTDDEEILNQCVEGLISVARIARYGLEDVLDELLCCLCKFTTLLNPYATTEETIFTFSNELKPRMATLALFTIANRFGESVRGAWKNIVDCLLKLKRLKLLPQSVVEQDGSVSTRLGHRPKSDSGVIFPSSHRGAGTSRHVSGMIGRFSQFLSLDGGGESLLSVGSEFENNLKIIQQCQIGSMFTESGKLPDESLQNLGRALIFAAGGKGQKFSTPIEEEETVAFCWDLILLVSLANLQRFASFWQHMHDCFTAVSMLPLFSPCPFAEKAIVVLFKVAVKLLPGQPTPDRLAEELICKSINLMWKLDKEILDTCCEGISECIVKLIMEHAGSVQTPLGWKTLLHLLSVTGRHPETFDQSVAAMIKLMSDGAHISRFNYAACIEAAFGFAALKISPLEISTKILELMAESVNWIVQWHKSGYSDPGSSNSSSSVEDASRMGNLATNMFIKLAETLRKTSLVRREEIRNQAVADLGRSFSLAAAGDLDFGPAGCLACFNLVIFAMVDDLHEKTLEYSRREGAERETRSMEGTLAAATELLADVFVLFLGTLAQGPGFRTFWLGVLRRLDTCIKSDLAAGGGAGVMQELVPRMLKRMIVEMKNKEVLVQRDGDELWEITHIQIQWIAPAVKEELFPE >SECCE1Rv1G0044470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:603066468:603069053:1 gene:SECCE1Rv1G0044470 transcript:SECCE1Rv1G0044470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTYANGAGGGGGGGKNGAAEGKLDELRRRLGKAEGDPLRIAGVGAGAWGSVFCALLQDAYGRDHRDKAQVRVWRRAGRAVDRATAEHLFEVINAREGVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETREVFGEIGRYWKERINPPIIISLAKGIEASLDPMPRIITPTQMIANATGVPLENILYLGGPNIASEIYNKEYANARICGSDKWRKPLSKFLRQPHFIVWDNSDLITHEVMGGLKNIYAIGAGMVAALTNESATSKAVYFSLCTSEMIYITHLLAEEPEKLSGPLLADTYVTLLKGRNAWYGHKLAKAELTLEMGDSIKGKGTIQGVSAVNAFYELLSQGSISVTHPETKKHVAPVELCPILKTLYKILIKRELGTSSILEAIRDESMSDPRERIEMAQRQSLYRPSLLGLPKGDIKL >SECCE1Rv1G0010950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:67801494:67801868:1 gene:SECCE1Rv1G0010950 transcript:SECCE1Rv1G0010950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKAITKVVVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLTALFRAKVV >SECCE2Rv1G0082640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:154676265:154678095:-1 gene:SECCE2Rv1G0082640 transcript:SECCE2Rv1G0082640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPGSSSVRLAHLLVSALTCAILLCADASVHDYTGERFAGLGNAFVLNGGSEGVYASPAADSFIRFEKVAFKRTPESAAAAEKDGNRTVTITAVVFEAADRGAVGGSDVVAGGARALCCTPDMAKLGACTEGSLVYRAPNSTTAAGWPKVLAASFLPGALETVFPDETVAVARTGMYSLRFVHCDASLDVAAEGKTIWKNSRGYGYLPGRMAPLLAFYGVMSLAFVALAAFWFLRYARFWREVVPLQNFVTVVIALGMVEVTTWYLDLAEFGESGVRPAGTTFWAATSGAVRRTVSRVLVLLVAKGYGVVRPTLGGGASAGARVAVLGAAFFAASEALEVSEHVGAVSDHDHSPTRRLFLVLTVAALDAVFICWIFSALSRTISKLKARRMTAKLETYRRLATSLTIAVAVSLGWITFEVHFKSTDGYQSERWRVAWVIPAVWQLISFALLCAVCLVWAPSHDSARLACSDDARDDDDGDDVENGVRPLMIRAGPLSYVENWACYVTQDAKIILRTDSGVYAKAGEEDKRV >SECCE6Rv1G0408770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:576641882:576645141:1 gene:SECCE6Rv1G0408770 transcript:SECCE6Rv1G0408770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKRRRSERAHGDLPYRCDRSKRISRNKSAALETINGFYAAALDRLPVDEMPALVPRLLKAGLCVGFADPVSNIIVNTVSSYGRRKPAVAVTSTSDDGKKGKKKARGRRRKALSRARAVSGTGKDKYWPPPRRLLRDIPIAERSLMALVAFLTLYFPRLPACEALEYLCLANADLLSAVRLVEEDRHSSGGFSLASRTTKTALKCAALAACHPTPRALVNRSYSLASRMEQLPQLLATEGGCLSRTAIENIHALLIKPRRKLGGLAGVTPPQFHLELSRPPPFVPTKSLRSTLLHKIYGFYLKALALLPTDDLRMYHHRGLLKAGHCYGPLTNPVSNIVLNTLWYNAAFPPEGGHSAATMICSRSLVLVAYRSLRGLVAYIRAYFSMMSEHQAMHCLLFSEVNLWGAMEMARQQGHTESSMLRQYSAYKAAATAAQHPDPDAVAEFFMSTFPMMPLPMEESETLVLDNMERIQQLLSEYCSAAEDSVRSTAPVLSDGGSKFLSCILRDFHEEERFVRRKVSAMLKTYTQRTGGPEYELHVICGLNSNVGKASVWGLHYGPLLPWRPKKTQHSHINFLARPRDLHSSDTVPILFFAECSNDEDAVDESSCWPVTGHPGRCYYCEKEGAKIVHPDLEKYIGRDTDFERMACNDRRSTTTEDYISDGEFIIDSSADVCEEDCIYFDADRDAKCAEFLNSRGRTMQGPRLV >SECCE1Rv1G0059260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704830032:704832812:-1 gene:SECCE1Rv1G0059260 transcript:SECCE1Rv1G0059260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAVGAGEEGLARKVVVAAGEADGATAARRRRRLELRRHGRKVASGEDEPARKATDGSESESSADVGRGIWLPACLSHGAVSVIGRRREMEDAVAVERTFLAPPSSCEGGDEGGGGEEDFFAVYDGHGGARVAEACRERMHVVLAEEVGRLRCRPGARGWKEALEASFARVDGEVVAGAGAEDDEESRSRTVGSTAVVAVVGRRRIVVANCGDSRAVLSRGGVAVPLSTDHKPDRPDELQRVEAAGGRVINWNGSRVLGVLSTSRSIGDYYLKPYVSAEPEVTAVERTGKDEFLLLASDGLWDVVSNDEACRVARSCLTGRAAAAFPESVSGRSAADAAALLAELAITRGSKDNISVVVVELKRLKSRVGRRAPIRSEVQL >SECCE4Rv1G0269920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:750690474:750694998:-1 gene:SECCE4Rv1G0269920 transcript:SECCE4Rv1G0269920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDGADPMELVRGQYDTDELAIAGEFLTTWLPFLSAGLCPSCVGSLRGRVASLLPPPPPPPPPPPAEEPAPPPPAERVVATGWDSDPAAPRHLPFEPSGWDSDPAPPPPPREQAEAEKPRMSWADMAQEDELAAAAAEEDAAAAAADDDGEEGEEEEAGGAGRPRARLTREQRELHRFRNVLRKDDFICLERVKGQLVNILAGLELHAGVFSTAEQKRIVDCVYGLQEMGKRGELGDRTYTEPEKWMRGKGRVTIQFGCCYNYATDRKGNPPGIIRTFVSDPIPELFKVMIKRLVRWRILPTDCVPDSCIVNMYDPGDCIPPHIDSHDFVRPFCTVSFLSECNILFGSSLKIAGPGEFTGSFAIPLPVGSVLVINGNGADVAKHCVPAVPCKRISITFRKMDPAKRPFSFKDDPELLNIAPLEAPAAPETSRSSDEGKGKQLGVQTRDPGSRSSRSRKSKGRTTPAGKAGWGGILGDQPPQHPQSPISSVSSDRERDSIGRSREPRYPLSSDRERDSVERPREPRYPRDAPSQGEDLRDRLNRPPHERPPGSGVFFVNNGADAQARGQRMEHRQLQMINRTINDDMDSLSVGSHESSEQPRVSVRTIHNRPRTRINLGW >SECCE1Rv1G0056870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:689743467:689745097:-1 gene:SECCE1Rv1G0056870 transcript:SECCE1Rv1G0056870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTFITACLAVALVSLLILIAGRRRWAARGDGQRQPPGPWQLPVIGSLHHLVLAGQLPHRAMRDLARRHGPAMLLQLGQVKTLVVSSREGAREVLKNHDTMFATRPLSTTMRVLSYGGQDIVFSPYGEYWRQLRKIAVSELFTARRVLSFRDIREEEVATALRVVGEAAAAARPVEMRVVLSTLVTDSTVRAVIGDRCKEREAFLRELDRIVQLASGFNLADMWPSSRLAAWFSGAEECRHTLYSMLDGIVEEHLERMNGGGGHTEDLLDVLLKIQKEGGLKFPIHMDAVKAIILDVFSAGSETTTTTIEWAISELINNPMAMQKATSEVRQAFQANGTVAEHGLSELPYLRLVIRETLRLHPPLPLLFRECQEPCQVQGYDVQRGTQVLVNAWALGRDERYWPDAPEEFRPERFEEEAAKADFGGGDFAFLPFGAGRRMCPGMAFGLAGVELPLASLLFHFDWEQPGPGSAGLNMKETFGLTARRTDQLPLRPVVRVPIPGV >SECCE1Rv1G0063790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726676522:726686799:-1 gene:SECCE1Rv1G0063790 transcript:SECCE1Rv1G0063790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARAAKRPKLEASTSASAAQHQRGDDDYVPGNIVEIELCNFMTYDRLVCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPSILGRASSVRAFVKRGEESGHVRLSLRSEDQGRDIHITRKIDTNNKSEWLLDGATVPKKDIIDVIKKFNIQINNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPVQHRQLIEWSKELRNLEVAVKQKEQTLNNLKALNAEQEKDVQRVRQRDKLLKKAELMKKKLPWLKYDAKKEQFRKVQEEEKSSKKKMDDAAKIWQDAKAPIEGLKKEKTTITSSMKKITNQINQNTNKRREVTDDEIQLSARLKTTLDDIEDLKRHEKNLQQKISKAKEGLAAAERELQDLQPFEPPRDEMTQLTNDIGHKICGINELKLRRKEKERQLSQERENLRKCSDRLMQMESKNNKLLQALQRAGAERINEAYSWVQNNKNMFRGEVYGPVLLEVNVQSKTHAGYLESHVPNYIWRSFITQNASDRDLLVRQLKQYGTPILNYTGGNSIMCEPLNITPEMKQLGITSRLDQEFDAPPAVKNVLITQASVDQSYIGTNQADQSADDVVKLGINDLWTPRNHYRWSRSRYGGHLSANVDSIYPSRLFMCDVNVSDIEMLRSEKDEHTKNVEGIEEALKELQKDQRKLEDEEAEFRKKKEAITDRVRLEKKKREDMQRRVDLRRRTLEDISKEEDVESSTRKLTDKLAKLNDDRFRALLKLKNLLVEAVALKWSYTEKNMASIELDTKIWEMEKDVKKYEKDVLSASRDYENCKKITQEHKQMVLKAQQHAESVSTITDNLAKEFEKMPTTIEELELAIQDTESEANSMLFLNQNVLQEYQNRQREIESISTKLEDDKAECERCCSEIETTKGKWLPTLRSLVLKINDTFSRNFQEMAVAGEVSLDEHGLDFSQYGILIKVKFRQTGELQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQLNTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEKPAHAWRGGDSWRKVMGLAGSLA >SECCE7Rv1G0526040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893693932:893699627:-1 gene:SECCE7Rv1G0526040 transcript:SECCE7Rv1G0526040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIKLPNIQKATSSDHWSLASKQYPSGKFPKVSIGIPHPRSGSVSRGRDAAPAFERNPSQRTDGRSRPPKANNASLRVSQEAANHGGSATEAPGAAHVKVSLSQPDDHAREQTGTFSFGTRKEQGSQLDQLQKTSFVNSQGKRQVESADKTKPNSEVLRMKLWGILGTSQTKQAVASPNPEDNETPDDQPKSLTTNGPSLGIKKVCTSRYPDIIKTPDLLNCQTATYAKSKPSSDPIESDSDTPQVVEVRPVTRTLGRKKAPAASKKQDKSQSAKKPLSTSRSAPKQKTLDSVFVFDEKCTPKTVGKSANGNPGCLRNLRSSNRKAKVELKKVHCSDRISDKITQDAREGQLSSRNAPSENKGEKTTSFSSLSRTGKTAESHSRSPTREKRLNGMAKVGPQKMQLSEKLLPTTLNEGENKLSSQNISSKSKENYSSLPHRKENSNLSKASDRSPQAHKPAGNDFNSPLSGAAGAGPSPEPKMYPWGNEASPQINGKPPGAASPSPEPKKYPWDNEASPQINGKPSGAASPSPEAKRYPWDNEANPQINGKLGEKFASPLADRFRDMRDDFVSPTFATNVNGYHHGSKVLHDDAYSPKYPKSVNRSRSSSYASDPGSEPSDGMDKTYELPKSESPNSSEERENKKQPDLSPISPTEDEMAQISIPSFGKGYKTRKWLSDKDSPGKSPPENLDRKSHLKEGKRGKRRFPSPVPFATSGTQETIMSDKEPVECPDNYLNRAFDELLLVLGRFQTKIKSETRNRSSEILAATGEIIRQHLEGVEVQMQDDVDKLVNAGKSKRKRLNSTLEEQQEQLRVLHEKFKEEVNQQLLGCKNSLEEFEAYHAELKGVAEKQKASHKKLLQQAESRVGSQLNDAEIKIAKVQKRAHKKMNGLKHVLKELITDTAD >SECCE4Rv1G0244560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:517405112:517405840:-1 gene:SECCE4Rv1G0244560 transcript:SECCE4Rv1G0244560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLASLFSPSPLLTTAASTSSSATSQPAPQAVRLPAPRPLTVTLAAAAAAGLLLLTPAAPSHAEAEFKVYYGTAASAANYGGYGGNANKRDAAEYVYDVPEGWKERLVSKVEKGTNGTDSEFFNPRKRSEREYLTFLSGFRKLAPVGAVLDNLALSDVGLQDQISSADDVTSAERKDADGQVYYEYEVAGAGAHSLISVTCARNKLYAHFVTAPNPEWGRDEAVLRRLHQSFKTVDFSGQD >SECCE3Rv1G0199900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:849741440:849742258:-1 gene:SECCE3Rv1G0199900 transcript:SECCE3Rv1G0199900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLESLVCGGAGAGAGDRKVSCETVIADASPPRRVPPPAPDHDFPPESITIRIGDDAAFSELNPIYERDDSTKGSTNPKSSAAGGACNPVPLKARSNSTRVAGAPAAGTATFFGLPARIRPAFTRRQPSQGRILPDKRSGTGEEPRSPKVSCIGKVLSDRERCGRRRQRRWWRGVAAVFRCGGGCASRGFGGAAGKKMALEEDARDDEEEEKEASVAAMRNFKSGRRAATWGEEALAAAAAEAFSGETAAEEKKHESHETEHWARLPVT >SECCE4Rv1G0240950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448184130:448184696:1 gene:SECCE4Rv1G0240950 transcript:SECCE4Rv1G0240950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGRLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWAAGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKLVALSIVQARLVGLAHFFVGYIFTYAAFLIASTSGKFG >SECCEUnv1G0527370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1154274:1155119:1 gene:SECCEUnv1G0527370 transcript:SECCEUnv1G0527370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNDNNNAAKDYRDPPPAPLINAGELGKWSLWRAVIAEFTATLLFVYVTVATVIGHKRQTDATVGCGGAGILGIAWAFGGMIFVLVYCTAGVSGGHINPAVTFGLLLARKVSLVRALLYMVAQCLGAICGAGLVRAVHGAQYARHGGGANELAPGYTKGAGLAAEIVGTFVLVYTVFAATDPKRKARDSHVPVLAPLPIGFAVLIVHLATIPITGTGINPARSLGPAVVYNNRKAWDDQWIFWVGPLVGAAVAMVYHQYIIRGGAGKAFASFRHNYISTA >SECCE7Rv1G0482430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:304932124:304933242:1 gene:SECCE7Rv1G0482430 transcript:SECCE7Rv1G0482430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPVAVSLAALLAVSMLQPRAAVRAQVPTAPAAAPAPSWGELDCTGALLNLSSCLTYVESGSALTRPEKGCCGALSGVVDGEAACLCGLVGGYGSFGVRVDAVRALALPTICRVEAPPPRLCAMLGLPGAEPPGGAVPPASGYGTPATKPATSAAYGGPATHRSRRRHLLLVLLPYCAALFTLLP >SECCE1Rv1G0049150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:639092410:639096616:1 gene:SECCE1Rv1G0049150 transcript:SECCE1Rv1G0049150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPWLLLLCLSLAAGGVLQARAQPDTNGFISIDCGLQGEEGYVDTAIKLSYYPDTGFTDGAGTCHNISADYITPSLCKCMYTLRSFPAGARNCYTLRSLVPGLKYLIRAKFMYGNYDALRRPPIFDLHIGVNHWHTVNISKPHVEKSVEALLVLPDDFVQVCLINTGAGTPFISSLELRPLNKTIYPQVTAAQGLLLSERTNFGQIDGNNIIRYPDDIHDRIWTPVVSSVIYTEVSTTSKVIPDSLFEVPTAVMQTAMRPRNVSSIISLSWNPEPQPNNPSPGYIIIMHFSELEFLLFNVTRQFSINLDNEPWHPDNITPKYLYGAAIYNSVPSRKSRYDISIIPTVRSTHPPIINAIETYTVVTTTNLGTDSLDVSAIMAIKVKYQVQKNWIGDPCGPETVMVWDRLTCSYTTTSPPRIIRLDLSLRGLNGDISSYFTNLKAIQYLNLSNNNLVGSIPEALSQLTSLTVLDLSHNQLNGAIPSRLRKRVEDGSLNLRYGNNRDLCSNDNSCRLEPKRKSNLAIYIVVPVVVSMIVVIVVVVLLCSLRRKRQGSTNNSVEPQNEATTSCVSGNNAHRDSFSLHQLESRRFTYEELKVITNNFQRVLGQGGFGYVYYGILEDNTQVAVKLLSHSSSQGFKEFLAEAHILTRIHHKNLVTLIGYCKDGEYMALVYEYMSEGTLHEHLEGSKHNGGCLSWRQRLRIALDSAQGLEYLHIGCDPPLIHRDVKASNILLNARLEARIADFGLSKTFDGGNDYVSTKTLVGTPGYVDPEYHATMQLTAKSDVYSFGVVLLELVTGKPAVVREATPINIIHWSRQRMARGKIESVVDARMCGIYNVNSVWKVVEIALKCTVCVSPHRPTMSDVVDQLQECIELEEGRTIEVGNNGSYTSGGSDNPDLSYNAYIADQSSDSTAFQIEHDVKRVHSMPTGPATR >SECCE3Rv1G0157040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:78563923:78565897:-1 gene:SECCE3Rv1G0157040 transcript:SECCE3Rv1G0157040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHPYVPASLDLPGYVPLRLTQLEILGAYLGTSLFVVVAVWLLSGRCRRLSGVDRLLMCWWAFTGLTHILIEGPFVFTPDFFTKTNPNFFDEVWKEYSKGDSRYVARDTATVTVEGITAVLKGPASLLAVYAIASRKSYSHILQFAVCLGQLYGCIVYFTTAYLDGFNFWASPFYFWAYFIGANSSWIVIPLLIATRSWKKICAAVHQSEKVKTK >SECCE1Rv1G0050150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644774237:644774930:1 gene:SECCE1Rv1G0050150 transcript:SECCE1Rv1G0050150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISFKIIHGAVTALLLGSLLLCSGASMDEPAAYKHAAPALSSYPPTPAPAPIQPVIIVQGLVYCKSCKTRGYNAGMDASPLPNATAKLVCYGSKGGYRVLNMTSTATDESGYFLVMVYDVAMFSRRSCRVYLRTSPTPLCDAPFLPADASLGIVLKREEVRPSSPVGVRGVYSARAALMYAPRKGAKCPAY >SECCE6Rv1G0420630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:678406430:678406865:1 gene:SECCE6Rv1G0420630 transcript:SECCE6Rv1G0420630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGLVLVMALLFVGCLAVGQCRPVVRGSYQDESRANVTANATSIADSSSSSRERSSKLTLNWCYPRNCNNENNPFYTRPCYCCPLLPNELCFSNIDDCHAQCPTCHPNC >SECCE3Rv1G0204350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:893276585:893284055:-1 gene:SECCE3Rv1G0204350 transcript:SECCE3Rv1G0204350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPVPAPSSSAAPAVARVPAAAPSAAAAPQPLAAQQTPAAAAGCRRQVFSVELRAGETTIVSWKKLLKEAGHAAAAPSQPLAVVPAEPAVAAQPGPPAAAHPAENDPEDPAQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTDDSFIDDAELDEYFEVDNLTTKHTGFFVNKGTLEQIEPGTSANVAPKKRRSKDESADHIENNQGATGDYLNIRNMPGKASGGKKVATGNGEYYHDGSRVVKTKPSATGVLKRRSTDFATGADATKRTKISSKDVSYSSSKELKDLEKHKAPAFQPTDFGNKSTTSETYDHASAYRDKDPSTQLVFQQKQTYNGENEDPTSKIYPKDRAGTNDFSGMDVSGTAYPTQAMHPTTGRESAGTKPKGTRLERAIRDLQKIAANYKSPAVDISEADPNVQASAQRRLPPEVKQKLAKVARLSTNHGKVQENELMDRLMGIVGHLVVRRTLKRNMKELVKSGLSAKQEKAGRLQQVKMEINEMVKASMAAKAKVNEQQDGSADDFQSVTDERRDLKGKSAMDSALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGYMDKFGIKEAISRSKERKGTLHNQQKVLNEERLKRKRLAAAAKLPDSHPVVTQSTVAVQVAHPSMSNPVTTYPVTDHGQNQVPKILERVRETSSSTIADESSKNAGDVKKKKRKSDLDVVDTQANIVTMPTVLGLPFYDQQPS >SECCE6Rv1G0443100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:820596274:820599534:1 gene:SECCE6Rv1G0443100 transcript:SECCE6Rv1G0443100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLEDLRNESIDLEAIPIEEVFQVLKCKPHGLTSDEAASRLQAFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIVTLLIINSTISFIEENNAGNAAAALMASLAPQTKVLRDGKWAEQDAAILVPGDIISIKLGDIIPADARLMEGDPLKIDQSALTGESLPVNKLPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCIVSIAIGMLVEIVVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIESFVKDVDKDAVVLYAARASRTENQDAIDASIVGMLADPKEARAGIQEVHFMPFNPVDKRTAITYVDSDGTWHRVSKGAPEQIIDLCGLREDVRRRVHGIIGKFADRGLRSLAVARQSVPERSKEAKGSPWQFLAVLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDSCGLPVDELIEKADGFAGVFPEHKYEIVRRLQEMKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWKFDFAPFMVLIIAILNDGTIMTISKDRVVPSPTPDSWRLKEIFATGIVLGTYQAIATVVFFWAVHSTDFFTDKLHVHPIGGNTEELMAAVYLQVSIISQALIFVTRSRGWSFRERPGALLLGAFLAAQMVATLIAVYADWPFAKMKGIGWGWAGAIWLFTIVTYFPLDVLKFAIRYFLSGRGWSNVFDGKTAFAQGVDYGTDKRKAEWAVAQRSLHGLHTSTGGEASSSGVLDDKNDISEIAEQAKRRAEIARLRELHTLKGHVDSVVKLKGLDIETINQNYTV >SECCE3Rv1G0206510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:909353785:909354696:-1 gene:SECCE3Rv1G0206510 transcript:SECCE3Rv1G0206510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLDYFASTGMDAVWTGGCGWPAAAATTAGEEAVDLRRGPWTIEEDALLAGHIAAHGEGRWNELARAAGLWRTGKSCRLRWLNYLRPDVRRGDFTPQEQLLILELHFRWGNRWSRIAREMPGRTDNEIKNYWRTRVQKHAKQLKCDVDSRQFRDVMRHLWMPRLVERIQAAAGTSLEPAASPVYPPSVAPACRGGIGMCHSPETTTVTTSSTAGSSVSLEVHFPSNQLVMTAGSATNCSGSRSEQCGSAGARSDDMFDGSWSELLARACDDGADSVVFPNFELGESRDDKWSLEDIWLQHQY >SECCE6Rv1G0429940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:738825451:738835917:-1 gene:SECCE6Rv1G0429940 transcript:SECCE6Rv1G0429940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESDQINGRTSSVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGNEKAILLVAMATPEDLRINAEHIRIADQFLEVPGGTNNNNYANVQLIVEIAERTRVSAVWPGWGHASENPELPDALMEKGIIFLGPPSAAMGALGDKIGSSLIAQAAGVPTLPWSGSHVKVPQETCHSIPEEIYKKACVSTTDEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPPATIKELEQAARRLAKCVQYQGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPASQVVVGMGIPLYNIPEIRRFYGIEHGGGYHAWKEISAVATKFDLDKAQSVRPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIDGSKYTIETVRGGPRSYKLRINESEVEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSRLLADTPCKLLRFLVADGSHVVADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQASDLIARLDLDDPSSVRRAEPFHGTFPKLGPPTAISGKVHQKFAASVNSAHMILAGYEHNINHVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDAKYKEYEVNADFRKSKDFPAKLLRGVIEANLAYCSEKDRVTSERLVEPLMSLVKSYEGGRESHARAVVKSLFEEYLSVEELFSDDIQSDVIERLRLQHAKDLEKVVYIVFSHQGVKSKNKLILRLMEALVYPNPSAYRDQLIRFSALNHTAYSGLALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERISTPRRKMAINERMEDLVCAPVAVEDALVALFDHSDPTLQRRVVETYIRRLYQHYLVRGSVRMQWHRSGLIALWEFSEEHIEQRNGQSASLLKPQVEDPIGRRWGVMVVIKSLQLLSTAIEAALKETSHYGAGVGSVSNGNPINSNSSNMLHIALVGINNQMSTLQDSGDEDQAQERINKLSKILKDNTITSHLNGAGVRVVSCIIQRDEGRSPMRHSFKWSSDKLYYEEDPMLRHVEPPLSTFLELDKVNLEGYNDAKYTPSRDRQWHMYTLVKNKKDPRSNDQRMFLRTIVRQPSVTNGFLFGSIDNEVQASSSFTSNSILRSLMAALEEIELRAHSETGMSGHSHMYLCIMREQRLFDLIPSSRMTNEVGQDEKTACTLLKHMVMNIYEHVGVRMHRLSVCQWEVKLWLDCDGQANGAWRVVVTSVTGHTCTVDIYREVEDPNTHQLFYRSATPTAGPLHGIALHAPYKPLDAIDLKRAAARKNETTYCYDFPLAFETALKKSWEYGISHVAESNEHNQRYAEVTELIFADSTGSWGTPLVPVERPPGSNNFGVVAWNMKLSTPEFPGGREIIVVANDVTFKAGSFGPREDAFFDAVTNLACERKIPLIYLSATAGARLGVAEEIKACFHVGWSDDQSPERGFHYIYLTEQDYSRLSSSVIAHELKVPESGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYRETFTLTFVTGRAIGIGAYLARLGMRCIQRLDQPIILTGYSALNKLLGREVYSSQMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPIVKPLDPPERAVTYFPENSCDARAAICGIRDTQGQWLSGMFDRESFVETLEGWAKTVITGRAKLGGIPVGIIAVETETVMQVIPADPGQLDSAERVVPQAGQVWFPDSASKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSMIVENLRTYQQPAFVYIPKAGELRGGAWVVVDSKINPEHIEMYAERTARGNVLEAPGLIEIKFKPNELEESMLRLDPELISLNAKLLKETSASPSPWETAAAAETIRRSMAARRKQLMPIYTQVATRFAELHDTSARMAAKGVISKVVDWEESRAFFYRRLRRRLAEDSLAKQVREAAGEQQMPTHRSALECIKKWYLAYQGGDGEKWGDDEAFFAWKDDPDKYGKYLEELKAERASTLLSHLAETSDAKALPNGLSLLLSKMDPAKREQVMDGLRQLLG >SECCE7Rv1G0501560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:684545564:684548582:1 gene:SECCE7Rv1G0501560 transcript:SECCE7Rv1G0501560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEYEPVPLAAPAPKKPAAGGRAALKLLLVLLLVGLAMRLLADRCASRLLPPTAPPGEAAAVAVTAPPAQEAGGGDGASVTPDGAGMCDLFHGEWVHDSSGPAYTNATCRFIETPQNCMSNGRPDDGYLYWKWKPYGCEVPPFESKTFLEDMRGKHWALVGDSILRNHVQSLLCLLSKVEDPTEVYHDKTYQSRRWHFPSHNFTLSLVWAPFLVKAEIFEDENGISSAEPRLTFDVLDANWISQWTTFDYVIISTGQWFFKKAVYLEKGAVIGCHFCQDKSLKEVSIDYSFRRALREAFRFITASAHRPVVFYRTWSPAHFEGGEWFSGGRCDRKAPFKPREAGDHALDNLMWRVERAEFAKAAADDGGEGRRLRLLDTFEMSLQRPDAHAGPYRVYQPFAKGAAAGKVQNDCLHWCLPGPIEAWNDIIMRMLAED >SECCE4Rv1G0278760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:810776265:810777179:1 gene:SECCE4Rv1G0278760 transcript:SECCE4Rv1G0278760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMPRDASASGALVPSDSAVMAAAATAATTAAGKLPFPLLTRTNYAAWAMRMKYLLRANGAWAAVDREDSSKEVDAGKEEMAMTIISQSIDDTTLLRVAEKETAADVWAALRSMHVGVERVREARIQSLRSEFDGLKMGDAESVDDFAARFTTLVGRIRELGDPMEEKYVVKKLLRAVSNKFIHVASSIALFGDTNKMAMEEAIGSLKAHEELVKGRETAREEEQLLMVRGHDSSRGRGRGGRGRGRGGGRRDKSEVQCYNCDDFGHFAWECPEKKKNKEEEKNKEEKALLAGYGSDGPGLY >SECCE6Rv1G0390550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:187418865:187422948:1 gene:SECCE6Rv1G0390550 transcript:SECCE6Rv1G0390550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLP1 homolog 5 [Source:Projected from Arabidopsis thaliana (AT5G39930) UniProtKB/Swiss-Prot;Acc:Q9FLE2] MAATGAAAPAAAAVQPPRQFKLDPQSELRVEVLPDATLRVRLVSGTAEIFGTELPPEGWLTIPPRSKIAIFTWHGATVELDGVSESEYTSDETPMVIYVNTHAILDARRARARAAQGGDLEASQGPRVIVVGPTDSGKSTLCKMLLSWAAKLGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPLAYFYGHPNPSINPDVYKALMRELAQTLETQFSGNAESRAAGLVINTMGWVEGLGYELLLNAIEIFKANVVLVLGQEKLWKMLKDAVQSKPNIDVVKLHKSEGVVLRNSKYRQKTRSFRIKEYFYGIANDLAPHSNVVNFSDVSVFRIGSGHQAPRSALPIGAEPVADPTRLVAVNISTDMVHTVLAVSYAKEPDEIISSNVAGFIHVTDVDIQRKKLTYIAPCSGDLPSRLLIASSLTWYEQA >SECCE7Rv1G0471670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:132705386:132707300:-1 gene:SECCE7Rv1G0471670 transcript:SECCE7Rv1G0471670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSKALRVQFSGVDKRDKGGREDSRILPQENSAPGRAQMDSGDEYNAIFAATIAAATYAIAAQEEKQKTSPVQPSTKRGESMRKPTGGSKISRWFSAKEYAEDAADEGPANVSVRRPLKPEQRKPGGAGSDQKVPLPFPPKMHDSSVGAKKAPGSSRKSPEKKGSKRFEQEQAVQRVPTAVRPATSYQSRRNDDGAAGVTAIAGTQTKADVWEKEKLASVREEYEKMMETIAEWETEKKVKAKREKEQKEVAMDRKRAKQLAEYNQEMTRINKIAGGARSMAGERKYEDEKKIREKAKKIRSTGKSPRGCCF >SECCE3Rv1G0211820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953455787:953457120:-1 gene:SECCE3Rv1G0211820 transcript:SECCE3Rv1G0211820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLSDATIHRFLRARNWSTEQATKGLKETVKWRREYRPDAICWEDLAEMENEARRTHVADYLDKNGRSVLVVNLPMKSKVSVKEQIKHLVYLLEYFATNSENEQDDNVVWLTDFRGWSISSTPLSTTRETMHIIQNYYPGVIAVAIPFDPPRIFESFWKIAKNFVQPSMKEKVKFVYATKPESLKIIADIFNLDTLESSFGGRSTSAIFDINKYAERMRRADKMRGTSKNKMANDLQ >SECCE7Rv1G0468570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:99944350:99947088:1 gene:SECCE7Rv1G0468570 transcript:SECCE7Rv1G0468570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGCDTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDAAAATGRRGGGGGDDDEWRGSSFARNEPTSAAKKSRTERPSRRSREHSRRGKIDLDAAEATVTMDYRIFVATWNVGGRSPPGGMGLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWVSLIRRTLNDLPGAGSGSGNGSFRTPSPAPPDPVAEADDDFEGARHQTNNAAFFHRRSFHSRSLRMEGDALAPQPRLERRYSVCDRAIYGSRRPSDYEAHCRWGGSSDDDNNTGESPSTVYSPMSYGYGHAPSLDDGHRPASSGRTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMTLHQTSFCFVCSHLTSGQKDGDEMRRNSDVLEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFAGWNEGRIYFPPTYKYSTNSDKYAGEDMNQKEKRRTPAWCDRILWYGRGLGQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIGELLPYSYGYTDINPYGYTDLNFY >SECCE6Rv1G0399520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:441120282:441121214:-1 gene:SECCE6Rv1G0399520 transcript:SECCE6Rv1G0399520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALEPIQQTLGEIDKRIPDALRAAFGLEKRSSRSSEDAIASFFALYLEPPHLERRDGEEPRALRMNWASCYAPHHNEDAHFGHDEAGVVGVADGVGGYRKHRVDAGAFSRGLMTSAFLQVLATEPGTPVCPYTLLERAYEETVASAAEGGSTAVILSLAGTALKWAFIGDSAFAVFRDGRLVHRSQRQQKRFNCPFHLNAGRKGERVNKADVGQMAVRHGDVVVLGTDGLFDNMFDAELEVVVRMGMAQGFSPKNMADVVAGIAYEMSKSQTRDSPYSADSRKLQGDQRRGGKPDDITVVVAYIVSLYS >SECCE6Rv1G0417400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:657619998:657624871:-1 gene:SECCE6Rv1G0417400 transcript:SECCE6Rv1G0417400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM5 [Source:Projected from Arabidopsis thaliana (AT2G07690) UniProtKB/Swiss-Prot;Acc:O80786] MSGWDEGAVFYSDQAQFPQGDPAAADITRHSALRKFKEFLRGFTSPTGDFPYRESLVHNRDHVTVAIEDLDAFDAELADRIRKAPADYLPLFETAGSEVLASLRSKVAGETGEMEEPVTGDVQIFLSSKENCVSMRSIGADYMSKLVKIAGIAIAASRVKAKATHVTLLCKNCRSVRTVPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKLQENPEDVPTGELPRNVLLSVDRHLVQTIVPGTRLTVVGIYSVFQASGTNNQKGAVGVKQPYIRIVGLEQSRDDNTNGPSHFTLDEEMEFKEFAQRPDAYAKICSMIGPSIYGHVDVKKAIACLLFGGSKKRLPDGVRLRGDIHALLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVTRDSNSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPISGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYEQDKRIANHIIKVHASGAATQSNRNADANEGENWLKRYVEYCRNTCRPRLSEKAAEMLQNKYVEIRQKMRQQSHETGRAAAIPITVRQLEAIIRLSESLAKMRLTSVATPEHIEEAFRLFNVSTVDAARSGINEHLNLSPEIANEIKQAEAQIKRRMGIGSHISERRLLDELNRMGMNESIVRRALVIMHQRDEVEYKRERHVIVRKA >SECCE7Rv1G0499290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:652851282:652852439:1 gene:SECCE7Rv1G0499290 transcript:SECCE7Rv1G0499290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSADEPVSGPAVSSMEATRPREAAAEDGGKSPVSPSMEDERQIPVDPVSLRHLGMVADPDSPLSAPSVLTEVVAQSSPLLPPLRRPTFVGASLPCSATSSPVHSATAKRDEPAAPSLATVIALRSLARQHSAALASYAASPSAAPTTLSRSASRAEGRSMAPHDDEVPYVEAADEAEQGFTCGVLCMFIPGFAKKKPGSPSAAAVVSSIQRQHSGARRRSSVSRMAELERFECGSWSPPPPPPPPPPHPVRVVPAHVDMDFAMEVPKISCADDTDLPVKMAFVFEGEARGSLKKSASESRRQEPATPTKTACVFDGEPRGILKKSASASQRQDSAPRMSSASQRHVRFSTAAAPPASCPTSPCITPRLAMARAEFNAFLEAQSA >SECCEUnv1G0530290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:12179851:12185189:1 gene:SECCEUnv1G0530290 transcript:SECCEUnv1G0530290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRGMVAGSHNRNEFVMIRNDGDAPAPGKEVKGAGGQACQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKDGVKCCPQCKTRYKRLKGSPRVPGDEEEEDVDDLDNEFNYKQGNGKGPEWQLQGQGEDIDLSSSSRHEPHHRIPRLTSGHQISGENPDASPDRHSIRSPTSSYVDPSVPVPVRIVDPSKDLNSYGLNSVDWKERVESWRVKQDRNMMQVTNKYPDARGGGDMEGTGSNGEDMQMVDDARLPLSRIVPIPANQLNLYRIVIILRLIILCFFFQYRVTHPVRDAYGLWLVSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLCPIDIFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLSFESLSETAEFARKWVPFCKKHNIEPRAPEFYFQQKIDYLKDKIQPSFVKERRAMKREYEEFKIRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPMYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGGRKKKNKSYMDNKNRMMKRTESSAPIFNMEDIEEGIEGYEDERSMLMSQKRLEKRFGQSPIFTASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYNYGGRLKLLERMAYINTIVYPITSLPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILMFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKANDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGKQNRTPTIVIVWSILLASIFSLLWVKIDPFISDTQKAVAMGQCGVNC >SECCE7Rv1G0480390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:269420183:269421082:1 gene:SECCE7Rv1G0480390 transcript:SECCE7Rv1G0480390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPTPRPRPKRATAPLDAAARARLATLPRSADSSGSEHEAAALSSLVNDYLLETDATVPSATLAVEGSSDLDDEPNGDTSSTAAADMLAEIKDNLDPTGSSADELRRRLVFAVADAMRGLDDLRPNQSAFRRAVMSRLRERGHDAGLCKVRWDKSSGVTAGSYEYIDIVAGGGETRYIVDVGFATEFEVARSTEEYEAVRTALPEVLIARPEHLRQVVKLAASAARRSLKSSGLSVPPWRKRRFMMTKWLGPYKRTVNSIPASAGTALGSSGVVPVCRTIVGFAPHTTVGTSSGFWG >SECCE1Rv1G0022570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:285882312:285882524:1 gene:SECCE1Rv1G0022570 transcript:SECCE1Rv1G0022570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFGLLLNREIGLYIFFIFLILISILIHMRCPRDNANRTYLMFDSGLYDRSIEILQDSTFLIYSIYHIR >SECCE4Rv1G0294510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:891431712:891434414:1 gene:SECCE4Rv1G0294510 transcript:SECCE4Rv1G0294510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASKPCQPGLRIYKPSFTPWLPSHQEDSESRSHLLRKIHAFYLKARRRLERDRLQQCRRVEFCCGALCVGLLDPVSNIVINNLISSCKPKPRPKRKRKGGQADLERRSLDALVAFLTRLFPNLRESLAVHYLRLADADALVAASVIVSDHGMKRFWESEPDVIHKAPIMALKCAGLAAGHPDPDRLVNAWLNISHGIQGDHHFLAPSSCPGSNISKRELAKLINEVPLGCQGLTRAWQLAASRPSLPCSDPYLHTALLSWTLQDAIHGFYLQALARLPAGSSLHRSLIKAGYCYGPLDPVSNIIVNTIWYEAAFPPTMKLELDLDVIGTLGLHRVENRSLYGMASFLCTRYHRFDFHQAVQCLLQADVNLVRADPYIHPSARYVLMRCPPSAARTSNAVEAKDPPDTSLEKAFLAAATAASHPNPEAHVKLLTSCKLKSAFHLLHRQPHRLSSQDVKRLAMLLCPESAHDCKRAFLPLPLTVYPRAKLAGMYTRISKKVNAVLNAYAQMPDGDPKFELHMVCGVNDRVCGPTSFPPKYGHSHVNFVATPKSPCGGAAALFFAEISNNDQDDESFCCHVALPPPCAAQIRCLYCEYVGTRIVHPVGMDFHGRESEFEEMACGKDPRNGDYVPPWRAPYYSNSQIINNRRSLAEHVYGGEKEDSLYDDLDEHKSVDLRSMSIRQIFLII >SECCE5Rv1G0300460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:26322693:26323598:-1 gene:SECCE5Rv1G0300460 transcript:SECCE5Rv1G0300460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADKDRNSCKRLRAVGGGGGDSADAWRTFRVARAAAGGKDRHSKVVTSRGLRDRRIRLSVQTAIQFYDIQDRLGVDQPSKAIEWLIQAAATAIDGLPSLDCSFALPAASPAEEDAAEVSTSETSKGSVLSLANGPADNDNAAHQANAYNGGSANGTFAELLHCSNAADKPMQHQHQQQQPTLAYYAAPGSHIAPMSFEMIPQLTFSQDQQHCHHHHHHHHASVAFDRSTLQSNTVSTPQWPPSQHPFLMQRFSAAPAEPSPMFPFFLGGNSAAAAAAPAAANAPERRLQLWDFKEERKT >SECCE5Rv1G0371780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:849836936:849841556:-1 gene:SECCE5Rv1G0371780 transcript:SECCE5Rv1G0371780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSARRSLATSLSSHLTRRLHPSLSHLVPSSHRDNNHEDPSSSSAPPSPTQQAPHFPSVDLRRRSRSHGLALPLPFGAHLAAHRSFSTSSPGPQIDGILTDPAAGQMDVAAGVLSDAAASVAPAFPLPFPGEVAAAAADSFPPVAALQYVIDAVHSFTGLNWWASIAVTTILIRTVTIPLLVNQLKSTMKLNAMRPEIEAINMEMRNSMDPQSMLEGKKKLGELFTKRGVNPLTPLKGLFIQGPIFMSFFFAIQNMVEKVPSLKGGGAYWFTDLTTPDELYILPVLTSVTFLATVELNMQEGMEGNPMLQTMKKFSRILALMTIPFTMHFPKAIFCYWVTANLFSLGYGFALRKTAVRYFLNLPEVIPQPAAAQMSAFNLFEAPKPVSAVDTPTGDNGDSPTAANGSEQSSAELSDRANGSEQSNAELSDRVVFLEKKIAELERRAKARGESQE >SECCEUnv1G0546190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:151853060:151853629:-1 gene:SECCEUnv1G0546190 transcript:SECCEUnv1G0546190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEFNRSSLAERRIFLAHYQTITYSQTSCGTNRFHLPSHGKPFSFRLALSGILVIGSVGTGRSYLVKYLTKNYDFPFIKVRGLLIPQERKHLFILSYTRGLYLEKTMFHTKGFGSITTSSSALDLVALSNEALSISIPHKKSILEKNTIRLALHRQTWGLRAKVRSARDHGTLFYQIGGALVQNRLTK >SECCE2Rv1G0073580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:69138934:69139454:-1 gene:SECCE2Rv1G0073580 transcript:SECCE2Rv1G0073580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLLLLVAALACVLGAAEAKLGKLVVSGVVPCNTGSLIDISTSPAFPNAEVELRCAGQVVAGATTNTNGSFTMEADLTSALAAFIGRCSLVVDTPLIKCDAQLPPAGKLVSYLQGPLTRLLGGIFHLFPAGFSFHSR >SECCE2Rv1G0101380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:563135996:563137749:1 gene:SECCE2Rv1G0101380 transcript:SECCE2Rv1G0101380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF1 homolog [Source:Projected from Arabidopsis thaliana (AT5G50930) UniProtKB/Swiss-Prot;Acc:Q9FI55] MDPDVETLADDDALAGDGGEADRFEAEAEAELLRDRFRLAVINIATSEGKKSGMEVAGPVVACIADLAFKSAEQVAKDVELFAQHASRKSIRMEDVILTAHRNEHLMSQLRTFSQNLKGKEPCTGKKRKKSSKKDDNMTVI >SECCE3Rv1G0189370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:725270987:725277287:1 gene:SECCE3Rv1G0189370 transcript:SECCE3Rv1G0189370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTANKITHSQGGDQLTYSGPNLPEDIWCLIHSLMPLCDSAHSACVSRMFLRSWRCHPKLIFSKETLGLKQKDGEKINITKGFTSRVDQILKNHSDAGVKILRFIIDVHNNVNTRHLDSWLQKGITPGIEEVVLLLPMKYREKYNFPCPILLDGRGNSIRYVHLTNCAFRPMVEFDCLRSLRKLCLSEVCTTGDELRHLISNCLALEELELRCCMELNSLKIPCWLEQFSCLIVSECDMLRVIESTAPNLSILDIFGEPVQLVLGESSKVINLKVGYSLEPNAVSYAITKLPSIAPHIETLTVYSSCEMVNTPMVADRFLQLKHLNIYFGGDDDGAVTPSYDYLSLALFLDACPILESFILSVDQFDMQHYSISGDPSHLRQIPEHKHERLKKVQIKGFCSAKSMVELVCHILNNSTSLESLTLDCILGPEEVGHSVRCSTRKSGKCMPKSQRMILEAHKALSAVERYILERVPPAVKLNVGGPCSRCHAMDVMLPQLSNV >SECCE3Rv1G0203760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:885063682:885064547:-1 gene:SECCE3Rv1G0203760 transcript:SECCE3Rv1G0203760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSLPAPGGSVITLAASSAGGNGAGGVCGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVAVISILQRNLRQLQQDLARAKYELSKYQAAAGPNGSQAMAEFIGSAVPNGVASFINVGHSVGGVTGFGQDQQFAAVQMLSRSYEAAEPIARLGLNGGYEFGYSAAAMAGAGSVPGLGMLGGSPFLKPGIAGSDERGGAGQ >SECCE1Rv1G0018180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:181757826:181766787:-1 gene:SECCE1Rv1G0018180 transcript:SECCE1Rv1G0018180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTGDAGAGEGLTVEGDTVGHVAVDIDRSMVRADRLEEQVGTGSGCRICQLGDDDLPAESGSGQQVRLGCGCRGEIAAAHRRCAEAWFSVRGNMRCEICGKNAANITGWGSGGKEFMRQWHGMASVDGGASSKARCLCRTQSFCNFLLACLIIVLISSWFFHNRMV >SECCE2Rv1G0136420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:911432401:911432973:1 gene:SECCE2Rv1G0136420 transcript:SECCE2Rv1G0136420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPPWKAMYLYVTSEARDGCDRAKQSVNAARGALASPEVLDTRDAEGRYTLLQSAVTHVEHASDSLSGFIVNAMVAEHLALHGCGAVPSQPVARVGDLRDHHDYEWLGLIRLEAAREHAQDALRGVEGAFTLVDSVRFMLLCGNPDAAGRREATEGQLRAAALELQAVVMSVENMSALASFAIRDRIQ >SECCE4Rv1G0293180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884833600:884835290:-1 gene:SECCE4Rv1G0293180 transcript:SECCE4Rv1G0293180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRAAGALLRRSLGLAPPAAPRALSTSAAAPAAAAAPEGEAAAAKAKRSKKKNLFDVVQFLPDWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRHKAGVQVADAPIKLSGVNKRGWKYIKASQKTVQDIPSAETPATAAA >SECCE1Rv1G0015180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:121655736:121658165:1 gene:SECCE1Rv1G0015180 transcript:SECCE1Rv1G0015180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRSHPKLSSPCSPKAVHKVISRFSPEKKQLVRSIGFGGLLELPLHRKIGHRFSLWLLTKVARDATRAQAAAAGKVAGIPFYPPDVSKVFGVPSGGRPVPKKDQGQVLVSDEAKATVRGALGLEEGDDGRLRILHAAKHVLKKKFRRGMAEKDRAAFKVAFVVFVVENLLAPREMYSVCLDYLMALRRPDEIQTYDWSEYVIRVILDSAREVQAALAQGRPVENLFGCILFLQIFYLDNLDFGHENLLHDQTPRCKEYDYDMIKKLAEADRTLSRDGGLRSFGRKSAREASDVCYQRSISRCGNHVKGVVVGSADCGDHDGHSDDRVFNQKILCEVCGDVGKEELVMFCACGATVHQYCSDPVVLDATLVEWSCDECQAKQDNAAAEKLSEEMPNRKRSSHYLTDSDTRNQSSTKITVATNGVSKKAYVQKGDREEHFA >SECCE2Rv1G0129560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:868927928:868929535:-1 gene:SECCE2Rv1G0129560 transcript:SECCE2Rv1G0129560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTKVLHRAAPPPTPYAQVAVYQDVEPLKHRAEGAPAAPTNGSLVLRTHCELPTLGRGASRDKFAVLVHARAPADVARAPLDLVTVLDVSGSMEGQKLALLKQAMRFVIDQLGPDDRLSMVSFSGEATRLIPLTRMTVAGKGSAKLAVEGIVVRWTGTNIAEGLRMGAQVLAGRRHKSVVASMILLSDGVYADTFVPLGIIKNGAAKDYVPPLFMHAGTRPGPIHTFGLGTYIDAVAMHSIAEATGGTFSFIENQAGIKESFAQCIGGLLSVAVQEARISVTCLHRGVRVLELKSGCYGNHVGADGRAASIDVGELYDGEDRRFLVLMHVPRVRPTESVTRLVKVSCTYKDAATAQVAHVAAPAAVIKRLLELELTEIPAPSIEVERERVRLAATQDMAAAQVAAEGAEYACAVRILDSRLKAVEKCAPGAAGDPTCEVLKEELRDLTALVRGPLEYLRTGRACLLAGMSSHAQQRASASTSSKARAYLTPKMEEMVKVSREQSRKRGSSQLPWSQLKLIKQDPSENFGSRISQ >SECCE3Rv1G0147120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:13274062:13274682:-1 gene:SECCE3Rv1G0147120 transcript:SECCE3Rv1G0147120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Potential histone-like transcription factor [Source: Projected from Oryza sativa (Os03g0413000)] MPDSDEDSGGPSNAGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVDPLKHYLHKFREIEGERAATATSTAPDMPRNNNNNNNAAGYADTVPAPGGMMMMMGQPMYGSPPQQQQQQQHQHQIAMGGRAGFPYHGGSGGSGSSSSSGFARKEG >SECCEUnv1G0567730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:417345381:417346058:-1 gene:SECCEUnv1G0567730 transcript:SECCEUnv1G0567730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGPTLRHVMKHIRCGRRHTELEVRLMMRQLLTGVGRMNRLGLMHRDLKPGNVLVDGRGNLKICDLGLSSRMDDWPPYSNHIGTRGYRAPELLLGSTNYNERIDSWALGVMMAELLAGHHPFYGKTDMDHLSEILDLLGTADIKEWPGYDGRPLPGGWAFHSSLRSMFPCPAEARRRCQPQLSEAGFEVLSGLLRCNPEKRLTARGALRHKWFKETNFRAAKS >SECCE7Rv1G0484190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:341384949:341387003:-1 gene:SECCE7Rv1G0484190 transcript:SECCE7Rv1G0484190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASCAGGAGGGDEPLTEALLARTGNGNADDLEEIQSVSAFLRCAAEENRRLWYLAGPAIFTSLAQYSLGAITQVAAGHLTTLELDAVSTENSVVAGLAFGIMYGMGSALETLCGQAYGAKKLPMLGVYLQRSWLLLTATAVCMLPLYLFATSILRFFHQDDEIAVMAGRFSLYMIPQLFAYALNFPIQKFLQAQSKLMAMAAVSAAALAFHVALTWFLVVPIQMGLVGLAVALNASWWFVVLGQLAYIVMGYCPGAWNGFEFDSLAFTELFSFARLSIGSAIMICLEFWFYMFLIVIVGNLPNAQVAVAAVSICTNLFGWQIMVFFGFNAAISVRVSNELGAGRPRAARFAIAVVLMSSVAIGVGFFVAVLLLRDVYGAPFTESPEVVHAVASLGVVFAFTLLLNSVQPVLSGVAVGAGWQWLVAYINLGCYYGVGIPVGYMIAFPLRRGIQGMWGGMLTGVGLQTVILIAITMRTNWNKEASEASSRIRQWGGGASARIPDSF >SECCE2Rv1G0097210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:454757607:454759202:-1 gene:SECCE2Rv1G0097210 transcript:SECCE2Rv1G0097210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGMGADLSLDLKMFAAKSLGRVREAPAAAMDDCIRRLEEEKSKIEVFRRELPLCACLLADVIDVMKKEVEEKKRDGDRGEVREDAGAGDKSNWMSTAQLWTGDSVRGDDASERQDERSRSSDPESHDAAVLPFKAVGSGAPAFAPPSLRKDDKAVRMPDLPFLSPAPIKTSPAPATGGAEESRRQLVGFAQEAARAAAALAPTAPSLSLQAQAQSQQTAQQQQQARKARRCWSPELHRQFVTALHQLGGPQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRRTPGSPVANRPIVLMGGLWITQEQSSSQSGGSPPGPLNFSSSGVAASSVTVSGEEEDGRSESYGWK >SECCE1Rv1G0009660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:56005967:56006454:1 gene:SECCE1Rv1G0009660 transcript:SECCE1Rv1G0009660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLMCLCFIILTIAVVVSADECEGDRQEMIKQCAKYQQWPANPKVNPSDACCAVWQKANIPCLCADVTKEKEKIWCMEKVAYVANFCKKPFPHGYKCGSYTFPPLA >SECCE2Rv1G0109650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:679460254:679462440:1 gene:SECCE2Rv1G0109650 transcript:SECCE2Rv1G0109650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLRACRHCCRLPFFSQAAGAAFTANCGGGPWRRHRVLAVQCLSMSTTAGSILPWEAPSRETLLRTIDVALKDGNVDQALRAFGNYKTLHGLPEPRVLNGVIVSLSYTSSRRWLQRAYDLVLSVYHCNGNLLNSSSLMRLALALARDQTPVPASAVLRIILESGKLPDADMLSMVFLHMLKSQVGSYLAADVLAETCKCFLDQISDRRQLKKLDPIKNNVTLFNMILESCVNFKCIIRAQKIMELMSLVGVVGDVNTMAVASRVCQMVGQRDELMTMKGSINSFSSLPFFQQYLHFYDSLLSLQFSGNDMDAAADLIIDLHRQRKSRIFSDNDVQKQGVIQIGSGNLKSGYRIMFDPTKLDKGFVLDTKNQSGLVVTISGNLAPSEKAVAKLIVGSVKAKKLGALSSFCIALHKEELQGISASDVVNACIQMGWLHASHDILDALESAEIPVGVGTYMSLLREYENNHKPEEFNLLLQQIQKIASTMAEFHTSPSFTIKDIAKIVKDEIPQTKSSLLSSLVEETEHYNPGDHLTFEFNNSIIFFCKANMMEDALSTYKRMREQNIRPNLHTFCHILCGYSSLSMYREITILWGEIKRRLEYGEISVDRDLLDCLVLDFLKGGYFSRVMEVISYMSTHNIYCDKWKYRQVFLKLHKNLYKNLNSLHDKTEAQSKRIDDVRAFRTWAGIK >SECCE5Rv1G0355600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:732955943:732958002:-1 gene:SECCE5Rv1G0355600 transcript:SECCE5Rv1G0355600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSKSSPPPSAAPPPSPGSSPSTSNSSSPAPSSSNDPSPPPAPPKSHAAPASPARVQSPPAQSSRGSGDSSRSSQASAHRVSPNITAEIIFAAAGAAMLLALLIAACVCCSRRTAPRRRRKPHNPMHFYADTSGNKAGSTYYTGGPQPQWQSGTGAGPSTSTFSPPDAAWPLPPDKNSSTGGQTTPPHETLGLGRGTFTYEELAAATGGFSQANLLGQGGFGYVHKGVLPSGRAVAVKQLKSGSGQGEREFQAEVDIIGRVHHRHLVSLVGHCIAGASRMLVYEFVPNKTLEFHLHGKGSPAMAWATRLRIALGAAKGLAYLHEDCHPRIIHRDIKSANILLDNNFEAMVADFGLAKLTYDGSTHVSTRVMGTFGYLAPEYASSGKLTEKSDVYSYGVMLVELLTGRRPIDATTHLVLEDGLVEWARPALSRALADGDYDAVADPRLQGSYEPVEMARVVASAAACVRHSAKKRPKMSQIVRALEGDMSLEDLNDGVRPGQSRLFGEEAGSYSSDMNRTKEVAVASPEYSGRFGRPSPVSSDRPISDEMSPEERQPPHL >SECCE6Rv1G0407230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555357616:555358968:1 gene:SECCE6Rv1G0407230 transcript:SECCE6Rv1G0407230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNKDDAFYRYKMPRMLTKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGIALVNGGHDTSKLAGLLENFIKKYVQCYGCGNPETEVLISKKEMITLKCAACGFLSDVDMRDKLTTFILKNPPEAKKGGKDKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGASSKDTKKKAAGGGSDEEHATSPTHSQGADFAAAADDDDDDDDDVQWQTDTSAEAARKRMEEQLSAATAEMVMLATEETEKKKKQALHKEGSSNGTPKAEDNTNGNQTGPKTTPYDELVEEIKANLGNAATAAQLKGILSASALAPKDVMHALFEALFHGAGKGFAKEVVKNKKYLSAAVPDEGSQMLLLQAIEAFCGKCSAEALKEVPVVLKALYDGDVLEEETIVQWYNEAVTAGKNSQVVKNAKPVVEWLQSAESDDEE >SECCE6Rv1G0451290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871914272:871917922:-1 gene:SECCE6Rv1G0451290 transcript:SECCE6Rv1G0451290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic type i signal peptidase 1 [Source:Projected from Arabidopsis thaliana (AT3G24590) UniProtKB/TrEMBL;Acc:A0A1I9LMR3] MSSSVHFFPAASQAPTAACLLRPSPKPLLHSPISATAAAFHVRTAPPRLRLWRRTRVRTAPKTPPEAPPVGPDPDGGGGGGGEGGGGGGGDGDEEGERQGLLPEWLTVTTEDAKTVLAAIAISLAFRAFVAEPRFIPSLSMFPTYDVGDRIVAEKVTYYFRKPCVNDIVIFKSPPVLQDVGYTDNDVFIKRIVARAGDTVEVHKGKLVVNGEARDEEFILEPPSYDMNPVQVPENAVFVMGDNRNNSYDSHVWGPLPAKNILGRSIFRYWPPGRIGSTTRDCFGPETKPDSLIDVKLTK >SECCE1Rv1G0013580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:107051427:107052518:1 gene:SECCE1Rv1G0013580 transcript:SECCE1Rv1G0013580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLIRRLPAAPSRLAALALRRPHRRAAATTTTTTTTPAVSSSRLAGSPPAESPAADQGSELEPLPEPLDRDWGSTLSRADLTEVAGILRRLRDEQISLGLGTFNLLLKRACEADDFLLFAKVFRHLLLSKAAPDLTSYMYVARAIGGLDDSELLLEFVREVLEITNGRDPTVVNRIVSAAGRYGHLDKSLIIFEELKKDRRCLDVVTFNTVLDMLGKVGRVDEMLREVKLMEELGISPDIVTYNTVINCLRRLGRLGLCKSFAREMFERGISPDLRTYTALIDCFGRAGHIVDALEAFEQMKKSHQPSVYVYRALISDMKKAGRSELAQKLTDEMNSSASDLLGPEDFRQKSKGRGVRNSSR >SECCE2Rv1G0122020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:812653307:812654549:-1 gene:SECCE2Rv1G0122020 transcript:SECCE2Rv1G0122020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPSTETEAAEQPSSSLPDELIEDIFARIPAKSAQRCRCLSRAWAAALSSQGFVERHLRLANRRGSSRLFVLPEFFSRDTTVHGWSPGRPLAPILRDERLRSVVAVTGQCRGLVVLEADRPTTFCLDDLDDRVYSTYKVNHYVWNPSTGQITALPKGKETWSVSTAAATSPRRARCMYSTPRDNGGADRTLPPGFATNICGHQSIFAQGHLYWVAQPDMKFNFKRIIMSFSINNEEFGILPPPPVKMYPCAITELDGCLCVFNNSDEFKRSYDIWLLHDHQAGIWDLHCRIDLDTPPLADTQLMLSCSVVPLGSVDDGRCLLLRPDPDCIKSESLDSHQLFMYRPATGDVEDLLAGDGVLTHHAMARRVVAPYEESLESTGCCDTKSQS >SECCE6Rv1G0405960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:538791112:538795315:-1 gene:SECCE6Rv1G0405960 transcript:SECCE6Rv1G0405960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYFLKRVDGDQQAGDLTDIVRAGGAMPAGSADPPSTATEWLQLPTDPILFPPPQSSSDGAGPSGADAFGDPFSGLPDAFSSDYPSSSGSAAADFFDAVQNAMDVGMAKQVGFVDTTVCGAGGTTVGAGGGFLDMRNHHMFPREMTMHGLSPRAMGPYAVMGGSAAKLGAPMAVHGQAAGPCAFDAVAGLQMSSSPRGGGIKRRKNHARKVVCIPAPSAAVAGKTTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPNMLVITYTSEHNHPWPTQRNVLAGSTRSHYAKNSSSTAAASSKNSNSSRSQHKPVVKAEPKDQSSAAAATSTTTTATTSTGNNTPPMAVKEEAEMERGTGGDTTTTVGYYSDHLLQQMFSQSYRPMMPEEAGGYQNQDDFFADLTELDSDPVSLIFSTEYMEARPDKEKAAAKDGVDSLFMTDWAPAAVTTSAGSSFEQGGIGL >SECCE4Rv1G0230730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:172943031:172946858:1 gene:SECCE4Rv1G0230730 transcript:SECCE4Rv1G0230730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTVKKAGKSKSKKGKGTKKADASSSAAAAVASGPAKVWQPGVDALEEGEELQFDPEAYNYLRGFSIGWPCLSFDVVQDQLGLVRSEFPHTLYGVAGTQAEKAPWNYIGVFKLSNINGKKREPIPSSKVDADSDIDSDSSSDEEEEEETNEDIKPILHLKKVAHAGCVNRIRSMTQQPHICATWGDTGHVQVWDFKSFLNSVADSGPVAHKEDDIIHNHVPLKIFNGHKDEGYAIDWSPLVTGRLVSGDCNSCIHLWEPSSSTWEVDTKPFVGHSASVEDLQWSPTEGDVFASCSVDGTICIWDVRTEKKPCMSIKAHNADVNVISWNRLASCMIASGCDDGSLSIRDLRYIKDLDASLVAHFEYHKHPITSVEWSPHEASTLAVSSADHQLTIWDLSLEKDAEEEAEFRAKMKEQANAPEDLPPQLLFVHQGQKDLKELHWHPQIPGMIVSTAADGFNVLMPSNIDTTIAGADKPTLAPLG >SECCE4Rv1G0247130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:553068909:553091083:-1 gene:SECCE4Rv1G0247130 transcript:SECCE4Rv1G0247130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSCSPFSFALRGLRGRGGGNCGSYYSIPDLGDQRIDKLPYTIRVLLESAVRNCDEFQITKEDVEKIMGWEKTSLEQVEIPFKPSRVLLQDFTGVPVLVDLASMRDAMSELGGNPDKINPMVPADLVIDHSVNANVVRSQNAIHANMELEFERNKERFAFLKWGSSAFHNMLIIPPGSGIVHQVNLEYLARVVFNRDGLLYPDSVLGTDSHTTMINGLGVVGWGVGGIDAEAAMLGQPMSMVLPGVIGFKLHGTLRDGVTATDLVLTVTQMLRKHGVVGKFVEFYGRGMEELALADRATIANMAPEYGATVGFFPVDHITLEYLKMTGREDESVSTIEAYLRANKMFVDYNEPKIEPTYSSYLELDLGDVEPCISGPKRPHDRVTLKDMKADWHACLENKIGFKGYGIPKDLQNRVVKFDFHGHTAELKHGTVVIAAITSCTNTSNPTVMIASGLVAKKAYELGLEVKPWIKTSLAPGSGVVTKYLLRSGLLKYLSDLGFNLVGYGCTTCIGNSGDLDQIVADAITENDIITAAVLSGNRNFEGRIHPLTQANYLASPPLVVVYALAGTVDINFEEEPIGTGKGNRPIFLRDIWPSSEEVSEIVHSNVLVDMFKSTYEAITKGNPMWNQLAVPTADVYSWDPNSTYIREPPFFKGMSMDPPGPRSIKDAYCLLSFGDCVTTDHISPAGSIHKDSPAGKYLVGHSVKPGDFNSYGSRRGNYEVMMRGTFGNIRIVNKLLDGKPGPKTIHIPTREKLYVYDAAMRYKNDGQDTIVLAGSEYGTGSSRDWDAKGTMLLGVKAVIAKSFERIHRSNLVGMGVIPLCFKSGEDMDSIGLTGQEQYTIHLPSSVHDMLPGQDIVVTTSTQKSFTCTLRFDTEVELSYFDHGGILQYVMRKLVCMGN >SECCE4Rv1G0284690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:840592770:840593159:-1 gene:SECCE4Rv1G0284690 transcript:SECCE4Rv1G0284690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSTYTQAVPGCKAGLRCDERLVMHHVANGGCFKDFHKAMNECHPGAAEYDWGRRRRVAKQVIVDVEACVKATAALRMCFAGKPAMFEHQYLQRIDEGLDQDVELSPNDIWLEENTIFRWWTGMRRCS >SECCE1Rv1G0041180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:569250700:569252526:-1 gene:SECCE1Rv1G0041180 transcript:SECCE1Rv1G0041180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITDPHRCSRSKKPAEKLTSKSSFRKLWQFGKSSTSSSSSSAAHQQPPPQPDQQVAVEAKSAGGTSEQNDGGFQAAALAAPVAAQQPAEAKAIVTPRAPARSKEELAAVRIQTACRGYLARRGYRARAQARLMSLLEGVAVKRQTEEALYSMQAMTRVQTQIYARRVKKDQALKSQVQPKQGLDKTKIGEVWDHTHQSKEQIEATLATKLEAASRRQRALAYAFSHQWRNRSPSSSSSGKGRVTPTQSHPPTFMDPGCPNWGWSWAERWMAASRPWESQTAPPDVKDRAAPAKSAGQAAKPRVSISVHIPTTPTGRSSRPSPSTPSALGKTVASPRRAPSPRGSPFNMSGSMLSERPRSSQEHLGASGGGGGDEKEASLRRTTSLRSGEQPRRLNLGTREVDAGETGDAPVTPSYMQATKSVKAKARCASPAAADKAELPERATLVSSPSMKRRPSPEFAEKQGVSSPRTPSTPSKAKAEMRTKRPPSPRF >SECCE2Rv1G0086650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:214507343:214513166:1 gene:SECCE2Rv1G0086650 transcript:SECCE2Rv1G0086650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFLLTPVGAQLASLPSPSTLSRLLRPLHLQNRHAHAIPIPTPTSSSSPLLHPPRRSSSRRGDRFLASSSPSQMAAPADAPGGSADAFEVIRAHQVKAARLPPVEEIRTILDQSVRGVLATHSQEHVGYPSGSMVDFACDQDGSPILAVSSLAVHSKNLLGSTKCSLLVAKDPEDRTDTVITVYGDATPVPDEEKDAVRTAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFSSPIAGHMNKDHADDTKLIVQHSTSVKVDFASILDVDSLGINVKAGYDGTVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASS >SECCE2Rv1G0135370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:906484760:906486360:-1 gene:SECCE2Rv1G0135370 transcript:SECCE2Rv1G0135370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEDEKGVELPFDLNEALGSSTALPPTAISGATPLFADVIRRDVEIPAMEYRPPSGFMSFLQDQTHPNFTLPAPQQLGFQYPMFCTQPPPPPAKHHPLPSPSDPRTTTPSNKRKRVTIDVEADDDDRQRLYYTKEEDIRLVSAWLRNSVNPIDGNAKKGEYYWKEVADAYNSTTESDRKRDVKHLKNHWYKTTKKVTSFNGCYNQIRDTYASGRCDKQLMQQALDLYHSRNGHQFVYVHWWEAVKESQKWKIHVYTEGDGTKRSAPVPTENTQRPPGTKRAKKATGKDKEAPTEMNNMKEQIEAFLQAQADAKERTDEMMELQSRLSAQKVEANRLAYEAAKERTVAKLAEERTKLFDKFTDMLSADTTRMEPWAKEMHMKAVTRLGDQLFREE >SECCE5Rv1G0332740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:551041479:551045853:-1 gene:SECCE5Rv1G0332740 transcript:SECCE5Rv1G0332740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRRLFETEMQGGRAVYRLHAVTVAAGILLLLYYRATHVPAAGEGRAAWLGMLAAELWYAAYWAVTQSVRWSPLRRRPYRDRLAARYGESLPCVDIFVCTADPLSEPPSLVISTVLSLMAYNYPAEKINVYLSDDGGSVLTFYALWEASIFAKHWIPFCKRYNIEPRSPAVYFAESDGHQDLCSPKEWSLIREMYEDMTERIDTAVLSGKISEEVKANHKGFHEWDQENTSKNHQPIVQILIEGKDKNANDDEGNVLPTLVYMAREKRPQHHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNCDTIRDALCFFLHEEMGRKIGFVQFPQNYNNLTKNNIYGNSHQVTNQVLMGGMDSVGGPMYVGTGCFHRREILCGRRFTEDYNEDWNGGIKDKTQESIDEIEEKAKSLAASTYEHDTQWGDEIGIKYGYPAEDIITGLEIHCRGWKSVHSNPPRPAFLGVAPTTLAQTLLQHKRWSEGSFSIFLSKYCPFMFGHGKMKLRHKMGYSIYGLWAPNSIPTLYYVIIPSLALLKGISLFPEITSPWITPFIYVFCVKNMYSLYEALSCGDTLKGWWNEQRMWMVRRITSYLYGLTDTVRKLLGLSKMTFAVTSKVSEENESKRYEQEIMEFGSSAPEYVIIATVALLNLICLVGGLSQIMTGGWNILLNVFSPQLILCGMLVITNIPFYEAMFVRKDKGRIPFSVTLASIGFAMLALLVPIV >SECCE4Rv1G0219810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33774183:33774623:1 gene:SECCE4Rv1G0219810 transcript:SECCE4Rv1G0219810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSRACLLLLLLACILVQSSYGSRPSPGEPQKPGVLSPTMVHGDDGATEVPPSTEEGATATGQGGANADDGGGAVATSALAGGGVVSSEQMKGSGSPVLKQALGMMLGSKLGRRVLGGEAEDSAAGPWCRSNNAHITCAPPAQH >SECCE6Rv1G0411250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606299991:606301697:-1 gene:SECCE6Rv1G0411250 transcript:SECCE6Rv1G0411250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRRRHAAAAVLSVTALLAFICVQAGLVASPLRDTRSRALLVPPRWLPPGLRAVRAGAATPAGAGTEAVLLPGWEVIVLVRRPDAATAPADVNVQNATCVFRVGASSPARALGPLPATGRHAYTCIMPEQARTHGQDAPLMLFSGSTVGNAGDSASGRSPEMLKWSDRIVYESVVIDGGDVLVFAKGVNRRKKVNRAAADVRCLYYRGDAGNVVASLPATTSAQQVFRCPPPPATTPVDRELRVTLAVVGEEPIPSLATYDPPRLPDSSPATGSTPSGKRLICACTMVRDVAKFLREWVVYHAAVGVDRFYVYDNGSEDDLVDQVRHLTSDGFEIFTVTWPWPKTLEAALSHGAAVHRDSCEWMVFIDVDEFLFSPHWVHSENPTKSMLHSITTVEKDIGQVSMWCTDFGPSGQTAHPKEGVTQGYTCRRQIMERRKSLVRLDAMDRSLTNSVHHFKLRPGFRGGWNTLVRVNHYKYQAWDEFKVKFLRRASTYTVDWTEKAKLHSNDRTPGLGFEAVEPADWPHRFCEVNDTLLRDVTRRWFGLGFGNKLGRRRIFGTTPGSSYNV >SECCE2Rv1G0137200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:917075263:917075763:1 gene:SECCE2Rv1G0137200 transcript:SECCE2Rv1G0137200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMITLKSSDGEEFQVEQAVAMESQTIRHMIEDDCADNGIPLPNVDSKILSKVIEYCKKHVQANPDSDAATSTAAAAPADDLKSFDAAFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFHIKNDFTPEEEAEIRKENQWAFE >SECCEUnv1G0533790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:32895232:32899862:-1 gene:SECCEUnv1G0533790 transcript:SECCEUnv1G0533790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGAAASSSRPSPAQGDISLENGDEKSKQCQPFFSMCQPLQTVSYSNSWDSVCAPAATEPCQTSGLDSVDDEYMPSAPSFPDSQQLHSESISAIVDESNKLCSPPSMDKEYDVEQLQLESEELLDDSGVLDGETKQQSEPLTTDKPSSADEIEKWGAETKQHSPPLHNTKHWSSNRALESSDNENKPLSFFSRYRQPHSVGAGLRNLGNTCFLNATLQCITHTVPLLKKLRCADHSTPCSYDEDGFCSFCALKEHIEESIRRSRSVLVPARFKDNLSKLSSDFRPGQQEDAHEFLRCLLDNLHKCTLDPKSKGKPSSFDEESIVKQVFGGRLKSQLTCRECGHCSETFEPFLDLSLEIDQVDDLVAALESFTKVEQVGDDENKLTCESCKVQVCKDKRLVLDKAPDVIAFQLKRFTNLDNSIEKIDKHVAYPSELDLKPFHNNPDKEELKYDLYGVVEHSGLPNYGHYVCTIRSSPSTWHLMNDSNVDSITEFSALHQEAYILFYVRQGMFPWFSSLLEEASSGASPVSVLDNIDTDCLISSNRSPGNKFEIGETSECKTSPVAVEPTKRSSVDASNSTNKEETSPLRASLQDDVGTRRAPSATEISNLERPSTPPPRPKRLSSLDDFDVFKFEEFEDKDTPLMPKFEHQPKVKKQKAASASKSVKGSCVDKNATRLMRGMTSTRRKGLLDCMISQQNEHESRRGSRSDPLGKKKRKLDTNSVAVLQY >SECCE2Rv1G0136140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910425779:910427077:-1 gene:SECCE2Rv1G0136140 transcript:SECCE2Rv1G0136140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQPAPPRESADTIRKILLKLPTRNVTRCCCVSRLWRDVVADRTFRRLHAEAEADHVAAAPEALLVTETREHGRSDEAGFSCISSTKPASMPHSIVIPSGYGLSNVCNGLLCFAHNTAPMAPVLICNPVTGETATLPKPPPRWHKNDPDKYHHIALGFSPSIKEYKLFRFSVSSNSNYETVIQQSVCTIGGGRDRWHRQSYISMCPLLHNSPPVLVDGKLYLVTSGRGHQQPRNRNPSEMLVVDVATEEYHMHHLPVEDIGYYPAFDPQVKAFEMSGRLCLAVDVFHPHRKLQFWVFSPPPQDLRGECDNSKLCWDLRYNLYTDGNRCSTLTSVWFDDGEKMLCFRRGDILFKHDTRRRSLELSPDADCPECDQRLELPATPSDCKWNVLGGYRPSLLSPLTLATPLSLSYAEEERQQFKHKLLLTLRRHE >SECCE2Rv1G0140450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931414120:931420081:-1 gene:SECCE2Rv1G0140450 transcript:SECCE2Rv1G0140450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDGESRRPPGAGAASGHGGGSGAPGVQLSGVRREIYDRLRAAGNQEALADPFLDRALEEHYDRLPCSYYIDLDVNKAEEVLLHRRILAECADPDNRPVFHVRFLRYLHEDKLQDAESAPDEDANCGGSLASNLRRDTEILLHEIIFSTNDKPKLLCQLCTLVCDLGLNICEAHVFSTTDGLCLGIFLVDGWETEETDGLLQQLKETAKRNPSLSNLTTSASERILELQENIGDSEFDWDLLQIKEKIASKSSGDLYRGSYFHVDVAIKYLRTGHVNDNSKAEFLQQMMILRSVNHENVIRFYGACTKHRKYRIVTEYMAGGNLYDFLHKQKNTLKLSLILRIAIGISKGMDYLHQNNIIHRDLKSANLLLGDGQVVKIADFGVLQEGDMTSENGTYRWMAPEVINHKSYDHKADVFSFAIVLWELVTSKVPYENLTPLQAALSVRQGIRLVIPSSVHPRLSKLIQWCWGENPHTRPVFSEITAELEDILQTFQAACRSKGSHRCTKQKIQMKSQR >SECCE4Rv1G0224390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:79573678:79574469:-1 gene:SECCE4Rv1G0224390 transcript:SECCE4Rv1G0224390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLHQLAPMQQALLSHPQNCKHDAAATMAATDMACLQQQQQLLQLQPAANPNTPSGAAREQCPRCASHDTKFCYYNNYNTSQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRLRPAPQQAMRRPPVHFGAPPPPMPAQSQAHSQQAPQGGLLSSLFALGGAPLFEGRVGFDLGLGLPGLSHVGLGSSAGEFGLHSLGLRGGHAGTSAPMLWPTGFLDNGNVDTWKVSGGGAAAMWAPEFSSAPTVAQVGGNGMFHGGAQIM >SECCE6Rv1G0405370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:529987994:529991910:-1 gene:SECCE6Rv1G0405370 transcript:SECCE6Rv1G0405370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21620) UniProtKB/TrEMBL;Acc:Q94II5] METVEEDVGEYSWREVVLPRLIPVVPHAAPELERETGERRRGRDLLVAIDFGPNSRHAFRWALAHLARIADTLHLVHAVSSVHNDLVYNKSQELMDELAVEAFKESLVHTKARIVEGDAGKVICQEAERLKPAAVIVGTRGRSLIQSVLQGSVSEYCFHNCKAAPVIIVPGKEAGEQSVL >SECCE4Rv1G0226370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:109375785:109378542:1 gene:SECCE4Rv1G0226370 transcript:SECCE4Rv1G0226370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQRQRSSLFSTATIVVFAALCLVGFWMVSPPSPEVVPAATVTASTADVKKAAEAVVKEKEEDRSIDATNNFKQDSANVVAEATTAAVEAEKPTVDAKGGDADGEKPASKNQSFDDENGRTEGGELVKPETAETDGAAAAAAAVAASQGKSVEDTVTDVKEQASTDTKETAGSGGQDANPEKTPVDTKESIVSQEENPKEAGGGGSSKKQTFDDENGKMEGVEVVKDDGNKTFISEDNAKPIMEETTTAATDKTEDAAAAVVSTETSAVTNPDDTKTSDIVEEEQKLLPEALPNGQAELLMERAAQNGSFTTQAAESTNEQKTRAEKKKNKKKKKKAAGKVEVEPAVSSPVHVWKLCNTSTGEDYIPCLDNEAAIKKLKTDIHYEHRERHCPANPPTCLVPAPPSYKDPIRWPLSRSKIWYHNVPHTQLAEFKKRQNWVKVSGEYLTFPGGGTQFKTGGALHYIDLIQQAFPEVAWGRRSRVVLDVGCGVASFGGFMFERGALTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLQFPSNVFDIVHCARCRVPWHIDGGLLLLEVNRLVRPGGFFVWSATPVYQKLAEDVEIWDEMVKLSKAMCWEMVAKTRDTIDRVGLVIFRKPVSNHCYETRRQTAPPLCDPSDDPNAAWNISLRACMHRVPTDPSVRGSRWPQQWPERAEKVPYWLNSSQVGVYGKAAPEDFAADYAHWKKVVENSYLAGMGIDWKSVRNVMDMRAVYGGLAAALRDMNVWVMNTVNIDSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKARCKVLPVLVEVDRILRPNGKLIVRDDKETVEEIVEGVRSMHWEVRMTVSKRKEAMLCARKTMWRPTEMEQGPPTR >SECCE1Rv1G0058280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:698560571:698563269:-1 gene:SECCE1Rv1G0058280 transcript:SECCE1Rv1G0058280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPILKKRAPSIPPIRELAADLVTQTCPQIPNPNPKVTTVESGSEWEMAAAEANPNPKMAAPILRVFPGKDFVDIPLNADEGPAWSLLVGVMRGALRFHRLRVARSGRISGRSNEVLEIFHDLTRPPGCSLRADAALAPDGRSVCVVQQEEDEPTHALRLQLEAAEEELRAEAPLPPLAWSTHGRCMPVSADGHIWAVSAGQCSVSSFHLVVQRLVIHEEEEGVAGGRWEQVGSPSVHHCKFDRSMPNWGGPFLRGYAVLPGHGRDGGTMIMISLQNCLFFTFDCSAPDSGWTKVIHTSDEYYIPINGRGLYAQESNAIYMLWNNVVYEYKLAPADEERRLKLHPAARIDSVCPFTVMNGDGFLAHLGSGLMCSVWISLDLSCGCDHLHAIVTTFHIAPLPWDVKVLHSTFRRLDMLPMKHINEFELCFVQEYMDDKVLPQLREEEVLDDPCRHYLPPSPPRYVKQPRIPIDKDLLFIICQGGSQSFIYKTNLDEIPGLETGPPLKPYYIVHGDDHGHRHFFRSSSKLCAVSFLKDGMDVINLDTTCHTMDILARRPVSVDPFVMVIQVGRVTFALTETLQVYSKTHSVSPPGSTSWVRCHTDQSNVLDRKVKLSGYVALGDDSFIVCDSVTCSCLRFDVRAKKWHVVIPWTLWGEYLPRAMPRDCLLNGACVFVDGFIYTCSNRGLAAYELLSENRCVYINDPIFLPFSWYSKQWESERMCLDYAGKEADSGAILFWVVQGVPFQYSPPKNQLWITLVRVETEKTPSKRMKPVEIKHLLCAAPLIDQVEGIDQEEVIRTTCFGVSF >SECCE1Rv1G0047860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:629968516:629968743:1 gene:SECCE1Rv1G0047860 transcript:SECCE1Rv1G0047860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVGIVLLVVMLIAAQLVAVPEARLIQHPAVAHAAANGKPAGVPPSKWNMRRTLGGAAKRTVPGGPDPQHHY >SECCE3Rv1G0175750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:433088335:433115583:1 gene:SECCE3Rv1G0175750 transcript:SECCE3Rv1G0175750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTLLGGYLTVSALPLPTATATPRARVLPGLRPSSRLVARRSAGETVHATATEEEEQEWEELQEEGLPRRGQHAEDDHDHDHDPEIADIMGDYFDDPKKAQFRLEERINMKRQKIVQAKTGSPNPMKVVFNKFDFSNSYIWFELYNALLPKDVKLICDALRSWHILGRLGGCNSMNMQLSQLSLDCKRPTYDALEAANATPTSFYNIGDLEIQENLARVCHVGIKEVQFGGSEFQSWNDSLNTEEAGYSVHKI >SECCE1Rv1G0050630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:648200111:648201433:-1 gene:SECCE1Rv1G0050630 transcript:SECCE1Rv1G0050630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVARVAPWRTQKKTRAAAGNATLPEDLVLEILVRVADVAALFRCAVACKRWLDLVSDAAFLGRRWPADSPSLIGFFARQRRYRARARAAAAVPCPAAGARRGPALVPAPGCPLGTARRSLGSFLSCAAGLLDDAVPLASHDGLLLVRLADAPADHVDADARLAVCNLIAGTCDVLPPLQCSFAFRINSCAVLTFADGCRSAFFRVFVLVLDSDTLSYTLCAFSSTEPSWSAPTECFSSAEIGVVSGGAVVHRGTAHWLVTGTSNNLHTLEASDDVFLNETPIPPAGDHDFILHRTPCVNVAAIDGALSLLRLCKDRLWLEVWARPDAETSGDGVAPEWLQTKAIQLKGPKNKDEIGEVQCLCLDERSGALFVEDTEERMYAVELETGEMDEVTDQFWRLKSQTAVPFQMDWLAFFKSRLGRNRRDGVTTHENSYTPISV >SECCE4Rv1G0289560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:866092735:866094129:1 gene:SECCE4Rv1G0289560 transcript:SECCE4Rv1G0289560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDSRVRVLSRSTVKALGSSAAPAPPRVLDVSNLDLLPQNFPVSLFCAYGRPSTGGGFRDVVAAFEAKLPSLLDHFLPLTGRIVVDPRSGRREMLNCDNQGAELVLAEAGVALASLDYGHLGASLAEVGVPVKYAAGVALSVQLVSFACGGFAVAWASNHVLVDGYSLCMIANAWSELARTGTVASAAPNHDRSVFRPRARPSYAPKFGEQFTPLEGAHLVNALTIQCSFVERTYYVEARDLETLRAQASQDGVVATRLEALSAYLWKAFAAVVGASDESCRMGWWVNGRRRLAAPRYRAAAMRDYVGNVTTFAVAEAGVEDTRRRPLQDISSTVRETIRSTATDEHFQQLVDWVEEHRATKYVETASVGLGSPALTVTSFATFSLDTDFGFGRAALTMPTGEDCGRLCSGFVQITARPGGDGWLVDMYVWPRLAAALDSDERRIFKPLTAEFLGLGHYSRL >SECCE4Rv1G0273760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:779677985:779678751:1 gene:SECCE4Rv1G0273760 transcript:SECCE4Rv1G0273760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSPWLVLLVAMGCTFLAAARGGSRNPTAGFEKVELAAGAFQVQSPYNVPESQRFLYRNSVRTFWVHRNDKPFNTATHTNPRSEVRLRGHDYSSGVWQFEGYGYVPSGTSGASVMQIHNQEGAAHSTVLMLHVYDGVLRFYSGTEVEPDIYNRWFRLNVVHDVRASTVAVYVDGKHKFGTNVTPSASYYFKFGVYMQHHDQSSCMESRWTNVTLYTKH >SECCE2Rv1G0085020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:183860816:183862539:1 gene:SECCE2Rv1G0085020 transcript:SECCE2Rv1G0085020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKERKQGFFAALKEEVVRGLSPARSRGKTPARSASPARMLIPRRRKAPPPPPEEKQMQQQQYLPEQLIARSGSLRPGGEVLEPLIEGPDADRLAAGDFVGEDSGRREGFGHWVRGHLTRTPSMASASSAGPGGSSGSFRHSDLRLLLGVMGAPLAPISSNLADPLPLLSIKGTPIESSSAQYILQQYIAASGGYKMLQSVRNAYAMGKVRMVASEFETATRVVKNRGPSGRGAAAVEQGGFVLWTMAPGMWYVELAVGGSKVHAGCNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLSADPQTLKLRSEGPAEIIRHVLFGYFSQRTGLIVHIEDSHLTRIQPHSGGDAVYWETTISSCLEDYRAVEGIMIAHAGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSVDCFIPPADIRSGSVGEACELPAHGERAKSTAVHPARVAAAAERAHVHGAGAGGRGEKIVWRVEV >SECCE2Rv1G0115420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:750309696:750311171:-1 gene:SECCE2Rv1G0115420 transcript:SECCE2Rv1G0115420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVHAIFPKRKSTASRSALQHGSANERACPQIPNFLGTQHVTVVSSIASSSKRSQQLIRSCVRFGSSPAMGFDKEASSSSSGLDAAALLPKHGGGGARLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWAAGSILLLAVAALTFHCMMLLVACRRRLADEHPKIASFGDLGAAVYGAAGRHTVDAMLVLSQASFCVGYLIFIANTLAHLHPIGDPSESSPLLTAKALFIWVMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVSTWLAEKPPVFAFGGPAELLYGLGVAVYAFEGIGMVLPLEAEAADKRKFGGTLGLSMVFIAVMYGLFGAMGYLAFGASTRDIITTNLGAGWLSVTVQLGLCINLFFTMPVMMNPVYEVAERLLYGKRYAWWLRWILVVFVGLMAMLVPNFADFLSLVGSSVCVLLGFVLPAAFHMKVLGAEIGWPALIADVAVIVVGLALSASGTWTSLAHMFGASNA >SECCE5Rv1G0307320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:109260576:109263186:-1 gene:SECCE5Rv1G0307320 transcript:SECCE5Rv1G0307320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDSRMVMEVSFSHALLLASLLLLLYLLSSRGKNSSNGSIPSPPALPVIGHLHLLKKPLHRSLAALAVRYGGGRDGAGLLLLRFGARPVLLVSSPAIAEECFTVHDVALADRPGLASRRLLTGDACPSIASASYGPVWRHLRRIATVHALSAHRLSLTTAARDAEARAMARKLWQATRLGGTAVSVKFTAYEFVVNVIMAMVAGWRMPEDEVLRFKAMTEAGFAAAGAANRHDFLPLLRLLDLGRTGRKLAGLAKERHEFGQGLVDEYRRLHHRHGVVGAVTEDTTSTPAQRTVIGDLLRQQEGSPESYSDVVIRTICLSLLQAGTDTSSSTIEWAMSLLLNNPLVLAKAKQEIDVVVGTSRLLEERDLACLPYLCCIITETLRMYPIAPHLAPHQASSDCIVAGGQYMIACGTMVLVDVYSMQRDPTMWDDPKKFIPERFEVGNGEDGDKQVVRMMPFGMGRRKCPGEGLAWRTVGVALGVMVQCFRWERMGKEEVDMSEGSGFTMPMVVPLVAMCQPREEMDEILKRI >SECCE7Rv1G0515940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:842189672:842193034:-1 gene:SECCE7Rv1G0515940 transcript:SECCE7Rv1G0515940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALITSCVAVLVLVASQLPASPAAPDVPAPPENCTATCGNVSVPYPFGIGPASCYWPGFNLSCDTSGGAPRLLLGDGSLEVAEISVKNATVRVLHAGDIEIDQEGYGAFGAGLRDHGPYTLSTGNELVVVGCNVYATLLEKNNNNIIGGCASFCPNDHDTEYRRAEQREIGRSCDGMGCCRAPVVQTRDDVAGNVQFRWLRTTDRGPDEAGPLSRVFVAEEGWFNQRWVYDDPMANLTSMEDTMAVPVLLHWVVIVEEDRPLVADDQLLNCTEEGARSVCKSNNSQCKMSGRGYTCSCQNGHQGNPYTSIPDHGCKDINTCELPAGPMRCYGECTKFECRCPDGSRGDPKVRDGCRVNPAKPITGIAIGIGLGSGVGVIVLILIVFLMVRKFKLQRARKLKHKFFEQNRGQLLQQLVSQRADIAERMIITLEELEKATNNFDKSRELGGGGHGTVYKGILLDLHVVAIKKPKLVVQKEIDEFINEVAILSQVNHRNVVKLYGCCLETEVPLLVYEFISNGTLYDHLHVKSLSWDDRLRIAIETAKALAYLHRGISIPIIHRDIKSSNILLDDTLTAKVADFGASRYVPIEKSGVTTMVQGTIGYLDPMYFYTSRLTEKSDVYSFGVVLIELLTRKKPSSYMTSKGDSLVANFVMLLAEGNLLHILDPQVIKEGGKEVEEVAKIAVACVKLKGEDRPTMRQVELALEGLQTSMKQVLVRPMAENVDKVNRAINFASTNDERNNDDSSRQHSLEEEYMLSGSYPR >SECCE6Rv1G0403250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:499815635:499816824:-1 gene:SECCE6Rv1G0403250 transcript:SECCE6Rv1G0403250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKADASKKGEGRLKAAGGASKRKKAAAVAGKPKRPPSAFFVFMSEFRQQYNAENPNNKSVANVSKAAGEKWRAMSDEDKAPYVEKAGQKKQDYEKSKATFDNKESASSKKAKTQDDEEVEGTKSEVEDEGSDEDNEDDDE >SECCE1Rv1G0044080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:599662849:599664227:1 gene:SECCE1Rv1G0044080 transcript:SECCE1Rv1G0044080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHTDQAKHSKVICCKLYISESRNAAVVDAISRIGQKDPEVVLLNKFEDEYYNRVRYTLVSYVTSESTTGEAVFSPIRKVLLAMIEAAFSAINLEVHCGTHPRIGVVDDVSFHPLSQAATMEDAAQLAKLVASDIGNGLQVPVFLYAAAHPTSKSVSVVRRELGYFRPNHKGVQWAGPVLPDTLPLKPDVGPIHVPRERGATMVGAQPLVESYNVPIFCKDIPTVRRITRRVTGRSGGLPSVQALALFHGDDCTEIACFLLDPDHVGADRVQWLVEQIAEEQGLEVDKGYFTDMSKHMMLERYFEMVSAAD >SECCE6Rv1G0415440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:641577182:641578348:1 gene:SECCE6Rv1G0415440 transcript:SECCE6Rv1G0415440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQAHGTGEKKGIMEKIKEKLPGGHGDHKQTADTHGQHGHATTGTHGAPATGGAYGQEGHTGTTGAGLHGAHAGEKKGVVENIKDKLPGGHADHQQTGGAYGQQGHTGTAAHGAPATGGAYGQQGHTGAATGGAYGEQGHTGVTGTGTHGTGEKKGLVENIKEKLPGGHGDHQQTAGTYGQQGHVGTGTHGAPATGAAYGQHEHAGVAGTGTHGTGEKKGVMENIKDKLPGAHGDHQQTGGTYGQQGHTGAATHGTPAGGGTYEQHGHTGMTGTGTHGTGEKKGVMENIKDKLPGGHDDHQQTGGAYGQQGHTGTATHGTPAGGGTYGQHGHTGVTGTETHGTTATGGTHGQHGHTGTTGTGTHGTDGLGEKKSLMDKIKDKLPGQH >SECCE1Rv1G0009880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:58469677:58480316:-1 gene:SECCE1Rv1G0009880 transcript:SECCE1Rv1G0009880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPSMAPDLVNASNSYYMLLRELFFRLVFGLQVESSLSMEIIAFWLWLQGNGQVDFLRRIYSFGDNHFCALVSSAKRFLEVLHFGFDDLANRSIPRSDFKKEAVEGISFYLNGICYEALEDLRERIEMDFIHNHRTYLRHDAYGQSMTDRVPVGSDLLLSKINALYVNTPNHDGEDTSSRNIHVQTSHINEEVHDYQSASSLVTSLDSLSLREKHNDAIIRQPSDVPKSSKHLLTKIKALYANTPKHPGEGTSSRNIHVQTSQMHHGEGSSSRNIHAQTSHMLRHDYQSTSRLAALLDKLSLRGNDNDAIMQQLSDVPPNERTLFVTFSNGYPLTKDELFDFFMSHFGDIEEISIEVPFEDRPPLYAHVTFYSQLTLFRVLDGNMSVKFMTSSGKHIRARQFVPKKKK >SECCE7Rv1G0504290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722112883:722113230:1 gene:SECCE7Rv1G0504290 transcript:SECCE7Rv1G0504290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSLLLIIAAIVVVAYPIATSAQVAWYPIGDVNNEPHIQELGGWAVAEHVKRAHDGLKFIKVMSGEKAPDVGVKYHLIIKAFNSNNKPVRCEAYLAEEIRRNTRTLISFSPVN >SECCE4Rv1G0254720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:641231767:641232666:1 gene:SECCE4Rv1G0254720 transcript:SECCE4Rv1G0254720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRSRRRTRAASTDPPCRRRRHRRRRAKDVHTFPWSVEWRDWAALPRDVLWLILSLLPQADLLRGAGRVCVSWWRLAVDEPLLWRHIDLAAGKDKDKDKDKDKDTDSDTDSDSDSDKDPPAGWHAMACSAVRRSAGHCESFRGPVDDIFLLYLADRAPLLRSLHVTCQYNMGRMESEIFMTMVVKKLPLLEQLVLSNGLIEQASLAAFADHCPRLHLLNAGGCCTWSRITKTLRARLESRIKDVRLPCPACRCGGGIRLLRPRTRGLRR >SECCE2Rv1G0071600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:46108510:46113339:-1 gene:SECCE2Rv1G0071600 transcript:SECCE2Rv1G0071600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRAYAGHRLRCVLVVLCALCWASSSVRFVAGQAGQLSVDASPQTARKMPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWFIIGNESSIIVGTDRTSCFERNPVALRMEVLCGSKGTNVCPSGGVGVYNPGYWGMNIEKRKGYKVSLHIRSSDAVSLTISLTSSDGLHKLASRTITGGKKQFANWTKIQFRLYSSQNNTNSRLQITTTKTGVVWLDQVSVMPSDTYMGHGFRKDLASMLANLKPQFLKFPGGNYAMGNYLRNAFHWGETVVPWEERPGHVNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGASINEEVPTAIIASLVKDVVDGIEFARGGANTTWGSVRAAMGHPQPFKLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINIISSCDKSTISASNPADLYDVHVYASSANMFSKTSMFDNTPRSGPKAIVSEYAVTGNDAGKGTLVAALAEAAFLVGLEKNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQHYGCPNYWMLHFFKDSSGATFHPTTMQISNYDQMVASAITWQSPKDKSTYLKIKVVNFGSKAVDLNITVTGLESGIKSSGSKKMVLTSAAPLDENSFQQPEKVAPVSTPVADAKQQMGVSVSPYSLTSFDLLLEPSTHSAI >SECCE6Rv1G0406850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:551427996:551428946:-1 gene:SECCE6Rv1G0406850 transcript:SECCE6Rv1G0406850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNQSTGPSFFNFLKEGVLLPTRNRRLFIAVGAIIVASTSLLLLGSDLAVQPLADEIQLDAKALNGTDPGSLEYAKLVQEIQNDTKALLLVGAGYLLFAVVVSSAVRIILLFATVLTYSGEQSTTFTVLLGKAKAQLKGPLLTLAFVYVLEIVYIVFLALMGALLVVLMVKQYLVLLILASLLVLSAAISFVYFSFVCSFSVVVAVAEPGCHGAAALGRACRLAKGKKWKVVVYVAVTCALASVLSPVHTLARTCAGNSVALGLLLGFVYAVLMALVQLYAVSAMTAFYYERRENMDGQLGATGYAKLPSEEANA >SECCE7Rv1G0513760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:825956280:825961488:1 gene:SECCE7Rv1G0513760 transcript:SECCE7Rv1G0513760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase 3, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G34630) UniProtKB/Swiss-Prot;Acc:Q5HZ00] MSWRWALARRVATLVGGGTGGGSGAAQAQRLFSSSGALLGRLPPAPPQIRNKVVGCRGAALVTSRWLHDATQCQTRQDGVSRAEEQQDPFELVADELSILGNRLRSMVVAEVPKLESAAEYFFKVGAEGKRFRPTVLLLMASALKFPIPESTDVGVFSILASKLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVTSLNCIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVGHLVTGETMQMSTSREQRRSMEYYLQKTYYKTASLISNSCKAVAILAGHTAEVSVLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPMLYAMEEFPQLHDVVDQGFDNPANVEIALDYLQKSRGIERTKELAQEHVNLAVKAIEALPDSDDEDVLISRRALIDITQRVITRTK >SECCE6Rv1G0444760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:830948865:830949479:1 gene:SECCE6Rv1G0444760 transcript:SECCE6Rv1G0444760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPEKLMAQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNVDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQAIGKSMGNIVKSLDSSLATGNLQKMSETMDSFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKEKEKVDEDDLTRRLAELKARG >SECCEUnv1G0557460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:323899195:323900750:-1 gene:SECCEUnv1G0557460 transcript:SECCEUnv1G0557460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLLVVLPVVLLGLAFQAILRPPPTKRCGLAGGPPVTSPRIKLRDGRYLAYREDGVQRDKAKYKIITVHAFDSTKDFPSPVSKELVEELEIYLLAFDRAGYGESDPNPGRSVKSEALDIEELADQLELGQKFHVLGVSMGGYTVWGCLQYIPHRLAGAVLVVPVINYWWPSFPPEVSRQAFKKLIVPEQRTLWIAHNAPYLLYLWMTQKWLPSSAAAMHHPEIFSEHDIEVIQKMMAMPRTIKNKSRQQGIYESIHRDLLVAFGNWEFDPMNITNPFPTNEGSVHIWQGYEDRLVLVELQRYLSKKLPWIQYHEVQEGGHMFTLVDGWTDKIIKALLVGEEASPM >SECCE7Rv1G0526270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894456893:894458685:-1 gene:SECCE7Rv1G0526270 transcript:SECCE7Rv1G0526270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFRWYSDLKGYVGLSFARDRVVECYLSGYCVFHKEEHALPRMIFAKLNFLQTLLDDINDVGATLEEYRKLDTAIQRWDESAVSLVPGYLKKFYNKLLMCFKEFDNELRINRRYSIDHIKKKFQLLSSCHLQEAEWLHKNHKPRFEDKLHLGAMSVGAVGLCVYMMVCLGDEMPKGALEWALGYPDVVMACAKIGRLMNDLAASFKPRNNRDVANCVECYANEHKITEEVTFSAIDSMIEDEWRTTNQARLQHGRELLPAVQQVVNLTLSVPVYYGDRKDAFTFSTHLEDIIKSLFVKPIPI >SECCE4Rv1G0226380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:109432310:109432948:1 gene:SECCE4Rv1G0226380 transcript:SECCE4Rv1G0226380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAVLDEAQRRRRQSVYEFLAATKPARAQALRWCETAREMRRIDGDMKEAGQLLRGALSCVKDYASVYRTWIAMEMDGGGGVGVARWLFEEWGTVCAKDGNLRKDDDGTTADEYGDYWCAYLAFELRHGDARRARTVAARAVKACPHDASLRDTVELRLSDAIEIEQQRRHRSGLLRTAKKWLTNSEQSRGCSSLVPRPTQGQGYRRLLSG >SECCE7Rv1G0507530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:763292127:763293494:-1 gene:SECCE7Rv1G0507530 transcript:SECCE7Rv1G0507530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATKLQWLPRLLLLTHSAFFLVCPTTALPWTLDHAAGLHPVVLLPGSSCSQIEARLTDAYKPPSPLCAAHKGDGQWHRLWKNVAATDADAPCFADQFRLVYDDVASDYRNAPGVETRTVSFGSTRGFLADDPADRQLCMGKLVEALERAGYRDGETLFGAPYDSRQAHAPPGMANRELSWFRWQLIELVERASRTNGDKPVILVLHSQGGYFALDFLNESPLPWRRRFVKHFIMDSTGAGGFGVFMQSLSSSASGSSTPASPDISLGIFSALPSPIAFGEDTPLAVTANRSYVARDMPAFLAAAGLPPQTVWLYETRALPVALSLGPPLVPMTFVNGVGVPTVEKLVYPGPGGLGAAPEGVYGDGDCVVNLASILALDTVMGGQPGQEYYRSIRITNMSHRGVVSDALALDQLLRVILDTASPAPDTHAI >SECCE2Rv1G0121440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:808247962:808250852:-1 gene:SECCE2Rv1G0121440 transcript:SECCE2Rv1G0121440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKAQAGALSPTKLRMKLLGAHNRVRVISSSSSRTSPSKNAEPSLAQNRLLVCDVLEEVSDSSGTAKCPAAVSNTEVLDKDPAVDSYKAQNMPKSSVHQPVPSNSSMIHPVRTVEEDSNECDSGLDNASTSSFEFHGGEKTAAQNPPAGYFSRQASSKWNDAEKWIVNKQTVQQNTAKGASQNQSAHQVNSAVPRGGGVVPKHHGAFARPIQNMKRFNPASSASRSILERLSFSSHQPKLVRHADVCPDQGSTATSGCQKGPTETSSTAIIPCNDIEAISTVQAVSVRDVGTEMTPIPSQDPSRTGTPVGSVTPTRSPNCSIPSTPVGGRSTASIGEDNADDGPYFNRKGGMNELSEDEIRLKARKEIAALGVQLGKMNIASWASKEELELVSATPSIADLERMKQEYATRAAAFEDAENSKHTARFKKEELKIEAWESRQRTKVESEMKKLEERAEKMRSEAMARMAERLELARRLAEEKRASANAKMNKQAARAVQKADLIRQTGRIPGSRILCCGCFCEP >SECCE2Rv1G0096520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:440531471:440533101:1 gene:SECCE2Rv1G0096520 transcript:SECCE2Rv1G0096520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGERPAPNHKRHLPLQEAGGELVELLWQDGAIVPQAQAQTPHRRCSQSGAASGVTAEDAAAWLIPDGGEGGDLYSHLWHSVADGDAGALVAGSGAGTSFCGSNVVTAPALLPSPEEEPSSSSAGGQAQLFKRGRDELGGRREDADDCEAVNETRPQRPAAKRRTRAAEVHNQSERKRRDRINEKMKALQELVPHCNKSDKASILDEAIEYLKSLQLQVQIMWMTTGMTPMMYPGAHQLMPPMAMGLNSACMPAAQSLSQLQRVAPFMNQHLPNQMPRVQSPAIDSLNVANQMQNNGVCGEPRNPFLHPDDTLTAASQLPDMFPYASQKAPQNQNHQLLPNTDMPASGPCLPSFADGTGT >SECCE2Rv1G0123770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:827699938:827701587:-1 gene:SECCE2Rv1G0123770 transcript:SECCE2Rv1G0123770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVKCDANYTALTPLSFLERAALVYEARKAVVFGEKEYSWRDTRERCLAGASALARLGVGRRDVVAVLAANTPAMYELHFSVPMTGGVLCTLNTRHDAAMVSVLLSHSEARVFLVESQFLIVARDALALLAEAGASLPLLVTIADGDGIGSDGVPEYEALLRSAPRGFEIRWPADECDPISLNYTSGTTSRPKGVIYSHRGAYLNTLATALANEMPTMPVYLWTVPMFHCNGWCMVWATAAQGGTSVCMAGSLAPKTVFEHIVRHRVTNMGGAPTVLSMLVNAPASEQRPLPAKVRISTGGAPPPPHILAKMDELGFNVVHGYGLTETYGPATLCVWKPEWDALPAAERARIRARQGVPHVLLEGLEIKDPATMESVPSDGRTVGEVMLRGNTVMSGYYKDPAATAEAMSGGWLRSGDLGVRHPDGYIQLKDRSKDIIISGGENISSIEVEAALFGHPAVLDAAVVARPDEHWGETPCAFVTLKDGASATEADMIEFCRTRLPRYMAPKTVLFAELPKTSTGKTQKYLLREKARAMGSLPKLRRSKL >SECCE4Rv1G0234960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:309402353:309404161:1 gene:SECCE4Rv1G0234960 transcript:SECCE4Rv1G0234960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRNKKKKAPPAAAPSPSHVAVNGNEPHSGDAIQPPMPNPVGAVDGDEPPPESAAVANVEHAAPPMPTPVAAPDGDKSPVGSPAAANRDGAPPSRPTVETSNSDEMPSIEAANGGGPSANTGSEDRVPEERRDSSPEHEVEKLKALNGTLVKEALVTRGLVATLTTQVDQRSADAGALADLERVVFRAALVAPLQAAAEDGMALRRHLADAQQSLQVAEPRVARETDARVEAAARLEATQVDKLEVEELLRGKQAEATSASENVTQLEASVGELAGESTELCAEKGELQKQLGEMSATAHSVNALKAQVEGNLHDYKKSTEIWRQEMMEKLDEKSRQLDGLRSSEAEMEVKIQSLEAKLSAAQARNRELQLEMETSKTELHATQKEVEKLQTDVANATSKYNIVVADVDSFRNEINKMRKTKEAAAAAFGTEKTKLDKELDGLKRRVEKIQANKDVAMASVQQKDAEAVNLRAELNGLRGTIADQLTLCDDPKNKYSSLLAVKVALLKALGKEKAEGDTLRLTLAELESYNAEKEREIGVLKAEAENKEGQIGGLNGKLQELHLAVAEARQRGKSIAWTWLCPTTTVIAAASFVYAARSR >SECCE3Rv1G0179600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:544841951:544844410:1 gene:SECCE3Rv1G0179600 transcript:SECCE3Rv1G0179600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAVFHGPFSYHRAPPSSPTATSLRRTRLAAHSSPSHPNSRLLSDAQDDDLRSHAAALQDCAVRRALRCGQELHARLLRSARQPDTFLLDSLLNMYCKCGRLADARKVFDGMPHRDVVAWTALLSAYTAAGDAEEALDLFCQMNQQGLAPNGFTLASVLKACSVMSSRSKFMRQVHGQVVKLQGLDDPYVGSSLVQAYASHGEVDAAETVLLGLPERSDVSWNALLTEYARQGDYRKAMRVFHKLSEFGDEISKYTLPTLLKCCVELGLAKSGQALHALVVKRGLETDNVLNNCLVEMYSRCLSAQEAYEVFIRIDEPDVVHCSAMISSFGRHDMAWEAFDLFVKMPDMGVQPNQYTFVGIAGVASKTGDANFCRCVHAYVVKSGLAMPKLVADAILNMYVKVGAVQDATVAFHLMHEPDTFSWNTFLSGFYSGSSCEQGLRIFKQMKCEGFSANKYTYVGVLRCCTSLMNLMYGTQVHACVLKSGLQSDNDVSRMLLDMYAQSGCFTSACLVFDRLEERDAFSWTVIMSGYAKMDEAEKVMEYFRSMLRENKRPNDATLAVSLSVSSDMASLGSGLQLHSWTIKSGWNSSVVSGALVDMYVKCGNITDAEMLFYESETCDQVAWNTLICGYSQHGHGYKALDTFRRMVDDGKRPDDITFVGVLSACSHAGLLDEGRKYFQLLSSVYGITPTMEHYACMTDILSKAGRLAEAESLIKQMPLIPDSSIWRTILGACRIHGNTEIAERAAERLFEVDPHDISSSVLLSNIYADLGRWGDVTRLRNMLLDHGVKKQPGCSWIEVNGQIHVFLSQDGCPKY >SECCE6Rv1G0412350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614054601:614055707:1 gene:SECCE6Rv1G0412350 transcript:SECCE6Rv1G0412350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQSVQVLASSLGALPPEFVRPEHEQPRATTFRGAAPPEIPVVDMSSPDAGRRMAKAAREWGIFQLVGHGVPAGAVAELQRVGRDFFALPQEEKQRYAMNPAEGKTEGYGSTLRKADLEGKKAWADFLFHNVAPPAAVNHAVWPESPEGYREANEAYCGHMLRLTRELFERLSAELGLEAGAMAEAFGGDDVVYLQKINFYPPCPQPELALGLAPHTDMSTLTVLLPDEVQGLQVFKDGCWYDVNYVPGALIIHIGDQIEIMSNGRYKAVLHRTTVSREKTRMSWPVFVEPPPEHVVGPHPQLVADEFQAKYKAKKFKDYKYCKINKLPQ >SECCE6Rv1G0404130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:512007778:512008958:1 gene:SECCE6Rv1G0404130 transcript:SECCE6Rv1G0404130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAALCFAPTLRAAKFGSCEFPELTASPEFPRLKQLTLCRVTISEDSLHGMLAGCIALESLSLDRNVGIGRLCDSSPTVRRFSFSPHRDKQGIVTCQELLVQDVPRLERLILHDSHIGPATIRITGAPKLELLGLLSHGISTLKPGATVLQKMIDVSLTTIMHKVKILVVDSIGPNLDAIVGLLKCFPFLERLYVISHPQEDMNNVRKYDPLDPVECLELHLKKMVLKNYDGNRTQVIHFAQFFVLNAKVLKEMEIGVVNRCNSKWMRFQRKRLQVENRASRDAQIELKWDTKKSFKYHGFSEADPFDMSSC >SECCE3Rv1G0168270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:218234059:218237752:1 gene:SECCE3Rv1G0168270 transcript:SECCE3Rv1G0168270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll b reductase, Leaf senescenc [Source: Projected from Oryza sativa (Os01g0227100)] MAAAVVHLSVHGRLRRSPEAVVSQACHRPSLLRCRAFKQEAGGDDEKPSSPPNEPRKRRKGPLYTLKAAMQGLAGSRSAAAEVYGGQYELAVEKAEEIFFSVATQVGRYVITMMSSGVVLAVGFQLSGGDSQTDALIWYSWLGGIIIGTMLGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDHVVIASRSPESVLQTINELEENIQEGLSVAKKKQRETLLQAKVVGTSCDVCIPEDVKKLVNFAVGELGSIDIWINNAGTNKGFRPLVNFSDEDITQIVSTNLVGSLLCTREAMDVMQYQEKGGHVFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLMKESRRSKVGVHTASPGMVLTDLLLSGSSLQNKQMFNIICELPETVARTLVPRMRVVKGSGKAVNYLTPPRILLALVTAWVRRGRWFDEEGRAVYAAEADRIRNWAESRTRFSFTDAMEMYTENTWVSVFSLSVVCAFIMLSSSGGPFPGT >SECCE5Rv1G0373750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861094474:861096126:-1 gene:SECCE5Rv1G0373750 transcript:SECCE5Rv1G0373750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPVPSVQAMVAATGGANVPPRYLRPAEAVAGDSEARIPIIDYQRLLLELELDGHGEESARLHRACQDWGFFQLINHSVPDDVVEGMKASIQQFFQLPMETKKQFALEPGQLEGYGQLFVFSEDQKLDWADILYLYTQPHESRNTKLWPDQPANFRSTLDRYSGAVKDVSDSLLATMAKNLGLKREAIAEKCIRGMQSIRMNYYPPCAQAEKVVGLSPHSDADLLTLVLQVNHVQGLQIKRNGSWLPVKPVSGAFIVNIGDMFEVFTNGRYKSIEHRAVVDPKEERLSVAAFHLPNIHATIGPLKEMTAHEEDGYKTLDHESFMKLFFGTKLEGKSFLERMKLN >SECCE6Rv1G0425150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:704750698:704753087:-1 gene:SECCE6Rv1G0425150 transcript:SECCE6Rv1G0425150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSRDDRLSALPDDILVNILDRLNVPEAARTSILARRWGQLCAKMSRLIISVQDFLPKGVLHNNVSVDELVRTNAAVVKATESVLARRNPGEHTIRLLSTTFYLGDDAPLSIGRAVGHAMATHLVEVAKFNVRTGKDDIAGIDDDELVTCGRKFMVFLDACSNAFGGLTSLELQNLRFGELDISSILVTCKLLKHLHLYYCDCGKHSTLQVEHAHLNELCIVQCLLQHVKLSWLPQLTQLVFDAWIDYDDPLFIGHVPLLKSVSLTNVALSFNKMFKLSEFLSSTSVQNLKLGFESEKIWVQPECPTQSLASVFCQLRFVNLVDLPEGYDLTWTMFILEAAPLLKDLYITVWDHACSMEMDEEKRKKGLYSEDKGVEWDSAAADFQHRSLVTLVICGFESEDYFVNYVRLVMAAAVNLEDVFLYSRLECDNCEEKKIRFPWTKRQRISLKKRITAGIESFAIIHSNKMVRDDHQAKILYPECSRFDTSC >SECCE6Rv1G0381280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:31410469:31424134:-1 gene:SECCE6Rv1G0381280 transcript:SECCE6Rv1G0381280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSTSCRIAGTEVPITGSDKLRWIDLTVPSPPSPAPASPADPFVSVPPGAASGCHVVRIHEEHQNVLEVIELCALKEFPSSGLRLVFQEALCPFAFMCESEGARRGESVYLLYVLTISGVAILCNLRSPFSYVSGSILSQNDIIGFNLQTHTQSPKVTAVKAKSGCLVIGRQDGSICCYSLGKLAPSSPGFSNELCDDAGIGRLWTLMSRTKALGPVQDIDTATVNERELLFVLHLDGSLRVWDISSQTKLVNYNVHLDDFEGQPSRLWVSDADDDQELISLAVLHQGTVVPACDHIGVYAFSFGAGERFLLSPEPSVSAVPLLEGKIVDLKISMEKLWILKEVGPMLYEIVQYNSDSEEICSYVLQEDAISEQMFQSSESALDDLVWTADSVFSSMKEHSFSFISSMFLRRLLQPGVNHCSALRKTLLEYKRFLSDSEYQSLTTSGLRKEILSIIEQEGNSQVASSTVYHWKKFSARYLHNWCWNNRPYGLLLDTNNDVFGLIRKGSFSLFRCLEGVEQLIYGSSDDLGNLIGLGMNLSNDTDGETLIEVLRCMGHINHLLGRSSAAIYYESLISSVISPDEVTSQILKILESGFSPQSSSPLITLFGTDAYVERRQTAHKSQRKFSVEMLLSFHKLQSRSTSWSAVFDVIDKFMKCLDTKVTTQEFELRRLCNVNSALVVQATSQVARTMFEAAFDLFLFLSYLVGVGGQVSLLQSDVARIKLQLFPMIQDILGQWIVLHFVGISPTTPPTIEDFSYQLSSLQLGIADELSLHRKLGSSDFTLACLLDFPKSSEGDDLSPCFPSPTEVINLVRRFSSSIMCRNSVDRVDSFLGSTINLAAVFIRHGQYEAAQSLLGILETYLGYARASQPDQDIDIACLARLHLSGFCLLMLAHDEANIVLRESKVQEAIRCFFRAASGQEAPKALKKFSSETGFQISGECRSISLWRLHYYEWAMQIFEQNSMREGACQFALAALEQVDSIDDLDNGSEVEDLPETTAMIKGRLWANVFKYSLDLKNFRDAYCAIISNPDDDSKYVCLRRFIIVLCELGETKVICNGEIPFTGLVEKVEQELFWKAERSDLSSKPNLYKVLYSFEAYRNNWRKAAAYMYRYFVRLGREGDAGGGRQLTHVLQEKLHALSTAINSLQLVEPSCAWLDSVCEADDQISPSKKPRNLLMENSAFGTDSELSTLQFCVDIEILEKEYTLTEALYMLSAVNSKSKFSESYSIEALTDILVNENLYDLAFTIVLKFWKESGMKRELERVFAAIAQQCCPNRVGNSGRNLTDTQQLLLLPSSEDDGWDGNNKTIAVAHQVQGSCQWETLELYLDKYKDLHPRLPVIVAETLLYTDPEIELPLWLVQMFKTTKGGNRMISWGMSGKEADPAALFRLYTNYGRHTEAANLLVEYLDSFASSRPMDVLHRKKMSAAWFPYTTVERFWCQLEEMQSAGHSADQCDRLKKLLHGALMNHLQQVVVDSEDVLSSVGGRQGVESQSS >SECCE3Rv1G0160640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:106328464:106335230:-1 gene:SECCE3Rv1G0160640 transcript:SECCE3Rv1G0160640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP90D1 [Source:Projected from Arabidopsis thaliana (AT3G13730) UniProtKB/TrEMBL;Acc:A0A178VDZ8] MSVSWPAPSTCAAAGALLAAAWLLCFRLLPAVARPRRRAMKPEAKLPPGSFGWPVVGETLDFVSCAYSPRPEAFVDKRRLRYGSAVFRSHLFGSATVVTADAEVNRFVLQSDARSFVPWYPRSLTELMGKSSILVINGSLQRRVHGLVGAFFKSPQLKAQVTADMQRRLAPALASWRAQGPGARLRIQDHAKTIVFQILVRGLIGLEAGPEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKRMARVIQRIIQEKRRRRALDGGGGEAPPARDAIDVLMGDGSEELTDELISDNMIDFMIPAEDSVPVLITLAVKFLSECPLALQQLEEENMELKMRKTDLGETLQWTDYLSLSFTQHVITETLRIGNIISGIMRKAVRDVEVKGHLIPKGWRVFMYFRSVHLDDMLYEDPCKFNPWRWKEKDMSTSSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKGGMPIRVTAKD >SECCE6Rv1G0388930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:143914243:143917486:-1 gene:SECCE6Rv1G0388930 transcript:SECCE6Rv1G0388930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSAPPAAASHRVSPSHRPFLALKKIAASSGRAVAPAGLPSWRAAVATAAASSNRAAPAGTIVNPVDVPLLSFSEIAERLDAFQASGARSQNYVAMYSSIFGGITTDPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELEQHIDRFLNSAHMAKIPLPFDRSTIRSVLIQTVCASKCSQGSLRYWLSVGPGDFQLSSSGCRNSALYAVVIESPSLPELSGCKVITSSIPVKSQQFAVMKNVNYLPNALTKVEGEENGGFTGIWLDDEGFVAEGSNMNVGFVTPNKDLLMPRFDKILSGCTAKRVLALAEQLVENGMLSGISLRNVSVQEGKEANEMMLIGSGILVKPVVQWDDRMIGSGKEGPIAQTLFNLILEDMRSGPPSVRIPVPY >SECCE1Rv1G0059830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707940891:707945482:1 gene:SECCE1Rv1G0059830 transcript:SECCE1Rv1G0059830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRARSLIRWLRHHRSRRVSSASSSSSSHLTNTNTSSATTATSDLRARSLPLPQDDEADREQEEEEVAEGPESDPEGYIVLEPEGEAGTLRVVVPRAPARTNPPPPRMDPGKKTSESEFFTEYGELNRYQVSEVIGKGSYGVVAAAIDTQTGERVAIKKINDVFDHVSDATRILREIKLLRLLRHPDIVQIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKLKICDFGLARVSFNDGAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLTGKPLFPGKNVVHQLDLMTDVLGTPSAESLAKIRNEKARRYLSNMRKKPKVPLTKKFPGIDPMALHLLERLLAFDPKDRPTADEALTDPYFNGLANSEREPVAQPISKFEFDFEKRKLGKDDVRELIYREILEYHPQMLQEYLRGGDQMSFMYPSGVDRFKQQFAHLEKGGAKGEKSSPQLRQNASLPRERAIGNKHGDSEYQVKLNTGEKPVHASVTDGISKPLMSARSLLKSETMSASKCIGEIKNKDDEYESVDAADGVSQKIAQLKT >SECCE7Rv1G0468520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:99122771:99123225:1 gene:SECCE7Rv1G0468520 transcript:SECCE7Rv1G0468520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSMSTVALLLLLACCCATTTVRAKEWIVGGDKGWSFGVAGWENGKRIQPGDTLVFKYAPGRHNVVKVEVDGYMECKAPDGAEAHSSGNDTFVMPGGKAYFICTFPGHCEKGMRIGIPPR >SECCE4Rv1G0242710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:487898062:487903289:-1 gene:SECCE4Rv1G0242710 transcript:SECCE4Rv1G0242710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPPGAWDLAELENDAAAAPAGGQAPAGGIANAAGRQECSVDLKLGGLGDCGVAPDSRGLGKAPAEAASSASAPSAAKRPRASSGGGGGSGAGQQQCPSCAVDGCRADLSRCRDYHRRHKVCEAHSKTPVVTVAGREMRFCQQCSRFHLLTEFDETKRSCRKRLDGHNRRRRKPQPDAMNSASFMTSQQGTRFPSFPTPRPEQNWPGIIKTEENPYYTHQLPLGISNRQHFGGSTSSYAKEGRRFPFLQEGEINFATGVALEPSVCQPLLKTTAPPESSSSSSKMFSDGLTPVLDSDCALSLLSAPANSSGIEVGQMVQQTEHIPIAQPLFSNLQFSSSSWFSRTQASTGTVSATGFSCPVGENEQLNNVLSSDNNDLNYNGIFHVGGEGSSDGAPPSLPFPWQ >SECCE7Rv1G0502610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:698588438:698588923:-1 gene:SECCE7Rv1G0502610 transcript:SECCE7Rv1G0502610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEALSGLCRSCPAPLTRGHLQKGRQLETVEMKVRIDCDGCVSKIRKTLEGMDGVTGIDVVPRENRVTVTGYVDAAKVMRRVARKTGKRVEPWPYVPYDVVAQPYAPGAYDKRAPAGYVRDVMANPGGANASFARATSTETRYTGAFSDENPNAACAIM >SECCE6Rv1G0418140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:661267154:661269135:-1 gene:SECCE6Rv1G0418140 transcript:SECCE6Rv1G0418140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHHIPILFLLLLSSFCESDDQLTRAKPLAHGDTLISESGDFALGFFSTTSSNKSFYLGIWYHSIPGPRTVVWVANRDNPITTPSSAMLSITTNSDLVLSDSKGHHLWRATSYTAPGVAEAYALVLNSGNFVLRLPNGTDIWQSFDHPTDTLLPTMKLVLSYKAHAVERLIAWKGPDDPSSGDFSCGGDPSSPTLQRLIWHGTMPYSRSNLVNGVSVSGGTYLSNASSIVYETNIKLGDEFYYMFTVSDGLPFTRLTLDYTGTLKSLNWNNHSSSWTVLFERPKAACDVYASCGPFSYCDLTSTVPACKCFDGFEPNSLNFSSGCRRTEDLNCGKQSQFITLTGMKVPDKFLHLKNRSFDECAAECSSNCSCTAYAYANLSSGGANDDPTRCLVWTGELIDAGKSTNSGENLYLRLAESPVDKSTSLKVLLPIITLLLLLTCIALIWTCKLGAGKWRKKENQKKLM >SECCE2Rv1G0142900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943099108:943102126:1 gene:SECCE2Rv1G0142900 transcript:SECCE2Rv1G0142900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGHTRLDVRRQQQHAAFCCSAPAVAAFVGLCLVAVWMASSTLVTPADFAPFQASLWRRPAAPADGNAPPAVVREEDETADDQEPPVLPERQQAGSTEKAKGADEQQGAAEKPEEKPEEKPETKKEEAEVFPDAKDAELLNQTAMEQGSWRTQAAESNTETKERTNASLSVPAASHSWKLCDVEAGADYIPCLDNVEAIRKLRSNKHYEHRERHCPEEPPTCLVPLPPGYRSPLRWPTSRDQIWYSNVPHTKLVEYKGHQNWVNVSGEHLVFPGGGTQFKHGALHYIDFIQEAKKDVAWGKRTRVVLDVGCGVASFGGYLFERDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSGVFDVVHCARCRVPWHIEGGKLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWEAMSALTRSMCWKLVNKVKDRINRVGVAIFQKPMDNRCYDGRSAVNPPFCGEYDNPDAAWNVSLQSCMHKLPADPAVRGLRWPEEWPLRVERPPYWLKSSETGVYGKPAPEDFQADYEHWKRVISNSYMEGLGIDWSAVRNVMDMKAVYGGFAAALRNMKVWVMNVVPIDSPDTLPIIYERGLFGLYHDWCESFSTYPRSYDLVHANHLFSKIKKRCELLGVIVEVDRIVRPEGRLIVRDDMETIREVESIVKSLHWEVRLSYSQENEGLLFVQKTMWRPNTSSS >SECCE6Rv1G0420890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680763251:680765887:-1 gene:SECCE6Rv1G0420890 transcript:SECCE6Rv1G0420890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSETRSNSNGERQQEQQQQVCVTGAGGFIGSWVVKELLIRGYRVRGTARDPADHKNAHLLALEGAKERLFLCRADLLDRDNLIAVFSGCHGVFHVASPISNTDPDLMVVAVEGTRNVINAAADAGVQRVVFTSSYGAVHMNPKRSSDTVLDESCWSDYEFCEQTGNLYCCSKMMAEITAKEEAAKRGLELAVVLPSVTIGPALQQKLNLGITHIIRYVTGAKKTYPNAVAAYVDVREVARAHVLVYECPDARGRYLCIGAVVHRADLVQLLGDLFPEYQIAAKCEDDGKPMARPYRFSNQRLRDLGLEFTPLRQTLYDTVISLQQQGHLPLPAVPGPERARL >SECCE2Rv1G0138010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920680977:920682576:-1 gene:SECCE2Rv1G0138010 transcript:SECCE2Rv1G0138010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVFIVGAGPAGLATAACLSQFAIPYVMVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKNLFVKYLDDYVERFNIQPQYLTSVESSTYDNHEKCWSIVANDMSKCTTVKFMAKFLVVASGENSAENIPMIPGLENFLGDAIHSSSYKSGKSYSGKNVLVVGSGNSGMEIAYDLATHGANTSIVIRSPIHVMTKELIRLGMSLAQYLPLNLVDKLLLMAADLIFGDLSRHGITRPKMGPMTLKSETGRSAVIDVGTVGLIKKGIIKVERSISKIKGNIVEFQCSKNISFDAIVFATGYKSTTNIWLKNGESMLNGNGLPIRKYPNHWKGENRLYCAGLARRGLAGIAADAKNIANDIKSVIYSMSS >SECCE7Rv1G0469050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:105136316:105139567:-1 gene:SECCE7Rv1G0469050 transcript:SECCE7Rv1G0469050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-permeable mechanosensitive channel, Regulation of plasma membrane Ca2+ influx, ROS generation induced by hypo-osmotic stres [Source: Projected from Oryza sativa (Os03g0157300)] MANVGDLANIAQLTGLDAVRLIGLIVKAAATARMHKSNCRRFAQHLKLIGGLLEQLRVSELKKYPETREPLEQLEDALRRGYLLVNSCQDRSYLYLLAMGWNIVYRFRTAQAEIDNYLRLVPLITLVDNARVRERIEYIERDQCEYSLDEEDKEVQEALLNPDPSTNPSIVLKKTLSCSYPNLPFNEALRKESEKLQVELQRSQSNMDMAQCEVIHHLLGVTRTVERSIPDESTDAKVTKKTNSKCTKVNEDSAKSYDDDSPKKQKDAYIAPRSSSPVPYNHDLVSSTASYSDEWHADLLGCCSEPALCMKTFFFPCGTFSRIASIAKNKPMSSGEACNDIMAYSLILSCCCYTCCVRRKLRQRLNIAGGCCDDFLSHVMCCCCALVQEWREVEIRGAYGGKTKITPPAHQYMEH >SECCE1Rv1G0040840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:566399557:566401608:-1 gene:SECCE1Rv1G0040840 transcript:SECCE1Rv1G0040840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKDGTLDAARRASAFVRGDDVVHKLFTELAYRYKDRAGGYTRLLRTRIRIGDAAPMAYIEFVDRENELREAKPATPPPPQRAPLDPWTKSRASQQWAGPKVSQNSKTEGL >SECCE3Rv1G0144500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:4523333:4524100:-1 gene:SECCE3Rv1G0144500 transcript:SECCE3Rv1G0144500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMLRRWSSFYGAFDSVDAAIEAADPEQFSRHVFQRARGDLVARLCNAADDDQAERICGILDDLMAESLETLRLVPSTPEVPISTELAQSVRALREHDSERVRLLARGIVSGWEASVEDDVAKVTLTPAKKKLPQPKATVGKQQDVSDAKTKRPPKIFGEPLAKKTAEIIKEASDPAAGFVDGDRRAGFCSEEKMEAAKRKLRQGYREAEDAKRRRKTQLVQAPKMMQPAPIRRCTSSMVKKTFAIRSQLPMA >SECCE5Rv1G0307240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:108684419:108687839:1 gene:SECCE5Rv1G0307240 transcript:SECCE5Rv1G0307240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISAGIRAPPQGAYVYVPGSRLLRVPVPQLAAGGVRRRRLGVVVAAASSAASPDELHARGRHLHGFPEKSLLWNLIKDVEPLDLSVVQRDVPPETVDAMKRTVSGMLGLLPSDQFRVVVEALWDPFFKLVISSIKTGYTLSNAEYRLSLERILELSDDETECKERDSTEYSHSDLSLGGSILRLSEDDEATNESEKRDDNLLSENMGGLDSLLNAQAKEHILQLQSRLDSMEKELHELKKKNSSLQMQQFAGEEKNELLDYLRSLSPDTVIELSEPSCPGVQEAIHSVVHGLLATLSPKMHAKPPPPSENMAGGTLNYGNGDDDRAELVEDVSLPFQPLISIPRDHLARLLFWCMLLGHYIRGLERRLELSQLLEMSSDTRL >SECCE1Rv1G0026420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:371026281:371027273:-1 gene:SECCE1Rv1G0026420 transcript:SECCE1Rv1G0026420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAARSTTGRRGFTMGRSEDATHPDTIRAAISEFLATAIFVFAAEGSILSLGKIYHDMSTAGGLVAVALAHALALAVAVSVAVNISGGHVNPAITFGALLGGRITLVRALFYWVAQLLGAIVASLLLRLTTGGMRPPGFSLASGVGDWHAVLLEAVMTFGLMYTYYATVIDPKRGHVGTIGPLAVGFLLGANILAGGPFDGAAMNPARVFGPALVGWRWRHHWVYWLGPFLGSGIAGLLYEYVVIPSTETAAHAHQPLAPEDY >SECCEUnv1G0545960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:147985737:147987968:1 gene:SECCEUnv1G0545960 transcript:SECCEUnv1G0545960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDYHQQSLIGGGAAVHGHGGGGGATVEAALRPLVGGSHGWDYCMYWRLSPDQRFLEMAGFCCSAEFEAQVASLADVPCSIPLDSSSVGMHAQALLSNQPIWQSSGGAPGPDLLTGYEAAASSGGEKTRLLVPVAGGIVELFASRYMAEEQQMAELVMAQCGGGGQGWQDTEAQAQGFAWDAAAAADPGRLYAAASLNLFDGAGGSGSGEPFLAGVQEDGAAGVGWQYAAESSEPPSTVAQEHQQLHGSGVGRADSGSEGSDMQLGDPDDDVDGEETQRGSGKDGCGKRQQCKNLEAERKRRKKLNDRLYKLRSLVPNITKMDRASILGDAIDYIVGLQKQVKELQDELEDPNPPGVAGGDSKAPDVLFDDHPPPGLDNDEDSPQQQPFTTAGGKRPRKEEAGEEEEKEAEEQDMEPQVEVRQVEGKEFFLQVLCSHKAGRFVRIMDEIAALGLQITSVNVTSYNKLVLNVFRAVMKDNEAAVPADRVRDSLLEVTREMYGGGGAWSSPLPPPAPANAKLDGMDGQAVPAAAGEHYQLHHQVLGGYHHHQHLQYLAMD >SECCE2Rv1G0081460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:140182399:140183915:-1 gene:SECCE2Rv1G0081460 transcript:SECCE2Rv1G0081460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTHAVVALLAVLALASGAASQAPAAGPAASPDCGPALTGLTGCLTYISPGSAQAKPPKECCAGVKTALASPASVACLCDAFGKDYGIPLNLTRAKGLPAACGGNPAALSNCSLKLPGGAPGASPTEAPSPTSGSTPATVSPSPAKSAATRSPVSAATLILAAVLAPLLSYYYL >SECCE4Rv1G0291270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876264512:876266035:-1 gene:SECCE4Rv1G0291270 transcript:SECCE4Rv1G0291270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQAGSHADPAASKFTLPVDSEHKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLVMLSAPTVFCMAVIDDASGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIQKCGATPFVAWRIAYFVPGMMHIVMGLLVLTMGQDLPDGNLASLQKKGDVAKDKFSKVLWGAVTNYRTWVFVLLYGYCMGVELTTDNVIAEYYYDHFHLDLRAAGTIAACFGMANIVARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCIWLGRASALPASVTAMVLFSICAQAACGAVFGVAPFVSRRSLGIISGLTGAGGNVGAGLTQLLFFTSSQYSTGRGLEYMGIMIMACTLPIALVHFPQWGSMFFPANADATEEEYYASEWSEEEKGKGLHIAGQKFAENSRSERGRRNVILATSATPPNNTPQHV >SECCE3Rv1G0152380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:47185942:47187825:1 gene:SECCE3Rv1G0152380 transcript:SECCE3Rv1G0152380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFPVAGGRSVSLALFADVSNSRELLDLMQSGKLEPEAAFINASLVPDVFPVLAAAHKALLSKSRESLTTRTLHSELVYNCSGSKHITESLKRCGIADDTQYILAARFDASDEEMKALEKLISGTEIELSELETRADQPKILKQYKITPQELSISTLPEAIVCRIAARDAL >SECCE1Rv1G0039400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:547993028:547993978:1 gene:SECCE1Rv1G0039400 transcript:SECCE1Rv1G0039400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHCESMLAYAAAAGRRAMLVDPRRYRPNVEVAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKPVRSMAEADTASPNHGAAVFSHRFHGPVRPDLLLEGMVGNPAELGQPASAEADKPAGAADGTTIDLALLYSKFLSQQPPAEQCAVVPESADTSSGSSTEMSPPTLPGPSQHGLGEICGPASSTEPSATTMLQCADARAHALGGFNFSVDQSCYDSLGLPTDGGDLTMLPSAWDQEAKYEPFSSLPDQEDAMSLHEGVPAGDDVWSKVLGCQGLEAALSAGLDRC >SECCE4Rv1G0216350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10499963:10501660:1 gene:SECCE4Rv1G0216350 transcript:SECCE4Rv1G0216350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESRKLLLLAPVLLCCFCFVATSEAQSHIGVNYGEVADNLPPPDATARLLKSTAITKVRLYGADAGLIRALAGTGISLVLGVANGDIPAIAADPNAAAGWLAANVLPFVPASTISAVAVGNEVLESGDAALAAALLPAMQNLRAAAVAAGDGAAGIKFSTVNTMAVLAQSDPPSAGAFRTEAAAQLQGILGFLSRTGAPFMVNPYPYFAYQTDPRPDTLAFCLFQPNAGRLDAGSRIRYANMFDAQVDAVKSALSRAGYGAVDIVVAETGWPTRGDPAEQGATVDNARAYVSNLVAHLRSGAGTPLMPGRPVDTYLFALYDEDLKPGPASERAFGLYHTDLTMAYDAGLTTSSSSSGGGAATPTPATPSKGWCVASAGATDAQLQTDLDYACSQVGVDCGAIQPGGGCFEPNTVRAHAAYAMNQLYQAAGSHPWNCDFRQSATLTSTNPSYGSCVFTGGQ >SECCE6Rv1G0399350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:436910046:436912978:-1 gene:SECCE6Rv1G0399350 transcript:SECCE6Rv1G0399350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFAESTAEPPLTDSYYALLRGGNKVDECASAPPPGCQAPPVSECELPMIDVGCLTTGGGGTEERAACTAAIASAAAEWGFFQVVNHGVKQELLEAMRREQVRLFRLPFETKATAGLLNDSYRWGSPTATSPRQLSWSEAFHVPLAGISGSPCSYGELTSVRDVTQEVAKAMSKLANTLARVLAESLGHTAGQRFPEGCDERTCFLRLNRYPPCPFSPDAFGLVPHTDSDFLTVLCQDQVGGLQLMKGSRWVAVKPIPNALIVNIGDLFQAWSNNRYKSVEHKVVTNATTERYSVAYFLCPSYDSPIGACEEPSPYRTFTFGEYRRMVQEDVKKTGKKTGLPNFLL >SECCE4Rv1G0254330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:635029174:635037094:1 gene:SECCE4Rv1G0254330 transcript:SECCE4Rv1G0254330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRDDDDDEVEEEEEDEEEAYDLDDEEEDEGDDYEEEARGRGKAASRSRAPAGGVRKRSRQDNFIDDSAIEDDDEDEEDDGGSRPRKKGGGGGVRGFFDEEAQVDEDEEEEDEGEGEDDFINDAGADIPDDDAGRGSRSRHSIPMRDEEEDIDEIERQVRERYARSTHIEYGEEAADVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKFIDRTDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYSSAKITLVPIKEMADVLYVESKNVDLARDTWVRMKLGVYKGDLAKVVDVDNVRQRVTVKLIPRIDLQVLASKLEGRVVAKKKTFLPPPRFFNIDEAREMHIRVERRRDKDSGEYFEMVDGLMFKDGFLYKPVSIKSIHTQGIQPSFDELEKFKKPGDDMNGDMSSLNTLFSNRKKGHFMKGDAVIVVKGDLKNLEGWVEKVEDTTVHIRPKISDLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGTTGMVVKVDGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRTSAKDRFNNMVATKDVVRVVDGACKGTQGPVEHIHKGILFIYDRHHLEHAGFICAKAQACILVGGSTGGRRGNGMDPADARLGALRSPASILQSPGRLPPRGPQMNYGGRFGGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGVLVRVELDSLMKIVTVKRDDIADTPTVATPFREPRFSLGSETPMHPSRTPLHPFQTPMRDPGATPIHDGMRTPMRSRAWAPMSPPRDNWEDGNPDTWGSSPAYQPGTPPARPYEAPTPGSGWANTPGVSYNDVPTPRESNYANAPSPYVPSTPVGQPMTPNSAAYLPGTPGGQPMTPGNAGMDIMSPVMGGEGEGNWALPDVLVNVLAAGDEGPGVVREVLGDGTCRVALGSSGNGDIVTVLPTELEVIRPKKSDRIKIMNGTFRGFVGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAA >SECCE4Rv1G0238090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:368132114:368135007:-1 gene:SECCE4Rv1G0238090 transcript:SECCE4Rv1G0238090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTDEKAKMESLTSPEAFVEGGVQDACDDACSICLEAFCESDPPALTGCKHEFHLQCILEWCQRSSQCPMCWQPISMKDPTSQELLEAVERERNIRTNQTRNTTIFHHPAVGDFEVQHLPVVGNDAELEERILQHLAAAAAMGRSHQHGRREGQRNRPGSHGRPQFLVFSAHPNTPAGSVSSSSAQGEGADDLRILVPRVGDVPPHANPIEEAGNQSPGVLTYDAEQDAVFSSGNSTPVSSPRFFNRRHSSGQPTPVGNDRAGPSDVQSFSDSLKSRLNAVSSKYKESITKSTRGWKERLFSRNSSVSDLGSEVRREVNNGIASVSRMMERLETRGSGRPSYSPTTSTSEVFLTTEPSNGRVIESNSNTTTSTSNTSTPCVTTSGSN >SECCE6Rv1G0432580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:752602902:752603162:-1 gene:SECCE6Rv1G0432580 transcript:SECCE6Rv1G0432580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHRRQPSRALPLDFDAVGDEPVGAASKGAASTRGPGAGGRSDAAKAGQACDGQGKKLPPATGGGRTPAPEGAGKGQCDGNESR >SECCE3Rv1G0210410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943676123:943680198:-1 gene:SECCE3Rv1G0210410 transcript:SECCE3Rv1G0210410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVAANQMRLYDLPSKLLCRVLNVELKAEADTDEVYAQVMLMPEPEQSEATTTTDKSSPAAGGATPARPAVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNIASSVISSHSMHLGVLATAWHAINTKTMFTVYYKPRTSRSEFIIPYDKYTNSVKNIYSIGTRFKMRFEGEEAPEQRFTGTIVGSDNLDQLWPESSWRSLKVRWDESSTIPRPDRVSPWEIEPASSPPVNPLPLSRAKRSRPNVLPASPESSVRTKEGATKADMDCAQAQRNQNNTVVPGQEQRSNKLTDINDFDATVQKPMMWSPPPPNIGKNNPLTFQQRPSVHNSIQLGRRETDFKDATSGAQPFGDSLGFFMQTSFEEAPNRLSSFKNQFQDHSYARQFADPYLFMHQQPSLTVESSRKMHTENNELQFWNGPSTVYGNSIDQAQDFRFKEHPSNWLSPQFTRAEQPRVIRPHASIAPIELEKTAEGSDFKIFGFKVDTASAGFNHLNSPMAGTHEPVLQTQPSVSLDHLQTDCSPEVSLSIAGTTENEKGMQQCPQSSKDVQSKSHGASTRSCTKVHKQGVALGRSVDLSKFVDYDELTAELDKMFEFDGELMSSNKNWQIVYTDNEGDMMLVGDDPWEEFCSMVRKICIYTKEEVQKMNSKPSGPRKEEGSADGDSATEKAHLPVSSDLNSSQLGCVKAD >SECCE7Rv1G0511530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803850815:803851366:1 gene:SECCE7Rv1G0511530 transcript:SECCE7Rv1G0511530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAFASFVGGCGGEQSASAIVAQDVGSHVLRIDGYSYTKRLGTGKFITSASFTVGGHRWCLIYYPNGMSGSYSDWISVFLCLDNTEVNEVKAKVTISLLDREGNPVPSYIRQSGVTRTFSVQDGNAGSWGYGDVIRRSDLEGWAYLKDDVFSLRCDISVEKESFSTKIIPVSEVHRGVVKQE >SECCE5Rv1G0375750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869398660:869410307:1 gene:SECCE5Rv1G0375750 transcript:SECCE5Rv1G0375750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISTSTTSSSGGGQAQMDMRLLKAATDGDSTSMKHYACHNPGILLGKTLRMNTCLHISSIHGHQRFSVDVVALEESLLTTVNLDWETPLLAAVRNGHVSLASFLLGRCHQLKMRQAILKQDRYGFNALHHAIRNGHEELALELITAEPSLSRAVSECKESPMFFALTRNFTRVYQQLVQDPLSSYTGGLHGRNCLHAAVRNGNPEIAKQILKNYPGLMITEDINKVTPTRHAVLFGKIDMLRVILSNDPTKGYEINSMGDPLLAAAAYRGRINAAQELLKHCPDAPYRQENGGTLLHRPVWDNQIKFVKFVLTTPLLHKLINMQDNTGKTALHYAVRKCDPELVSILLSHEDIDATVYDNKGVSAAWELKYVMENAKTLNWNEVLMLMLKADAQNATSLYNLHGKAKQQAIDAGRKDTKSLTKTYTTNISLECSSSVDGSEGLPIMSRKVAFQAFLISDTLAMCSSFAVAFICVIARWEDYEFLIYYTSFTKKLMWFAYVATTMAFSTGLYTVLASRLHWLATAISVLVALLPILTKLLGEWPVLKLRFRLGKTFNSDLLDMV >SECCE2Rv1G0107240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:647724961:647730130:1 gene:SECCE2Rv1G0107240 transcript:SECCE2Rv1G0107240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERSGSKKQELNSKKKLDKKLSFYTKVKDAVTSLQAKKAISKKKKQRSRQKKLKAYDLSALSEFLPQTASSQQQTEVKLNRKSKQALVQRESAQLNAVLNNAQFQLDPLAAIHQHLVSTQPPSSVKVDESAKSGKKSRKDKKRKKKKKNNALSTPQSMDI >SECCE4Rv1G0278060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:807054409:807058804:-1 gene:SECCE4Rv1G0278060 transcript:SECCE4Rv1G0278060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSINSKLKPVVGQEEAQEKPGRERGGWITLPFIAGSMLGLGLAVNGTTSNLLVYLLKEYNVESIDAAQITNIVRGSLNLVPVAGAVLADSYLGYFPVILAGAVINFLAFVLFTLTAALPSLRPPHCTSSSTVGCHHGSTGQLVVLYAAIFLLAIGTGGTRFNIATMGADQFGSTREQDTFFNWYFVFLYGSFIVGDTAIVYIQDSISWAMGFGVCLVASVFSLAMLLLGARYYQMPATKGSPYTDLARVIGVAVRKASIQVGTHAVVQYNVGDGIAVDSGSDGAPSKSLRFLNRAAMITTTTSNNSGGASGPSASRLCTVQQVEDLKSLLGVFPLWSSGIMVSVSIGVMLGMIILQALAMDRSLGPHFNIPAGAVSVCSLVAFIVATPVLDRAVFPLWHRITGTPASPLQRVGFGHVVNVAGLVAAALVERRRLARMRTHPGGTPMSVLWLLLPLSIVGAGEALHFPGNMAFYYLEFPKTLRSLATAMAPMLVALGFFSSTMFMDVVRRTTAWLPGNIDQGRLDNVYWTLAVAATLNFGYFLVCVWRYKYHNRGTRVAT >SECCE1Rv1G0036340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:508642868:508644421:-1 gene:SECCE1Rv1G0036340 transcript:SECCE1Rv1G0036340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAMGRCLRRRRPRASGRADRISALPDDLLLSVLRRLDVRTAVGTAALSRRWARLTRELPALSFSVEGMLPPRYHRWVQLCRGIGEAIFLQYGRHAMSRELMPNITRYERRAMRALTRSVESFLGTVARRRRVSRLSVEFFVTHNTGCLNQLIAEAIDAWGVDDLEAVAKPIYNQPAVHSFGGHGLCKEPSAARLQRLKLGGCVLPPLHDYGALTVFVLQDIPDSTPEAAYEGVFTSCLQLRVLHLISCRCSGSRAVVVDAPMSKIRELVVDRCRFRRIRLRALPSLESLASQESIVHLESASFPCLRKYNFTLCLGTRIQGFPQSLAQHLEIELLTFLRRMPGITNLVLRLTGPDRWVVPSSSPPPSLLPNLRRLLVADVPSSWDVSWPRLLLETAPSLETLHVHIATCIEEKPGGEIPWRRTKLRHHRLQEFVMVGYEGTKRQTYFVKFLMRVCTVLRHVTMFRNGHARYKGHWDWELVTHQHLWTDEEKDSTLKHIMDGVSPSAAQVQLVLG >SECCE6Rv1G0412390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614234034:614235140:-1 gene:SECCE6Rv1G0412390 transcript:SECCE6Rv1G0412390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLRAATARAASTVVAGASRRPGLLPVAAVGLSSSSSGPPSGGKRRKGQRRGEAKPQPQTSEIPSNKKANARPARDRKARPAAEEAQGPSGQSQEIELRKQPPEKPKRVVRWRCATGCGACCKLDKGPEFPTPDEVFADFPDHLELYKSMIGPDGWCNNYDKSNRTCNIYEDRPFFCRVEPKVFEEFFGVPRNKFDREACSACVDNIKMVYGQDSPELGNFKRVIREESSKHEASMNEIKLLDTTNTGT >SECCE7Rv1G0506580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:749981921:749985106:-1 gene:SECCE7Rv1G0506580 transcript:SECCE7Rv1G0506580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLRLTVWMVLLACGGTHAARLYPTNQQVAAHQTAASDQGYYGIIATMDVYNFNLGIEQYTVGSIILFDVGDGEPSSYSTIQIGWEVSPKMYGDSRTRLGALWTNDGLQETGCPNAQCGFQPAEGASMTLGGVIETVSQPKGLKQTITIVIFKDGVLGDWLLYYGLNQDDPALIGCFPKSLFTGGMANRAPSIRFGGYVQTHTTNLVPMGSGYLPTGDAMASAFMSNIQIFDQNGQATPLPQDSPSVVTDSNIYRATPIVNGRFSYGGPFQST >SECCEUnv1G0570960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:489033373:489033930:-1 gene:SECCEUnv1G0570960 transcript:SECCEUnv1G0570960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHLVMYLTRKSIMLLRKYLLVTEFQVSKCGSHIVKIRRDVLYPKRTKYSKYFKCRCRRGREPDGTQLGFGRYGTKSCRAGRLSYRAIEAAHRATIGQFHRAMSGQFRRNCKIWVRVLADLPITGKPAEVRMGRGKGNPTGWIARVSTGQIPFEMDGVSLSNARQAARLAAHKPCSSTKFVQWS >SECCE6Rv1G0404740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:523633582:523637443:1 gene:SECCE6Rv1G0404740 transcript:SECCE6Rv1G0404740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSVLAALLLVLVAGEAATTPYAGGGIRDGQLASSYDRIFSFGDSLTDTGNAAILPATAGGPFSHAPYGETYFHHPSGRASDGRLIIDFIVESLGLPQPTPYLAGETADDFRHGANFAVGGATALDPAFLKSRGIATFVPVSLSNETSWFNNVLELLASTSAYEEESNIMARSVFYFGEIGVNDYIFALFSNRTAELAASLVPDIVAVTRSALTAVIAAGARTVLVTGMIPLGCEPELLALFPGDAHGESGCITGFNEVAQLHNRALNGMLRELRRSHPGTTLFYADIYRPIADLVASPGKYGFGDRPLAAFCGGGAGPYHFDMAAFCGTPDSTESSDPSEFLSWDGIHFTDAANRFIAQDLLRGLYNASAMAEPQAALL >SECCE5Rv1G0325860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:470391502:470394670:1 gene:SECCE5Rv1G0325860 transcript:SECCE5Rv1G0325860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPHPPKEQLPSVSFCITSPPPWPEAVILGFQHFIVMLGTTVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLLQTFFGSRLPVVMGGSYTFVAPTISIILAGRYDNEADPHEKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVKLLSPLSAVPLVSLVGFGLYELGFPAVAKCVEIGLPELILMVAFSQYLPHVLHSGKGVFGRFSVLFTVSIVWLYAYILTISGAYKNVKLKTQAHCRVDRSGLIGGAPWISVPYPFQWGAPTFDAGECFAMMMTSFIAIVESTGAFIAASRYASATMIPPSIVSRGIGWQGIGILLDSFFGTANGTSVSVENVGLLAVTHVGSRRVVQISAGFMIFFAVLGKFGALFASIPLPIFAGMYCVFFAYVGACGLSFLQFCNLNSFRTKFILGFSFFMGISVPQYFNEYASVSGHGPVHTGARWFNDMINVPFSNKPFVAGLVAYFLDNTMHLHQSAVRKDRGYHWWDKFRSFKKDARSEEFYSLPFNLNKFFPSV >SECCE1Rv1G0024550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:329137134:329138093:-1 gene:SECCE1Rv1G0024550 transcript:SECCE1Rv1G0024550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLFYCSETYDDSIENEKSLQNARIIKEFWNDYYAKLLDIDKTKKTSNVDMYQDDVRKLLINEKKNQNGVFSDTELIDLQNQIANCTMKFDEDNLFKVTPNIVKFLINKDQPNAKQYLKGCLPSSLPMLKMFGIYTLEAIMIHVLGLVFNTLQESSAVKAARFIDQLNSTVREQARFLQYKAPGSGKVEAVLTSKVELVKDVVQPKGASKKEKKKKIQCHYDIGKYLLQFMIERNVIHISTDRGVTKEDPVLVLKKGQGYIENSCYVMCNLNINLLPIKLNLPMLCKPLDWQPAERGSDPDTLSDLIGGYLCKPTGDI >SECCE5Rv1G0347840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:670748583:670751400:-1 gene:SECCE5Rv1G0347840 transcript:SECCE5Rv1G0347840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Nf-Y-A subunit [Source: Projected from Oryza sativa (Os03g0647600)] MMSFKGHEGFGQASSGGGGGGAPVPWWTVSQMLYGDPGAALSSSSPEPEARRDAQFQVVPRAQGILDPPPATRSGAPEVLKFSVFQGNLEPGGNQGEKAMEHSPTIALQSPLPEYNGRFEFGPGPSMMSSAYPSPEQCYGLLTAYSMKSTPGGRLLLPLNAAADAPIYVNAKQYEGILRRRRARAKVERENQLVKGRKPYLHESRHRHAMRRARGTGGRFLNTKKEGNGKVDAPGGGKRAECAPPTRFATSPSSVIPGSRSSISSLSGSEVSSMYDHDDVDHYNSIEHLRTPFFTPLPIIMDGEHGASAPFKWATAADGCCELLKA >SECCE2Rv1G0096410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:438831066:438848623:-1 gene:SECCE2Rv1G0096410 transcript:SECCE2Rv1G0096410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEAPPEASKPADAKPVKPRPSAPTARIASSSVKKRADGLTSAQLNVSRSSLMKPISTVTAGSVQRRSSTGTAGKHQDNGSSVVAKKASPSLSDAAKRSKPVSVPTVSSRPSLEKRTSLVSERTKVDSLKKPAVKASPTSTLNKVASLTESSNGSSATASSRRMASNTSLHSPRSSSVTSSVTKKLGSRTSSADKASCRRKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRSLKQLRLTPKLEFVYLRDNLLSSLDGIEILSGVKVLDLSFNDFKLPGFEPLENCKVLQQLYLAGNQITSLASLPEFPNLEFLSVAQNRLKSLCMASQPRLQVLAASRNRISTLRGFPHLPSLESLRVEENPVLEMPHLEAALILLVGPTLKKFNDRDLNPTEAEVVKQYPAHTAICIRDGWEFCSPELAADSTFSFLLEQWKNKLPQDYIVKTAYVDNPFEEDPCHCHFSFTNLSSEGKLVLKYQWFLGGKTPTGFVPIPEELSEVYWPKSEDVGRCLKVECTPVLNDAEFPPIFAVSLPVCPGTGYPKVINLTVNGEPVEGNVLKGVPQIAWCGGTPGKGVASWLRRTWNGNAVIDGAEGMEYQLTIDDIDSSLVFMYTPVTEEGVKGEPQCTMTDFVKAGTPSVSNVHVVGDIVEDNTIKGNGKYFGGKEGLSKFQWFREKENGGFLLVLSNSTQYTLTKEDVGWCLKFVYTPINLEGQEGEAAFAITEAVRKAPPKVFDLKIIGEAREGSKVSVTATVTGGTEASSRVQWFKASSSEFVNDHELEALCTSKVSKTFRIPLGAVGYYIVAKFTPVGPDGEIGAPAYAILDDVVETLPPSLNFLTVTGEFSEDQMLTASYGYIGGYEGNSLYSWYLHETENDEGSLLSEASGLLQYHIKKEDVGKFVSFKCIPIRNDGIVGEPGVFMGNDRVTPGNPTLLSLELNGEAIEGTTMVANRRYWGGEEGDPVFRWVLTSSDGTRNEIEGATSSSYSLKCDDIGFYVSVSCEPVRIDGVHGSLVLTEAIGPIIPGPPACRSLDLAGSMLEGGRLTSHAEYTGGVKGNCIQQWFRLHDDGSKDELIADECLDLVLADVDCRIEVIFTPVREDGVQGSPKSISSDIILPGEPKGVSLVIPECIEDNGISPIKAYFGGKEGTGKYTWFRTTEKLDNLEPELVASCSEVVGETLLYKPSLVDVGFYLILCWVPTRCDGKTGDPLMVIADNPVMAAFPSVLDVNLKKTSSDLYSGVGIYYGGYEGSSLHKWYRESSDGTRICIDGAASSTYEVADADYNCRLLFGYTPVRSDGVIGEEKFSEPSDIIFPELLKIETLSFKGNQVERETLAVVEQIPRNETQEHIWGNYKKEIKYQWFASNESGVDLSFEPLATECSRSYRVRFEDIGRCIKCECIVIDVFGRSSESVSAVTSPILPGIPKIEKLEIEGRGFHTNLYAVRGTYSGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVAIYTPVREDGIEGRPVSASTEPIAVEPEIYKEVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRILEVNRKRIKVVKPGSKTSFATTEARGTYTPPFHVELYRNDQHRFKIVVDGDNEVDLMVQTRHMRDLVILVIRGLAQKFNSTSLNSLLRIEA >SECCE6Rv1G0392230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:255218952:255266126:-1 gene:SECCE6Rv1G0392230 transcript:SECCE6Rv1G0392230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDGEGQSDTHSTDANWLSSHEEHKFQSISLAKQDHNLDSADSSLNCMKGDHSFYASQELWSTGSLSSPIPNGFYSIIPDKKLKECFDAIPSLDDLYSLGIEGFKAEIILVDLEKDKKLSAIRQLCVALVKGLSSNPAAMIKKLAGLVCDFYKRPNPQLSPARTSSEEIFHFMENKGIQLLGQIRHGSCRPRAILFKVLADSVGIDSKLLVGISDEESHEHDDSPKHMSVVVMLKSMEFLVDLMRFPGQLIPFSFKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDENNRSFKVPSLREIMRRTSNSMEGKLRCSSHSEPNVANALCGRRGRKANEHQRTASSSPEHPLSRAHGRSNLGDRQYRDGVAVSRSDGASTSNSRRGRPKSMSTSPEIGDDFARAVKEMSESMRKNRHSRAPNDGSPGHSNDSQRKESVIDLNDDEVSVREPNVQEGSRRHIITQKALSLPSSPHRLGNHGSNLREPADFLTAEDLMSTWNKALQSSPFLNKPLLPFEEWHIGFSEIAVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTMENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMEMGSLYYLIHTSGQKSKISWRRRLKMLRDICRGLMCMHRLKIVHRDLKSANCLVNKHWTVKLCDFGLSRLLSNSAMSDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWAGKPPVQVVYSVANEGARLEIPDGPLGSLIADCWTEPEKRPSCQEILTRLLDCEYTLC >SECCE1Rv1G0056120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:684943081:684943455:1 gene:SECCE1Rv1G0056120 transcript:SECCE1Rv1G0056120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVDVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAAHDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE4Rv1G0246570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:545759640:545763110:-1 gene:SECCE4Rv1G0246570 transcript:SECCE4Rv1G0246570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRILVSASTGAMNSVLGKLASLMGEEFAKLKNLRKEVKFVNDELASMKDALEGLSYLDELDPQTKRWRDIVREMSYDIEEIIDDFMQNIGGTDKSDGFVGSTIRRLKTLRARHRIARQIEDVKKLVLETSARHQRYKIDTSSSSNVAIDPRVATLYENTANLVGVEEPTNELINLLRDGEKKLKVVSIVGFGGLGKTTLASVVHGKLKGEFTSCTAFVLVSQKPDIPKLLRGLLSQLGVEPSIHACEPHLIDMLREHLKNERYLIIIDDIWDVSAWDIIKCAFPENYLGSRVITTTRIQVVAKACCFHGHDNILEMKPLNDKDSRRLFFGRIFGSEEDCPRHLRDVSVEILKKCGGLPLAVVTISSMLASEDSIQKERWEHVRSCLGSLTNLTLEGVRKILNLSYRDLPLHLKTCLLYLGMYPEDYIISRYDLERQWMAEGFVGKENGQDCVKVASNYFNELVNRSLIQPVKFDGRGSVTKCKIHDMMLDLILLKSAEENFFTIVDDPGTIRGLDYKIRRLSIHLDSLSNGQAILPRNISMSHVRSVMFFGSSESTPDLVEFKLLRVLFINLHRATIDLSGLSKLYQLRYLRISRICLYQLPTQIRALQHLQTLDLGRYSNIPSDILPKKIPSDIVHLPYLMHLNVGSEVFDGIGNMKSLRHLCAFDLAVNTIDNIKNIGELTNLRYLFISCGPHLEDTEIRMDVLRSSLGKLRNLEDLIVSMRGCIDGLMPLSPPPTPYGLERFVMFRSCWFSNIPNWIGELRNLGVLQLQVCELLNDGVGILSELPVLIHLDIDIRRAVNKMIVICAGRGTFPALKHFCLQISSMTYLDFQAGVMPKLQRLEAMYNMSGSDQNGAAPAGIEHLLALEELSAAIGCEGATEPMKRSSESALRSAINMHPSHPCVRIRFFRRNLKFFPE >SECCE7Rv1G0494530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:584983935:584988427:-1 gene:SECCE7Rv1G0494530 transcript:SECCE7Rv1G0494530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLEQSSPARQPKPIMSPSLPPKLSMFGAKAGFVIPKNKIPGSMIIRKVEAPTVPKEENPKPLKRNTKWGPDLTSDPAVRKAKALAYQTRVEQITKELTSGALVIGGNDGSLFTAKGSSSDGADNPKENEQGKIKLLELEKREIIGEILQLNPAYKAPDDYKPLLKETKIPLPTEAHPGQNIIGILIGPERNTQKRLQEETGAKIRVYGTKKGSGEKAEVRQPDIHEAQAAYEDIYIHVSADSYDKVDAAVALIEMLLTPVSVNSTDTPETAIVSSAVTSSGVNPADIQQGQSTTSQAGLFQYQSHSAHWLSISQTSAPSIPSSGPTPSPLPNNSLQLQPPVGSFSMPPYIGQPPHMNFMPRNTPSFPGFQPSMPNNQQPSQQFQANPSIGPPFGQPPGNAYNPQPLPSSTAPLPVRPLQTPHASGGWPTFSPAMAQPQRPSQGAPSFMPMRPPISVSPVPSAPSQSTMSTSYGTQNPPRANFTPSATLHSRPPGGPQPFPSVSSHGPMSVQVLSSPVGAPPQQTYPPSMQMRPPMSMPPQMRGTLPPFPQAGPTPGNTQVAPSSRPPAGMHGLSFASSANTGYNQTSIAAFRPPRPATGDFTFRPHAPPSADHPASAGQMGAQANSPFGLPQAPPFRPANHSPISPVQGFQRPPDGSHMGQARMHAPPPHFHGTFPGNPPTHESPNGFRPFPPANPSNRMPFHFLPPQQSQFPNSNRQGGNPGGPNPIYDPFAPTAGGSKEGGGG >SECCE5Rv1G0362020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782233623:782235331:1 gene:SECCE5Rv1G0362020 transcript:SECCE5Rv1G0362020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRSPLAALWLAAAWALQLLAGAGAANPTWCIVRTGAPVKTVQAALDYACSPAGGADCAPIQASGLCYLPNTLAAHASYAFNSVYQRSRATPGACDFAGTATVTLTDPSYGSCTFPASAGAAGSPGSATSASRPPPGNRKPDLGSDDDDSDADEAHVATANAPLMSLALSCFVYLHLRWW >SECCE6Rv1G0433540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:760145923:760147548:-1 gene:SECCE6Rv1G0433540 transcript:SECCE6Rv1G0433540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMSCSSNLSLNAHPLLGSPAGRSLPAAPRLLLPQKPPAVSHGAYTTCRPRRPSSHVTSAVSADEAPPTKEKKADSFVGDMERGTLAEESGRSDGELTSRWREMHGCNDWNGLLDPIDRTLRGELIRYGEFSQACYDSFDYDRFSRYAGTCKYAQESFFTDVGLSGVGYEVTRYLYATSHARFPSFGVQKHNPRDDRMWSETGTFIGFIAVSTDEETARIGRRDIAVAWRGTVTKLEWIADITAFLKPIGQFGLPCPDPSVKVEEGFAELYTSKNPDCKYCKYSAREQVLAEVRKLVERYTGQGEEVSVTVTGHSLGAALAVLCAYDIAETRANVSTGGAKAPVCVFSYSGPRVGNPMFRERFEGELGVKALRILNVHDSVPKVPGIFTEAVLPMPLLRIAGALGLPSVYSHIGVELALDHKLSPFLKDVFDLACYHNLEAHLHLLDGYQGRGKEFKLGGRDPALVNKAADFLMDEHMVPDGWRQELNKGMVRTEDGRWALPHRPRNVLEHPEDTDLHLAELGLAAPVTAAATVAATVNA >SECCE3Rv1G0159100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:94121962:94122465:1 gene:SECCE3Rv1G0159100 transcript:SECCE3Rv1G0159100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRVYDRALVDPEGKRRAVHVVDGPVVANGGFIRAPRRPTNQSKSGGLRALGKDALAPEDEEPQDLPPKDKRFGYYYSKGWQSPFKYEATSGQMLSWKYDAYEHEEVHARQPPPAARSGGRSACKGSRKFKHDEIKTYYLDAADVVDEQLDCLCDFDS >SECCEUnv1G0541160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93960633:93966865:-1 gene:SECCEUnv1G0541160 transcript:SECCEUnv1G0541160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGEELLKKIRQLEVGQAQLKQEMSKFALPPTGGGGERRRSQSVSPSRGAQPHPAPAPAPSRRLSGGFDGGPRAWGRGSASFSHSSPLQREGRAAAEGGATGAGLAERQYRRVLQSLGQSVHILDLDGRIIYWNRSAENLYGYPASEVLGQDALMLLVDSRDLSVVNDMFRRISLGESWTGKFPVKNKAGDRFLAVGTNTPFYDEDGSLVGIICVSSDSRALEEILSGPSTSARSHSESPNPSCCDGSCSNSNRKPSLLNRNPFDPQHPLQTTIASKITNLATKVTNKVRSRVKMDENGMVREGGSGESQCSDRDNREGPSSGPSTPRGEAPHGAFVTEENSPGKSTKTNGDDSEAKIGLHKILSSKAEALLNKKGITWPWKGRENDGPDCRNQVTWPSLHGEQENGQNHPKISDTQVAEYNQPNKNEASGSWSSFNNNSSSSASSTGSTNSSALYKIDHEADCLDYEILWEDLVIGEQVGQGSCGTVYHALWYGSDVGVKVFSRQEYSEEVIQAFRQEVSLMKKLRHPNILLFMGAVTSPHRLCIVTEFLPRGSLFRLLQRSTTKLDWRRRVHMALDVARGMNYLHHYSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNQRLEIPSETDPYWTSLILSCWETDPQSRPSFQELLEKLRELQRKYAVQNQVQRNASAAAKNSIIEE >SECCE2Rv1G0068620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:24004542:24009345:1 gene:SECCE2Rv1G0068620 transcript:SECCE2Rv1G0068620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFRAATGLARHAARRAALARATPGARSAGFHTTALRRRAAAPAPRAVPLSRMTDSFLDGTSSVYLEELQRAWEADPASVDESWDNFFRNFLGQSASPTAGLSGQTIQESMQLLLLVRAYQVNGHMKAALDPLGLDDRAVPEDLDLALYGFTEADLDREFFLGVWMMAGFLSENRPVLTLREILGKLERAYCGPIGFEYMHIPDRDKCNWLREKIETVAPREYPKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRSADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFAGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDDDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDAAKAVNVPILHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLETGEVTKDDVQRIHDKVNRILNEEFAKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVKPEVLKRVGQAITTLPESFKPHRAVKKIFEQRAAMIESGEGIDWAVAEALAFAALIVEGNHVRLSGQDVERGTFSHRHSVVHDQETGAKYCPLDHVVMNQNEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSSRLERFLQMSDDNPFVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVTAPKNLLRHKECKSNLSEFDDVEGHPGFDKQGTRFKRLIKDRNDHKEVEEGINRLVLCSGKVYYELDDERKKSERSDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLYSAMKTLGRGTFEDIKYVGRAPSAATATGFLTVHVQEQSELVKKALQPEPIKFP >SECCE6Rv1G0409970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:593020418:593022545:-1 gene:SECCE6Rv1G0409970 transcript:SECCE6Rv1G0409970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSAENNGHAKEAALYEQQLSKIGEVRAALGPLSGKSVLYCSDGSIARYLIARNWDVKKATKMLTKTLKWRSEYKPDEIRWDDISAEAMTGKIYRSDYFDKSGRSILVMRPGCQNTKKSKGQIRYLVYCMENAILNLPPGQDQMVWLIDFAGFSLPNVSLLVTKLTADVLQGHYPERLGVAILYNAPKFFESFWKMASPLLEPKTKNKVKFVYSDRPETDKIMEDLFNMDELECAFGGRSPATFNINDYAARMREDDKKMPLLWSPENSALASEPYRMKNHMSEQCDSGLKTEETASDMIGETEAASEKSGETDTASEKEDIEAASEEREETETSSEKRGETATESVKKEGPEAVSEKKGETETGSENKEVTETESGTVRSTSSRGEVMASADKSGSSSDP >SECCE5Rv1G0349480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:684093404:684093709:1 gene:SECCE5Rv1G0349480 transcript:SECCE5Rv1G0349480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPASPSLSAAAVALALVALALAMAVGRARGVEGARVPRAPTVAPPSTNTSSGGGNDEGVEMRRLAGGGASAEDEDYGYVDPPPDTNRRGAGAPIPHKHN >SECCE3Rv1G0188430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:713292680:713293326:-1 gene:SECCE3Rv1G0188430 transcript:SECCE3Rv1G0188430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAPSTLVSLLLALFLLSSPAAVPTAMARPVVDVLTPDVLLASPAAAPSPSAVHASDYDVYIVFDSRAGYVDSVDYDVRLLASVVGSTEEAKKAMIYHYSGLGFAARLTCDQADKLSRKEGIATFKDKTYYVDKDGRLPRFFEENV >SECCE3Rv1G0196020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:805103383:805104540:1 gene:SECCE3Rv1G0196020 transcript:SECCE3Rv1G0196020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERERLDEIGKKIKREPDPAAAIAGVVVAVSPTEHHVPRRLGLVGPGIGGAANIATPCAACKLLRRRCAHECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLEVPEAERADAASSLVYEANLRLRDPVYGCMGAISMLQQQVNALEAELEAVRAEIFKHRYRQASVGVGAANLMVDDDIRAAAAGGFIAPATSLVHTADVVSVAEAGQEVATMPATTSTAFAAGQPSSTDYSSLNTSEHDAYFG >SECCE5Rv1G0341270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:622696662:622698265:1 gene:SECCE5Rv1G0341270 transcript:SECCE5Rv1G0341270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLYAILAAVLLAFCYAVWKARGISSSKLPPSPPPRPVLGHLHLLGRHPHRSLRELHARYGTDGGLLLLQLGRRRTLVVSTAAAAAGLYKNHDLAFASRVPSAPADKLTYGSTNVSFAPYGDAWRRSKKMAVVHLLSPRRADSFAPVRAAEAAALVAGARCAAEAGEIVELRELLSAYSNAVVTRATTGAAGATAEKLKQLLGNSGAIMSGLQADDVLPGAAAKMVRWATGLEKKLDAELEQWDKFLSEIIAEHLEKKPDNGPGEESFLDVLLRLREEGAAGLELTDDRIKSLIKDMIAGGTDTASVTLEWAMAELVGNPRAMAKLQDEINRVTEGRPTIEEDELSRMEYLKAVLKEVLRLHPAAPLLIPHQSTTAAVVQGYEIPAETALFINAWAIGRDPAAWGATAEEFWPERFLGGGSAEGVDLRGNDYQLLPFGAGRRLCPGISFALPVLETALSNLVRHFDWELPAGARLDMSEAPGLITPPLAPLRLVPKIRRQQ >SECCE5Rv1G0374400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862466007:862467209:-1 gene:SECCE5Rv1G0374400 transcript:SECCE5Rv1G0374400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFLLLAALLALVSWPATASDPSPLQDFCVADMNSPVRVNGFVCKNPMEVNADDFFKAANLDKPRVPNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNQPAPNKNKFLSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSDPPISDDVLAKAFQVEKKTIDYLQD >SECCEUnv1G0561040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:351466306:351470304:-1 gene:SECCEUnv1G0561040 transcript:SECCEUnv1G0561040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEAVSPSSSSSSSGEQQRQGAAERPSETAALRALVDRVRGGEVEAAREVRRLTRASARHRRKLAAAVEPLVAMLRSGAPGDAGEAALLALLNLAVRDERNKIKILDAGALEPLLGYLQSSDLNLQEYAAAAILTLSASSTNKPIISASGAIPLLVKVLEEGNPQAKNDAVMALYNLSTIADSLQTILSVQPIPPLLELLRSGKRSSKTADKCCALLESLLAFDQGRVALTSEEGGVLTIVEVLEEGSLQGREHAVGALLMMCESDRSKYRDPILNEGAIPGLLELTAHGTPKSRVKAHALLDLLRNSPYSRSKLQPNTLENIVSNIASQIDGEDRGGKAKKMLAEMVKVSMEQSLRHLQRRASFA >SECCE4Rv1G0260150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:693645815:693648284:1 gene:SECCE4Rv1G0260150 transcript:SECCE4Rv1G0260150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFYDDQYVRLRNRELDKYYLHADDDGVGVSISQRRNSLNVVWRVHIYGPANSPQLLLHSAAYGRYLAATATPAPALYHGFRAELRDYDQPEMPSITWMAVRKGLGGGVLLQNGGDSYLRANGKYLRWNTGVTVENRDIENKKASTMMRWFVEPMALTERAPRIPDPIRARLPEDLSVIVLRRQPGALRVIRFVHASPDRAFPEAEQGQGWYTFDIRGRSLYYLRDQLAREVNHQPEGIAMCVRAGRYGRPTPLVVDLPRRGWGDIFQIAVYISGTAAYDALQYPNVDGA >SECCE3Rv1G0163820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:152832693:152837510:-1 gene:SECCE3Rv1G0163820 transcript:SECCE3Rv1G0163820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSQSHSPRTPSPRARGTAAAYDADHARSSSEPWVLACVDDTCVNDVESFARTVAAVKSKPRPDLLPSVLSHYAAKWLPDVAASASGRFLPPESPTAAWLKKRLLLETLVAALPPDPPSGAAADDGITCDFLLKLLRAGSMVGADAALLRELESRAARRLDQATLAAVMIPAFGHAPGGSTLLDVPLVLRLVRGFLKEGGKGAGGGAGARVARLVDAYLAEAALEAGLRPAELEELARAVPAHARAADDALYRAVDTYLKAHPGAGKEDRKSLWRLIDPRKLSAEAAAHAVQNDRLPVRSVMQVLFSEHGKLNRLADLGASFSGPRVPCSPAAALDLHSGSGRCPSKREALAQHQEMRRLREDVARLQVQCSALQEQVERLGSERRRRGGVVGGGGGFKWSTLWFGGGGMGADVARIEDSESGMERQTPASGKKGRASVATATPTPTRRTPRWRKSMS >SECCE7Rv1G0522750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879103401:879108384:-1 gene:SECCE7Rv1G0522750 transcript:SECCE7Rv1G0522750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPLRGIHELLLLLQARSPHAALGLVFVLLVCPLLALLVVRRLATPSTAARAREELLGRLPSPPSRLPVIGHLHLVGSLPHISLRDLAAKHGRDGLMLLRLGAVPTLVVSSPSAAQAVLRTHDHVFASRPYSPVTEILFYGPTDAAFCPYGEHWRRVKKIATTHLLTNKKVRSYRHAREHEVGLVVAKIREAASRCTTIDLSDLLNAFTNDIVCHAVSGKLFRERGHNKLFRELVEANSLLLGGFNLEDYFPMLVKMDIIKRMVCAKAQKVNKMWDNLLNNIIDEHANKTVSEHNGEDNDFTDVLLSIQQEYKLTRDHIKAQLEIMFEAGTDTSFIVLEYAMVQLMRKPHLMNKLQAEVRSTITKGKEMVTEDDLDSLTYLKAVIKETLRLHMPGPLLIPHLSMASCNIKGYTIPSGIRVIINSWALARDPSSWEHAEEFMPERFMECGSAATMDYKGNDFQYLPFGTGRRLCPGMNFSIVTIEIMLANLMYHFNWKLPVELMEGGMSMSELFGMTVHRKEKLLLVPVVPQD >SECCE6Rv1G0431060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745468799:745469708:-1 gene:SECCE6Rv1G0431060 transcript:SECCE6Rv1G0431060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTVREVLYMYSVVRQAYERLVSVCGSPEQAQNVAALLVWLDQGTISAIHHVPAMAPDAVAVVAEEANAVLKCLRHQLPVLPPIPLISALCMQGGVLIEPGFFAIHQDLVVHGVTHFLDTAGKFVFDDRLHVLLRKSETGLVGNPSELMAPYTPQLVAVPEDCRSMFITFSKGMPPHREEIFEYFREKWGDCVVRVLMEKTKGGHMPMYGRIIFKTEAIVKLVLNGERLVKIFIGHRQIWLRKYVPRPTKATA >SECCE1Rv1G0007020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:37538999:37540008:1 gene:SECCE1Rv1G0007020 transcript:SECCE1Rv1G0007020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVHKSVAPAVLLLLPLMLSFTASAQHLTPTADYSVLRKVPRGPDPITSDPPPPPAKSAHFSGLRKVPRGPDPITSDTPPPPATSAHFSVLRKVPRGPDPITSNAPPPPATSAHFSMLSKVPFGPDPIASYAPPPPASSAHFSVLRKVPRGPDPITSDTPPPPPRDFSVLRKVPTGPNPETSDSPPPPPRNFSVLRKVPTGPNPATSDSPPPPPRDFSVLRKVPKGPNPITSDSPPPPRLPATTSRA >SECCE5Rv1G0330980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:535282479:535284067:1 gene:SECCE5Rv1G0330980 transcript:SECCE5Rv1G0330980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPFPHGLAMPAQAHPTWCRSRAAARAGRWACKVTATANFEDTATGMRAQEQAEAEVVRSLSLSGWVEEQLLPLLTPVDDAWQPSDLLPCFSLSAAGLSSEQQQPPSMMMMTTDELQAQASGVPDDVLVCLVGNMVTEEALPTYMCMGNRVPGFRDDTGCSDLPWARWLRGWTAEENRHGDLLNRYLYLSGRVDMRQVERTVHHLLRNGMQMLRPSSPYHNAVYGSFQERATFISHTHTAKQAARHGDRCLAKICGVVAADEKRHETAYTKVAAKFFELEPDGMVKALAAVLRDKVTMPGQLMTDGRDADLFDHFSAVAQRTGVYTARDYGDMVEHFVRRWKVSELAGGQLSGEGRRAQEYVCGLPRKIRRVEELAHDRAIKAAKEPEFARFSWVFDRPVCIRA >SECCE5Rv1G0350130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:688692848:688693721:1 gene:SECCE5Rv1G0350130 transcript:SECCE5Rv1G0350130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLRRRGAFSSLLSPAAPTAPRPVPSFSSAAALAQLRSPLDERLLRLLRSEISYVADRRPPHQPPTGFKSFAVEDRPGEQWVRLRAGSGAEEEAIKIDATLFDGVAELPPDAPLFNRVEALEQGPRLHLSLIVEVARADRVLGFICSAWPDQLTVRHVLTLRGAGAAPDDRGARDFTKLEPAEREAVKKFLQEREVDAELAEFLHDYVANKEKMEMLRWLKTVESFVEK >SECCE5Rv1G0316030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:304183704:304187559:-1 gene:SECCE5Rv1G0316030 transcript:SECCE5Rv1G0316030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAATMAVLRASPPHPLPSSSSSSSTPQSERSPRGSITAAALSRTSPHPPLMTGCRGGAKKILLAGRGRLPCLPTKQEVGAVGGAEEERYLAREAGWGVRRMGRVGEEMRRVALVQAEAFHVPVALFNDLFFDFFKAEVLAALIYKLRNSPPDRYACLVAEEADELLQSQAPFEKIIGVVDCTVQDEGDILRNLQGVQEYFYVSGIAVLPSFRRRKVGTALLKACEVLALEWRQRFMALRAYEDDSSARGLYAKAGYGVVSRDPGWVTWVGRRRRVLMVKELPVHDAQIQQQ >SECCE6Rv1G0379270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13003920:13004708:-1 gene:SECCE6Rv1G0379270 transcript:SECCE6Rv1G0379270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLATILSFGDHAEEGAGAFPGPERRAPEEDDEYRDGEDDAASDASGDSFEFAFARPLAAAGGEHPAEALADDLFAHGRILPAYPVFRRDASASSATAPPSPDTYCAWAPRSAPGSPAREPAAFPKSASTGTGEAARRFRLRDILGSAGRSHSDGKEKFLFLQPTPATKPKTKTSALSSTPSTPAAKKTSQQKQGKKKAAAAAPTEMDMATAHRLFYSKPGAAAGPGGERTTTKTSYLPYRPAIVGFFATAHALRPKHHPY >SECCE2Rv1G0115280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:748543645:748547062:-1 gene:SECCE2Rv1G0115280 transcript:SECCE2Rv1G0115280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRRGQHHGRWVVPSVAPAAAAFTAAGLLLVVVAFHCFLSPPLGNGAGSRRPNPPFLLNKPAELRRNLVGTVDFTVPSGGSRLGEELWASKTAHHFVGCSAATKEFADVKSVTEPNRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPKLDQASFWKDASDFAEIFDADSFISSLANDVKIIRQVPDRNGKIPSPYKMRVPRKCTPKCYENRVLPALLKKHVVQLTKFDYRVSNRLETDLQKLRCRVNYHALKFTDPILEMGRLLVQRMRAKSGRFIALHLRFEPDMLAFSGCYFGGGEIERRELGAIRKRWDTLHESNPDRERRHGKCPLTPEEVGFMLRALGFGKDVHLYVASGDVYGGEETLAPLKALFPNFHSKETLASKEELAPFLPFSSRMAALDYVVCDDSDVFVTNNNGNMARMLAGRRRYFGHKRTIRPNSKKLSSLFLNRTSMSWDTFASKVQMYQKGFMGEPNEIKPGKGEFHEHPMDCICARTKRRTGPSKPYLSNRAREAAGNHTSDAEFDWSDLDYGENTPLGGDSSNETDPDYGRIAGPDIPELEDILSD >SECCE5Rv1G0326900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:487411042:487414921:1 gene:SECCE5Rv1G0326900 transcript:SECCE5Rv1G0326900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRDLLERIRWPFASRRGSSASARREEEDLEAIAAREQRAFRYEALAAATRNFSEKQKLGQGGFGPVYRGRLPDGRDVAVKRLGAGSRQGAREFKNEANLLSRVQHRNVVNLLGYCAHGADEKLLVYEYVPNESLDKILFSAAAPPPTTGSKTHSGSSSDGDRPLRAELTWPRRLEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDERWVAKIADFGMARLFPEAGDGRSHVQTRVAGTNGYMAPEYLMHGHLSAKADVFSFGVLVLEILSGRKNSSFIPPPRSSADNLLDYAWKLYKEERSMELLDPSVKSTAAPDQVLMCVRIGLLCVQADPRLRPDMKRVVIILSKKQSTLEEPTRPGAPGSRYRRRAHGLRSSSQYSDGSSSGTTPSTSHASASASASASNAMTTSSTHTLRSRGLPSHREEQELRNVSS >SECCE5Rv1G0347100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:665268975:665273853:-1 gene:SECCE5Rv1G0347100 transcript:SECCE5Rv1G0347100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQPPPRPAAMSAPPPARRKRKKKGRPSLLDLQKRSLRLQRLQEAPPPPPPPPQPPPQRRPSTRRNPAPEDDSGDDDPRREKKLRLVVGLHDGSAKGEKRRTATDGREEPSDSGPTTPLPDKKLLVFILDRLQKKDTYGVFSEPVDDEELPDYRDIVKHPMDFSTVRKKLDKGAYANLEQFEDDVFLITSNAMSYNSPDTVYYRQARSIQEVAKKDFENLRQDSDASEPEPEPLPEPEPKPQRRRGRPPKNAVKQQIEQPPAERATANFSAAALAMAGNSGLYAHSGFDIQQRIADVLKASFANRSNEHNWSSERRLESIEDYSGSGSKWTGKMGKKPPLVEESRRTTYYQNQPSSSMYELPVATSYNGTRKVLVPIGAQLPQAYSRSLARFAAQLGPVGWEVASKRIEQAISPGITFGRGWVGDGESPNSFQPPVPTSSPIPTPPPSSTAASSEQKTVDDPASAGHSTGPHADAVSHVSANNAQRFDSQAVPSPQCGPLPQVPVDRGEHSVELKSSHNVEERPTMHQTVNGFNAVPGSVMFAPTAQLLANRMQTHMAD >SECCE6Rv1G0410720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:601849364:601857040:-1 gene:SECCE6Rv1G0410720 transcript:SECCE6Rv1G0410720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G09420) UniProtKB/Swiss-Prot;Acc:F4KCL7] MDSSARSGATGSSNPRAWIVAGVAVAGVIVLAEVARRRRRWLRGKSSPPPYSGAFCDRLELAPPPQPPPPAARQQLPDLTFAVSDNFEIEGYVAGFGNPDWKRTHKAASHTAAAVKTLLKQGATCVGRTVMDELGFGVTGENLHCGTPINPASPSVVPGGSCSGSAVAVSAQLVEFALGTDTTGDLRIPASFCGVLCFRPSHGVVSTLGTLPNSHSLDTIGWLARDPHILSRVGDALLPVAACGLKGKRQLVFADDCFELLKIPNQKTVDVIENAVRKLPYGYQPPKHINIGQYISSNVPSLKEFCEPSTKLQEGKSALKALCAVMLLLQRYEFKANHEDWVNTVKPKLGLEVSTRVLQAVNFRDDNIKSLYIVRTEWRAALKNLLKDTGILVLPTMAGHPLKRNSKQRLSSEFEDKMYAFVSIAALSGCCQATVPLGDHNDHPISISFVAAHGSDKFLLRAILDMYSAIQEQIVLASKLALPPVIDRDVETSELLKEKGNNSFKRKQWGKAIEFYSGAIKLNDTNATYYCNRAAAYLELGRFKQAEADCDQALLLDKKNVKAYLRRGTAKESVLNYQEALQDFRHAIALEPQNKTALAAEKRLQKHLR >SECCE6Rv1G0397660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:398915404:398919060:-1 gene:SECCE6Rv1G0397660 transcript:SECCE6Rv1G0397660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATAIVAGHGIAFRRNLHLPNPPGKPSFSVARPHAHYRLLVPATPSPRPCRSISSESPTAAAADTAEDEPAATSEEDDVDPLAEVCYLDPDADAEGIREWEVDFCSRPILDARGKKVWELVVCDATLSLQFTRFFPNTSINSVTLRDALASVASSLGVPLPDRARFFRSQMQTIISRACNDLGVKAVPSRRCVSLLLWLEERYETVYSRHPGFQKGTKPLLTLDNPFATNLPDNLFGDKWAFVQLPFDDVREEVELLERRYAFGAGLDLDLLGFELDETTLVPGVAVESSRAKPLAAWMNGLEICSMEVDTGRANLILSAGVSTRYVYAGYQKSAATTQEAEAWEAAKKACGGLHFLAIQENLNSDSCVGFWLLLDLPPPPV >SECCE1Rv1G0002480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9196101:9197983:1 gene:SECCE1Rv1G0002480 transcript:SECCE1Rv1G0002480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASNRLSEQISPNLGASTQLTVLHLAQNMITGSIPPFLSKLTNLVELTLDSNHLSGEIPPELCTLENLYSLNVSSNQISGSIPTQIEKLSNLGYLDISGNRLSGSIPEALGACMKLQSLKICNNNFSGSLPGAIGNLASMQIMLDVSNNNLSGVLPQQLGKLQMLEFLNLSHNQFNGSIPSSFGSMVSLSTLDVSYNDLEGPVPTTRLLQNATASWFLPNKGLCGNLSGLPPCYSTLVAAHKKGKILGLLLPIVLVMGFVIVAAIVVIIILARKKRSPQESVTAEARDLFSVWNFDGRLAFDDIVRATEDFDDKYIIGTGGYGKVYKAQLQDRQIVAVKKLHQTEEELDDERRFRSEMEILTQIRQRSIVKMYGFCSHPAYKFLVYDYIQQGSLHGILENEELAKELDWKKRIALATDVAQAISYLHHECSPPIIHRDITSNNILLDTSFKGFVSDFGTARILKPDSSNWSALAGTYGYIAPELSYTSVATEKCDVYSFGVVVLELVMGKHPRDLLDGSLSNGEQAMMVKDILDQRPTTPISTEENSLALLIKLALFCLESSPQARPAMRKAYQTLIQPSSSSTTVPFSALTLQ >SECCE4Rv1G0293660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:886385616:886386761:-1 gene:SECCE4Rv1G0293660 transcript:SECCE4Rv1G0293660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSFCIVARLISSPSASSTPSLHRLLSTTVPLPANPGFAVEEYLVDTCGLTRAQALKASTKLAHLKPDAVLAFLAGLGLSSAQVAALIARDPKFLCAGVETTLSPIVVELTGLGLSPSDIARLLTLAANTHFRNKSVVSKVRYYLRLFGSVQEFLRALKHNHNLLSHNLETVVKRNVGLLQECTLGACDIAKLAGSVPRMLTANVEEIRAMVASAEALGVPRGSGMFRHALRSVAFLSEDKIATRTDYLKKTFRWSDAEVGIAVSKGPFVLVSSKDMLKRRSEFLISEVGLEPAYIARHPSLLSYSLEGRLRPRYYVMKFLTENRLLKRHTCYYTFVKATEMEFLDKFICSYKEAAPHLAEDYAAACKGEVPTRFRFT >SECCEUnv1G0545870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:147829861:147832965:-1 gene:SECCEUnv1G0545870 transcript:SECCEUnv1G0545870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMQQIISAGANIVEATDLSRDVSRLRASFPKAHLLIDRAEWGRFKDVNLAVLLSQLKDATYDAEDLLRELDDQLLQQKTEAANRSVAGQLLASIFNRARVWISRSKARAEDAQSKLDKVVAEMEGSLNFMSLNVEPRQLGKAPRMPETSSVLSESLVFGRDEEQGRVISLLGVPSAMPRSSAKRLREKSRRSSAMEVVATDLTVLPIVGIGGVGKTTLAQLVYNDPRVKAHFDLRIWVCVSDLFDIKRVTKEILEHTSASAEATDSLASLNALQVELSQQLKEEKFLLVLDDVWPSACQEWRTFSAPLRYGHHGSMVLVTTRSLRVADLVATIEPPAAAVELQGLPTDIFWDFFSKCAFGRECLQSYPQLQEIGRGIASRLCGSPLAAKTLGRLLNTELTERHWRSIQNNELWELAQQDNEILPALRLSYLYLPQELKRCFALCCMFPKDYSFEKDEIVDLWASQGYVAPAGSMRLEDVGSRYLDDLSSRFLLQTDPKFPGLSRYVMHDLIHDMAQSVSVGECFLMQDSSSYQGLRRMPQTVRHMSIEVDNAVLSRMTTDLDNLNKLRSLRFGTRFEVEMISWLSQHSNILFLSLKGCKLVKLPESLCVLNHLRYLDISHSSIQEFPEKFWCLYNLQVVDASRTRLQTIPEGVTKLVNLRRLSLPVKSSHELSKISGIGNLSCLRNLSYFRVGSVNGRKISELKGMNQLSGTLCIRYIGRVQNMAEAAEANLVDKQYLEELVLEWRAQACGWRRFENEVLEGLHPPSRIKRLRVECFGGDVAPSWFNPENLPNVTSVQLSRCRSLRYLSVCFPSLNQLILWEVGIEELTALADGSHPSLPSLSSLCLFSCRKLTNLEHFLCPQYLPFIKSIEIVWCTSLLSMLVHKFAGFVCLQDLKIHSCWKLVCPSEAIGFPPSLQRLSICYCGELDKSFQPGCLENLASLRLVQLEGCHNVEVVPLNSISTSIKCLVLRHCSELSSIGGSPTAALSSIQHVDISDCPKLIQVQQPLLNQGLSTPKEKELHKFLEYTTWY >SECCE2Rv1G0068200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22470013:22470717:-1 gene:SECCE2Rv1G0068200 transcript:SECCE2Rv1G0068200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTGSGFLFITLLCFTALLPSPVNARHFPGNLGSTSVIHGAESKFYWPFSRDGAGSGHGSGSGHGFSWVVSRNGSDTTIGVGVGIGGGAGSTRDGEGGSTGGGVGAGVGIDIGEDGIDMAIGIGGGGAASTHNGGTSVGLGGGEGFGFHIGKEGVTVTATHGDGGGGGDGSGGGASGGGSGVGRAGNAVGSGQGSGSAIGGTGSGGGSGSGSGPGGSGGGGGGGAGGSSGHP >SECCE4Rv1G0230410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:163685325:163685693:1 gene:SECCE4Rv1G0230410 transcript:SECCE4Rv1G0230410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKLQAAGCRRSVAAVVSCLLASMLLLHLLLLTPSTTAAAVSTDATASPWLWQQGRRGPAARRLLLEVQPRPSTSVGRTDTNEFHVEHGTLPAAANGKPVEVGFDASMRKFPKSGSNKNHN >SECCE7Rv1G0464910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:65045979:65047271:1 gene:SECCE7Rv1G0464910 transcript:SECCE7Rv1G0464910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease AED3 [Source:Projected from Arabidopsis thaliana (AT1G09750) UniProtKB/Swiss-Prot;Acc:O04496] MAATRLPLLLLLAATAAAAADLSVYHNVHPPSASPLESIISLARDDDARLLFLSSKAASAGAASAPVASGQTPPSYVVRAGLGSPAQPILLALDTSADATWAHCSPCGTCPTSSLFVPANSSSYAPLPCSSSMCPILQGQPCPDRDPFDSAAPLPSCSFSKPFADASFQAALASDWLHLGKDSIPNYAFGCVGAVSGPTSNLPKQGLLGLGRGPMALLSQVGNLYSGVFSYCLPSYKSYYFSGSLRLGAAGQPRGARYTPMLRNPHRSSLYYVNVTGLSVGRSPVKVPAGSFAFDPSTGAGTVVDSGTVITRWTAPVYAALREEFRRHVAAPSGYTSLGAFDTCFNTDEVAAGRAPAVTVHMDGGVDLALPMENTLIHSSATPLACLAMAEAPQNVNSVVNVLANLQQQNLRVVFDVANSRVGFARESCN >SECCE3Rv1G0167690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:207582513:207583961:-1 gene:SECCE3Rv1G0167690 transcript:SECCE3Rv1G0167690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSIRIDICQPSKRVEQESVKKQISENGQSPSKWLCDVWDFARQDTNRVTFALKVGLACLLVSLLILFRAPYDIFGTNIIWSILTVAIMFEYTVGATFNRGFNRALGSVLAGVFAIVIIQVAMYCGHIAEPYIIGFSIFLVGAVTSFMKLWPSLIPYEYGFRVILFTYCLIIVSGYRMGNPIRTAMDRLYSIAIGALIAVLVNVTICPIWAGEQLHKELVANFSSLADSLEECVKKYLSDDVSEHPDFSKTVMDDFPDEPAFRKCRATLNSSVKLDSLANSAKWEPPHGRFKHFFYPWAEYVKVGNVLQYCAYEVMALHGCLHS >SECCE6Rv1G0438660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:791317507:791319578:-1 gene:SECCE6Rv1G0438660 transcript:SECCE6Rv1G0438660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTPTTDATGGQSTMNERILKAATSGDFWDIEVLATERSSILLGVTPQGNNCLHISTIHGHEEFCLYALALERSLLENVNCENETPLVIAVSHGHASLASKLLQQCCGRPRLSRAILQQDRYGFNALHHAIRNGHKQLALDLIAEEPALSGAVTKYNESPMFMAVMRNFVDVSEKLLDVGDSSHVGQYGRHALHAAARNGNKDIALQIMEKRPGLATEADWDGITPLRMAISYNKVDVLQVLLEQSSSLAYETDKDGYPLLCSAATRGQVDVARALLKFCPDAFYCRLVIEENSLSGDTLTCLHIAVKNGHLEFVKFILQTRQLRKLINMQDKVGRTALHYAVEQCDPRLVTALLSHESIDPTILDNRGNSAASQLSSITTDDKTLDWKEVHVLMSKADPNDDDISLYNLHKGAKKRETIESRRQREAMTQKYRSNTSLVAILLATITFTAAFTLPGGYSSDSGNAGLPTMSGKVTFIVFLIFDTLAMCSSFVVAFICLMGKWEDDKFTTCYISVTKKLTWFAYLATITAFSTGLYPVLAPHLQWLAILICSLVAFFFILTIFIAKWPSMKLSFRLGQIWHDLELKFVQSFDHQFQFQ >SECCE3Rv1G0209580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939546138:939549647:1 gene:SECCE3Rv1G0209580 transcript:SECCE3Rv1G0209580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARKGTATPLASAFSPEETRRAVSRVAQAIADRRADLARVQGFFADNAALVNLVQRLPDELSHQIMVPFGGAAFFPGSLIHTNELLVLLGDGYYADRSAKQTTEILHRRGMELEAQMEAIKATISDLEAEAKFFESTAAEASEGLVEIREEYDEEDTEIISSKTEASSSSGGISDEEHARMMARFDELEMLEKEAGSTSEDEGDDDDDDDDDEDAGISEDGEENGETLSYGNEHDNASFGASVSGSGGNGQSQGNAQLKSALKKLGEKEALQGSSLALSGSTSITNSEVQASLRKAVSFKDENGQIVTSSRYSSGPKVSSSRDRKILPGGQKAFTGSIVEHDDGLSAIEQPSSEKPASSASSSRPVSRFKMQKGGR >SECCE4Rv1G0283320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:835133828:835142204:1 gene:SECCE4Rv1G0283320 transcript:SECCE4Rv1G0283320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSDDADFFDKLVDDDDDAKAPPAAAASSAATQGPSAADEAAAAADLAALTLSDGDGDEDAPGTGGAPEPEPAAAPQAAADAADPPPPAPPTQPEAEAPRDAEVQTAAPDAEVLAAAADDEVPAGLPEVKAVAAADPEGRSPGSGSGSGSSKGVRTTVKQVQWSLFGADISSSGAAEPDPFSDLLGDDAFLGAGVGAQGSGVGAVDHSFYNGVGSSNASSQLGWGAGSGEFLADGGVGEDAFFGVQGSAVGTAGSVDHGFFNGVDGNANSQSYMGAGVVEPADHHQNTNVQSDWTGGAVDPSDPYPGWKWDVATGQWYQVDAIGAQGFADNGSAVAAVGSENVQQQQQQHLGVSYLQNSSQAGLETITEEGSAAAAGWGQDQSCAAAAEYPPNMLFYAEYPEHYYDTNTQQWFTLESYQQSVMQAATPASTVDAFAGAGHNVAHTGNTQASSSFNQQNQWQHGSVANSMQPYTENQISQPAYTEPLKPSTNYRNAINTFVPSLEPSVNYQTNINTFMPSTSQYSGSGEGHQVSNKGFQPTSYQSAAHKGSEPYKNNQSAINTFLPSTTQYNSSGEGHQVSNKGFQPTSYQNAAHKGFEPYKNNQSAINTFLPSTSQYSGGGEGHQVSNKGFEPTSSQSAHKGFEPYKINQSTSASHDSGSRGFEPSTVHQGFKPFTNNQRSTGFVPSSSHQIAHKEFEPPKDNQAHHVAHQSSSGHGYDYPNGFAEPQKSLPVANMYQMQTQTDPAAHMHLPNNYVSNENSISFPQQLAPSQQLGYPHHEERSSAGRPPHSLVAFGFGGKLVVMKETSSMTTNFDSGNQGNSQGTLSILNISEVVADKIDQQGIPNGSALSYFYALCRRPIPGPLAGGSAAAKDLNKWLDDIIGGYESSASEFQGGDVQKLLISLLKISYQHYGKLRSPFGPDPSREGMDGPDTAVTALFSSCSSNSARMRDHCMKNIPPENQIQATAQEVQNLLVSGKRKDALQYAQEGQLWGPALILALQLGDQFYADTVKKMAYCHFKSGSPLRTLCLLIAGQPADVFKPENPVDANYATLHRQQQPAEGTPVGMLNDWQQNLAIITANRTKGDELVITHLGDCLWKERNEVAAAHSCYLVAELNIDPYSESARMCLLGADHLRCPRTFSSPEAIQRTELYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGKVSDSLKYCQASLKLLKSSGRAPELEAWKQLFSSLEERIRTHQQGGYGTNLAPKLVGKIFSTFDKSLSRMMGTQPAPLPPLSQGSSGDRDFYPVPQVTNPAPPVTNFVNSQSPMPMSSSTSEQFMSEIADNSDPDKKGAHSRSVSAPDLKKKQGGGSDNAQSTSGSGSSRFGWLLQKTVGLVSKSSNQAKLGEENSFYFDENLKRWVERGAAAPAAAEPPLAPPPTKASFQNGVPDHNHSTGPPSGGGYTPNGFSEAKPPNPSEPVSGMPPMPPSQNQFSARGRAGVRSRYVDTFNKGGGGNSFGGPSYNRPAMPSVNKLPAASFFVPTPAADASMQPAEPTADAHSETANQDGPSSSPAVESSFYASPPPPMQIQPGMQRHPSMDNIMTPSGSGNGSFSKSRAASWSGTYSEQMSTTAASRSPDGQTMQSPPMMPGMRPSHSRSNSNSSLNRFNSGGFGEDLQEVEL >SECCE1Rv1G0041510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:571910492:571913100:-1 gene:SECCE1Rv1G0041510 transcript:SECCE1Rv1G0041510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAAGNAYSWWWASHIRTSQSKWLDATLNEMEERVKLMIKLIGADADTFGKKAELYFRSRPELISNVEEMFRSYQALADRYDRISSELHKANHTIATVFPDHVQFSMQEGDAEGIPKAITSIDLINYKFPALEGLPMGSRVTSRGSSPGPAPKRTQTHRRVASHMNKDRALEEIDKLQKQILVLQTEKEFLKTSYDSALGQYLDIERKVAELQEEVCGLQDTFSTSSGIEDNEARALMAARALMSCEHTLVNLQSQQKRSSKEARTEFQRFNEAKEKLKTFKDECGQPQTQDDGSDHHETGLTQVLPSLDGGNSVQDEAKLDLQEVCQKIKELIELRPEASVSDIAEKVDRLVEKVINLELATTSQNAQIDRMKSEIDDFHKRLHAAEEEKAALVVDSNKLADRLKQVEVVLQVVLQIGRSIQHGTENIRKEMTEACSDLAGFVEALNSPESQNSKFFDSSEKGSEDLVVRQQLVANRSEGEDNILSEDYASVLQIYKDTEQQLSEIEKENQKYHTEAISELKELQSSNTMKDEEIHSLRRMLSSLQRKMNVSVSESGETSEETSKISSTPTTEDKEIAEVDEYIKQCEGEEPLEYSVGEEKFRAEIDKVLEQTMDFWLRFSTSYHQIRHFQTAFDRLKAEMVKLTDAQAQGVADGIPTNHQVAKLESAVLEKKFRDLNTDLQVWMEKNVLLKGELENRFSSLCSIQEDISKITILDKGGEDHFTLIQAAKFQGEVLNMKQENNKVAKELEAGLDHVRGLQVEVGRELLKLRENLELSIARSNRAQQNFRTLSTKAVVPLRTFLFGVKPKKPSLFSCMGPGMHKQYGSSKPGRR >SECCE5Rv1G0371500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:847692653:847694751:-1 gene:SECCE5Rv1G0371500 transcript:SECCE5Rv1G0371500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASDLAAAVEAPGSGLGDMPELCAAEVLLRLGAPDICRLARLNRAFRSAAAADFVWEAKLPENYGYLMGFVRGGAEEEGGGGKRSAVGKKEVYARLAKAVRFDDGKREFWLEKSTGMVCMALSSKSLVITGIDDRRYWVHMPNTESRFQSVAYLQQIWWFEVVGEINFRFPAGTYSLYFRLHLGKPSPSRHAGRRGCGSEKADGSGIHGWDRKPVRFQLSTSDGQNAVSQRYLDDEPGSWALYHAGDFVVGPDPEEHGHGRPVRVKFSMAQIDCTHTKGGLCVDSVLVYPRGFRTERVVDAQT >SECCE3Rv1G0161180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:113614979:113615605:1 gene:SECCE3Rv1G0161180 transcript:SECCE3Rv1G0161180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEDSKSARVTDNLNSALTIHQLTEEKNKLDADYDKLVKDVHQLVDMQQDRVVDFSYLQSNLTYQHQCRVELVAGMKAEMAKKDADREKLNEKYELMCNLTSAQATVIQNLKLKNLKEKELLSEARQNLELKNAEFTKFEEKLTQQKLELKFQVADLLKGKEKLDEEKYMLHLKIGELMKAEEKLKEKIKGIQAILQN >SECCE1Rv1G0000330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1223881:1225347:-1 gene:SECCE1Rv1G0000330 transcript:SECCE1Rv1G0000330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTGSSKALRVLLIPHFATSHIEPFTDLAVRLAASSPDAAVEATVAVTPANVSVVESLLERRRHPQHGAAAVKIATYPFPAVDGLPEGVENVGKAATPADSLRINLAALSETLMRPAQEALIRAQSPDAIFTDLFSVWSVGIADELGVPCVAFNVIGAFPMLAIRHLAMDGNDDDDDDDDDDDVATVPRFPAPRIRIPRPELPGLLRSRDFNRVHSMQAACFGLAVNTFSDLERQYCEIYTRERYVKRAYFLGPLSLQQQPSEAATNAADSQQHYMGWLDTKPDHSVVYLSFGTCAHVSTAQLDELALGLEASGKPFVWVVRAAGKWTPPEGWEKRVQDRGIIVTSWAPQTAILAHPAVGAFVTQCGWNSVLEAVAASVPMLTWPKVYEQFITERLITQVLRIGERMWPHGAGLRSENYRKHEVIPAGDVARALATFMHPGGTGDAARNRVMDLASKSRAAMAQGGSSHRDLHRLVDDLMAAREAS >SECCE3Rv1G0213780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:962118337:962119506:1 gene:SECCE3Rv1G0213780 transcript:SECCE3Rv1G0213780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFTGVSVVDGDKQSSCDTSAVYAGDADSGYHLLMVRGYLRTKEELPTGESARTGLFTVGGHDWYVEYYPNGINKGCADFISLYVTLLFDDDEDPSDVVVEAMFSFSLIDQVEQQNPMYIRDANKTCSFSSTAISWGSDRFLRRDALERSSDLKGDCFTIRCDIMVVCKDSKSEDALADMNHHFSNLLQTKVGADVTFEVSGERFAAHRCVLAARSKVFMAQLFGPMKETSNDVIQIKDMEATVFRALLIFIYTDIFPLREEEIMEEDEMSGVMEEGQEDDAVKDELPLQYLQSMFVAADRFDLQRLKFICENRLSEHIGVSSVMSTIFLAEQHQCQGLKEACFKFMQVQSPSRLQQVMATNGWDHVVSTYPSVLKEIIAKIALNRHK >SECCE7Rv1G0462340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45156426:45158879:1 gene:SECCE7Rv1G0462340 transcript:SECCE7Rv1G0462340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPTKKRTKPEEEEDRLSVLTDDVLLSILQKVDVSTAARTSSLSTRWRQLPWLLPELNIDVSCFLPAPCSVPIEANDMQEAMVALAKATRSLLSKPRRGSIITRLHLVIYLINTFLSDLGTLVGDAVGCGLLKDLDLTIHDGTDPLEPNEEYMLQRARDIYGFFTAYPSVLHCLTKLSLYNVCFSELDINRVVFDSCKELKHLFLTYCDTGKHTLCKIDAPNSKLSVLEIHFCRFERLELVCLPKLEKLKWYHWVSEYSPLSLCYVPSLGELELSSALTSKHVVFNLNEVLHGTTSIHTLTLDFQGENLWMQPDMKQLCTAFNKLRKLSVCGIFVEFDIIWTTAFLVAAPSIEILCIEVWDHDTCGWDDNYNRTLVFADRKNPEWEMDLHNSKNWLLKELQIFGFRPLEQLFTFIRALLEQAPNLQTIVLKGDIECVWDCVAHTRESLFPKREDEQEMVVRQITDGKPLPRVIFHE >SECCE4Rv1G0214420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:472635:476441:1 gene:SECCE4Rv1G0214420 transcript:SECCE4Rv1G0214420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALDALASYVTKMLADMAREEVAMLIGVSGGIKDLSIKLGDLKNFLADADRRNITDESVQGWVGELKRAMYLATDIIDLCQLKAMEQGQTKDKGCLNPLLFCMRNPLHAHDIGTRIKILNQNLDDICKRGKSLKFIKLEAYEDQNATRSLATNRKTDSLIERSGAVGEKIEEDMRALAEVLTREVASNKSDCFMVVAIVGVGGIGKTTLSKKVFNDDSIKGKFTKKIWLSITKDFNDVELLNKAIIAVGGDLPAAGGARDRDLLVGALSNAIRDKKFFLVLDDMWGVDAWDKLLMAPFSYGGPSSKVLVTTRHDTVALSMKAVHYHHIDKLSAKDAWLLLKKQVVTTEKDEHEIEILKDIGLQIIEKCDGPPLAVKVMGGLLCQREKNQRAWQKVLNDDLWSVSQMSEELNYAICLSYEDLPSCLRQCFLHFSHIPIVLPADVIVGMWISEGFVHGNPDVLEELGHEYYRQLILRNLIEPTPYDIGQQCCNMHDVVRSFAHFVARDDALIVHKGENINIKLSLQGFLRLAIETKGKESDQCEWRSLQEHKSLRSLMLIGNFKIRSDDSLETFSSLRVLHIESTNFDVLVESLYQLKHLRYLALINCNDLDRLPENIHKMKFLQHISLENCESVVKLPDSIVRLRELRYLDLDGTHVNSIPRGFRALTNLRTLFGFTAHVDGDWCSLEELGPLFNLRTIGLVNLQNVSDASFATKVRLGEKVNLISVILDCRSRHGSIELIKDGVSEKDQGMIQEVFDGLYPPPCIEHIRIYNNYGCQLPRWMRDTSTTLLKSLKILMMRDLAYCTELPGGLCQLPCLEYLHVYQAVAIKRVGPEFVHPSSHHHHPSSRVVVAFSRLHKMVLREMVEWEEWEWEEEVHAMPVLEELHIQSCKLRCIPSGLASHTRALKKLSIWSVQGLQALEGFASVVQLDLSDLPNLTNISNFPKLQKLEIHCCQKLESLQGMDQLRRLVLTVHYYRLIPSYLQTVKPSHLLLDCSPEALACMALGKSGPEWDKFGHIQHIEAYADDLVEHIEKKWHLFYTSEPYSMVTNIDPQIIYIVNSFLFQYV >SECCE6Rv1G0377720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3171787:3175453:-1 gene:SECCE6Rv1G0377720 transcript:SECCE6Rv1G0377720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATATAAEEEARLLRLEEQAEHGGGGAWEYLSLARRLRARRPAPVLRLGLSLLNDASARSRLASEQWTLYEQVAVAAMDCQRLDVAKDCVGVLSKQFPGSARVGRLEALLFEAKGDWAEAERAYALILENNPFDQIVHKRKIAIAKAQGDMSLAVDYLNKYLELFMADHDAWRELAEIYVSLQMYKQAAFCYEELILAQPTIPLYHLAYAEVLYTLGGLENLQTAKKYYASTIQLTGGKNTRALFGVCLCSAAISQLTKGRNKEEESSELQSLAAEALMKDYKRRAPSMEALVAGMLKNMKLS >SECCE3Rv1G0150790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:34284566:34286017:-1 gene:SECCE3Rv1G0150790 transcript:SECCE3Rv1G0150790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLSTAAGDLVSRFFSFLVNMYRDPARLEEQHMERLQQLLLRAHTVVEEADGRYITNSGMLIQLKMLARAMYHGYHVLDTFKCNQLVKESSEEVMDGSLVSYIGTPLKRFRANAGVSNHKVDSSCDLQGALLNLETAVSNITEFVILLSGCERMVCRPYDSYLYVDNFMFSRRTEKQQVINFLLQHNPLGSPPVLPIIGGSLVGKKTLVTHVWNDEKVHSYFSSVMYLNGANFTKVDTERCTSGRTLVVVTFVSDVDDEDWKSFYQVVTSIGAESKVIIISRMESLTRYGTVKPIHLNKLQDEEYSYFFKTLAFGSAHTKDHPNLTLLIGEFIKLLGGSFVGAYSIANILRRDLSLQFWHCILNRYKNVTKTNLSMFGEHLSLRVRIRYPVDFTNFLPAPAAPLLLMPPRTEAEVFERKLPKLRIGDLVVDPTLRPKGEFDLVTWESQIPPYTEFIYHVPSCAQKQPKTTLRRKRDATICL >SECCEUnv1G0554480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:286988808:286989518:-1 gene:SECCEUnv1G0554480 transcript:SECCEUnv1G0554480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRYWNINLKEMIEAGVHFGHGIKKWNPKMAPYISAKRKGTHIINLARTARFLSEACDLVFDAASQGKSFLIVGTKKRATDLVASAAIRARCHYVNKKWFSGMLTNWSITKTRLSQFRDLRAEEKMGKFHHLPKRDVAILKRKLSTLQRYLGGIKYMTRLPDIVIVLDQQKEYIALRECAILGIPTISLVDTNCDPDLANISIPANDDTMTSIRLILNKLVFSICEGRSLYIRNR >SECCE6Rv1G0434230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764453933:764454607:1 gene:SECCE6Rv1G0434230 transcript:SECCE6Rv1G0434230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFVKTPTGRTISLKVHSSDTLYTVKAKIQQQYRLVFDGVQLEDNRTLADYGIQHDSTIDLQEKMQIYVTETRGGRTIALEVDSLDTIGNVKSKIQDMEGFPKGQQCLIFANKQLGDDNCTLADLNIWKESTLLLVLRPCRPGESRMMRIFVQTMTRRTITLEVGSSDTVDIVKVKIYEKDGTPPNLQRLLFDGKQLEDSRTLADYKIHSESTLSLVLRLCGC >SECCE6Rv1G0407510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:558792740:558793529:1 gene:SECCE6Rv1G0407510 transcript:SECCE6Rv1G0407510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVVLAAAAACCCIVLAATVSPASSAPVVYSVGDERGWAVPAGNGTETYNHWAKKNRFQVGDVLDFKYAAKDSVLLVNHDDYKMCSTVTPLTRFADGDTKFKFDRTGVFYFLSGVPGHCEAGQRMIVSVVGHSTLAAAPAKPPSVGVGGRAPSPSPSRAVSAPESPSYGSSSGGSTSMTGFGPSPSTEPSGASRRALSIVTGLVAGAVAMIALA >SECCE5Rv1G0329630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:519436234:519438116:1 gene:SECCE5Rv1G0329630 transcript:SECCE5Rv1G0329630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDETPMVQDLASAGVEEPPSRYLVHEQDRDGDLLAADEMPEPIPLIDLSRLMDADEADKLRAALQTWGFFLATNHGIEDSLMDVMMSASREFFRQPSEEKQKCSNLVDGNGKHYQVEGYGSDKVVSGDHGLNWNDRLHLRVEPEDERNFAKWPSHPESFRDVLNEYASKTKKIRDLVLRSIAKLLEIDEDYFVNQISNKASGFARLYYYPPCPRPDLVLGLRPHSDGNLLTILFVDDDVGGLQVQRDGKWYNVPTKPHTLVINLADCLEIMNNGIFRSPVHRVVTNTEKERLSLAVFYAVDEETVLEPAPGLLDDKRAPRYRKMMAKDFVAGLFEHFLQGKRFIDTVKI >SECCE6Rv1G0443830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:825334730:825335956:-1 gene:SECCE6Rv1G0443830 transcript:SECCE6Rv1G0443830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSNKKMASPSPITDVPDHLLAEIFLRLPTPEDLARASAVCQTFRRISTDRTFLRCFRCLHAPPLLGFLDRDGFHPVLPSTPAVRALEAAADFTFSFLPYHRRWTMQDVCDGRVLLARTIGEHGQPPTFKDLAVCDPLQRRYVLLPPLPHGLAASVKHPIPPVTVSKACWDCFLAPLGEEEAAAGATTFRVILMARCVTSLAAFVFSSSTDQWQAAPSTDFSDFALDKRDMEEMSSVQHYIPRRHYSYGCFYWDWVYFGLKKLLLLDTTKMEFSTADLPPGEWSNQGIAIVEAGEGRLGFFGFRGDTSSDLSYIIARTKGESPTQWQMEKTISVDSGCKYCIRDATQRFLLLTRIEALENRLVVGYFSMDVKMLQLQRVYEGHCYSNYQTYIYINFPPSLMSSRRI >SECCEUnv1G0561240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:352884123:352885106:-1 gene:SECCEUnv1G0561240 transcript:SECCEUnv1G0561240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVCFLLACLAAALQPTSAAEAGRGGILHIPSAAELRQARCPSRCGGVAIHYPFGIGPGCFRQGFELTCNSTGGHKRLFLGNSTTEVLELYPRYNELYTSAIHFNITMKPGMNDTYNMSWEAPVKGVMASEPTSLFVVGCGVGVYLFGHDTNKPIGSCTSICLDNKKAMKEANANQYDGDVGMGYCSIRLQHDVRAFGFIVGRLNGGFSALSSQGQGPSNSSIKVFLAEDYTFHTTDIYSSKIDEQNVGGAYFRRAITDQPNCKNAQKNMSSYACSTDSICLDLPSAGGYSCWCPSYAHDVNPYIMDGCQGQEFTLYLGLYIKIFN >SECCE7Rv1G0490980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:513980922:513989543:-1 gene:SECCE7Rv1G0490980 transcript:SECCE7Rv1G0490980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVQGGEWDEVERYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGPIPKTAGFPPMGAHAPFQPVVSPSPNAIAGWMTNPNPSLPHPAIAQGPPGLVQPPNTAAFLKHPRTPTSAPGIDYQSADSEHLMKRMRVGQPDEVSFSGASHPPNVYSQEDLPKQVVRTLNQGSNVMSLDFHPAQQTILLVGTNVGDIGIWEVGSRERIAHKTFKVWDIGSCTLPLQAALMKDAAICVNRCLWSPDGNILGVAFSKHIVQTYTFVPNGELRQQAEIDAHIGGVNDIAFSHPNKSLSIITCGDDKLIKVWDAQSGQKQYTFEGHEASVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMSYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNHFLAAGDEFVVKFWDMDNTNILTTTDCEGGLPASPRLRFNREGSLLAVTANDNGIKILANTDGQRLLRMLESRAFEGSRGPPQQINTKPPLLNNLGSASNVSSPIAVNSERPDRMLPAVSMSGLASMDVSRTPDVKPRITDESEKLKTWKLADIVDSGHLRARRCPDTAASPTKVVRLLYTNSGVALLSLGSNAVHKLWKWQRSDRNPNGKSTASISPHLWQPANGILMTNDTSDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKSKLKGHQKKITGLAFSQSMNVLVSSGADAQLCVWSIDGWEKKKSKYIQPSANRSGALVGDTRVQFHNDQTHLLVVHESQLAIYDGNLECSRSWYPRDALPAPVSSAIYSCDGLLVYAGFCDGAIGVFEAESLRLRCRIALSAYVPPSISTSGASVYPMVVAAHPLEPNQIAVGMSDGAVHVVEPLDTDPKWGVAPPQDNGAHPSMSSAPAASNNQASDQPTR >SECCE4Rv1G0215240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4292875:4293990:1 gene:SECCE4Rv1G0215240 transcript:SECCE4Rv1G0215240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSRKRKLQTVLTDLPDALIVKILSRLPLKSLCCCKCVDRRWRYLISHPEHLKKLPQTLSGFFFDTEDKGRSLKVARHFDNVDEGPEIDPSFSFLPPEFELIRLEDCCDGLLLCCSSQHPFHRLVCNPATERWVVLPALPADSGCAVQQTTFHLAFDRDVSSHFHVFQIVLKEWLNVAGINIYSSETGSWSFKESGWDSDTSIYASRRVFYQGMLHFVSARSTVVSVDVEGKKWRAIPVPEEAKSARRNGFLGRSQGHLHYMHKEIRQEVYIWCLENYDSDEWTLKHRVNNNQLLNTRGTVYDYNYCIISCHPDRSLICYANYRDNTLMVYDTEREEARVLFSVGRGTLRSCFPYVALFNDLSVPLSS >SECCE4Rv1G0268310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:741254655:741257104:-1 gene:SECCE4Rv1G0268310 transcript:SECCE4Rv1G0268310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGLLLPTVVMLALNVMSAVMVALVKVAMAGGLDPLVLVTLQQLAAAVFLGPIAHLREGKSRPKMTLEIFAYLFLSAALGAAMRQYMLLLGLRYTTATFVSAFSNIAPVLTFVLAVATRSESLHLRAATGAAKLAGTLVSLAGAMLLTFYRGVALTHANSAMPSSTPLPLPRRPPTLAVAGGGRWARWRSSATASASPAGTCSRARSPGSTHTSTPATPSFQGVVATGMSFVLLTWCIQKRGAVFVAAFIPVSQVIICIMDFTVLHEPLYLGSVVGSVIVIGGLYLLLWGKRQEALQQHPRVAKDDQEQQQQQQEQVQSQP >SECCE5Rv1G0327550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:493411151:493413951:-1 gene:SECCE5Rv1G0327550 transcript:SECCE5Rv1G0327550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPRLLVVLLLFCLASSSALASDGDEGAATYIVYLNPALKPSPYATHLHWHHAHLDALSLDPERHLLYSYTTAAPSAFAARLLPSHVTELRGHPAVASVHEDVLHPLHTTRSPSFLHLPPYSAPAPNADGGSSDVIVGVLDTGVWPESPSFADAGLGPVPPRWRGSCETNATDFPSSMCNRKLIGARGFFRGFGAGGRNGSSHGTTELSSPRDHDGHGTHTASTAAGAVVADASLLGYAHGTARGMAPGARIAAYKVCWRQGCFSSDILAGMEQAIEDGVDVLSLSLGGGSYPLSRDPIAVGALAATRRGIVVACSAGNSGPAPSSLVNTAPWIITVGAGTLDRNFPAYAKLGNGETHAGMSLYSGDGLGDDKFPLVYNKGIRPGSNASKLCMSGTLDAVAVKGKVVLCDRGGNSRVEKGQVVKLAGGVGMVLANTVQSGEEVVADSHLLPAVAVGAKSGDAIRTYVESDAGAEVALSFAGTAVDVHPAPVVAAFSSRGPNRQVAQLLKPDVIGPGVNILAGWTGSVGPTGLTIDERRPAFNILSGTSMSCPHISGLAAFVKAAHPDWSPSAIKSALMTTAYTVDNTGSPLLDAAGANATATATPWSFGSGHVDPVKALSPGLVYDTSIDDYVAFLCTVGGASPRQVQAITGAPNATCQRKLSSPGDLNYPSFSVVFGLRKSRTTVKYHRELTNVGAAGAVYAAKVAGPPSIVVSVKPARLVFKKAGDKLRYTVAFKSTAEGGPMDAAFGWLTWSSGEQDVRSPISYTWGM >SECCE3Rv1G0162140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:126298312:126298842:-1 gene:SECCE3Rv1G0162140 transcript:SECCE3Rv1G0162140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTETSVLVAPPLKTVQLGQGEGAAQPGCGRAFWAILSLVTGNLAWGLYRARRRGHDLAFVIMAYYPIYFCLWGLDVCIRRHELLRRDDDPAAAPERRRARFRVWASSLALGGMVVLQLAGDTPDLPPKFALTVLGCLAVALAWCCIYAGYRARADAGSWPEKDLLREVSPEHRV >SECCE5Rv1G0327220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:490835346:490838486:-1 gene:SECCE5Rv1G0327220 transcript:SECCE5Rv1G0327220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPPPPTAAARPNPSIPSSSSSSSSFSTVSPRGPISLCGASRASPVPFQQRRPSPLARRSRLPGPIRCSDASGEVDAVNIEETKQPQGGSTGERNNGKDLFRRFSPKELLEQLKRYGAAGVLSYGLLNTVYYVTTFLLVWFHFSPAPGKMGYAAAVERFLKLMAMVWAGSQVTKVLRAGGALALAPLVDRGLRWFTVKFNFQSEGKAFATIVGLCFGLAALMFVGLTVLWA >SECCE5Rv1G0316440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:321157616:321161974:-1 gene:SECCE5Rv1G0316440 transcript:SECCE5Rv1G0316440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGTSSEGAARALLQRYQPFGSPPGEYHHFGPADGGGSAEMMEAVVLRTPLKRKHNREDNEVAESNDWMMSPGYANAANSPIPTPPLGKGSKMSVKPKAAKGLKSCPQTPLFSGSPGNPATPVGGCRYDSSLGLLTKKFLNLLKGAPGGMVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQGDIEALTLQEHSLDGQISEMRDKLRELTEDENSQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRPAQIISMDSLENPRTPLAAGSNKDVEMENVQQGLIMPPDAPTTSQDIGGMMKIVPSELDTDADYWLLSDTGVSITDMWKTAPDVEWEGIDINAEDFLEVGTPRQQDQQPSEMVDHPSCIS >SECCE6Rv1G0378880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11077215:11084019:1 gene:SECCE6Rv1G0378880 transcript:SECCE6Rv1G0378880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGGADAGRLGGGCTHVVACGRVYDDPVCVAARAQGNKVVSELWVDDSLDRGFLADADRVIYWPTKDLNGIPGSDSLQICLTGYQRNDREDIMKMVSLMGAQFSKPLLGHVVTHLVCYKFEGEKYELAKRLGIKLANHRWLEDCLKSWEILPVDDYNKSSWELEIMEVQAKDSEDEVEDDRKPFNNRSGVKHTPNPKNSIGTSHNPEIDVPVIPNDNQNMAVDRHLNTPGQIRNEESVVNNTHDIAAQGTPNISRLASSDNTDSGAPVETPPVISGNREEVAVRNLNSPNQVQPAEYKYVGTDIATGALGTPSSSRMTVSANHHSHSPDETLMVPKNIATPIAMNAGAHSASMEVDGSLVNNGNAEVSESGIEKTIPHQYAGVTSKKGSSSTSTTEKQTPPLKKVPLSRVASALAKKQQSVPSKLNDAHVGSNLELTKVTSQENIETHSSDDTLMVQTNIATPRAKNPGAKRPHSANMEVDGSVVDNGKAVVSESETDKTIPHQHAGATSEKGTSSASATERKAKSPKKAPVGGMRRNALGKRQQGVHIKTNDAQVGSDLELGKVTAQENIEIHSPSEALMVPQNIATPKAKNAGAKRPRGASMEVDVSVVGNGKAVVSESETGKMIPRPHAGETSEKDTGSASATERKRTPPKKVPVGGARRSALAKRQQSVRTELNDAHVESDLDLSKVISQENIETDPKRFCSGANDDEHGRKSPKKLPNTRVKNTVVKKSRKSDTSTTIEPPVDKAETVPAESLFDDLFPSENVKDDPKKLSSSASVDGCGTVSPENILKTRVRKVVAKRKIKNVEDKSGSKHCKIGSSIVSAAKIFSSKRMESECNIEKATADKDSLNGNNDGMRDVSELSREDTVTVDKSEGMQNSKLRSSGRNKAPPSEHDNDNQQDHGDLSSKTSHGNGGLNSKFALKSKKNKTDMLDKHGGIDKSEGMNTSKLRCSKRNQAPASDHDNDNQQDHGSKDTVAVDKSVGTHNSKLRSSARNKAPASEHDNENQQDHGGDTVTVGKSEGMHNSKLRSRGGNKAPASDHDTENRQDHGSKDTVTVDKSEGIHNSKLRSSARNKTPSDHHNENRQNHDLNSKFALESNCGNGDLNSKFALESNCGNGNLNSKFALESMKNNTDVLEKHGGIEGNGAGTLITLDPACFILSGHRHQRKDYRSILRRLKGRVCRDSHHWAYQATHFIAPNPLRRTEKFFAAAAAGRWILKSDYLTSCNEAGKLLAEEPFEWSGTGLNEGETISFEAPRKWRVLRQQMGHGAFYGMQIVVYGQLIAPSLDTVKRAVRAGDGNILATAPPYTRFLNSGIDFAVIAAGMPSVDAWVQEFIRHDIPCVSADYLVEYVCKPGYPLDTHVLFKTNRLANKSLDKLLKNQQEVATDNLEASEDDGDDDLSCAACGSTDRAEVMLICGSEDGTVGCGAGTHIDCCDPPLDRVPDDDWLCPKCEAPKAKKKPPRGPASKSRGSSKQRR >SECCE6Rv1G0432270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:750750869:750752879:-1 gene:SECCE6Rv1G0432270 transcript:SECCE6Rv1G0432270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGSEGSGMEECSEDRCWEDLARDALGLIFCKLSLQEILTVVSGVCKPWSQVASWPDCWQDIDIQEWSQQSEPDQITSMVHMLLTRSTGSCHRLSVSRLPNDSLFAFIADHAQSLKTLEIPMSKISDSIVEDVAQRLTKVTFLDISSCTKIGARALEAFGKNCKSLVRQRRVKHPMDVAGKVCHNDEARAIACNMPKLCHLEIGYMLIVTTAVIEIASQCQDLKFLDLCGCWDVDGKSLQLKYPELKILGPAVDDCYENSFWDECSDDDSIDAWQEFVDDVYFTSGSDDEAIWDDDHALE >SECCE6Rv1G0377210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:769695:770119:1 gene:SECCE6Rv1G0377210 transcript:SECCE6Rv1G0377210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKLGVAAIAALCVLLLVLPGQVAAKSKFCECYEDCYRQCRHHILRFACVPFCSNKCSPSQAAAAAGGDRDRCREACANKVKICGQSDPSVDECERGCL >SECCE1Rv1G0029200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:410823349:410824005:-1 gene:SECCE1Rv1G0029200 transcript:SECCE1Rv1G0029200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLHLMALQPAPYPHHQQMHSLSTTSILSPPRATPSRQRRAPARRLHAAGNGSGVGTPAADSTSNKKTSRKEKQRQQQRVREREEQQQLLLLKESLVEPAREEEDGGGRGDDELPQVVFDRILRRILFTVGVPMASGVALLNVYDALKRGGGAEVPSWVQLLTILLSFGTSALGIAYGTLSASWDPEKEGSLLGVDEARTNWPELWKEEIDKDNKQ >SECCE2Rv1G0073010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:63594484:63596190:1 gene:SECCE2Rv1G0073010 transcript:SECCE2Rv1G0073010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAYLETDENLEALISRIEQKSRKIETLLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIRDIDGMFNSLDTEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTERAGLGCILRTLADTVNTV >SECCE5Rv1G0353100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:713641981:713643048:-1 gene:SECCE5Rv1G0353100 transcript:SECCE5Rv1G0353100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPAGGRAAKRARRRHLYLVTEDWKEGYSIREVDLAEDHGPADSDADDQEPRRMPPPVLRLEAPHNGPWHFAAAFGTKIMALHLTPWRYTPVFDVQTRCLTFGPPPIGMATAPVHVPVGDSLYKFSFHKFHVLRPPPPRCEVLCCKVPEEWSWRCLPGPPFGKDHVTSHAVHPDGRTLFVSVRVKGRDTGDTFSCDTGADPDDPEWTLHRESELPFKGPGHYDPKLDAWVGLTGDPATVGHICCCQVPSPDDACSPSLAWKLSREKLFCRDPTEAHTGASLVYLGTGRKSRFCLVEVLSRGSRHQLRLTTFSPRYHKNGDLGISKRRWVQSFKLPKTRGKESGFLKNPVAFWL >SECCE2Rv1G0142920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943108761:943109719:1 gene:SECCE2Rv1G0142920 transcript:SECCE2Rv1G0142920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MASRSAALCFSAAVTSTTATGGGGRGRSRRRFLVVCCDGSRRSDLLSSSLAAKVLGAPTTFDEAKLTVQFTGAGEAFPRAYTLSHCDFTANLTLAVSETITSEQLRQWGWRRDDVFAEWKEMTCTGGPGGGEMTLHLHCHVSGPNPLQELAAGFRYYVFSKELPLVLKAVVHGDAALFAARPELMDARVWVHFHSSSRKYNRIECWGALREATKRNLLDGGLVDELQSEITERRRTWNIFNALVSFLL >SECCE4Rv1G0261760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:704194951:704201481:1 gene:SECCE4Rv1G0261760 transcript:SECCE4Rv1G0261760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G24300) UniProtKB/TrEMBL;Acc:W8QN76] MAAATGVGAGCLAPSVRLRADPATAARGAACVVRARLRRVARGRYVAELSREGPTARPAQQQQLAPPLVPGFLAPPPPAPAQAPAPTQPPLPDAGVGELAPDLLLEGIDEDSIDSIIVAASEQDSEIMDAKDQPQAKVTRSIVFVTGEAAPYAKSGGLGDVCGSLPIALAARGHRVMVVMPRYLNGTSDKNYAKALYTGKHIKIPCFGGSHEVTFFHEYRDNVDWVFVDHPSYHRPGSLYGDNFGAFGDNQFRYTLLCYAACEAPLILELGGYIYGQNCMFVVNDWHASLVPVLLAAKYRPYGVYRDSRSTLVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSQGYSWEVTTAEGGQGLNELLSSRKSVLNGIVNGIDINDWNPTTDKCLPHHYSVDDLSGKAKCKAELQKELGLPVREDVPLIGFIGRLDYQKGIDLIKMAIPDLMREDVQFVMLGSGDPVFEGWMRSTESSYKDKFRGWVGFSVPVSHRITAGCDILLMPSRFEPCGLNQLYAMQYGTVPVVHGTGGLRDTVETFNPFGAKGEEGTGWAFSPLTVDKMLWALRTAMSTFREHKPSWEGLMKRGMTKDHTWDHAAEQYEQIFEWAFVDQPYVM >SECCE3Rv1G0202040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:868999490:869001361:1 gene:SECCE3Rv1G0202040 transcript:SECCE3Rv1G0202040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLAVFHPAGHLSSHRRPQNPACPRASLKLLRPPASAPPRRSRGFAAESAAISGGGGGRRRGGPVDVVAVAAALRNAKTAEDVKLSVEDFLGSGGGDDEHLPLQVYTSVIRGLGKEKRLDAAFAVVEHLKGRGDGSSLNQFVYNCLLGAVKNSGEFGRIQDVLADMEAQGISPNIVTFNTLMSVYVEQGKIEEVFRVYDDIEGRGLVPTAATYSTVMSAYKSAGDGFAALKFFVKLRERHKNGELTGNPADWKQDFVKYEKLAIRVCHMTMRRSLTSADNPAGAALKVLLAMDEAGVRPDRNYYERLVWACTGEEHYTIAKELYQRIRERDDGEMISLSVCNHLIWLMGKAKKWWAALEIYEDLLEKGPKPNNLSRELIRSHFNVLLNAAKRRGIWRWAVRLLEKMQEKGLDPGSREWNAVLLACSRAAESSAAVDTFKRMIDRGLKPDVVSYGALLSALEKGGLYDEALRVWEHMRKVGVDPNLHAYTILASIYAGKGDHDKADAVLRDMVSAKMEPTVVTFNAIITACTRSGAAFEWFHRMKMHSVEPSEVTYQVLIQALVQDGKPKLAYEMYMRASNQGLKLSAKSYDTVVKACQDYGSLVDLAPLGLRPTMEVDTSR >SECCE6Rv1G0439980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:800699205:800701258:1 gene:SECCE6Rv1G0439980 transcript:SECCE6Rv1G0439980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMPSPTAPWFADLFTDVRVRTLSHQVTTLGDRVWELERKITGLICEKGKLEKQLEETKAISSHKEEVERSFKAENDKLRSEVSIAEEKCGKSEAELERLRKELGALAEAKEAAAKEFNNERAKIRLESENLKRRLEEIQAIKDLAESENDKLRSEALIAKEKHNMSEAEIERLKIELGGLAEAKEAAAKAFDVKNAEVTEELEELKRKLKEIQTNKDLVDGENDKLRSEVFKVEEKCRQSEAEVKCLKQVVGALVEAKEAAAKAFEAEKVEIMKEMDNLKRTIEEIQANKDSVESQNHELQSKILIAEHENSVFEAEVKSLKMELSVVEEAKEVLAKEFDAEKAEILKKLEDLKGNLEEFQVNKDLLEGKNDKLRLEVFAAEQKQSMSEAEAKSLKMELVALVEAKEAATKVFDTEKAKITKDLEVLKRKVEEIQTKKDLVEGEKDKLRLEILIAEQKHAMSELEVKRLKMDLAALAEAKDAAMKSFDTEKDKFMKEVESLKRKIEEIHGSKEAAEEAGRNKDVEADRLKDELVKIRVSVSQLQASCIELDAKHSRLNDEMNSVQKALVCEKVEGNKLKLKIEELENYIAEKDGENGKLKAALEEKKSEIDALSKDNEQLHLTVAEAHDNNKCGILSFLSPRGSK >SECCE5Rv1G0339210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:606020803:606022683:-1 gene:SECCE5Rv1G0339210 transcript:SECCE5Rv1G0339210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAALLGPPIAIVDTDMPDRKPTPKKPKRAQLRGDSSSSDDDSDSEGDYSDTEPLRSCVSRPPKAEGKALAYASSGDPCVDFFFQVVPGATAAADVAALLSVAWAGDARTALRLVCHLRGVRGLGKSDREGFYAAALWMHANHPRTLAANLASFARFGCLKDLPEIVYRVLHGPRDERKDGDDSTRVHRRGGSRNNKRRCVGAAAEAAKARRQKETEHAQVVLSRYDSDESFRFLYDRVAELFAELLKSDLEHLRSGDTTKIGLAAKWCPSLRSSYDRSTLLCEAIARRVFPRDSSPEYLGIPDKHYAYRVRNRLRREVHVPLRKVLELPEVYMSARKWDELPYARVASRAMRQYKQAFDKHDKSGVAGFYDEVRAGLTRMPADAVLPHEILAAALKGEHDESAELQWRHMVSSLASEGRLSNCIAMCALSSSVEKPPAAAAIALGLLISELSKDPWKGRVITFDATHQLHKVRGASLVEKLRTLAAVRAQKGANLQAVFNKILNVAVAGALSKDMMVKRVFVLSDMEFDGWVGGEAWVSEHEAIKKKFAAEGFAVPEVVFWNVGTSKASVPVVAAQAGVALVSGYSKNLVRLFLEADGVLTPSAIMADAISGPEYDALEVLD >SECCE7Rv1G0458020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19644841:19646991:-1 gene:SECCE7Rv1G0458020 transcript:SECCE7Rv1G0458020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDNPNTVTDRGLFSKSGKDDAAADKKKKEEEEKGEEGGGFIEKVKDFIHDIGEKIEEAVGFGKPSADVARIHVPHIGLHRADLVVDVLIKNPNPVPIPLVDIDYLIDSDGRKLVAGLIPDAGTVRAHGEETVKVPITLDFDDIRSTYADIKPGSIIPYLLRVVFLVDVPVFGRIKIPLDKSGEIPIPYKPDVDVDKIKFHHFSFEETTATIHLSLENKNDFDLGLNLLQYEMWLGDDSVVEAELTDSTKIEKQGITKMQIPFTFRPKDLGSAVWDMIRGRGTGYSIKGKIDVDTPFGNMKLPIDKVGGTTRLKKDDDDDEE >SECCE6Rv1G0404280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:514624138:514630932:1 gene:SECCE6Rv1G0404280 transcript:SECCE6Rv1G0404280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASPRAAPAKRRRGDDGTGMRRVAEIVMVLAAAGEMRGGREPTAAERALAAEARERLAAAVAQGATRPKDLFPGEAVRAVVEDLGLNRAKDPAAMGFRPPKASIADRLLLTKRKMEEVKESPVQPTAITPQTTVSSGTAEFQPHGTPMFAVGSHRTPPAAAASPTTAPVTSTSAMALKQHGSSPVKPVTNHSVVALSHTGQPHVKSERGVNGPLNLTRATVGHLNKPFHDTSARSNSNAAASNNQVVKNQDTKVGAIQAVTVNPVMGHHATPGTASSVTAKPIFANHNAIAKSVQNVLQQPANHPSWTPPSTEYMQARLDCQICKVAIMDASSLLVCDACERGAHLKCLQHYGNKGVPIADWHCPTCVAQSKGKPLPPKYGKVTRTVVTSQSGPPVGGTQFSVQRVGGKTVAKGNHQALAANGNIIKQNSMKTGNTVHNSPVLALNAATDLSQSQAVSISGTAKGNANNAETSSNDMEWNEQSCSSTGCEFTAGSELSLHSVDSPNDTVHGQQSTVTSRANCPDNSSAIAADMKIKSEAQSEAPVGAVKMVDKNVTPVDQASNTGAEHNKGIQATSEPELDTENNVDITNITEKPIDQGSAVVAEEKAHTEANSEPHTIKDAEMTTSTGIPIGQSSNISMEEKIAGEDNTGTQATSEAQLIEDVEMAVMDGKSNIGIEENPQSEATYVVKDVEMTIDAGIEADHTQLADGSTEIGVGEPHHEEACIDKSDFSEISDRHTNHQLIPNGVLPATDEALCSQETKEGDLVGCSAALREDSN >SECCE2Rv1G0109880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:681732308:681738040:-1 gene:SECCE2Rv1G0109880 transcript:SECCE2Rv1G0109880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHTIVLLQPSPNKASRTFMDFSSLNQALDGICARYEKKIMDINPMARNFTYDITDLYNFIDGLADISALVYDHQLQAFLPYDRQWIKQKMFQHLKNQARQ >SECCE3Rv1G0160960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:110362698:110363265:1 gene:SECCE3Rv1G0160960 transcript:SECCE3Rv1G0160960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVMLAFEKYKEGKSQFKDVVHYCLDELQHQCFSMESYGNTFHHFNFTVKMEKSGGDSSSTPFFAEVKEIHGRKYYSCYELSSYDDGHCYACKNQGMHALKLPICLMGYASGHADMESSFLYLSDDE >SECCE6Rv1G0408900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:577751762:577773053:-1 gene:SECCE6Rv1G0408900 transcript:SECCE6Rv1G0408900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFMSDEELRLLGGDVAAVAERADAAIRELRNQVDTVRAEADASAIAAEQTCALLEQRFTTLSAEVDRYQAEAAELTAASERRAADLASSQAEIHQLRIQAIAKDGEVERLKVEISELHKSKCQSLELIEQRDAEIREKDGVIQSYHDKMVNQADSSAGKEARIHEVEAKLTHCQATCNRIEQEKELLEKHNLWLDEELKAKVKNLSDLRKANMDEESRMSAKIAELEREISESRSSLRRSKERISELEQRVSYMEKELLSAKDAAAANEQRLGAELLTVTKLAELHKESSSEWSKKAGELEGVVKALETHLTQVEDDYKEKLEKETLAKSDLEMEVANLKQKIQKCELDLENSRKSGELSLVPFTNIAADPADLSDTPMKEMSLSDAVNQNDLMIVPRVPSGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHERMVEAYALMDHKLQQALLEHDNFENTIRNLKSELKRSERDHFIANKEIDDLQKQVAVLLKECQDVQLRCGSSVPIVGHGAASTSISNGMSNAENNSHAHMAFNDINGLVQQNVQLRNKVHMLSTDLEKKDVELRESFQIELKRITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKTRSSIANISSNLQDDGRKDLMVLFEGSQEVSKKTHEQVSERARKLDEELTKLRTEFMSLRSERDKAVLEASFARDRLNGFMAEIDHQRKEANSVSLRNAELMHLVVDYEKRLRESSDTMQALEESSRKLSMEVSILKHEKEILVKSERRSLDEVNSLSERVHRLQATIDTIHTTDEVQENARSMERRNQQEYIKRLERDWAELKKEVQEQRDHVRVLTLDKKNAFESCMKQVEDMRQELQSSWKASSDAEARAAVAEAKCSDLEAKLKSKRIIFRDGGHDNSAVNEVNDELFRLKEELEKCKEEAHANKNYMLQYKEIANSNEVALKQMESAYQDYKTESEMAKRSLEDEIAKLRINLSEFEKSYVMKCEEAASAIEVKEKDITTLMNDIAILRNEVSQKVSRIENLEVELASSKNALDEQCKRWRSAQDNYERQVILQSETIQELTNTSKQLSSLQQEMAMLRQTADAHKAENDALRTSGEQEKIELVKEKDEALRKYSELNDQNKILHNRLEALHIRLAEQERGIAGLSSQRTDSHGEDDLHGVVSYLRRSKEIAETEISLLKQEKSRLQIELESALKSSKEAQDLLRSQADSARSSMFKDEEFKSLQLQVREINLLRESNIQLREENRHNFEECQKFREEVNKAKIEAERLNKILLEKQVYAEVCTKDLDMKKTEIANLNQRISELVENSRGVDLNTYETMKGELQNVKSTLRENSVELERAKNLLSEREVAIRNLDEKLAGCQSELGAREKKLNDVEASLKSEIDRHKKITLSFKKKVDVLVREKADLIKENKSLLKQIEDLNKSSQKTPLETSNVQAVKEKDIRIQTLEKILEKERDDHKKEKASRRKAESVFTAAIQNLSKEKKQIEESIEKHRQAVKEVIEHYSGQSTQIPSGSAVEEQFRSYFLMAKKMEESPSPFQDGSTSQTPPIDTPTVDAAATGRQVATPPRPAQVKVMEEKTVSSLPKPSTEQRRSRRVLVRPSLERTEEPQADAATPVVDGSTVQEKGGTSTERGSAVVQEKGGPSLERETSGSVSVLPSGRKRLMPSSQMRDDASHGEANDANPPSKKPKEDSAQSSSELKTDQSAPEDVTTEAPVVISMDNQDVQQQPGEEMNTDQASMPVEEVEDMRDDEVGNNDDMEEHTGASMDIEGQDAEVNMESDATAVEDVPLKSEAVVESFDEDQKLEDAKEEGQITTATDAEDEREEGELPDEPEQQSDSSPLDIGEQAGDAFRAASPSGAAAKSDADMSEEIVEGDGTAEVAEVEPDQSPLAQSGGADASPSRTTDASPVREPSPSNPAAGASSEQQNPGTAAESVGRTINLTERALQNRTNRQNRLVRTSTAQPSSSRGRGRESGRAPPRGRSRRGGQP >SECCE6Rv1G0407240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555359758:555360348:-1 gene:SECCE6Rv1G0407240 transcript:SECCE6Rv1G0407240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAELASVPYLSAATAAFGPHYQVAPDDFLLQYDSHYSSLLVPQAPSYQQHEVAQLLQEAAGGGGGLLLPFGTRSSNSDESDEYHQQQAAQCGGGVAEERRRRRMVSNRESARRSRMRKQKQLSELWAQVVHLRGANRQLLDQLNRVIRDCARVLRDNSGLRDERAKLRARLQELPAVPAAVAADGAEQEDGRVI >SECCEUnv1G0533180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:27206038:27207432:-1 gene:SECCEUnv1G0533180 transcript:SECCEUnv1G0533180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSQLLLLLLCSYHSLVARAETVCADQPVTPSSSGGPTVPLNHRYGPCSPALSTAEPTMAELLRADQLRAKYVQRKFSGADGHQPLDLTVPTTLGSALSTLQYVITVGIGSPVVLQTLMIDTSSDVSWVHCRSPTGSVLFDPSKSSTYAPFSCSAAECTQLGTKANGCSNSTCQYVVDYVSLANNTGTYGSDTLVLSSSDTVDNFQFGCRQDEAEVEDSTDGLMALGGGVQSLVTQTEATYGKAYSYCLPPSNRTSGFLTLGAPNDTSGFTSTPMVRWEQVPTFHGVLLQDIAVNGTRLNIPPSVFAAGSVVNSGTIITRLPRAAYTALSTAFKASMTQYTTAPPRSILDTCFDFTGLDSITVPDVALVFDGGVVVNLDVRGVIIAGCLAFAASTNPSGVPSVIGNVQQRTLEVLLDVGQSAVRFRPGAC >SECCE4Rv1G0266960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734006789:734007886:-1 gene:SECCE4Rv1G0266960 transcript:SECCE4Rv1G0266960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAEKLHVLVACALLLLLAVGCQASPLQIGFYHDRCPQAEGVVKGIMMEAISQNPGNGAAMIRMLFHDCFVEGCDASVLLDPTPFSPTPEKLSPPNNPSLRGFELIDAIKDALEAACPDVVSCADIIAFAARDASCILSAGKVDFEVPSGRRDGTFSNASEPLKFLVPPTSNLSDLVDSFVVKGLDAEDLVILSGAHTIGRSHCSAFVPDRLNVPSDINGGLAAFLRDQCPADAAPGGNDPTVMQDVVTPNDLDRQYYNNVLSHTVLFTSDAALLTSEETARMVVDNANIPGWWEDRFEKAMVKMAGIEVKTGNQGQIRKNCRAINYY >SECCE6Rv1G0423060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:693639069:693640109:-1 gene:SECCE6Rv1G0423060 transcript:SECCE6Rv1G0423060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGRSISAGQRQRTRVFVVAQDPSEVGHLVFKFNLKHLFSSSPSQPKEEGPQAQPEAVACLKQVDNFERMTFAASVSGDVIVAANYAGSGRTLIYDAGGGGVSPGPEMRSVKHYLLLVPVGDRMFFAISAYSRLDVPKGGPWFEVLQQQLLPGGGGGGRWAWSAVPDPPGLPRGKREDVRAYFVAGARVWISLRLQGTYSFDTARQRWRKEGAWMLPVLGRAVLVPDFLGSGRRLLFGIRSYRPHQFCAVDMDAMPPTVLRSWPEALPTVGWAAGYKTCSFLPQVTYFGGGRFCVSVTNQTSEENLRSVVSFKAVELTAELQLIERRSSCYLMPQSSRGSPVTVI >SECCE6Rv1G0442480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:817585856:817587949:-1 gene:SECCE6Rv1G0442480 transcript:SECCE6Rv1G0442480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQSPTKSDAGGGGKYTPPSKRLGASGTGDGTFVVAAPTRSVGVPIQYPQLTEGNYQLWAAKMKIILKPMGVWSAIIGEDVDEAKDQGAMAAISQSVPDDVMMSIVEYESAKEAWDAIRTMRIGDERVVQARISHLTRRFERLTMEGGEDVGAFGRRLTALVGEIRALGKNLQEHAVVKRLFAAVPDRFLPIIGTIEQWGDIKKMSVAEAIGRLRAFEENESGRRQDRDDDGEKLMLVSRAELEALIQKERKKGEGSSSGGKDGDARGGGRGRDDDKKKPCGKFDKSKITCFECGEKGHFKSECEAWKKDNALLAAADVDYEPGLLMAVACELAPGAEEQVADVVQPEDMEVKEVLRPFAVEAELEAARAEAEQLRGELAIANAKLYAASHEYRAEAKDVVAESVDDEYMLLLESVHAYEEDVQRAKKEKAEMQESQRLLREENAELQVVVKKAMTIAVQAGTTMLSTAVAATTPAGTAVTCTGLECPAPDQGRVRSPGKQGNAEDLVPSIFQLCKGEVHPVADRVERIFLHEKKVKPKLSSSDVNHKNNTWYLDSGASNHMTGSRESFVVLDESIKGSVKFGDDSVVKILGKGTVFLQSVSGQQILLHNVYFVSKLTSNILSLGQLDEEGCKSVQRRGFMSVFDRSGKLLARARKTKNRLYVLNLKKAADVFDSATTTAAQSGGSARGGANVGPA >SECCE4Rv1G0250520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:593459397:593460454:1 gene:SECCE4Rv1G0250520 transcript:SECCE4Rv1G0250520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKWVRPEVYPLFAATGVAIGICAFSLLRNITGNPEVRVNKMGRAAGVLENHEEGRRYAEHGLRAYVQDKTPEIMPGINKFFTSPK >SECCE6Rv1G0444110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826772843:826773493:1 gene:SECCE6Rv1G0444110 transcript:SECCE6Rv1G0444110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLSKAGLTPAATYEYGLLFSENELEPGDAPDFDHDLLKSMGIAVAKHRLEILKLARKDAAAASSQSSSSAAARLARRAGRCIARCARRLGGVGGGAAGGSRYSSSSVTVVPRICNGAAGDVVVRAGAVRRRSSVKKMVLMITDGGVASGGAGAGVRFSGSQKASLMFQDCAYEDDEDGAREEDEERCSDGGTAGGQSEIKWDSMFQDLKPT >SECCE3Rv1G0200620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:855477154:855480955:1 gene:SECCE3Rv1G0200620 transcript:SECCE3Rv1G0200620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRVIHIRNVGHEISETDLLQVVQPFGAVAKLVMLRTKNQALVQMEDLSASISAIQYYTTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQDEPNRILLVTVHHMLYPMTVEVLHQVFSPYGFVEKIVTFQKTAGFQALIQFQSRQSAIQAAGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRSSQLGYNDPSLFGFQQPGGAYGQAAVIAAAFGGTLPPGVTGTNDRCTLIVSNLNSDKIDADKLFNLFSIYGNIVRIKVLRNKPDHALVQMADGLQAELAIHYLKGAMLLGQKLEVNFSKYPSITPAPDAHDYSSSNLNRFNSNVAKNYRHCCAPTKIIHISALSPEISEDAILEHLGEHGTIVKSKLFEASGKTQALVQFESEEEATEALVCKHASKLEGSTIRISFSQMQNI >SECCE2Rv1G0071920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:48030469:48032703:-1 gene:SECCE2Rv1G0071920 transcript:SECCE2Rv1G0071920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTNLILLPLLLLATLSATPSQCYVSPSAASVHQSATQTSTYHTYIVLVETPPSGVEEEGHRRWYETFLPSAHVGESGEPRLLHSYIEVFSGFAARLTEVELDVVAKKPGFVRAFPDRTLQLMTTRTPEFLGLRNDTGFWSDAGYGKGVIIGLLDTGIYAAHPSFDDHGVPPPPTKWKGSCKAVRCNNKLIGAKSFVGDDDSYDFYGHGTHTSSTAAGNFVTGASDHGVGTGTASGISPGAHIAMYKVCTRDCHDSVILAGMDAAIKDGVDVLSLSLGSMYGVGFNYDPIAIGAFSAISKGIIVVCGAGNRGPTPKSITNDAPWLLTVAAGSVDRRFDAGVHLGNGKRMDGEALTPAIKATSKPYPLLYSEEHDFCQYEDPSSVAGKIIVCQASTPASQSPDIASLMAAGAAGVVLFNDEAASYTIALHDYKARVVQVTSADGVALTAYATSATKDAVATFTYNNTVLGVRSNPVVASFSSRGPSSNAPGLLKPDILAPGLNILAAWSGPSFKIVSGTSMATPHVSGVAALIKSLHPDWSPAAIKSAILTTSKIVNNIGGSILNERHGKASAFDRGAGHVNPVRAADPGLVYDLGVTDYAGYICWLLGDIGLRTIVRNSSLTCGKLPKVEDVQLNYPTLTVSLASTPFTVTRTVTNVGPAGSTYAAKVDSPSSMTVRVSPETLVFSKVGEKKTFNVTVICQGVGESEIFVEGSLRWVSKKHVVRSPIVAIRGVGGHAPAPSP >SECCE7Rv1G0498650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:643136127:643138127:1 gene:SECCE7Rv1G0498650 transcript:SECCE7Rv1G0498650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSEDCELVEDFMDVEDDTGTADLGQSTGVMTSHIHCIDPSEESMLTAGNELLPAADELGKNAEPYLGMEFASDAAARAFYNAYALGLGFGIRVARSRSERRKGTEVLVMKRFVCMKEGHHKKKDVDSSNKKKRKRLSIRDGCPAMMEVVRRGPEKWIITKLVLEHTHVIVSPDKVREVQLLRLSGKEHADQLLEVRRNVFGDTGASGLFTYLMRRQSENSGFFYNVQVDSSNCLRNAVWVDARSKMSYKYFGDAVYFDTTYTQNENMLPFAAFTGVNHHGDCVVFGCALILDKTESSYAWIFETWLTAMDKRLPFSFTTDEGKTMTETVAKVFPQCFHRLCRWRVLSKCRKKLSDVYMRFPELHNELKRCVNECDTMPVFDMFWDSILDKYGLRENTWLQSLFEARHKWVPAYLTGSFFAELSLTRRAETISRFYRNNFSTRAPLLSFITTFDQHIDRLYMNEAQKDLALFPPEQLLKTNSILEKQAASIYTRAAFEFFQTELIESLHHYAVKVQESPYEAKYYVERDGDPPTRHTVVYNVAEKKAWCDCCRFAFSAILCRHVLGVFILADIDMIPEPCITKRWTKKARTGPMFVGRILEDENRHADSMTSRFSDLLRDAMRCGEKGALSEGSFKFAKEVLHKAYREIDKLTKAGPQQVGNR >SECCE2Rv1G0124160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:830073689:830078432:1 gene:SECCE2Rv1G0124160 transcript:SECCE2Rv1G0124160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVVSGPDYDDDYADYEDYEDDYDDYDETGHADVKPPVKEKESSKKSPNTVPVLWKCSMCTFDNHETMVYCEMCGVFRESFVKSGKDVSIKVDSVNGISNNSGTSALSNSDSTKMPVKTSTTNFDGDSERKYASTSHDKVNSTQLASVGSSSSTGKKKQPIISDKDVPVERTQLIADHFQLKEDQGSRASSSAQNKGSMETLSSDISQLSIERNNVNVAQPLPEEYKPEGWMLADQEPGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGKISKRDMHKNEKEAKEKGKGSFAYAWAMDESTEERARGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNLISGATQADAAILVVDASTGSFESGMDGEAGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKDRLEFIKVQLGSFLRSCNFRDSAITWIPLSAVENQNLIKSPSDARFTSWYQGLCLLDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQLAAFGKLETGAIRNGSKVLVLPCEEVATVKTIERDSSSCSIARAGDNVAVILQGIDGSRIIPGGILCHPGFPVPVANYLELKIRVLDITIPILIGYQVEFHIHHVKEAARITKIVALLDKTGKPSKTAPRFLKSKQNAVVQVTLDQAVCVEEFSKCRALGRAFLRASGSTIAVGIVTKIMRQDQY >SECCE6Rv1G0440810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:806886108:806887906:-1 gene:SECCE6Rv1G0440810 transcript:SECCE6Rv1G0440810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYSSSTVFNQPPLPDGEGEGHDPPNWILLDVLGYIAECPNTTFAQSSTSTGQRIEVSFCTARPPHVSHFCVHCPGLGPADFSLAPTVIGAEADLILFCVSVSPSILFNPRYCDYFLYRAHPRSPSLDLLPHPYPHCFRDKEVALLSLDGDGGEYAVAILTSRCLKVSLKEDGTLNETEFDLCLYRSSKAQEGWTSKVVSVADPVRDAVCPVDCAPYHETNKAITLRRGMVCWVDLWRGILLCNVLEENPLLVDIPLPLPARGNWRLYHKSLSYKYRDITVSPLKDTIKYIEIEVCPPRNPPDESYMDWFHQMRRDRRCNAPCTGSKARTWSLSTTTIPSWKEWLLDCTLGVADIDVQPIHSKQLPRPSSINDKPNQATLLQHLIIGFPTLSMDDDVVYMLSKACPEDQMEVVIAVDMRKKTLQGVAKLVTGKDFTDTRNCTSEISKYLSKDEVVR >SECCE5Rv1G0372660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:854126873:854129639:1 gene:SECCE5Rv1G0372660 transcript:SECCE5Rv1G0372660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSGLQRQSHSRLRPVPELPQTPCRPDAESNRRYSQLKCSDAGGDHSGELRLGLGVGGHIPNFHCKSLPTRSRLTNAEDIAVAKRGSMYQSSSEIGRIRRLQEVGRRKIDSVPSGDDFLSFDIVDDSDASSRPSTSGSGAHYLFSHRSRRSEAAKSSVETKRVNRASTKDFLDLSFRELPDQDFKLDRPRMDCTLLKTDDTSDGFLEISIEEQEDTTQVPCRNAAPHLLDGESARGAEADRQQKAGVCPSESNHHHGERQRAPASNFPDKSLPAKRESASDGTSPSEFVNRGTENITKSARSSPFKKMLSPIIKSKSVRSSPSLVDKEDPNSTAVSASGKNCVSRKSLLSDLSRTERSQTSSNCQPNGESRHMTEASLSPAHLRAVLKIDSRNGIPFYDFCVEGPEESISARSWESGSELSRIYTFHSGGKRGSTAGRSSKDERRCLPPTVGQMHASSYLCSEVGKDGVLNNSVNTEFVLYDIAHARRSFAADEKTHQCTEPSQPKSCGVVDKSFSGEYPQKISLIDHQHDARNNQEVSTSRPWSEEDLYPHLEIAATVIQIPFNKDKKCSSAGTIKVVTPSGLHGLSDDNESSPSSLLDRWRYGGGCDCGGWDMACPLVVLENAYDDNWVDSVMKESKHPMDLLVQGSKEELPALSMKSDGKGQLLVDFHARLSALQAFSACISLLHCSEASTAVVIEKGKHKLYSSSLKMLLEGEVMQLIEAVTAEEKKKLKTTKREKGEKAPPSAVLDPRRPPFSPLGRV >SECCE5Rv1G0331040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:536166314:536167513:1 gene:SECCE5Rv1G0331040 transcript:SECCE5Rv1G0331040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGLLLVMVAAMVAAAEAAATASAPRPFFVFGDSLVDSGNNNYLATTARADSPPYGLDYPTHRATGRFSNGLNVPDIISEYLGAEPVLPYLSPRLDGHKLLGGANFASAGVGILNDTGIQFVNIIRIQKQLRYFEQYQSRVRRLIGGPATQRLVRSALVLITLGGNDFVNNYYLLPFSARSRQFALPDYVRYLIAEYRTILQQLHGLGARRVLVTGSGPIGCAPAELATRSANGECDLELQRAAALYNPQLVQMTKDLNAQFGADVFVAVNAYRMHMDFISAPAAYGFVTSKVACCGQGPYNGVGLCTAMSSICPDRSLYAFWDNFHPTERANRIIVSQFMAGSPDYMHPLNLSTILAMDAAAMP >SECCE2Rv1G0115720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:755335829:755336176:-1 gene:SECCE2Rv1G0115720 transcript:SECCE2Rv1G0115720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAVRFASMATRRFAASATRPGLPTGAAPLRPAAAVVNGTTRLSIQPTVAAAAAALCARRGYAGRSQKTTTISEEEEEEDDGDFVDMSCDEDSDGDSDSDDLEDADLYASDTD >SECCE7Rv1G0521920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:875284280:875286346:-1 gene:SECCE7Rv1G0521920 transcript:SECCE7Rv1G0521920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPLELWSHQSMQILVLLSFGLQLVLFVFAGVRRREALVPRLLLWLAYIMADSTAVYAVGHMAFSSAVRPVREHQLVAFWAPFLLLHLGGPDNITAYAFQDNQLWLRHLQILVVQVLGAAYVLYKHIAFAGGGQDVKLLRIASYLMFIVGVVKYGERTWALKCGTLESIGASIETQPPAFHNHFHPQDKATDGEFHLRRAHKMFHLSKFTMVDSGSVVEEDSMNGHGLDVSIGKLLQGVELWTLMEIELSLIYDVLYTKAAVVHTFSGYLVRVVSPLTVTTSLLLFQFTSKDGHSTTDIAITYVLLGGAVLMETTALLNALASSWTFAFLSVTRWRWLRYTALCNERWDRFRRAVMWLHRLVKGGAGGDSWYKSRRWSNSIGQYNLLHFCTRPVDTPLTSPLLGRLARALGLTKWWNRKHYSGTVKMTDPIRRRISMYMSRLYSKGRFNTGMLRKKWGEYPLERRGLKHIGVLKNSLGVEFQEAIIIWHIATELFLTKSERAKAVDAAPDVHAIRVMSDYMMFLLVECPYMLPGMPQRRLYQRTCERLVTMQSADPRYPSSPRVVMDLFRVRDAPHSSISRVVEREGLANKLYDEYEYKEFSHLAPRLNHMARLAKELLEKEKDGTANSLELVLDVWMDILVYASNRCSREFHAQKLNSGGELITVVWFMAEYIYHASLVQRDDVV >SECCE6Rv1G0404110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:511813729:511817947:1 gene:SECCE6Rv1G0404110 transcript:SECCE6Rv1G0404110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPSLQGQFTQVELRSLKAKFVSLKRDSGHVTTKNLPGLMKKLRGLHEVVSEEEIAAFLLESYPDSDQEIEFEAFLREYLNLQARVSAKEGSAAAAGGGGAGGRKNSSSFLKSTITTLLHNLNQAEKSSYVAHINTYLGEDPFLKKYLPIDPSGNQLFDLIRDGVLLCKLINVAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVNNFSSDVKDGEAYAYLLKALAPETSPETTLETKDPDERAKMVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTSDIKQVTLTQTASRDDVLVSREERAFRMWINSLGVESYVNNVFEDVRNGWVLLEVLDKVSPGSVNWKLASKPPIKLPFRKLENCNQVVKIGKELKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNILQLLNRLRSHSKGSQGKQITDADILNWANSKVKASGRTSRMESFKDKSLSNGVFFLELLSAVQPRVVNWKVVTKGEADEEKKLNATYIISVARKLGCSVFLLPEDIIEVNQKMILTLTASIMYWSLLRQPQPEISEASEPSSMASDATSDIGSEDGASTAAPSEGEEVNSLSDTMSTLTTDDASSNAPPAENGSDASSNASPAENGTDASSNAPPAENGNDAT >SECCE6Rv1G0429710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737277369:737278866:-1 gene:SECCE6Rv1G0429710 transcript:SECCE6Rv1G0429710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQDVASMFAVVLFIGAFASVPTSVQSIGVCYGVIANNLPPANEVVQLYRSKGITGMRIYFADAKALSALRNSGISLILDVGNDQLANLAASTSNAASWVQKNVRPYYPAVNIKYIAAGNEVQGGATQSIVPAMRNLNAALSAAGLGAIKVSTSIRFDEVDKSFPPSNGVFKNAFMTDVARLLASTGAPLLANVYPYFAHKGDPQNIKLNYATFRPGPTVRDDKNGLTYTCLFDAMVDAVVAALEKAGAPAVRVVVSESGWPSASGFAATAENARAYNQGLIDHVGGGTPKRHGALETYIFSMFNENFKRGELVEKHFGLFNPDKSPAYPIRFQ >SECCE1Rv1G0000170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:777543:778469:-1 gene:SECCE1Rv1G0000170 transcript:SECCE1Rv1G0000170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWLSLPFLALLFTLLVIWLLKLSVGKSKPARKQLPPGPWTLPIIGSLHHVAGALPHRSLMQLSRRHGQLMHLMLGEVPAVVVSSPEAAALVMKTNDLALAGRPRSVTLDIFSSGGRGIAFAPYGDHLRQMRKVCVMELLSSTQVKRMEGIRAEEVGSLLRDITAAASTGAGHTINVSEKVMALSNGVVTRAVFGGKFSRQGEYLCELGKAIKLLGGFCLVDLFPSSRMVRWLSNGERHMKKSCGRMQRIISEIIDERKAMRAAQADDEDLLDVLLRLQKKDSLEFPLTTETICAVLFVSISLNFRY >SECCEUnv1G0530620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13740905:13741424:-1 gene:SECCEUnv1G0530620 transcript:SECCEUnv1G0530620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGQYIILDPSLLQLALKYKEKKMICRKCYARLPRGTTNCRKKKCGHSNEIRAKKRFLDKHTF >SECCE2Rv1G0132390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:891755080:891758890:1 gene:SECCE2Rv1G0132390 transcript:SECCE2Rv1G0132390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEETGSFKETWMGMESLSEEQRDEINIVAGDIMSLCSQRRGLLHSLTPEASVWKLQRLLGEEEGEIQVRRFAKKDFTAPVRGQEMEMASIFNILLQDVVKDMEDLIHRMMSMAYLVVEPGGATLSSYMADESVYSTSRLMHACKELYEDTYDNVQAEGDQTSTILDFHDRFLREPWNYENELAFLVTEQDVKAYRQLMEKNKNKAEELRQQSMAHVKQWEHRQKERDRYEKDKDKGKAEEYELRWAKAKEKEKEEKKKKLKKQEEENKKEKATMEYLNKGLQVSKELFALHRNGWQIGWGSKSVRCGGFLDTTTLSPMHFTHYTPSTIRSSCGVTGCTLQIYSVQIQLQNGLNWPLKVYGVVAARDTVDRNRNIVFSRSRIYSQELSEDGSLCLTGPSRAIVAGGPIDFEIELKIKVDDESQDKELITLSKRYDGTSTSLLLENSLCVAKLKLKQLSEAVQATIVGVCVVGGDWPFEHGCQVACSLIGAAHELDEDEIVLLDCRGDDKEVHVGSDGYLHLSRNVVSVQSGGTLVVVIRTYLESGRAAQDWKAEFPAKLCQTSEIEFSVGNSKVKIVVGWSLLVKEKQDLLLDWPAVA >SECCE3Rv1G0161140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:112522308:112523830:1 gene:SECCE3Rv1G0161140 transcript:SECCE3Rv1G0161140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGHNGHNSRTCSGHRGVESGGGGGLRLFGVQLQVGAAPLKKSFSMECLSSSASAYYAAAAAVGVAASNSSSSVSSSSSLVSVEESPEKMGHGGYLSDGLMGRAQERKKGVPWTEDEHRRFLAGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQAGLAQKKRRSSLFDVVEKNGDRGTTERRHRLKPDAISSVDAMGLSFPALSLGASRPRPDATLPPCLTLMPSCSSSPSSSASRAPKLPPSLGPVANANPPRQAPDLELKISSPAARKTEQQAGAAAGSSPPFFGTIRVT >SECCE2Rv1G0080170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:127804094:127804961:-1 gene:SECCE2Rv1G0080170 transcript:SECCE2Rv1G0080170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPGGTKKRLKRGLWSPEEDEKLMNHVAQYGYGCWSSVAKIAGLERCGRSCRLRWINYLTTDRGGAFSQEEEDFIVHLHSILGNKWSRIAAHLPGRTDNEVKNIWNSFIKKKLRHRGIDPATHEHNPLDPAAPAAAATPVSRNAVLSEAELILSSPVGGHHRPPLVSAESYVYSRGQHGRRRRCARRGRQVQR >SECCE6Rv1G0422430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689818306:689819193:1 gene:SECCE6Rv1G0422430 transcript:SECCE6Rv1G0422430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPLDTIICLVCWSFWLFPFCASSGSRLLPGKPLSAGSTITSDDGAFALGFFSMSSSSAKHYYVGIWYMNMPEDNIVWVANRAMPITDPSSATLAFTSGSDLALSDTKGQLLWTTNIGAAGNSSSKATGGEATLDNNGNFILQSSHGTILWQSFDYPADTLLPGMNLRITHKTHALQRLISWRSPQDPSPGNFSFGADPGEFRQRFIWNGSTPYLRGTIWNNNLVVGQYVESIKSTIYYRLHSIDDEIYASFGLPPPSVALVQMKIDYSGKIKTRVWNSNRSKWTDLWSGLRT >SECCE3Rv1G0200690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:856100926:856101237:-1 gene:SECCE3Rv1G0200690 transcript:SECCE3Rv1G0200690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE2Rv1G0117020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:769376708:769376995:-1 gene:SECCE2Rv1G0117020 transcript:SECCE2Rv1G0117020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDFTKHFVAVPREFRLRNNTGCTWKVTVKLMNGRVTLDQGWATYTAIHPIKIDYMVTFKLLTPDTLKVIIFNDDGIEVVNKCEKHDEAFAAKD >SECCE7Rv1G0455230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5934128:5938751:1 gene:SECCE7Rv1G0455230 transcript:SECCE7Rv1G0455230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVQVASALSVPLLSALLGGAVALVFLAGYLRRKRADIAHLPPSAVAAAPDLPKQVRPAGQNKKGGGHARVHHHHVSAADKEAAKKHHHLDVNTLRGHTDCVTAIDFSNDACNLATVCADGVVRVFRIDDASSKSFKILKINLPAGAHPTAIAYSEGSSSVVVAAQALLGSSLYMYADVGAPPTGGNKQQGKLSPPEIKWDHKKIHGKESVLNLSAARATHGTGDGSTIVISCSEATDIKIWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDSSVKEVNRVMQLKGHKSAVTSLCFTPDSEKIITASKDGTIRVWNINVRYHLDEDPKTLRVLPVPLHDSKGSTCLYEHMGISPDGKVLAVTSGSTLQWLCAETGVVLDTAEKAHEGAISGIAWAPRTIPNGGAPAFILASCGDDKKVKLWLAPEVSST >SECCEUnv1G0529520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8159889:8163237:-1 gene:SECCEUnv1G0529520 transcript:SECCEUnv1G0529520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGSGAGGSKIRNAKLVLLGDVGTGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAASFTRAKKWVQELQAQGNPNTVMALAGNKADMVEARQVPVEEATTYAQENGLFFMETSAKTAINVNDVFYELAKRLLAGQQAQNPQAGMVLSQRPAERMVSSTSCCSS >SECCE2Rv1G0077940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:103117799:103121433:-1 gene:SECCE2Rv1G0077940 transcript:SECCE2Rv1G0077940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 57 [Source:Projected from Arabidopsis thaliana (AT3G09720) UniProtKB/Swiss-Prot;Acc:Q84TG1] MEEKPSLSSALFAGTRFDRKRFAGDIARFRTGAQPAAPAPATPDAAAPPGPEKKRKRKSKANAKKNSKKKKKRADGAASASDVVEGFSVFKGAEAKEPAEESVEVEVREDEDLAVVRRRKEAEREIERAAILRKRYDIHISGHNVPAPLESFEELVSRYDCDSYLVGNLSKLGFQEPTPIQRQAISILLSGRECFACAPTGSGKTLAFLLPMLMKIKPGSKGCVKAVILCPTRELAAQTTRECKKLAKGRKFGVKLMTKDLSQDGNFKDMHCDILVSTPLRLDHAIRKRDLDLSRVEYLVLDESDKLFELGFVEVIDSIVKACSNPSIIRSLFSATLPETIEALARTIMHDAVRIIVGRKNSASSMIKQRLIFAGTERGKLIALRQSFAESLNPPVLVFVQSKERAKELYRELVYDDIRVDVIHGDLTEEQRQDAVDNLRAGKSWVLIATEVLARGMDFKGVNCVINYDFPESASAYIHRIGRSGRAGRSGEAITFFTEEDKPFLRNIANVLVSSGCEVPSWMVALPKLKSRKHRVDRDRISFLTDED >SECCE1Rv1G0023770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:312912973:312919698:1 gene:SECCE1Rv1G0023770 transcript:SECCE1Rv1G0023770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMADAGGPPPNLCPLCGHPTTSTTSSSSRPSSPPLAATRPTLKRNSPPEAPPAVVRVEIGDEAAALREALARQQAALADLQAELDAERGAAAGAASEAMTMILRLQREKAEAMMEARQFRRYAEEKMSHDAAELSALEETIAKRDASVRGLQSLSRLSVANAASLHSSPRVSSTPRHLSTPSTVGAGGYYPPLRCFSGRFNDHPPTASEADVLDMQTPRDHLARLSHRVQMLEHRAPPTSTTTPIIRVAPGSAFPRNPRPFSDTDSLDFCDGEYFPDDDCGASDRVYTVDAIHGRGVPLVVPEGSICGGTPAGSECCAGGPWAEDEEMRRLSARLQALEADRETMRQAIISMGAEKAQVVLLKEIAQQLCKDAPSPLPAVAVGQHYYKGAAPPAVTVTVPRPQRPMVMHRMVLKSQPTRTSFFSTVVKWVASIVSWRRKSSRIKYPIGQCGNNVGLMLLLDKSSKNAGNGHHKPPKRI >SECCE6Rv1G0427430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:719594827:719595977:1 gene:SECCE6Rv1G0427430 transcript:SECCE6Rv1G0427430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLIEAVIVDLCSSTSTLLHGKIMIADLGCSSGPNALALVSTAIDAIHNHCLQLQQPPPEICVLLNDLPDTDFNMVVKSLVTLCQSKNPVVMSGIAPGSFYEQLFTSNSLHVVCTSNSLQWLSKAPEYLTRNRIPAFDIDEHARREMLPMVREAYEQQFRKDFKLFLELRAKELVSGGRMVISLVGTRSDVVASKFSLFPGIVAQILSVMVAEGVIDKAKFDSFYVPLHGPSIEEVREIIEEEGSFSIREMCVHDPTTEMNIALSTPSKFVNNLRALFEPIIVQHFGEVMDEFARAAELHWSLDLDGSLREERARTSRAMLVVSLAKA >SECCE2Rv1G0074210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75003695:75006817:-1 gene:SECCE2Rv1G0074210 transcript:SECCE2Rv1G0074210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSELPDGVVLVPAPDPLVHIAFNQQGTHFVATTATGFYVFSCHPLDRIMRRRGSAGCTFTVTSAQLLTRSQLAVATRRPNVVDDHVIDFWNGMCKAKDARTNTVKSPCGAVGGFRLRGDHMLVAGEGTATLFDGSHWEKEVTTGPNPLGLCALEEPNGPATLVYALPLPEAGSVQVRRRGRTGSVNVRAHGSGVACLALSPDGRLLATAGTRGTLLRIFSTADGTKLQELRRGTEAANIHCIAFSPDSKWLAVSSDKATVHVFSVNDFNLTSSTPEDDSAGDDLLAAPHVPSSPTPATANQGSSRMSFLKGYLPTYFSSKWSFSQFRIPNAWTKCSVAFDQRHPNAITIVCMDKRFYRCEFDPVKGGDMVPGVYHENFMDL >SECCE5Rv1G0352210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:707584609:707586325:-1 gene:SECCE5Rv1G0352210 transcript:SECCE5Rv1G0352210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATPTATGLATAPGSRVTRYAKSTAASVTPVRPGKTHELSALDNAMGRHAVHLVLYCRAAPGVDRDPLKESLSEALSLYPAMVGRLTRPEGEGGAAAGSGWIVKCNDAGVRTVDARASVTLDEWLATATGDDEMDLAYFEPMGSEPYIWSPFYVQLTEFADKSYALGLSCTHYHNDPTAAALFFHGWAAAHRRSSSPYPPFLHSPSFAVSPAASPPPAPPLLAAKSAAAPPSADVADAMSSATFHFPADAMRALLSSLEPETTPFAALAALFWLRVAGAEEERELTLAIDFRKKMHAPLPTGYYGSVVHFTRARADLAAGLAAVAAALDRRVAGVPEEDVWAAVEWLHARQADGGEPFQMYGPEFTCMALDHVPMYGAEFVAGVPPARLACRVGGATGEGIVIVLPAADGDAARDVVVTLPAEATARICRDVELLRYGAEVVAGAKAGTGGKAQ >SECCE5Rv1G0299910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:20489459:20490403:-1 gene:SECCE5Rv1G0299910 transcript:SECCE5Rv1G0299910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASATITAAPPALAATRRLAIPPPRGGFPSISLPTSVDGGRDRGCGRSAGGGGGGGGGGGGGDSGAGAAAAMALVGAGTLNDGDVILLHVGGMACGGCAAKVKRILESQPEVASATIDFAKATAVVRTTPEAMAAKDWRKELGEKLANHLRSCGFESHMLDETEKS >SECCE3Rv1G0164710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:165275777:165278612:-1 gene:SECCE3Rv1G0164710 transcript:SECCE3Rv1G0164710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMDMLLNQEPAALAAMTTEVEGLVEAYFPGSSHGFSHFQQAGTAPIGVPNMGSLISGFNMSPFTFDMPEGPLPVTSYGTGVAPVEIPAVWQKKDCTKINRAVAPSKGAWTHKEDKLLKTLVARDVNHTWVEISKHLPGRNGKQCRERWTNHLRPNLKIEAPWTDEEDMKLIEEHKTLGNSWSKIAKHIFGRSENSIKNHWYATKRGLTSKSRFRKRGFPLLEEYIRSTITGDENAKSPLQSSAQPSGLGNGGQVIPSASTMLAVSSPPGMGTYLHPGNAPGSSSLGATMNLISPVPELNTYGGEMQPRYNSSSSFLPNNLLHYGPSPPYQQMFSQRLEGQDACANLDLFPLLKSLGLGGGYSYSETGHTNVGGISDPDDFNVV >SECCE7Rv1G0464500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:61899835:61900767:-1 gene:SECCE7Rv1G0464500 transcript:SECCE7Rv1G0464500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELMPSAPARRVTAGQLLGEVKGVRKQRQRGGPAAAVDDFEAALERFGEDSEEEEVVLRRAGFEFGANRQPAARPGGNGRVAQYRGVRRRPSGKWAAEIRDPVKGVRVWLGTFPSAEAAAHAYDDAARGLRGADARLNFPSSSASAPKRRVAAEPTPCVVIDLVDGEDDGAGAVDAGGMSSESSGPLPDFSWQGMSASDEVMARSVQAEVESGRSLVDLGGAKKRARMDADAVLPTASDDSSNLLLDPFVFDDQFGFLDSSSYEWLDSLFGADAANIHGGQPGLRSFGHDDGLLEGSGSPTPC >SECCE6Rv1G0437820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786333887:786335731:-1 gene:SECCE6Rv1G0437820 transcript:SECCE6Rv1G0437820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAFEMYRQSVIGVALIETLDEMVGGGVLSPELAMAVVMQFDKSMCHALSKHVDSTAFFMGGNLRTYRFCDGIWTLILRNITFTNEEIETVLPKVKITAYDMRMMEEPLEPITCQQCQ >SECCE3Rv1G0199060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:842374943:842384209:-1 gene:SECCE3Rv1G0199060 transcript:SECCE3Rv1G0199060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGEPDRKRQLSNSFVSPAKRPALPPSSDNKKLDFAVLKYRNQKLSEQLAVHKFEYHALEGKFDDLKEKQKAHHETQDLANKSWEHLVRDLKAISVCKSGFQNPSCIAGPSNVSTDGACIPKDKDFLSRLVETGATESSGCHLENHVHSSTTDVLQNILFSSNDSWHANKKSLLDLLAALPENEHSRELRTTGTELSLQLNDAIQELSDLHLKHRRLTEKHHEERYLNVRSKAEQKRLKEELASAVAELEESNHKLAVLKAQGDPTHGTPILFPTSGNKSLPEDNVKDKQKELQDLEACHKEFTDLISQRLVEIRRLHEDRIEILNKLSTFQNTLMDFKSISSSKAFQVLKDQLQKSQAELDHGRTLLEKLQVNKDKLIWQEREVNVKVDLSGIPHRVSLNCESSIAVLEQNRQKVVDEKNMLALKLEESSREPGRNQIISEFKALVSSLPGEMGAMQTELSKYKDDASELHSLRAEVHSVSDILARKEHTINESLCRSAHAGSEIRDLRSRVCELRQTNCELKLFVEMYKRDSTDSRDLLESKDREYCEWAHVHSLKSSLDESRLEQRVKAAIEAEAMSQQRLASGEAEIAELRGKMESARRDIGSLSELLKSKHEEGEAYLSEIESIGQAYEDVQTQNQQLLQQIIERDDHNTKIFMEGVKVKQAQDTLHLEVCNLNRNLRQAKSLMDLYKDKVAQLDDKLKVWSEQIGRLSEDGRRHSVSSGNAQRKLVDVQGEAQQLRQSMDEVQAKVGRNRLEVAGLLVELEKDRFSKRRIEDDLESMSRKASSLRVKTETSSLLEKVHQEVTEYRGILKCGVCRDRQKEVVITKCYHLFCNDCIQKLLRNRQRRCPSCGLSFGANDVKPIYI >SECCE1Rv1G0010690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:64858858:64863234:-1 gene:SECCE1Rv1G0010690 transcript:SECCE1Rv1G0010690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADYYKVLGVGRGATDDELKKAYRRLVMKYHPDKNPSPQADTLFKQVSVAYDVLSDPDKRAIYDQYGEEGIKAGVVPPSPSAAPAHAHGFGGGPGFRFHPRSANEIFQEMFGGSDFGQGARGPWSGGSAPQSVPGCAAAAAAGFSPGSGEASGGSARKGAAIERQLACSLEDLHKGATKKMKISRDVLDSVGKPMSVEEILTIDIKPGWKKGTKITFPEKGNETRNVIPSDLVFIIEERAHPKFKRDGNDLVYTHMISLVDALTGCAVQLTTLDGRSLTVPVRSVISPTYEEVVQGEGMPITREPSKKGNLRIKFQIKFPTALTADQKAGVQQLLS >SECCE6Rv1G0387570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:116508836:116514426:-1 gene:SECCE6Rv1G0387570 transcript:SECCE6Rv1G0387570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAEDAVRQLGILMDQVDAPLRRTFQNVHQGHPRETMLRFLKAREWNVSKAHKMLVDSLNWRIENEIDSVLERPILPVDLYRSIRDSQLVGLSGYTKEGLPVFGIGVGQSTYDKASVHYYVQSHIQINEYRDRIILPMLTEKFGRPITSCVKVLDMTGLKLSALSQMKMLSSISTVDDLNYPEKSETYYIVNVPYIFSACWKVVKPLLQERTKKKVKVLSGCGRDELLKIMDYSALPHFCRREGSGASKHSSSDVVDDCFSPDHPFHKELYELTNQQSSHRELLKMGSLHVSIPEPDPDDAKIVEVIQAEFHKMGEQNGSTNGHKV >SECCE3Rv1G0154400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:60171652:60172019:-1 gene:SECCE3Rv1G0154400 transcript:SECCE3Rv1G0154400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILMKNRSALCCSFVAAVMVVMAAALLLSSCDAHKEADEYAASFVPASCYSNYFPYCTDHRCKKFCGGVRAPPVSGAFCNDRSNCCCPVS >SECCE3Rv1G0166170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:183241153:183246470:-1 gene:SECCE3Rv1G0166170 transcript:SECCE3Rv1G0166170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKQQQQPPQTALAASGVWKTVKPFVNGGASGMLATCVIQPIDMVKVKIQLGEGSATTVTKKMLANEGVGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAIEANEGKPLPLVQKAAIGLTAGAIGACFGSPADLALIRMQADSTLPAAQRRHYKNAFHALYRIIADEGVLALWKGAGPTVARAMSLNMGMLASYDQSVELLRDKLGAGELSTMLGASAVSGFFASACSLPFDYVKTQVQKMQPDATGKYPYTGSLDCAMKTLKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKVEERVGL >SECCE6Rv1G0388800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:142331830:142333545:1 gene:SECCE6Rv1G0388800 transcript:SECCE6Rv1G0388800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAKEAAAAGQRDELADSLSELFTNVSLMVRGELQGTNNQLSLLEKMNQRVTEEYSNYGDVASGLRVFVEQLNEKNQRFDEYTTQIDAIDQQVSEFEAVVSMLDKHVSMLEKKVKSAYHIAPTQ >SECCE6Rv1G0397860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:404236508:404240903:-1 gene:SECCE6Rv1G0397860 transcript:SECCE6Rv1G0397860.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGAGPPPKNPRRPRRRDLNALDPYLEESDGQDIGIPEVGMVFNNHMEVNRFYRRYARRVGFGVSVRRSSFSQEGTCLYLELMCCKGGRPRYEPKFRKRASSTTNCPARIRVKLWGDKLLHVELANLDHNHPVSPAMARFLNSYKQLSGPAKRRLRMGGPGAMPVEEPSKMPVDKLGALEELLFGESKNHSFVERGRLKLQPGDSEALRLFFTRMQAKNANFFNVIDLDDEGCVRNVFWADAWSRAMYEYYNDAITLDTSYVVSKHDMPLVTFLGVNHHGQSVLLGCGLLSDETAETYTWLFKAWVACMSGNLPKAIITDQCRGIQSAVAEVVPGVRHRICLHQIMKKAADQLSGLSEYKAINKALQKAAYDSLTVDEFEGEWSTLVTYNGLQGHDWLRSLYECRFSWVPIFLKDAFWAGMSATQRSETITPFFEGYVDLKTSLKQFLGKYEMILQSKYEKEAQADFETFHKQRPPVSKFYMEEQLSKVYTHNMFKKFQDEIEAIMYCHVSLMNGDGPISTFNVKECIFLEDGKRTMSKIFAVTYNTEEKDITCICGGFQFSGILCRHSLSVLKFQQVREIPSQYVLDRWNKDFRQLHVMGRLSSDVVPDNRVDRYDYLSMRCLQLVDSAVLSDKYRLALRLVREVEKFLLSSNTHDDTQPRIKSRIPKVKPNTMTGQNLVNAVTDNGNGGPKGPEASASVQASQIQKGVAEKAVVPAGYIGVPANVQQFMGNQAAIRPSIVYMVPSGVDPQAFGNGVLMPVMYQQMFQIPQQPNGAAQDTSANGKRKRPRAQKPPETSHQSNGTSGPAAG >SECCE5Rv1G0363130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:791219621:791222494:-1 gene:SECCE5Rv1G0363130 transcript:SECCE5Rv1G0363130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFLSLCLVTVATVLVLWFLSSSDDKAKTKKRLPPGPWTLPIIGSLHHLVGQLPHRALAELSRRHGPLMYLKFGEVPTVVVSSAEAAALVMKTNDPTFADRGSSVALDIAGCGGKGIMLAPYSDRWRQMRKVCIVELLSAAQVRRMASIRAEEVAGLVRSLAASPAGSTVNLSEKLPVLANDIVARAAFGGKCAQQGEYLRELDEVMTLMGGFILADLFPSSKLVRWLGTGERRLRRSCGRIQRIIGNIIHDRRARRAADGPRSKDDEDLLDVLLRLQEEDSLTFPLTAEIIGVVIFDMFAAASETTGTTLEWAMSELLNNPKVMAKAQLEVQEVLGPERAAITNSDLGGLHYLRMVIMETLRLHPAGVIILRGAREDCEILGYDIPKGTKVHINVFAISRDSRYWKDPEAFKPERFENNDVDYKGTHFDFIPFGAGRRQCPGILFGMSTLELALANLLYHFDWMLPDAPITKSVDMSEKFGLTVSRRSDLLLRPIPRVCSKDS >SECCE7Rv1G0507360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:761005103:761008258:-1 gene:SECCE7Rv1G0507360 transcript:SECCE7Rv1G0507360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASMQKSWRKACGAIKDSTTVSLAKVNSGRDHLKDLDVAVVKATSHVERPPKDRHLAKIVGARSRTEVSHCVHGLARRLSNTSNWVVALKALVVIHRALREGDGGAFREELLSHGRRRGHALQMSNFKDDSGHLAWDCSAWVRTYALFLEERLECFAVLRYDVEAERLRAPAPAAEGQTPHTKAQGRTRGLGKDDLLDQLPALQQLLFRLVGCQPEGAAFGNYLIQYALALVLKESFKIYCAINDGIINLVDVFFEMSKLDAIKAQDIYRRTGTLAKSLSEFYELCRGLELARNFQFPVLREPPASFLVTMEEYIREAPRAGHVANKTIEYRQLDPTADQEEQPPPEPPREAMTEEPAAEEPVPEPEEEPHSAAELRDVPEPTTTTADFDLLGLHEVSSAAAEIEESNALALAIVAPGGGGSSASASAAVEMAGSSGWELALVAARTDISNRSTEMKLAGGFDGLLLDSLYEDAARRQQQATYADPAGDPFAASTGVAPPTDVQMSMMAHQQQQMLGMPLPFQHGGAAASGSQANPFGDAYSAMLPQGQGQGQGTPFHGHGSGSLI >SECCEUnv1G0527600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1427207:1428392:-1 gene:SECCEUnv1G0527600 transcript:SECCEUnv1G0527600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLKVYADRLSQPSRAIIIFCKANRIDFQELTVDLAKGQHRAPEFTKINPMAQVPTIVDGRFKLFESHAILRYLATVFPGVPDHWYPADIFTRAKLESVLDWHHSNLRRGAATYVLHTALGPALGLTPSPEAAKEAEKLLSRSLGTIETVWLKGDAKFLNGNPQPSIADLSLVCEIMQLEVVGDERRDRILGPHEKVRAWMENVRKATSPHFDQVHELIFKLKARLNPTAKL >SECCE5Rv1G0351340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:698601327:698606930:1 gene:SECCE5Rv1G0351340 transcript:SECCE5Rv1G0351340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRHHHHPRARPAAGDLRPPEPPLDPLEFLSRSWSASAVDVPRPRPPSPAPLLAAPIAEDPACCELDDGAATAGSSFSFASAATSQFIMERILAQSEVAPLTSGRLSHSSGPLNGGGSLTDSPPVSPEIDDSQYCRAGTPKPQAYRGGSKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASSSGKDDRAARTDMAMASAATLVAAQCVEAAESLGAEREHLEAVVSSAVNVRTPGDIVTVTAAAATALRGAATLRARALKEVWNIAAVIPVEKGTMGGGGGGGHQHKQNVQKQQHRKLESNGSSISDLSLEEENNFLGVCSQELLVRGTELLKRTRKGALHWKVVSVYINRMGLVSLKMKSRHVAGTITKKKKGVVIDVCKDVAAWPGRHLLEDGEHRRYFGLRTADHRVIEFECTSQREYELWTKGVARLLSIAGERKRPL >SECCE2Rv1G0125280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:840178316:840178914:1 gene:SECCE2Rv1G0125280 transcript:SECCE2Rv1G0125280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIESPVAAPRLFRAGVMDWHTLAPKLAPHIIAGAHPVEGEGGIGSVRQFNFTSAMPFTLMKERLEFLDVEKCECKSTLIEGGGIGTAIETATSHIKVEPTANGGSVVKVDSTYKLLPGVEVKDEIAKAKDSVTAIFKAAEAYLIANPDAYN >SECCEUnv1G0546410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:154728201:154730877:1 gene:SECCEUnv1G0546410 transcript:SECCEUnv1G0546410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMKKGILRPFRYFSNIMDAKDQDMQIGFPTDVKHVAHIGWDGPTVPNKEKEAGAPSWMKDYHSAPLDSASFRSDRGGSAASNPWASQEIVLDGASLGDTSFGDTKSEAGGIDVGLGDSPPSPGARRSRRNRSRGSDTSSMDVTTGSTEISEKKEKAKKGTRKNRKKDKEKAVEDTAGSTCQDLPAVPKKSNRRKNKGSSEGSGGASTKDGGGAPEVGTIPLTLVAEEEKDHEL >SECCE2Rv1G0133830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898544699:898545670:1 gene:SECCE2Rv1G0133830 transcript:SECCE2Rv1G0133830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVEPEIKESSTNEPESNTEALIATLPTREGWSTPLTLYNKCWLRSHMLRKFMLVRDKFKPRRDDIILATHPKSGTTWLKALAFTISTRDFTDSPLLTTNPQRVVPFIGAVGGDLDFLETLPSPRLLATHLPPSLLPPAISTVGCRVVYLCREPKDAFVSRWHFDNKMGKGGAPITLDDAFSMFCEGVSPFGPFWDHYLQYWNESLARPQEVMFLKYEEIVSDPLTVVRKLASFLGMPFTDEEEKSGVVDQVVSFCSFESLRNLDVNKTGGAERAGGKIFIQHSSLFRKGKVGDWVNHMSNEMGAKMDRLVEEKFKGSGLEF >SECCE1Rv1G0045620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:610811566:610813679:-1 gene:SECCE1Rv1G0045620 transcript:SECCE1Rv1G0045620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPTAGAGAGAGVSWEEAHRQVLDLIERVTRCAGEIQRQVLVEILAQNAPAEYLRRIGVPGAAPGADDAFRRLAPLTTYEDILPDVIRIANGDTSPILSGKPIREFLTSSGTSGGERKLMPTIEEEMDRRSMLYSLLMPVMSQAMPGLDKGKAMYLYFVKAESRTPGGLPARPVLTSFYRSRHFLERPHDPYTVHTSPDEAILCVDAYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIHFLEKHWPRLCRDIRAGELDAEITDRAVRAAVARVLRPDPALADAIEDACARSSWQGVIRRVWPNTKYIDVIVTGAMAQYIPTLDYYGGGLPLACTMYASSECYFGLNLNPICSPAEVAYTLIPTMCYFEFLPVQSGSSAAAGEPDHRDLVNLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNAAPMFNFLRRKNVALSVDADKTDEAELHAAVSSAVQHLEPFGASLVEYTSYADASTIPGHYVLFWELRAGGGSTTPVPASVYEDCCLAVEESLNSVYRQCRAADRSVGPLEIRVVSEGTFDKLMDYALSRGASINQYKAPRCVRPGPVVELLDGRVEGRYFSPKCPKWSPGSAQWAGGNGKTLSTSLDWRLMDWE >SECCE3Rv1G0206410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:908709648:908718521:-1 gene:SECCE3Rv1G0206410 transcript:SECCE3Rv1G0206410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAYLATIPALRRAARWAPVALFSSGIVAGDKPVLVRDFVRSALYDPNHGYFSKRSGPVGVLDSPIRFHKLEGRTAYMKHLDKLYKMHDIAWFTPVELFKPWYAHAIAASILRTANLSVPLKIYEIGGGSGTCAKCVLDYMMLNAPPKVYNNMKYISVEISSSLAEKQLETVGEVQSHLSKFTVEHRDATDIAGWGSKDPQPCWVLMLEVLDNLPHDLVYSPDQVSPWMEVWIEKVNGSSQVSEVYKPLQDPLVSRCSEIVGMNEENPSLREKLSFAAKGLVSKVFPKPRRAWLPTGCLKLLDTLHRALPSMSLIASDFSYLPDVSIPGDRAPLVSSKKDGKTLDHPNYLDAQGDADIFFPTDFLLLEQIDHHCSGFLKDQMNRGAFKPVKSRRTIILDSAAFMEEFGLPLKTRTKDGYNPLLDDFRNTKFYLSVPTHNKK >SECCE5Rv1G0322080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:415913525:415915045:-1 gene:SECCE5Rv1G0322080 transcript:SECCE5Rv1G0322080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDQLSSPLAGHHHSIDIDIAVEAKSSDAVDGEAGRKWLRKLTSATVNKAVMKDLIARTPMLWYLAERSGTILRPRTRRAAGAEALHAVRAVAIGPFHRGDRGLPFPDDAKLPFMRYLQEQCGLDVDAYVAALSAERDRLRDEFADDDADAGSDKALLDDEDKFLQMLLLDSCFVLVVSMMLSKTGVGEDADSAARAASINREYFILHMAVAQHAEQIKLDMLVLENQVPFSAVKLLVASCGRLKLRHSVEEVVLGCFDDVCPKRACLGRDAAATEFHHVLHLFHWSRVPASKYFILSTPLKLLKIKKESERLFPCSMELRHSAVWFRQAAASTCQGDLDMSFWSRPASPVAVMSIPCFHVHEYSAAVLYNMLAFEMRFHWAHGACVTTHVARMEGLVRCPQDATFLRRRGVLSATRLTDAELVYFFRELGVQTIGARLPDEFGEMVDAVACHRRRRVSWWCGGFVLHFFPSPWVAVSLLAAAAIFVVPSMLQTVYTMLGYIKST >SECCE5Rv1G0338110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:596668983:596670452:-1 gene:SECCE5Rv1G0338110 transcript:SECCE5Rv1G0338110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEGHSLPSASCGDGRKRRVCYYYDPGIANVDYGADHCMVPRRVAMAHALVEKYGLLADMERLRVRPATEAELRAFHDDAYVRLLRDITPDAYHGHLDGDIRDRATACQLGVPGTDAGGAADNDNPVIDGLWDYCLRYAGGSLAAARALTDGSSDIAINWSGGMHHACRGKASGFCYVNDIVLAIQELLEHFERVLYVDIDVHHGDGVEERFKTESRVMTVSFHQYDIDVCTGKPFFPGTGKAEDVGEGKGKYYTLNVPIKAGMDDERYQQLFRPIMDEVMEKFAPDAVVLQCGADSLYDDRLGGFKLSVKGHAGCVRYLRSFNVPLLLLGGGGYTVNHVASCWCYETAVAVGKEEEIDDDIPFHGYEHYYRDQGYKLHFKVPKRAGNKMDDASQKIKQDALMNLAMLKPPPSTVGQHRPSKRHAINVEALCDQNQDKKAKKYRCRREDDDPMERLYRLCGEPDRYNFFVELGKRKARGLVNTTYSVL >SECCE4Rv1G0264770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:722270016:722271389:1 gene:SECCE4Rv1G0264770 transcript:SECCE4Rv1G0264770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRRPRLASRPSSSAGAADRSRRGWLPARRERQPSKRPWRASSSSDGGDEDGTPLTDEILVGIFAGLPEITDLVRCAATCSRWCRLVSSEAAFICRTTPRPWPGRFVRRLALGFFHQGAAELRFAPTASAARLVGLLRTPSLKALVERPENGLFHCPRLVASRNGLIVTDLRRGIRDRTIKLCVCNPMTGEVNILPPLSGEDGIKRYACTVLTADDHDQTSESDPPPAASYRVILFYNTCRSNAASRSYSSEEGVWSPEAIRSERFGKKQMGMGVTRTAVVVEGGKAVCWFAKNAVLALSLNTLHAQVLSRTFVDGNTILGVSPEKILCTVEMIFRDRPRCVALRVSMLNRSIYQRQEEDEVEFIHLEQHLPADVTKLQLRWFCEKSGVVLFTAGYSDGRSEVYALSLDKQEVHKIASHDAGGGGGDPWENLHGYEMDRAAYLATFGVGNDHTRG >SECCE5Rv1G0310040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:163391711:163397207:-1 gene:SECCE5Rv1G0310040 transcript:SECCE5Rv1G0310040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEAQRYGGGTGGAGVGALSVDLLGQVLDRVLERRDRKACRLVSRAFARAEAAHRRALRVLRREPLPRLLRAFPALERLDLSACASLDDATLAAALAGADLGTVRQVCLARASGVGWRGLEALVAACPRLEAVDLSHCVGAGDREAAALAAASGLRELNLEKCLGVTDMGLAKVAVGCPKLENLSFKWCREISDIGVDLLVKKCRELRSLDISYLKVSNESLRSISTLEKLEELAMVACSCIDDEGLELLSRGSNSLQSVDVSRCDHVTSQGLASLIDGHSFLQKLNAADSLHEIGQDFLSKLVTLKATLTVLRLDGFEVSSSLLSAIGEGCTNLVEIGLSKCNGVTDEGISSLVACCSYLRTIDLTCCNLVTNNSLDSIADNCKMLECLRLESCSSINEKGLERIASCCPNLKEIDLTDCGVNDEALHHLAKCSELLILKLGLSSSISDKGLGFISSKCGKLIELDLYRCSSITDDGLAVLANGCKKIKLLNLCYCNKITDSGLSHLGALEELTNLELRCLVRITGIGISSVVIGCKSLVELDLKRCYSVDDSGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKILSGLKTVLSPDLLQLLQACGCRIRWVNKPLVYKDAI >SECCEUnv1G0534250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:38022337:38025442:1 gene:SECCEUnv1G0534250 transcript:SECCEUnv1G0534250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKILLLCYTSENIVLCGFYPEDTADFSFKDGNKLCSLFLRQQGLIKKKRRWLASLNPELGVPFKLKRPKFLKVVYLAESDVRTDEVSSERVRSNVEESFGLQRKCYIHHVVQDGLELFKLQKQKDGSLCPESLKIMHCTISKLSNGALESVANIVAHNGISFRKIRPAMMKIVSDHLPKYLTELNSESGMQLSEILTNPCSYHSNSVLLRTPVSPMLLSSIDQALAGVDEIPQQAAIAINRKLTGKSCPPEFLHVSRTSSRSHLVNLIKKRCGNIQTKLQEGKNLPENFAKALTVMNLHRKLTLRSMDISQSEFFPFPHATISSQQDILNALWSLPNVDTDDMKLLRHIMGQGSQVKMASFKAAVRRYLTECLFECDDGNLPDLAVRAIGFLARMSPKCQQVILTEERKEVEVDAVLDLSSCLRSLARGATEEYLSDDEVSLESDRCSDDNDFVLTGNNYFDIRPQQHMDEGCCSNFMMSSTEDSEYTGGDGHYGDSEAAGSTKDPSSQKENVEMTKCSEEDLSALCDDTASIAHELIGHILKNILTEDEVVDELTGCYLGGSSNPQDPQDREAKNQNDDIVMNAVQSLLPNLPKSSIDKVRSILDGADQ >SECCE2Rv1G0135560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:907650952:907653008:1 gene:SECCE2Rv1G0135560 transcript:SECCE2Rv1G0135560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSDPSPSSSAAAAAAASPLAAYHAHPHHPQHHHLHLSTPPHAYHPAAPPPSPASAPRDYRKGNWTLHETLILITAKRLDDDRRAGGGAGGVAMAGPSSPPTPRSAEQRWKWVENYCWINGCLRSQNQCNDKWDNLLRDYKKVRDYEARRASIAAVPPVDGAPAAQPQTQTPLPSYWTMERHDRKERNLPTNLAPEVYDALLDVLSRRAARRGGAAIAPAAPAPLALPPPPPPPPPPPSPPKPLLNQQQQQQQQQKHHRLHPQPPPLLQLPAPAPMPSVPSATSVSAEEEMTGSSESGSDGLGGMSGGVGGNGDEPEAKRRRRVERLGSSVVRSATVLARTLVSCEDRRERRHREVLELEERRLRLEAERNEVRRQGFAGLVAAVNGLSGAIHALVSDHHGRSGDSSR >SECCE4Rv1G0267740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:737166646:737170864:-1 gene:SECCE4Rv1G0267740 transcript:SECCE4Rv1G0267740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALVSVATGTLKPVLGKLASLMGDEYKRLKGVHGDIKFLTDELTAMHAFLLKMSEEEDPDVQDKVWMNEVRELSYGMEDSIDDFMKHVDDNDTKPGSFTEKIKNLLGKMKTRHRIANEIQDLKKRIIEVGERTARYKTRDAFSKTVNVTVDPRALAIFEHASMLVGIDEPKAELIKLLTEEDGHASTQHKQVKMVSIVGSGGMGKTTLANQVYQELKGKLKCRAFVSVSRNPDIMNILRTIYGDVSGQPYTDTEAGSVQQLLATISSFLVDKSYFIVVDDIWKKETWDVIKYAFPMTSCGIIITTTRMKDVANSCQASFGGHIYNIRPLDIVHSRQLFHRRLFSSEENCPPYLKEVSDQILKKCDGLPLAIIAISGLLANTERTEGLWNQVKDSIGRALERNSSVEGMMKILSLSYFDLPPHLKTCLLYVSIFPEDSFIEKNDIISRWIGEEFIHKEGRYTSHEIGERCFNELLNRGLIQPGKTNEYGEVESCQVHDTILDFIISKSIEENFVTLLGVAIQTIGTQSKVVRRLSLQGTNQGNSTIQPAGLVLSHVRSLSVFGGFVEIPSLEEFKHLRVLDLSNYQSLEEHHLENIVRLPQLRYLNLKNTEIRKLPEQIGHLGCLELLDLRHTCVEELPASIVNLKKLSHLLVDYDVKFPDGIEEMQALETLTGGSFSKQPFGFLSGLGQLKNLRNLVLFFGFEEYSDTKDTNMVEEECLKSIISSLCKLGTQDLRSLTIWDWSSLLEEPLCLLALDKLINFSLGAPQVPKWVSSLRNLQEIHLIVDEVKQDDLCILGALPTLLILHLEEETMSNKKFRISGEVGFQFLRIFIYEANPHLVDLMFAAGSMPKLEKLVLSFRVAADSLDFGIKNLPCLSTVKCCTNAANDIFRAIQTAMERAASTHPNHPSLLFWRDVRFYSSGRPSYD >SECCE1Rv1G0048420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:634946450:634949953:1 gene:SECCE1Rv1G0048420 transcript:SECCE1Rv1G0048420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRAIGVISGLNECVNLFQWAGSSISYLRSRWSATQEESIHDEVLHLQSGLQRLRDTLPAMYSLIDQAEWRIHEGRVAELLPNLKDAVNDADDLLDEFRWHELKMEVEGNASHSAFIDFYKTTVQGSFNKVNDIQERLNSISGQLEKMGLYGVTPGFDKSVRPDTTPFPNEKKIFGRDKDLNEVMGFLGVPQSKGRACSKRKRASSAVNASTSTSAGNQVCNEPRIPAIPVLPIVGMGGVGKTTLAQHICNHQQVMLYFELIIWICADDFDVKRLIKDAIQSASGQKTTLDHLASLQHALSDSVSNKRFLIVVDDVWDDALKENEQRWKDFYDSLTNVVQGSVMLVTTRSPDVAHKVCTMKPFPLAGLKDDVFWDFFKLCAFGSETSENDPELERIGKSIVPKLKASPLAAKTLGRLLGMNLHTTHWNNILESELWKLKQENTDILPALRLSYIYLPFHLKRCFSFCAMYPKDYRFEKDRLAKIWVAEGFVEPQGDIPLEDIGHQYFEDLVNMSFFQEVRNTYVIHDLLHDMAKLVSDHDCFTIKNTRDFPKVPQNVRHLYILPSRDLSTSKLLSLSKHTKLRTIICDKPFRNQAAAIMDRWCRELQCLRVLFWASSNELPDSIGNLKHLRHLEISKACPFKSFPSALCSLYNLQRFYAEECKLESLPCDFSKLISLQRFKSQGFEYYAGCEQNINAANRCEMKLLKNLNQFDGHMNIWNLGSMSKDHAAEFKLTDKKHLRRLKLQWSWQCFSVKGQKKVLQVLQPPTSLKSLVLKDYPDESLPNWFQPHINLNEMPDVLVDSNNDEIGTLSSLEELAILQCQNLRSVEQLLHPAYMPDIKKLRIADCNQLVSLPTETFGDFHSLETLEVHGCPNIRSRSLVAHSLKTLKLGSSGNLTNNMQCCSLIEFYLSSDYVTTIQPQMWNLPSLQKLHIANCRSLTSVGQGQPPIRAFESLTFLIIDNCENLATLDDLLTELCFPAIRKIDVKNCGKLKFLFGERPGSFPYLEDLLVRDCPSLKWPRELVLPSSLEKLHLVRCGDISSWSSSCLQNLKCLVELALIGCPSITSIPLGACRSNLSSLRDLQISGCPDLVSIGGAKAVAKINYVHIYDCPKMEDLEQPVSRGTVRFALILRPSPSCSFLIHGQCFHFCVTSCLLEFTLHSTE >SECCE7Rv1G0474790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:177091039:177092751:-1 gene:SECCE7Rv1G0474790 transcript:SECCE7Rv1G0474790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQWMLLTCVVGAEAAVAALLTLPAPRAVRAQIVGLTSMLLQPLAGVLPFAAFQLLDIYWKNEHRLLCTGEMCTSEERVRFEKSIFKSQRNVILCVSAFILYWCIYRICKFNKDIKALEEVEKRIKEE >SECCE7Rv1G0460450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:31837424:31838326:-1 gene:SECCE7Rv1G0460450 transcript:SECCE7Rv1G0460450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAANREEAERALRRAEEHFLAGNVAGARRLAAKARRIAPSLPGAAHALAAYDVHAAAAGTQHRAGYWHDVLGVGRSASASAVKRQFRRLSLLVHPDKNRSAAAEGAFKLLGQACDALSSSGAGAGHVPYYSSSPATTAASAQEWYRSHHAAKQPTPPKPAAPEAPPPPPAAESASRAGRFVRVRCERCRVAREVPGGDLAAGEAKCVRCQSVLREQEQRRTYVWTSTGSYHQVPSENPHPPPPPPTKPATFQCPARCPQCETQFTSMVSAGTWNLRCRNCLKRVMVKVKGPDEATCT >SECCE4Rv1G0242020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:467797307:467803509:-1 gene:SECCE4Rv1G0242020 transcript:SECCE4Rv1G0242020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDPLLLSSSGSADSPSKHTAPARSSVGSLNCLCRADSCSSSVYEDCDTASVNFADEGDAIPRHCPEESDVSRVAERFQSADSHFFHRLSVECSQKERQRKISWGGVMEMQRSPSSLEVGVVSSSQEKPNRPPRGRNKSSHFEDLFSSEQEHDPRLIYINDPDRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQHGDFRSKKWKHICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETVTMISNSSYLGLIKCEQPNRNIYEFTATMELNSQRIPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTISRSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFKNTKNLDALPYYRRKYFTFGRENRKDFEFYGLALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFQQASIYGRNYGSSLQVTSDSSHEITIAESSRQHDRKPKSEINVDALLLALLKQPLFGEERLAAHDFFLTLAACNTVIPVSTRGSPDLTNEVSEVGAIDYQGESPDEQALVIAASAYGYKLVERTTGHIVIDVQGERIRLDVLGLHEFDSVRKRMSVVVRFPDDTVKVLVKGADTSMLSILRTRNHDGLFDSLHAKTIETTENHLSSYSSEGLRTLVIGSKYLSNEEFSEWQERYEEASTSMTERSAKLRQAAALVECDLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQGMHSIIINGSSEIECRRLLADAKAKFGIKSADLGKQDAEDLHNGDVSKLRSSNGQASESGIQNFQLTGVIANDKSVNIEESPNFDNAELALIIDGNSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRMAYMILYNFYRNAVFVLMLFWYILHTAYSATLALTDWSSVFYSLIYTSVPTVVVGILDKDLSHNTLLYYPRLYEAGLRNEGYNMTLFWITMLDTLWQSLVLFYVPFFTYSISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAIWGSIAATFLCMVLIDSIPIFPNYGTLYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYETFWPSDIQIAREAELLKRLPQQLRSRPESDIS >SECCE4Rv1G0269400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:748779781:748781325:-1 gene:SECCE4Rv1G0269400 transcript:SECCE4Rv1G0269400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARTDHQQTSSGDPSPGRPRWVLLERRGNLRDDPDDMLCEDPDAETVAEALASGGHLVRVSFRFAAPPAVSRLRADSPGLPEGTQIHARIIAAHGDSVLIEIETSRPGLSWLIDEHDSSDYFVYNSGDAAADPPRPPSLSLLPPWHKGAPSWRRMPAESTAFLRRGDEDLLVAQLTLWGTEGEAPLEAELCLLRSGQWDWNLKRLRVLHGDGKRKEVSFWQTDAAIPVGDRFLCWVDYCRGVIFSDAWEETLQLRYVSLPVEPRLKRRSQDSGGSGNRRVCTTDGGGAVRFVQVLPRCCCGCPGATLCSRSRYAFNITTWTLRMDDMTTWDKVGVVDSDELWSLPGYAGVVPRVTPHYPILSLDDPDVLCFMVHKLKYHMEDVDGDRMIQLIEVDTKRMELRSVFCYGDFCSSPNFIPCVISQYFARSRPPANRREQVPTSAATTIKPNLSAMASPGEMLATLREIGGLTPDDMLRTYSVLSCDGSQFKFRLLLAIPKDMRKDYCLLLIENHL >SECCE2Rv1G0087430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:225825898:225832544:-1 gene:SECCE2Rv1G0087430 transcript:SECCE2Rv1G0087430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein k [Source:Projected from Arabidopsis thaliana (AT4G36720) UniProtKB/Swiss-Prot;Acc:Q6NLY8] MAALLAPAISGEVGLRLLLAPLSSNVVVRTASCAVGIGLPVYSTYRAIEKKDQDEKERLLLYWAAYGSFSIVESFADKLVSSVPLYYHAKFAILIWLQFPSNGGSKHVYRRYLRPFFRKHQAKIDRILNILSKELTKFVSNHEDEIRFVENMAIRGATTASYIVNGLDQPGQSEEVSVTEDPNSTPTEEPDTPRS >SECCE1Rv1G0043890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:597017918:597018960:-1 gene:SECCE1Rv1G0043890 transcript:SECCE1Rv1G0043890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAGARVPLAVTVLVAVASTMLLAAAAADLYGDRDALLALRGGLQDPDGALSTWMYPELSPCNWSHVTCGDQGNRVTEIVIGFVNLSGPLSPELGKLDQLTKLWISYTNIQGTIPEELGNLENLNSMHLHNNSLSGQIPASLGKLKSLKQLHLQQNRLTGPIPSELDGLSDQTSVNLSNNDLCGPIPTDGPFKNINSSLADNPRLGGNC >SECCE1Rv1G0057150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692561360:692562034:1 gene:SECCE1Rv1G0057150 transcript:SECCE1Rv1G0057150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTASPVVELPVGGAVLSFEQDNDSFEVGTSVWNSSLVLVKFAERCLGDAALPFADALRFAGARAIELGAGCGPAGMGLSRLGLADLVLTDTAAVLPALRRNLRRNRRHLPRAPRLAQLHWNCPAHLAQLAAPRRYDLVVAADVVYVQESVPHLVAAMDALADAERGVVLLGYQIRSPEAHQAFWDAVPAVFPVIEKVAREHLDPEYAFEESDVFVLRRRPRQ >SECCE5Rv1G0317920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:355114226:355116758:-1 gene:SECCE5Rv1G0317920 transcript:SECCE5Rv1G0317920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVEEEPRSGAPLELGLGEDAMEAVRRAFPGQDFAIDVVNRAFGRRNPAERSQQDSSACGISEACTSEKPVDLEQVENAGKSCAQQDPSASEMQLRSQEAEQAGQRCDAPPAPADAGAEAGGGWNRRVRRGRIPDEREPDAQRVSALEKALTGFAERQTDVVVNPTVGTCFDSITEAYDFYNLYSWEMGFGIRYGKSRRNAKGGKCMQEITCVNAGKPNMGGKSRRCECTALIRLLRTDDNGWYVTQHRKVHNHAFSTTYGNKVHWPSHKHLDKYTRDLVRQLRHNNVNLGVYDTIASFFGRMENVPFSKRSIRTLCGEVIREQADDDARKIMETAAASGERPENHTGEETTSNCLGLASTTLGSPNTPVDPLRYPEQDDPHSNAEAGRLADLLLAFGDCHLVLKSCTYDDLINSNGTMSGLEEAVKAVTPHLQQGIFGDLWSRAHNSGGVVSAQVLTIKDLFRFTRWLATTTGQHNLRCVQQRVKLVNSGKAVLEPEQIALLHLYQAENDEYSVKMNKLQGERDAKIAHYMAKIDEARKSFEVSIKAAKQHYPVSASYVALDSNELRGQCWTMYLAQCRKEAKDLNAKASNIVEKYGPEVVQRHLFEFCSQETNRQALLKYAQTKVNNLKKAGDARGEDTLHDYMTLLDIEKAYALLAAEEQIANGPDTCGGSEDADHGNRNNTIARGEAAEGARGDGQDGGEGASKVPPRQKRQRNDQDYVW >SECCE2Rv1G0076480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:91420573:91421910:-1 gene:SECCE2Rv1G0076480 transcript:SECCE2Rv1G0076480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDCIRNYVQTCSVCQQAKPERIKYPELLEPILVPEGASQVITMDFIDGLPQSGKANCILVVVDKFTRYAHFLPLNHPFTAAKVARVYLDNVYKLHGLPKAIISDRYPVFTSRFWQELFRVIGTKLNMSTPYHPQTDGQTERVNQCLEIFLRCFIHACPGHWSQYLSLAEFWYNSSYHSTLKMSPFQALYGHEPRHWGIEAVSTCKVPALKEWLEERQVMQLVLKQHLHRARHLMKNRTDKKCTERAFKPGDLVFIKLQPYVQSSVAKRANHKLAFKYFGPFPVKRLVNPVAYELELLADSKIHPVFHVSQMKQALSPGMTACPDLPIPSDSTLVLVEILNSRWRRTPTGWRERLLVRWSDPDVLDATREDAMSLHHRFPQLPAWGQAASQEGGMSASQVRHRQQPDQQRQLEGMTSGPIKERDASSTGQRGSSSPTGDSRVRSG >SECCE7Rv1G0468940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104412113:104413504:-1 gene:SECCE7Rv1G0468940 transcript:SECCE7Rv1G0468940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPQQEASPKLSVVESTVVAPWPIPPVPETSLPLTFFDVFWLNLPPVERVFFYHLVPGPGAATTATILSNLKSSLAQALRAFYPLAGRLSLRPGTTDRHELHYQPGDGVTFTVAKYDLDVDELALDEPREVAKVAQLVPPLPDGGAVLALQATVLRGGRGLSVGMALHHAACDGACSTRFLHTWAAASAGTVAPAPPVIDRTLVKDPSGLCAAFIRAIASAEKKDDVKMAGDKLLATFTLSMEDIQRIKDVVLLAAAGKAGAPPRCSSLVATFGFIWSCHQRAKQDDVASNGGLTYFLFPVDHRSRMEPDPIPDEYLGNCVGAALNGAAKDRLAASGAGALFTACTAVAAAVEQAVGVRGIGSPELWWERIREARLSGGGLLSVAGSPRFRVYDVDFGFGRPAKVEIVSVARTGALAVAESRRSSGGIEVGISLPPDAMRRFQCCFHDAIAWLQCTTPLNK >SECCE4Rv1G0235450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:335137507:335156225:-1 gene:SECCE4Rv1G0235450 transcript:SECCE4Rv1G0235450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEASARWCVVTGGRGFAARHLVLMLLRSGEWRVRVADLARVISLDRDEEQGILGAAIREGQAVYASADLRDKAQLAKAFEGAEVVFHMAAPDSSINNFHLHYSVNVEGTKNVIDACIHCKVKRLIYTSSPSVVFDGLHGIFNADESMPYPDKFNDSYSETKADAEKLVMRANGRDGLLTCCIRPSSIFGPGDKLLVPSLVAAARAGKSKYIIGDGNNYYDFTYVENVAYGHVCADKTLSSEDGAKRAAGKAYFVTNVEPIKFWEFMSLILDGLGYQRPSIHIPVSVMMPLAHVVEWTYKSFCKYGMKVPQLTPSRIRLLSCNRTFSCSRAQDQLGYEPIVSLKDGLKRTIESYSHMQAQNQRSISKTSILLGNGNVAKTLLWEDTKQTMTVLLLLAVMYYQLFTCGYTIITAMAKIFSLTALFLFIHGMLPANMFGHKIEKLEPSNFHISQVEAHHIACSVSSSWNSLVGVLKSLCRGNDWPLFLKVVFFLLVVSILSAMSSEAAFKIGIPLIFIGFKTYEKWEDTIDSLVGDACSFVLQFTPIQISSRQKQT >SECCE2Rv1G0103340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:589186886:589188580:1 gene:SECCE2Rv1G0103340 transcript:SECCE2Rv1G0103340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAKTDSEVTSSMAPSSPPRAPYYVQSPSHDDGENHSKTAASSFHSSPAASPPRSLGNHSRESSSSRFSGKVPSAGSSRRGVAGGGGKRGGAGGSGEAARRSPWMKEAAIEEEGLLMEDDDDADGRAGGFSALPKKVRYGLGIVGAFLVLFTFFALILWGASRNQKPVVSVNSVTFHNFVIQAGTDASLVPTEMSTINATVRLTFRNTGSFFGVHVTAQPVTLYYSQLLMASGDMKYFYQPRKSQRKVAVTVVGNKVPLYGGGAGLSSTPGPKGVPPPPVPLQLTLRIRARALVLGKLVKPRFYNNVQCSVRLDTTKLGKAISLKKSCTPV >SECCE5Rv1G0330080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:524341370:524342442:1 gene:SECCE5Rv1G0330080 transcript:SECCE5Rv1G0330080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTASWRLLPAASSPRPSLLQARRQASFPSSLQQPAISKSRILCLLHDKPAATAESSQLQKMASVLHCGAVWAAVQAPAALATVSGEEDLDILGILPPVAAIAFVYLFVAPPIIMNWMRLRWFKRKFIETYLQFMFTYLFFPGLMLWAPFVNFRKFPRDKTMKYPWSKPKEGTPLFKDRYPQIDSFKEKYY >SECCE3Rv1G0200780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:857579568:857580530:1 gene:SECCE3Rv1G0200780 transcript:SECCE3Rv1G0200780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPSFKAPAPGFSVRFSPFHEHRLLAATSQHFGLVGNGHLLVLDLSAAGPGGPGLTPLFSFPTSDALFDCAWSESHDSLCAAASGDGSVRLFDVTLPPAQNPVRLLREHAREVHGIDWNPVRRDAFLSASWDDTIKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDHTARVWDVREPGPTLVIPAHDHEVLSLDWDKYDPSILATGSVDKSIRIWDVRSPQAPLAQLAGHGYAVKRVKFSPHRQGMLMSCSYDMTVCMWDYRKEDALLQRYGHHTEFVAGIDMSVLTDGLLASTGWDEMIYVWPFGSDPRAM >SECCE2Rv1G0117520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:773442447:773444462:-1 gene:SECCE2Rv1G0117520 transcript:SECCE2Rv1G0117520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 8 [Source:Projected from Arabidopsis thaliana (AT5G47240) TAIR;Acc:AT5G47240] MESCSLVDTSAAAALCSTPGGRRRGRAGSAARFFNCSSSSREHGVSASYSIGRMLNGVRSAARRKLFRSEPEWMGVNWPDSAGHHWWTTLENNFVLEASEDEYGGVVVDADRLPADEAAFARSLAASLSYWKSVGKKGVWLKLPVDRSEFVPIAVKEGFKYHHAEEAYLMLTYWIPDEPSLLPANASHQVGVGGFVINDQMEVLVVQEKYRGWALDGVWKLPTGFIQESEEIYTGAIREVQEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPLSSAIKIDETEIQAAKWMPLEEFVRQPFIQEDHMFQKIMDICIQRLRKCYCGLTAHNVVSKFDGRQSTLYYNVGEPEDVNCDAA >SECCE6Rv1G0399530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:441259406:441261783:-1 gene:SECCE6Rv1G0399530 transcript:SECCE6Rv1G0399530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEPELVDPAVVLVPFPAQGHVTPMLQLARALVARGVTATVAVPDFVHRRMGSVDVVGGVALASIPSGIRDDDEEPPGFAAIAHAMEHHMPAHLEQMLARGEAPGARGAACLIVDVLASWAVPVACRCGVPVVGFWPAMLATFGVVAAIPELLSKGFISDCGSPISTEGLNKDEAKTDLQIANNLRVVPEDLQLGTKELLPWLVGCAASQRSRFAFWLQILQRAKSLRCLLVNSFPGEAADEGSGQHGAPQDLRILQVGPLLTDGLLDDPHDLPAENPGMWQADGSCMDWLDQQRAGSVIYVSFGSWVAPIGPVKISELAHGLEATGRPFLWVLKSDSSWRAGLPSGYLETVAGRGKVVSWAPQGGVLAHEAVGCYLTHCGWNSTLEAIHHGVRLLCYPVSGDQFINSAFIVKMWEIGIRLRSTGRDDVKDYIERILEGEDGRRLQEKMNELRERVVVGKARFVAKKNLKAFVDGIKRDDLALGHLTT >SECCE5Rv1G0317240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:338515498:338515698:-1 gene:SECCE5Rv1G0317240 transcript:SECCE5Rv1G0317240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKDVRIRVILECISCVRKGANEESTGISRYSTQKNRHNTPGQLEFKKFCRYCRKHTTHHEIKK >SECCE4Rv1G0293690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:886662380:886662814:-1 gene:SECCE4Rv1G0293690 transcript:SECCE4Rv1G0293690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSNATRKSVIFVVLMIVASTLSSSSCYARTIEDGANPCFHLEKCKDRCQTVCSLAFKASTGAHCKKDQCCCA >SECCE7Rv1G0475070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:182284216:182290559:1 gene:SECCE7Rv1G0475070 transcript:SECCE7Rv1G0475070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRAPPRIFSPSPWRRRGRLDGFAAPRCVSAAPPATTLETATARPAGVSFPILVNGCTGKMGVSVAEAATSRGLHLVPVSFSSRENLDKTIQIGDIDVEIYGPSAREDVLSSVIDEFPDVVVVDYTAPNSVNSNAELYCKLGVPFVMGTTGGDQQLLYKSVRDSNNYALISPQMGKQVVAFLAAMETIAEQFPGAFSGYRLEVLESHQAGKLDTSGTAKAVIACFEKLGAVFDMDRMVKIRDPEQQLYMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAVFLYKKVQSMDPKRIYNMIDVLQEGDMR >SECCE5Rv1G0368510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:829694573:829696851:-1 gene:SECCE5Rv1G0368510 transcript:SECCE5Rv1G0368510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMGREGDDVDHYEVLRLPSGEEGAALSVERIDKAYRTQSRLRHPDKRPDDSNATADFQSLASSYKFLRDESLRRQFDARLRGRREAAACATARGLKRRKAVSDLEERERAFPARGGVDPAEVTKRQDKRNNDDIERVLNDFAARTSGVPGFASTSAQGDKKGRTSGNTTKTDEGKILKVSWEGGADYYTAAMLDEIFKQFGTVEDIVIMRKSRSKGSALVVMASKWAAKTALEHHSVNNVFLVPLSVSLVGESDGLPAKSTQTPEPRRCNVVGTGSIDLEERLLRNLQEIMERKQCV >SECCE1Rv1G0039760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:552846655:552847684:1 gene:SECCE1Rv1G0039760 transcript:SECCE1Rv1G0039760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAAYLLAYLGGNSSPSAADVKNILDSVGAEADEEKLEFLLAELKGKDITEVIASGREKFAAVPSGGGAIAVGAPAAASGGGAAPAAESKKEEKVEEKEESDEDMGFSLFD >SECCE6Rv1G0408290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:570120790:570122454:-1 gene:SECCE6Rv1G0408290 transcript:SECCE6Rv1G0408290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNLPLRLRHLCRLLAAVPSFSPAAACYSRAPSPPLNRAQAPPPPPRRHLPDLPARRFSSGHVLLPTNLQEEHVASLSDRIYDAVTETAEGSNEGTETALDALGAELTTPLVADVMHRLRYEEKLAFRFFAWASQQDNYEHEHRTYNDMIDILSGTRYKSRQFGVLCDVLDHMKRHGTRSVPVEDLLGILRAYTEKHLTNLRKLAKKRRVRMRTPPETDALNILLDAFCKCGMVREAETVFGRVRKKLQGNAETYSILFFGWCRARDPKKAMKVLEEMIQMKHTPENFTYIAAIDSFCSAGLISEARELFEFMRAEGSKISSPTAKVYAIMIVALAKADRMDECFELISDMIKRGCMPDVSTFKDLIEGMCLVDRLDAAYCVLEEMGKAGFPPDIVTYNCFLEVLCSLQKADDALKLAERMIEAHCEPSVHTYNMLMVMFFGMREPHRALDIWTEMDKRGCRRAVDTYEIMIDGLFDCGRTEDATTLLDEVINHDMKLSYKKFDSIMLQLSAVGNLGAIHRLSEHMRKFYNVAMSRRFSITQKKKSIGIRRR >SECCE2Rv1G0102240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:575221381:575248216:-1 gene:SECCE2Rv1G0102240 transcript:SECCE2Rv1G0102240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITSSAPGIKLQGNICKITSVAFARQQNCKRLLPNRSISTDLLRLCGSLPPSPLLLPSSLSLRARGNEAGEMAPPRTVICVGDVHGYISKLESLWANLQSALPADAFAAALVIFLGDYNDRGPHTRRVLDFLLALPARYPAQRHVFLCGNHDLAFAAFVGALPPPPDGSPFAATWAQYIDNEAHEGWFRGPGHEDMHVQGRRWGGVIKERWNPKKGLPYKGSIYDAQPTFESYGVAHGSPDLMKAVPEEHKKFLHDLVWVHEEEGVPIDTDGGQILCKLIAVHAGLEKSLDLNEQLRVLRTRDTRVPKVQMLSGRQDVWNIPQDLAGKQTIVVSGHHAKLHVDGLRFIIDEGGGYADKPIAAVVFPSKEVIRSTEGMASQN >SECCE1Rv1G0017760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:161666344:161669353:-1 gene:SECCE1Rv1G0017760 transcript:SECCE1Rv1G0017760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVDAAAEKVVAQLREECATPATRLDGVATAMEEEMRAGLHEEGGSKIKMIISYVDNLPNGSEEGLFYALDLGGTNFRVLRVQLAGKDKRVVKRESREVSVPPHLMSGSAADLFGFIASALAKFVADEGHNKVLDNKQRELGFTFSFPVRQSSIASGTLIKWTKAFAIDDAIGEDVVMELQMAMEKQGVDMRVSALINDTVGTLAAGSYNDENAVIGVILGTGSNAAYVEKADAIPKLEGELPKSGNMVINTEWGNFSSSCLPITEYDQALDKESLNPREQIFEKLISGMYLGDIVRRVLLKIASRSSIFGHIKHTNLKTRFILRTPDISAMHHDETPDLRIVAEKLAETLKIKDTSLETRKMVVEICDIVTSRSARLAAAGIVGIIRKIGRGTPGDKRKTVIAIDGGLFEHYAKFRQCLESTLVELLGEEASELVAVKLTKDGSGLGAALIAAAHSQYLN >SECCE5Rv1G0303760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:50728003:50730609:-1 gene:SECCE5Rv1G0303760 transcript:SECCE5Rv1G0303760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREVSESRRRRRREHMATARDDCGTSLPNEMIIEVLQWLPVKSVFRFKAVCRSWAALLSSDEFRRLHMSAAKVAKWRAPPAKLLYISPTATFDSTAVYSCSFSPSSSSGRPRDRGDLLFTIDGARGNYVEVVTPAPCHGLTLLYDALDTAYYICNAATRAATRLPPSSDVACDSSAGLGFDARTDKHKAVRLINRMFHQKDLDPVSCEVYTLRGPFVDCRWRPAARGVPSSLHKFVDAAVLNASCNKLSPVFANGCLHWLMAPAYFITTPSVAIVSFSVAEETFTCQRSPPFWVPGAPPASRNWSSGEQLLEMDDQLCLVRNRMPHGSNTLEIWKLLDYSSGDWLLNHRISLSGHLARDLRQSQILRVIGSFGSYRSPWKKIIIATSMHKIFDKYQKMVHTYDPRSEALETILSITETHSTPQYGCPSSRFSFVQETLAPVHTTDEEIALSSDLAKATREILLRLPAKSAIQSKFVCKQWFRLIESKNFIQSYFQHKNIDKRPKVMLLVKSTGRLGFSFAPLNKCLQEAPSHSTLLDTKVVCSKPCHGLNLVSTETKDYLCNPCTDFHRGYSNLGPNLHLRPRMPKTEEHAFTVGNKNVGLTFNPLTREHVIVEIFYHRKDFESRKYDMSCALHWCGTPNAAQEHSVPPLPVNDMPPAYVEGMLYWMNEPRLGQSCEWAIVSFNLATSTFGIVTCPLWFARWSSRNRCRAFVVELEGVLCAVLADPVADKLDVWKLEHGQWGRAYTIHLEACPGYSLKTSVVVPLAVDPDHGRILLNTGRKIGLYDPVEQTIQNLYSLDQVPVSSSAHLKFLDMPSTSSSGDSLTCSEEESVAETNRMDSKLIPPVPMLYEESLACYSFVRKANCLW >SECCE1Rv1G0000280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1082526:1087633:-1 gene:SECCE1Rv1G0000280 transcript:SECCE1Rv1G0000280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEELSFKNRPPSPTPQQAMEGGEDPPTRLIGNFLRKQRASGAKPSLDLDPEMEELGRPSHGARRVSFEDEHQKHVSSDSDSDSDSDAGGSGRRAGEVVRCKSTATAKGPLLRAQTRSRLMDPTPPPPAAAPSIDKKHKSSASRSPKPGQCQSPAWRWSGPLDGEEEDPFVDEDIPDDFNLKSGKLGVLTILQWVSLVLIVGALVCSVTIRILSRKKVWELQLWKWELLALALVCGRLVSGWAIRIAMFCVGRNLLLRKRVLYFVYGVRSAVQNTLWLGLVLAAWHFLFDDKCQLAHMVAVSYVMKVLFCLLVATLIRLVKTLLLKVLASSFHVSTYFDRIQASLFNQYVTETLSGPQLVEDQGPMSAEAGDLHAAMPTKNLSGQRSFRPSVSMSKQPGQGSKQTSKEKRQHQIDEGISIDKLHKLNRSNVSAWNMKRLMKIIRSGTLTTIDEQIKQATGEGDLSATHIRSEYESQMAAKKIFHNVAKHRSKYIYLADLMHFMRQEEAIKAMHLFEGGQEYNRISKRSLKNWMVNAFRERKALALTLNDTKVAVSKLNQMINVVGGIIVFVLWLLILGIATTNLLVFLSSPFLVAVIVFGDTLKAVFEAIVFLFVMHPFDVGDRCEIEEVQVVVEEMNILTTVFLRYDNLKIYYPNNILATIPIMNFYRSPDMGEGIDFYIHVATPVAKLALMKERILRYINNKKEHWYPGAMVVLRDVEETNKLKVSIWLCHTLNFQDMGMRYVRSELVLQEMIKVLRDLDIEYRMLPLDVNVRNVPPIESTRMPTTWNYS >SECCE7Rv1G0480170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:266620625:266627795:1 gene:SECCE7Rv1G0480170 transcript:SECCE7Rv1G0480170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFRSVLARAAARTRAELQGTRGRRLSHCAAAPARPSFGIAFDIDGVILRGRSPIGGSPQAIRRLYSDDGTLKIPFLFLTNGGGVPEHKRAVELSELLGVNISPAQVVHGHSPYRELVKRFEDDLIVAVGKGEPASVMAEYGFRKVLSIDDYSSHFKEIDPLAPFKKWKVEQPNCKDFMSEKMHPPYDVYQERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGNGKGDQPPLYFAADDLEYQAAFPSERLGMGAFRIALESIFNQVNDVPLKYTSYGKPNPFVFKNAANILEKIVMGIYPNSQPRNEVQDHQFSTIYMIGDNPKVDINGVLKAGPPWSSILTRTGVFRGNDNDPKFPADLVVDTVDDAISCILEKECIR >SECCE7Rv1G0519600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865159632:865161424:-1 gene:SECCE7Rv1G0519600 transcript:SECCE7Rv1G0519600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWTGLSQAATVAQLVGADVGGLISMIARRVLMIAQLLPHVQEPEAMQPLAGLSDTLRDAHELVVSCQVRSAAYQFVMAARTAERFREVQSKIDSYLIVFPVISHIGITRRLERIYKVLVPDDSTTSTAQPSRLPQLQEFTEAAVEEVPRPHGTHEFTLAEIMAATNNFARDTLLGSGGSGRVYRGRLHDGREVAVKRMWKQQSKIDYIFHEEFRTEIDILSLSRLRHKHIIRLLGSCMSKEQTALQKEKRGLLTRWRKVPEELIVYEYMENGTLFDHLHPDQGSTALSPVTMSWKMRIDVLLGVSRAIEHLQCHHAHFPIVHRDIKSANILLDASWVPRVSDFGLSVTWDMASDETGFDAPCIGGTFGYLAPDYACSTRGTLAVDVYSLGAVMLEVLTGRTANDGDGQMSLAEFASGIIKAGNIEELLDRRPVPEPTPWQLQALKRVARTARRCVKLDSKDRPAISDIVAKLEMAYELMCRDEPGSVDELPDSPRRSSVSSAGSSQSDLEPEVLDEGR >SECCE1Rv1G0047460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:625536894:625542735:1 gene:SECCE1Rv1G0047460 transcript:SECCE1Rv1G0047460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGLSSPGALSHAYVQHPPLRCDIPDIKGLFYDDANKFLVAPTADRILYWKIAASTQSGPPNSDPINEGPILSVRFSLDQKAIGIQRSSHEVEFRNRETGEGCSKKCRADSETILGFFWTDCPTCDVIIIKTSGLDLLVYEPQSNALRLVESKKCNATWYLYTHESRLLLLASGMQCTLFTGYQFSAGGIVKLPKFEMVMSKSEANNKPIIAAADVHIVTVYGRIYCLQLDRVGMTLNLYRFYRDAVVQQGTLPVYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYAPISAPLPLLVRGLASNSKQAAQPADQQSSAYGGTLYGEGWNFLIPDLICDAENGLLWKLHLDLEAIAASTSDAPSILEFLQRRKSDLSMVKTLSLAIVRTTILERRPITLVAKAMDIILDSYSRSMKTGGGAAGVRRTSEQNQQSSFQPVEDSGVVSQEPPGTMIGPVIKTDSATGVESRQSQSNSGVEHGIANLAAHVDRSSLNTSSDSDGITNTSRAASQATSSSQISDATGKRPQVVGEDSQPLASGTSMQHGTHVASVAISPIEMVQSVFVLVEDEMMGDPAYLIAVIMEFLRSLSKAGLKAPPDLYVMMTALLACSNRYAEIALFVSNKILEPSRELAMQLIELGRHHSLTRKLGVDMLRERCLHHDYVAALLQDGYYLEALRYARKYKVITVQPSLFLEEAVAKNSTPNLAAVLSFFAELTPSFKTTSDYSRYRHILSEMV >SECCE4Rv1G0278250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:808204297:808205203:-1 gene:SECCE4Rv1G0278250 transcript:SECCE4Rv1G0278250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVLVGSERRVLISALPAPPPPDSLLGRLDQIDLRLRQLEEERRPSSVAEDDGAGRAAHPAPRHQHSKSMPSALQQQDLRGSLMDRLNLLESRIRQLSCELDLDGSGSGKLAASSLPMPMPMPVPVPRPAEDCAWSEPPLPEPAMRARAAAAAGGGAGASWSAAQILQRGARQLNRNKASHPAKVKKLKEAKCACEEEKRKAERAGRGSAGRRWFTVGC >SECCE4Rv1G0224290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:78554715:78556096:-1 gene:SECCE4Rv1G0224290 transcript:SECCE4Rv1G0224290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDYAAGCCFSLMCQEDGADLGDCLPGDDAGKLLLMYSAGDESGGEEDEEGYMDHLVSKESSLCSADPSPSMASEDWFQCARRDTVRWILETRGHFGFSHRTAYVAIAYFDRFSLRRCVDRSVMPWAARLLAIACVSLAAKMDECQAPALSEFRADDDYDFSCDSIRRMEMLVLSTLDWRMGAVTPFDYLPCLSSRLRRFNGAGRGGGGGALIAVKATALIFSAAEVASVLDYRPSTVAAVAVLAATHGVLTREPLESKISSLSPTCLLEKEDVYACYTMMLRDPSPPSKTAKRSASDRGDADSTYARLDAASFSVAAAMNNNKRVRLELPAVHR >SECCE1Rv1G0038860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:543538158:543540127:-1 gene:SECCE1Rv1G0038860 transcript:SECCE1Rv1G0038860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase family protein [Source: Projected from Oryza sativa (Os10g0195600)] MVTFTARRSQPELLPPARATPRETKALSDLDDQRTLRYYETVIGFFRSNALAGRPDDPVKAIRAALREALVYYYPIAGRLREDDAGRLVVDCTAEGVVFVEAYVDARLEEFGEPLLPPYPCVEELLCHVGDTRAVVGKPLLFMQVTRLKCGGFVLGFHICHNLADGFGMAQFIKAVSDIARGEAAPTILPVWERELLTARRLLPPPITRLYPVHEPPANGSRSAARDMMLSVPPQSMVAKYFLFGPREVSALRDRIPAGHPARSATIFELVTAVMWRCRTVALGYEPGQRVRLMTTMNARGRWNNHTPIPWGYYGNAHVSPIAEAVVGELRGQPLADTVELVRETKRGMTKERMESMVEAVALLREWPPSTMDRIYEVSDVRWMAVNVLNFGWADLAGGGIPLAGDLTSKLGSDHMWCRNEDGEVSTVVSMLLPRAAMDRFTEEIAVWLSHKDDEKNLAIMSSL >SECCE2Rv1G0130340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:875862502:875868231:1 gene:SECCE2Rv1G0130340 transcript:SECCE2Rv1G0130340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPALHPLPILLLLAAAVATASAAVPPAASHSQPTLPTKGAGAGAGAAHSQPTKPAAPPSAALASAPPAEGALLAAFLAKADPTGHLRSPLASTPCAHPGVTCGGAAGITHLVLEQAGLNGTFAPDTLSGLAGLRVLSLKSNALHGPVPDLSALGNLKALFLAGNRFSGPFPASLASLRRLRSIDLSGNRLSGALPPGIEAAFPHLTALRLDSNHFNGSVPAWNQSSLKLLNVSYNDFSGPVPVTASMALMGADAFAGNPGLCGEVVRRECSGSPLVFFPGDGSRGSATPPAQSAGVTGDGPQRQGLPSSSAPRAHKVKKKTAMTVAIALAAVLAVLLVCAIVAATRGKKRRRPSTAAYPSPKKSAAASQLSREMDNADIGYVECVPDEEAAAMMMPEEKARRLGRSGCLTFCAGEATSYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGPAASEPETFEQNMDVIGRLRHPNLVPLRSFFQAKEERLLVYDYQPNGSLHSLIHGSRSSRGKPLHWTSCLKIAEDVAQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLSFLLESAEVKDDAAYRAPENMKSNRRLTPKSDVYAFGILLLELLSGKAPLEHSVLAATNLQTYALSGREDEGVDRERLSMIVDIASACVRSSPESRPTAWQVLKMIQEVKEADDTGDNEDGDLTSDS >SECCE7Rv1G0480320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:268488307:268491393:-1 gene:SECCE7Rv1G0480320 transcript:SECCE7Rv1G0480320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGSPGGMGKQGGLPGDRKPGDGAAGDKKDKKFEPPAAPSRVGRKQRKQRGPEAAARLPPVAPLSKCRLRLLKLDRVKDYLLMEEEFVASQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVSVLSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTAKMTLAEDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >SECCE3Rv1G0145820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8378201:8380235:-1 gene:SECCE3Rv1G0145820 transcript:SECCE3Rv1G0145820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRQRQSGRGGAAAGAMAVDGSHTTSSGSKLERKDVEKNRRLHMKGLCLKLSSLVPASSTHHLRHYSTSPPSSIKDAATQLDQLDSAAAYIKQLRGRIDDLKRRKQAALTGNTVGCSSSVSAGDYKGQPSALPVVEVRCQGGSALDVALASEAGRPFRLHEVIAVLEQEGAEVVSASFSLVGDKIFYTLHSQALCPRIGLDAGRVAQRLRGLAAAAAPTVSTLLT >SECCE4Rv1G0267530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736498817:736499361:-1 gene:SECCE4Rv1G0267530 transcript:SECCE4Rv1G0267530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQRLAAAKRCSKEAAMAGAKAAAVATVAAAVPTLASVRMLPWAKAHINPTGQALIISTVAGMAYFIVADKTILSMARKHSFEDAPDHLKDTSFH >SECCE7Rv1G0467860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:92335183:92337817:1 gene:SECCE7Rv1G0467860 transcript:SECCE7Rv1G0467860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPQRRRSSPGALIDDLMREIFLRVRGDDPASLVRAAACCWSWRGIMSDPEFAHDYRKFHGAAPVLGFLYNDHHMTTHIDLRGQMRWYSSHFVPTATFRPPACRDRRNWRVLDSRHGLALFDTPKRREDFVVYDLVTGEHWEIHADPKCHYFMWWPDDDDDLHACLRCNATLLCAKDRCDHIDCHGGPFRVAFVGCDYTGRAAHAALYSSETREWTDVTSVEHEHFIHYTGHSAVVGDKVYVPCMESDSLIVYNMGERELSVINPPFLVQAITLMGVEGGMPLFVSVVKARLLLWSLEAGPGGAARWTRHRAIELKSLLPTPVLLDNFGVTPVAFAEGVGVIFLGTKAGLYTIELSSRRCKKVHAMQSMQKVIPYMSFYTGEWRPLLASGEASPAVGGGTTI >SECCE6Rv1G0412140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613226201:613228482:-1 gene:SECCE6Rv1G0412140 transcript:SECCE6Rv1G0412140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHFAAAGAGAGAVQRYYCGGACDGRDDGAVQAMMQCQRVSDFLIAASYLSIPLELLYFASCAAVAPLKWLLLQLAAFAVLGGATHLLAVFSLAHPHSSGLLLASTAAKLLAALVSFATAVSLVALIPRLIRAKLREAFLRAKARQLDRDLGLIRRRVEATSRVVRMLTHRIRSSPLDAHSILHTTMLHLADALELHSCAVWMPGQDAADDLHLVHQLSLRGKGPVRVVLGSQAPISPDDPDVVDVMASEAAKVLRPGSELATASSGELQPPGAVAAIRIPMLKVSNFDGRKTPVASSYAILVLALRSKASGSGSGEWGGHDLEVVQVIADQVAVALSHAAVLEEWQAMSDRLAEQNRALLHAKQDAMMATEGINSIQSAMCDGMRRPMYSIIGLLSMVRQAEGMRPEQRLVADAIARTSTLSLALMNDVDTETLTVNRMPFDLHSMMREAMSVAGCLASCGGAGFSYQLENALPEWVVGDETRVFHLLLQMAGDVLGRRRDGAGRLSFSIKSCSVDQNDCIPVCPNLSAGCSICVEFQVAMERSTECSQPPSSPASSQINMCKKIVQMMNGTMWSATDGESITLILHFQLQQSRACRRTSSSIPHFNGLRILLADGDGMSRAVTQKLLEQLGCQVISVSSGAHCLALLGSAGSSFQLLLLDLDMDAFEVALQIRGLKNRRWLLIVAALAVTVDDNIRETCRHSGINGLIQKPLTLTALGAQLHRVLRN >SECCE5Rv1G0302050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:35001286:35004573:1 gene:SECCE5Rv1G0302050 transcript:SECCE5Rv1G0302050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHQKGKSKARTKLLIDIQNAVQGCWEEHRVFEAEPGDRPPAPGEKFFGTFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFAFHCTGMPIKASADKLAREIAQYGNPPVFPVADEKSSAEVSEADQVAVVPGKFKSKKGKAAAKSGVQKFQWDIMESFALSDQEIARFQDPYHWMTYFPQLAKDHLKDFGLGCDWRRSFVTTDINPFYDSFVRWQMRKLKKLHRIVKDMRYTIYSPLDGQPCADHDRATGEGVQPQEYVLIKMEVLSPFPPKLKALEGRKVYLAAATLRPETMYGQTNCWVLPDGNYGAFEVNDIDVFIMTARAALNLAYQHLSRVPEKPSCLAELSGSDLIGLQLRSPLALSETIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLVTKPALRAKYGVKDEWVLPLKVIPVINIPEFGDKSAEKVCFSLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFSGRKVQEAKPLIRKKLLEEATAVLYSEPEKKVMSRSGDECVVALTDQWYITYGEDEWKQKAVRCLENMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTVAHYLQNGNMYGKEISSIMPEQMTDEVWDYVFCDGLAPKSDIPCALLCKMKQEFEYWYPLDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFLTLKEAIAEYSSDATRFALADAGDGMDDANFVTETAESAVLRLTKELAWMEEIIGSESSLRSGPPSTFADRVFANEMNIAVKETEKSYDAFMFRDALKSGFYDLQLARDEYRLCCRMAGMNRHLLWRFMDVQTRLITPICPHYAEHVWRKLLRKDGFAIKAGWPVSGAPDPTLRSANKYLQDSIVLMRKLLKAQESGSKKPKKGAAPLPPSSEGNKLTVGLIYVNEHYYGWKEQCLKVLRSKFDSQTCTFAADEEINEALRNCLVGQEAADFRQVQKQCMPFIKLKKVETSNFGPNALDLKLPFGEIDVLKQNLELIKRQLGLEHVEVLSTSDEAALAKAGSYVSVLNKAPPSPGEPVALFMTRQAFEAPPN >SECCE7Rv1G0510160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:787333525:787334688:-1 gene:SECCE7Rv1G0510160 transcript:SECCE7Rv1G0510160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGGGPKVLLVHSSSNKPSGGGSGSPWMGRRRLWLVVFLACFACVSLASLLSAARDASSAVGGRRRATGSSSAGARLAVSAGARRAAAAKGEGGEAAAATGPGLPGYVFDALVQYAAVGGNTSGSMPAADVRAIAAALKRRSPCNLLVFGLGGETPLWRALNHGGRTVFLDENQWYVSHLEGRHPGLEAYDVAYTTTVREFPDLLEAARAARAAECRPVQNLLFSDCRLAINDLPNQLYDVAWDVILVDGPRGYTASSPGRMSAIFTAGVLARARKEEGAATDVLVHDYEREVERACSREFLCEENRVPASSTRSLAHFVIRGGSAVRRDAFCGRAAAATDTAAQ >SECCE7Rv1G0462550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:46857425:46859884:1 gene:SECCE7Rv1G0462550 transcript:SECCE7Rv1G0462550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSGLPAPCSLLMATLMLLIVQAQSISRHYSFNVQMANVTRLCATKSIVTVNGEYPGPALVAREGDHVVVRVTNHVAHNMTLHWHGCPIRTGQTYVYNFTVLGQRGTLWWHAHISWLRATVYGAIIILPKHGVPYPFATPHKEVPVILGEWWKADTEAVVSQALQTGGSPNVSDAFTINGLPGPFYNCSAQDTFKLKVEPGKTYMLRLINAALGDELFFSIANHTLTVVEVDAVYVKPFTVKTLIISPGQTTNVLLTTKPSYPKANFYMLAAFYLGYQNPSAPLRPSTINNTTVAGILEYQNPGSPSAPGFDKDLPLFKPTLPRFNDTGFVTNFTSKLRSLATPQYPAAVPRSVDKKFFFTVGLGTSPCHMGTACQGPANGTRFAAAVNNVSFVLPSTALLQSHFTGMSQGVYGSDFPAMPPSEFNYTGAPPNNTNVGKGTKVVVLPFNASVELVIQDTSILGKVSHPMHLHGFNLFVVGQGFGNYDPMNDPAKFNLIDPVEQNTVVVPAGGWVAIRFLADNPGVWFMHCHLEVHASWGMRMAWLVLDGSLPNHKLMPPPTDLPKC >SECCE5Rv1G0327340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:491491873:491494102:1 gene:SECCE5Rv1G0327340 transcript:SECCE5Rv1G0327340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSWNPFSCCVRGAAVDDDDHCESWRGDKGSPRSPLKNLSSSGTLSPEELSLTLSGSNLHAFTHAELRAATASFSRANYLGCGGFGPVYKGAVDDNLRPGLAAQAVAVKYLDLECGTQGHQEWLAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEFMNAGSLETHLFKSINGSLPWMTRMKIAVGAAKGLAFLHGADPPVIYRDFKASNILLDSDYNTKLSDFGLAKDGPQGDATHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGRQSVDRARRPREQNLMNWARPYLKRSDKLHQVIDSTLECQYSCKGAEVAALVAYKCLSQNPKSRPSMREVVKALEPVLDMHDFFPAGPFVLTVVVEDDKVMDMKVETEEKHQSHHQNHQDRHRHKYPESAIHADIVIHGDNRQVAGFTGAMRRQQRTLSYHRERGA >SECCE6Rv1G0431700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:747187486:747193351:-1 gene:SECCE6Rv1G0431700 transcript:SECCE6Rv1G0431700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAAGGGDAEPAHRKLGGYLRVVVSVPASDSKSIRPLAPCSLSACGAAPLAPLPENAAGGRAGLAPRGSVKWRATGGGGTSVLRQLRALVASRCVEVEGRILRVVARGAEEARAVVLVDVYLPVAAWSGWKFPRSRSSAAAAVFRHLSCNWDARNALIAFDWTAHDNPHSDDQYIWSCTDCHVLGCELHQVSSVSNNDKSFDLHEIFKVLPSVRVEKGMQITTVIPDVISDEIGIWSIPDDILNKVLIRLKPGDLIRVAATCHHIRTLAASIMPCMKLKLFPHQEAAVEWMLRREQNPEPLPHPLCKNFSTEDGFPFFINVTSGEIFTGIAPIINDFCGGMFCDEPGLGKTVTALSLILKTHGTLAEPPRGVDIKWCTHKPDKKYGYYEFNTSNCSNSLSESKRLMGKNVIKEDPCSSELSYNGDSVPSTRSSRKRGRLVDPGLSMVNMHLSSEKLLESCNPHPTPATQVLKVTKNLTSVRKNLMDAYSKDSVGSKRKRGTVSELSETWVQCDACRKWRRLSDGTVLDSTAAWFCSMNADAARKTCAASEESWDFKRKITYLPGFYKKDALPGNEQNVSFFANILKDNISLINSETKKALLWLAQLPLRKHLEMESVGLTRPVLDARATTGKGARPYFKLFQAFGLVRKIEQGVTRWYYPSMLDDLAFDSAALGIALEKPLDLVRLYLSRATLIVVPANLIDHWTTQIQRHVSSDTLNVYAWGDHKKPPAHILAWDYDIVITTFSRLSAEWGPSKKSVLKQIHWFRVILDEGHTLGSSLALTNKLQMAVSLVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHEEAYGENYHSWESGIHRPFEAQMEEGRIRLVELLRRSMISARKVDLKNIPPCIKRITFVDFSEGHAKSYNELVVTIRRNILMADWNDPSHVESLLNPKQWKFRTTTIRNVRLSCCVAGHIKVAEAGHDIQETMDELMQGGLDPSSEEYQSIRFALLNGTDCIRCRDWCRLPVITPCRHLLCHDCVALDSEKCIECGNNYEMQSPETRARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTTSSKVAYLIDKLRSLREANMKHLHSTNITIGAGPATTSSYQDDNNLQTRLPQALPDKVIIFSQFLEHIHVIEQQLTIAGITYAGMYSPMPLGTKRSALTKFKEDPTCMALLMDGTAALGLDLSFVNHVFLMEPIWDRSMEEQVISRAHRMGATRPINVETLAMRGTIEEQMLQLLQDSSACRNMVNKGAGGTENEGGARPHRSLHDFAESSYLAKLTFVKGSNTACVDAC >SECCE1Rv1G0032150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:451679548:451683660:-1 gene:SECCE1Rv1G0032150 transcript:SECCE1Rv1G0032150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32440) UniProtKB/Swiss-Prot;Acc:Q93Z53] MAAAAAEMVRGFAATARVARPAATVAAPPQPRRAVAARVLRTSASEKVAADLSVVGTNGSLSAMSNNDVSTDATSQAVDTTPRRKTKIVCTIGPSTNTREMIWKLAETGMNVARMNMSHGDHQSHQKVIDLVKEYNAENTDGNTIAIMLDTKGPEVRSGDVPEPIMLAEGQEFNFTIKRGVSTEDTVSVNYDDFISDVEAGDILLVDGGMMSLAVKSKTADTVKCVVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDAKVIHELKAYLKSANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEEVPLLQEEIIRTCRSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREAADAIMLSGETAHGKYPLKAVKVMHTVALRTESSLYDPTKAPSLVARPKALLNDDFCKSQLSKMFGSHATMMANTLRTPIIVFTRVGSMAVLLSHYRPSSTIYAFTNEVRVKQRLALYQGVVPILMEFSDDAEETFSRAITSLLDAKYMNEGDYVTLVQSGSQSIWRDESTHHIQVRKVQC >SECCE1Rv1G0053760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:671633735:671635715:-1 gene:SECCE1Rv1G0053760 transcript:SECCE1Rv1G0053760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMPPEGIVKEEELLLHEEHAHPAPAAAAQQRQDGALPRPMEGLHEAGPPPFLTKTYDLVEDPATDQVVSWGRAGNSFVVWDPHVFAEALLPRLFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSNAPPSQQQALASCLEVGEFGFEEEIDRLKRDKNLLITEVVKLRQEQQATKDNVQAMEGRLRAAEQRQAQMMGFLARAMRNPHFFQQLVQKQDKRKELEDAISKKRRRPIDNAPFCGPGATTSQSEQLDSQFLFDSGVLSEPGMNGMENLAQNIQELGQGKTDEEKKDEANGQLDINNDFWAELFSDDFGDGDGSGLSELEGRRPEDIDELAQQLGYLSSTSPQ >SECCE6Rv1G0450550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:868227467:868228734:1 gene:SECCE6Rv1G0450550 transcript:SECCE6Rv1G0450550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIPLMTPYTMGHFDLSHRVVLAPLTRQRAYGGLPQPHAALYYSQRASPGPCFGTEAQSASPGGLLISEATRVSPPASRQKEEEEPESSFGDVPGIWAPEHVVAWTPVVDAVHAKGAVFFSQLWHVPGDAVQRREQQVSPQMSFDGRREELTSPRRVAMEEAPRVVDAFRRAARNAVDAGFDGVEILGANGYFVDDGDGHGGSLESRCRFALDVLDAVAREIGGHRVGVRLDQFSAEPDEHALALYVVSRLNDHGVLYCHMIEPRVDGRRRVSRRLLPFREAFGGTFIASGGYGRDEGDAAIGEGYADLVAYGRLFLANPDLPRRFELGAPLNDCVSATFYGAGAGADLAVGYTDYPFLD >SECCEUnv1G0529420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7496436:7500612:-1 gene:SECCEUnv1G0529420 transcript:SECCEUnv1G0529420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTNRGVVLLPLPYQGHINPMLRLAAALHSRGLAVTILHPETRAPDRRKLPADYRLVTIPDNIPPELAASEDIASFVFALNKNCAAPFRDYLAGALRAEEEGEEGEDGRRRVAFVVADVDWFAPLTVARELGVAALALMTSSAARFLVYLAYPRLCHNGYLPVQESNFNTAVEELPPFVVGDLHRVMDMTQHVAYTDLLAHIVAGVRRSSGLIINTFEDIEGMEMERIRGEIGLPVFAVGPLHMMTSSWSVESSLLTEDRSCLDWLDTQQPNSVLYVSYGSLVGIDTDEFLEMAWGLAGSQRPFVWVVRPRLVHGRDSSALPGELQEKMGNRGRIVSWAPQQEVLKHPSVGAFLTHCGWNSTTESISEGVPMICRPLSGDQMGTARYVCDVWKVGVRVEVEENQLKRGQVQAAIRRLMDGEEGEEVRERMRNLRHAVVKCTREGGTSDGALQRLVDSSV >SECCE5Rv1G0302450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:39018983:39019903:1 gene:SECCE5Rv1G0302450 transcript:SECCE5Rv1G0302450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMLVLLPLLAAWVTTASSSLAKPGCPARCGGLDIPYPFGIGAGCFRPGFEIICNDTTPFLPGAVSTEPVRVLNLTMTPRAQVRVPLPVAHQCFDAAGKATAGGFDGRLKVNKLDVYRISDTENELFLLGCNTLVYAGRRSQVRNTATNGYFSGCLAYCSSAQKPRDGKCDNIGCCHVKILPLLTNTRIRFDKWNHTLGVRGTCNYAFIVDRKHYKFSAADLKRTPESDPAKRWSMPLWLDWAIRNHSNSLLCPQAVNTPGYACLSKHSDCVNSTNAMGYICKCWNGYEGNPYLIDGCTGKSNSL >SECCE4Rv1G0263280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:715477813:715494655:1 gene:SECCE4Rv1G0263280 transcript:SECCE4Rv1G0263280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIERIDNTTNRQVTFSKRRGGLMKKARELAILCDADLALIVFSSTSRLYDFASSSGMEAILERYKEEKEEHCGVLNPTSEAKLWKREVTTLRQQVQNLQHNNRQLLGEELSGATVRDVQFLANQVEMSLHSVRKRKEQLMAAEIHKLNQKGVLIQEENAKLGKELSIAHEHNIELQKKLSGAMRSIEQQASGSNSKIVAGLLLSSRVREPNIDLELRQQEHEE >SECCE1Rv1G0022640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:286992767:286999834:-1 gene:SECCE1Rv1G0022640 transcript:SECCE1Rv1G0022640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSARSSGGMAHSASNSAGSAGSPPGGIGPGKGVAAAAATTSASASTPASGSTVARRLNDLEIQGDDAPSSQPAASKKKKKGTRVVGPDKGNRGLRQFSMKVCEKVEGKGRTTYNEVADELVAEFADPNSNIGSPDPDNPNTQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLSDIDKLKTEVIGLKGRIDKKSAYLQELQDQYVGLQNLVERNEQLYGSGDAPSGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSFVLKAMGFSGKEADGTVALVANAVECSSASNVYGHRSPQPARPNGTRLRTSPPIPGILKGRVKHEH >SECCE2Rv1G0068250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22497264:22498277:-1 gene:SECCE2Rv1G0068250 transcript:SECCE2Rv1G0068250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHACATAIDELAITITSLPPETRFPPFRLRLYGGFWLLEKFLEGVPAVHSVFEPRPSDVLLASFPKCGTTWLKALAFATRNRAEHPPCGLDHPLRRRNPHDIVQYLELQFAQSMGHVVAALPSPRVLATHLPYSLLPWRITTEDSGCRIVYICRDPKDAFISSWFFTKKTVAAVAARAQAGEEPPPYMFEEAFELFCDGICVSGPQWRHVLGYWEASIRQPEKVLFLRYEEMLRNPASNVKKLAEFMGCAFSDEEEATGVVQNIVELCSLESLKNMDVNKSGSHGPLAHESFFRKGVAGDWSNHITPAMAERLDKIVEDALQGSGFTFDVAERSA >SECCE1Rv1G0057760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694927929:694929107:1 gene:SECCE1Rv1G0057760 transcript:SECCE1Rv1G0057760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTAIPCVTLNTGHAMPVLGFGTGSSRAPPDLPDTILHAVRLGYRHLDTAAMYGTEPAVGAAVAEAVRSGAVRSREELFVSSKLWIPDARPGRVVPALRESLARLGLDYLDLFLVHWPVAADNPADKSTLAEFDMEGVWRGMEECQRLGLARSVGVSNFSAAKMERLLALAAVAPAVNQVELNVGWRQEKVREVCGRHGVVVAAYSPLGAYGASWGSDAVMHSGVMHDVAAAKGKTVAQVALRWVYEQQGVCLVARSFNKERLEQNMDMLSSDWELSDEDKAMIATIPQKRACQGDYFVSPHGPYKSLEDLWDGEI >SECCE3Rv1G0185360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:674001166:674002589:-1 gene:SECCE3Rv1G0185360 transcript:SECCE3Rv1G0185360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVSGQWTRLRTLGCGASGAVVSLAADGASGELFAVKSVRAADAAQLSREQGILSGLSSPHVVGCIGGGRRDGSYHLFLEFAPGGSLADEVARNGGRLEERAIRAYAADVLRGLAYIHGKSLVHGDVKSRNVVIGADGRAKIADFGCARTLGSAGPIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWGEMDNVLAAVRRVGYTDAVPEVPAWLSAEAKSFLAMCFARNARDRCTAAQLLEHPFVALHAGEAKARWVSPKSTLDAAFWESETEEEENEEEEVSESPCERIKSLACSVLPDWDSDEGWIDVLGESCDSPAATKGPADVSSRAPSKVLGSPAVPAEDVAVVGTLSSDELDAEDEPPFGDGVILAADPSVDRQKEVRSSSDRDVLSSSRIPCNNNRIVAIEKFRTTTTYYS >SECCE6Rv1G0427580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:719940621:719941745:1 gene:SECCE6Rv1G0427580 transcript:SECCE6Rv1G0427580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYSSISILPDDLIWEISQCFLATNDLDAYVDFRGACRDWRRAIPNPRADAKDPKFIPTKWIIARHSLKEDGKVTFINLSTGRSICKDISLLLNRYLFIGVAAGGLLVLRENRHPYKTRVVNPFTGAMVHFKAGIPWEQVDAVAVTLSPMRVFATSLQRNYMTWIDETTEESASGWGFHDKCFGASQGCLVDMTLFAGDLYTTDVDGSIISASDAAAAEKDHRQQLPAILGPEAYAQECHGNYFYLVESKGELLLVKECRRPLYKGGPLVYKVDAVNKLLVPVWSLGSQALFLSNYRCLSVDASKLNTVEEGCIYYADYSMIIAYDYKIFPNDGWVEKQPERVVELDPNHEDYDRPFSLAQILVDYCRTAEHE >SECCE2Rv1G0110250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:687853804:687856002:1 gene:SECCE2Rv1G0110250 transcript:SECCE2Rv1G0110250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFPGMFPGMDLTKMDAPTLTLLGAACCVMLSMHFTVQLVSQHLFYWKNAKEQKAILIIVLMPPLYAITSFVGLLDIKGSKAFFTCLESVKECYEALVIAKFLALMYSYLNISISKNIVPDEIKGRVLHHSFPVSLFLPRNVRLEHKTLKLLKYWTWQFVVVRPVCSILIIALQLLGLYPSWVSWTFTIILNFSVSMALYALVIFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGCALDILAAVGVIQSHHFWLDVEHIQEAIQNVLIILEMVIFSVLQQYAYHVAPYSGADKAKSVKKNE >SECCE3Rv1G0145270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:6067992:6068405:-1 gene:SECCE3Rv1G0145270 transcript:SECCE3Rv1G0145270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGASLLVAAVFSMLVMSSLGHPRPLCGDCDPQCRANCTAEVKTSCSSYCSGGGGGPREGCRRNILQQCTANGVCCSSNGTCTCDCNDVAESGCKGVTDDTQLCDPCMRGIFDQCLPTCNKACNNNWKKKGCHHA >SECCE6Rv1G0420230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:676049708:676053642:1 gene:SECCE6Rv1G0420230 transcript:SECCE6Rv1G0420230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLACCYNDPDMLIDPETIYPTRPDCTDAPKSRFKPKPRRTLSPRRWKLLFNEEGCLDAAGMIMRVQRGGVHPNIKGEVWEYLLGCYDPKSTTEQRNQLRQQRRLEYEKLKTKCREMDTTVGSGRVITMPVITEDGQPIEDPNSTGEQPTNNGPLSKEVIQWKLLLHQIGLDVNRTDRTLVYYESQENLARLWDILTVYAWVDTDIGYCQGMSDLCSPISIILEHEADAFWCFERLMRRVRENFKSTSTSIGVRSQLTTLSTIMKAVDPKLHEHLENLDGGEYLFAFRMLMVIFRREFSFIDTMYLWELMWSMEYNPGFFSMLESNTGPPSAKDENTLKQCGKFERKKLQAAKQDEQIPLSVFVVASVIEARNKRLLGEAKGLDDVVKILNEITGSLDAKKACRGALTIHAKYLTTVKAS >SECCE1Rv1G0025850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:361092759:361095558:1 gene:SECCE1Rv1G0025850 transcript:SECCE1Rv1G0025850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVRCAEELVEREMSGRDASHDAAHALRVRDLALSLAAEQGVSSPDRLLIVELSALLHDIGDYKYTKDNTEDMSIVKRFLEEVGLEEGQREEIVAIITGMGFKNEVSNKLNAEPALEFAIVQDADRLDAIGAIGVARCFTYGGSKNSALHDPNVLPRDNLSKEKYMSKEEKQTSINHFHEKLFKLKDMMKTEAGKKRAEKRHKFMENFVAEFYEEWSGRA >SECCE2Rv1G0110530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:691244217:691248090:-1 gene:SECCE2Rv1G0110530 transcript:SECCE2Rv1G0110530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVMFHVLCVILLNFLPWLFFQESHIFADVVMPGGSVFGQNKAMINLFDLSTGMASTKALTDRAHREDSPVRRSRTDTKRTVDPAKVCIEDKLETSTRSSLSSKSDASLMKKLLANEIAKEVESKRKPPSVVARLMGLEDDLPAQEQALHSPKRNLRRSHSHDNYAATKRALQQQEQRLYNKTTRDKHTGPKETVEFKDVYAVCEEPLRTNHLQDQTSSGGRSSQHKSDARIEVVRQKFIQAKRLATDENFLHSKEFQEALEVLSSNKDLFLKFLEEPSSVFSNPLYGQHIMPAPPQTKRITVLKPFKSVENKGARESRTHGVDEENDFVMGKSHKRSHSAEDTFSKPNRIVVLKPSPGKPNRAHARLTPRSSPFELIQRTAFLGNLEDGTSTLGCTEVSGASVQYLPEDRRRRDESLLSSVYSNGYNGDESSLSRSEGDNIDEDGGSLSDSEVVSPVSRHSWDYIRRYSSTYSSSTHSRASHSHSAESSVIKEAKRRLSERWTTVACDEISQEVKLPRTSRTLGDMLSIRETEKEETVAVINSASSSRSCGTKNELAMQASSVSTLREHETGESSPRNLARSKSLPVSSAMFDNMVVSANSEGCETPKVDTRQGKGKLSFKGKVSSFFFPRSKRLAEEKTTLPSDSFGEKVQVTFLDDKRSETNSDLQFDEQIAFCKDKADNSTIQTNCSLNQDVGSMEAHVSTNCPSGYNDELRSNAGLKSMRDQPSPTPVLDASLEDSNTNEPESSRSTSACNEKVALRSRAIESVPSSLSWVDTYSHSPLHIHHLNSSNAEDNESECYALVQKIVSSAGLGNLQVSMVFTGWHSADCPLDPALCDKFLDRKEEAAKPRERRSNQKLLFDCVNMALVETGHEALLRTYPWGKACFGARSEALSQVLGEEVWSRVRDWLYGAEMLAANEHGDAATMLERIVRQEVEGGGWMKSARSEADEMTKQIADGLLVELVGESVADLAVCFPQQDLAMPVPNL >SECCE2Rv1G0126280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:845231319:845232983:1 gene:SECCE2Rv1G0126280 transcript:SECCE2Rv1G0126280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEALLANAASGSSGGDRLSIDDALAQHAGEFGRWQLRQFVMVTAAWALEAMHTMVMIFADREPVMSCPAGDGRCGDRCAGVAAGWEWEQGSGSSTVAEWGLVCGERYKVGLVQAVFFVGCMIGAGVFGHLSDSFLGRKGCLLVLCSLNAVLGLVTALSPNYWVYAALRLLTGFSTGSVGLCSFVLATEPIGPSRRGAAGMSTMYFFSVGIAALAGVAVMFQSSWRLLYAVTSLPSLAYVFAVMPFVSESPRWYLVRGRADDAMGVLRDIASANGRCIPDGAMLKLDDEGDDAQVGTGEKAEEQPSSGTIIDAFRSPTTRIRLVLSVIIHFLSAVVYFGLSLNVVNLKTNLYVSVVLNSIAGRDALLPAHLAASSALRPQTTRHRHDASQRRLLHGGQSHPRRRCREGGEDGVRGGRDLRDIGDVQPVGRVHGGAVPDGGADGGARMRDASVADGCHTSTAGGGARGAGAVRGVRHVGDHWRAIVLMPARDDEQALV >SECCE1Rv1G0016430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:138769545:138770377:-1 gene:SECCE1Rv1G0016430 transcript:SECCE1Rv1G0016430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSFEKDTKIMNAASFTIEREDHTIGNILRMQLHRDPNVHFAGYKLPHPLQYKIIVRIHTASQSSPTQAYTQAINDLDKELENLKQAFEVCFLSIIQ >SECCE3Rv1G0150260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31385510:31386282:-1 gene:SECCE3Rv1G0150260 transcript:SECCE3Rv1G0150260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRPAAILMLMLTLPAAAILVAADGVDVDTIRLPSDGGEGLATSKGGEEQALPLPDLAVAPREDNVGGDLEEDERPWTCCDRTVCTKSMPPTCRCLDEVEECAPACKACEPSGFKLSFHVCNDQYHGDPGPTCAKEERPWTCCNSTVCTRSMPPTCRCLDEVEECAPACERCEPSGFNPAFHVCNDQYHGDPGPTCGKDDDVPAGSPSIATATATQIQLLLVSLILLLIQRR >SECCE6Rv1G0419050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:666492471:666492791:1 gene:SECCE6Rv1G0419050 transcript:SECCE6Rv1G0419050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKLAVVLLACAALMATAAAYAPYRPEPEPCKTQAMYFKNCLLLVSECEKCCTGVVADPACFCEVEREAEIKCAPGHHCSRDDKKIKIKEMNLSCMKNLKCKHA >SECCE7Rv1G0480810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:274045241:274050522:-1 gene:SECCE7Rv1G0480810 transcript:SECCE7Rv1G0480810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDVPPNQTVYFKNLNEKVKKEELKRSLYALCSQYGRIVDVVALKTHKLRGQAWVAFSEITAATNAFRGLQDFDFYGKKMRVQYAKSKSDCFAKADGSYAPKEKRKKQEEKAAEKKRRTEDGQQPGANAPVAPSNGTGHQPSRFGKPPPQEPAAPPNNILFLQNMPDQTTSMMLQILFQQYPGFREVRMIEAKPGIAFVEYEDENQSMVAMEALQGFKISPENPMAISYAKK >SECCE4Rv1G0234550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:290297000:290319558:-1 gene:SECCE4Rv1G0234550 transcript:SECCE4Rv1G0234550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVAKPAPFVCFKWPWGPIPSASPSPCGDLERPWLFKSISTVAQGLFIAGDIPSASIGSGGHGARLWKHHPGTAPVEADHGDAEQRALAAALVSARATTVLEFYSPRCRLCSSLQVLVSELEDGDNACASFVLADAEDDRWLPELLHYDVRYVPCFVLLDKNGRALAKTGVPTSRQHVIAGLHHLLNMKQPSGQEGKKSRS >SECCE5Rv1G0325340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:464305341:464309389:1 gene:SECCE5Rv1G0325340 transcript:SECCE5Rv1G0325340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPRERGSRAARKGRPVRTPATLLALNPTPDPDLSAQASDDVSPWGRSTADELEDRLLKRLEEAYAAALAGLAELGYAEDAALRAVLRAGHCYGKLDDPVDNIVANARSFLNDPDAPGGAGGFADLRRLEEYSLAGLVCLLQSSRPTISRVEAMWCLLANDLRLEQAINMGASFTDKSPHSGFSTAESDAPSPAAPAPGQRGYCHFHATTATENHMFDPETFMRLAMRAHTDSTRPHTESTAGVVSCVKNTWSRSGGSAAPAPAATDGQGQPKQSFAMKVSTDDLIESVVMELESLDIDKKDPPAEKPDPKNEMVRDLIKQTREMEEQLKERKEWAQKKAVQAARKLGNDLTELRMLRMEHDDNQRRKNDKQSMEDETMKRLTRLEYELKKKSGQLDRSNSSVQKLEMENAEIRAEMEAAKLSASETERQCQILLKKEKKDNKKLELWERQKAKLHEEIAECKAKIAQADKELAGVNKSIRNMEVKIREDTKATEDNLSLAEQERGKRESAKADADRRLEEIRRKTEVESQCYKDDLRRLQDQLSRLQKSMGANGPTLPSAYPPAMTDRNTARAPKQSNQKAPPASNRQQEPLQNTGRRRGCMICKREEACVMLLQCAHQVLCVGCNKQHEEKGAVRCPSCNAKIEERIRVFGASSN >SECCE1Rv1G0040440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:559963434:559965233:-1 gene:SECCE1Rv1G0040440 transcript:SECCE1Rv1G0040440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of SLD five 1 [Source:Projected from Arabidopsis thaliana (AT1G80190) TAIR;Acc:AT1G80190] MYARRASQLLKELDACEPGQLVVFNSDVFDQVIRECGEHNAQFQALIRKMVEQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAETIRSFRWKIGPVLPHEIQEKLNFSEKEYFRSHSSAIKSYISELDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSISLTKNSLHFLRRTDAEQFISQGLMEEFLE >SECCEUnv1G0527550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1363427:1364545:-1 gene:SECCEUnv1G0527550 transcript:SECCEUnv1G0527550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCCLLLLLLFLLAFLSPEARATSTSCHHDDLHALRGFAGNLSRGGVLLRASWSGSTCCGWDGVDCDDATGRVTALRLAGHGLVGPIPGASLAGLVFLEELDLGYNNLRNISGALTVLRGCQNLTTLILTKNFGGEELPDDGITGGFKSLVVLDLGDCALRGRVPKWLSQCRKIEVLDLSHNQLVGPVPSWISRLDHLCYLDLSNNTLVGEVPKSSKGLNTYGCSPAIHFTNMSFYLKHGRRSKHRRQRKHAPNVIAGTNNVVRSGSNNVMAGNDNTVIFGNDNAVSGSYQVVYGNNHVVTGNHHVVSGNNHAASGSHHVVIGKHNIVSGTHNDVGGTKNIVSGSKNVVSGSHNTVSGKNHFVTGHNKVVT >SECCE2Rv1G0087970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:236136375:236145478:1 gene:SECCE2Rv1G0087970 transcript:SECCE2Rv1G0087970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAAVMAPVPAATKSSLEVLLESIKKRDERPKDEPPALPARPTCRGRLPRARRSPTPPRVHLEDGVAEGAVADTDRKPEAVADTDKKPEAVADTDKKPEAVNENKPEMEKENTPEVKKEIGGQEAKEGKAVNCRIFGAKRKLCSVEPLDESPYVENLHEERKDAMACKEPPSPYFSSARAKRNGKPVFTDSMDYVLQKKLRVWCSASDEKWELGQIQAISGDDVEIHLVNGEVLTLPPERLLPANPDILDGVDDLIQMSYLNEPSVLYNLQYRYSRDLIYTKAGPVLIAINPLKEVPLYGKDFIRKYRQKLTNDPHVYAVADIAFNEMLRDGINQSIIISGESGAGKTETAKIAMQYLAALGGANGMESEVLQTNVILEALGNAKTSRNDNSSRFGKLIEMHFSETGKICGAKIQTFLLEKSRVVRRAPGERSYHIFYQLCSGASPLHRKKLLLRDANYYNYLKQSSCLRIDGVDDAKRFSSLLGALDIVQISGENQMELFSMLAVVLWLGNISFSVIDNENHVEVDSNEGLANAAKLLGCSVPQLVIALSTRKIQAGKENIVQRLTLTQATDARDALAKSIYAHLFDWIVEQINHSLGTGRQRTRRSISILDIYGFESFNKNGFEQFCINYANERLQQHFNRHLFKLEQEEYLDDGIDWASVEFVDNTDCLSLFEKKPLGLLSLLDEESTFPKATDLSFANKLKQHLSGNPGFKGEQDGAFKICHYAGEVSYDTTGFLEKNRDPLHTESIQLLSSCKSDLPKDFASVMIADSQNKSSLSRHLLVDSQKQSVVNKFKAQLFKLMQQLENTSPHFIRCIQPNSKQRPRQFEHDLVLHQLKCCGVFEVVRISRAGYPTRMTHQQFAERYGFLLSHSVASQNPLSISVAVLQQFSIPPEMYQVGYTKLFLRTGQVAALENAKNRMFHGALRIQRNFRGLHTRREYHTLKKGATALQSFVRGEKARFRFDYLFKRWRAAVLIQKYTRRRLAATMFNDQLKNIVVLQSVMRGCLARKKFKCLQEEKESKVINSKVRRDVRNNISQARLCHEMNGEYPRQPAVTELEGRVSKAEALLRDKEEENAMLKQQLEQYENKWSEYETKMKVMEEAWKKQLSSLQLSLVAAKKSLAADDMVTRAARTDTTPTHAQYDSEDTMSTGTHTPEGTELKYQNHNPEPRVVTGNSDRRINAVNHLAKEFEDRRQVFDDDAGFLVAVKSGQIGSNMNPDDELRKLKDRFATWKKDYKSRLKETKVNLQKVGSHDEKSRKRWWGKKSSK >SECCE3Rv1G0200580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:854659477:854660565:-1 gene:SECCE3Rv1G0200580 transcript:SECCE3Rv1G0200580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYNPHRRQLGGGQSAWPDLPPELLESVLGRLAPLDRVAVRLVCSSWRSCARASIFPDLPFEAPRLLLRRPGSCGSLAFFSLHRREILPFALPDRLSSGRCCGQIGGWLAMAFDEERAIELRNLFSGQSVSMPRSPVFPVAKIVLSAPPTSLGWVAAVLGRAGTVALLQPDVSGGAWTTIATGGPHGGFRDVAVWRGRLCVLGDDGTVLAYRVDLRARVAAVSKLREKEANSLNRLERRARYLLESDGELLLVKKLYSVVRDSADVEVEVSRFRPGECKWESVTELPGRAVFLGSVASVAAPAMAGVRENCVYFARRDVELMVPHAIGVYSLGDRETAVVAIAGGHSVEVEPVWILPSVA >SECCE2Rv1G0112080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:706621444:706624910:1 gene:SECCE2Rv1G0112080 transcript:SECCE2Rv1G0112080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASARFFYSSASSRKAIADFSDAVARSSYRPLCGKKHLGSLSAQDPPKVQKRLTKEERRARVEEFVRNYRASHEGKFPSATYVRKQVGGSYYVSWALLQELEYNSRLRSDVRDASFNSTRDAGTSFEGIKEETDLPEVHNRMTKEERRARVEEFVENFRASHEGKFPHATYVRQQVGGSYYTVLAVLQELEYNSGLKNDVRNASFSSENFKEKYGLHNISLSPRVATTNFQGVKEEMAKTSEPLDLNSKPQLPECHGKAVAAKPNLSPTTCLEDESEPMASGQTENNNVVKAQNLKSPKHAHEASKGANLWGSLKSFAGGLRIFWNNL >SECCE3Rv1G0212840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956844220:956846809:-1 gene:SECCE3Rv1G0212840 transcript:SECCE3Rv1G0212840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAAAAALRRRARVAALPAISPVPHTNLSSSSPSPGPVPIPDGRRHLITLSRRGPCLHPPSSASAAASSFYIDRILLPSSFSHPLSTSSRDKDTDKDKEDSLPPPPPASWVERWLPEAARPYAMLARLDKPIGTWLLAWPCMWSITIAAMPGELPDLKMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGALTPSQGVAFLGGQLLLGLGILLQLNNFSRVLGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIRGSLDPAVILPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKQWISVFGAASIGSLALSGYNAELAWPYYPLLTGAAAHLAWQISTVDLSDRADCNRKFVSNKWFGALVSSGILLGRLAS >SECCE1Rv1G0006470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:34129374:34130351:-1 gene:SECCE1Rv1G0006470 transcript:SECCE1Rv1G0006470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:Projected from Arabidopsis thaliana (AT3G55560) UniProtKB/TrEMBL;Acc:A0A178VH84] MAWWAGSMGGLDLRNHLAQFGGPAAGGAAMGGAEQAPTTPNSSGSNNHDDSSGAAQDSPTAGAGDNSPNPNAAISGSGGGSGGGGGGGGSSSGRRPRGRPAGSKNKPKPPIIITRESPNTLRSHVLEIASGADIMDAVATFARRRQRGVSVLSGSGVVGNVTLRQPAAPPGSVVTLHGRFEILSLSGAFLPSPCPPGATGLAVYLAGGQGQVVGGTVIGELVASGPVMVVAATFSNATYERLPLADEEPGEAAAAATGSDGMQLPDGPAPGGNNGGMGAAVGVPGLPDPSSMPFYNLPPNLQMPNGGGQMAHDVFGSFRPPPPAF >SECCE5Rv1G0331810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:541390910:541394659:1 gene:SECCE5Rv1G0331810 transcript:SECCE5Rv1G0331810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRARRPAATHGAKAAPKGDRMMQKDQRKAMPAKGPTAGAAARGTANRIQSRRERKLALQQDVEKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLALLAEVAVLEEEVVRLEEQVVNFRQGIYQEAIIFSSAKGPHLPGGEGCAPAQPMPSSPTPNAELSPTVRPSPNGKQTQTPRKPIPAQANQDEHAGGGRSGAGKENLSCSNASTARNYRVSPSQKVAAKSRTTAAAAPEKLRPAVPQAISTAPDRKRAADAAGNNNSEKAATQDGSSAPNRVSEELLSCLLTIFSQMGSSAPAGAGQDEEQQQQPLSPSVSGSSSSEDAYPQDPYGILELGGRDVGPYRRLRVVDAASFDRGAALAGDTLLARRLKALLRRLSTVDLAGLSHQQKLAFWINVYNSCMMNAFLEQGIPTTPHMLVAMMPKAAIDVGGRTHSAMSIEHFILRLPYSVKHGSPEADGTKGGDEAAPAGASFGLEWPEPLVTFALSCGSWSSPAVRLYTAARVEEELEGAKRDYLQAAVGVSAPASLAIPKLLHWYLLDFAKDVDSLMDWVCLQLPLELRQPAMRAVAAADARRRIQVLPYEFRFRYLLAA >SECCE1Rv1G0028540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:403565403:403567175:-1 gene:SECCE1Rv1G0028540 transcript:SECCE1Rv1G0028540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAALAWPCPGGNSPAWIDVPERSKSAFMELKRRKVHRYVIFKIDDSTEEVVVEKTGAPGESYDDFTASLPVDDCRFAVYDLDFVSDDNCRKSKIFFISWSPDDSRIRAKTIYAVSRNQFRHELDGVHFEIQATDPDDMDLEVLRVRANRT >SECCE2Rv1G0140610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932055223:932057244:1 gene:SECCE2Rv1G0140610 transcript:SECCE2Rv1G0140610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMLRRARTDEYVRPPPRPLVVMVQNKPPNYPQQVHISTVGRNDMRVSWVTDDRRAPSVVEYGTCRGKYTASAVGSHTTYRCSSYQSGAIHHVTIGPLQPSTTYYYRCGKAGDGDMSLRTPPANLPVELVVIGDLGQTEWTKSTLSHIGDADYDMLLLPGDLSYADRVQPLWDSFGRLVQPLASARPWMVTEGNHEIEDAFVAYNNRWRMPHEESGSRSNLYYSLDAAGGAAHVVMLGSYASFEEGSEQHTWLKRDLAGVDRHRTPWLLVLLHAPWYNTNEAHQDEGVGESMRIAMERLLYEANVDVVFAGHVHAYERFTRIYDKADARGPVYITIGDGGNREGLASKFIKDHKSAPLSLFREASFGHGRLRIVNETTAVWRWHRNDDKFATVADEVWLESLATLTNRRSLHGRR >SECCE2Rv1G0125760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:843220446:843225766:-1 gene:SECCE2Rv1G0125760 transcript:SECCE2Rv1G0125760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTPRSPYQKKENLGNVRRGMGYSAPPRRNVLSAINNGGGANAEPAPSEAGSEAPAFEFSGREDVDRLLSEKMKGKSKNDYKGRTEQMSEYIRRLRACIRWLVELEDGYLAEQEKLRGQIDSENARHAEFEAQLSGALEHLKAANLEMTTRCDSLEESLNKEKADRLLAVESYEKEREERESAEASRDVLTVDLERVTHEAKRFSEQLKMVQDTNKRLQEYNSSLQQYNSNLQADTSKNGEIISKLQREKSAMMEAMTNLKDLNNSMKNQLDSSMSSQKEAIRVKEELRKEVECLRADLQQVREDRDQSVAQLNTLTAELATYSEQAKKSSKDSELLRIKAAAFEETCSSQQEQIETLQKQLAVATEKLKHADVTAIEAMTGYETQKETIKDLEERLAYAEFQIIEADKLRKKLHNTILELKGNIRVFCRVRPFLSDADSNGQEETIISYPSSVENAGRGIDLMNQGQKCSFSYDKVFDHSASQDDVFVEMSQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGRDQKGIIPRSLEQIFKTSQSLESQGWKYCMQASMLEIYNETIRDLLAPGRSSSEMPGGKQYTIKHDSQGNTTVSDLTTTNVFSTGDVTSLLEKASHSRSVGKTQMNEQSSRSHFVFTLKIFGSNESTGQQVQGVLNLIDLAGSERLTKSGATGDRLKETQAINKSLSALSDVIFAIAKNDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNVSPEASSSGETLCSLRFASRVNACEIGVARRQTQSKSSSDSSNRLSYG >SECCE7Rv1G0469010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104683450:104685030:-1 gene:SECCE7Rv1G0469010 transcript:SECCE7Rv1G0469010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMRFLQLFAAVLAFCFVPANSNWIPATATFYGGADGSDTMGGACGYENLYVAGYGINNVALSTALFNDGASCGQCYVIICDTSKSDMCKPGTSITVSATNFCPPNWDLPSDNGGWCNPPRHHFDMSQPAWENIGIYRAGIIPVFYQQVKCWRQGGVRFTINGFNYFELVLVANIGGSGSIKSMSVKGTNTAWIPMSRNWGANWHCLSGLVGQALSFAITSTGGQYLVFEDIVPAWWQFGQTFSTWRQFDY >SECCE1Rv1G0026920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:375980179:375986199:1 gene:SECCE1Rv1G0026920 transcript:SECCE1Rv1G0026920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPYTGAQQPSPVPHQLPAAQQNHSLAFRVMRLSRPSLRPDPAALLRFDPRDVFLPEDALTSPDPSAAADFLQGLLHPPDSGAATTVPGDFTFRDRFLLHDTADALAPPGILVLPQAFGAIYLGETFCSYISINNSSGLEAREVIIKAEIQTERQRILLLDTSKSPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDAERKYLPQFFKFTVSNPLSVRTKVRTIKDTTYLEACIENHTKSNLYMDQVDFEPAQQWSATILEADEHPSVVKSTIRDLCKQPILIRASGGIYNYLYQLRPSSDEPGQIKTEGSSILGKFQITWRTNLGEPGRLQTQNIHSTPTPSKDVDLRALKIPPVIFLERPFMVNLCLRNQTEKTVGPFEVFLAPSVSGEQKTVLVNGLQKLVLPLVEAFESINFDLSMVATQLGVQKISGITLYAVQERKHYEPLADIEIFVDAE >SECCE5Rv1G0346670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:663045132:663045446:1 gene:SECCE5Rv1G0346670 transcript:SECCE5Rv1G0346670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRSNFRVMTAEEVEAADLCVGWILLHIAMLSLGIFAFSSVISYTHVFARATRFDKIFWGILGAPFLPIGLGFICMLLRDDGPFRNKRVTARVADLPPADVC >SECCE2Rv1G0065670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:9564767:9566285:-1 gene:SECCE2Rv1G0065670 transcript:SECCE2Rv1G0065670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPALRMTNHQEPSYVPDYAPGEVIPTFGVSKVVESGHPDYKAGDLVWGMTACEEYTLITNPESLFKINHPELPLSYYTGVLGMPGLTAYAGFFDVAKPKKGDYVFVSAASGAVGQLVGQLAKITGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKKEQDLNATLKRCFPEGIDIYFDNVGGAMLDAALLNMRLHGRVAMCGLISQYNLEQAEGVCNLACVVTKRVRIEGFLVTEYFGTYRKFEEEMVSHLKEGNITYVEDVAEGIEKVPAALVGLFYGRNIGKQLVAVAQD >SECCE3Rv1G0150010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30111445:30115185:-1 gene:SECCE3Rv1G0150010 transcript:SECCE3Rv1G0150010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSTAPAPSPPALPEPSPPDTPAEASSPELPLPLRLRCGVQQYEWGRRGAASLVARLSGIAADPDLPYAELWMGTHPAAPSAVLPGGEPLGAWLARHPAALGPAVAARWAGDLPFLFKVLSVAKPLSIQAHPDKGLAELLHAMRPATYRDANHKPEMAIAVTDFRALFGFAGIEELKDVIRTVPEVGELIGHEDADKLMTVKEYHGGNDIKSSLQSAFAKLMTASKEAVSEAVNKLKGRLNDESKIRTLTEKEELVLSLERQYPEDVGVLAALLFNYVKLSPGEAIYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQIFPEILRGVLVQPFVRRYTPPTDEFEVDCCLLPPSEVAVMPPVPGPSIFLVMTGEGEIQTDSMSDGEKAKEGDVFFVPAHTEVRLSASGHASMQLYRAGVNSRALHACNAAAAAAAAAVGKRSQLQNICEMAS >SECCE4Rv1G0220760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41552991:41554373:-1 gene:SECCE4Rv1G0220760 transcript:SECCE4Rv1G0220760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQQVQASPPRSLLRVLETTLVAPSPTAGAAPPESSLPLTFFDVLWLNSPPVERVFFYRLAADADIPNILSNLKASLSKALHAYYPLAGRLRPTPGTAADRYEIHYQPGDGVTFTVAEYRDDVGLDELVADKPRDVCKIAPLAPPLPKGGAVLALQATVLDRGIAIGMAVHHAACDGAMSTRFLHTWAAAGTGVVAPSPPVIDRTLIKDATGLYDAFVKAMPSADEMEHVKLLDDKLLATFTLSKEDIQRVKDVVASEAARRGAAPPRCSSLVATFGFMWSCYQRSRDDAGSNSGDPTYLVFPVDHRSRMKPPIPDEYLGNCVGGAMHAAPMDQLAHAGAGGLFVACTAVAAAIDEAVRGIRSPETIALWLEKFREAAIAGMWTVAGSPRFRVYEVDFGFGRPAKVEIVSVARTGAMAVAEGRSSRGGIEVGISLPAAGMQSFQKCFQDAIHSLHHQ >SECCE4Rv1G0244260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:513216360:513218282:1 gene:SECCE4Rv1G0244260 transcript:SECCE4Rv1G0244260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFNRLPCTRLAAARRSRRCLHSHSQPHPLLATFSRLCDDGPLPAALALLPDLDAAGVRADPISLCRLIKLCVRHGTASDGRLIHGHVSRTANSGGEAPHTGLFVSNSLISMYAKFGLLQDALELFGGMPHRNVVSWTTVVAALANAGGKKEEALRFLVDMKRDNVAPNSYTYSSVLGACGTPGVLAAVHASIVKVGLDSDVFVRSSLIDAYMKLGDLDSGRGVFDEMVTRDLVVWNSIIAGFAQSGDGVGAVELFMRMKGSGFSANQGTLTTVLRACTGMVMLDLGRQVHAHVLKYERDLILHNALLDMYCKCGSLQDADALFSRMPHRDVISWSTMVSGLAQNGRSAEALKVFDLMQSEGPTPNRITMVGVLFACSHAGLVEDGWYYFRSMEKLFGIQPEREHCNCMIDLLGRAGKLDEAVKFISEMNFEPDSVIWRTLLGACRMHKNANLAAYAAREILKLEPEDQGARILLSNTYADLRQWLDAEKSWKVMRNQGAKKEPGLSWIELGKQVHVFIAGELSHPCSAGIVQELNRLIRRVTDLGYVPLTEFVLQDLESEQKEDLLKYHSEKLAVAFAMMNSMKGKPVRIMKNLRICGDCHSFVKLVSKSEGKVIIIRDPVRFHHFQDGVCSCGDYW >SECCE5Rv1G0328420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:506088512:506089947:1 gene:SECCE5Rv1G0328420 transcript:SECCE5Rv1G0328420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEIEAAEEGLPMPAPPVGRRYRPVGSDDSAVIQMTSMEPAPGGSTSVTGHEAVTPQPPRNVRPGGANLTIDPSMQEGSSDHATSSGSQRDSKLELFGFDSLVNILGLKSMTGEQTQAPSSPREGEDVAITIGRPKVVRSSNIYDECXXXXCLILRHTSPS >SECCE6Rv1G0436490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:777531167:777532074:1 gene:SECCE6Rv1G0436490 transcript:SECCE6Rv1G0436490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKKRRLLPFVPTEDKVRRLEQMASAATALTSSKMEFSNELTYAPSMAPISANQAKLEEGGMQVLSKEDKETIELCRSMLKKGECPPLLVVFDSHEGFTVQADAYIKDLTFLTEYTGDVDYLKNRENDGCDSIMTLLSPADPSQKLVICPDKRGNIARFINGINNHTPDGKKKQNVKCVRYDIDGECHVLLVACRDIARGEKLYYDYNGHEYAYPTHHFV >SECCE1Rv1G0032510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:456132945:456136008:1 gene:SECCE1Rv1G0032510 transcript:SECCE1Rv1G0032510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os10g0564500)] MEERYEALKELGTGNFGVARLVRDKRTKELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFKEVCVTPTHLAIVMEYAAGGELFERICTAGRFSEDEARYFFQQLLSGVSYCHSMEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLIGSYPFEDPEDPRNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFTADPSKRITIAEIKKLPWYLKSLPKEIAERDRANFKEAETEAETAAAAEQPVEEIMRIIQEAKAPGDMSKSSADAALLAELAELQSDEEEEPGAEGETY >SECCE7Rv1G0504310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722202943:722207423:1 gene:SECCE7Rv1G0504310 transcript:SECCE7Rv1G0504310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKTTSVVCVTGAGGFVASWLVKLLLSDHKTHYTVRGTARDPGDAKNAHLKALQGAEDRLQLVKADLLDYDSVAAAIAGCDGVFHVACPVPSGRSTDPEAEIIAPAVTGTLNVLKACHEAKVKRVVMVSSGAAVVANPNWPKGKAFDEESWSDEDYCRKNGDWYYLSKTLAEREAFAYAAKTGLDIVTICPSLVIGPLMQSTVNTSSKVLLNYLKGEHDTVENKSRDIVDVRDVVDAILLAYENPEMSGRYICNAPAIKVCDMVNILKTLYPTYTYPISFTEVDGNLVYSSEKLQKLGWTFRPVEKTLGDSVESYRASGLLS >SECCE6Rv1G0441120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:809233594:809235162:-1 gene:SECCE6Rv1G0441120 transcript:SECCE6Rv1G0441120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGGRFEKSPTAEKALEAAPSVVSAGGRSGEVPTAQFHIYQPPASLRGWPDDHDTEAALRHLGLLDFARLQLPDGIPRHDLVSDLVASYHRENCRGYAYLWGVRVSVDRKSFLSATGLQATAEHKVLPPRRRTRSTRSSSTVTSAALQFMEIWILPQFQGRDMLPPNVSAAVRGVKAELAHDVDWGELIWELVQNEILELPKRDDKNSYFGLHLLRLIWVKHPEVFGLKDRMERLARVHLDRILPQVVDNVTERIRLQFMRPKKITRFFRVQQPQVVNNQSKPVESGDEAAAVVQVQQQSMIGDEAAAVVQVQHQSMVVQSSPLAIVLNNDASVGKRDNLEAALAEPGHVDAHKHAVKHVKQQFQQGNQQKQTCNSTKCSVPSGSIVLNDDASVGKRDSMEAALVEPGQADAHKHGVKQQFQQGNRQKWTRKRSKRRVPSGSIISSANSSHDIIGIKSEVKRARLENQPTKELLEAEMFIQAIKVLNETLSAETIVQILHDSPPSGSPLMRGGAVVEVTK >SECCE4Rv1G0266010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:728699475:728700632:-1 gene:SECCE4Rv1G0266010 transcript:SECCE4Rv1G0266010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAQFGPWSDLPPELLSLVLKRLDSLADRVRLRAVCHPWRSNSMLQNLPRPFPWLTLTDGTFFSITGSEVHRMPLPDGARWHGSIDNRLFLMSCDGACSLLNPFSMSTLELPNLASVWQSQIDNHAGCADDPVSYKLVAPSPLDLSPKFLAAALIVGNCYSIDLCVVQPPAATYSFRGIRTAEWEPPTLVDLAFLDGRLYVLSGFFKLFVVDFGENLGTNPNMKCVIDFCGYCGTPPHLSKKVFYGLSQYLVECGGRLLMVQRFTQSEGFSNSHTVGFNVLEADLRTNPGQWRMVSDLGGHSIFLGKQSSKSLPAGECSGSQEDCIYFICDYPCPESSAEPLRDAGIYNVRSGTIMPLYSGNPAVPQRQAGQWGLTWFFPSEAI >SECCE2Rv1G0095450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:417548749:417557028:-1 gene:SECCE2Rv1G0095450 transcript:SECCE2Rv1G0095450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSGAASGGAGCGRGEYMRIPEDVDAIKEAVKEEDCPRLLRCRAIRWWAKVAVLGIFLAGAAAAAVVFLGPLVIKKVVVPVIDWQSRTFSRPVILLICFGAIALFPSVLIPSSPFMWIAGMTFGYGYGFLIITTAMSIGMSLPFCIGSAFHSRIHRWLEKWPKKAAFVRLAGEGDWFHQFRAVALLRISPFPYIVFNYASVATNVKYCPYIAGSMAGTIHETLLAIYSGKLVQSLAAVTSQGSFLSVDQIIYNGIGFTVAAVSTAAITIYAKKALQKLQAEDELC >SECCE4Rv1G0221710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:51593043:51595364:-1 gene:SECCE4Rv1G0221710 transcript:SECCE4Rv1G0221710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPNGNENAMVHESEMVVGDEMIHGNEMVHSGEMIHEHDLVQGNEMVHGDEMVEGSGMVHDDEMIHGNEMIQVSDMIHGHEMVQVNDMVNGDEMAHGHELVGAEATPPTISRRRRKKSLVWEHFTIEPVPGERGCQRACCNLCKATFAYSSGTKIAGTSHLKRHITLGSCPMIKNQERKLAMNSVGGVTDNDGEGTVERPSKRRYRYTGFANATFDQDRSSSHLAKLIILHDYPLHVVQQPAFTAFTESLQPRFRVADVETMEAEVYGVYQKEKNNLMQALHTMPGRISLTIGLWTTSQTLGYVSIAGQFIDTDWKVHRRMLNFMMVSSPHSENALSEAISSSLTDWNMKDKLFTITLDNDCSSHDIYSANLRDHLSSKNNLMLKGQLFVVRCYSNILNAVAQDVIASIHGVIYSIRESIKFIKASSAREQRFAEIALQLEIPSTKTLCLDVTTQWNTTYLMLLAALDYRQAFTTLETCDDNYNESPSAQDWKKVEAASNYLKFLYDSAHSIMAAANPTSNLFFHEAWKLQLELSNAIAHEDPVFSSIAKEMHERFDKYWKDCNLVLAIGVVMDPRFKMKLVEFSYSKIYGVEAAKYVKVVDDALHDLYKDYVAQPPPAYAAPGEVNKNGPANANNNAATAPSTGDGLLDFDMYLSEIAISQPSKSELEQYLEEALTPRIQDFEIVDWWKLNTLKFPTLSKMARDILAIPMSMVSSGGNIFAAATTGSRMLDDYRSSLRPEIVEALFCAKDWLQFSPPPPPEAQGSVAVKME >SECCE1Rv1G0031900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:447792837:447798480:1 gene:SECCE1Rv1G0031900 transcript:SECCE1Rv1G0031900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAREMHPLCCLAADYCPGGGAEDDGGDCSPPPADGAAVAGVLYKWTNIGRGWRPRWFAIRGGVLAYSKIRRRVAAEEPPPTEPAAAAGVRVIGGADRGAGERPIGFVHLKISSFSESKSDDKRFYIITPTKTLQLRTGCVKDRVAWIEALVSARSEYSLGGILPSDRNGGSFSTEKLRDRLHAEGVGAEIVKDCEQIVHSEFSQYHVQMKQRCESYLSFIGSLPRELEAVNSEDATIRGNPQSQLFKPDFSSSGKCSEYSNTESSDDAGKQEIEKLSDEDEFHFYDTRQSFSDGVASPDLKVRYLNYGNEDCKFGDSLAADKTNEYLLSSAKRRSKLPEPVEKERSVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEELEYSYLLDRAYECGLRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMAMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGILTLEFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRKYSCKLMFKEQSFLERNPRQVQGFVKDADGTKVASLMGKWDESMYCAVSDDASKLNSHISQQRAGATLLWKKNEPPADPTRYNLSSFAITLNELTPGLKEKVPPTDSRLRPDQRYLENGEYEKANSEKLRLETRQRMARNMQESGWKPRWFQRDSEHGTYRYVGGYWEAREQTKWVGCSDIFGSFCDNPKPRVSTLYTSASV >SECCE7Rv1G0519570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865049665:865051090:1 gene:SECCE7Rv1G0519570 transcript:SECCE7Rv1G0519570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTLGTETDGSILCPSSFNSVVGIKPTVGLTSRAGVVPITPRQDSVGPMCRTVSDAVHVLDAIVGYDKLDAVATRAASKYIPHGGYLQFLKKDGLRGKRMGVPNELFLFQGFGEKQMRVYKQHLATMRKHGAMVIENLDIATDSQDIVSNEWTAMLTEFKLSINEYLVDLSYSPVHSLADIIAFNKAHPIEERLKDFGQQNLILAQNTNGFGHIKRARIRLLKELSANGLEKLIKDHQLDAVVAPEHYASNHLAIGGHPGIVVPAGYNEKGVPFGICFGGLQGYEPRLIEMAYAFEQATKVRRPPMFKP >SECCE4Rv1G0290890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874482104:874483138:1 gene:SECCE4Rv1G0290890 transcript:SECCE4Rv1G0290890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSNAISELASVPDMYVFPPEKRASFHDDTSSALPIIDLHHGALSDSRLRRKVAAEIVQAGKDFGFFQVMNHGVGEDVVQRFHEVVAEFFAMPAEEKLVYCSDDQSKPFRVASKTPWDRNNTRYWRDYLKLICHPIDDELVRHWPTKPASFRSSLAAYSMALHGLTQTLLQLIAEGLGLDADFFGGDLSGGNTQMNVNFYPPCPDPSVTMGLLPHYDRNLLTVLSQGNVAGLQVRHNGRWLLVRPVPGMLVVNFGHQIEIVTNGALASVEHRAITNSATARISVATLVHPTMDCRIGPAPEMVSEANPAKYREFVFSEFFKAFDAAAANREDVLRSFRIHRD >SECCE3Rv1G0202710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:876351399:876362382:-1 gene:SECCE3Rv1G0202710 transcript:SECCE3Rv1G0202710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCKKGCPGCRLDEINKTKTGIPYLNFCYIWVVCLCSTLPIHSLFPYLYFMIRDLKVAKQEQDIGFYAGFVGATYFLARTISSVPWGMFADKYGRKPCIVISILSVIIFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRTEHQALGISLVTSSRAIAFVIGPAIGGFLSEPAKKYPNIFPEKSIFGRFPYFLPCFVISVLAAGACVACIWLPETLHMHHDDNVEAIDTMEAQVVDPNLLNVKGIQSRPGRFASTKSLLKNWQLMSAITLYCVFSLYDTAYIEIFSLWAVSSRKYRGLNFTSEDVGTVLAISGIGIFVYQLVIYPFLAKYAGLIKPFRSAAVLSILLVATYPFIANLYGVELKVLINIASLLKNMFAATITTACNILQNTAVGQEQRGVANGISVTLMSIFKAVGPAAAGVLFSWAQKNTTGLFLPGDQILFMLLNMVAISGLLMTFKPFFSLPSATK >SECCE7Rv1G0478570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:239350818:239357900:-1 gene:SECCE7Rv1G0478570 transcript:SECCE7Rv1G0478570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLAASDPGPSVAKRARFMGPHVSSSSGTDPEMEIRALVSMASGLYPLARVEALSGLAVVLEKMGAGGGVVDCCYSCAVKLLRDEDEGIRLAAVRLVGLCAEKFAMREELGGDGDQMDRVFLQLSSLARDMCTEVRIEAFNALAKMQRVSDGVLLQSLSKKIIKIDTGSASSSKGKKLPPKLSFPCAAGIFAHGVEDEFYQVRIVACKTLGALAKLSNQYAQKALDLLMDMMNDDTEAVRLQTLQTLFDMATYGCLSVQEKHMHMFLGILMDANVIVRNAARKILGSVTLPKLQMFKSALDGLIAGLEKNPEDQDIYGVLFSIGKNHGSFSANIAKHFAKEINMASDGELILDKPRIKALLMVSISAAYVDKEKKLDIPAVIFSHAIPLFGTISCALAEDELDSLLSYLYRQTGMPFWENKLMSAEGGDYECFSVETVGGTHTQVEKNEKTTKYLDEVVIMQSTRLILDTVKGAWAVIKPCSIGEVQSTLRTCKEEVNILAVNSSGSTGAFLSFACDYLDAVQLIVEIWCFVQLDDSHAFGPTSLDILLEKLDTSVRRMKCCYAGLNRELEIQVLEFALLAILCRLSEFGTCSKLVLDKLHWIISHIDGLCADESYELSDFSKEVKKVFDGNFIDNAPIVNIGTFLQIFDLKPASDFEMLNATTAMLQVRDTDSENPLSYVCGLPVGVTFDVSLCNISSHDRIWLRMVADQSVQHVFLDLSCFEGNDKMKSCSTVIPFYATPMACSFVLRACLVIEYPFGSIGTHQEGHGGPRDCVVQLCDELDVNFVGADTEQRRWSK >SECCE7Rv1G0491800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:539129939:539131576:-1 gene:SECCE7Rv1G0491800 transcript:SECCE7Rv1G0491800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGTASGPPPPLVEGGGAEGSGGSSGAAAIRSLLPTRRRLRLDPPSKLYFPYEPGKQVRSAVRIKNVSKSHVAFKFQTTAPKSCFMRPPGGILAPGETIIATVFKFVEHPENNEKPLDQKCKVKFKIVSLKVKGPVEYVPELFDEQKDQVAVEQILRVIFLDAERPSAQLDRLKRQLAEAEAALEARKKPPEDTSPPIVGEGLVIDEWKERRERYLARQQVEEVDSV >SECCE2Rv1G0104060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:599849763:599850137:-1 gene:SECCE2Rv1G0104060 transcript:SECCE2Rv1G0104060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSDKATTKVDVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE6Rv1G0431720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:747225850:747226642:-1 gene:SECCE6Rv1G0431720 transcript:SECCE6Rv1G0431720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCAVLLVACTAILALGAAPSTLAGDPDMLQDLCVADYNSLQGPLRVNGYPCKREENVTADDFFFAGLASAADVYGGGNPMGSVVTAADVERLPGLNTLGVSMSRVDYAPWGGTNPPHSHPRATEILFVAEGTLEVGFVTTAGRHITRGVPKGGVFVFPRGMMHYERSVGEAPAVAISAFDSQLPGTQRLGEAMFGAAPGVPTDVLARALQIDGGVVESIRSKFQPK >SECCE6Rv1G0402750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:487659911:487666734:-1 gene:SECCE6Rv1G0402750 transcript:SECCE6Rv1G0402750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPYLEAVLCFMILNYIFETYLNIRQHRALKLPTLPKSLAKVISQEKFEQARAYSLDKSNFNFVREAITIVCDIVILYYKVLPWFWKKSGVLAANVGLNAENEIIHTLAFLAGVMVWSQITDLPFSLYSTFVIETRHGFNKQTLWVFIWDMIKGILLSIVLAPPIVSAIIVIVQNGGPYLAIYLWGFMFALALLMMTIYPIMIAPLFNKFTPLPEGSLREKIEKLADSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCSNDNEIVSVLAHELGHWKLNHTAYSFVAVQVLTFMQFGGYTLVRNSKDLFESFGFEDQPVMIGLIIFMHTIIPVQHLLSFCLNLVSRAFEFQADAFAKNLGYAPELRGALVKLQEENLSAMNTDPWYSAYHYSHPPLVERLSALEDLDSKKED >SECCE4Rv1G0240350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:447973245:447973592:-1 gene:SECCE4Rv1G0240350 transcript:SECCE4Rv1G0240350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVFSPGELIGLLRAERAGRALDESIYYRAILLGITRASLNTQSFISKASFQETARVLAKAALRGHIDWLKGLKENIVLGGIIPVGTGFQKFVHHSPQDKNLYFEIKKIYSRRK >SECCE3Rv1G0208660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:934163519:934164628:1 gene:SECCE3Rv1G0208660 transcript:SECCE3Rv1G0208660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFVNLLVSNLRRRRHRPDFYLHRVDPTSLFHPAGSPKSAAAAVAGAPAPAPLPRAAVSFDWPCPPNQTGWMEFMGFGDDVVALDHDGRTLLYDGTSDVVCIMNDADSPRPNPISVTVGDGLYVLATNPGRPPHTYCFQALVHGGTFAGSTWKEWHWRSLEPPPFDPKFATTDKYPTRFKRELGPAEALAPRAIDAYAVVGDTEIWVSTAGVGTYSFDTASGKWSAVGHPALPFRGRAEYVPEHGLWFGFSDGDEHLCAANLAHEPPEAQVFPLRHVWEDPPRPEAWTPTATRLLPLGSGKLCVVRCFRRTKEGEKLHPSEYTHENAESFAVLAGVEVVGDAGTRSLEMIQHKSVLYSVGENVVKPL >SECCE1Rv1G0027640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:385323176:385327929:-1 gene:SECCE1Rv1G0027640 transcript:SECCE1Rv1G0027640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYGNAMMRNQDAGVQSRVKAQSRANLLQLKLIGQSHPTGLTTNLLKLFEPRPPLEHKPPLEKRKLPAYSGMSQFVSRFAEPGDPEYAPPVPTCETRAEKKDRIRQLKLEEGAAKVAEELQKYDPQNDPNVTGDPYKTLFVARLGYETSEQKVKRDFEAYGPIKRVRLITDKVTNKPRGYAFVEYAHTRDMKSAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGEDAAQKHSAREQQNAAGRPRSEEPRRDDRPADRDREKSRDRVRERDRDEKTRERSHDRTRDRDPREDRHHHRDRERTRDRDRERDQDRDRGRDRRDRDRHKDHGRDRDQDRDRKRERSHGRGRDRDRDYERASHEQDPGRDRDYKRARHEHDRGHLQESDADYGNGELGYNQHEHHRSHEQYGYGLDGHERSKRHEHYRDDSHSKMATNHQGQPENAEPAVPEEGEAYEEGDYQHIQAGEYRN >SECCE6Rv1G0442950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:819900083:819904645:1 gene:SECCE6Rv1G0442950 transcript:SECCE6Rv1G0442950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVPALLLLLLGAFTLVPAAAATPSKRPAHLPPPFPARAGIASLQQQRAARSRGRYATSAVTASAAAAMDNGTATPFTAHYFPQELDHFTFTPNASRLFSQKYLLNDTFWRRKPAAGPLFVYTGNEGDIEWFATNTGFMFDIAPQFAALLVFIEHRFYGESMPFGKDSYKSADTLGYLTSTQALADFAVLITSLKHNLSAVDAPVVVFGGSYGGMLASWFRLKYPHVAMGAVASSAPILQFDDITPWSSFYDAISQDFKSESLNCFSVIKAVWDVLDDRGSNHTGLLELSKTFRACKTVQSADSLSNWLWNAFTYTAMVDYPTPANFMMNLPAYPVKEMCKIIDCFPAGADVIDKAFAAASLYYNYTGNQKCFQVEGEDDPHGLDGWGWQACTEMVMPMTVSNESMFPPSSFSYENTSEDCLLYYGVRPRMHWITTEYGGHKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEKGAHHLDFRFATKDDPDWVVEQRRQEVEIIHGWIDQYNKDIAQMQQ >SECCE4Rv1G0223930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:75446592:75446840:-1 gene:SECCE4Rv1G0223930 transcript:SECCE4Rv1G0223930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFVSSRSVATTCLLALLLAGCLADARRLLVEAMPPAASPGFAPSPAEGGHRAGRSLFEGRGLLAGGIRLAGRLLIGVEL >SECCE4Rv1G0288580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861193189:861197212:-1 gene:SECCE4Rv1G0288580 transcript:SECCE4Rv1G0288580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLCVALLLTSLIWPAGSAGDGRRQQQQQQLTALPPRGWNSYDSFSWIIDEAAFLDNARIMANRLLPHGYQYAVIDFLWYRRVAAGSGVGAYGFDSIDRWGRPFPDRQRFPSSLAGGGFRPIADKVHAMGLKFGIHLMNGISTQAVYANTPILDVHTGKTYVENGREWRARDIGLTQRTCAWMPKGFMSVNTDLGAGRAFLRSLYRQYADWGVDFVKLDCIFGTDYSPKEIVTVSEILKELERPVVLSISPGTAVTPALAENITQHVDMYRVTGDDWDSWKDVRPHFDVARSFAAANKIGAPGLRGRSWPDLDMLPFGWLTDAGANQGPHRTSSLTFDEQTTQMVLWSMAKSPLMYGGDLRHLDDRTFNLITHPTLLKINHHSRNNMEFGYIHSERASKADEQSDRTKSSYPVDVTNNGGMVLGLGTCSDKSAGGWHISSEDRICGSYGIQNGNASFCISKAKLLSTLDGVTMSSEEVQAKFHLAGIDTDDGCLDASVSPWRTSSASQTPMFSACEQHTKQVWELTENGQLVSNYSGLCATMQSSKEGENETTGARAWTAIGDKGEMYVAVFNLDTARRKITVSVPNLEKVVGRKLARCTCTEVWSGKRWSLMKGDISAVVNSHGSMLFEIQC >SECCE4Rv1G0271130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:759559700:759573073:-1 gene:SECCE4Rv1G0271130 transcript:SECCE4Rv1G0271130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASGVGHLALDVEEEQTASTAARDEEDLLWAAIERLPSAKRRNHAIVLPDPDGDGDGSGAEVVDVRKLDRPGLDRVLRRALATAELDNANLLRGIKARFDAVGLEVPRVEVRFRDLRVSTEVNVGRRALPTLPNYVRDITERILIACRLLRPNKHKLTILDDVSGIVRPGRMTLLLGPPASGKSTLLLALAGKLDPKLKKSGGITYNGIALDEFCVQRTSAYISQTDNHLGELTVRETLDFAAKCQGASENWGECLKELVSLEKERGMRPSPEIDAFMKTASVGGDKHNLVTDYVLRVLGLDICADTLVGSDMERGVSGGQRKRVTTGEMIVGPRKTLLMDEISTGLDSSTTFQIVKCLRNFVHEMEATVLMSLLQPAPETFELFDDLILLSEGKIIYQGQIDHVVEYFKSLGFSLPPRKGVADFLQEVTSKKDQAQYWSDLSKPYSFIPVSAMAAAFTDSQDGTNLEFHPHNSDGHTNSPEALARSKFAISKYSLIRACFARELILIRRHRFLYTFRTCQVALVGLITCTLFLRTRLHPVDEQNGELYLSCLFFGLVHIMFNGFSELSITIFRLPVFYKQRDNCFHPAWAFSLPNWILRIPYSIIEATVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIANTFGSAAMMAIFLLGGFIIPKEAIKPWWEWAYWVSPLMYGQRAISVNEFSDSRWSKVSGYMNNTVGTNVLLAHNLGTQNYWYWIGVAVLLAYSLLFNVLFTLALAYLNPLQKAQALILANSEESNDLKIGGATSDRKTTKEKCDRTNVLEVSTEGTGRKGTLPFQPLTMTFHNVNYFVDMPKEMQARGITEKRLQLLFEVSGVFRPRVLTALVGSSGAGKTTLMDVLAGRKTSGCIEGDIKISGYPKEQQTFARIAGYVEQNDIHSPQVTVEESLWFSSALRLPNDISRETKKAFVEEVMSLVELDELRHALVGKQGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNAVDTGRTVVCTIHQPSIDIFESFDELLLLKRGGRVIYGGSLGVNSVDMINYFQGIPGVPPIREGYNPATWMLEVSTQACEQRLGLDFATVYKNSDQFRNVEDLIEELSVPDSGTKPLKFTTEFSHGCLTQFGICLLKQCLIYWRSPEYNVVRLFYTTIASLIFGSIFWNVGMKRETTVDLYLVMGALYSACLFLGINNASSVQPIISVERAVYYRERAAKMYSSFPYAAAQGLIEIPYIATQTLIFGLITYFMINYERNLGKLLLYLLYMFLTFTYFTFYGMVAVGVTPTQSMAAVVASAFYSSWNLFSGFLIPQSRIPGWWIWFYYICPVAWTLKGIITSQLGDVSTRIVGPGFDGTVQEFVEQNLGCEQGMAGVTIAVLIAFCVLFFSVYALSIKMLNFQRR >SECCE2Rv1G0120890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:803041795:803042883:-1 gene:SECCE2Rv1G0120890 transcript:SECCE2Rv1G0120890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSTTSVVSLPKTSSRCISESFTATHDFEVTNYPELDGMGIGELVSSSTFSVAGYDWKIDFYPDGCWENSTGVASAFLYCVSELAKDVKAKFTLNMLVNEGKARVLLTSLAFSKFEHVFSPESAVWGVSQFVQKSLLKSSTSVKGCYLIIRCILTVTREPRTVAKRNLVVVPQPNLQDHLRHMWKDGQGADVAFIVCGQLFHAHRCLLAARSPVFKAELFGPMKEKATQSIEVVDIEPPIFEALLHFVYTDSMPDDEHSKDGRTAKLQHLLVAADRYGLDRLKVLCESELCESIDVETVATTLVLAEQHHCKDLKEACVEFIAAWNVLPAVMATDGFRHLLASCPLLMKEILDKTYTDCD >SECCE4Rv1G0274070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:782103948:782105294:-1 gene:SECCE4Rv1G0274070 transcript:SECCE4Rv1G0274070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDASSPTSTAAAMLAEAESDLSAGRLRAAQRHARRAARLDPDSAAASVLLAATSVLLADADSPRATLLLPDDPKSSLATDPDAIRRHYKSLSRSLRPGDGASSYPAAEAAAQEALRRAADAYAALKEEREAPPPPPTFWTACAGCRLLHEFDRQYVGFRLTCPSCRRSFLASEVPPPPPPKKHKAEKTLAEMQLQLAKRRGKDHKAAQSSSSDEYDEPAEPEEVLDSDHSGQMVVEDSDFYNFDADRMEKCFKRGQVWALYGDDDGMPRHYALVEMASPGRQFRAQIRWLEHQPDGKEGKPCGSFKVGREVTVHSVNVFSHLVACERVAREAYRVYPKKGSVWALHAGEYADTGRPKYDFVVFLSGYSEVHGISFGYLEKVEGFRSIFTRRDIGVRAVQYLREGDVGMLSHQTPARKVSKREASTLPPGDCWELDPASLPAELLHA >SECCE2Rv1G0072840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:61817388:61820596:1 gene:SECCE2Rv1G0072840 transcript:SECCE2Rv1G0072840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGGRSPAAAVLDDLVDVRDRVEMLQTVLLQESSPGATVEAGELVEGTMDKLSSAMSVLRTGDGGVAGSSGAGRGPGGRRKRTGASSSGPHRRSSSRRRMKSPLIKTVTATTLNDGKSWRKYGQKQVNDSTNPRSYYRCTHLPDQGCKAKRHVQESESNPSEYTIDYYGQHTCRDPSTFPSLIVQGAAEAAPPRDCANLISFAAINGANHPFTASTGTSSFAHHLMKEASDHHPMLFSRFSNYSSSPPSHEGVSSGSPSPACHGKFMQYAGGQLIDVTGPRMSPLTVGSAPAEYWPVVEVTGVDMDTAAGIDSFPSSPSSLGFMSGSLGGSFGNNVGDDDLFSFDS >SECCE4Rv1G0293570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:886003519:886004949:1 gene:SECCE4Rv1G0293570 transcript:SECCE4Rv1G0293570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAPAGHKAAHAVCLPAAAQGHIIPMLDVAKMLHARGFHVTFVNTEYNHARLVRARGAAAVAGVPGFRFATIPDGLPPSDDDVTQDVVSSLTETCLEPFRRLLAELNDPATGHPSVTCVVADIVMDFSMEAARELGIPYVQLWTSSAVSYVGVRHFRLLFDRGLAPIKDVKQLTNEYVDTSVDDVPGLRNMRFRDFPSFIRSSAPDDYMLHFALSIAERAVGASAMIVNTFDDLEGEAVAAMEALGLPKVYTIGPLSLLAPSSSINMSLWKQHEECLPWLDDKEVGSVVYVNFGSITVMTNEQLVEFAWGLAKSGRHFLWIIRPDLIKGNTAVLPSEFSAETAERGLVASWCPQQQVLNHPAVGAFLTHSGWNSTLESMCGGVPVICWPFFADQQTNCRYQCNEWGVGMEIDTDVRRDAVVDLIMEVMEGENGKVMKKKAQEWREKAVRATRPGGSSHRNFDALIYDVLAPRS >SECCEUnv1G0568400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:425914825:425916548:-1 gene:SECCEUnv1G0568400 transcript:SECCEUnv1G0568400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKDIGGAIGLMAAALVLLGTWPVVLAVLERRGRLPQHTYLDYSITNFLAAVLIALTFGQIGGDMPETPNFLTQLTHPQDYWPSIMFALAGGVVITLGTVATQYGWAYVGLSVTEVMASSLKVVIGTTLNYFLDGRINRAEVLFPGVGCFLIAACLGSLVHSSNAADNQEKLSNSRNYSSGNAAKEDLTQHLLQEEEEPKDCEEAKPAVPNKAVEKAEAGTADFLIDLEDKRSIKVLGSNTLVGLAIVTFAGVCYSLFAPAFNLATNHQWHTLRDGVPHLVVYTAYFYFCLSSLVVAWRSTLRAYVADGEGRGLALLAGLGNAFPFMAGGLRAGAAAGEHLVGPGTRRRTPCRRCRW >SECCE1Rv1G0019960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:249352238:249378366:-1 gene:SECCE1Rv1G0019960 transcript:SECCE1Rv1G0019960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:Projected from Arabidopsis thaliana (AT2G30390) UniProtKB/TrEMBL;Acc:F4IMT3] MLHVRLAPGPAPRNLSRTWDSSAYLHSWFSVACSGRLNVSRTYSIKSSSVGSATGLCLGECCHIKPLSCKCKLGWSSQPPPDSRKHFSASSSASEAVLTAQSDIRKLFVANEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRAFCFLQKPLAQFISVARAPKSKEGYASIGGGSPLRQITDAQGEALMEALCGKDIPAKVYVGMRYWHPFTEEAIEQIKKDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELLKFPKPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGMANPCTLAYQSRVGPVEWLKPYTDETIIALGQRGVKSLLAVPISFVSEHIETLEEIDVEYKELALQSGIKHWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDTKRDMLPPPVIVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGQGLLHQWGILPPLP >SECCE6Rv1G0417330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:656840227:656843566:-1 gene:SECCE6Rv1G0417330 transcript:SECCE6Rv1G0417330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARLAFFPPEPATYEVAAAEGGALRMTGVLPDADVRVHALPTRAGTRVVAAFWRYPSARLTLLYSHGNAADLGQMLGLFMELRSHLRVNIMCYDYSGYGASTGKPSEYNTYYDIEAVYDCLKKEYGVEPEDLILYGQSVGSGPTLHLASRLEKLRGVVLHSGILSGIRVLYPVKVTLWFDIFKNIDKIKQVECPVLVIHGTADDIVDFSHGKRLWELAKEKYEPLWVKGGGHCNLETYPEYIRHLRKFVNAMEKLAKERAAKAPQVPPSSMAEEKHNKCLRFGK >SECCE4Rv1G0289830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:868705662:868706153:-1 gene:SECCE4Rv1G0289830 transcript:SECCE4Rv1G0289830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLKSSDGEEFQVEEAVGMESQTIRHVIEDDCADNGIPLPNVDSKILSKVIKYWKKHVQVSPKLADSTDASSSTSTAAAAPAEDLKSFDAEFVKVDQATLFDIILAANYLNIKGLLDLTCETVADMIKGKTPKEICKTFNIKNDFKPEEEEEIRRENQWAFE >SECCEUnv1G0527150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:338324:341056:-1 gene:SECCEUnv1G0527150 transcript:SECCEUnv1G0527150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAVSRSVSRFTNETMRIVMVTVIGVVLGFFIGISFPSVSITKLHFPSSFVSYIEERNSGLTTQALLNHAWDSVRNARENTPEPNTNATLKIYVPTNPRGAERLAPGIVVPDTDFHLRRLWGDPSEDLPFKPKYLVTFTVGYAQKENINRAVKKFSDNFAILLFHYDGRVTEWDEFEWSKRAIHISVSKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVDHFNAEEYIKLVKKNGLDISQPGLEPDRGLTWQMTKRRGDREVHKETEERPGWCADPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGKAERGKPAWEGVRARCRKEWGMFQTRMADAEKAYYKMMGITPPNSTLV >SECCE1Rv1G0010520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:63241283:63241813:-1 gene:SECCE1Rv1G0010520 transcript:SECCE1Rv1G0010520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPPIPTIISTVTYCCGACGYDLRLSSLARDTAGARRRRCVGAAEVVFDAIDDARFGHLEEFRWLDVRACLPLARRTRLLCRKCGARLGYGYDDTAAADRPPRYHIKIRALKPAPAPDPSRDPAAPSDP >SECCE1Rv1G0041910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:575293094:575295763:1 gene:SECCE1Rv1G0041910 transcript:SECCE1Rv1G0041910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKRRKVVAASLMPPLAESLLLLPDELMTEVFLRLPVKSLLRFRAVCRSWAALLSSDEFCSLHRAKAEAEPPKLLFISPAASFDSTGIYSCSPSGDALLFNLRDVRGDYVDMTPAPCRGLTLLRDAVEPAYYVFNATTRAITRLPPCQDSFMATAGLGFDSRRREYKVVRLFTEKHFGVDNIKCEVYVLGGQGEHRDRWMPAAGGVTFRFRKFAAAAIRAATRSEAKLLPVFADGFLHWLIDSSLFLTKPRAAVLSFSVADETFRWFRSPPVEEAPVGQLVELAGHMCMIRPTIFEVPGVHLVELDGHLCMVRDLRPDTSIMEIWEMKDCNSGDWILAHRIDLLQHVQRGLMEPQIIRVIGSVGNCGSRNKVILATSKRKVITYHPVSGTLETILAIRETHSSYQTEQSAPRVSLFKENLAPVHRTNEEIALCSPLAKATKEILLRLPGNFAVQFKLVSKQWLTLIESRSFIRSYYEHNNIDRRPKIMLVGKGARGLGFSFAPMGKLLREPPSQGTWLDTKVVCSKPCHGMNLLSTESEDYLYNPCTGYCSSYHTRGRLAHVPNHILSMMHDSVCTPEDHAFVVGNRNAGLGFNLLTQEHVIVQILYHLKDFESRQYFLTFTVIAPGSVQDHFEPPLPLNDMPPTYLSGVLYWMSEPRLGQSYERAIVSYNIATRMFGVIPCPSSVAMWNSTSPSQAFVVELEGMLCAVHADPAAEELDIWKLEHGLWDRACKIYLEDCSGYSLGGNVVVPLAVDPKDGRIMLNTGRKLGLYDPTKQSVENLYDLDVVLHVTSSEQSPRVGGYEDVQMTKCGHHVEKFCLPKSPLEQPTFFDEPSPKLSGKQFTCFSGVQPLEGRTPLDSKVMPLVPMLYEESLASFPRVRKTRWFS >SECCE3Rv1G0155390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:65287582:65289231:1 gene:SECCE3Rv1G0155390 transcript:SECCE3Rv1G0155390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNAQDNRKYNLTWILPVDAGFCYLLRFHFCEIKYPFTKVNQRSFFIYINNQTAEQQMDVIVLSGGIGRTAYKDYAILAAGSGQVDMWIALHPDLSNEPEYSDAILNGLEVFKLQNYGALETLAGPNPTLKQKPGADPDSHGARKSKVGIVAIGGTTGGIAFMVIALFSTCIICRRKKVAKNSCKTDYEHLNRPTVCRKSTCGLVRHFSFVEIQVATKDFDGAIIIGRGGFGNVYNGDIDGGTKVAIKRCNQKSQQGFHEFQTEIKMLCNFRHRHLVSLIGYCEEKNKMILVYEYMAHGTLREHLYNTRNPPLSWQQRLEVCIGAARGLHYLHTGAEQGIIHRDVKTTNILLDDRFMAKVSDFGLSKASPDIDNTHMSTAVKGTFGYLDPEYFRLQRLTKKSDVYSFGVVLFETLCARPVINTELPEEQVSLRDWALSCWKNGVLEEIVDPCVKEEITPQCFRIFAEIAEKCVADRSIDRPSMGDVLWNLEVALQLQQDSASYNSNCAEGASSLQISAMHSDKPSTNSTISVTAQEAIFSDIAHPEGR >SECCE2Rv1G0117130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:770095278:770095833:1 gene:SECCE2Rv1G0117130 transcript:SECCE2Rv1G0117130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSTATVCSMCGDIGFPDKLFRCARCRYRFQHSYCTNYYGDGAPASAGADMCDWCLGDVSGKARRSASTSGKQQASGSQDSATTGYSGRIDKAAAGGDQESGRRGSTKVGGRRYKLLKDVLC >SECCE3Rv1G0184590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:664695034:664696557:1 gene:SECCE3Rv1G0184590 transcript:SECCE3Rv1G0184590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable polyamine oxidase 5 [Source:Projected from Arabidopsis thaliana (AT4G29720) UniProtKB/Swiss-Prot;Acc:Q9SU79] MDEKKPRIVIVGAGIAGLSAAQQLCDAGRDKFEVVVVEAGCRAGGRVLTSEFAGHRLEMGATWVQGIVGSPVYALAREAGALREDAADLPYERMDGFPDSVLTVAEGGDVVDADTVAKPIEELYRGMMEAARAGEAVGGGGVEEYLRRGLRAYQAARPGGSKELEEVEEALLSMHINRERADTSADDLGDLNLAAEGEYRDFPGDHVTIPGGYTRVVEHLIAALPPGTVRLGLRLRRIDWGETPVRLHFADDGTTTLTADHVILTVSLGVLKASIGEDVSAAGAIAFDPPLPQFKREAVARLGFGVVNKLFIELETVDTPESDGSDEQLARATTPAFPFLHMAFVGDVAKIPWWMRGTESVCPVHAGSTVALAWFAGREAAHLESLPDEEVIRAVDSTLESFLPAPPRRCSGPAAGATPRWRVKRIKRSGWATDPLFLGSYSYVAVGSSGEDLDRMAEPLPRGPEADRPPLRVLFAGEATHRAHYSTTHAAYLSGVREADRLLQHYR >SECCE3Rv1G0188630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:716333262:716337215:-1 gene:SECCE3Rv1G0188630 transcript:SECCE3Rv1G0188630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G58790) UniProtKB/TrEMBL;Acc:W8QNJ3] MRLYITAAAAADDDVTKPKAPQAARRGCRSIVVTGLLAGVLLFRAALLAIETGASLCPSATGCSDWRAGLGHWLYGGGGDDATEEFMREWKRSHRVATLLDPVVVEAAPDSLDDLMVEMNTILASYDRLDMEALVVKIMAMLLKMDRKVKSSRIRTLFNRHLASLGIPKSMHCLALRLAEEFAVNSAARSPVPLPQYAPRLTDASRIHVCLVTDNVLAAAVAVASAVRSSAGPSRLVFHVVTDKKSYVPMHSWFALHSVSPAVVEVKGLHQFDWRDGDAIASVMRTIDEVQKSSLDYHQLCDGSVEREYRRLEATKPSTFSILNYLKIHLPEFFPELPRVILLDDDVVVRKDLAGLWEQDLDGNIMGAVGAHRPGADGGICIERTLGEHLNFSDPAVSSLGLDGSHCAWSWGATIIDLDAWRGANVTETYQSWLQKNRESGFRMWKMGSLPPALIAFDGRVQAIEPLWHLPDLGRRVPDAELLQLSAVLHFSGPRKPWLEVAFPELRELWLGHLNNSDSFLRGCGVV >SECCE2Rv1G0121430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:808035064:808042749:-1 gene:SECCE2Rv1G0121430 transcript:SECCE2Rv1G0121430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWHVPGLSAASPVDTILDKENFKLEDLLDEDEIIQECKALNTRLINFLRDKVQVELLLRYIVEETPEDAEKKRIFRFPFIACEIFICEVDVILKTLVEDEDLMNLLFSFLKPDHPHGTLLAGYFGKVVICLMMRKTLPLMNYVKSHPEIVSQLVDLIGITSIMEVLIRLIGADETMYSSYSDSMQWLDDIQVLEMIVDKFSSSDSAEVHANAAEILCAVTRYAPPALATKISSPSFVGRLFHHAFEDSRPKSVLVHSLSVCISLLDPKRLVSASYQAFRSQLSHGTLVTASPETVNGMLDSFGDLLKLLDVSSAENILPTTYGSLQPPLGKHRLKIVEFISVLLSIGSEVAEMRLIDLGAIKHVIDLFFEYPFNNFLHHHVENIIVSCLESKEDHLIAHVLEECKLVTRILEAEKNSALSIDLTKRTLSSDGRTPPRVGFVGHITRIANKLIQLANCNNTIQSHLQQNSGWAEWHASTLTKRNAVENVYQWACGRPTSMQDRGRDSDDEDFRDRDYDVAALASNLSQAFKYGIYPSEDVDEAQASQERDDEDVYFDDEAAEVVINSLRLGDEPDSGSLFTNSNWFAFDEDKALNDGPEASLSANLELPSPNVDDDDMDEVILGDPIEGTKGSDSLLATSDRDLNEGTGETVLSNGPDDKMENDIRPSTPDVKESPAECVEWTEEDAEPGEVSENTAVLSSEVGSEKVMDATDGIMPGTGQVGEEQESENLAESSAPGTGQVGEEPVSENLVESSAPDTTIEKTLPHSLDVNSTGHSEPADGSASLESPLGEQKQEKEESQEK >SECCE6Rv1G0446330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:843516028:843516918:1 gene:SECCE6Rv1G0446330 transcript:SECCE6Rv1G0446330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVHARKALHLVAMKAKLQSFLGLRLLVVAALAAFLLLFSARTLFSSSSPGSSHLRLGGDGSCSKLPAPVADALVHYATSNVTPQQTAAEIGVSLRVLQRRSPCNFLVFGLGLDSPMWAALNHGGRTVFLEEDASWIASVRAAHPGLESYHVVYDTRLTEADDLIALRDHPGCTAQPDLAAAAEASCRLALRGLPAAFHEVEWDLVMVDAPTGWTPDAPGRMGAIYTAGMVARARRPGDGATDVFVHDVDRTVEDRFSKAFLCDAYLAEQVGRIRHFVIPSHREKPGTPFCPLN >SECCE6Rv1G0388770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:142034483:142035010:1 gene:SECCE6Rv1G0388770 transcript:SECCE6Rv1G0388770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHGAACSIACHSGASFAAAGVFVLPQAYDPRATHGQYDLASLSDMVAPYTGCNGGDPAAGPDGQGPRRGNGDERKTRRLASNRESARRSRVRKQRRLDELSSRAASLRAANQRLLVELNRVLAEHGRVARESARLREEASELRGKLDGMGTLEADDAAAAQSTTDTEDTGNTA >SECCE3Rv1G0200770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:857283020:857298697:-1 gene:SECCE3Rv1G0200770 transcript:SECCE3Rv1G0200770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSPGQVVSEVGKRLAQPRLGKDALVKLLKQAESALSELSQSSSLHDALSPLSKSLVQNTLLSHKDKDVRLLVAVCFIEVMRILAPDPPFTDEIFKEIFRLFISEFSGLADTGSPYLMRRMKILENVAALRCSVIMVDTGCQDLVLDMAKVFFSAAKQGLQQCVHQAMLSIMTQILNEKVTQPLLDVIFRNLVKEDKGGAHKLAVDIIQNCAEKLEHIVRIFLTSCILSKDAPVNEHRKLHHKIILEIFQCAPQMLFAVIPCLTHELLSDQVDIRLEAVHLIGRLLVFSNLRFGQENQILFMEFLKRFSDKSAEVRIAAIDAAKACYIAASSGNVAQNVLKSLEGRLLDFDDKVRIRAVYAVCDLAKSNLSSFPSELILQAAERLRDKKISVRKNVMQKLLDLYRDYCEKCSKGTAAINTHYEQIPAKLIVLCFDKDCESFRPHNMGLIFAEELFPSTLSPKERAMHWVEFFSYFKSQHVKALHAIFSQKRRLQLEMQAYLSLRAKKEESSDEIQKKICASLRKMSASFADISKVEDCFENLHQMKDNNIFKDLTEISKDGTTFATVRSIRDSFLKRIGNKHQIYSFCKELSTKLSHSLFNWEMICAILEDLFSCRNELTHHAESACDLLLLVAMAFPSLFRGSEEYLLKLFSEDSVLINEKSLQMLASLAKLPCNLSINFSSDVYLLLEQKCIEGTRAESKYAISAIASLIQSPDDKKFAKLCKKVVGGLHDNHNIPTLLQSLGFILEYSPSMYTSYDDQFINFIQRVFVSPEFVSTPELSPSNENSACSFSCKLKIYCLKTLVKSCLPTTTARDRIENFLKMLLDIIREEFTPITICENDKPYLRLAAGKSVLQLATRWDSLISPELFRTALLMARDSSYIVRKSFIHKLFGLLKKHAIPVRYACAFALASTDCAGDVRTESLRYLTEVVKEQRGVSVHQNKTSNDSIVEHPAYAVLFLIHTLAYDEEFPFNFCEKETGSAEFWSPLIVMLRELVEIEDLSQTKHGSATSSVSILLGIFRAVQKAEDVIDSDITHKLHILSKIGLLMVKELDKHCKTSDSPRHILLPSSYYRLSRSERKADECCQLDLITDTFVKRILKAHEPYNQQEDTTCSTITERVSKESAPKRQTRSSSNKPSAGRYASGHEQGKMKKSSVQAEDVPKKKYQDSLEKENASSCGSAGTKLSSPGSLGLTKEADSRDRASLLENQNRPTVKKISSETSHAEVMHNFVNLFLRCCHYIWQVEDTGEMIVGRHIRLWSAMSYHDVTVEAYDRQNGFHKIAYGNGDKELIQLKSKKWEFINDTISTEDIPDCHPRDCELDEDVGDCDDNFVKHPFSSNKTAVPTLKKKSKRALDLRNAQNSAGSTVDTVDNVRRTRSRKVQA >SECCE6Rv1G0426210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712350826:712353612:1 gene:SECCE6Rv1G0426210 transcript:SECCE6Rv1G0426210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYDRAAELRALDATLSGVRGLVASGATHVPRIFRVPDHHREPPSQEPPPQSTSIPVIDLGSTDRAATVAAMRRAAAEWGFFQVTGHGVPPQSMAAAVGAVRAFHEADGGEGTEKARLYSREPNKAVKYKCNFDLYQSPVANWRDTLYIRMAPDPPDADELPETCRDTMFEYAKQVMNLGNTLFELLSEALGLNPSYLTDIDCNQGQILLCHYFPPCPQPELAIGSSRHSDSTFMTILLQDEIGDLQILHEDRWVDVTPTPGAFIVNIGDFLQMISNDAFISVEHRVVVKNIAPRVSIACFFSSHFHPASTRMYGPIKELLSDDNPPLYRETLVRDYAKHYNDIGLDAKNAMSNFRL >SECCE6Rv1G0409950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:592924977:592926934:1 gene:SECCE6Rv1G0409950 transcript:SECCE6Rv1G0409950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTSQHALISVKPRYAASFSSDRRAGNVRFVSATSCCPSSRKLGLSCASNSQSSVIEPAKLPSSPESSSTPKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTPKGVNEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIIVHKESERAHQWSQVYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADLFGKDQVHEWRRSYDIPPPNGESLEMCAERAVSYFKEQIIPQLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTKSLAKYRQKLDGMDQ >SECCE3Rv1G0169280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:235171220:235173076:-1 gene:SECCE3Rv1G0169280 transcript:SECCE3Rv1G0169280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMEVEEDGANGGNGGAWTEEDRTLSTTVLGRDAFAYLTKGGGSISEGLVAASSPVDLQNKLQELIESEHPGAGWNYAIFWQLSRTKSGDLVLGWGDGSCREPNDAELAAAASAGNDDGKQRMRKRVLQRLHKAFGAADEEDYAPTIGQVTDTEMFFLASMYFAFPRRAGAPGQVFAAGLPLWVPNSERNVFPANYCYRGYLASTAGFRTILLVPFETGVLELGSMQQVAESSDTLQTIKSVFAGTGGNKDIVQSREGNGHIERSPGLAKIFGKDLNLGRSSAGPAIGVSKVDERPWEQRTAGGGSSMLPNAQKGLQNFTWSQARGLNSHQQKFGNGILIVSNEATHSNNGAADSSTTTQFQLQKAPQLQKLPLLQKPPQLVKPLQMVNQQQLQPQAPRQIDFSAGTSSKSGVLVTRAAVLDGDSSEVNGLCKEEGTTPVIEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKDMETERERFLESGMVDPRERAPRPEVDIQVVQDEVLVRVMSPLENHPVKKVFEAFEEADVRVGESKLTGNNGTVVHSFIIKCPGSEQQTREKVIAAMSRAMSSV >SECCE4Rv1G0287300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853585203:853586636:1 gene:SECCE4Rv1G0287300 transcript:SECCE4Rv1G0287300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g01860 [Source:Projected from Arabidopsis thaliana (AT2G01860) UniProtKB/Swiss-Prot;Acc:Q5XET4] MSSLASWPPLSALPDSVSLAPALVKTAGAKLIRSSSVGRRSTARVSCAGSSSGRLAKKSAYGKQQAYGEDATADKEDGGSEWSKDDIEAISALFARPMRQKPVKPPNPATQRPLPLPLPHKTRPPVTPAPTQHIRLASRSMFSDKVRKNPEVLVGIAKEIAALPPESDVRKVLDRWVHFLRKGSLSMTIRELGDLGLPKRALQTLCWAQGQKAVPLFPDDRILASTIEVLACFDELKMESALEECVPSASRAVLEAMVRGFIRAGKVGLARKLLELAKMNKRTLHPSIYAKLILEVARTPEGYGLAAALLDELGERPDFDLRPQDCTAVMKVCIKLRRYAAVESLFSWFRGSGGSPTVVMYTTVIHSRSRDGRHREALALVWEMEQANCLLDLPAYRVIVKLCVALRDPERAFRYLSRLKEAGFVPTSDIYRNLIEGYAAAGRMSRCRQLIREAESIGVLLDKRLISSLSEMGDRHP >SECCE7Rv1G0475510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:188804847:188806924:-1 gene:SECCE7Rv1G0475510 transcript:SECCE7Rv1G0475510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSSRLTSTQYSFLSSTPCSPTTMAALPRRRRAGARYPRIQAIEFDQNTVVAITVGVVSVAAGIGIPIFYENQIDNSAKRDNNQPCFPCSGSGAQVCRFCTGAGTVTVVIGNGESEVSKCVNCDGIGSLTCTTCQGSGIQPRYLDRREFKDDDD >SECCE3Rv1G0177330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:462225479:462228655:1 gene:SECCE3Rv1G0177330 transcript:SECCE3Rv1G0177330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYAGENVNKLLVGNKCDLAESRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAAEIKNRMASQPAGNASKPATVQMRGQPVAQQNGCCSS >SECCE4Rv1G0252960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:620069986:620070216:1 gene:SECCE4Rv1G0252960 transcript:SECCE4Rv1G0252960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAETVGPRAVAGDVEVPVLLVADKDRPVDPVMWGDEKRMKRELMAWAKAVASMAVNVSSAPPPSMAHGTKCTA >SECCE7Rv1G0520410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:868523005:868524372:1 gene:SECCE7Rv1G0520410 transcript:SECCE7Rv1G0520410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTNGKMGMRQQAEGPAAMLAIGTANPTNLVQPQDAFADKLFRMTRSDHLTELKQKLNKICQKTGIQKRHFHLTEETVGAHPEFLDRELPSLDARIEMVATAVPKLAQSAAAKAIAEWGRPPTDITHLVFSTYSAWEAPSADLKLATLLGLRPTVCRTILSLHGCYGGGRALHLAKELAENNRGARVLVACAETTLVCFGSPDQANLVGHALFGDGAGAVIVGAGPFSEGERPLFEMVTATQTTIPRTEHVLGMQATAGGIDFHLAIQVPMLIGQNVERCLLDAFGRDGDAPGSWNELFWAVHPGGRPILDNIDTVFKLEPGKLAASRHVLREYGNMSGATIVFVLDELRRRQKEEDGGHLLLPEWGVMLVFGPGITIETMVLRSPR >SECCE2Rv1G0112530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:710632121:710633593:1 gene:SECCE2Rv1G0112530 transcript:SECCE2Rv1G0112530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTATKPHFVLVPWIGSISHIVPMTDIGCLLASHGASVSIITTPANASLVQGRVDRVTPRGAVITVTSIPFPAAEAGLPDGCERLDLITSPAMVPGYFKANKKFGEAVAQYCLRDAPRRPSCIVSGMCHTWTLPLARELGVPCYIFHGFGAFALLCIEHLYRQGRHEVIASADELVDISVLQPFECKILGRQLTPHFLPSTSIGSGQMQEVREFDMAVDGVVVNSFDELEHGSTALLAAAVAKKVLAVGPVSLCCAPSLDPQSDDARRCMTWLDGKKAKSVVYVSFGSAGCMPPAQLMQLGMALVSCHWPVMWVIRGADSLPDDVKVWLRENTDGDSAGDPDSKCLVVRGWAPQVAILAHPAVGGFMTHCGWGSTLESVAAGVPMVTWPLFAEQFVNEKLIVDVLGIGVSVGVTKPTANILTAAKHGSGEVKAEVGAEQVNSALEKLMDGGVAGEDMRRKAMACKVKANASLKEGGSSYNNLEELIHSCV >SECCEUnv1G0563820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:378286581:378287888:1 gene:SECCEUnv1G0563820 transcript:SECCEUnv1G0563820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVALLIAKLGFALAKEAATFGASLLCKEASALKGLFGEIREAKEELESMQAYLQGVERFKDTDETTRIFVNKIRGFAFEIEDVVDEFTYKLEDKHSGFAKKMKKRVKNIKVWRRLTLKLQDIKGRLHGADRRKVRYDMRGMEREGLNNGHSGSAGHSLNLPREEDLVGIKENKDKLVRWLADDLEEPGSKIATIWGMGGVGKTTLVDHVYKAVKTNFSISAWITVSSSYQVEDLLKQIASGLGVAIGVANANRNLVEVIRTRLQGSNFLVILDDVWHADIWFKIRNAFPTESTGRFVITTRIQEVALLATKTCTIKLEPLQRHYAWQLFCNEAFWNNENKTCPEELENLAQKFLDKCGGLPIAVACVGRLLSCRDPTYCQWESVYKELESQLTNNVILDVNIVLKLSLEDLPSDLKNCFLHCTIFPEDYLF >SECCE3Rv1G0207400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:920500379:920503220:1 gene:SECCE3Rv1G0207400 transcript:SECCE3Rv1G0207400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSTAPASLGETHVPHLKWMVHDFSALLETNATSVVSSAFECSGYRWSLRVTPKHKQGVMGNPYVALCLEIHQSCLEQGHTVDVVFELSIFNHSKGEYCGCKASYNFDLKNTYSKPHCLIPLQELLKSSAFLVDDSCVFGVEILKIDVSSPEKKDVVVQKKATTVQNLFVQKKGFIKGTYTWTMDNFLELDLKPFVRSPTFEVGGLKWYIRMYPRGDKYSNDCLSLYLSLDESVELPLESGKVVELTLSILDQKNVKHRTETSGLWVCGQGHKLVCVHGMGSSNFFGLKELKDPSGGYVVGSSCVVKADLTIVGSSNDG >SECCE3Rv1G0178660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:509926695:509926928:-1 gene:SECCE3Rv1G0178660 transcript:SECCE3Rv1G0178660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHYWQLDGRRTGP >SECCE2Rv1G0110780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:693901767:693903206:-1 gene:SECCE2Rv1G0110780 transcript:SECCE2Rv1G0110780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVFLAAVLALQLLHVARGEIKTTPIVSDSRPVILFEEFGFKPGGVAQVSVSGVSWSVPEGSPPQAVDPGLMGFILISNTLFFKITNESEYAEETGSSFCPLTSEYVMPLFRLRDIGRDGAGGGNVTIGDADQYTVLFSSCQDGVEVTMDVRTEMYNMPRPGGDREYLPVGLLPLPGIFAASSAVYFAFLAAWVFVCIKQRATVERIHAVMGALLLFKALKLACAAEDAWYVGRTGTPHGWDVAFYVFGFFKGILLFTVIVLIGTGWSFLKPYLQEREKNVLMIIIPLQVIENIASAMIGETGPAGRDWLAWNQIFLLVDVVCCCAVFFPIIWSIRNLREASKTDGKAARNLKKLTLFKQFYLVVVGYLYFTRIAVSAFAAVLSYRYQWVVNVSVELASLAFYVFVFYNFQPVERNPYLYVADEEEEAAGGQLELEGTFEI >SECCE3Rv1G0145090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5764486:5765028:1 gene:SECCE3Rv1G0145090 transcript:SECCE3Rv1G0145090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTATTSTATVLVMLVILSCGLPAAHANAVFISHTCKKTKDAALCVHVLRLDQNSVNASTVHDLASIALGIATVFANFEAGNIRVGARFNQGTPVGDALSVCLRAYLDAVDDLKHSAKQSFDGGDYVGASKIVMGAKAAGCVCDNALKQIKKDFHAEVDRHMKERCGVAGELIGLLIHK >SECCE6Rv1G0414690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:635715560:635717643:1 gene:SECCE6Rv1G0414690 transcript:SECCE6Rv1G0414690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKACIEQKNLPSWFTVTEYRRATGTIYKTYFDTYNNQMYRSIEGVARAFGLGDKNEPACPKPLNIRKEDGSCGSLPVGTYTKIPAIPEYVGKDTASDSLNLEQEELKKFPSKEKELKETGDVASTMKGEEEKDNEEVGYAASNLENEEEMAKKINAPSANAASMQDEDVAGEVKNQVHP >SECCE1Rv1G0012580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:93936871:93941074:1 gene:SECCE1Rv1G0012580 transcript:SECCE1Rv1G0012580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATAAAPPATAAATSTSFPSLLQHHLPRSSGRAVTTSAFARRFRSKNPSPFRSGSKAAAPTPTPALAPAPEDDFGGLEGELWRLRRRAELRFQRLAAEADEAYSDLRSCVRVVGGDRLVLTFRRSSLRFAAFALLWSLALSAAAWASLGLALRAWRQLWGRGWWERPEGGAVVTKRDRSMGGKEVVVAVSSPVLPPVSLVKEPARKVRRKEPQGRVPEWWPEIETELAEPGQEAEKWAILANRLVRAIIDNRVAGKDYRYNDAVQLRQLCKISGVKVSFDTENARDSFYRATTGFVLDDCSRTAEDMGTARINGENPRDFLAGLSANIGLDKFRAATLVCASVAARTRACLLQCWALEIQGKRTEALDELVKICRIHRVFPPEENSAEMEMVAGGLKKNLEVAERVHLLSLYRSVCTTGVKTAAEALGLVSSCQ >SECCE1Rv1G0005850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28471095:28474732:1 gene:SECCE1Rv1G0005850 transcript:SECCE1Rv1G0005850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGTTAASASDVLLHYGRCYWELSKARLRCTAASTSDVLRHYGRCYWELSKARLSALVVATSGAGYVLGSGSIVDIAGLCYTCIGTMMVAASANTLNQVFEIKNDAKMRRTMRRPLPSGRISPVHATMWATSVGAAGTTLLACKANYLAAGLAASNLILYAFVCTPLKQIHPVNTWVGAVLGAIPPLLGWTTAASEVSLNSMILPAALYFWQLPHFMALAYLCRTDYVAGGYRMLSFADLTGKRTAWVSLRNCLYIMPLGLFAYNWGLTSEWFGFEASLLTTVLTIGALLDPTHKSARRMFHGILLYLPALMAGLILHRLPNQ >SECCE2Rv1G0082580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:153964078:153965692:-1 gene:SECCE2Rv1G0082580 transcript:SECCE2Rv1G0082580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARQGERATSFAMACSLLSRYVRQNGAAAAELGLGINKGEAEAHRAADTKSPLPGAVGEEAGRKETMELFPQSAGLQDAAAPDATREEDKSQLTIFYGGKVLVFNDFPADKAKGLMQLAGKGSPVVQNVAATTTVADTAKVQTAVLAPASSLPSDPVDAHKSARPNASDLPIARKASLHRFLEKRKDRLHAKAPYQASPSDATPVKKEFENQPWLGLGPNAALKPNQ >SECCE6Rv1G0392960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:271319279:271337438:1 gene:SECCE6Rv1G0392960 transcript:SECCE6Rv1G0392960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHQEAEATTTVDAMRLASRWRSPAEWVATAAALDTEPMPSELNTANSSGLFAVVSVDKMSVKYLGVNHHGHDVGVVQADRPAPMHRAVYYFEMTVRNAGLKGQTSIGFTTESFKMRRQPGWESQSCGYHGDDGYLYRGPGKSESFGPKFTSGDIIGAGINYVEQILFFTKNGSLIGSFPKDIKGPLYPTIAVHSQDEELTVNFGKEQFCFDIEGYILEQKMTQQSISDKLYLQPDISHWIVRSYLLHYGYQDTLNSFDAASETDPPANHQTGYGEPPEMYGLSHRKMLRQLIMNGDIDSAFKMLEEWYPQVLKDEISVICFLLHSQRFIEYIRAEQLEGAVKYARANLANFLTHKAFEGLLKESAALLAYEKPSECCIGYLLESPQREFVADAVNAAILSTNPKMKDPESCLHSCLEKLLRQLTVCSSELRAFNSDQGDVFLLHKEIYERSRRP >SECCE3Rv1G0158140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85794906:85795970:1 gene:SECCE3Rv1G0158140 transcript:SECCE3Rv1G0158140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKSRRVILKDYVEGYPTEEHMQLLPAAAVDEAAAEEEGSVLVKNLYLSCDPYMRPKMSRPLHQSYTAAFVPGAAITGYGVSEVVRSSRPGLAAGDLVWGMTGWEDYSVIKPPFTAILTKIQPDDGVPLSYYTGILGMPGLTAYVGFHHICSPKAGETVFVSAASGAVGQLVGQFARLLGCHVVGSAGSDDKVRLLKDKFGFHDAFNYKKEDADLAGALKQRFPDGIDVYFENVGGKMLEAVLLNMKVHGRIAVCGLISQYNLTAGEKEADFGVRNLTSLVSKRIRMQGFIEPDHKHLYPEYMAWVLPHIKEGRVVYVEDVAEGLEAAPRALIGLFHGRNVGKQVVRLTTPE >SECCE2Rv1G0127540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:853991443:853992537:-1 gene:SECCE2Rv1G0127540 transcript:SECCE2Rv1G0127540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGKPSRSASAIIASTASGYHLLKIEGYSRTKGVPTGDKIKSRPFTLGGHRWYICYYPNGFKPEHADYISLFLFLDGCVATAVKGGSATTTVKAQQNFCFADEVTDQASSLASASLDNYTCAQGWGNVMFIKRADLEKSEHLKDDSFTIRCDVVVIKDYRAEDLPQDTPPAFVSVAPSDLHQHLGDLLKTEKGADVVFEVGGHTFAAHRCVLAARSPVFSAELFGGMKEGDTAGVVRIDEMEAEVFKALLCFAYTDSLPVTEKEDEDVMCQHLLVAADRYNMERLKTICEERLCKYINGGSVTTILTLAEQHHCEGLKKACLSFLSSPANLRALLDSDGFDHLSRSCPSVIKNLMATFVPI >SECCE4Rv1G0269770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749879393:749880430:-1 gene:SECCE4Rv1G0269770 transcript:SECCE4Rv1G0269770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLLRATVALVILVLLFMPGAMAATAASFDASRTQQLPLPPGEVHGPESVAFDAQGRGPYSGVSDGRILRWDGPKLGWSTYAYGPGYDSETCTTSRYGTEADVESRCGRPLGLRFNHKTGDLYVADAYKGLMRVLPGGGEATVLVDQIDGMPLRFTNGVDVDQVTDHELVTKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIEGVDAGKSEPFSDLPGYPDNVRPDRKGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGSKKVRPTEIMERDNGKIYLGSVELPYVGVVKSK >SECCE2Rv1G0086710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:215139770:215140147:-1 gene:SECCE2Rv1G0086710 transcript:SECCE2Rv1G0086710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGAWLAAAARVPAELCQGPPRPGQRRRLRADEVLRALLTPPARELERLADCLYVFFCLPLPEPDQYYVPVSGRGGWMARRPPGGVVLYSYRRSLSLSSDDGGSSSSTWMGGSDEEGLYYSDD >SECCE2Rv1G0117400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:772245010:772245207:1 gene:SECCE2Rv1G0117400 transcript:SECCE2Rv1G0117400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILELGACIIPMTLIFVPLRRLVLLVAKLQELEECFMRPRPPPPHMSADMYGHMSTLHTMAIMV >SECCE2Rv1G0074130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73996783:73998399:-1 gene:SECCE2Rv1G0074130 transcript:SECCE2Rv1G0074130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPETRPAPLAAFASLLAARRFGAAKSMLPSLLTPTLLAVPFADLAAGSLPRAAPRHAVAAFHDMLFRAYADAGAPERAAEALDLTVSRLGSLDPRSLTSSLLSLRRTGHLLPAAELLRKALASCPGSITPLSASVVVDGFCKAGRMEDACQLLDEMPSHGVKLNACCYNSLLDTYTRQRNDGRVAEVLKEMESGGVEPTVGTYTILVNGLSMAGDISKVESVFDEMKRKNVAGDVYFYSAVINAYCRAGNVRRASEVFDECVSNGIEPNERTYGALINGFCKIGQIEAAEMLLTDMQLRGVGHNQIVFNTMIDGYCRHGMVDKALEIKAVMERMGIQLDVYTYNTLACGLCRVNRMEEAKKLLHIMNENGVESNYVSYTTLISIHSKEGDMVEARRLFRYMEGKGSRPSVVTYNVMIDGYIKNGSIREAERFKKEMEKKGLVPDVYTYAAIVHGHCVNGKVDVALRLFEEMKLRGAKPNVVAYTALISGLAKEGRSEEAFQLYDNMLAAGLSPDDTLYSMLVGSLHTDKSKHEIP >SECCE6Rv1G0391320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:216156338:216159588:-1 gene:SECCE6Rv1G0391320 transcript:SECCE6Rv1G0391320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSASARKPSAAPTVVLTLVLALASAGLLFLLVHLSPSSPSAHPHPHRRLRLRGAHLRHGGATPHQIPFDPVIADLERRLDDREWERLAAAGLHAPGMESAPVPEDLEDYEDEYINDAARFNMTLRVVALFPKIDVDPADDAVTGAELAAWNLASARREVLHRTARELDLHDRDHDGRVAFSEYERPSWAWRFDDNNSTSDGMGWWKEGHFNAADMDGDGFLNLTEFNDFLHPADTTNPKLIHWLCKEEVRERDKDNDGKLNFQEFYNGLFYSVRNYDDETSTDDSSGSDAPARKLYSQLDLDNDGLLSADELKPIIGKFHPAENFYAKQQADYVISQADTNKDGQLSLNEMIENPYVFYNALFTEDDYGSHDELR >SECCE3Rv1G0194510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:781840167:781842736:1 gene:SECCE3Rv1G0194510 transcript:SECCE3Rv1G0194510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator of gibberellin-dependent alpha-amylase expression, Regulation of nutrient mobilization in germinatio [Source: Projected from Oryza sativa (Os01g0812000)] MYRVKSESDCEMMHQEDQMDSPVGDDGSSGGSPHRGGGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPNLKKGAFTPEEERLIIQLHSKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRCQRAGLPVYPASVCNQSSNEDQQGSSDFNCGENLSSDLLNGNGLYLPDFTCDNFIANSEALSYAPQLSAVSISSLLGQSFASKNCGFMDPVNQAGMLKQSDPLLPGLSDTINGALSSVDQFSNDSEKLKQALGFDYLHEANSSSKIIAPFGGALTGSHAFLNGTFSTSRTINGPLKMELPSLQDTESDPNSWLKYTVAPAMQPTELVDPYLQSPTATPSVKSESASPRNSGLLEELLHEAQGLRSGKNQQLSVRSSSSSVSTPCDTTVVSPEFDLCQEYWEERLNEYAPFSGNSLTGSTAPVSTASPDVFQLSKISPAQSPSLGSGEQAMEPAYELGAGDTSSHPENLRPDAFFSGNTTDSSVFNNAIAMLLGNDMNTECKPVFGDGIVFDHSSWSNMPHACQMSEEFK >SECCE3Rv1G0188650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:717430466:717432884:1 gene:SECCE3Rv1G0188650 transcript:SECCE3Rv1G0188650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS box transcription factor, Regulation of floral organ identit [Source: Projected from Oryza sativa (Os01g0726400)] MGRGRSEIKRIDNPTQRQSTFYKRRDGLFKKARELAVLCDADLLLLLFSASGKLYQYLAPTVPSVKEFVERYEAATHTKVWSDIRQERRAELEKVAKMCDLLEKELRFMTVDDGEQYTVPSLAALEHNLEAAMHKVRSEKDRKIGGEMSYLENMIRGKQAERYGLCDKLAHAQSLKVVEGGSTSLNSGLDLKLGFN >SECCE1Rv1G0029350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:412535317:412539665:-1 gene:SECCE1Rv1G0029350 transcript:SECCE1Rv1G0029350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLVGYADYFAPPGSNGGGVTLVVPEVDARAEHEMYGGGGLHHHHSGADMFGARGFGIAPAGEATSAQSKAALDVDVDGSSTISFFRGEQHQQQHHHQQQLLQMGQAPLSLSLHGPPDAGSSFMLHHQLGGGEPRLQHQHQHTAAAAWQAQGAGSGWQLRGSRFLLPTQQLLQEFCSIPADTDSKAPKKPAQEEHGSSSSASWPPSSTQIQSMDPAELQRLKAKLYTMIEEVDRRYRRYREQMRAVAASFEAVAGQRAAAVYTRMASRTISRHFRSVRDGVAAQVRAVRGALGEKDAGAAVPGMTKGETPRLRALDQCLRQHKAYQSGMLESHPWRPQRGLPERAVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYAEEMKDKEEGDGGGQPAQQAVDLANPSPAAGSYASEGRGEQKPTRAQLHQLHDAGSLASVVSIGQGADPQGLNFGMMDQLDFDAYEAATAGFGNGVSLTLGLQHQHQQQQHHGGVNVASFAATSPSSSSAAHGGAAEFLFMAGEGAHPSANGQFVGAGMGSGADVASQYHRGLGGSFHLLRDLAG >SECCE4Rv1G0267240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735330469:735330987:-1 gene:SECCE4Rv1G0267240 transcript:SECCE4Rv1G0267240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPPAYHDQKLAAAKRCAREAALAGAKAAAIAAVAAAVPTLVSVRMLPWAKAHINPTGQALIISTVAGMAYFIVADKTIVSMARKHSFENAPEHLKNTSFK >SECCE4Rv1G0277470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:804544295:804549445:1 gene:SECCE4Rv1G0277470 transcript:SECCE4Rv1G0277470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GFA2 [Source:Projected from Arabidopsis thaliana (AT5G48030) UniProtKB/TrEMBL;Acc:A0A178UJR3] MRLPGGARLALLLARRSLSTAAAASSSSARSSSSHASRAHRAIWGDAGRAAPSWRPPFSTPSRFFHGTRPVAVRDYYDVLGVKKDAGQGEIKKAYYALAKKLHPDTNKGDADAERKFQEVQKAYETLKDEEKKRVYDQVGPDQYESAAAGGGGAGGFEGGFGNPFEDLFGGGAGRTGGMNDFLRNIFKDRDSGGQDVKVMLELSFMEAVEGCKKTINFQTLVTCETCNGAGVPAGTKPETCLACRGSGYMILQTGPFRMQSTCTQCGGSGKTVKDFCKSCRGKKVVPGIKTVSIDIAPGTDDEDVMKVLRSGQADPDGVRPGDLYVAIKVREDPVFRREKGDIHVDAVLNVTQAILGGTVQVPTLAGDVVLKVKPGTQPGQKVVLRGKGIKTRNSYSYGDQYVHFNVKIPVNLTQKQRMLLEEFEKEEKGEDDAEKAAGASG >SECCE3Rv1G0181590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:615789093:615789485:1 gene:SECCE3Rv1G0181590 transcript:SECCE3Rv1G0181590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVAEWIRKRAMPRKPAAGRRSRASGASEPILRDAEESWSGAPGAAAPIPARKAAGSRNGANGGAPAHSSSKVRAVGFLSALRWRPRVNVLAVVYEQVVYHLMWLVESVVVVGRLVFFLMRFGFKQL >SECCE6Rv1G0394640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:301137028:301143831:1 gene:SECCE6Rv1G0394640 transcript:SECCE6Rv1G0394640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related kinase 7 [Source:Projected from Arabidopsis thaliana (AT3G12200) UniProtKB/TrEMBL;Acc:F4J8P0] MEQYEVVEQIGRGAYGTAYLVHHKPEKKRYVMKKIRLTKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTSVCIVTSYCEGGDMAQRIKKARGVLFSEERVCWWFTQLLLALDYLHCNRVVHRDLKCSNILLTKDNNIRLADFGLAKLLMEDLASSVVGTPNYMCPEILADIPYGYKSDIWSLGCCMFEILAHRSAFKATDMATLVNKINRSSISPMPPIYSSSLKQIVKSMLRKNPEHRPTAGELLRHPYLQPYLAESINCSPIYLPVKPNKSNLGDKQSRKPSGGRKRVGKANGSSEALQAAAEQTAETRDSSTNYSDLSTVGTQDACGLQMSVDPVTRNKEELTAHVLSPQHVDENLAATTDGQIDETIRLKTIRTRSPVEAAPVNSASQKVNEAPIPNEEMTIGVVQEHRKGVKTESCQEVKQGMGDVDVVTEESSPVSTLKLGNAGSAPAEFDHLNIVQQRADALESLLEICAKLLEQERLDELAGVLRPFGQGAVSSRETAIWLTKSLMTPPKFGGSP >SECCEUnv1G0564510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:383978019:383980007:1 gene:SECCEUnv1G0564510 transcript:SECCEUnv1G0564510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSTDDSRRLFHKRIFPDASGCPSEFQQVSEDILKKCGGVPLALITIASALASGQRVKPKHEWDIMLQSLGSGLTEDNSLVEMRRILSFSYYNLPSHLKTCLLYLCIYPEDSTIGRDRLIWKWVAEGFVQHGDQGTSLFLVGLNYFNQLINRSMIQPIYDELGQVRACRVHDMVLDLICNLSHEAKFVNVLDGTGNSISSQSNVRRLSLQNKMEDHQAKPLTDIMSMSQVRSITILPPAVSIMPALSRFEVLRVLDLSDCNLGKSSSLQHNLKGVGHLIHLRYFGLDNTGISELPTEIGNLQFLEVLDLGCNHVLHAVPSIVCKLRRLICLNVYPYKIVPAGVLQNLTSIEVLREVLVSLNVTAQELGNLARLRELQICFKDGSLDLYECFVKSLCNLNHIESLSISCNSKETSFELMDLLGERWVPPVHLREFVSGMPSQLSALRGWIKRDPSHLSNLSELFLSRVKEVQQEDVDIIGGLLPLRSLWIRSTHQTQRLLVIPADGFRCMVEFKLNCGSAAQIRFEPGALPRAEEVWFSLGVRVAKEDGNCGFDLGLQGNLLSLRRSVMVDMYCAGARVGEAKEAEAAVRHALEAHPNHPPIDIHMTPYIAEGARDDDSCEEN >SECCE5Rv1G0374520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:863165609:863166594:1 gene:SECCE5Rv1G0374520 transcript:SECCE5Rv1G0374520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNVVWQPQVVDEMLRYYKEKIQSEGKQFVFKETHHEECAKQINAKFTTVFTQRQVYHKFHKLKGQWKIILEAKNLSGANFDDVNKIILYDETEVVRMKNNKDKRAKYINVPIANFDEMEFIFQDKHATGEFTVLQTPYDRVHARDKDFIGDTEKSAIDIEVDPATQYDSDCLPDDTNNESSSSKRPRGGKRDKGKRVKCEESVVQDMTRSLRDMSDTMRFTHVTNPNENLFKIIDDMEEYPLFVRLALQTSLATNEQVASMLKGRPMAAIQEFVRRWVGDNFPEHVHVAPDV >SECCE1Rv1G0048440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:635136087:635137067:-1 gene:SECCE1Rv1G0048440 transcript:SECCE1Rv1G0048440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAEAGDEMSLSNMVLGFYEEAEQERWPEDETTVGDCSDDERAGGGATAESSAFWAEQLSHLHEVLGKTSSAESRIRADTEEAVRQARSPAAAAAGVCSCATRATAGGGCRGCTLRSVAGRLRDAGYDSAVCRSRWARSAEFPAGEHSYVDVVAPTRSGKAVRVVVEPSFRAEFAMARGGAGYGALVAALPEVFVGRAEKLRAVVGAMCAAAKRCARESSLHMAPWRKRRYMEAKWLGTPDRLLPAAGAGAGSPVAAGSPESEKQRRFRASMLTLDFGGRAAVEVA >SECCE3Rv1G0158640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:89808174:89811045:1 gene:SECCE3Rv1G0158640 transcript:SECCE3Rv1G0158640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPTAACSPSSSAPPPPPSSGGVHVAVDARNDEVRRRLLLDAAIDGNLDLLARMALELLPPAPSATGADATTGVWSTCGRALHLAATNGRTDVCRYLVQDLGIPVDALSDERETPLLLAATFGHTATAAWLLERGASPRAQDDDGETPLHWAAYNGDRDLAMLLLCKGADVGAANPRGTALHVAAMRGCPEVIRVLLRHGADPNKFASRVFTPLVSSLLGRSVECMKLLIEAGANVHAGGFSGATPLLLACSRRGTIRFVKCLLKARADPNIPDELGRLPIEIAAVQGGKKLVQLLFPVTRCPPDMFGWSVAGIMSYVNSAAYKERVRKGSCKRKAELKLEGNKAYEIKDYDTAILMYNMALKFDDPNDIDASIYANKSLCWLRLGVGDEALLDAQACTRLWPDWGKGYYRQGEAFRFLQDYASAYAAFVKASELDPQNPKVANALRDLVERAKGTSVSRCLGEGVQGRSQVLSGPCDQTVQGQGSFVQAVGRGQPLSSSQ >SECCE3Rv1G0156340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:71930776:71939037:-1 gene:SECCE3Rv1G0156340 transcript:SECCE3Rv1G0156340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIRVTMEVGADGVALITICNPPVNALHPIIIQGLKEKYAEALGRDDVKAIVLTGAAGKFCGGFDINVFSKVHETGDVSHLPDMSFELVSDMMEDGKKPSVAAIQGLALGGGLELTMGCHARIATPEAQLGLPELTLGVIPGSGGTQRLPRLVGLPKAIEMMLQSKFITAKEGKERGFIDALCSPDELIKLSRFWALEIANYRKPWIRSLGRTDRLGSLSEARAVLSMARQQANKVAANMPQHQACLDAVEEGVLYGGHAGVVKEGKVFKELVVSTTSRALVHVFFAQRSTIKVPGVTDIQLKPRNIRKVAVIGGGLMGSGIATALLVGNISVVLKEVNSQFLQRGQKTIAGNLEGLVKRGSLTKDKMSKAISLLKGALDYSDFKDVDMVIEAVIEKVPLKQSIFADIEKICPPHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGAQLLVSLGIDLFRIDRIISNFGMPMGPFQLQDLAGYGVALAVKDIYAAAFGTRNFNSVLVDLMAETGRQGKSNGKGYYLYEKGAKPKPDPNVQPVIDEYRRQAKTMPGGKPVTLSDQDVLEMVFFPVVNEACRVMNENVVIRAADLDIASVLGMGFPKYRGGLIFWADTVGASYIHSKLSKWAEMYGDFFKPSSYLEERAKSGRPLAAPRTAQQASTRSRM >SECCE5Rv1G0318690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:365849270:365884822:-1 gene:SECCE5Rv1G0318690 transcript:SECCE5Rv1G0318690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) UniProtKB/Swiss-Prot;Acc:Q9SCV3] MAASPPPSRFGPPFLLLLLVVGYFTASASAAGELSQVVGRGADGPYFEPFNVSYDHRAVRIGGQRRMLVSAGVHYPRATPEMWPSIIAKCKEGGADVIETYVFWNGHEPAKGQYYFEDRFDLVRFVKLVAAEGLFFFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPYKAEMQTFVTKIVDMMKAEKLYSWQGGPIILQQIENEYGNIQSKYGQAGKRYMQWAAQMALGLDTGIPWVMCRQTDAPEQILDTCNAFYCDGFQPNSYNKPKIWTEDWDGWYADWGGPLPHRPAEDSAFAVARFYQRGGSLQNYYMYFGGTNFARTAGGPLQITSYDYDAPVNEYGFLRQPKWGHLKDLHTAIKLCEPALIAVDGSPQYVKLGSMQEAHVYSNGKVQTNRSMTGNGLICSAFLANIDEHKYASVWIFGKSYNLPPWSVSVLPDCENVAFNTARVGAQTSVFTFESGSPSHASRHKPSLLLPGVDGSYFSSTWWTSKEIIGTWGGESFATQGILEHLNVTKDTSDYLWYTTSVNISDEDVGFWSSKGVLPALKIDQIRDVARVFVNGELAGSQVGHWVSLKQPVQFVQGLNKLTLLSEIVGLQNYGAFLEKDGAGFRGQVKLTGLPNGDIDLTHSVWTYQVGLKGEFSMIYAPEKQTCAEWSGLQTDDILSPFTWYKTMFDAPKGTDPVAIYLGSMGKGQAWVNGNLIGRYWSLVAPESGCPSSCNYPGAYSESKCQSNCGMPTQSWYHIPREWLQESDNLLVLFEETGGDPSKISLEVHYTKTICSRISESYYPPLSAWSRLTSGRVLVDTIAPELRLRCDDGHLITKITFASYGTPSGGCQNFSEGKCHASSTLALVSEACVGNSECAISVSNDVFGDPCRGVLKDLAVEAECSPSSATKEPRDEM >SECCE4Rv1G0296850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904922587:904925288:1 gene:SECCE4Rv1G0296850 transcript:SECCE4Rv1G0296850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGKGLRMGMDDITVFEAKVANGHGEQTLSRDNYRLGCRFDFYRMLSFYFTTVGVYFSSMATVLTIYVFLYGRLYLVMCGLEKSILLDPRIQDNINPLENEMAAQAIFQLGLMLVLPMLMETFLELGFCTALRELFTFQLSLASMFFTFQLGTKTHYYTRTILHGGTKCRLKCRCDFMYHAKFVDNYRMYSRSHFIKGLELLILLVVYLALGISYHLSIMHVFVRVSIWFLVTSWLFVPFIFNPVCFEWKRMVDDWTDWWLWIGNQRGIGMSADQSWEAWWIGEQEHLRKTCVPALLLEIIIALRFLMYQYAIVYHLNIVRRSKSILLVYTLSWLVVFTVLVVLKMVSIGRKKFGIVVFLCFACVMSSISVVFKLRFSDVLAGILGSVPTGWFILLIGQACSPFFKKTMVWDSIMELGRVYDSFIGLIIFLPIGFLSLFPRVSEIHTRFLFNKTWSRGIQISMILAGQKDILEFD >SECCE1Rv1G0029670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:417189515:417191185:-1 gene:SECCE1Rv1G0029670 transcript:SECCE1Rv1G0029670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNAQRQVERTGRGGTPRDQYLQDLVTQFQDSTDEEYKERIVANLSNFAYDPYNYAFMRQLNILELFLDCITEPNERLVEFGVGGICNSCVDPANASVITQCGGIPLVIQCLSSPVKNTVNYALGALYYLCNPSTKNEILKPDVLRIITDYSAAGAVNSSFSNLANAFLDKHVNS >SECCE1Rv1G0033910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:473518887:473522882:-1 gene:SECCE1Rv1G0033910 transcript:SECCE1Rv1G0033910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVEAMEIDGQQRQEVAAAVPDGFNADYLRIYYGKLFPYGDFFKWLAYGNDAKHPGCDQSYIGRRELSFTLENDIYLRFQSFDSAAELETSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIDISDYDDVRYCCSGADTCVDCWPLMTIVIKILDTSLRGDFGFNHILWVYSGRRGVHCWVCDSRARKLSNEQRSAIADYFRVYKGGENSLKKVSLTGPVLHPFLARSYTDVLKCFFEDKLLHSQQLFASEERCQKILELIPDENVASELHDKWQGNRRSSISKEDVNAARWEQLKTTLQSGKHKTQGLRRCVEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNNCEDFDPTAVPTLSQLLGELNAAGMQIDSENDWERTSLEKHIRFFRTSFLQPMLKACKEELETAYSAKLQQSKNTLSW >SECCE4Rv1G0291560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876882867:876884590:-1 gene:SECCE4Rv1G0291560 transcript:SECCE4Rv1G0291560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGGVRHWHADVNGVSLHVAEQGPAAGPPVILLHGFPELWLSWRHQMAALAARGFRALAPDLRGFGDSGAPADPAAYTILHVAGDIVALLDHLRLPKVVVVGHDLGAQAAWHLSLFRPDRVRAIVALGVPYFPRSPRPVMEMFAARGDGFYITQYQEPGRAERAFDRYDAAKVLKKFYSIKLDDLTAPPGVEFIDFLEASSSPLPWMTEEELGQYAEKFQKSGFTGPLNYYRMMDTNWRLTAPWHGAKIMVPAKFIGGEKDTGVRSFGIKHYIESGAFKFSVPDLEVAIIEGHHYLQQEQAERVNSQILSFLDKLFCEQMPK >SECCE4Rv1G0229180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:143936301:143939105:-1 gene:SECCE4Rv1G0229180 transcript:SECCE4Rv1G0229180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCAVQQALAPEAASVVRQAVTLARRRGHAQVTPLHVATAMLLPPAPVGLLRAACLRSHSHPLQCKALELCFNVALNRLPTSGPAAMFHGGAHMQHHHHHRGASDAPALSNALVAAFKRAQAHQRRGGAGDGVQQTAAPVLAAKVELEQLIVSILDDPSVSRVMREAGFSSSQVKDNVEKAVSSASPSLERAANMTTTSSTSIKESRGKSAGGDDSMRVLECMASGAKRCLVVVGEGAEAAVKAVMDKVSKSELHHRHHERLKSVQFVPLSVTSFRHATREEVEAKTGELRALVCEARAAGKGVALVVEDLAYAADAWHKRRGEHVHGGHSQYYCPVEHAVMEVSGLVSGDSGSGGGRFWLLGFASRTVFMKCRVGQPSLEAVWGIHPLVVPDGGSLALSLSCTIEAQASQQAGRSITGWPLVNGAATTGESELTWCARTPSPEPNIPSWLRRYHDPYHTTPTSSGTSLQQLQDLWNPMRNWSATHHHTSELTLSFSSPTSPAASSLSGYNNNPMTMSSSKPCHPEPRRPWPPSNQGHDGPATRAEAIHDLPRWHNTETAFPESITIQSNSPNGHGGGTADPERRPPKFTELTAENLKIMCCTLEDCVPWHIKDMAAGVASAVLQRRSGMARQRDTPTPSSTTWLLFKGSDRDGKKSMALEIAKLVFGSYNDFITISSTGCTPVHSSSSSGELSGKRQRSPDYEHGCAQRFYEAIRENPRRVLMIDDVEQMNLESEIGIKRAIASGRVSGCNGVEISLEDAIVVLSCEAFDSRSRVSSPRVVKQKVISDEEDSGGVEKGVMKPPCFSLDLNECASGDDEGHQEEEGLDSDVEICDVVDGVFFFRLPGDLSH >SECCE3Rv1G0164220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:159402015:159403879:1 gene:SECCE3Rv1G0164220 transcript:SECCE3Rv1G0164220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase PINOID 2 [Source:Projected from Arabidopsis thaliana (AT2G26700) UniProtKB/Swiss-Prot;Acc:Q64FQ2] MAAVRDESDYDSSRPSSLTALGSRRSWISDIGSSVSGGAETPCRHSKPHKANHAEWEAIARVRAAAAGGSVGLDHFRLVRRLGSGDLGNVYLCELREPPHRPSSSGRLYYAMKVVDKDALAFRRKLRRAEVEREILRALDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFSVASARFYAAETVLALEYLHMMGVVYRDLKPENVLVRADGHIMLSDFDLSLKCDDVVPKLLRQPRGDGAGANPSSTHGHSSSCVPPIQPVLSCLFNSVTRKRLLPMPGAAAVDADADEHSEPEQTSDPAEVVVEPVAARSRSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMVYGQTPFKGATNEETLANIVSRRPVAFPRVPASASGGEREELLRAQDLMARLLAKCPGKRLGSCTGSGEVKRHAFFRGVNWALVRSVRPPEVPVPVARSKVKVMSKKERQEPYKHQHEDHFDYF >SECCE6Rv1G0412230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613452488:613454781:1 gene:SECCE6Rv1G0412230 transcript:SECCE6Rv1G0412230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAALALAASLLLAAASICAEAVWLDMPQTGTKCVSEEIQANVVVLADYALMYESHPSSHPTLAVKVTSPYGYTLHESGNATVGQFAFTTSEAGNFLACFWIDSAEKGSGVSVNLDWKTGIATKDWDAIAKKEKIEGVELELRKLEVAVESIHQNMVFLKAREAEMREVSEKTNGRVAWFSIMSLGVCVVVSVLQLWHLQGYFRKKKLI >SECCE7Rv1G0513050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:818086117:818086797:1 gene:SECCE7Rv1G0513050 transcript:SECCE7Rv1G0513050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNVRQGLPQLAKIAALLLLFLLVPFVPPSLRAPYLYLLFNALVVGLGVQAGIISVSSRSNLADHPPAAAAAVVTPNHDHHPVASQPPTMAAPLPGRLREVSLLADRGAVNNVVAVAKKLKEKIKKVPSRASIFFLGSLDPYDAGEIVDAVSTLQDDQAEEGRRRWKLDASSGDLMSKQELYAKADAFIGNFYKQLKMQREESWNKLQDLCGYHHHHNYKAKAF >SECCE4Rv1G0272540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:769468073:769469650:1 gene:SECCE4Rv1G0272540 transcript:SECCE4Rv1G0272540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLPQQWQLVLLVGILLPIISYLLVKKRSTEEGRLKLPPGPKRVPVLGNLHQVGPLPHRSLRDLARQHGPIMLLRLGTATTVVVSSAAAARDVMRAHDANCCSRPASPGPSRLSDGRKSVSFSPYGAYWRNMRGLFAAELLGVRGVGAAWAARRDVYGAKAFAGKYEWFQQVLQEVVDMSASFSAEDFFPNTAGRLLNWLVGIIARRERIFRDLNGFFEAVLEQHLDPARPKLESGGGDLVDALVRICEEHGFTRDHVKAVVLDAFVGGVDTSSVTILWAMSELIRKPRVLKKVQEEIRAVVAGNGKSDQRVQPDDLPKLTYLKMVIKETLRLHPPLTLLLPRETLRPVEIGGYDVPAGTRVLVNAWAIGREPASWGQDAEEFQPERFEDGGRHDKVDFRGAHLELMPFGAGRRICPGLAMGVANVEFTLANMLYGFEWELPEGAVAEKLSMEEVGRLTIHRKTPLVLVPTPYIPPESWLGGRTTA >SECCE5Rv1G0347340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666488521:666492033:1 gene:SECCE5Rv1G0347340 transcript:SECCE5Rv1G0347340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSALPRFTKIAGLVLLIILPLSASEDRLVPGKPLSPGATIVSDGGAFALGFFSLSNSSTPTRMYLGIWYNDIPELTVVWVANRENPTSNTTTPMLSLTNSSNLVLTDGDSGGHVVWTTTNMGTAMGLSPHVAVLLNTGNLVIRLLNGTTLWQSFEHHTDTFLPGMKLRFKYMKNGTSDRLVSWKGPSDPSPGRFSYGGDTSTFPQIFLWDGDRPVSRSAPWTGYLVKSELRYQQANGTADVIIYLAVVDGDDEIYTTYSLSDGAPHTRYVLTYFGEFQIQSWSSNTSAWVVRGKWLSLKCNHYGYCGMYGYCDETSVPVPTCKCLHGFEPANPVEWAGGKFSAGCQRKEPLRGCNDGFLGLPGMKSPDKYSLVGGGRSTFEECATECNRNCSCVAYSHANLSSSGSKRNMMRCLVWSGELVDTGKIGEELGSDTLYLRLAGLNATSGKRRKSNAVKIMLPVLGSSVIVLICISLAWFKFKVHPEHNHEFPFVTFEEIAVATHNFSETCVIGQGGFGKVFKGLLGGQEVAVKRLSRDSKQGTKEFKNEVILIAKLQHRNLVQLLGCCAEGDEKLLIYEYLPNKSLDATLFDDTRKTLLNWETRFSIIKGVARGLLYLHQDSRLTIIHRDLKVGNVLLDAEMKPKIADFGMARIFGDNQQDANTQRVSWNMWKEGETEKLPDSSIMDTCSSDEVLLCIHVALLCVQDNPDDRPLMSSVVFVLENGSTTLPAPDRPAYFVRRSVEAVQIGDDIQTSVNSVTLTEMQGR >SECCE1Rv1G0058500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:700978645:700978911:1 gene:SECCE1Rv1G0058500 transcript:SECCE1Rv1G0058500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSLSRSTSPSSDSEWSKKENKMFEDALAYYGEGAPNLWEKVASAMGGTKSAKEVRRHFQILIDDVNNIEYGRIPFPKYKTQGFWT >SECCE6Rv1G0403640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:508393079:508395038:-1 gene:SECCE6Rv1G0403640 transcript:SECCE6Rv1G0403640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTVDPKGISPITEDGSMDRRGNPAVKANTGKWKSSILLLVNYALVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGDVETQCDPPSTAGVALFYLSTYMIAFGNGGYQPSIATLGSDQFDETDPDEARSKVAFFSYFYLALNVGSIFSNTVLVYYEDAGQWVMGFWVSAGAAALALVLFLLGTPNYRYFKPTGNPLTRIAQVLVAACRKWRDHAPTRGELLHELDGDESYKESGIRKIMHSEQLRYLDKAATVTEEDYCEPERMKDPWRLCTVTQVEEVKCILKMLPIWMCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMARLSGNPQGLTELQRMGVGLVIGMGAMVVAGVVEVERLKRVAAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTTLTAGDKRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAMWYKGIKLDSNEVQEKGKVPVHV >SECCE3Rv1G0201370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:863352291:863354654:-1 gene:SECCE3Rv1G0201370 transcript:SECCE3Rv1G0201370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQKPASVVLNDAAVIDAKPLRTLAPMFPAPPGMHTFTIKGSPDVVCVTPFGPYAGGTELGMPGGVLPTSAPLSTPSDPNPVQPYMVHMNGAANANGTSNNTMVSPVLQTPPAVSTQESGKKKRGRSRRVQDTTVPTAPPVHLVPSVPSAPPEGNNILLQTPPSAVTQESIVQTPPASSVHESVTKKRGRPKLVQDSSDTLTPPVHSKESEPLMQTPSAVTLLEDGKRKRGRPKRVPDSSVTPCHSEDADSGDTSKRGRPRKIDTSLMHLPSLSSDDPRESANNVLVMFDALRRRLIQLDEAKQVAKQQHHLKAGSIMMNAELRVNKSKKIGEVPGVEVGDIFYFRIEMCLVGLNSQSMAGIDYISAKFGNEEDPVAISVVSAGVYDNTEDDPDVLVYSGHGMSGKDDQKLERGNLALERSLYRGNPIRVVRAVRDLTCSTGKIYIYDGLYKIREAWVEKGKSGFKVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDRVILHDISYGVENKPVCLVNEVDDEKGPSHFIYTTKLNYMNSPCSMTKMQGCKCTSVCLPGDNDCSCTHQNAGDLPYCVSGTLVSRMPMLYECNDSCTCVHDCRNRVVQTGIQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEIIDKNVVDAEDDYIFETLPSEQNLRWNYAPELLGEPSPSDLKEPSKQLPIVISAKRTGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFALKHIPPMTELTYDYGQSHGNVQLGSNSGCRRSKNCLCRSRKCRASFG >SECCE4Rv1G0242920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:491775451:491777525:1 gene:SECCE4Rv1G0242920 transcript:SECCE4Rv1G0242920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 2 [Source:Projected from Arabidopsis thaliana (AT5G14180) UniProtKB/Swiss-Prot;Acc:Q67ZU1] MGPAAVAVLIILCAAGAEARVPAGHLATRRRDSVPAGVGACALAVAPFGYPCEEHTVTTVDGYILSLQRIPRSRRASGGGAGQPVLLQHGVLTDGMTWLLSSPEESLAYILADSGFDVWVANNRGTRWSSRHVSLDSSSRRYWDWSWDDLVVNDMPSMVDYVCSHTGQKPHFLGHSMGTLVALAAFSEGRTVDKLKSAALLTPVAHLSHMTTPLGILLAKAFVGELITILGVAEFNPVTPAVASLFKELCRHPGTNCYDLLRDFTGKNYCLNSSAVDVFLQYEPQPTSTKTMVHLAQTFRDGVLSKYDYVWPGVNVEKYGQPDPPAYNMSNIPADFPLFLSYGGRDELADPGDVGRLLGDLRGHDRGKLTVQYLEQFAHADFVIGTCAKDYVYKDVVSFFNRFN >SECCE6Rv1G0431280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746092972:746093502:-1 gene:SECCE6Rv1G0431280 transcript:SECCE6Rv1G0431280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRLHLTETEIKEEMSSLEASISSSMTIGVICDDLRRLGDIYNGVEEIICLPSSQLCSYQQRTVFDGEMKGSLELLDLCGVMQDIFAEMEAIIQELQVDLTKGDDAAAQARLQAYTCLSKKAKNHFKKTTKKISADCRMVRLLAKAREISSLLESTLSLVEANRNA >SECCE1Rv1G0004550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:19584841:19585305:1 gene:SECCE1Rv1G0004550 transcript:SECCE1Rv1G0004550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEQNLGRVKDKQAHEKEVGKLKKEIDFLSNNYSQLVEDVSKLFDYQDGKMSHDMDYTSQAINDLNEKKKQLEDQAKIELSMEKLKLAKEQRCILQSQADIIQNMRKAMKEVEGGRDLLKQQKKKLEYLIADLLNVGQASKDKLERIKAIMNE >SECCEUnv1G0528380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3866696:3870412:1 gene:SECCEUnv1G0528380 transcript:SECCEUnv1G0528380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWIFSRKGASGFSWASTADQVTAGVSAAGLTAIVTGASSGIGAETARVLAARGAHVVMAVRNLAAGDTVRQAVLAETPAASVDLMALDLSSLASVRKFVADFAATGLPLNILINNAGVMATPFTLSKDGIEMQFATNHVGHFLLTHLLLETMKKTSHESNVEGRIVNVSSEGHRFAYKEGIRFAKLNDEEEYSTIAAYGQSKLANILHANELARRFKEEGVNITANSLHPGSIITNLLRHHSIIDVMSRTLGRLVLKNVQQGAATQCYVALHPDAKGVSGRYWSDSNLYEPSGKAKDAELGRKLWDYTLDLVAA >SECCE5Rv1G0331150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:536313705:536314478:-1 gene:SECCE5Rv1G0331150 transcript:SECCE5Rv1G0331150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLTHDHHRSPRPPATNHCKSLSCLIRETYAHCHVPCIRIAGSGWSSGDDSDDDDDAAFDTKQVTVILNEIRNRQLRKESRCSVDSPALSSAFIWFFTPLDPRSVLEKVSSPEKHVVVGEEKEETDAGSDAGDVESEAFFSVKSFFTRSTSRAATVASLTDMDPPATWDGFRNCEGWPFGLCRRPAVPPLPSTPADSWKWRKQSSGRNLAASPRPSPRSDTITAS >SECCE3Rv1G0213360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:960664708:960669327:-1 gene:SECCE3Rv1G0213360 transcript:SECCE3Rv1G0213360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAFDPPASVPATQDAAASIFTRETIWSDAAATAEGDDDSSSPCPWDSVKGEEDADFLSHANRALSFLDYEAEAESSECKYATDIGSHVHPKEAMSPRSEYDDRHQPYLPLSSSSWDSSSSVEGRPGNPDASGHVMAKKSGTKSDDIFAAPRHDYLTSCPATGSRMRRSYPEAAKVDCSFDCHSEHHYKGLDRFTAFANCNGQSIECDSEVVDMPRGGRCVDEATSFSSRWCLENGGRGSSLPRGLTYGDEIPSLSSRRCNGNGALSRSSQWHYGAEISSLSSRQGYGDEIPSLSNRKFNGISRSNQWSYSAEIPSLSGRRSYRDEIPSLSCQKSNGISYPRQWQQQYSANIPSFSSRQGYGEQVPTMSHHWCCRDKIPLYSGQRCHDAEARRLSSYQQGPFHGNRQPRDNFAHGIVSNQQVKMTATRHTGTRPRVSNRVVNGTTNYRNSRRDNPARNSEEIRDQVCGPRASKVNNTSTPTAKKDILSPLVRRDQFNKSDFSIQYEHAKFFMIKSYSEDDIHKGIKYNVWASTPNGNSKLDTAFHDAQILMKDKGTKCPVFLLFSVNTSGQFVGLAEMLGPVDFKKTMDFWQQNKWNGFFPVVWHIVKDIPNRLFKHITLENNDNRPVTFSRDTQEIGLPQGLEVLKIFKAYRHGTSILDDFDFYEEKENTRCARKGINADSLHEARLSYFGTDDLKSMGDIEASMESLNLHEPWD >SECCE7Rv1G0461380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:37440116:37440745:-1 gene:SECCE7Rv1G0461380 transcript:SECCE7Rv1G0461380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSASRRSWPRYGTVPMTRCPACPRTAPLKRLVTTTDKNGNLGREFVKCESKPEQGKKLKQCTHFEWLDEYIERIQLEGASGELDLPLEAEKFGSGPYGSGAPGSGNSIGGAHSIGATVGDAGVTAELKKLNKQMKKLIELQKQGNLMGLMAELFYVCVIALAFVYVMIINRK >SECCE6Rv1G0386800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:101881019:101884345:1 gene:SECCE6Rv1G0386800 transcript:SECCE6Rv1G0386800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRKAYGALKDSTRVGLAKVHSDFKDLDIAIVKATNHVECPPKERHVRKIFLATSVTRPRADVAYCIYALSRRLSKTKNWTVALKALIVIHRLLREGDPTFKEEFLAHSHKRNLLHMANFRDDSSPLAWDCSAWVRTYALFLEERLECFRNLKYDIETERLMRSPQHSAKAHSRTRTLPCHDLMEHLPSLQQLLFRLMACQPEGLACSNYLIQYALALALKESFKIYCAINDGIINLVDMFFEMPRYDALKALAIYKRASMQAENLADFYEFCKDLELARTFQFPTLKQPPPSFLAAMEEYIREAPHPTIRRVDSEERKLLTYSQEAPTEPKKPAEEEKEEPKELEQEPVPDPTPEPEQQLLAIETSGDLLNLDEEVNPFVMDLDEHNALALAIVAPGDGSKASTSQDLFSCSTSGWELALVTAPSRYTSQPIETKLAGGFDIMLLDSLYEDGARRQQIANVTYGGSLGQANNPFETNDPFAMSNSFLPPSNVQLAMVTQQQQYLQAQQHQQQYYYQPQLQYYQPQLQQQQQHFQAQQHQHQYFQQQPQYCVHPAGTYNPFGDPFTDLVTLAAPPKQGNLSLL >SECCE4Rv1G0254700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:641000771:641003428:-1 gene:SECCE4Rv1G0254700 transcript:SECCE4Rv1G0254700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIALESGAHFGRGVPAACAGSSSAGRGSSSQAGAVHLEESEESEGEVQSSLRGPFDTMDALQEALPRRREASRIYNAKSSLAIAGDVVLSPQSSKGLANPENPSPKKRKGPLPFSIDQNDSQSKELSPVAVGDINNSPTKCRTPSSPAATSSSPSKSMKEDEHECCTDMPSHSLQNSDMIVFSSPPVGLQTQLILVSTVGQQDVGVSTDVVSPREKRRKN >SECCE4Rv1G0242170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:470990704:470994210:1 gene:SECCE4Rv1G0242170 transcript:SECCE4Rv1G0242170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKKRNRKKKGNQGKNTGDAMTNADETVPQSHNDDLAPKENYSGADADDAVSSVGEGTQYQNHEQIPHAKQNVANADETISSSVGEVIPCDENHELTMTQENHKVSNTVYADQRSIGMSDSTVELDKDQLYEAKLDKLQDTIKQLEDEKGLWLQKVNKMESELEKLHNKVDYHAQNEVILEEKLNTLQNGYDMLVKKEEVLDNKVKCVEDVNGVLTHQETSLKERLSGLEETNKALQEQVKVLDEASKSTVEENQRLVVSVDELESRLQTLEAKIALTEASITKEVPENEVMNQTDLAGSFLHKQTTGFTTAISKGNEFTADRGLNSLLAVTSDNIYSHVSNIPVGAYASDDADETLVYFPEATSSNGAGQSLMNANARQGFDEPRTSGEIVPVPLDDILIHEDDPQPAGSDVETSEEVPFTDAPIVGAPFRLISFVARYVSGADLVNQK >SECCE4Rv1G0261290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700950821:700959307:-1 gene:SECCE4Rv1G0261290 transcript:SECCE4Rv1G0261290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDLRPEYLQVLLSRRREPQVPLSVEQGTPVTKPLFQGDWPLGPTEAMESCPRKEVEDFYEKLVEENFFLITESGEQGRVPVLLLKLNDSTAPERKPVVVILHSSYKCKEWLRPLLEAYASRGYIAVSIDSRYHGERASHKNTYTDALKAAWRNGDTMPFVFDTAWDLIKLADHLTARKDVDPSRIGITGESLGGMHSWFGAFVDTRYSVVVPIVGFQGFRWAIDNNMWEARVNSIRPIFEEAATDLGKSEIDSEVVKKVWDRIAPGLASQFDASFSVPLIAPRPLLLLNGAEDPCCPIAGLQEPASRVAEAYEKAGSAEKFKFIAEPGVEHLLTANMVKEASDWFDRFLQ >SECCE4Rv1G0234570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:291429252:291437942:1 gene:SECCE4Rv1G0234570 transcript:SECCE4Rv1G0234570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKNGCGAADKSSHENGDDLVSPTSVFEGCTTSSGVDAAAMTDESSCTMGSPSTKTGVVAAYTEPSMPAPPRNEGPPGAWKGAMEAWRSRTKRHLSIGIPSTMSSKLRSLSVRGSSKWRWPSAGQVNVEQHDLCALRLSFRTFSLSELKKATHNFSKENVVGRGGHAKVYRGLLPDGQLVAVKKLSAPENDRMESFLSELGHAVNVRHPNVARLVGVGLEGGEHLVFPFSRLGCLSRRLHGHGGSGEEGTMPWQARYKVALGAASGLEYLHERCARRIVHRDVKPANILLKDDYEPQICDFGLAKWLPAKLTHYQVTTFEGTFGYVPPEYTTHGIFNEKTDVFAFGVVLLELLTGRRAIDGKNHSLIAWVRSFLSSKDEVLKMVDPALGGRYDVEQVRRVTHAAQLCIHTSPAKRPRMSQVGKILRGDQEQQQILGHERANTIELHGIDGYEVPTPRTYLHDLSRHKALVFDF >SECCE2Rv1G0116260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:762419056:762422571:1 gene:SECCE2Rv1G0116260 transcript:SECCE2Rv1G0116260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPRDKAARCQTSSPAASAMPLLFLLVMVAAAGAATPARPVPRTAEVQAEVDALLAFRAALRDPYAAMAGWDASAPTAPCSWRGVACAPGGTGRVVELQLPRLRLSGPVSPALASLRRLQKLSLRSNALSGAIPPALARLASLRAVFLQDNALSGPIPPSFLANLTALETFDVSANLLSGPVPAALPPGLKYLDLSSNAFSGTIPSGAGASASKLQHFNLSFNRLRGTVPASLGALQDLHYLWLDGNLLEGTIPSALANCSALLHLSLQGNALRGILPAAVAALPSLQILSVSRNLLSGAIPAAAFGGGRNSSLRILQLGDNQFSMVDVPGGLGKGLQVVDLGGNKLGGPFPTWLVEAQGLTVLNLSGNAFTGDVPAAVGQLTALQELRLGGNALTGTVPPEIGRCGALQVLALENNSFSGEVPAALGGLRRLREVYLGGNSFAGQIPPALGNLSWLETLSVPKNRLTGGLPDELFLLGNLTSLDLSDNKLAGEIPPAIGSLPALQSLNLSGNAFSGRIPSTIGNLLNLRVLDLSGQKNLSGSLPAELFGLPQLQHVSLADNSFSGEVPEGFSSLWSLRHLNISVNSFSGSIPATYGYMASLQALSASHNRISGEVPAELANCSNLTLLDLSDNHLTGPIPSDLSRLDELEELDLSHNQLSGKIPPEISNSSSLASLKLDDNHLVGEIPASLANLSKLQTLDLSSNNITGSIPGSLAQIPSLLSFNVSHNELTGEIPPVLGSRFGTPSVFASNRDLCGPPLDSECGEYLRRRKRQRVQRLALLIGAVVAASLLLLLLCCCCVFSLLRWRRRFVERRDGVKKRRRSPGRGSGSSGTSTESQTKLVMFNSRITYADTVEATRQFDEENVLSRGRHGLMFKACYSEGTVLAILRLPSTSADGAVVVEEGSFRKEAESLGRVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVIHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAAAAAAAASTSATTPVGSLGYVAPDAATAGQATREGDVYSFGIVLLELLTGRRPGMFAGEDEDIVKWVKRQLQCGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTASDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTTQPSPA >SECCE3Rv1G0156290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:71274636:71280477:-1 gene:SECCE3Rv1G0156290 transcript:SECCE3Rv1G0156290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPLLAPALGSRASLYVGDLDDGVAEGQLYAIFSQVAPVASLRICRDVTGRSLGYGYVNFNSQEDAKHALDSLNFTPANGKHIRIMFSNKDPMMRMSGKANLFVKNLEPTIDGKRLSDIFSHYGSILSCKVATHFNGQSKGYGFVQFADETSANNAIDGLNGKLVNGKQIFVGLFIRRHERQQISSSNYTNVYVKNLPQEFTDHDLLQEFAPFGRITSAVIMRDQISRCFGFVNYAESECAVEAVKSLNGKMINDTILYVGRAQKKSERQAQLKANFERARNEKFKKFEGLNLYVKNLDDNINDLNLRGLFEIFGEIGSCKVMVDSQGRSKGYGFVSFQTIEAVRKAIDGMNRKIVGKKPLYVGVAQRKEERRAMLMAHFARIQNAGVLAPAVPQIFAPHQFYFGPGVPGMFPPQVPGLGYQQFPLQHFTPWVPSGMTPYTYNMPRPLHHAWHGVHPEINLNQQMVYPNANQAFTYLPNGMNVNTNSVMVPPGFAQTDSTVCAPSIPSKNTTTTDVDSSDPEKQHLIQGEKLYPLAEQLEPLSGGKVTGMLGEAMEALKRSKVEEASDTVDFASEPSSLTLSALSDATHPTSAPSSSSASGNPANHASASSSSSA >SECCE7Rv1G0501030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:678086917:678087666:-1 gene:SECCE7Rv1G0501030 transcript:SECCE7Rv1G0501030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSVLNSPASSSPSPPPSPTTARSGVLEKRGRDAGGNGAGGRHPAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPAAVLNFPELASSLPRPASAAPHDVQAAAARAAAMDPGPVGAGANAEPMPTAATSPLRRRGQMSSQVDDELEEIIELPSIDEDIAAAVEVAFGSTFCEDPVSEPWYEPAWLGEHAGIGSHDELAVPVLGLEPSQFWGQPDGIAASGFGSLLWNL >SECCE6Rv1G0392680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:267430796:267439588:-1 gene:SECCE6Rv1G0392680 transcript:SECCE6Rv1G0392680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAAATTDAPHAHPHAHGPQVPHGHEHPHPHHHMPQPRWVVLPYPPPPPMVVAPPPPTPQYVKHFAPQASVTPPPPSSGSGGNGGDGNRTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRHSGVSEGYGFVEFCSHASAEKALQNFAGHVMPNTERAFKLNWASYSVGEKRSELASDHSIFVGDLAVDVTDDMLMELFANKYRSVKGAKVIIDANTGRSRGYGFVRFGDDIDRTHAMTEMNGVYCSTRPIRIGPATPRRTSGDSGTSPPTQSDGDSTNRTVYVGGLDPNVSEDELRKAFAKYGDVASVKIPVGKQCGFVQFVNRADAEEALQGLSGSTIGKQAVRLSWGRSPASKQFRGDSGHRRNGMYYGTPFYGGYGYASPVPHPNVYAAAYGAYPFYGNQQLVS >SECCE1Rv1G0025910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:362054297:362055349:1 gene:SECCE1Rv1G0025910 transcript:SECCE1Rv1G0025910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQVRMDEVLSGVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFVDIVETVYRGARKGRGLVIAPKDYSTKYRY >SECCE3Rv1G0185300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:673397197:673398343:-1 gene:SECCE3Rv1G0185300 transcript:SECCE3Rv1G0185300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGAKKRAKLKKKQQGGQPAGSNGGATTTTHNDNGSNNSSHGDAASDGNHLPIKLNIPPVDASEDSMESSEEMVTPRAEAAEEEEKKGATSEVPVERAVEVADEGATAEAGEEVMVDALPPEAADQEPEGKVDVRAEAHAVVQEPEVQDIVVSEAPEVLEPEAKSEEAVIRDTAKVHPAHQPETKAEEVVVRDADTAAVVQEPEAKGEVSRSREPAAVQTTEVVRGPAVAVAASGHRAKWWNCCGILDVFAGSER >SECCE5Rv1G0371150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845699927:845704522:-1 gene:SECCE5Rv1G0371150 transcript:SECCE5Rv1G0371150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKVEKIGEGTYGVVYKARDRATNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVKLHDVVHSEKRIWLVFEYLDLDLKKFMDSCPEFAKSPALIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQTWPGVSSLPDYKSAFPRWQAEDLATVVPNLEPVGLDLLSKMLRFEPNKRITARQALEHEYFKDMEMVQ >SECCE4Rv1G0276170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797158577:797159610:-1 gene:SECCE4Rv1G0276170 transcript:SECCE4Rv1G0276170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLIDLLKEHDVPGFRTQNAWSKEAWTNIVNRLNQAFYVSFSVTQVKQKEQDLKKEYRSVKELLAESGFGWDKDRMMVEAPASVWASFVASKKSKEYLQWRDKSFPYFNDLASLYDGRYAEGRTRHGMDYYADKAKHASIPSPHQAHVTDTYESSSAPTIALDEPGLHFPLEEEVEGANLDSAQHTSTPKENMHTQSVPQKAPTEKPENRRRKKQKHNPTDSTDGFHERYLRLKMEEINRFAAIEEKKLEDPFSIHRCITVIEGLDGLQLGDVLLASDIFKTRENREIFLSFSSDERRLAWIKREIARASEN >SECCE3Rv1G0188590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:715950419:715950709:1 gene:SECCE3Rv1G0188590 transcript:SECCE3Rv1G0188590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTQSAALSAKCAAWTQERRPFTHPIEIPAASGAPDERRGRGEEEDGGEVEPPHVLMARRRAASSVCSGQGRTLKGRDLTRTRDSVLRMTGFIES >SECCE1Rv1G0018090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:176224281:176227624:-1 gene:SECCE1Rv1G0018090 transcript:SECCE1Rv1G0018090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPAPAPEPMLLDEQPPTAVACEKKQQDGEAPYAEGNDAMTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRSMIHSNVVSLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNAKQGMPLIYVKLYTYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKICDFGSAKVLVAGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKVFHKKMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREPNARLPNGRPFPPLFNFKHELANASQDLINRLVPEHVRRQAGLAFMHAGS >SECCE2Rv1G0103580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:591916147:591924324:1 gene:SECCE2Rv1G0103580 transcript:SECCE2Rv1G0103580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASQAKPAAVLWLAGFLQAARLHRVLSFCVSSRALSIRIAQCFLLNGFIFLGSLLTLKSVVIPTLLWILPEHCDQSWGHHLCDHTAAVAMYSFLRSGLVEIFYVFWFYPLYIFSFIISTLWYGDIAKHALAVVKSKKLDASQASDSDPHSTSVSADRPEGFDGVAIGVGEQVYSILLLTIFFAEVTVIGYIPYLGKAMNFMLLSLMYAYYCFEYKWNFFAVSLNHRLDFFESNWAFFAGFGSPCVLPIFFFSPLTSYGVMAILYPLFVMTAAGTQEEQTIDELKPLHGGKLNRIPLFFVAKRLTTQALQLFPEAQKEQ >SECCE1Rv1G0051490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:654238106:654238516:1 gene:SECCE1Rv1G0051490 transcript:SECCE1Rv1G0051490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE7Rv1G0454380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2364639:2368865:-1 gene:SECCE7Rv1G0454380 transcript:SECCE7Rv1G0454380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRDKLLQTQGLLHNAQGQGSHNPGLQGLLEKLSRDADQAEDLLDELHYFQIHDKLHGTNYATTQEAGPDLKGVVRHQALHAASALCHTIGSLVQCFSCSPTPKTRSDDSAAVTGVPRRVTNTLGSLFQCCSCSRTSKSKRNGGDAADAAAAAAAAAAAGVGGDAAAAVAGVGGAAAVAGVTNSNSASADDGDTLHFNRVFMSREIKSVLQAIQSHCDSVSILLGSIPSSSTTVAVVLHRPQTASIIIQDTLYGRRDTFEETVNRITDTGATKTVSVLPIVGPGGIGKTTFTTHLYNDARTEEHFQVKVWVCVSTDFDVLKLTREILACIPATEGAGSSSVANEATNLDQLQKSIAHRLRSKRFLIVLDDIWKCDSEDQWKTMLAPFTKGETKGSMILVTTRFPKLAEMMQTVGFGSLKLAGLESNDFITFFEACIFGEDNKPEHYQDEFAGIARKIANKLKGSPLAAKTVGRLLHKDLSQEHWHGVLEKHQWLKQQKNDDIMPSLKVSYDCLPFDLKKCFSYCGLFPEDYRFTSSEINHFWVSIGIIDSNHQADRNYLEELVDSGFLMKEFVDCERDSYWYVMHDLMHELSRSVSAQECLNINGLDFKAYAISQSVRHLSINIEDRYDANLEEEMCRLSERIDIANLRTLMIFRVYEEERIVKILKDIFKEINSLRVLFIVVKSAQSFPYRFSKLIHLQYLKVSSSHIDREMSLPSTLSRCYHLKFLDLDCWYGSSGLPEDFSHLENLHDFHGRHALHSNIRNVGKMKHLQELKEFHVRKESMGFELTEVGALTELKGGLSICGLEHVATKEEATAAKLMLKRNLKELKLFWGRDGPTTDADILDALQPHSNLRELTIANHGGTVGPSWLCLDIWLTSLETLTLEGVSWSTLPPFGKLPNLKVLTLRKISGMHQFRLRCGGAPGKCFMRLKTVQLSKMPELAEWVVEPNCCSFPSNEEIECIDCPNLRVMPFSEVSCSNLRRLEVSGCPKMSLPSMPHTSTLTDLDVNTGDSEMLYYDGKKLVVTGYGGALAYHNLDKVEDMDIENVSHISLKGIEKFKSLTELTVGRCDGLFPEELDDSIVLRSVKSLKLNASHFTSKSSSSKVLNCFPALSVLEIVGDEDHEYEECVMQFPSSSSLQELTFLDCKRLVLVPVEENGGGIQEDNSLLQSLTISGCGQLFSRWPMRESETISPFPASLRKLDVYQEPSMKSMALLSNLTSLTTLSLDECSNLTVDGFNPLIAVNLIELQVRNCNTSRLAADMLSEVASQRAKLLLPAGYISRLEVLRVDHIRGLLVAPLCNLLAPALHTLVFMFDYERMESFTEEQEKALQFLTSLQKLDFFCCGGLQSLPQGLHHLSSLKELRVVQCAKIRSLPKEGLPVSLRKLDMNGRNSEIDGEIDLSTFFA >SECCE5Rv1G0363080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:790835869:790836921:1 gene:SECCE5Rv1G0363080 transcript:SECCE5Rv1G0363080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMISVAPPPALAFPRLKLTMPPPMIALPDRAHADAPRPPPPLVVRHAAAKHKAVVVMGATGTGKSRLAIDLALRFGGEVINSDKMQVYQGLDVATNKVSPSECAGVPHHLLGLVQDPDEDFSAADFRGEAGSVARAASARGYVPVVAGGSNSYVEELVEGDRRAFRERYDCCFLWVDVQLPVLRDFVARRVDDMCRRGLVDEVAAVFDPRRTDYSRGVWRSIGVPELDAYLRSTGAGEDERASMLAAAVDEIKANTSRLACRQRGKIQRLARMWRVRRVDATEVFLKSGAAADEAWQRLVAAPCIDAVRSFLLEDQECSMVAAAGKASVFASAAGNASVFAGRPATAVV >SECCE5Rv1G0343800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:641261857:641263788:-1 gene:SECCE5Rv1G0343800 transcript:SECCE5Rv1G0343800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATMVLVVHTLAAILTLWLISRSLWHLVWRPYAVAGWFERQGIRGPPYRFIIGSLWEMKQMLVAERAKAPLDIGSHDYTSRVNPFHKWAADYGKTFLYWLGPIPTIYSTDLELVKQVLEDRTDLFQKEYLNPSLERIFGKGLLTTNGDDWKRHRRVVYPIFYHENLKSVSAMTREGTQKMIAQWCNQIEKGDGHQAEIDMRCYAEELSLGVIERVIFGQNSKEAREVFAIGKEAQKLAVYALSDPRIPGFRYLPTRRNFRSWKLDKLATSKITRLIKERLASGVNGDDLIGLMLRACKSEEVESLSTDEMISECKTIFAAGQDTGATLLTWGMFLLSIYPEWQERLREEVMRECRGDDGDAPYIISIQVLGKLKLLNMFLLETLRLYSPVPFLMRKTASDTTLANIKLPKGTMITIPLMMLHRSKEIWGRDADEFNPMRFEKGNLGAAKNTYAMLAFSCGPRGCPGRNYAMIEVQTVMAMILRRFSFSMSSQYVHMPRNFLTLAPRYGLPLIVRNLLDGEKK >SECCE1Rv1G0016040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:134065273:134067702:1 gene:SECCE1Rv1G0016040 transcript:SECCE1Rv1G0016040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHSIQPSTAMPLVAILYVLLLSALHAPCSAARSTIFAGERLTGGDKLVSRNGKYVLGFFQPGSEYSSQQTPAHWYIGLWFGKIPKLTPVWVANRDRPIVNLEMSELTISDDGNLVILNKDTKSTIWSTHANITAKNTTVVLMDNGNLILGDASNSSNVIWQSFDYPTDGLLPGAKQGVDKVTGLNRHLVSKKSLIDPAPGRYCVELDTTGPGQFLYKLCNSSIVYYSSGEWNGHYFNSMPEMNGANLFTFKFVNNDKEEYFIKNVTDDKLISVSLLDITGQEKQLIWVESSQDWVTVFTQPKDQCDVYATCGPFTICRSNTGQVCDCLKGFSIRSPKDWKIEDRSGGCIRNVPLDCGARIQSRNATTDKFYPMTKVKLPAMPIVIEATGSIDHCAQACLDNCSCIAYSYSDRCSLWYDDLLNIKQGNIGTTRNEEVLYLRISAKDAESWRNTKRGKGVIWATTAASVVALVLLAVVMWFLLIWRRKRKHTTGMYNNAQGGNGIVAFRYIDLQHATKNFSEKLGGGSFGSVFKGLLTDSTAIAVKRLDGVRQGEKEFRAEVSSIGIIQHINLVKLIGFCCKGSTRLLVYEYMPNLSLDTHLFRSNTKVLSWIVRYQIALGIARGLAYLHDSCRDCIIHCDIKPQNILLDTSFVPKIADFGMAKFLERDFSRVLTTFRGTIGYLAPEWISGVAITTKVDVYSYGMMLLEIVSGRSNNTCKKNSSTGDHSAYFPVQVARKLLAGDIAGLLDDKLDGDVNLDEAERVCKVACWCIQDGESNRPTMGEVVQILEGLLELEVPPIPRLLQAIA >SECCE4Rv1G0291980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:880006364:880011808:1 gene:SECCE4Rv1G0291980 transcript:SECCE4Rv1G0291980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAVSVGKAALGGALGYATSKAAEEIALQLGVERDVNFIKDELQMMQSFLMTADKEQSQNKVLTTWVKQIGVLAYKVEDSLMDFGLHSEKKPFLGCIPRNLGDRRRIAKEVKELRAEVEDVSNRNLRYRLINESSSAKPTTAEKEASIATAAMLGLNEARLATLGHEKSSEVDLHQLITSKDVNLRVIAVWATGGNLGETFAIQEVYDDPMVLKSFGLCAWIRLTHPFNPQEFVKSLVSQFDENSHDEVGKLVQETGVGADIMSKMEKMDERDLVSMFKAQLCSNSYLVVINGLSTIEEWHCIKKYFPNNKQRSRIIISTQQVEIARLCTEKPYQVSEFKQLSCDQTIYLFHKKNPEEQVSIDDSVSGAKVGTNKASSVAVEEKKLKAEASYSADPISDSSIIISSTTKNTSMLTNETMEEDKEPNNAGDEEVQNSIVRKKIDPSRRPELADEVLYGRETEKSFVIKLVGPPENNQGCKVISVWGMGGLGKTTLVRSVYRSQQLGSWKHAWATALRPFNPEVLLRDLVLQLQEGPAGSTSTAVQTMNLKKLNEELARVLEQNNCLVVIDDLWSNSEWDSIKQCLHSAGRIIVTTREKTIARHCSIEKENMYCLEGLKDVAALDLFKKKPKTAIEWKKMCYGINTELEINPELRTMKSILMRSYDGLPYHLKSVFLYLSIFPEDYRIRWGRLVRRWIAEGYSRDMHGMTATELCRRYFDELLDRSMILPGEGTDQYSGEINSCQLHDMIREICISKAREENLVFTLEEGCCLSDTQGAIRHLVIGSNWKRDKRVLQSMLDLSHVRSLTVFGEWKSFFILDNMRFLRVLDLEDTLGLRDCHLDKIVQLRHLKYLSLRGCSTIFKLPNSLGNLWHLETLDIRGTCVYDLPTTITNLQKLQHLRIGKLRALLTLNELLFEGRNGDATMKEFRDLTQLRKLKVSGLDSEKSIEFWSAIAGHSQLRSLSVRGRESDGCLGKGLLPPSSLESLTMQFALVNGTAWICKLRNLSKLVLESSRLQQDDDIQALGSLPNLAVLRLKWKSFEGTQLHFRSTSFPSLVVLHLSQLQNLQSVLFEEGTSTELLQVSCLPKLELLQVEICRELNAFSGMSALKSLKEIRLRNGLKESLKQGVLTDVAEHMQHVRVKS >SECCE5Rv1G0327630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:494126189:494132283:1 gene:SECCE5Rv1G0327630 transcript:SECCE5Rv1G0327630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFARPEHQFLAELGLAPRNPGSFACGAWGGSGPVVTTTNPSNNEVIAEVVEASMDDYEKGMSSCFDAAKTWMAIPAPKRGEIVRQIGDALRAKLHHLGRLLSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVFEKNNLPSAIFTAFCGGAEIGQAIALDTRIPLVSFTGSTKVGQMVQQQVSARFGKCLLELSGNNAIIVMDDADIPLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYQTFLDQLVEVYKQVRIGDPMEKGTLLGPLHTTASKESFLKGIQTIKSQGGKILLGGSAVESEGNFVQPTIVEISPDAPVVREELFGPVLYAMKFQTLKEAIEINNSVPQGLSSSIFTRKPDAIFKWIGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRATCTINYGSELPLAQGINFG >SECCE3Rv1G0207530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:922208318:922209956:1 gene:SECCE3Rv1G0207530 transcript:SECCE3Rv1G0207530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSLSVGSDGWSTQGPAPGDSKRFSGVVPPAALVFLALVFVAGAIVTLHHKEVRAVCCVLQITEHDMLMAIAFRVTRMRRRDAAEVSRLTCSVFILQNLSILQVQPRELAANEDSLRSAPPASDLRVAGDDVAETPQVEQATPPVEQATTLVEQKTPPVEEAPDICEVSRSVRDTWISVSVHFLNNCCLQNQCRPPGSEVLPRGIVQDKSNFEFESLGGNPGRKGVAAGRPAKSLLAIPVGIKQKAVVDKLVSKFPAANFAVMLFHYDGAVDGWSDLPWSRRAVHVAAVDQTKWWFGKRFLHPDLVADYDYIFLWDEDIEVDRFDPMRYLHIVRKEGLEISQPALDHRSQIHHRLTARARRGGTVHRRFYKTAGGGRCYDNSTGPPCTGWVEMMVPVFSRAAWRCAWRMIQNDLVFAWGLDFKLGYCAQGDRSAKVGIVDSEYVLHRGIPTLGDGGGKGTAPKGKGSSATAADRYAVRVRSYKELQIFNKRWKEAVAEDMCWTDPYPQPPTETPR >SECCEUnv1G0563370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:374556149:374557711:-1 gene:SECCEUnv1G0563370 transcript:SECCEUnv1G0563370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVERWAGLGSAVATVIFLWSVVQSYVPPTLRLYLTTWAAKLAACFNPYLQITISEYGTERFQRSDFFLAIEAYLSDACTRCTRKLKAELGKDSKNLRVSVDNHEEVTDDFYRTTRWYASKRQSKANIIHLYPGQDERRFYRVVFHRRHRDLVVDSYLPFVLGEGRAVTVKNRQRRLFTNNASGSWNPYQGKSVWSHVPFDHPATFDTLAMHPDEKEDVIDDLMAFQESKEYYAKVSTAWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDPELTAVKNNTELRKLFNETTGKSIIVIEDIDCSVDLTGKRRKDKKTSSAKDSDNDDKPKLPIDSEKDDATKVTLSGLLNFIDGLWSACRGERMIIFTTNHKEKLDPALIRRGRMDKHIEMSYCRFEGFKLFAKNYLDVIEHELFREIQRLLEEIDMSPADVAENLIPMSKKKKRDPGVCLTGLIEALKQTKEDVVAAKVKEAEEAKEAEAKKAKEKQEAEVKKAKEDKGKDKAPEKANGDIKQGDK >SECCE4Rv1G0238210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:370654322:370658385:1 gene:SECCE4Rv1G0238210 transcript:SECCE4Rv1G0238210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WVD2-like 4 [Source:Projected from Arabidopsis thaliana (AT2G35880) UniProtKB/Swiss-Prot;Acc:Q9SJ62] MEGECEEGAAANGLGGEDNIVVKARKENVAVAAPVEVDGGDHVDLADGEALVGSATAVASPEEPARAPTKKVGSADAGGRKKGNVLNGKVVSASAAPRGKKPGLSQSASFPARGATGAKKGGAMTSLAKQAKPEGKGAVPNGTAASSGRGTDKKGNLAQMPATHQSMPVKPESVDSTPNDTSSEVQESNENTTKPYRLSFPEKMEDDVHSTTSSSNTQLKNAAASGFSFRLEERAEKRKEFLKKLEEKIHAKEIEQTNLQEKSKESQEAEIKRLRKSLTFKAAPMPSFYKEQPPKIELKKIAPTRARSPKLGRHKPTYSATAASADGSVSCESPRRTTYPAKVNRGVENNKPRVTASKPGQRFVTKTPSLASTTAKAETRPITTKQKTSKTKPKVSREKVQQLQENPVEIPPAEPSALEGLAAEPSVEDETGLGSTAPPVTSNEVLVHG >SECCE1Rv1G0044740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:604481209:604481754:-1 gene:SECCE1Rv1G0044740 transcript:SECCE1Rv1G0044740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQEDAAVSTEPSSRETSSSPPRARAPRRPDMGSGCAALCFHLPRRSKKKPPPLAKLSSGGKSVDEAAAAVDASASQRVTFLASASLSTWWPSSPSAAGAPPGGDVAWRRSSSSARGGGAGRRALSSSFSYWRRSQHSSSRVMPHGAASARVSFSFPSSPVSVSSCMSTPKISHGCDQE >SECCEUnv1G0536450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:64010058:64010306:-1 gene:SECCEUnv1G0536450 transcript:SECCEUnv1G0536450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLLAFVAAKGFLQVFQASAPLLWPLNLFLPLLRNLPQAYVVVCGALTAHVAWLRRAYARRGSRSRDDDEAHRQSMVDIA >SECCE6Rv1G0429450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736050553:736053388:1 gene:SECCE6Rv1G0429450 transcript:SECCE6Rv1G0429450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGDVEKGGDVEAGTAAPNGAPESPELRWALIRKIYVVLCLQLLLTAAVAVVFVKVRSIPRFFVSSYAGLGLYIFILVFPFIVLCPLSIYRQKHPVNLLLLGVFTVAISFSVGLTCAFSSGKVILQAGILTIVVVLSLTAYTFWAARRGKDFSFLGPFLFASLMILLVFAFIQIFFPLGKLSHMIYGVLAALIFSGYIVYDTGSIIKRYKYDEYVWAAVTLYLDIINLFLGLLTLFRACDN >SECCE7Rv1G0458930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23522409:23523275:-1 gene:SECCE7Rv1G0458930 transcript:SECCE7Rv1G0458930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCWLMLLFLVFLLPATSARSCHADDLRALRDFARNLTGGGVILRAAWFGTSSCRWEGVGCNSASGRVTTLRLPGRGLAGPIAGASLAGLAWLEELNLANNRLIGTIPSWIGELEHLRYLDLSDNSLIGEVPKSLIRFKDITIAGRSLGKAFTNMPLYVKRNRRTLQQQPQPNTISGTNNSVRSGRTNVVSGNDNTVISGDNNNVSGSNNTIVTGSDNTVVGSNHVVSGNKHVVTDNNNAVSGNDNNVSGSFHTVSGSRNTVSGSNNTVSGSNHVVSGSNKVVTGDE >SECCE7Rv1G0508930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:775990339:775990716:1 gene:SECCE7Rv1G0508930 transcript:SECCE7Rv1G0508930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGRADDGHRGHLGPPGFGRRRGRQQSTPRAERRTSKSRSAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTR >SECCE5Rv1G0352610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:710836394:710845606:1 gene:SECCE5Rv1G0352610 transcript:SECCE5Rv1G0352610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin-like protein XIF [Source:Projected from Arabidopsis thaliana (AT2G31900) TAIR;Acc:AT2G31900] MGTPVNIIVGSQVWLEDPDEAWVDGEVTGIKGADVTVATTNGKTVVASLASIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRYGLNEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADSCYRAMINEHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKRFKVGDPRSFHYLNQTSCYEVANVDDAREYLETRNAMDIVGISQEEQDAIFRVVAAILHLGNINFSKGKEIDSSRLRDEKSINHLKIVAELLMCDEKLLEDSLCQRVIVTPDGNITKPLDPDSALQSRDALAKTVYSRLFDWIVDKINNSIGQDPDAISIIGVLDIYGFESFKVNSFEQLCINMTNEKLQQHFNQHVFKMEQEEYTRDEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKSHKRFSKPKLARTAFTINHYAGDVTYQSDYFLDKNKDYVVAEHQALLNSSRCSFVANLFPPLPEESSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNTVLKPGIFENDNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGVLAPELVDSSDEKTACAAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAVRLIQRRIRTHLMRKDFISLKKASIQTQKFWRARLARKLFEHMRRVAAAITIQKHTRTHSAWKAYLQIYRSSITIQTGLRAMAARKEHRFRRETKATIIIQTRWRQHKAYVAYKQQKRASLILQCSWRGRVARKELRKLKMEARDNGALKEAKDKLEKRVEELTWRLDVEKHLRVDLEVAKGQEITKLQSALQEMQEKLEEAHAAIINEKEAAKLAIEQAPPKIVEVPVVDNAKVELLTSQNEELEAELGTFRTKAEDLEKKLFEIQKQSDELSREAQERDSKINQLQDMIARLETNLSNMESENHVLRQQSLLASADDDNKTKQIESLESKIAILESENQLLRSNPAPAVQAVVTPEVIEPAVVKVLENGHQHGEPKMVSEEVVVPPIKNLSKQQSLTDRQQENHDVLIKSLAEDRRYDNRRPAAACIVYKSLLHWHSFEAEKTNIFDRIIHTIRSSVENAENSGELAYWLSTTSTLLYLLQNTLKASSSSTKVPNRSRTATGNLFNRMVQNARSSSSGLGISNGYSGMVGRADTASRIEAKYPAVRFKQQLTAYVEKIYGMMRDSLKREISAILTLCIQAPRAGRVKASRGSLKSIHSSALSRQASSVHWQNIIKCLNHTLETMNSNYVPPMIIRKTFSQVFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSRTTEEYAGTSWDELQHIRQAVGFLVLHQKSHKTLDEITDELCPVLSISQIYRIGTMFWDDKYGAQGLSQEVIGNMRTMTTDDSITTPNSSFLLDDDSSIPISLDDIARLMLDINPTDVEPPPLLRQNSQFHFLLQQHTD >SECCE6Rv1G0413910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:627120007:627124852:-1 gene:SECCE6Rv1G0413910 transcript:SECCE6Rv1G0413910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHLHKAGVRGRLRVTAARRRAWQQHSACCKRPARRDPVDTVRKFMRREIGGGHRRPPRPAAPSTSAFSCPEKFRNFQLQEEYDTYDDPFVQLPLAWNRRRIIEIVAARDLIFALAECGLCGAFSRTTNKRICHLNISPDEVIRSLFYNKNNDSLITVSVYESDRYSSLKCRTTPIEYIRRGQLHDGFPLFETESLKYPGFVEFDDVNGKVLTFSAHDSTYKVFDLKNYNFLYSICDKDIQEIKISPGIMLVIYQKASNHVPLKILSIEDGTTLKTFTQLLHRNRKVDFIEQFNEKLLVKQDKENLQIIDVRNSGLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFDDHELWHPNCNTNNIYITADQDLIISYCKVSGGGTSDADDEGREGSRMGSINMSNIFTGKCVAKISALDPTLMIAPRRKGDTSRSTIRSSVSDALEDITALFYDEDRNEIYTGNSRGLVHVWSN >SECCE1Rv1G0041160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568832451:568832762:-1 gene:SECCE1Rv1G0041160 transcript:SECCE1Rv1G0041160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE5Rv1G0300990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28587498:28589863:-1 gene:SECCE5Rv1G0300990 transcript:SECCE5Rv1G0300990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPILVLVFFLELIVFFLVMALAPAMGGTMSLALPGCPDKCGDVLIPYPFGIGAQCAAVSLNSFFNLDCKNASHPPRPTVGGPADVAVDVVDISLERGEMRVLFPISYICFTSSAVVSATNNGTVWFSLEDTRRNRFTVIGCNTLGLVGGFFGNNSMYLAGCYSYCDGASGASEDGSPCTGTGCCEVSIPTNLTAIGVAFSTNSSSVWGFNPCFYAMVAEVGWYSFRRRDLVSKLGFINERAKNGAPIIVNWAVRNGSCREPGNYACVSANSYCESASNGPGYLCKCSLGYGGNAYLNNGCQDIDECVLREHDPKYEELYPCRNGICRNTPGGYNCKCKGGTRSDGTNFGCRPQHSREEQLAIGLSVSAAVMISLACFLAMQLQRKRHEKEKDEYFKQNGGLKLYDEMRSGHADTVCVLAEKEIRIATDNYNEDRVLGCGGHGMVYRGTLDDLREVAIKKSKVINDSCRDEFVNEIIILSQINHRNIVRLLGCCLDIDVPMLVYEFVSNGMLYEFLHSSADHNPSPIPFDLRLKIATQPAEALAYLHSSTSRMILHGDVKSATFCWMKVSSSCLSREPLATSTLRASSATSSLTRAMSAALGLTLLLELLTRKKALYTDDNSSEKRSLSHNFHLMFRQNKHQTMLDSEITDDAAAMVIVEKLVILTVHCLSVRGEDIPTMKEVTERLRVLWRHQIHDSNYGRWPR >SECCE3Rv1G0209950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:941286332:941287039:1 gene:SECCE3Rv1G0209950 transcript:SECCE3Rv1G0209950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGTMRRMFVESSSSSSSSSGSGREAEPTVVLCAPRPRRVHAQPCSADLILGPPPFLLNASSANSSTKQREGKSKAREEEESESEEEEGGWALFGGSPPARADNPLVHDPHFLLNQRNHAAADFNLAAVFDHHIHHHSRNYHHVPTTYSNSSSSHSFAPSYTHAAPAVRIQGFDVAACRGSHTSNGGGRVLSARA >SECCE7Rv1G0477700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:225232194:225234110:-1 gene:SECCE7Rv1G0477700 transcript:SECCE7Rv1G0477700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKTTSHDDEPAEERHIREILHLTSGSRAHVAAAVSGCARRLSRTRDYVVALKSLMLVHRLLTDGDPFFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYALYLDQRLEFLLHERKQGSSANGSASLNGPSPRDRWGSPDPYGRRSPSYTSPPGNGYGGYDDNRDSRNGANSDDKRPPTPVRDMKPERVLGRMHHLQQLLDRFLACRPTGGAKQSRMVLVALYQMARESFQLYADICEVLAVLLDRFFDMEYADCVKAFEAYASAAKQIDELCSFYAWCKDTGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPKSPPREPEPEPVKEEEPEPDMNSIKALPAPEDYKEPEPEKVEEEVKPEPPPQPQGDLVDLREDTVSADEQGNRLALALFQGPPAAGGSNGSWEAFPANGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKAVMTGGMDNLLLNGMYDQGVVRQHVNAQATSGSSSSVALPAPGQKTQMLALPAPDGSMQHVGGDPFAASLTFAPPSYVQMAEMEKKQQFLTQEQMMWQQYQRDGMQGPSSLAKLDRTYNNGFGPNPAMPYGMPNAPMANTGYYYPTY >SECCE4Rv1G0239430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:403537564:403548279:1 gene:SECCE4Rv1G0239430 transcript:SECCE4Rv1G0239430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSVAAAPADPDGPDAVRFTWNAWPRSKVEASRCVVPLAAAISPVRSPESLASPPLPYPPLRCKPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAGISENNVPAELFTQCSTVEYLVAGPPGSPAPLPPVFLFVIDTCVIEEELEYVKLSMRKAVALLPEHALVGLVTFGTQVHLHELGFSELSKIYVFRGSKEISKEQILDQLGLSGGGRPGFPKMTQQPGVQQVNGMHPLATTGVNRFLLPVSECECMLSTLLDELQPDQWPVEAGNRAIRCTGVALNVAAGLLGACVPGTGARIIALLGGPCTEGPGVVVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKLAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEHSLGLSFNGTFEINCSKDIKVQGVIGPCTSLEKKEALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSGQPGHQNPDLYIQFVTSYQHPEGQMRIRATTVSRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEIEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRESITNSVAMIQPSLISFSFDSPPSPVFLDVASIAVDRILLLDAYFSVVIFHGMTIAQWRNMCYQNQPEHQQFAQLLQAPQEEAQVIINGRFPVPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVPPGSDIIFTDDVSFQVFCEHLQRLAVQS >SECCE2Rv1G0132190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888586279:888587085:1 gene:SECCE2Rv1G0132190 transcript:SECCE2Rv1G0132190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHKEVEVEGEPTDQRKEPEPEAEAEAGKPEEPTGQRKEEEEEEREEGDNLKAPRDQSKEEEEEREVGDNPKEPTDQRKEGEKEDKSEELTDQRKAGAVVEVDKSEELTDQRKEEEDKETERSSGEESMAALSLFVHPCSRLLQYLGRAYAWCMGLADWFGGGTRPSAAPAASLNSSREEAGEAADIETREISKTTTGRGFYMREVIMSVRAVRRPRPPGNPREGRGGGGGSHN >SECCE6Rv1G0447860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:852184717:852190130:-1 gene:SECCE6Rv1G0447860 transcript:SECCE6Rv1G0447860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYLGDLLRQVAAEELGTMLGVSGEIDKMGDKLRDLKNFLADADRRNITDETVQEWVGELKRAMYEAADIIDLCQLKAMERGSSSVDAWCFNPLLFCMRNPFHAHEIGTRIKALNQRLDTIKERSAAFNFINLGPYERHHSSNVNASRHGDPSRETSGELDRSGVVGDQIEEDTRALVAQIMQTSEEVNGKIMVVAIVGVGGIGKTTLAQKVFNDEAIQGEFSKKIWLSVNQNFNEAELLRRVIIEAGGDAQSAGNAKATLHRSLKDALIGHKTLLVMDDVWNHGAWDGVLKIPLVDVVASGSRVLITTRDEGVARRMTATWPYHHVDALAPDDAWSLLKKQVLSSEIDEYHITMLKDIGLKIIQKCGGLPLAVKVMGGLLRRRGELRRDWEQVLDDSKWSITEMPQELSYAVYLSYQDMPPHLKQCFLYYSLLPQNKTYYAHEVVALWISEGFVHGKSNDLEELGINYYKELIYRNLIEPEKLYVNHLSCSMHDVIRAFAQYMIKDEALIVHDGDIDNLTKLHSQKFLRISIETNHLQSGDIDWKSLQEQKSVRTLISTIQIKMKPSDLLVTFSGLRILHIESVDVAALVESLHQLRHLRYVALVNTDISVLPVNFDKMKLLQFLRLDGCKNLVNLPDSIVKLGQLRLLDLPSRCTIPRGFRGLTSMRRLHGFRAHMDGDWCSLDELGPLSQLRFLELIHLENVSAASFAANARLGEKIHLIDVFLYCTSKLGDDGLVKEKEGVSEEEQQRIEKVFDELSPPPSVEFIHIDGYFGQLLPSWMMSTSKVSLNNLKFLTFSDLACCKQLPNGLCQLPYLQFFQVARAPCIRRVGTGFLQAVATPFPSLKEMSLREMVEWEEWEWEEQVQAMRRLEKLWLINCKLRRAPPGLACNAMALKVLYVHDVQHLSYLENFPSVIELTVVRSLDLERITNLPNLQKLTITICPKLKVLGSIPALDRLVLEDYAMETLPEYMRGIKPKHFQLFCRLWLLSSVALGQSGLEWDKFSHVEHVKAYAPDGDNQRKWYVLYTRRDNFKLDSNISCSSVFEETLSSCMVDAQGFESVYKMRRSTFNYICSLVRVPFFEDMMATDHTFVDGGALSLQDRVAVALIMLNSGKPPVTIGSSLGVNESTVSLITQLFVEAMWELASHHLSWPGSAEMEKIKCKFDKIHGLPNCCGVVHTAPIKFGSQNRDHEEYADTLMQVVIDPDMRFTNTWFSLTGSMMNQLGVLHDSDLFKMGEDGSCLNGSKLKLPDGSDVGEYIIGAAGYPLRPWLLTPYHDLSDSDCKVEFNRRIHSATAAVAQRALARLKGTWKCLQGEGWHPNNQRDVFLTIHTCCMLHNIVIDMEEEEEEGAGMPSDQEDNYIEQVQRVADEDAIRVRDALSQHLVASGEEEQEAVVACRPGGENKE >SECCE3Rv1G0147730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:16008182:16009300:-1 gene:SECCE3Rv1G0147730 transcript:SECCE3Rv1G0147730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGAAPAEGGSRGSPDKADERAKEAWLDLPDGHVKQEAAAAAYNPRVELTVRIHKQVLHCPLCTLPFKPPVFQCKAGHMACGGCVAQLPFMQCKACVDGGGFFDPCPAVDAIVSSTRIECPNVGCQWNVTYHEIAEHQKACPHAPCQCTVPGCGYFGAPQALAGHLNTVHSVPIRIVQYGKVSQLQLSVSTQRVVLLGDDNCVFLLTVGALVAGVTTVSVVCARARTATPPRFTCKMWVNLEPPMAAANCGTEDMLLVAMHMRSSSSPGAVVTAGEPTFLTVPPMYLVPAAGDGTSMEVPLHIRIDKLSPWSDASV >SECCE1Rv1G0014080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:109941521:109942447:-1 gene:SECCE1Rv1G0014080 transcript:SECCE1Rv1G0014080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLGGAERAETMAQIADGCENWGFFQLVNHGIPLELLDRVKKVCSESYRLREAAFRSSEPVQTLERLVEAERRGEAVAPVDDMDWEDIFYLHDDNQWPSDPPAFKETMREYRAELKKLAERVMEAMDENLGLDKGRMKAAFTGDGLHAPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDKVGGLEVLKDGEWLDVQPLPDAIVVNTGDQVEVLSNGRYRSAWHRVLPMRNGNRRSIASFYNPAFEAAISPAVGEGGAAAYPDYVFGDYMDVYNKQKFEAKEPRFEAVKAPKAA >SECCE3Rv1G0213040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:957879843:957881723:-1 gene:SECCE3Rv1G0213040 transcript:SECCE3Rv1G0213040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGSIIITTTRINDVAKSCCSLFGGDIYNIRPLNPVHSKELFHGRLFDSTEELPSHLEEVSDGILKKCEGLPLAIIAISGLLANRERTENLWNKVKESIGRALESNPSVVGMMKILSLSYFDLPTHLKSCLLYMSIFQEDSIIKRKCLIRRWIGEGFVHKEDIYTAHDVGERCFNELLNRSLIQPAKTNEYGKVKSCRVHDTILDFIISKSMEENFVTLLGVPILTIGNQSKVVRRLCLQGVKKRNSTVLTADLVFSHVRSLTMVRGLLEIPSLEEFRHLRVLNMKGCSELKDRHLENIVRLFQLRYLNLKYTKTSKLPEQIGRLGCLEILDLRGTSVKELSASIVNLGKLSHLLVGYDVKFPDGVAKMQALETLEDVRVSIQPLDFMCSLGQLMNLRNLQLILDFGVDQEDWKKAIVSSLCKLGTQNLRSLHIWSGHSLLHEESLCLPTIEDLCIYFILDFPIRFPAILHVPTWVSSLRNLQQLRLDVGALEQDDLYTLGALPSLLVLYLAGKAISNGKLIFNGDVGFRFLKIFFYNACCRSVDLMFGTGSMPKLEKLGLHYIRIVEANSLGFGIENLPCLTSVKCIGVEGDDGIVEAVKTVMERGASTHPNHPILLFQRREV >SECCE4Rv1G0283590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:835971855:835973058:-1 gene:SECCE4Rv1G0283590 transcript:SECCE4Rv1G0283590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPLLSRLSAMDNIDLSSLRKRLNADLSSARRYLRWKWLRLSRRRFLARNVDGAPPGLKADFVSMLEDEEGGDVTFVVGDRQIRAYRRVLTAGSPVLEAELVGQMQETSASAELVKIDGMEPAIFEALLHYACTGALPDNVDGNQPLQRLLVAADRYGMHNLMAMCEWKLCKSIDVQTVATTLALAEQHHRAKLKDACIRFESSKSVLAAIKEHQLPSRVRGSMARLGARVQGRLALLGTKATSLLRHARDSQACRDVGLTVVFFSLGGLFLCMCIKMEHIIEEAVQHQQDSWLEDLQEIQSYVSRLSWICRLAFLERNLQQFQESAWLNSDI >SECCE2Rv1G0133820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898533921:898537446:1 gene:SECCE2Rv1G0133820 transcript:SECCE2Rv1G0133820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTLLPVFVLLLLLCFCNSDDRLTPAKPLPVSDRLVSNGGIFALGFFSPKSSTANSYVGIWYHNIPERTYVWVADRDSPITGRSSGKFLVTNSSDLVLLDSKGSTLWTTTNNITTEAPGAAAILLDSGNLVIRLPNGTDIWQSFHHPTDTILPNMCLQLSNNNNNLSMHLVAWRAPDDPSTSDYSLGGDSSLQILVWNRTTPYWRRAALDGVLVFAMYQRKSGPIMSQTIVNRGGEFYLTYTVSDGSPGMRMVLHYTGMVKFLAWNNSSLAWEVFFERPGSSCDRYASCGPFGYCDTTQAVATCKCLDGYESIGLDFSLGCQRKKQLHCGQGDSFVALVNMKTPDKFIYIRNRSFGQCTAECSRNCSCTAYAYANLTSLGMTADESRCLVWMGELVDTERGDSFGENLYLRIPSSSVRKKTGALKIVLPVTASLLILVCICLVWIRKSRGKDQTKVPINFAIPEPDSSDGLYDENSIFLWISYEDIVPATDGFSDSNVLGRGGFGIVYKGTLEGGKEVAVKRLTKCSDQGMEHFRNEVVLIAKLQHRNLVRLLGYCVHGAEKLLIYEYLPNKSLDYFLFDDAKRSMLDWPARFSIIKGVAKGLVYLHHDSRMTIIHRDLKASNILLDVELRPKISDFGIARIFGDNQQQANTRHVVGTYGYMSPEYAMEGIFSVKSDTYSYGILLLEIVSGLKISSPQHLIREFPNLIDYAWNLLQEGNSRDFLDTAVLNSCSLHQMSLCINIALSCVQGSPSARPLMSLVVSMLENEAMPLMIPRQPSYFVGRRHEAEEAREDSVNSVSLTTLAGR >SECCE2Rv1G0123960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828685710:828689081:-1 gene:SECCE2Rv1G0123960 transcript:SECCE2Rv1G0123960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVGATASRPPRPSQDLSPEFSPGSSSSSSSRAGAAEAQPVSSCDGGGAEEDVPDLDSPWVAAAEAESRLEDSAIAAATAGLALCAEDEAEAEAEEIRDNQQRQDDELMALEAIYGDDLVQFGSRGGLRYFQIYIRYDLHDGVEVCAKFSSADEDPNYGGCVDSGSEEHDKQDEFSYTSNFEYLPPLVLTCLFPKSYPGKDPPYFTLTAKWMDGHNVSQLCEMLDSIWAELPGQEVVYQWVEWIRNSSLSHLWLDGQIMLGQDTPIPKGDMRAISRSVSLESVIPSMLSYCSKKHYQAFLEDLHMCMICLNQSTGSNFIKLPCQHLFCVKCMETLCRMHVKEGSVFQLVCPDAKCNASIPQHVLKRLLSEEEFERWDRLALEKALDSMADVVFCPKCVIGCMEDEDNTAQCPKCSFIFCSFCKELWHPGKECLTPEQKIQHRKASGRMSEREMAQELLNIKELYKDVRLCPHCRMAISKTAGCNKMTCVSCGKYFCFRCGKPVTGYDHFKDCKLFEARDIAEWEREMDQVQIGNQIRAQQKPFGGILRCPKCGERNFKDDEKYLFCWACRASYCQLCKRVVDNKRMKSEHWGSIECLGLDKL >SECCE6Rv1G0381230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:30401100:30416283:-1 gene:SECCE6Rv1G0381230 transcript:SECCE6Rv1G0381230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTIEGKDGEFTEVVVVRHGETSWNASRIIQGHLDAELNEIGRQQAVAVAHRLSKEAKPAAIYSSDLKRAAETARTIAKICNLPNVVFDPALRERHIGDVQGLTLQDAAKEKPEAYKAFMSHKRNQQIPGGGESLDQLSERCVSCLYKIVEEHRGERVILVSHGGTIRELYRHASPMPLRGKIHNTSVTVVLVSGETGRCIVKMCGDVSHLEATGVLENAFGGDKSSA >SECCE1Rv1G0030530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:428702948:428704764:1 gene:SECCE1Rv1G0030530 transcript:SECCE1Rv1G0030530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRAGGGGRGGGRGRGRGGEGRGAGEEEDLQLHKAARSGDAAAAESLCESNPLALNSRDRLSRTPLHLAAWAGHVDVVKCLCKHKADVGAAAMDDTAAIHFASQKGHLEVVRELLASGASVKAKNRKGFTALHFAAQNSHLELVKYLVRRGVDITTKTNAGQTALHVAENDDVRAFLKECEQSLKKGVELPSEKKDDSVAEKADDGKVSGEVRKDGVDAGQGEKRKSEEIGAGTRPPEVKKAKVSLAHLENDMEEEDEADE >SECCE7Rv1G0466560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:79559481:79559909:1 gene:SECCE7Rv1G0466560 transcript:SECCE7Rv1G0466560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHLLLIVFMASILQSGTSDTAYDILAQNNFPRALLPLGVKSYVNNGGALQVSLPNSCDFNVTVAGGQHKIRFDSLVSGVIQPGSITQLGGVRIQLEWDFPAFHTVERVGDKLRFTGGDHGALFDQSFPVSNFVQSPRCN >SECCE1Rv1G0050530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:647357232:647359154:-1 gene:SECCE1Rv1G0050530 transcript:SECCE1Rv1G0050530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKPLAARRLVPALFPLAHADAASAAASRRARRRGAFVATPPAPPPSPSSVRLAEPLPSLAPSRLALHNRVLALLSPTPAADLDEAALLTRHALHSNCRPSSFTCVAVLAALLRARRLDDFFALHRFALQAAVPPTAATHALYLSALAARRLPDAALLHLRLISRPGSPVPPSPTAYRVVVRCLVADHGRLADAVELKDEMFASGFVGPDPHVYSLLMAGFVQAGDGAKAVELHQELQDKLGGEPVLDGIVYGSLMKAYFLMGMEEKAMECHKEVLGPESEVRFGTESYNEVLDALGKNQRLEDALNLFDRMLGEHDPPLRITVDVRSFSVMVDAYCAAGRFEDAIAVFRRMGEYKVAPDIAAYNNLIRHLGLNRLVDEAEVLHKEMGEHSLVADEETSVLLMEACFKADRIDDGISYFNRMAELELKPDASHYHRIVDGLVGLSFLDKAQEYFDQMREKEINPSIATYETLLKAYVGVGATRLDDAAKVAKCILLDENVVFSDEMRELLEGALRGEGREDDIAKLYEDVEREKAEAVLRAEEEKARAEALAREERAARRAEAAAKDEAAAKASAAAIEAIIGHRRKTEGETAEPASTSNALDGGLLSRLGLSSPGQGAPQDTPVISTETKGDGQEQI >SECCE2Rv1G0076850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:95328645:95331427:1 gene:SECCE2Rv1G0076850 transcript:SECCE2Rv1G0076850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G49080) UniProtKB/Swiss-Prot;Acc:Q8L6Z4] MLLRRLLHSSHHLRRGLQTLTTTTNAAAAPSTAHPLSAPLPFRRLPARLLSPRLLSTSGGDDDTRRPWAFTQDSGDPDPFADVDPAAAVPGEAPLGSSAVVEDPWSKDFRAEDSDKADVFEEIYKDAAAAAPTARKAAPAEPWTWDLEDEKDDPFAASVLDVGIEGIADEGAQIEELVDVKEEEAERQRQENMAREQQLTETLKGPDRAFGDLISASGITEDMIDSLILLKDARGIPGLPPLSEIQDRAIQKMNATSSRAEVERQMQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIQPGDGKFVVNDKQFDAYFPILDHRADLLRPFTVTKTLGLWDVACTVKGGGVSGQVGAVRLGISRALQNWEPGLRPYLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKR >SECCE2Rv1G0080040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:125866312:125866899:-1 gene:SECCE2Rv1G0080040 transcript:SECCE2Rv1G0080040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPAASSRVVVLATFFLIGFGAAAADGRRRLVSGSPDEPCRQMTLYYHDILHDGANNTANATSAAATSPPALSNATYFGMLVVFDDPVTEGQALPVGAEEEPAARAQGFYFYDGKGSLNAWFAFSLVFNSTAHRGTLNLMGADLMGEEARDISVVGGTGDFFMARGVATLRTDAVEGSFYFRLQMDIKLYECYA >SECCE7Rv1G0490940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:512020364:512026240:-1 gene:SECCE7Rv1G0490940 transcript:SECCE7Rv1G0490940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSSGEETVIKVRKPYTITKQRERWTEAEHKRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNCPYPRKGCLSSETPTREVPKSSVSLSNSNAKMASNGTLQKLQRKDLSENGSCSEVINIFREAPSASFSSSNKSSSNHGVSGGIEPTETENKDIATMERKSTSIDVGKDVKDINDQEMERNNRVHISSKYDCSHEDCLDNSMKHMQLNPNTVETTYTGQHAASAPLYQMNKTGATGAPDPGTEGSHPDQTSDQMGANGSMGCIHPTLPVDPKNGSSSTAQPFPHNYAGFAPMMQCHCNQDACRSSVNMSSTFSNMLVSTLLSNPTVHAAARLAASYWPAADSNFPVDPNQEVFAENAQGRHISPPPSMASVVAATVAAASAWWATQGLLPLFAPPMAFPFVPVPTASFPTADVQRATENCPVDNAPKECQVTQEQGQLEAMIVVASSGSDESGKGEVSPHTELNISPADKVETAPATGAETSDAFGNKKKQDRSSCGSNTPSSSDVEAEHVPENQDQANDKTQQACCSNSSAGDMNHRRFRNISSTSDSWKEVSEEGRMAFDKLFSRGKLPQSFSPPQAEGLKVVPRGEQDEATTVTVDLNKSAAVMDHELDTLVGPRATFPIELSHLNMKSRRTGFKPYKRCSVEAKENRVPAADEVGTKRIRLDSEPST >SECCE2Rv1G0087550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:227712943:227713263:1 gene:SECCE2Rv1G0087550 transcript:SECCE2Rv1G0087550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGGGGRGRQQFEAESAAVPVPGPCASTHRALAECHRRAARGPLQPEVLCRHLNRALAECVVSMCCPGEIEAVRTLCGSSGTALKRSQCERARIDLSLCLEAHT >SECCE4Rv1G0219260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:29059934:29062499:-1 gene:SECCE4Rv1G0219260 transcript:SECCE4Rv1G0219260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homologous-pairing protein 2 homolog [Source:Projected from Arabidopsis thaliana (AT1G13330) UniProtKB/Swiss-Prot;Acc:Q9FX64] MPPKSDSVEGIVLNFVNEQNRPLNSQNVADALQKFSLKKTAVQKGLDALADSGQISFKEYGKQKIYLARQDQFDIPNGEELEEMKKANSKLQEELAEQKKITSEVESEIKGLQSNLTLDEIRSKEARLQSEVQEMEAKLKKLQSGVILVKPEDKKIIEESFSEKANQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVDVNFQPYSEMLASLNKRRKVSR >SECCE7Rv1G0458340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:21359317:21363020:1 gene:SECCE7Rv1G0458340 transcript:SECCE7Rv1G0458340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGQRHAGAAGSSSRQGNGFKGQASSVEFLGRGMVGMQLRDAKPHDADDGRDNEPDVVADSGAEAGQIIATTIRGRNGLPKQSVSYIAEHVVGTGSFGVVFQAKCRETGEVVAIKKVLQDKRYKNRELQIMHMLDHPNIVGLKHYFFSTTERDELYLNLVLEFVPETVNRMARQYNRMNQRVPLIYVKLYTYQICRALAYIHNCVGICHRDIKPQNVLVNPHTHQLKICDFGSAKILVKGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKKLPPEAMDLVSRFLQYSPDLRCTAMEACMHPFFDELRDPNTRLPNGRPLPPLFNFRSQELNGVPPEVVERLVPEHARRQNLFMALRT >SECCE1Rv1G0031180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:439419719:439420552:1 gene:SECCE1Rv1G0031180 transcript:SECCE1Rv1G0031180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPDDGPIAPAAVAALIKRHRPWEELQNTALAIIDHTYAAALQTAGAAHIDDGVVKLSRPIDPADPDSPVLTVHAGAGHCCVAFNNTRGDAEAFLRYTCPRGKSRPTHCLDRVTISISPGTLHLARVHDRHLAGGHGGRANQVGSSRRELSILDAIMWRLDTAIGVEQELLLKANAARCGSSPKVAEINEVFKVLLEMRSEMDLEAVMRRRLQKRRCQLEEAVTVARPPDQDEHADATEALTKRLKTMRVRSSSSSRVQQMDVLEQTCMSGSSYDR >SECCE6Rv1G0423960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:698270575:698273494:1 gene:SECCE6Rv1G0423960 transcript:SECCE6Rv1G0423960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSEMRPVHNSVDTVNAAAAAIVTAGSRRQPTVEPRRKWTDWLSAYFCFGAQKNDRRISHAILVPESASQRIDAPAPEIPNHTPPQVFPFVAPPSSPASFLQSGSASIVQSPMGPPSFSPRSPNSPSPTGPPSIFAIGPYAHETQIVSPPIFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSLNSSKNGERGELHSYHIYPESPIGRLISPSSACSGTCSPFPDPELQTSSHSTFPSFPVREPPKILDGEGIATQKLIPRHMRNGGSLLDGHITAAVPVVDFSARLQNNDHAMDHRVSFELTVEDVARCLEKKTAISGESAASSFHLPSSNTADHSRESNETRAGLYVDETYHDLPEKARRSLSLRLAKEFKFSNVDAPHVEETGALGSDWWANEKVAAITTEPRKSWSFRPVAQPGVS >SECCE7Rv1G0523700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883335984:883337428:1 gene:SECCE7Rv1G0523700 transcript:SECCE7Rv1G0523700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYHHVVMVPYPAQSHVAPLMQLASLLHGRGAHVTLVHTRSNYRRLVDAKGEAAVRPSSPGFRVEVIDDGLPLSVQHHDVAGVVHALRRNCRGPFRALLGKLPPVTCVVADTVMTFAATVARDAGVPDVGFFTASACGLMGYSQYGELINRGLVPLPLQADASCLATPLPWVPGMPHMRLKDMPSFCHTTDPDDPMVAATLEQMQTALGSKAIVLNTVYELEKDVVDGLAAVFPPLYTVGPLAEVAGGGGVAGGMDISIWHEDAECLAWLDKHRASSVVYVNFGSMHVMAAAQLREFALGLASCGFPFLWVKRPDVGAEEVLAAVARGAGLVVPWCAQPAVLKHPAVGLFVTHCGWNSLLEAAAAGQPVLCWPLYAEQSTNCRQVCECWGNGAELPREKGVEHGAVSALVREMMEGELGREKRAKAAHWKAAVEAATTDGGSSRRSLDRLVEHVLLPPASLAHATTTAQ >SECCE5Rv1G0332640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:550431157:550435751:-1 gene:SECCE5Rv1G0332640 transcript:SECCE5Rv1G0332640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMAPPTLLLLLLLLLLVPLVAAAAPCAHPAHPSQPASCAAEPVLAPERRETHGGGRILDITHYYREDMPSWGSGAGVGQFLWLPASMRNGSLANNSEMRMPTHTGTHVDAPGHVFQHYFDAGFDVDTLDLDVLNGPALLVDVPRDENITAKTMESLHIPKGVQRVLFRTLNTDRNLMWKKEFDTSYVGFMKDGARWLVDNTDIKLVGIDYLSVAAFDDLIPSHLVFLENRDIILVEGLKLENVMHGIYSLHCLPLRLRGAEGSPIRCILIK >SECCE2Rv1G0093600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:381376145:381404875:-1 gene:SECCE2Rv1G0093600 transcript:SECCE2Rv1G0093600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKSSASAAAEGGRIASTSCSALMARATPLQVINIMGNFVRIWSVYSLYSHLSSTGDSIVGFIFSCLVPASVIFLILQQPWKGRPLPNSQIVPTVVNGGVLALYFVLWAKGLLACRPLV >SECCE2Rv1G0088910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:250261329:250262281:-1 gene:SECCE2Rv1G0088910 transcript:SECCE2Rv1G0088910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNGKRKHRGYLTWTDKMDQALLDVLVEHHNNGNHTANGWKPHAYSAAVKNVRDKCNVEITRDHVLSRCKTFDKHCSTVNKLLARSEYGWDRSKNMIVIHNEDAWKMYIQKNKAAACYRNKVIKNWDAISLIFSRDYATSEDESAGAEDAQETALKGAEVVRELIQNSPSASEPSSQDQGGTPTSTEPTLQGRRSKKFRTDDALFCMSGNIKNSFQIAMKPNEPREEPANASPKEIFAALQEIPNLGRGDLLRAYRILTSNDRKFESLVALPMDMRKDWLMLEIGRN >SECCE5Rv1G0325800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:469629749:469633834:-1 gene:SECCE5Rv1G0325800 transcript:SECCE5Rv1G0325800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLFIFFCSRSLGISQYPICAGLPGFRPSHTYPPVSVLSHQRPAVIDGTFSPGAMTRPPIAPVPPANVFGPGAVTRPQPLVPPANFFGPGAVTRPPIAPVPPSNAFGPGAVARPPIAPLPPSNAFGPGAVARPPITHIPPANVFGPGALTRPPIAPVPPVNVFGPGAMARPSITHVPPANVFGFGAVTRPPIAPIPRANVFGPGAVTRPPITPVPQANVFGPGAVTRPPITPVRPATDFGPGAVTRSAIAPVPPANEPEFKVYVGKIASTVDNYFVLSLLQVCGLVKSWNPVTNPIDGTSTGFGFCDFESAEGCLRARRLLNKLSIDGQELLLNVNEATREYVQKYGQNTTEEKANEADKDAMQMIHSMVEERMRSKLPGSRITPIQVSASIGDEKGNGDTRSDALEQRKIMRQRENEERLRESKVVCLQGWKDREVTSPGKSSLQIDAIRSMYVPMERECTVEHERKRQHRESECTSSMYVPIGRESTVERERKRQHRESECISSMYVPMEREYTVEHERNRQHRESECISSRYVPMERESTVEHEGKRQHRESECISSRYVPMERESTVEHERKCQHRERDGFHKSNGEGKGIVSVSGLVLDKLSSSAPGERVGFELQATCKPGNKETLDEEQLLAAVPKTKEELFAYDVNWAIYDKHGLHERMRPWVSEKSTEVFGEEIAEFVEYVVASTKEHVDAPRMLETLVSLMDDSAENFVLSFWTQLIFEIKKVETGLA >SECCE4Rv1G0264660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:721443468:721451542:1 gene:SECCE4Rv1G0264660 transcript:SECCE4Rv1G0264660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPPLTHAENREFLRILREATQRSGCGGGAPGKVEVRFDGVSVHAGVRALPGVSAAKRTGIKILNAASGTIRSARMTLVVGAPGSGKTTFLRALAGKLDPSLKFQGKVMYNGESIFSTPNYTRAYVSQHDLHHAEMTVRETINFSSNMMGSNNDFDMLQDEVRRTSPVDEELFSKATKFGEGSNLKTNYIMKILGLSNCADTIVGDELRRGISGGQKKRTTLGEMLVGRARCFFMDDISTGLDSSTTFEIMAFLGQMAHLMDLTMVISLLQPSPEILELFDDIILLCEGEIVYHGARQNVVEFFHNIGFTCPSRKNVADFLQEVTLRKYQKQYWSGDVSEYQNHTIEHFVNCFKEYELPQSLEDMQCKNYDIKQGKEEFQAYNSPDISKWNVFRACLSREVLLFKRNCAVHMFKAIQITLLAFVIATLFLRTETSPDTVFDGVKYLGALFMGIAVINFNSMIELAMTTKRLPIFYKQRELLALPGWALICSVFLISLPISLMESSLWTFPTYYAIGYAPSPIRLFQQLLVFFAMHQMSLGLYRFLATIGRTPIVSNILGTQALVAIFILGGFIISKDDLQPWLSWGYWASPFTYALNALALNEYLDKRWAKEFHFENAKTVGEAILKVRGLLGEWQWYWICVVVLFGFALVFNILSILALEFLNSPHKHQGNIKSQGRQSLEYDDQVILGGKASTDQASIPFQPLCFVFKKINYFVDMPKEMKKYGANETRLQLLRDVSGSFRPGILTSLMGITGAGKTTLLDVLAGRKTGGYIEGTINIGGYQKKQDTCSRITGYCEQTDIHSPHLTVYESLVFSAYLRLPSYVKPYQRDMFVEEIMELVELNSLRNAIVGIPGVTGLSAEQRKRLTIAVELVASPSIMFMDEPTTGLDARAAAIVLHTVRKLVDTGRTIVCTIHQPNIHLFESFDELLLMKQGGQLIYSGSLGSLSRNLTKYFEAIPGVPRINDGQNPASWVLDISSHAMEYTIGVDYVQIYHNSNLYKENMDLVDELSKPNTNQEDLQLTSKYWRDTKTQCMACIWKQYCSYRKNSELNIFRFLNTFAISIMFGVVFWQIGSTIKVEQDVFNILGIGYGSALFLGFMNCNSLQPVVAMERVVFYREKASGMYSSMAFVIGQVAAEIPYIVIQPLIFSAIVYPMVGFQMTFEKFLWFVMYMILSFMDYTMYGMMAVALTPSPEIAAGLSFLIFMIWNFFSGFIITRKAMPMWWRWMYWADPAAWTLYGLVSSQLGDHTELIRVLGQPDQPVMKFLEEYLGLENGYFPLVTALHFVLSVFFCFIFCVGIKYIKFQKR >SECCEUnv1G0567980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:421229000:421229725:-1 gene:SECCEUnv1G0567980 transcript:SECCEUnv1G0567980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFINKLRTTLAAHPSPDNVNGHPVLPLQHEKQLPARWLHVPITAGDKTVTLALRDDNVYLIGFKAQSGSWYEFGSAGTQGRQPLIHGATFLECQDTYRALLGGKLSIEVKQRISNLELGKTAAEAAVKKLAAYAHAAGGPDEATKVALARMLITVPEAVRMSSISSTVSAGWGQAATVKLDNRQPYYMQNWANLSAAILEWRKMGPTYPWPKKLYDETKIKDAAGALAVVELLLNCDTTK >SECCE4Rv1G0229580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:151368726:151369478:-1 gene:SECCE4Rv1G0229580 transcript:SECCE4Rv1G0229580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVKHLILCSSTTTSSSASPSPSNRRPPSSPDGADKRTHLTQSSTRRLAVAASTAMVATAALSARRPAAPPPAMAAEAATVRAPAPPGTVPRWGTRSYVRERFFEPGLTTEEAAARIRQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLDLDLTTAMAGVPEPRRPEYVLVANELVDNMTEFDRFVRTPKVYESYLYYEKTLKSLDDVAEFLG >SECCE1Rv1G0061230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:714721393:714722538:1 gene:SECCE1Rv1G0061230 transcript:SECCE1Rv1G0061230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGVSSQAMLWKTLESDPSMKFLHVHPVVNHVFWWISVALMVVVSITYLLKIVFYFEAVRREFHHPVRVNFFFAPWIVCLFLVKGVPHPVWEIHHAVWYVLMAPILCLDLKIYGRRLSKVANPSNHLAVVGNFIGALLGAGMGLRELPIFFFAVGLAHYVVLFVTLYQRLPTNVHLPKELHPVFFLFVAAPSVASMAWARISGEFNDGAKLLYFISLFLYVSLVVRVNLFRGFRFSLAWWAYTFPITSVALTTVLYASKVDNMLTRALAVGLSGIAVVTVTGVLATTMYHVFVRKDLFPNDVSIAITRRRPKFSKILAHLRSSSYDVKELVLSIPNFSSNSKQGTYSDSGSNSRMNISAGESPVAHGHGRGVLDGWCITC >SECCE4Rv1G0223670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:72491025:72492806:-1 gene:SECCE4Rv1G0223670 transcript:SECCE4Rv1G0223670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHRRRSCLRRVLTIAGGVSAGLLLLAGGGTYAGVPLFSPSLLPLGPGADCSPPFAPPPFALSPLPPYLLSDLEADASPPQPETNLPRRLLPIHRSPPPPPCLPSNSESGADRSPPQHDDADAVLLPDGEILLLADAEPAGAKATCAFQGGASSPASALGSLPGSGRHAYVCLMPEPARSLQPLQAPLLLPASAASASADCPGRRALLDWSDRIAFSSATLDSGDVLVFAKGVNHAAGGVQCIYRHCGHGVVASFPAITSVQQVTRCPAPPMHLNSQNTELRVTVAATGEDPVPSIATYHRPQQSQGGLVVTPAPKNLICACTMVHNVSKFLREWVLYHAAVGVEHFFLYDNGSLDDFADQVAQLRSDGISISTVPWPWIKMQEAGFSHSAATHQSSCKWMAFIDVDEFIFSPNWIGSEKPSKSMLEAILPVDPDVGQVYLWCFDFAPSGQTSHPEEGVIQGYTCRRKIILRHKSLVLLAAVNHSLENAIHHFTLKDGFRSIWNLQARVNHYKYQAWSEFKQKFKRRVSAYVADWRDPINLQSADRAPGLGVDGVEPVDWAQRYCDVKDNLLQRLSARWFGNGLATLGSQDT >SECCE3Rv1G0186230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:682250260:682252931:1 gene:SECCE3Rv1G0186230 transcript:SECCE3Rv1G0186230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYDELNRKGEGARRFKQGLGFSSDDPQPASFPSKPSTTSSSSSFLSGFVRAGATPTTTRPSLPPEAPSRQRRRSRSPSPSRPRQRSRSPSRSRRRRSRSRSRSRERRRRSRSRERGDRRASHHRSRSRSRSPSRRSGRSSHAEGRRDRHGDRRRDDGGQSRHSSKGRGGMDGGRVDYSRLIEGYDRMTPAERVKAKMKLQLSETASKDSTLGTGTVGWGRFEFNKDAPLDEDDNDVEVANDDATLVKHIGKSFRLSTVESKNENIVRDAHDEAMFGVPMSSNVDTETSEDELKTNGEGKKAEGVEAQPSSSLISDEVLAMQKMSWRERMEKLRQNSNT >SECCE5Rv1G0372380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:852503454:852503810:1 gene:SECCE5Rv1G0372380 transcript:SECCE5Rv1G0372380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRMLAVAVLAVLFAGAMAVKVKLTVEKGSDTKKLALKIDYTRPGDSLSEVELRQHGSEEWQPLTKKGDVWEVSCSKPLVGPFNFRFLSKNGMKNVFDEVFSTDFKIGKTYEPEY >SECCE4Rv1G0232250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:194595207:194598066:1 gene:SECCE4Rv1G0232250 transcript:SECCE4Rv1G0232250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLLLMLLAAGAAGADSATPSLHIGVNYGANADNLPSPTAVATFLATKTTIDRVKLFDANPAFISAFAGTPISLAVSLPNSALPALADKATGLDAARSWIRANLSPYVPATNVTLLLAGNEILLSTDTNLILSLLPAMRRLAQALKAEGLTGVRVTTPHYLGILAPSDGIPSNASFRAGYNTKLFPAMLQFHRDTGSPFMVNPYPYFSYRPETLNYALFRPNSGIYDPATKLNYTSMLDAQMDAIYTAMKKLGYGDVDIAVGEAGWPTQAEPGQIGVGVQEARDFNEGMIRVCSSGKGTPLMPNRTFETYLFSLFDENQKPGPIAERHFGLFNPDLTPVYDLGLLRDGASVAPTPSPSPSPKPSPSGGGKWCVAKDGANGTDLQNNINYACGFVDCKAIQSGGACFSPNSLQSHASYVMNSYYQANGHTDSACDFKGTGVVTSSDPSYGGCKYVS >SECCE5Rv1G0374440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862609614:862611062:-1 gene:SECCE5Rv1G0374440 transcript:SECCE5Rv1G0374440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVLDDELSVRRGTASLVAPARLTPRETKPLSDIDGQAVLRCYTTMIHLYRGDPSKRFIDPAAAVRAALAEALVHYYPLAGRLRAEAGQKLVVDCAGQGIVFVEADADIAVDDLGDVRYPPFPRSEEFIYDDHVYRAPPSGVPLSLPAIIDQPLMFVQVTRLKCGGFTVSQQNAHCICDGPGLAQFWKAVGELARGAGTPSVPPVWAREIFNARQPPRPSFPHHEYREPADGRDRFESTPPGDMARVQFSFGPEAMAALRGRLAPGAAWASQLDLVVACVWRSRTAALGYAPGDEVRLRMPVNARGRRADAFGGEIPAGYYGNAFAFVVARCAAGDLCGRGLGYAVELIREAKARVTYEYMRSVADVIVLQGRPVIARKRTFGVSDVSHAGFDEAEFGWGKPVYAGVITDAHRGGASFLMRGKNDGGEDETFIGIYLPGDCTARYRMEVEALTAAAATAKNTRLHMEEASSVPLALRARY >SECCE5Rv1G0306620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:96652021:96654549:-1 gene:SECCE5Rv1G0306620 transcript:SECCE5Rv1G0306620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGEVHVNRSLYCFEHNSGRPTSSGIFAGDDPLKFYFPLLLYHICVIFILSQGMHAVLRRVGVPLVISQILAGALLGPSFLGHYLPHIGEVFATPEGWVQINTVGGYAFTLHIFTIGVKTDLGMIVKSGKKAIAIAVFGTAAPHLAMYVAGLALSDRIPKQWTDTFLLTNLNSWWSLSAFIVVCCTLDDLHLLSSKLGRLAMSAALIGDFANTFAIAGVTSYLLQASPEEKLQRIGFASSLSFTIFIALMWLVARPVILRLIRDVPEGDILSESRLVAVLLISITCSFAGELLGLHATYGPFMLGLMLPGGAPLGVTLAERLDRLVAGVLTPLLIAQGGMRMNVHMITDASTCGLLEVFLVVGILAKFVACIMPCLYCQIPVRESVAVGLMMNFKGITEVVYASAFMDSKVLDDQAYAAFMINVLVVGAATGAAVKYMYHPEEKYVANQRRTVQNKKVGEELRVLACVHSQVNVAPMVALLDAASPTPTTPVSVYLLHLVPLAGLTSSVLRSFKHGDRNCVPTGSKASESERVVNAFQFFVDQRQQGSSSLLPYVCIAPYATMHNDVCTVALEKRAMLIIVPFHKRLAIDGSVEPTSPNAGAIQDTNINILNYAPCSVAILVDRGSLSGVASTASTDTIEGLFPHRVAMYFLGGPDDREAMALAAYMAEDAPIGLTVFRFLLPIEWQQRLNPEEDELDEEATQEFVRRWVDDNRIMYSQHTVGGSHEMVDVIRNTSVAFDLLVVGRRAESRESPLTAGISDWSEHLELGVLGDLLTSADFGSRLSTLVVQQQTKAAAGELCPV >SECCE7Rv1G0470240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:117846796:117850181:-1 gene:SECCE7Rv1G0470240 transcript:SECCE7Rv1G0470240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKAMEAVVANETSLIMNKPPPHVFKLMSLPSMIIKLTLGLLWCIIHLAISFLSLCSHLIFNLECSLISSGLLWKYRNLQLARLKYLAIVVDSREAKNTVKINQLLCWLKTLGVKYVCLYDIDGVLKKLFEPGMNGSRDNNPGDYSDVSANSKYLDYCHKQMTIECISGSDGKEGIAKAANLLCSTYLKGDSYTQENVKKEENVKNEAVFTETDMASALKSIGCAGPEPDLLLVYGPARCHLGFPTWRLRYTEIMHMGPLKSMKYGAIVKAFHTYSKKHQNYGK >SECCE5Rv1G0346150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660445616:660446029:-1 gene:SECCE5Rv1G0346150 transcript:SECCE5Rv1G0346150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATGAGARRRHALDMADDECWSTVSSVVADEGHSVMYTTDGTRFEVPLAYLGTMVFAELLRMSEEEFGFASGSDGGRIMLPCDGTVMEYVLCLVRREASEEVERAFLSSIVGHCHSYNASCMAPSMGLSHQFALCT >SECCEUnv1G0552030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:244161251:244162387:-1 gene:SECCEUnv1G0552030 transcript:SECCEUnv1G0552030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSGATVFDDLPEWLIVDEILSRLPAKDVLRCRAVCKSWHSTTSTDTFILQHHRRQPSLPIVEHLNGICRLHRASNDKKILPVLQYAGRTPVTAVDLMHRVCDGLIILAQKPDYYICNPATRKCASLPRPPLQPGFCNDEIVSFYRHQPSGEYRMLWASYSIPIMVELPDYFVLTVGSEQPRRIQWQAVSEIGFPTMSAHDCPPVHHRGSLHWAMGLNITVFEPVAETFRHMSRPAQLGDMVSLLDTAGGALALCRTDRTYVTLDVWVLQDYDAETWGFQYHINLSAMVASPPLDLRVKYLPRMAVINEHELVIDYPDRLLHCNIDGAFIGNLESEEHGNYLMLTRHRLQESMISLPLFENQEEDAVKEPPFLIVL >SECCE3Rv1G0158390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:87816262:87817419:1 gene:SECCE3Rv1G0158390 transcript:SECCE3Rv1G0158390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVQRSLPDEISIWEILVRLPPKALLRCRAVCRAWRSATSTRDFLLAHHGHQLTLPLLYGCANVGAQREVLDIIPFDHRPGLAAADQLQSVARLGLGPSYPCVEASCDGLLLLSLGRRDFFVCNPATRQYAPLHQIYGYVLLGMYPHGPTGEYRLLLYWDEDLIYPVLAPGTQDGSYVFTLGSGEPPRYTGYPDSGILMFSTSILFHGSLHWHIAKDASTSNMIMLFDTTSESFRQMRAPVVPGHASLFEMDGMLGMSSFNHAATTIDIWMSQDYASEAWALKYRVDLPVTDLTAQFGKFTETWNVVVTCWNGDVLMLVKFGEWLLQVDADGKLVGSFHRKLVRFTEFQLKQTLVPHTFFPTLAGYAVNTLPFISPDDYVVDT >SECCE6Rv1G0415410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:641424058:641426554:-1 gene:SECCE6Rv1G0415410 transcript:SECCE6Rv1G0415410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSGARRAVRRQSQDGSADKVVVDLDASSPVAGNHHGLSVFPGARTSPIDLEALDDEVQALSPSQVPPPGLNRRTRGQPVTPFSLDEDAVLEENWRIIRQCATPFYLDEDAAMEENRRTRSQFLTPLYLDEDAALEDNAAMPSNNTWNKRQRVAPLICLSPEREEGSSLQSNNAVQISQEPAKAVVPKEPNFTCPVCLNKLVEPSTTKCGHIFCAECIKQAIQFQKKCPTCRKALRKNNFHRIYLPNSDG >SECCE7Rv1G0483400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:322032833:322035300:1 gene:SECCE7Rv1G0483400 transcript:SECCE7Rv1G0483400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPVSHTPVRPKLSSSYSAEKNPLLLPPSPRAVRRPAPPTDPVRAGTTMLPGIAPDAPGLSDGDGALELLYASLPVSPVSTFPSLCCATADEDKTDRVSRLPDDVLRRVVSLLPAKDGARTTVLSSRWRGLWHSAPLVLVDTHFLSGGAAGVRTACALPGAVSRAVSAALEAHPGPFPFASFTCSFLDGADRRVLARWFQLLATKGVKQLIFANRPGPLPVLRLPSSLFSCAYLRRLWICAWVFPETATLPRGAGFPNLRELVLGRTVMEDKDLEFVLAVSPVLEILVVAGSENRLHACLASPSLRCAQLCLSALDEVAVVDAPSLERLFLISGMTKMSTTVKIGHAPKLRLLGYLEPGMHILQIGNTTIKAGTKASASSTVSSVQMLGLQLHFGVPREIKMVPSFLRCFPSVETLIVQSADTHVPTTKLSDKFRQGTGPIECVQSQLKTLFFRELQGHRGEFHFLTFIAENAQKLERMYIWVKEDLSYPAKVSMGAKLRALESANWASRDCKMLFRISKFPTGGTPFNLAMGVDLSFADPFFCD >SECCE5Rv1G0305240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:68857325:68860363:-1 gene:SECCE5Rv1G0305240 transcript:SECCE5Rv1G0305240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPPSQPRVCVTGAGGYIASWLVKLLLARGYAVHATVRDPCDPKNACLKQLDKAVENLRLFKADMLDYDAVATAFVGCDGVFHLASPVPIYKMVDKETSNEIHSLEKEMMAPTVNGTMNVLKACSAMSVQKLIVVSSGAAITLNPNWPLDKLKDETCWSDKEFCKENEIWYALAKTEAEEMALEYAEKNGLHVVTFRSGAVFGPLLQADVLNITTKFLRYIIKGGPDTINNKFWPVVDVRDVADALLLLYNKAGPYQRYICSEHQIDIKDLVDLMKSMYPSYNYAHKLVEVDYKVGMNSDKLKNLGWKPRKLEETLADSIESYEKSGILNAEEEPCRVPYFYRMPPVLE >SECCE7Rv1G0524710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886957174:886960418:1 gene:SECCE7Rv1G0524710 transcript:SECCE7Rv1G0524710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGWLSLYFIGISTVLVLWFLMFFGGKRNPRTKQLPPGPWTLPIIGSLHHLVGDLPHRRMMKMSRQHGPVMHLRLGEVPTVIVSSAEAAALVMKTNDLDFASRPHSPSQDIISCGGMGIIFGHYGDRWCQMRKICIVELLSSKQVRRMEGVKANEIGNLLHSMAAAGATVNFSAKMATLSSDVVTRAVFGGKFTRQDEYLHELSKVNELLGSFCLVDLFPSSRLVRLLSNGERLVRRSYGRIQHIITEIIEGRKAARASSGHARSTNDEDLLDVLLRLQEEDSLTFPLTTEIIGVVIFDIFGAATETTGTAVEWAMSELLNNPEAMGKAQQEVREVLGKGRHVIKSTDLSELRYMQMVIKEVLRLHPPAPLLVPRETREDCEIMGYNMPKGTNIFVNVFAISRDPKYWDNPEEFKPERFENSKVEYYGTHFEFTPFGAGRRQCPGILFAMSTVEITLANLLYHFDWVLPGGANAPSLDMSEKFGVSVRRKFDLQLIAIPYTRSKAVSI >SECCE7Rv1G0524860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887411202:887411774:1 gene:SECCE7Rv1G0524860 transcript:SECCE7Rv1G0524860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVRHPEAMTKAQLEIREVLGQGRAVITNSDLTGLHYMQMIIKEVLRLHPPGPLIPRRARGDRKVMGFDILEGTNVYINAFAVSRDPNCWESPEEFKPERFENNDMDYNGTYFEFTPFGAGRRQCPGILFGTSTMEIALANLLYHFDWVLPGKANPEFLDMTEKYGIIVGRKYDLQLIPISRGGFHAT >SECCE7Rv1G0500780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:673967756:673969483:1 gene:SECCE7Rv1G0500780 transcript:SECCE7Rv1G0500780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTTPAPSAPVVSVSGGKGLHHVYVTLAQYADVHGHGGDAAGAHCQPQLKCHGVDGHAVLLTVTGGENFREAAALCRLACPIALTALLLYSRTALSMLFLGSLGDLQLAAGSLAVAFANITGYSVLSGLSLGMDPLCSQAFGANQPRLLGLTLYRSVLFLLCCSLPLSALWLNMSKILLFLGQDREITELAQQYLLFSLPDLFTFSLIHPLRVYLRSQGITQPLTTAAGAAVLFHVLANYVLVGRLGLGAEGVAAAASASNFVLLGVLLAYVSRRDTALGEAWGPTAEWLAGWGPLARLAAPSCVSVCLEWWWYEVMILLCGLLPEPKPAVASMGVLMQTTALVYVFPSSLGLGVSTRVGNELGANRPGRARASARVAVVAAAVMGLVAMSFAAGMRHAWGRLFTTDADILRLTAAALPVVGLCELGNCPQTVGCGVLRGSARPSRAAHVNLGAFYLVGMPVAVVLAFWCGVGFVGLWVGLLAAQACCAGLMLCAVGSTDWEAQARRAQALTSSSSPDVEMSDAGKGGGHASAAAAAGGAGPENGEHDEDRADWRRYEPLISNEKAEPGSVQVL >SECCE1Rv1G0061050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:713480367:713482332:1 gene:SECCE1Rv1G0061050 transcript:SECCE1Rv1G0061050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTETSIQMAGILDGQPGCALSPEPLLDRVPARAAGAREQAHAASLMEAAVVVGGIKDAAAAAQAESPCLPGSPSGLYLSQAQLGMPPSTRSSNPEMSAAPVLPSESKLEAHNPIEPRLMKQTQHHSWRSLVGGVEAPAMPRSDSTPELDRRFDHFKTLSGRLERQLSSLRGLQHGLPPADIENGAAPNISGEDTDEENIVPSAGRYFAALEGPELDTLRSTEVAVLPEDEQWPFLLRFPISAFGMCLGMSTQAMLWKTLEAEPSTAFLQVHPAVNHVLWWASVALTVIVSITYLLKVIFYFEAVRREFHHPVRVNFFFAPWIACLFLVKGVPHPVWEIHHIVWYLLMAPILCLDIKIYGQWMSSGERRLSKVANPSNHLAIVGNFVGALLGSRMGLRELPIFFFAIGLAHYIVLFVTLYQRLPTNVQLPKELHPVFFFFIAAPSVASMAWASISGEFNDGAKLLYFVSLFLYMSLVVRVNLFWGFRVSLSWWAYTFPITSVAVATVLYASKVDNVLTRALAVGLSGIAVATITGVLATTVYHALVCKDLFPNDVSIAITWRRPKSSKILPHLRSSSSDIKELDLSIPGSNSNSKNDTYSSDLCSNSRTSSNSNESPVSHGHV >SECCE1Rv1G0046420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:617269884:617270165:-1 gene:SECCE1Rv1G0046420 transcript:SECCE1Rv1G0046420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETKKILVFSLVYKLIELALILPVSTTCVERAFSAMKITKNKLRSKINNEWFNDLMICYTERELFKSVDDKDIIRTFAAMKSRKGNLPRGFL >SECCE6Rv1G0384820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:69018305:69026634:1 gene:SECCE6Rv1G0384820 transcript:SECCE6Rv1G0384820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLIRDARDGDAERVAAPQSNRAVRVEVVAQEGNDDRGMVAAAEGVGAAPETVGVGAEEGVAPEKNGVSSGGEKEVASVGSGAGAEMGAAVETNGVAVDVWICHQCRQRKTGLTAACRGSKKNGKCTLRYCKKCIRNRYPLIADEVLKEEAWECPKCMNDCNCSKCKKRRGEEPAGPMVHSVKRPKGNLRRIESTDALKEPAGPMVHSVKRPKGNLRRIESTDALKDEIVIPKGTLVTCVAGVEMQPEDVGAAIQFLEFCRSFGEIFQIRKGQSEKIVKDITGDRQLREVSSVVAELHANLLSVIENGNYKPLKYPRHGDAWIRKLSKYITDSALHAKDFILECLSHGLSGYKNLSPSHKLDVLNSLCDEALSSEKLKTMIEAREYVARQKIRAATEKEKELKQRQNDMAKTMGGEIAGNDEANNIFCQIKEAKEVKQAAMNELEELGCVLRSTPVMVDKGVAYWKLDGYCNNNTNILRQEFDNEATMKNQDKWFMFSEDEHKVVENHVTTRSHRPWRKDNRV >SECCEUnv1G0552800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:257883720:257885189:-1 gene:SECCEUnv1G0552800 transcript:SECCEUnv1G0552800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPHLKHLKPPYYLATNKFLIMATTMTLAAAVLLLQAAQRIAPTDELLSRLHAFRSINLPLAAILPTTMAIIYLVRHPRSVYLVDYTCFKTSYKYRAPKAAFLEHAHISPCFSESTTKFIARVIERSGMGEETLMPPALYYVEPSCSLDEGRSEAELVVFSTIDDLLAKTCIGLDAIDVLITNCSLFCPVPSIADRIVNRYALRGDIRVINLSGMGCSAGVTAVGLARNILQIIPSGSHVLVVSTETLSPNYYKGNVRSMHLGNILFRVGGSAMLLSTCKLKARFKLAHVERMLVGADDAAYRCVYQEDDPEGNIGLTLSKDLMAIAGETLKANMTAIGPLVLPTSELIKYFLFSTARKVLHQRKIRPYIPDFRMAFEHFCIHVGGPAVIDSVQLSLSLSDEHVEPSRMTLHRFGNQSSASVWYQLAYIEDKGRMRKGDRVWMIGFGAGYKCNTAVWVCIQPSPNANGPWASCVHRYPVDVSKEGLA >SECCE6Rv1G0397890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:405699136:405700428:-1 gene:SECCE6Rv1G0397890 transcript:SECCE6Rv1G0397890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMDPFTADLLSGPSLPGAFSCAAGHRMEFDDAYLRAIGALPPLPTAHFAPTHLDHAALQDSVELPATCERYAASLDAHLFHPPFELPVPSLLPDRVVPDSKNTATRPHLSGFEFAPTPVDHAAALECSVELPATCELYAAALDAHLFHPFLEVPAPSLLPDRVVPDSKNSATRSRLSDYDIDIDFNLREMKKNVEERPSPDYMKTVQGDRMSPSMRATLVFWMDDFARYYDLAPGTLHRAVSYVDRVLSARTLSTARMDMEYELRLLGATAVFTAAKYEERGTRFKVNAAKIAADCGFAARNEVTDMEFKMLAELRYELSGPTAYTFVDHFTRYSDGEGDLEVQRLAHQLAETSLVDYRCLELMPSAVAASAVFLARLILNPMASQVQKWNGEFKELTGYKPTDLILGIQSLYMMNPDPRFVVLPEFL >SECCE1Rv1G0034530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:484256331:484257110:1 gene:SECCE1Rv1G0034530 transcript:SECCE1Rv1G0034530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPVSSKILLDAMLEKVGLPAATYSACPTENTRLQVTVTFYPIKIKLRGTAAKVSLSTSEHQDLAEAQDYVAAAVIRYMDAYEGVVPEDYHYDQLRTVEKANVLLGQQLKAMKRDNASLKQELKESASKNKLLSKGWDGSLGTEQNIISNLSHLVSSRLSPGSSPDDIELNNVLLNVEGSCEYLQQHTDEAMVNLQESGVYPYGQEQSPDDDEELPTQSDEDPLHDPEMRASLDNEQIFDREGICSDSFRVLPEDRF >SECCE3Rv1G0198590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:833859191:833860881:1 gene:SECCE3Rv1G0198590 transcript:SECCE3Rv1G0198590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase-like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54090) UniProtKB/Swiss-Prot;Acc:Q9M394] MAMAAASPFLLLQPLAPQPHRPFGPLHPSLLRARLLRCSTNGAAEPEPPKPTPRRGRKKASEPGSSPPKAKATRRKTKKAGQDTDSEGEEEPAAKPKTRRTKKSPKQEANQQQGEEDAQAEEPQSAAHEEDPGNDGDDGADLPYEWPPLVCCFGAPRWEFVPTVRVSDQQMHPDQYSTWLHLQWEPPEFARSPGSASSNVAIALTRLGGRAAVLGKVGDDDFGHELVYRMNRERVQTRAIRFDGAASTAIARMKVSFRDSEDGGSGGTSLDAETVKSSAEDSLHKDEIHADVLKEAKMLHFNSEVLLTPSMHDTLFRTIELSKKFGSRIFFDLNLPLPLWRSRDETKEFINRAWKEADIIEVSRDELEFLLDHKYYEYKRATPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVVGTEDALITPTTTDRTGSGDAIVAAAIRKLTTCPEMYEDQDTLERELRFAVAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >SECCE4Rv1G0283550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:835908877:835910855:1 gene:SECCE4Rv1G0283550 transcript:SECCE4Rv1G0283550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRLRSIPLLLIPGVDPAAALHRRCSCGSSATAAARAMASSSASPAPTPYTTLVGRVRCEREIKRSKFIAVAASVPDERAAMSFLNEVKDPRATHNCWAYKVGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTVYNQLQHFHAEDIKQDYDTGKDGTVVVMFKVEYEKIESLGSTVNSACSRKIELLQ >SECCE5Rv1G0374090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861900323:861904140:1 gene:SECCE5Rv1G0374090 transcript:SECCE5Rv1G0374090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAALAAAVLDAATPPAAAAAASAVLDYLARHAPADHPRAFFADAFPAILFRLFVSSPSSPSFLDLAAAGPDPALAGLLLALLAPSGPLLAAAAAADRRALIRFVFPSERLPYWLRVALADADAPGRALASPLLAARVGSELHLSVFEYFLFWFAYYPVSSADPAASASAPTHKSRSRIESWVSTLAPTAGGTRKPGQKPEPCLYLKLLYAYLREFVPTAACTQVRRLTGTLLQRTASEEGADAADPFARAEFFLHTLVQFWLVGDDFSPLPVQMYRAFGLKLPSRARAELSEQPPSPGLGDAVKLLVMYLNCCVGGPARMVFEGMTARNVVCDSQVGIWNPLIQRPMYRFVLRAFLFCPIGAVIKNATQVFSVWLEYMEPWKVTQQELDEYDVSLIQGTGTQVMQKGELLYTPAWKNYVLSNYLFYSSMVVHFLGFAHKFIHSDVSSVLVMILKVLEVLSSSTELVDLLYKVDIAYHSRLVTSPSSSSESDDVMKYVPSIREQLKDWEDGLTETDADGSFLHEHWNSDLRLFNSGEDGAYHLLQLLLIRAELEIQRLPGDTVQALQSLDLIKSRMKKIFQGRIEGIHHQNTSAQELQHQHPGRGEIFTPKHPHSGRSKFGEVRYRGDWMKRPISETEVAWLARILIHISDRLNDALRLGCDGTADDSAANPTYIKFDRNELTTIGGPKDAARMALVAICSLLAVVGQAVLRFMRAHRVKINLRVFASKKLLAGLVLLYAMVAGTKRAFY >SECCE3Rv1G0185540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:675384477:675385341:-1 gene:SECCE3Rv1G0185540 transcript:SECCE3Rv1G0185540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPPAQHGARSEDGQAQATAPALKRGPWTAEEDEVLARFVAREGEGRWRTLPRRAGLLRCGKSCRLRWMNYLRPDIKRGPIAEDEEDLILRLHRVLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKLIAQGLDPRTHMPLAVAPGKTAAATDKTTAAPAIGPPQPPPAPVLPTSSVAVTGCDGRDSPGDGGNDDLAATTSVDAHDFEGFGDQLLADYAASRGVFNDIMGCPMVDDDTFTSFLDSLMNERQLAADHKGV >SECCE5Rv1G0342720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633514140:633516526:1 gene:SECCE5Rv1G0342720 transcript:SECCE5Rv1G0342720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVETLCGQAYGANKLDMLGIYTQRSTVLLMATGVPITMLYVFSGPILILLGESPEIARAAAIYVYGLIPQIFAYAANFPIQKFLQAQSIIAPSAYISAATLLVHLVLSYLVVYQFGLGLLGASLMLSVSWWIIVIAQFVYIVTSNRCRLTWTGFSLQAFSGLPEFLKLSLASAVMLCLETWYFQILVLIAGLLKDPELALASLAVCMTISEWVLMIPLGFYAATSVRVSNELGAGNPKSAAFSVVVVTMLSFVLSVMISVVILLCSDYISYIYTDGENVAAAVSKMTPLLALTIILNGIQPVLSGVAVGCGWQAFVAYVNIGCYYVVGIPLGFLLGFYFDLGAVGIWSGMIGGTLMQTVILVWVTLRTDWNKEVDDAMKRLQRWEDKTPLLAGQE >SECCE2Rv1G0096460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:439755307:439757838:1 gene:SECCE2Rv1G0096460 transcript:SECCE2Rv1G0096460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSANLLSMLVLVVSLTPGNARAQPQPILINCASASDSTTSVDARTWVGDSSPSNNFTLSFPGAIASAAPGVDGEQDPYGDLYKTARVFNASSSYSLAVAPGCYFLRLHFSQLFANLGAQEPIFSVAANGLRLLSKFSVHGEISWRDSQINSTSSVIVKEYLLNVTSAKLGIEFTPDEGSLAFINAMEVLPVSGTSIFDSVNKVDAHGLKGPFSLHSGGIETMYRLCVGCRDVLARKEDPGLWRKWDSDEHFIFSLNAARPIFNSSNISYVSADDPTVAPLRLYQTARVPTESSVLGKKFNVSWSFNIDPGFDYLVRLHFCELQYDKAEQRKFKIYINNKTAAEGYDVFARAGGKNKAFYEDFLDAASPQMDTLWVQLGAESSAGSVAADALLNGMEIFKVSREGNLAHPTVRIGGISGGVSKPKRSPKWVLIGAASGLIIFIAIAAAVYFCFNLQRKKNRSANKAKDNLHGATHTRSPTLRTAGAFGSNRMGRRFTIAEIRTATVNFDESLVIGVGGFGKVYKGKMEDGTRVAIKRGHTESHQGQGVKEFETEIEMLSRLRHRHLVPLIGYCDEQNEMVLVYEHMANGTLRSHLCGSDLPALTWKQRLEICIGAARGLHYLHTGLDRGIIHRDVKTTNILLDDNLVAKMADFGISKDGPALDHTHVSTAVKGSFGYLDPEYYRRQQLTPSSDVYSFGVVLFEVLCARPVINPTLPRDQINLADWALNRQRHKLLETIIDLRLDGNYTLESIKKFSEIAEKCLADEGVNRPSMGEVLWHLESALQLEQDHLQSTNGDGCSDPQLKPSDIPIRVACIEEVEQSTHPDSHDSDGQVVDVKIEVP >SECCE4Rv1G0274470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:784823551:784823946:-1 gene:SECCE4Rv1G0274470 transcript:SECCE4Rv1G0274470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSHRLVVLLTFLLATFFAIAHAQTYTSPPPPSPMVPDGWTVVSNTSDLAIQQVGQFAVRIYALSTGKLRLGFVDVVSGMTRPSNGGFDYQLVITVSDGPAPRNNRPYNASVWGILGTMSWKLWSFTVVV >SECCE3Rv1G0165740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:178588742:178590274:1 gene:SECCE3Rv1G0165740 transcript:SECCE3Rv1G0165740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLPTAGLLDLRAAAPFVAAAVALYFLAEQLSYLRKKGPLPGPSLVVPFLGSAVRMIRDPTGFWDTQAARARESGAGLAADFLFGRFIVFIRDSELSHRVFANVRPDAFHLIGHPFGKRLFGDHNLIYMFGEDHKDLRRRIMPNFTPRALSTYAAIQQRVILAHLRRWLDQSAATAEAMPIRVPCRDMNLETSQTVFVGSYLTEKARERFAKDYALFNLGLMTVPVDLPGFAFRRARLAVARLVRTLGECARKSKARMRAGGEPECLVDYWMQETLREIDEAAAAGRPPPAHTDDEEFGGFLFDFLFAAQDASTSSLCWAVSALDSHPDVLARVRAEVAAAWSPESGKPITAEKIQEMRYTQAVAREVVRYRPPATLVTHVAGEPFQLTEWYTVPKGAIVFPSVYESSFQGFTSPDAFDPERFFSEARREDVACKRNFLAFGAGAHQCVGQRYALNHLVLFLALFVSVAEFRRDRTEGCDEPVYMPTIVPRDGCAVYMEQRCHRFPSF >SECCE3Rv1G0209080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936459720:936460595:1 gene:SECCE3Rv1G0209080 transcript:SECCE3Rv1G0209080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETKKNFSRDYLTWNGEMDKVLLDVFVEHHNKGDQAQNGWKPHVYNAAIKAIRDKCGLRVTKDKICSRMKTFDKHYATISKILSQSGFGWDWVNNKLLMDSDDVWNKYVEANVKAACYKNKEVKNWEAICTIYSKDHATGEGAMIGAESEAQPIEPDVVDIEASPELPQKRQRTGDAILCMLGDMKGSFQDALKSLEPLELPKVTPPLEIIAALEKIPDLARSDMLRAYGKLILSERLFQALMELPMEFRKEWLLMLNEKNNV >SECCE5Rv1G0374330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862362328:862368248:-1 gene:SECCE5Rv1G0374330 transcript:SECCE5Rv1G0374330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKEEQPPAAEANGLSDLNKETVDLEHIPVEEVFEHLRCTKEGLTTEAAQQRVEIFGYNKLEEKNESKILKFLGFMWNPLSWVMEAAAIMAIALAHKGHDIRGKPMGVDYHDFVGIVILLVVNSTISFVEENNAGNAAAALMARLAPKAKALRDGTWNELDASLLVPGDIISIKLGDIIPADARLLQGDPLKIDQSALTGESLPVTKHPGGGVYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAIGMTIELIVMAAVQHRPYRQTVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDNNIIEVFTRGYEKSDVVLMAARASRLENQDAIDFAIVAMLPDPKEARAGIEEVHFLPFNPTDKRTALTYLDANGKMHRVSKGAPEQILNLAANKSEIERKVHQVIDNFAERGLRSLAVAYQEVPEGTKESAGGPWQFIGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDKIDSDIAVLPVDELIEQADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKVADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLACLWKFDFPPIMVLLIAILNDGTIMTISKDKVKPSPCPDSWKLAEIFATGVVLGAYLAVTTVLFFWAAYKTDFFPKHFRVRTLNVNSIGQDMESIAMNTEMLASAVYLQVSTISQALIFVTRSRGWSFTERPGFLLMFAFVLAQLIASLLSALATWELASIRGIGWGWTGVIWLYNIVIYMLLDPIKFAVRYGLSGRAWNLVTDHKVAFSNQKNFGKEASQAAWAHEQRTLHGLESAPGRDKAGASMELGHMAEETKRRAEITRLRAVHTLKGKVESAAILKGLDLDNINNQHYTV >SECCE2Rv1G0083980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172361827:172362266:1 gene:SECCE2Rv1G0083980 transcript:SECCE2Rv1G0083980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNSAIFLLGLLLSCVAMSGAARILEDTVPSKEEHQPEVPSLPKVELPPFPEVHLPPKPELPKVELPPVPEVHLPPKPEMPKVEVPAFPEVHLPPKPEMPKVELPPMPKMPTVPGIHFLEPEAKP >SECCE3Rv1G0201310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:863075016:863075939:-1 gene:SECCE3Rv1G0201310 transcript:SECCE3Rv1G0201310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGHRCCIDYYPNGNLSECTDSISLFLRLVDTRVKGTSKVKHGLSFIDQHEKQGSSYILAMKPNDFLNTDSSSWDYKNFIKRQDLEKSSNLKDDCFTIRCDIAIATNVDLFIKVPPSGLHKHICDLLLSKEGTDVTFKIGEEEFAAHRCVLAARSAVFKAELFGPMKEGKMASVIQIEDMESNVFRALLSFIYTDSLPEMEMSTIEEGKGQETLWLQHLHAAADRYDLQRLKALCEERLCEHINVSSVTTILTQAEQLNCCGLKGVCFEFLKTTTNLKEIIATDGLDDIIITCSSLLKELIAKLVS >SECCE1Rv1G0042510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:581231971:581232847:1 gene:SECCE1Rv1G0042510 transcript:SECCE1Rv1G0042510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMACPAQSMLSASSCVLLRSSKPQQATIPRGGITGGSRFLTLSCNASSSPEDSECNDVECAPEKEVGSLSVEWLAEERTKVVGTFPPKKKGWTGLVEKDTAGQTNIYSIEPAVYVAESAISSGTAGTSSDGSENTAALTGGLALIFVAGAASILIQVSKNQPPVQTPYSGPPLSYYVAKFQPAAAAFSVPSSPPVVEAAAPEETPSDSPTLEAEPSATAEQPSS >SECCE2Rv1G0073860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:72391284:72392122:-1 gene:SECCE2Rv1G0073860 transcript:SECCE2Rv1G0073860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETKAVASAAGPAEEARSKTVVLVAVDDSDHSHRALEWAVRHVASTSGVAGARAVELVVVHAKPPPSPVVTMGGPGVSGDVVRLVEADLRKKAQGVVDKARRLCVANSVQGVVEVVDGEPRHVLCNAVEKHHADLLVVGSHGYGAIKRALLGSVSDYCAHHAHCSVMIVKQHGEERDGNGQN >SECCE5Rv1G0307550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:115017728:115018297:-1 gene:SECCE5Rv1G0307550 transcript:SECCE5Rv1G0307550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAWKIRFRERVVEADACCRMIPTKIGLARAMLAAPPDGPLAGRIRSIEIAAESLEGVASDLYTATYLLGSATELARRGGATDTGLYLPSDPLRSIRLLPRTAAAQRNACPKLLEARPLAEEAYDAVELCCDQLLGIRRLMDFELLPGVGELIVAERCRTYDSLDKVRKTADRCAFQVAGAREDVAT >SECCE5Rv1G0326920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:487596942:487597708:-1 gene:SECCE5Rv1G0326920 transcript:SECCE5Rv1G0326920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVASYTPMSRSPVLPSSSGSPASRSWRPAAAAAFPNSLAVKCCRPTSVVLRAHPEKQLPVFNIPPTALLYPLQPPDSKERWDIKEEEKHVKIWLQVPGLSENDLEITAGEDMLEIKRKARTGAGGREEPPAEVHGVGAFHVRLLMTKEYNSEDVTAELKDGMLEITIGKADNRGIKKPVGFGKKSTAQNTPTQVKPSSSNVQGNGGTPKIQK >SECCE6Rv1G0388910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:143911106:143911708:1 gene:SECCE6Rv1G0388910 transcript:SECCE6Rv1G0388910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGRRASCYGWCSDFTCAHAIFASGFVTAPVAILHLVKRPYSGAAILFAAFAAFCTTISLLLCCKFYAELSRPPWPRWLSSAPAEARHLQQQDGRETEVSSHEQLRHPEQAVMGRERDDPRDALVPSYEHPGGAAECAVCLGEVEKGDAVRRMPVCQHVFHTECIDRWLRSHATCPICRCSVFTPPERPPEVVLNVEL >SECCE3Rv1G0181350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:611243879:611245077:1 gene:SECCE3Rv1G0181350 transcript:SECCE3Rv1G0181350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHTELALGLIGCGHGDLQTAPFVAKTYQMVCDPRTDALVRWGEGNNSFLVTDVAGFSQLLLPCFFKHGNFSSFVRQLNTYGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGEAGVGASCSSVVGGGEQHQHLVANMGDQMEEEEDEEGREALLEEVQRLRQEQTAIGEQLAQMSRRLQATERRPDRLMSFLARLAEDPSATSLHLIEQAAEKKRQRMQCPSRDFTSFPIALPLQPAPSPPPPPLLALGGAAMGGVRVWQWAEPMPLKLTTFEQPSASSGVQQVPEFEGGRSGSGMGLTDGGTAVETPFPFCLLGQCFF >SECCE7Rv1G0525610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:891749954:891754881:1 gene:SECCE7Rv1G0525610 transcript:SECCE7Rv1G0525610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAFDPPAPVAAATAEADHGASIFTKKNIWSDAAAEGDDDASPWPWDSVKSEEDADFLSHANRALSFLDYEAESSECKYATDIRSHVYPKEAMSPRSEYDRQQPYLPLSSCSWDSSSLEAMPGNPDASGHVMAKRGTKSDIFAPRHGYLTSCPTTGPHMRRSYPEAAKVDYSFDCHSEHHYKGLDQFAAFTNCNSQSIDCRSEIVDMPRGGRCVDEATSFSSRWCFENGGRGSSLPRGLTYGDEIPSLSSRRCNGSGVLLRSSQWQYGAEISSLSSRQGYGDEIPSLSNQKFNGISRSNQWNYSAEIPSLSSRQSYRDEIPSLSSRKSNGISYPRQWQQYGADIPSFSSRQGYGEEVPTMSHHWRYRDKIPLYSGQRCHDAEARRLSSYQQGPFRGNRQPRDNFAHGIVSNQQVKMTATRHTGTRPRVSNRAVNSTTNYRNGRRDNPPRNSEEIRDQVCGPRASKVNNTSTPTAKKDILSPLVRRDQFNKSDFSIQYEHAKFFMIKSYSEDDIHKGIKYNVWASTPNGNSKLDTAFHDAQILMKEKDTKCPVFLLFSVNTSGQFVGLAEMLGPVDFKKTMDFWQQNKWNGFFPVVWHIVKDIPNRLFKHITLENNDNRPVTFSRDTQEIGLPQGLEVLKIFKAYRHGTSILDDFDFYEEKENTRCARKGINADSLHEARLSYFGTDDLKSMGDIEANMESLNLHEPWD >SECCE6Rv1G0421890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:687223470:687224162:1 gene:SECCE6Rv1G0421890 transcript:SECCE6Rv1G0421890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSSPLSMASRIIPPINNDDSRIAATGTAVPTPTTSKVMSSVANLAQLLPTGTVLTYQALSPSFTNHGKCETSNQWLTTALVAVLASACIFFSFTDSVIGHHDGKLYYGVATPDGFNVFNFSDEDERREWAGLDEFRRLRLRLLDFVHAFFTAVVFLTVAFSDVGLQNCFFPDAGRNTRELLKNLPLGMAFLSSSVFIIFPTKRKGIGFNDTTPQQKVVHPLNKV >SECCE1Rv1G0007890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42954835:42956598:1 gene:SECCE1Rv1G0007890 transcript:SECCE1Rv1G0007890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSAQHPWAFTFGLLGNVISFMTYLAPLPTFYRIYKNKSTQGFQSIPYVVALFSAMLWIYYALLKSDEYLLITINSAGCVIETIYIILYLAYAPKQARLFTAKILLLLNVGVFGLILLLTLLLTAGERRVVMLGWVCVGFSVSVFVAPLSVIRLVVRTRSVEFMPFSLSLSLTASAVVWFLYGLLIKDKYVALPNILGFAFGVIQMGLYALYRNATPRPAPKEVDAPISDDGAAKAPEHVVNIAKLGPVAAAIELNTHYPVEPLPPMKEGAAKENGVACASGEKLDKATHVEQV >SECCE7Rv1G0469260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:107230838:107231723:1 gene:SECCE7Rv1G0469260 transcript:SECCE7Rv1G0469260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKLLAVFALLQVLALHLHVHGASGAISLAHKPAKRPGGGGGGKCHISGFLHGKHGSDCCVSGHRYPQFRCSPPVSAETPAILTLNSFAAGGDGGGKSFCDNRFHPDSARVVALSTGWLRLDGTRRCNKMIRINGNGRSVLAKVVDECDSVNGCDAEHNFEPPCPNNAVDGSPAVWKALGLKESIGEFKVTWSDV >SECCE2Rv1G0135730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908399871:908402748:-1 gene:SECCE2Rv1G0135730 transcript:SECCE2Rv1G0135730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLPSSHHFVLLTILICLLVHHCSAVYDVESTAGSGKLLSAKLKLVGGSTEFGPDVKSLILTASLETDNRLRVRITDTDHQRWEVPQDVIPRPAPKDVLLDSTGNPSMPSNSTMSSASSDLTFTIHASPFRFTVSRRSTGDTLFDTSANLVFKDRYLEVTSALPADRASLYGLGEQKKQTFRLQHNDTFTLWNGDVTWSDQPDLNLYGSHPFYMDVRSGGAAHGVLLLNSNGMDILYGGSYVTYKVIGGVLDFYFFVGPSPLDVVDQYTQLIGRPAPMPYWSFGFHQSRYGYKNVADLDGVVAGYAKARIPLDAIWSDIDYMDNYQDFTLDPVNYPSKQLRPFVDRLHNNGQKYVVTVHPAIKRQTAPHEDLFLKRNGANLVGEVWPGEVYFLDFMSPRSTQYWARKISEFRRTIPVDGLWCDINEPSNFKDWQPLNPLDDPPYRINNSGFHLPINYRTVPVSTVHYNGVSEYDAHNLFGLLQAQATHAGLLRDTARRPFVLSRSTFVGSGRYAAHWAGNNVARWDELAQSINTILNFGLFGIPMMGADICGFNGNTTQELCSRWIQLGAFYPFARAHAEKTTLRRELYVWEPTARSARKALGMRYRLLPYMYTLMYEAHMTGAPIARPLFFSYPQDANTYGVDRQFMLGRGVLVSPVLQPGATTVDAYFPAGRWFSLYDYSLAYTMKVGKRVTLPAPADLANAHLAGGNILLLQHANLTTSASRQSEFHLLVALAENGTASGELFLDDGDSPEMGAVGGSWTLVRFSCDREESKGMVTTKLSSHVVQNSYAPSRAQVIGKVVFMGLQSAPKSFTIYVNSVQLKAAHTKSRTGGVFSVSGLSLAIGQKFEIKLVMSR >SECCE2Rv1G0137250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:917496978:917497286:1 gene:SECCE2Rv1G0137250 transcript:SECCE2Rv1G0137250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVLSGVAETIKNFAVIYLVDITEVPDFNTTYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFVDIVETVYRGARKGRGLVIAPKDYSTKYRY >SECCE5Rv1G0325490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:466140185:466144072:-1 gene:SECCE5Rv1G0325490 transcript:SECCE5Rv1G0325490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAARSLAAPRRLAPRSPRPVPLPRFFTAAPHAPSPDPGPDVDPGLVSTLSRVLSDFRGPRHDLPAALRGFAPRITPDAAAAVLRRCRNLPVPSLRFFLFAAGLPGFSHLPDSLLILANSLAAARLFPLLRSLLSDLPPAALTRGLFPRLFRAYSRALLPDDAIRAFSSMAGFGFHPTLADFHSLLFALCHNGLVNHAEVFFRESGTHFDVSAKTYTILISGWAVVEKPENARKLFDEMVERGVEPDVPAYNALIDALCRGGDTARAQEHLKDMQQSRGLVPDAATYGPFLRAACASKDARDALRVLDRMRMHDLTPNVFTYNAIIRLLCELGEIEEAYNILGEIITRGEKPDVWTYNTLLNAHCKKNEVNKALRLIAKMDKGLCVPDRHSYNMLLKMLIGVGRFDKAVEVWDGMETRGFHPGAATYAVMIHGLSCKKGRLEEACSYFVMMVDEGIPPYQATCEVLRDRLTRHGLRDQLEVLIDRMRRSTSCTIQEMANMDSEPSSQNPTDMTVFVNEMGTKIDELEQSVNDLKAEMGTTDVPVKKPDEAKPADSA >SECCE3Rv1G0150440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31706099:31714457:-1 gene:SECCE3Rv1G0150440 transcript:SECCE3Rv1G0150440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRFLGACLLLLAAAAAALADGEEKQGVHLLDAGRLEKFVDELPDMPVLRGYGVAEGGGIVAGELAVGMYDTMWKFHRDLPATRVFAYGASRETATVPGPTIVAMKGVPTRVTWTNHLPPHHILPWDRSLAAERLGNATGVPTVVHLHGGVQQSTSDGHSMAWFTSGLAATGPHFSLPAYEYPNQQPPGNLWYHDHAMGLTRVNILAGLLGAYRVADPAAEGPLGLPSGDEFDRNLVLFDRDFRSDGALFMNATGNNPDVHPQWQPEYFGAVVVANGKAWPFLRVRRRRYRFRILNASNARFFRLSLSGGLRFVHVGSDSVYLARPVPTKDFLLAPSEIADVVVDFAGAKHDAVTLRSDAPAPYPGDPGEKADTVAVMKFMVASKPEHDPSTVPATLMPRYPKPDAREAAVTRHIAMYEYTKNGTDEPTHLYLNGAAYTDPVTETPREGTSELWEVINLTDDNHPLHVHLAVFTVLKQRSLRRVDEFRDCMRGSASGGAGGRNDALACGMQRHLAGGRRHVVPRQERGWKNVFKVRPSTVATLLVRFKPLEEESAKSGCFPFDVTAGPGYVYHCHILDHEDNEMMRPMKIVR >SECCE5Rv1G0310640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:172719787:172722464:-1 gene:SECCE5Rv1G0310640 transcript:SECCE5Rv1G0310640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGEMLVSRGLPCVLLLLACCWLCEAGAGLAPALYVLGDSQADAGTNNHLVTVLRADHPHNGVDYPGCKATGRFSNGKNFVDFLAEHLKLPSSPPPYLSICNTPSSNSIYLSGVNFASGGAGVSNQTNQGQCISFDHQIDHHFSKVNASLVQQLGQARASEHLSRSIFAVAIGGNDILNYVRPGLVKQVLSPCPPTQSPDEFVASLALSLKDQLQRLYKLGMRRMFIIGAAPLGCCPVLRVKEECDAVANYMSSQYNIKVASLLRNMSDKYPDMLYSLFDPSIALLDYFQRPEANGYAVVDAACCGLGGEKNAMFSCTPASSLCKNRTNHVFWDFVHPTEITSQKLTAVAFHGSAPFVTPINVGQLCNTAM >SECCE5Rv1G0303530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:49231637:49232041:1 gene:SECCE5Rv1G0303530 transcript:SECCE5Rv1G0303530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMARSVWLALLVPAIMVSFYGEAATADGQTSTAGGSPPDTNVLCVSKCGTCPTVCTTPPPPPPAGSDGSGYSSPSPPHSMTQPSAPAVPQPQAKGGQPSGYYYFFTAGSGRSCATSSVRYTLLLLALLPVVAV >SECCE1Rv1G0010460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:62373280:62377704:-1 gene:SECCE1Rv1G0010460 transcript:SECCE1Rv1G0010460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANHLQPTTPDHPNAAAAPSFIGPNSAAAAAAGLRVREITLPNGDVYSGTLSSSQQVPEGTGRYVWAGSCCVYEGGWRRGARHGQGRTLWPSGAVYEGEYSAGFMDGQGTYLAGPCTTSSSSSSSYNYKGQWKLDRKHGHGLQTYPNGDTFEGSWVQGQMEGHGRYTWANGNSYVGAMRNGAMSGKGVLTWSATGDSFQGNWLDGAMHGYGLYTWEDGGCYIGTWTRGLKDGKGTFYPNSFRVPAAHQLYIDDLRNRGVLPDDISTNVLQQQRFSSSFDAKAGPDQEPSAAPAGAKMLSLRNLSFERPPIKKPSLQRRWSIGVAIEKIIGGAGSEPQTQGRGDENMAASSSLPILEREYAQGVLISEVVLNKSCLNSIKKLSRRQSRAAKDVKRPGEMIIKGHRSYDLMLCLQLGIRYTVGKITPIQRREVQASDFGPKASFWMNFPTKGTRLTPAHRAVDFKWKDYCPVVFRNLREMFKIDTADYMISISGSDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVQVLLRMLRDYYRHVHTYDNTLVTKFFGLHRVKPSSGQKFRFVVMGNMFCTDLRIHQRFDLKGSSLGRSTDKVKIDENTTLKDLDLNYSFYLEPSWRDALLKQIEIDSEFLKNQGIMDYSLLLGFHYRARQSLLRGGSLPESILPENKLAVLSEQDAMEDDSGYNYREGLVLVQRGSKQDGKVAVGPHIRGSRLRSSSACFKEVDLLLPGTARLQIQLGVNMPARAEKEEKQEDGGKSLRQVYDVVLYIGIIDILQEYSMRKKVEHAYKSVKYNPLSISVVEPGFYSERFLKFIRTVFPENAPNQ >SECCE5Rv1G0320550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:389634954:389636279:-1 gene:SECCE5Rv1G0320550 transcript:SECCE5Rv1G0320550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGMRRILNLGLYDGLKHMYSIRRLDLLKIIFFHPTAAHGKVLPTLTPAEARASATNTTRISSTNLAAAEAAAPKIDAPKTELFIRPPQVDYTLCNDRTVHFLPTSSESKVVLADRGNRLMRFNVVDGTRCINTLPWLHGPKVMPMSISLPPTDVHLHDREDTGDLYIIDGLLYPNRAEKRPQFEALVWREINPCGHRFWNCDILPLPPWITHHKKAFVHSHALVGDDTICFSISLTEDATTYRYSNSPGVEGAGTYCFHMATREWSKAGDWLMPFHGKADYVPELGLWFGISSQGNLPCAADLWGVLTGEEPSSPDKMRIWARDDLPEEWQSDMFFRSKVASLGSGRFILMDILGNTASTSAKEFTLFTGMELAFSNGSKGNGHHNNSGTDSSGNESSSSGDDENDGKGKTGTMCGLRMMKHKSGRYTFNGKLKIEAVL >SECCE4Rv1G0223220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:67232628:67235174:-1 gene:SECCE4Rv1G0223220 transcript:SECCE4Rv1G0223220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVLPTLRRKREVDTAIRDTLDKVLVLRFGRASDAACLQLDDVLAKASWDISKFATVALADMDSEEIQVYVDYFDITLVPAIIFFFNAHHMKMDSGTPDHTKWIGSFSSKQDFIDVVEAVFRGAMKGKLIVSCPLPPERIPRFQLLFKDV >SECCE5Rv1G0316110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:307570884:307574061:1 gene:SECCE5Rv1G0316110 transcript:SECCE5Rv1G0316110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 6 [Source:Projected from Arabidopsis thaliana (AT4G16350) UniProtKB/Swiss-Prot;Acc:Q9C5P6] MVDFPEGLRRLAALLLKCCDLDIPNRPKGLEDPERLARETVFSVNEIEALYELFKKISSAVVDDGVINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILEFEEFVRALSVFHPNAPVDDKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDEVIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA >SECCE7Rv1G0525330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:889429033:889430191:-1 gene:SECCE7Rv1G0525330 transcript:SECCE7Rv1G0525330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFAVALLTCLLALATLASCNVEGDILYAQRQAWEDPSNVLQSWDPTLPDPCTWFHVFCSSDGSVIRVDLGKAGISGPLIPELGGLQHLQYLELYANNITGSIPAILGNLTSLITLHLYDNLLTGAIPASLGAIRTLRYLRLNGNMLTGTVPPEILSLVLVGNLAELNVAKNYLEGTVRSSGRRRVLQG >SECCE6Rv1G0405060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:526753740:526754321:1 gene:SECCE6Rv1G0405060 transcript:SECCE6Rv1G0405060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSCAAETGLVAMDCVVVCCCCPCLVLQITVFLFVRLPRKVVVKSKRVILRRWHMRRRRRSASASAAAKRLSRSSSAASSTGMRRLEELLDGDFGATFKNVNGGECSGSDGDSWKERCFAMDEDDGGGWNKRCFAVDGCDDNGVWEALVEQEGLFWFGSFWGRTEQGDPVSAEDQMYAGLSLPVVLERVRD >SECCE6Rv1G0385020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:71380291:71382739:-1 gene:SECCE6Rv1G0385020 transcript:SECCE6Rv1G0385020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKVHIAASSDVVLPEDMLLQILVRLPAKTLCLFRAVCQSWRSLLSDPLFVAAHKSHHSGPLFATCARLYGSGTIDILDLSGSVVKRIATSMAAARLVRTCRLDLICVTGSNHFAACHVINPATGDTFALPIHRAKEHAHVEHFFPVSFDFGQVGSTGEYKAIRCVSIDNSDSESSPMLCEVITLDDGRHARWRGKQGPPAPIASNRDKSVAVNGVVYFLLEVQCPTFSGDHVEPGSMALFNLETEEWMGIVKGPIPVRTFVEDSNGRSSYFELHMNLSLICLDGFLVMAHDPEYGPWDLWFLMDIEKCLWNKRYSIDSQYENLFAQPVDILNDGRVVISAPGLLRFYNPVTKTYTDCKMRKSTSVGTYTGSLLSSESTFTSDAERCTTCGCYFTLEADKYSDTCEDCMLRAYAWPC >SECCE4Rv1G0279850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817178291:817179952:-1 gene:SECCE4Rv1G0279850 transcript:SECCE4Rv1G0279850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQGSRRSKDLEGEEPLVWQGNNSEFLVFDFQQLLQATNNFSEENKLGQGGFGAVYKGKLADGLEIAVKRLSSHSGQGFIEFKNEVQLIAKLQHSNLVRLFGCCSLEEEKILVYEYLPNKSLDFFIFDEKRRALLDWSKLVAIVEGIAHGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFNSDSTEGNTTRRVVGTYGYMSPEYASEGVFSIKSDVFSFGVIIFEILSGKRNSGSQQYGDFINLLGYAWQLWEEGRGIDLLDTSFVPNGQSPKIVRYINIALLCVQENAADRPTMADVIAMLCTDDMNIDEPKHPAYFNIRVGNEESSTATESCCINDMTISVAIPR >SECCE3Rv1G0180110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:563063660:563071136:-1 gene:SECCE3Rv1G0180110 transcript:SECCE3Rv1G0180110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREIHRVTSLRRDSSLWRRGDDVFSRTSSRFQEEDDDEEALRWAALERLPTYDRVRRGILTVEDGGEKVEVDVGRLGAHESRALIERLVRAADDDHENFLLKLKERMDRVGIDYPTIEVRFEKLEIEAEVRVGNRGLPTLINSVTNTLEAVGNALHVIPSRKQAMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLAMAGKLDKDLKVSGKVTYNGHGMDEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDHDIDVYMKASAMGGQESSIVTEYILKILGLDICADTLVGNEMLRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPRENVLEFFEFMGFKCPGRKGVADFLQEVTSKKDQEQYWYRGDRPYRFVPVKQFADAFRSFHVGKSIENELKVPFDRTRSHPAALATSKFGVSRMELLKATIDRELLLMKRNAFMYIFKAVNLTLMAFIVMTTFFRTNMRRNVEYGTIYLGALFFALDTIMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFVEVGVYVFTTYYVIGFDPSVSRFFKQYLLLLAINQMSSSLFRFIAGIGRDMVVSHTFGPLSLLAFAALGGFILARPDIKKWWIWGYWISPLSYAQNAISTNEFLGPSWNQIVSGTNETIGVTVLKNRGIFTEAKWYWIGLGAMVGYTLLFNLLYTLALSVLSPLTDAHPSMSEEELKEKHANLTGKALEGHKEKNSRKQELELSHISDRNSGISDVDSSASRKRLVLPFTPLSLTFNDTKYSVDMPEAMKAQGVTEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGEITVSGYPKKQETFARISGYCEQNDIHSPHVTIYESLIFSAWLRLPAEVDSERRKMFIEEIMDLVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSANLIEYFEEIEGISKIKDGYNPATWMLEVSSSAQEEMLGIDFAEVYRQSELYQRNKELIKELSMPPPGSRDLNFPTQYSRSFVTQCLACLWKQKLSYWRNPSYTAVRLLFTIVIALMFGTMFWDLGSKTRRSQDLFNAMGSMYAAVLYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIEFPYVMVQALIYGGLVYSMIGFEWTVAKFLWYLFFMYFTMLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGYLIPRPKLPIWWRWYSWICPVAWTLYGLVASQFGDIQHLLDQGVPGPQITVAQFVTDYFGFHHDFLWVVAVVHVAFTVLFAFLFSFAIMRFNFQKR >SECCE7Rv1G0482060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:299392628:299396163:1 gene:SECCE7Rv1G0482060 transcript:SECCE7Rv1G0482060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGTNSAMGSSSLPSRVVYNTGNDLPVEYIYDQGLSYPATNGYAYYAGFEPPVGWSENPNYWGVDGQYLQLANDNLPYLYCTPGYEFSYSSQDQYSYMPGMFMGVDGVVGSQQYFTNPYQPPGSPSGYFPVYLQPTTDLSSAVSLEPPVFSTGTSVASRPANTSIKDTHQMSGNTMASRTVPSGSPAIGSSHHAYHNQSTNKPSDLPGANVARHDKPSTSHLTVLVDTDKNFQAASGMGSSGDNGQITDRAEVAPVAAMVGEGVQSKAVSSSAVKNIVIHPDQYNKADFPCDHPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNRRLDAAYSEAKGSQRKCPIFLFFSVNTSGQFCGVAEMVGPVDFHKDMDFWQQDKWSGSFPLKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPYKSGINMLKLFKSSPMTTSILDDFPFYEGRQKAMLEQKRRSLGRSFGGLTYVPALVAKRSVVVEGEPSEVGEGISSKDPHPGKTGQVSGACEEPDKTSQTKDGVVTQVLKKDAVSPVEQPEHVKTELHSLDDIHKQNEGCSVSVSPENAGREHAGLSELVKSNGEVYSDHESQPVISSTEPNSSGRKGLSQEFGGHDPSDRTKGGASGITKDMKATLLKKPEGLSTSRMDGQAKGIANESPTGVVKVGSVHIKLNVTGGSPSEIIGDGSLELKGAEHTEQGISTKLS >SECCE1Rv1G0032630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:458201165:458206407:-1 gene:SECCE1Rv1G0032630 transcript:SECCE1Rv1G0032630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAGAGSWDTELAWHLLTVLLRIGRPAAAAELAAVASTSAAGAMTPQHVERLCHVPGSPLRSSGGTVSVSGTAVVAFLRFLGWDLPAVPKVRLRPSDLRSWCAELPIRYERKRKAPDADRFGVKRRLLMEADADLVELKQQLPIVPSCSSVAAGEVHLEVMQDRLPLVSNIAEIGTPCLQPKPNQSLGVSNDNLLGNMASTLTWQELSVRPFTDIPSLCAEKSENIHAAVNEISRIGELEGALSYYNCRVEDSEDLEKKSSSLPMIVEVPLVGDTKIWLDEDLNLFHTRPCSPSLNCDTQLVDGIVRTSVMPDQATVQNDSPNAGQGEEIPSCGQDANTGVLQSATCTKAEPLLQEPIHEMNKSSCQPSLGTKVDLAALPLEATSHDCINNEKLNVVAENIDSTYLKDREQLHKKVGTIFPKKEQDGKMVKQREKRKKNDALPKEDKDQLAENSQKGHAEPKPLPSFKNFVVEEEEGSGGYGIVYRARRSEDGKVFAIKCPREKAHSHHVDNERKMLERFGGKSFVIRYEGCFRSGELDCFILEHVKHDRPEILKREINVFELQWYGYCLFKALSSLHKQGIVHRDVKPGNFLFSRDQTKGYLVDFNLASDVHHKFLKSSKSETMSCGKDTASQSSLKSSLVIHAKEAAADSKQPLGPKRKRSNKIPVGSDPRIDNKSRHGSQPADGSGVTSAKDPTSAKTPLDRLKQPMPYRGRKELMSFLQEAMDSPKQNIPAAPVSQRKRVAAPVGSVDRKLFVLTPMPLRCDGNAVAGSGTINSKGNGKQRREGPCVGTKGFRAPEVLLRSSHQGCKVDVWSAGVTLLYFIIGKAPFGGDPEQNMKEIAKIRGNEELWEVAKLHNCESSYPQELFDVKLLQSVDLREWCMANARRPELLEQVPDSLFDLVDKCLAVNPRCRITSEDALSHEFLAPCRESLKKNALRTRSASASHPPCLARDAMVNANGL >SECCE6Rv1G0396310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:362569368:362571685:-1 gene:SECCE6Rv1G0396310 transcript:SECCE6Rv1G0396310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFYSSSDSQRSVYTEVATDLQILVGKCLYHLHKFPLLSKCLLLQALCAESGCDGDGDGDVIELAGFPGGAEAFEVCAKFCYGITITVSARNIVPLRCAAAHLGMSEAADRGNLVSKLDSFLASCLLRRWKDALTVLHSTRHNVPLCEELGLTSRCVDAVADLIVNPAAALVPAKSTSASPWWAHDVAELGVDMFWRIMVAVKSTGAVNEKTIGDALKTYARRWLPNVAKDGLAAEQPFDDASVKQITTRHRLLLEKIVSLLPVDKDAVSCAFLLKLLKAANILSASPASKAELVRRVAWQLEEASVSDLLIPSVSCMSDMLYDVDAVAAILHEFALRHAAVTAPARGPDDNSPARSDGHRRSRSDESVGFDGARRSSSAAPVSQYALARVGRLVDAFLIEAAKDPHLPVEKLLAIAEAVPDSARPEHDGLYKVVDSYLKAHPEMSKSARKRLCRVLNCRRLSDKACSHAAQNELLPLRVVVQVLFFEHARAAALSGAPVAGELPSNIKALLSKSGSEEDDADRVDEQRLRALASGASPGDDWSVEGLRRAASKIATLRMKMEEDDEDDEEFVRKAGLSRSASLRFRALCAMPTGNPKRMLSKLWPLGRSVAADRH >SECCE7Rv1G0519750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865651871:865655131:1 gene:SECCE7Rv1G0519750 transcript:SECCE7Rv1G0519750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEDVLHMATGDGENSYAANSRIPMKAMLKNRPLLQRTVEELYTSLSPASTMVVTDLGCSSGPNALFIVAEVTGMISDYNQKNTDEQHGVELQFLLNDLPKNDFNLIFQSLDQFHTATRKGEGDEAATPPYYVVGLPGSFYNMLCPSHSVHLFHSSYSLHWLSKVPEELSSGNYLNEGNIHIGKTTPHSVANLFREQFHIDFELFLTLRSKELVSGGRMFLMLLGRKSEEMLTHGEIGTVWELLSESLRSLVLKGRVEKTKLDSFNLPLYAPSMDEVKAVINRTELFGIEHVGMVEVNWDPQDDDSDDEHMVLDTASSGRNLSMTIRSVLEPLIAGHFGEGIIDELFAVYACVVAKHLEKRNAKLPSIVVSLKKAMH >SECCE5Rv1G0321150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:397700435:397705039:-1 gene:SECCE5Rv1G0321150 transcript:SECCE5Rv1G0321150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSRRRFLLSLHVALLLLVPCSCQVGDSCSAARDCGVGLYCGDCAAAGRTRPSCIRDLAIQPTSIVKGLPFNRYSWLVTHNSFSIVGEPSRTGVERVTFYNQEDTVTNQLRNGVRGLMLDMYDFGGDVWLCHSLQGQCYNFTAFEPAIDTLKEVEAFLSENPTEIVTIFIEDYVHAPMRLGKLFTAADLMKYWYPISEMPTSGQEWPTVTDMVAKNHRLLVFTSDASKESSEGIAYQWSYLLENESGDPGIDPSACPNRKESQPLNSRSASLFMQNYFPTIPVESEACKENSVGLPQMVQTCYAAAGNRIPNYIAVNFYMRSNGGGVFDVQDRINGLTLCGCNTIAACQAGAPTGACKNTGAPNRTTSSVNGNVYSGTIEFKTSASRTSSTSIWSNLIISLSLALILKPF >SECCE1Rv1G0038970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:544658495:544659562:1 gene:SECCE1Rv1G0038970 transcript:SECCE1Rv1G0038970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDQKMIIVCSVVGSLGVLSAILGFSAEGTKLTVLDVYVGLGVCLYPQNPALGLGVCAAIFLVVAQIIFAVVGGCCGCCKSRAIPSETNRIIGVVCAVFSWITAIIAFALLVTGAAWNATGTRDPSPFGLCYVLKDGIFAGGAVLTLVATALGLTSYVMLRGQPATAASAAAAPKEGEQTPAGAAGITMGQPQFQQQPPQGQAPPNHPQYSPPPQGQGYGQAPNPHHTPPPAQGQGYGAHAPNHQQQQQQLPPPPGRGYGQYPPPKGPEQV >SECCE1Rv1G0056090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:684798805:684801071:-1 gene:SECCE1Rv1G0056090 transcript:SECCE1Rv1G0056090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAPAPEEQPPRPVRFGIMGCASIARKLARAMLLAAPACAVAAVGSRSEAKARLFAADNGIPADARLHGSYEALLDDPAVDAVYLPLPTSLHVQWATAAAARGKHVLLEKPTALCAADLDAILAACEASGVQFMDSTMWMHHPRTAKMRDLLPVDVGDVRVINSMFSFRANEDFLQNDIRVKPDLDALGALGDAGWYCIRAILWAVDYELPKNVIALSDPVKNQAGVLIACGATLYWADGKMATFHCSFLANLTMDLTVVGTDGTLHVTDFIIPYEEKSGPFSVASKSNFAELSIGWVPQPSKHVVMTDLPQEALMVQEFCRLVRGIRDAGAKPEGKWPAITRKTQVVMDAVKTSIDNGFGSVDVVS >SECCE2Rv1G0090210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:272570256:272582707:1 gene:SECCE2Rv1G0090210 transcript:SECCE2Rv1G0090210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 3 [Source:Projected from Arabidopsis thaliana (AT2G32415) UniProtKB/Swiss-Prot;Acc:A9LLI8] MHTVNLKSRAALAAAAACFAFLAAAALLHRRRRRGVSPTSPRRVQERRSRRARRACEEEEKPQGRFKRVLADNSYSPFKHLRRQGADQAVDVQPGEAKPQPQESSQKMHPFEDEITSLLDNPTRYSTFCNFTPSSQCPEMSNSYNWVNTKAQLEHLAGLLGEEKAFGVDTEQHSFRSFLGYTALVQISTQKEDYLIDTIALHDEMGILQPVFASPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLLKPQKSLAYLLELYCGVTTDKTLQREDWRLRPLTAEMIEYARCDAHYLLNISNCLASELHAKSCDSPDGKINFFLEASRRSNMVCMQLYTKEIECRPGASSAASILSRNVQTHGLDSKKSSEVKDLVRKICAWRDLMARMHDESLRYILSDQAIAALAVRVPKDRTEMCAVIAEAEPSASTMHPSLSSPSPVVVAHIEELCYLIEDTTVSMDNLFTTLLGKYKEPSGLCRLSVYNYNLVSQLSLKQRNIFAFASSGEKLSTPPPNKKASRESFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRDLAKLVEDNPPGIMLLFEPKGRPEDEDNEFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRRLSEELGIPLFVQKIVNSGDRSLITDASVSEDKLNAKGVSPLLLRTAAMALLRHGSTMPSKRCEELMQIVKSYYGGRDVTSEDLEMALLVGMSPNERRRLEKKKGYPHSFRAQTENIIRKSSNKAILEDMGDDSKNRHALSEQVSEDGNGSSGQQDADRTGCNSQAEDLIVSQRSASLSVSMDDSTCDPNTEKLGSDGMQRPSGGTQANGHLDEDPASSDNSSQVISKNADKKISLLGHGHHGKQVVELLLANGGEEAVHQFCQRWRHVFVEAVHPRYLPSGWNIKHSGRRDFGDFSVYKPSNQEPQPSD >SECCE7Rv1G0501700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:686657558:686666155:-1 gene:SECCE7Rv1G0501700 transcript:SECCE7Rv1G0501700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSSQIVETLPEWRDKFLSYKDLKKRLKLIGLGADGEERQAKRARVSEPAGDGGDEAAAAAAAAMTPEEADFMRLLEAELDKFNSFFVEKEEEYIIRQKELQDRVARAAGMESREELLRVHKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQNVLLQPFFTTDLLYKLVKECEAMLDQLLPSNKPSVSIEEGKENGNTADQPLNPSSSLANSRCIPELDEIEFMESMYMKSTVAALRALKEIRSKSSTVSAFSLPPLQGNNAPEEQERWTTLSVIEQAAK >SECCE6Rv1G0446210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842920648:842921762:-1 gene:SECCE6Rv1G0446210 transcript:SECCE6Rv1G0446210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMTLQYIPHDSTRRNRFKKRLKGLMKKADELAILCDAKTCVLVYDEGKATPEVFPSQAEAVGILNEFKSIPELRQCKEVMNQEGFVTKRIDKLWDQIDKTRRECEDGEIRYLLHKTMHGDLSGLVGLNIEGLTKVGYKVDMLLKSISERMEKSIPRHRRQLHLSPSNLGRVLGDLMLGPLVSCYFW >SECCE4Rv1G0215480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4775689:4778602:-1 gene:SECCE4Rv1G0215480 transcript:SECCE4Rv1G0215480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGGGGATLSEMYQSARRLLLSARDGVARVERLASAPASSSYSASAPLVGAPDPAGAEAVRREVAQIQGLCAQMDRLWRSIPAKPQRDLWKRKVEQLSEEVDSLKETLDKHTLRQRKRILEAKERAELFERANGESSHVLQIFDDEAQAMQSARSSSRMLDEAFETGVAILHKYSDQRDRLKSAQRKALDVLNTVGLSNSVLKLIEKRHRVDKRIAYGGMIITVVLMVAFWRWTH >SECCE1Rv1G0005590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:26767804:26768296:1 gene:SECCE1Rv1G0005590 transcript:SECCE1Rv1G0005590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDECKLKFLELKAKRTHRFIIYKIDDKKKMVVVEKVGEPALNYEDFAASLPTNECRYAIFDYDFVTEENCQKSKIFFVAWSPDTARVRSKMIYASSKERFKRELDGIQVELQATDPTEVGFDVIQGRAN >SECCE4Rv1G0266450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:731828610:731830433:1 gene:SECCE4Rv1G0266450 transcript:SECCE4Rv1G0266450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHLAAPVTAMSRRRSVDGLLLPLPLPRPFRVCSSRQPAVSAPSPPLPGTGADLGGADRLHLSVNNNSKSKRSESFPELIHILIPQAPGNQPLLISFAYSDLPHLFESGVKQPRLVTYKYSHKLASSLPAPPKLLFCSRSRYYNPMEDPSSVDTMIFNFRGLGLPTNLDLRSLVFRVLPQLLGLSPPSPTSLNILKPPLLIEATLPELPEDILMRIFATLEIPDLIRAGAVCTFWRSAYTSLRTLGKHKQPQTPCLLYTSESSSENVACLYSLVEKRVYRLTLPEPPLHTRFLIGSSLGLLVTVDERSEMHLVNPITGQQIALPSVTTMQHVKPIVDDSGAVHKYAYSRHTAKRVICPPEKIAPAALREIFHQKALLFYDTPTRSYIVVLIHMPFGQLSFARVGDDKWTWLPPHTNYFDCTYKDGLLYAVTLMGELHTFDLSGPAVTMNIIIGLDDDDLEIQGVYILEAPWGGLLLVWRLKMYSGNQDDISSLTLHTKGIKIYEVDVAAKKLVEIDCLHDHVLFLGHNQSLCLSTKEYPALKENRVYFTDDNEYITVHKDNRRDIGLLRLDNNSWESLVFPQIWSNWPAPVWITPNLTMMKLSSNN >SECCE7Rv1G0504300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722141258:722143175:1 gene:SECCE7Rv1G0504300 transcript:SECCE7Rv1G0504300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGRTTATTACVTGAGGFVASWLVKVLLSRGGGRYAVHGTVRDPGDAKNAHLAALDGAAERLRLFKADLLDYGSMAAAVAGCDVVFHVACPVLANHTPNPEADLIAPAVTGTMNVLKACSEAKVKRVVMVSSVAAVMTNPSWPEGKPMDEDCWSDVDYCRSTENWYNLSKTLAELQAFDYSKRSGLDVVTVCPSLVIGPLLQPTVNASSSVIVGFLKGDNEVKSKIRNFVDVRDVADALILVYETPEVSGRYICSSHARKVSDVIDLLKSMYPAYKFANKFVQVDDEPSFSSRKLEMLGWKIKPLEETLRDSVESYEAKSVLD >SECCE5Rv1G0321340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:401961604:401973835:1 gene:SECCE5Rv1G0321340 transcript:SECCE5Rv1G0321340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKHAKYQFLFSSSATVLHLRRRELKLLSSPSFLSSTSSAPISSLQQGHLRLVPSAGPTEQVLLPADSHSTTPNGTSSNGNRNKGRRRSRRKSKETAKEEKECVPSAEEVSIRIAEAYESGDPLGRKELGRCVMQWLKLGMCSMASKFASTEVQNDGAAFSLDGGSSEGNLEFMILAQPYLAAIPMPQGHEALCLKASTHYPTLFDHFQRELREVLLKHQNQGLISDWRSTESWILLKRLAKSTQHRQGARKPKAPEMHNLLGISLDKTRLMQTKIEDFVKKMSDLLDIERDAELEFTQEELNATPTTDSAAKQPLKPVEYLVSHGQAEQEQCDTVCNLNVVSTSTGLGGLRLVLFRVEGTHKLPPSTLSPGDMVCVRTCNNQGEVGTYCVQGFIHNLGEDGCSITVSLKSRPGDPTFSKFSGKSVRIDRIQALADGLTYERNCEALILLQRKGLQKTNACIGVVATLFGDKEDMMMLEQNNLTDWGESEKQDDGLLGKYKYDFDASQSQAITLGLNKKRPVLVIQGPPGTGKTGLLSYLIDCAVRQGERVLVTAPSNAAVDNMVEKLSGTGLNIVRVGNPARISPSVSSRSLGELVKRRLAKFTQEFQRKKSNLRKDLKQCIQDDSLAAGIRQLLKKLGKNFRKKESEIIKEVLSNADVVLSTNIGAADPLIKEIGFFDLVIIDEAGQAIEPSCWIPILRGKRCILAGDQHQLAPVILSREAMQGGLGMSLLERASSLHDELLTTKLTMQYRMHESIANWASNEMYFGLLKSSPSVASRLLVDYPSIQETWITRCALLLLDTRMPYGSLNIDCEEQLDLAGTGSFYNSGEADIVAQHVVNLVLCGVSPTSIAVQSPYIAQVQLLRDRLEEYPEALGVEVSTIDSFQGREADAVVISMVRSNSLGAVGFLGDNRRMNVAITRARRHVALVCDSSTICNNEFLARLLRHIRQHGQVRHVEPGSYGGDYGLGFNPPALPSIS >SECCE2Rv1G0064450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:3986813:3987880:-1 gene:SECCE2Rv1G0064450 transcript:SECCE2Rv1G0064450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGNAHAPISLPRDVIFDVLSWLPVKSLCRFLCVSTEWRALICDPAFAAVHKSQAKPLFLAFSFRFQESSLQLIDMDGNVVRTIADELGHLSKYSVCTRVDDQACVLIGSFTAKVIDLATGEVLVAFHGPRGTLGFGRAVPSGAYKVVSLGNTCEVFTLGDRVGWRKKPELSTYQYYGCYDSGIVVNGMWYLFVQQHGYTQEDTVLCFDLESEEWRKEIQGPPKDNHFWYIITLGELHGSLCVAKSKSDSRDRDGWIVWLLADFDKSVWVKAYVIPLDASTHSQLTPLMVLPDGEKLLFSCSRPRGRGSAPAPLLRIYDPRRGTSTDALKMPPFCAWNISLCNLNLERFISVKI >SECCE7Rv1G0501660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:686107302:686109770:-1 gene:SECCE7Rv1G0501660 transcript:SECCE7Rv1G0501660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATLFPNKVEYPTVEAVDAALALTLSPGGGGGGSWVVEMEKTIGDMNIDPAVEMARWKRHSVYRVPERIKNLHNSKAYQPELVSLGPFHHGDPELLPMEEHKRRAVVHLVKRSGKPLREFVAAVAEVATQLLDAYKDLGDEWRGADNRERFVELMVTDGCFLVEAMRMDALRGKVHEDYAPNDPVFSKYGYLYLWNYIQSDMVVVENQLPLLLLQRLLVVMDHDRYQNASGVSRLVLDSLCPWRRHLVGINHLGLHPLDILYTSLTHGDHQERTGSTAYVMPSAMEIYEAGIHFKVSDTDSLLDVHFEHGVLSMPAIRVDDRTEKKFLNLMAFERLHPGAGNDVTAYVIFMDNIISSAKDVALLRSKNIIECGLGSDEEVAKLLNNTLNKGGVMSPSSRLHDVQRRVKAHCRMRWNRWRANFFQRYLRNPWVFISLVAAVVLLVATLLQTVYTVLPFYSNT >SECCEUnv1G0554440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:286983536:286985050:-1 gene:SECCEUnv1G0554440 transcript:SECCEUnv1G0554440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVDEIHKILRERIEQYNRKVGIENIGRVVQVGDGIARIIGLGEIMSGELVQFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVVNALAKPIDGKGEIIASESRLIESPAPSIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQGVICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVVAEMADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKTSQNQLARGRRLRELLKQSQANPLPVEEQIATIYTGTRGYLDSLEIEQVNKFLDELRKHLKDTKPQFQEIISSSKTFTEQAEILLKEAIQEQLERFSLQ >SECCE7Rv1G0493630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:571643520:571675167:-1 gene:SECCE7Rv1G0493630 transcript:SECCE7Rv1G0493630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSEKWAVVQYGHHGPATKVYRFQILLPNGTSTSVKLRDPGEEMPLPDFLHRIREEVGDASAHGGQRRGIEWDGDVYLEDLLDRKIDKKVQFSDFVTKGTNILRLQDGEEFVRTYENMWDLTPPTELLQELPAEYSIESALADLVDNSLQALWSNGDKERKLIRITVDGEKIVVFDTGRGMDGSEANSISKWGTMGSSNHRVFRKQGIGGKAPYLVPFFGMFGYGGTIASMHLGRTAIVSSKTKESRKVFTLHLSREALLEKSSSKLSWKTAGGVRDPSEEELALSPHRSFTQVEIIGLNRHLDPAKLQGFLKDIYFPYIQYDEDNGSMSTRRPVQFEVNGVDLAEIQESEVTLTNLHSSNGPDFVLHLKFSCTLTNAASRQAHARIKCVYFPIVKGKESIDSILEKLSENALGVKEKFDNFSRVSIRRLGRLLPDARWGPLPFMEPKQSKGQKAELLKRCCKRVKCFVETDAGFNPTLSKTDLAQHDIFTKALRCFDGSCRNDSSVEEVSVDARKDERSLNRTQLEKQYHEWINNMHAKYDVEMDGGDDEHTVIINPSNKERLGISKDVEVIRVHSSVSRKGKTWRRGDHLKIQPGVVARMKNNFYSSKSIFYGTLEYVVVEGLRGDICGEARLICRSIECPGDQGCLLEVGQDSMHLNIQESFSFPISVIDDNKCQTMEEDSWCQMLKKKSAKAPACIEVLRNLQGNALAIDGDLPFEKVITAGYNHPREIIAVIRPQNATTCSTSLLDKRYILKDDDLEMAMEINHLPGSKDHLSTKFIYKKLKKPSSRNSINGLYIFQLSEEPSMFTKSGVYRFIFSVRCRDSTVIKHETKITVRPNSNTRHWQLSCDANWSTDNAVVDIRLGMPVRCLAARSHDMYGNGIPFLDIHKAVMTILGGDDTLAHVKDIKVDLSTDLLTLYIRDFLFKTNKLDRVRPNYEAMLKISLSDSEFSHPCKVKPGLPSTINMDMSLAWEKNLTPGEVIDDALLEVLDHCGNHVEEGTELIVNTVGLSFVDKYGPVRKVNSEGFLDLCGMLKVVNGFGSEACLMIFNHKKKIFNRTFQIAIRNLKAVKVPESCPAGTFLENIIFEVSDCDGVIDESIHGLQHTLSIRSNQLKDVQGAQYAFEHGRCVLPRAQVPNEPGTVSFVAYHTHFADLETTVQIHVYALDLVRVNSENYFDPICSYPTPSVSSQDLLSPSQLASCQSVRYIEDAMRKVSDEIESIDSNIRSEEEMIKFLDSHKKSVENRIASLKDEIGHRVGAKELTRHKIEENVGTAAAVLCNLSNRKAYEPGQCFKDEVVGIVALLGTVANRELSRMLSVYLGEDNMLAVVCKTRDAANYFEKYDTDGNVDIHFGIHQEAANLGVPISRRFSIICLDEIRPYNGSFRNTRQKKLNLPFPHSETPKGFRGFAVNLINLSAENLEIITSSGHGLRETLFYRLFGELQVYETRNDMRQAMPHWRNGAISLDGGIIKGDGMLLLGYSDPEITFPVMPDAPDVLEDSEDVFTKVKKMNAEKNVLEKVENKIRKAEENRQKLVMKRNKKKRKFDEMAGVMSQPSGSQFDQYHPVSQ >SECCE5Rv1G0353520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:717950216:717952864:1 gene:SECCE5Rv1G0353520 transcript:SECCE5Rv1G0353520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEATASGGGAAAGANDPRQPATARPYAPPKLSSQDLPVDYAGFLAVVFGVVGVMLHYKVCSWIAIIFCAQSLSNMKNFENDLKQLSMAFMFAVMGLVTNYFGPRPGGAKR >SECCE1Rv1G0035420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:498539616:498540605:-1 gene:SECCE1Rv1G0035420 transcript:SECCE1Rv1G0035420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAPASSFHHQRAAAAAAAAADGADQGFALLSAGQCGLADPGAPKSGSAIQFWQSHSQPPTTPPAAAPADKKQVAAAVDYGGVSSGSGGSTTCNDCGNQAKKDCPHQRCRTCCKSRGFDCTTHVRSTWIPAARRREKHPLGGDLSPPAAPATAATKKPRFHSSQTTTTTTTSTSNGTSSSQQDAPFRDSLPRHVRAPAVFRCVRVTSVDDGADEFAYQAAVTINGHMFRGFLYDQGADNGRTSNDEPSHAHAAAVRSISDLHLGNASAVPPDMYNTVSGALILGGMGYGNTMN >SECCE1Rv1G0059850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707954792:707956771:1 gene:SECCE1Rv1G0059850 transcript:SECCE1Rv1G0059850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRALDDAAEPSPVFLSREKRQRLALERRQAAVADLRRSALPTLPGPLPDSSSSCRRYQDRHRDRRGKDRDTDRDGREKDRAVKMAERAREKELEAIREQYLGGSKDKKPKTVAKPRDRFRFDWASTDDTSRVDNADAPYQPAHGPLLLYGRGFLAGIDRREQKKAAAAVLHKEAGDGAAATYDAIDMRVDTHWTAKRTEEMTERDWRILREDFGISYRGSRVPRPMRSWAESGLGAELLRNVDRAGYRKPTPIQMAAVPLGLQRRDVIGVAQTGSGKTAAFVLPMLAYIARMPAATSHSDGDEGPYALVLAPTRELAQQIERETVKLAACLGIRVVSIVGGKSDGQSTIQKQASMLERGCEVIVATPGRLLDCLESRYAVLNRCSYVVLDEADRMIDMGFEPQVVGVLDAMPSSHLKPENADEVLDEERTYRTTHMFSATMPPAVERLARKYLRNPVAVTVGSAGKAADLVTQNVVMVKVQEKMPRLKRILADDLGKDRTAFVFCNTKNSVEKLTHDLESTGLCRVTALHGGKSQDERKASLDGFRSGRFNVLVATDLAARGIDVPEVAHVINHEMPSSIDLYTHRIGRTGRAGKKGLSTSFLTLENTDIFFDLKQMLVQSNSPVPPELARHEASKFKPGSVPGRPPRRNDTVRASYH >SECCE1Rv1G0050360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:646257162:646258232:-1 gene:SECCE1Rv1G0050360 transcript:SECCE1Rv1G0050360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIISALLGDLVSRVISFVAEKRREQTTAEEDLQRLRQLLLRISAVVEEAEGRRVTNRGMIHQASTMREQMFRGYYLVDAFRCREKKTGDEEVSLCSFVQSRFNPAKRFRRLSSDTQIESIDIGRDISKELKHVVLVLENMVADMKEFVIFLMSYPRMYRQPYGAYLVFGKCMFGRQMEREQAISFLLQADPLGAGSLGVLPIVGPRLIGKSTLVEYVCNDELVRSHFSSILLYSGICLKDETAMTFRDHCVIKHQNIASGNERSLVVIELVGDVDEGAWKRLLHSAERCMTSGSKIIVTSRSEKMVRFGTTEAIKLSCLSKEGYWYFFKMLVFGSTDPEKHPKLTSIAMHGGSP >SECCE4Rv1G0293300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885584736:885585275:-1 gene:SECCE4Rv1G0293300 transcript:SECCE4Rv1G0293300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSLESIQAMVECAEGLGVPRGSAMFKHALDAVSFISEEKIATKVDYLKKTFRWSDAEVGMALSKAPSLLRCSKDALQSKSEFLISEVRLEPEYIAHRPVMLNYSLNGRLRPRYYVVKFLRAKGLLDRDRDYYSVFCLVEKVFVQRYICPYKEAAPHLAEDYDAAHSGEVPANFKFT >SECCEUnv1G0535830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:60935377:60935769:1 gene:SECCEUnv1G0535830 transcript:SECCEUnv1G0535830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADESLPSLPPIKTAPSDAASASPSPASSTQESAAAEGKTEAAAAEEKDPSTPTSKECRIRLPEECPAAPRKPPVPRLPALKRKSRPTLTATTTARVCLAVPRDLSTVFRSMPMPMPVPAEKRIRAS >SECCE7Rv1G0473180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:154618214:154619776:-1 gene:SECCE7Rv1G0473180 transcript:SECCE7Rv1G0473180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALAAFLLLPLFSLGAVFVLRAWRLVDKKAAAHNSPRTRPYPLLGHLPQFLANRHRILDWFTEVLARQPTCTLVFRRPGGIRGIITANPANLEHIMRASFDNYPKGPRFASMLHDFLGRGIFNADGEAWRAQRKAASYEFNTRSLRLFVARSVHSELHGRLLPLLRRAAGSGGHLDLQDTLERYAFDNICRVAFDHDPRQLPDGDARPEVESTGIGSSRFADAFRDAANLSAGRFRYAVPGFWKIKKALNLGSERRLRESIAIVHGFADRIIRSRREEMSMGCEKHDLLSRFMVSQGESYTERALRDVVISFLLAGRETTSSALTWFFWLLSSRPDVERRIRDEVAAVRARRAIGDLGRAGYDLDELREMHYVHAAITESMRLYPPVPVNSLHAQAADVLPDGTAVGAGWFVAYNSYAMGRMESVWGEDARAYRPERWLDPAEGTFRPESPFRYMAFHAGPRICLGKEMAYIQMKSIVACVLEEFELAVDNAYRPRQVASLTLRMADGLPVRVKARVN >SECCE3Rv1G0195000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:788973758:788978966:1 gene:SECCE3Rv1G0195000 transcript:SECCE3Rv1G0195000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRKFLLLLMLLASIPVRLCDTDPQDVATLQSFVSGWQDFPSNWKASNDPCGTPWDGVMCDKGRVMSLRLSGIINAQGTLSNSIGQLSELVYLDLSFNIGIGGPLPDAIGNLKKLTTLILAGCSFTGGIQVLGNLPQLSFLALNSNNFTGGIPPSFGLLSNLFWLDLADNQLSGPIPVSSGGSPGLDLLTHTKHFHFNKNQLSGSLAGLFNSSMILEHILFDHNQFSGPIPTELSGIATLKILRLDKNNFAGEVPANISELDNLNVLNLANNQLNGKIPDLSSLTKLNVVDLSNNPFDPSVAPNWFSTLQSLVSVTIDSGGLSGLVPKRLFTLPNLQRVILSNNALNGTLEMTGNITQQLKIVNLLNNRILAVNITQSYNKTLALVGNPVCLDSDSSSRSFCSLQQEDLESYSTNVTQCGSTSCSGDQSLDPATCSCAYPYTGKMIFRAPLFADPSDRTIFQQLETSLSKDPGLRPGAVFLSDVNFSSDDYLQVQVSLFPSTGTSFDTSELIRIGFAFSNQTYKPPSIFGPYYFIGDRYVSFSGGVGSGKSQMSKRAIAGTAVGCSFLLLALMSGATFAILKKRRPEQSSGRANPFASWGVAQKDSGGAPQLKGARFFSFDELKNCTNNFSEDHEIGSGGYGKVYKGTIEDGTGVAIKRAEYGSKQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYVSNGTLRENLQARGIYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDDNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELLSARLPITKGRYIVREFRIAIDPNEHEYYGLQGIIDPAIHDAAKSAGFRRFVQLAMECVEESASKRPTMSSVVKEIETMLHNEGLSSSGSSITEFEHAGQATGGPYSGAVVATGSSSSGGVISDISEEPSRSHRGTLH >SECCE6Rv1G0439380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795988410:795991006:1 gene:SECCE6Rv1G0439380 transcript:SECCE6Rv1G0439380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIAYEEERRKQIEENNRKLEELHLHQLSASVREAAGPKPSPVRSEAKSVKRKRVPPRDAPVRQSGRVASLAKQPKYRYDEHDYPTLVEKKNRRRASSTRSDLINRVYATDEARRHAIQDRMWDCWTPLPFTSLHAISMAKELLGKLERGGNPSFVKPMKQSHVTGGFWLGLPAQFCQMYLPGKDNVMILEAEEGGEYKTKYLAQKTGLSAGWRGFALHHNLVDGDCLVFEWIEWNKFYVYIIRQSSYYK >SECCE4Rv1G0249980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:588525156:588525599:-1 gene:SECCE4Rv1G0249980 transcript:SECCE4Rv1G0249980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGSAQSRRFAAACGVLSRCIKAAEARQAATVVLPLMPGAEVPAQDEHAAGPAPASAQMTIFYGGQVLVLDEVPADRAAELLRVAAVSGTPRGNGKAADGDLPMARKASLQRFMEKRKGRLAARAVPYSRPDADAASCSRLTLTL >SECCE4Rv1G0231070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:176685334:176687064:1 gene:SECCE4Rv1G0231070 transcript:SECCE4Rv1G0231070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGLLRREREQFGSSPFIGQTTIETKIQALEDHIGATKVSNRRSCRWLNDRLLLELVPRLGADEIKGLFAPPPWGEELPLSAFCMTSSAAAWDVFRTIDMDVQANLIQVHMRQSCTDRKNSRLDEDEEIALNAWHRIDRQTREVIKRNFLPDLLRIYEERVRDFIQDTGDGKDFLALDVQDPFQRLLLHGVCEFYNVASETTSSTVREYGGDRLWKTTTISKKSGTGAPPRITLVDFLTRKKNGCH >SECCE6Rv1G0416100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:646668941:646672094:-1 gene:SECCE6Rv1G0416100 transcript:SECCE6Rv1G0416100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASGSADRPAAAAISASSDAGHSEPSCSTPACQKVFRPVTRSMTRIPPAVAATPDAKRRVDSTSSNRSTPDARFSIQLAAARPTATRARTPQEVTKSAWKPLTQPAVLSEDLKRASTPATNPTAKRSRVTNSQAAKDSASKVIRNVRSGKKNRNEECASQGDQSDGAVIPSPPKKLQSGKGSSDLVSKRKSTIRSKGANLAAPLLMGNPGTELGKDPASVDPLPAQQLQLEIAKESNAITEAIASGTSQVNQSVAAAITEAISSGTNQVNQLVAPAVTDAAVRGKRQAHQLVTPVITEAIGNRRTRQISQPVAPVITEAMGNRTTQVNQFVAPVVTLPRQQLQNNIQQRLSKITLPTSQASVPAGATGPMVVPKLEIGNANESSHAVASAAYRRALLIKQQEQLLQQYKLVNSQAQLHIKGPALFEDDEAPETEPLGTRCALCKLDLAFEAHGGAAHDASAPPVIAVLRCHHTFHSSCIEDVYGLAEPAECIACLECETAH >SECCE2Rv1G0103210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:587548236:587548967:-1 gene:SECCE2Rv1G0103210 transcript:SECCE2Rv1G0103210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPTTLPAAGALSEQAETAAIVSALTHVIASGRGPPASAPPLLMPGRPGVEQHGASTSSRGTEPPPPRKYRGVRRRPWGKWAAEIRDPQKAARVWLGTFATAEDAARAYDAAALRFRGSRAKLNFPEDAAAATARRDRDSAMAAAAASSKPAALLESQDGDVMDYLDYSKILAEPEPSNVMGRLLGGDGNGRFLGSWSIGTSPPSSASSASVAGAGPASAALFQCGSGLKQSSESSSHRGY >SECCE4Rv1G0279190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:812390426:812391097:1 gene:SECCE4Rv1G0279190 transcript:SECCE4Rv1G0279190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSQQERHVYTYETGYIHNSVPTHYAPDPSPPAQAPPPRRLTPFRILVRVFIGVCTFIGVLSLLIWLIYRPRTIQMAVDSATLWSINVNSTTSRPVLSYNLTAGVSISNPSKRVSVYYDRLQVEGFYQEEQFGRAALPLSFQGVRRTDAVRAMLQGSSAGYFDNATGSGTGVFPVDLWVVGTVRYKYGELTTTSASALSVKCPLVLQLMEASSRVECIVISS >SECCE5Rv1G0347760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:669753864:669755636:-1 gene:SECCE5Rv1G0347760 transcript:SECCE5Rv1G0347760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLTASTSVSSIQRHRPRPSAGRSSSVRFTARAVSSAPRAPSAPSRFARGAPAKPLIAVPKAPAAERQEKKLNFFQRAAATALDAFEEGFVANVLERPHGLSRTVDPAVQIAGNFAPVGETPPVHALPVTGRIPPFINGVYARNGANPHFDPVAGHHLFDGDGMVHALRIRNGVAETYASRFTETERLQQERALGRPMFPKAIGELHGHSGIARLALFYARAACGLIDPSRGTGVANAGLVYFNGHLLAMSEDDIPYHVRVTDDGDLQTVGRYDFDGQLECPMIAHPKLDPATGELHALSYDVIKKPYLKYFYFAADGTKSADVEIPLDQPTMIHDFAITENYVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKCAADASEMVWVDVPDCFCFHLWNAWEEEETEEVVVIGSCMTPADSIFNESDECLESVLTEIRLNTRTGESTRRPILALAEQVNLEVGMVNSNLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGRFGGEPCFVPMDPATSRGEDDGYILTFVHDEAAGTSELLVVNATDMRLEATIQLPSRVPYGFHGTFVTGKELESQA >SECCE1Rv1G0039140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:545630943:545635069:-1 gene:SECCE1Rv1G0039140 transcript:SECCE1Rv1G0039140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIAEADLLQLLQPFGSISKLVMLRGKNQALLQMQDIHSSVSALQYYSGVQPSVRGRHVYMQFSSHQELTTDQSSHSRNSDQESEPNRILLATVHHVLYPITVEVLHEVFKAYGYVEKIVKFQKSAGFQALIQYQSRHEAVEALAALHGRNIYDGCCQLDIQYSNLSELQVHFNNDRTRDFTNPSLPTEQRPRPSQQGFPDPGGLYPFQQPGGPYTQMGRAATIAAAFGGTLPPGVTGTNERCTLIVSNLNTDKTNEDKLFNLFSLYGNIVRIKVLHNKPDLALVEMADGFQAELAVNYLKGAVLFGNKLEVNYSKYPTITPAPDAHDYINSGLNRFNSNVVKNYRHCCAPTKMIHVSALPQDASEEALVAHLSEHGSVVGTKLFEVNGKRQALVLFESQEEATEALVSKHATPLEGNTIRISFSQMQSL >SECCE6Rv1G0387900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:126031741:126035602:1 gene:SECCE6Rv1G0387900 transcript:SECCE6Rv1G0387900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVGQPFSGWSHSGSPYNDLCTQDDSVQKMVLDHGSVSFGRFAEESLSWENRSVFEHNRRQEEISKLTLPGLVAQKKAFFEEYYKRKAQKAKLLTEATSEERSDGDTLDHSRQEDNSHAVVPEDPVDTAPSSSCQPSTGMSSPDENKPYGPHGLGYLTFNPLFSRITGPEGIQHEEASSAGQNQHVDGEFRCSTRTSSKHGLNHETVERKVLAPKHVVSTDYGESNVAASRIILPIASLKAGVEKQEPGKNIALINGLTKGAKDPSSCLIQIPRVHLRRNSENMNSEGLKDPFHKRVEMKLRALSDRMSAEKAAASSRSSPYQSADRAAISSGSALCQNTDRVLASSKSVTQASHKSLKEAQPAATLPRADIYNKGSCVSHVASSNSSTTGKLATRRSVMPNSSQNNAKPLQTAQVASKSGAGLTSTSNESQNKRKQLSTPAASVGNSRTKGSMHISAPTSARSSSSGIRPYKAAKAPRISNGRNASVKTEMMQKSTTYETHSVGGRIALSKVTVNGNEQNRKAISSRDGKRSNLACKSKPRQERPRWR >SECCE1Rv1G0052240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:660206780:660212866:1 gene:SECCE1Rv1G0052240 transcript:SECCE1Rv1G0052240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRLARPSFEGFAHNDGKKESRSDADNSEGEKKTKIASFKKKAINAGNKFRHSLRRKSKKKNDNQISIEDIRDVQDLQAVEAFRQCLLDDDLLPQQHDDYHMMLRFLKARKFDVEKAKLMWSDMLAWRKEFGTDDIEEFDYSELNEVMKYYPQFYHGVDKDGRPIYVELIGKVDANKVVQVTSIDRYVKYHVKEFEKCFQMRFPACSIAAKRHLDSCTTILDVQGVGLKNFSKCARELITRLQKVDSDNYPETLCRMYIINAGQGFKMLWGTIKSFLDPKTASKIHVLGTKYQNKLLEIIDESELPEFFGGKCKCEEHGGCQRSDKGPWKDPATIKRVLNGEANYDRQIVTISGTDGKIVGYARPQRPNGKGSDASAESGSEVEDVTSPTAPKTLISNPSLTPVHEESKLAAHASTSAARPTIEESIPVVDKVVDDGWSSPRASPVSSSSGSLSLRNLPTTFEGIRTLVVAWLTVFIVTLFAMLRSIPSRMAKRLSNQSNDHDHYYVDCPQEQEYKEEFRPPSPAPAYTEKEVLSTLVRRLGDLEEKVQALEKKPSEMPFEKEELLNASARRVDALEADLISTKKALYEALMRQDELLAFIDKQDMLKFRKKKFCF >SECCE4Rv1G0265980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:728145358:728152660:1 gene:SECCE4Rv1G0265980 transcript:SECCE4Rv1G0265980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTSRLLLLARGADLRRHRPLLPRPLQSAAAADPALRSLSAAPSVRSYSSAFTSVHGGRPSSEYAKIRKESLESQFRRILGSSSHTLFADRGFGPFLAVYRAATISYHVVKLTVWHLLLRDVHKRAEKFRETLIRLGPFYIKLGQALSTRPDILPSAYCQELSKLQDQIPPFPTRKAIKTIESELGSRMSDLFADISPEPIAAASLGQVYKAHLHSGELVAIKVQRPGMAPLLTLDALLFNMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGKNAERFAILYSQGSGGEGRTSIKVPKVYWTYTRKSILALEWIDGIKLTDAERISKANLNRKKMIDEGLYCSLRQLLEDGFFHADPHPGNLVATEDGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHAVATALRVAFGDGRRQSNDFQGVMNHLYDVMYDFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLIRDDGSIRWNRLERLIAAISQQSTESSKKPGDENTASSSDWRSFDMHSVVSATEDLFHFILSRKGWRVRVFLIQDIVKASDAFLQETTFPGIFEEEGTTGELNPERSRMIRRLINGVQSFRQATSLAPNAWTAMLIRVALKPESQRFILDVFLALVNHSHDKVPETCWICMSKYLNYLDKQWR >SECCE4Rv1G0224360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:79102923:79103414:-1 gene:SECCE4Rv1G0224360 transcript:SECCE4Rv1G0224360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGAGFNATAAATKAAVAPLAAGAAHSAWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLEGSAGRGDHEGSATDGAKPVASDLAPPAWEEKVLVVMAGDVKPTYLATPVSSRDCSSEGEEEEKKVSMVAMASIKDTDNGEHSQNQRERDDHHFPEV >SECCE3Rv1G0170300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:251344974:251348352:1 gene:SECCE3Rv1G0170300 transcript:SECCE3Rv1G0170300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTPSSPPPQPRNTEDDCFPPNNALCSHDEEQHVQNCDWISAMPDDILIEILSLMTISEAAMTGFLSTRWRHVWKKVDHLILDSYAFGMQELEKTRYHENPLSWNDVATKFVHKVNGLLHSRHGNKIKEFTIRFPLTSAHTSNLDHWIDFAIAASTKKLFLDLDNKCCKEGCMICVKGMNATSEPYDFLLGPLLYGRAGSLDELTLFNCSIGTTPANLHGFSYIKSLLLARVSIVDETISNIMSNCCALEIVVLQYCHQLIHLTASHARLQILVVQFCKSLVSICIRADNLESFAYMGYKINIECKHAQFLDMLHVYFVNKDDCPLDFISAFPKLPKLDCLVIQFPACLHVYRVLQHASMFAGLTTVILILMKPWKDDISSVAYLLKSAPLIEYFGLHGCCKLQQHTQLNIPWPEDFTLSRLYTIIIGGFSGEFELMELMYFLLRSTLALDVFQIDTRAMEPWLVRSNEDKLQDDTRRCYAREMAYAHLVPKLPSTVKFHII >SECCE3Rv1G0202900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:879030017:879031696:-1 gene:SECCE3Rv1G0202900 transcript:SECCE3Rv1G0202900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSTSYFSSQPQLPASGGSSNGRQPRRRRVGSCVMLEAAAASGSGGGGAVVGRTRSLTEEDLEELKGCLDLGFGFSYNEIPGLCGTLPGLELCYSMTRRFLDEQRAVVGQLEPAAAAAAPIPDWKISGPGDSPEEVKARLKYWAQTVACTVKLCS >SECCE1Rv1G0051050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:650969514:650970170:1 gene:SECCE1Rv1G0051050 transcript:SECCE1Rv1G0051050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAAPLLYQQQAQAVGDGCYLSFMSSYFSHGEVSSNASSPASSFSAALGATPPAAPAIAADPAAQFDISEYLFDEGAFAAQLPPVVAVPDGGVAGATAAHTTRSAAEAERPRTERIAFRTRTEIEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCSVKKRVERDRDDPAYVVTTYEGTHSHVSPSTVYYASQDAASGRFFVAGTHPPPGSLN >SECCE5Rv1G0369440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:834882100:834882507:1 gene:SECCE5Rv1G0369440 transcript:SECCE5Rv1G0369440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPFQNWLGMGATIPARIQPGSIDHVPFPTVVSCLGASFFGFTIKPDAAPFRIIIYRPFFPPVPSRMKIVVCMFDFGCRCNRIGGSILWQDQSPGQTKTLLQEELCYAPPDIPIERKSCLVIPRLQHVRSLTPR >SECCE3Rv1G0155180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:64469648:64473307:1 gene:SECCE3Rv1G0155180 transcript:SECCE3Rv1G0155180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNWMMLDRFVFHRDDEEEGSPFLDESKALLRASSRTSLCKKFDILFLPANPPAISRFYTRWPDGTKSEDAKGTELVAAHCDLVLFRQTSFGRLGKDGRLPIIQDHFICVASCETKPSLQLKRLPVCDKPMIFPFGEGEENAVAEQRVFFPNTVGLLRVHGESVEAEFAVAQLAMVSEIPGTLKMEAEVCVFRSLVSGNDGDGEWDVRNIPIDHKEDEHKELYYWSTDAVITFNSYICWINYYRGGMLVYDVLEEKPKVLYLRLPIADRPRSSAQGKAFYEVNRSVCTTIQMTKKGIKNSVLKFTDVIRSDGELFGPLGKGSSFTIHSYTLSSLDGSWDEHVAITSEELWALNRRIGLPRDILMFPLVSMVNSNIAYFLVSESAEEENSKVSLVTIQLSTMKVLAVDPYISREKGSPSGPDADMIEEKSHLLRSFISSRFLMYLTQ >SECCE7Rv1G0473450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:157684404:157689940:1 gene:SECCE7Rv1G0473450 transcript:SECCE7Rv1G0473450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAATLLLPLLAATLLHAAAAAGGGNGSCVRSCGSMTLPYPFGFSSGCTIRLGCDVGAGVAFLGGALQLGLLVRNVTPRALILNMQPDCARSFNASVAALFSDSYAPASGNTLVVSSCNSEAARTSNCSSEPPDRYMNRNTSHCTANESIRCILPPPRSNITSGHHFLNKSEVLRSNCTGLVTALSYSDAQQGPSLLLGALELDWWVPGRCSCALRANCTRFTAPTTGQEAFRCECPQGLEGDGFVDGTGCREVSKSKCDHSNYLSRDCGKIVLVGLIMAGIIFGAMMMGIGCLVCHLLKRRSASIRSQQSTKRLLSEADCTVPLYSYREIERATSGFSEDHRLGTGAYGTVYAGRLSDNRLVAVKRIKHRDSADGGLDSVMNEVKLVSSVSHRHLVRLLGCCIERGQQILVYEFMPNGTLAQHLQRERGRPAVPWTARLRVAAETAKAIAYLHSEVHPPIYHRDIKSSNILLDHGYNSKVADFGLSRMGMTSVDSSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKAVDFSRGPSEVNLAQLAVEKIGRGCVDDIVDPFLDPHRDAWTLTSIHKVAELAFRCLAFHSEIRPSMAEVADELEQIQVSGWAPSTDDAAFMSTTSSLCSSAPSRGTDKSLGPDKGRGEALSTSAPVMAVAARETEKGAVGSSPVSVQERWFSDRSSPSSNSLLGNGSSLH >SECCE3Rv1G0207510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:922184738:922186729:1 gene:SECCE3Rv1G0207510 transcript:SECCE3Rv1G0207510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFCGAGAGMPPRQNQMRAVVDHAAGVDFKFMVLRNNTRQKIFEHIGRKQPSAIWRRRLPDLARRLEEILFREYPNKREYYNMTKGPIEPHLHFAMKLSSVRNRQRQQNRQLSRQITCSPCYGTMIGTPGITQGASENSRISYVTGNTGPLSSGANMVPQNANMGTLLPAPNEHVNTLLSLGMNPTHHDRSGACNNHREIDMVEAPETNRLLAPVKEVAKKPKFSCPVCWNELTDASSTICGHIFCQKCVEASIQAQKKCPTCRRTLTMKGFHRVHLPTMD >SECCE2Rv1G0078730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:111429319:111432195:-1 gene:SECCE2Rv1G0078730 transcript:SECCE2Rv1G0078730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATIPRTSSSFSRISISEPQIYGPRTLRDEQVVVRKEERDELRWALLAKIESCYGRVKLLRGVFDAGFCFGLLDPISNIVAGVSITGAMSVDDPDNEPVPAASFPKRRKLDVIGDMHQRSLNGLVAFLAALFPYLTEPKAMWYLNKAELDPLVAARLIIKHRGMEQSFGFKSDTTVAAVELALRCASAAAQHPCPKQFVSAWKVLSHFLESVATVLSGPCVTFDRFQSAEIIDILLKKHQPSAFSLEKSWDLASCRLLKLSPELLGEVMGYPERSIMRRMLLTTIHGYYLQALARLPKEKLRSQYHHSLLQAGHCYGPLDPVSNIILNTIWYSRACPLTKKVDLEAISTSGLFRIAVRSFYGLVSFLCTRCATHLSPDQAMQRLQASGADLRIADPNHLDADNKDDAMVSASVEQAYAAAAAAALHPKPHDQAELLRPSNPMLRMASHYLKDGSKLSHVDADHLAECLFYSISELEQTEHPETNIEAVNKLTYGRMDRLINDFWNEHAAVVEMVKSLIDVYSQQPGVPKYELHLICGVNKYVDGPVYTGPFARIYHYSHINFLATQSGGAPPTLFFAECRNDVTQTQEMGWCCPLGLSSPGTEQVRCLYCEYVGSRVVHPAEGSFVGRSVEFEKMWGGEELYSEQHTNDCIISCSREPTYWVDYLEDDCIYRTYRLDGSDEGEKIFLKQGIPDDEEMRYLIKMGFLIIEDDGLS >SECCE3Rv1G0213540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961348521:961349504:1 gene:SECCE3Rv1G0213540 transcript:SECCE3Rv1G0213540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAPAFKFHFKGGRRTMILSILSSPALVSGLMVVRARNPVHSVLFPILVFCDTSGLLILLGLDFSAMISPVVHIGAIAVSFLFVVMMFNIQIAEIHEEVLRYLPVSGIIGLIFWWEMFFILDKETIPLLPTHRNTTSLRYTVYAGKVRSWTNLETLGNLLYTYYSVWFLVSSLILLVAMIGAIVLTMHGTTKVKRHDVFRRNALDSRRNIMNRTISPFGHSHIMNRTISPFGHSHRRSFSSGAGGPPDNYKETFRRWILCSEYQDFPGLKCKIDDLLSFLEPREILFMVHTFPRDFPLLEILEPKDIRNIIAHLHKQWKPPKKGG >SECCE2Rv1G0066000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:11255791:11258053:1 gene:SECCE2Rv1G0066000 transcript:SECCE2Rv1G0066000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNIANPTTGCQKKVEIDDDQKLRNLYDKRISQEVVGDLLGEEFKGYIFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGFGRRNGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIKKLFNLGKDDDVRSYVNTYRRTFLNKKGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRDRRSESMAKRRSKLSAATKAPAASA >SECCE2Rv1G0079060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:114404913:114408670:-1 gene:SECCE2Rv1G0079060 transcript:SECCE2Rv1G0079060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEQKMALVHRRLALLDSESDKDADVRPTATMDDKLDIVVVDQQPVVINSGYTDDEDEYLPLRIVRPRRLDSEQEKLLDVSQTHNAQGTDTAKKKRGRLVKAQSNMEQKFKLSTPRLALVESGCSNSSKSDIDEDLVPMVAAVNCKKTCASVQGNFGSAMERAEEVLVKLPAEHPSFVKNMLHSHVVQGFWLGLPSVFCNKHLPKEDTIIVLEDEDGHNYDAKYLGAKQGLSGGWRGFAINHDIKVGDVVVFQLVSSAKFKVYILRANNFTTTDGALGLLCLEAGKEKILKEESSIDVKSKENPKVRSDVSNPASESIIGGIRFSDTAIDFNNVKNFSNFNIIVDGLVIDCKFPDHLRKTYYELCCAQESFLHKGLLKQINLTLAVGVIMETINIAEGIRACKAHASSHQDLLVWKKTLEAFELLGMNVGFLLKRIDDLLSLPARPSDPASNEVYKEMKLERARAGAKMKELESRMSSVKDTLKKMDVEMEEMESSAKRTEEMLQRLATAPW >SECCE6Rv1G0447660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:851226094:851229858:-1 gene:SECCE6Rv1G0447660 transcript:SECCE6Rv1G0447660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEMGTAAANWLVNKVLNLLSDELVAAYVASKELGLNSEKIKHDLRFTSGLLQAAQAMSVGENAGLGKLVHALSAKAHQAEDALDELHYFMIQDQLDGTRYAAPDLGDDLRGYVRHSRHALRYTIGNCIACFSCSHTQDGDANVTNNPPNAIPKPGTGDNDAPLDRLTFDRVAMSSKIKLVVEEMHSLCVPIAELLKIAPHRLETETTNQKRPLIGAMTMQDTLYGRRDIFEKTIEDIIGDRYRFETLSVIPVVGPGGIGKTTFAQHLYNDGRIKEHFTFRVWVSVSAHFDVLDITKQIHSCILATETGESNIVSGTTNLNQLQESVAHRLKSKRFLIVLDDMWKYDANWNTLIAPFTKGEAKGSMVIVTTRLPKIEQRVKKCSVSINLQGLEPTEFFEFFQACVFGENMPCEQYCELMQIGEDIAKQLKCSPLAAKTVARLLKKDLSVGHWRGVLNNNEWVNEAEGKDDIMSVLKVSYYYLPFHLKQCFSYLSLIPEGYRFNISETARFWTAIGIVGSSRQNKEYLEELVGYGFLVEEVNHSQGQCYVMHDLFHELSRKVSTQECLNIDTLSFSARNTPQSIKYLSITVENRYDENFKVEMAILKSRINIETLQTLMIFREYEERTTNILKDTFEKIEGLRVLFIVMNSLDALPRNFSKLLHLRYLKIRSPPGLRNIILPSTLPIFYHLIFLDLQDWHGSYNLPRHISRLVNLCHFIAANELHSNVPEVGKIKHLEELREFHVKKESVGFELEELGKLGDLGGELSIYNLQKVASKDEASKAKLALKKNLKRLSLVWGTDLQLAADRDIADGLQPHNNLIELCIKVYGGATTISLPSWLCDGLTSKHLECLTLEGVSCDTLPPFGQLAYLKRVLLKNIARVSRICIGVPDQGFSLVKEVVIEGMPDLQEWVAGPDCHSFEKLQSIECSNCPNLHTLPFLSEWLLSDTPEDDRKYKCYPSLCTFVIKKCPQLYLPPMPHVPTLTKVDVEGTTAAARLSCVKNTLNLDCYDGAVAFHNMNKVKSILAVGESKIQWADLETLTSLGSLAIFEDSSIQSTDFLSNLTSLTNLALIDCMNLTVEGFNPLMIVNLQRLTVFNSSMVHSIAVDLLSEVARMPVTTCSLQLEALWVDSISAVLVAPICTLLAATLRELKFSGDEQMKSLSEEEENALQLLTALRHLSFGECLGLMSLPRGLHCLSSLRSLAVDGSPEIRSLPKGGLPTSLRHLTVMGCSMELEEQVKKLRGANPLLKVNT >SECCE7Rv1G0504280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722109740:722110900:-1 gene:SECCE7Rv1G0504280 transcript:SECCE7Rv1G0504280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAADAGATPLLRNLPDEIVLWEILARLDPRSLIRSRAVRRAWRRATSTRRFLLAHHARQPALTIIADNGHRTFFAFDHQSAAAADAQFRTVARLDKSFSLQLCCDGLLLVAKSGGFGDRARLTVCNPVTRQHASLAPLPDFNILGMYLHGPSDEYRLLLQWRGDSDCDSPTGKNGCYVVALGSDQPPRYIGLSEPEFSSAYFQGPARVRDSLHWCLFYRPGDNPLEDCEAGRELVVFDTTAESFRQIRAPADPTKSFIFEMDDMLGIYCCNEDAEVVDIWVLPNYESEFWDLKYRVALPITEIRGKLEGHDGECNWYVTVASGGGDMLLLVSFGRWLFYVDTDGKLVASLQDIYPSRHRLKQSLVPHDFFTPVKGHAVDASPLL >SECCE7Rv1G0493090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:564110398:564111559:-1 gene:SECCE7Rv1G0493090 transcript:SECCE7Rv1G0493090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMPLELCYATVASPVAAPSARWAPRRRAVVRCAASAPAPMGEKTEYRDGPLERAFMGLFARKMEKFAGRKKKPGPGGEEEKKAVWEWDYESFVDVSRRVMVGRSRAQQQEAVREVLLSMLPPGAPEQFRKLFPPTRWACEFNAALTVPFFHWLVGPSEVIEVEVDGVKQRSGVLIKKCRYLENSGCVGMCVNMCKIPTQSFFTDEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPVSKQPCYPSLCSISTPSAAICPKIQN >SECCE6Rv1G0396660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:371555955:371557016:-1 gene:SECCE6Rv1G0396660 transcript:SECCE6Rv1G0396660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP30 [Source:Projected from Arabidopsis thaliana (AT3G63220) UniProtKB/Swiss-Prot;Acc:Q9M1W7] MVECTIVSTLLDGLPNEVALQCLARVPFVSHPILQLVCRSWRASVHNGELLNVRNQIGATEELLCVLAFEPENIWQLYDPRRDKWITLPVMPSQIRNIARFGVASVAGKLYVIGGGSDRVDPLTGDHDTIFASNEVWSYDPLHRLWAQRAPMLVARAMFACCALDGKIIVAGGFTNCRKSISEAEIYDPEADTWESLPDLRQAHPSACSGLVIKDKMHVLHKGISTVQILEDGGNYWAVEDYSWLQGPMAMVGGELYVLSNSCIRKQHGENFPDKMVPCASGFQSRIGFGMIGLGDSICLFGGVIGPGPRNQCIKPLSDVDILNVASERPTWRQGSPMTRCRGSIAGCALLKI >SECCE4Rv1G0236510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:350763876:350764145:1 gene:SECCE4Rv1G0236510 transcript:SECCE4Rv1G0236510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKALAPRYQGLSTYEKEYLAVIVAVDQWRPYLQHGEFPISTDQKSLIHLEEHRLSTPWQQKAFTKLLGLQYSIRYKKGTENNAADALC >SECCE3Rv1G0149470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:25280573:25281643:1 gene:SECCE3Rv1G0149470 transcript:SECCE3Rv1G0149470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQSKPVLLLLLLAVATAATSGKPLLTKITKGGASTALYTAPLSAGRPLVLDLSAPAITAPCSGQTTTVTLSANSTDGSNPLSPVSFAATATCAAAPSGAVGVAGLARSSASFPAQVASTQKVANSFALCLPSDGRTGFSGNGVGAAIFGGGPFFLAPPADRPSITTLLSDGVPLRQPFAGNPAYFVSATNGIAIDGMRVAVSGSGALVIGFSTTTPYAQLRSDVYRPFIAAFDRAMGSSAKVAAVAPFELCYDSSKLAPTRFGYLVPNVDLMLEGGTNWTVVGGNSMAQVNSGTACFAFVQSGGTGAGPAMVIGGFQMENKLVVLDNGKKTLSFTQNLPGMGFSCSNFNFTKGAK >SECCE3Rv1G0146370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11223149:11226067:1 gene:SECCE3Rv1G0146370 transcript:SECCE3Rv1G0146370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGKAIHHHDTARLLKAFSRAVDPRNFGIGLVAGFLLVTCAYFSTARFDAIHIAPHVSPLEARIGSPASAAAAGSKRQSDLGVLQEQDAALSREGSKAEVLDTDGADKDLVHATSLVETKKDDTFARDGGAAGAGGALLPPLSSQGPANGTQQEQGVLEDEELRVQVALAAANSPKQSSSSNGGGQSVVQSDPATIPAPIQQTPPLATIAVPEVPKQEAKAPPLQQIPLIPEPVKLQPGSKEVATAAPRREWKPLCDFTSNRRIDWCELDGDVRVHGAQGTVTLVGAPQAEEWRVRPYPRKVDPNAMRHVRNITVRSTLTGDEECAIRHSVPALLFSDRGYTGNYFHAYTDVILPLFLTAKQYGGEVIFLVSDFQMWWIGKFLPVFKSLSNYDLVDLAADNRTRCFPHVQVGLTCHADFSIDPLRATNGYSMVDFTKHMRGTYGLPRGLAVPAAGTRPRLLLIARASTRRFVNADDIVRAAQKVGFEVVVSEGTHEVAPFAELANTCDAMLGVHGAGLTNMVFLPTGGVVIQVVPLGGLEFVAGYFRTPSRDMGLKYLEYRIAPQESTLTEQYPPDHPIFTDPDGVKSKGWESLKQVYLDKQDVRLDLKRFRPLLKKAIAHIRANKLQ >SECCE2Rv1G0071490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:45217179:45220731:-1 gene:SECCE2Rv1G0071490 transcript:SECCE2Rv1G0071490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRATRAARLPCPSPSPCPVASLSGLARRAPPLAVASPAPSPPAALSLLASARPVSAAWGLAMRPAGEHPRPGTRVLCTAASSAPREGKEVLVQHLLVGEKDARLLVDLEKSIASGADLSDLAVEHSLCPSKENGGMLGWVRRGQMVPEFEEAAFSAPLNKVVRCKTKFGWHLVQVLSERDQCLLQDIQPEELHVKMQDPSFMEEAQLIDVREPDEVERASLPGFKVLPLRQFGTWGPVMTDEFNPQKDTYVLCHHGMRSMQVAKWLQSQGFQKIYNVAGGIHAYSVKVDSSIPTY >SECCE1Rv1G0060340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709750720:709751464:1 gene:SECCE1Rv1G0060340 transcript:SECCE1Rv1G0060340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFSLFFPPLPSSKGQWPSVEDAAYSYDDHSTVTTLSPSSPASSASSGSLVDCTLSLGTPSTRLLEPDRTRQGAPPQAYPSVSAGAEPCYYHQQHGRGGAAGHDQLLDRRCANCGTASTPLWRNGPRGPKSLCNACGIRFKKEERRAAETNAGGGCGYVAQRAPMSAPRAVPYAEEALPYAGAVDAPFLAWRLNGVPPAPAFSAWPDRQHGVYQYN >SECCE1Rv1G0019200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:224390974:224419117:1 gene:SECCE1Rv1G0019200 transcript:SECCE1Rv1G0019200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLLGYYWVSSWPRVPQVPPWPCASHAQRRVIRFGPPRCRAGAVRVMAEVDPALALDRGAKAVEIQFPTEEDGMGNEEDGEAVDEREMMRRMRISQANKGNTPWNKGRKHSPETLQRIRERTRLAMQNPKVKKKLMNLGHAQSEETKIKISLGVRRGWSLRLQKLMVQDGCFVEWREMIADAARKGFAGGVAFQWNSHKILTEQLRQEWLEKVQQRRSMPRPTGNRRAPKTPEQRRKIAEAIAAKWLDREYRERVCSAINSYHGTSSLSKVPRKQRAPREPGAKREKKKSIQQRAVSLDDAHAKTAPVKRKKSATPYKDPMAGEKLEMITKIRAQRTALEIEKKEAIERAWSLIVEAEKAANALEPVAAKNPFAQASLIEARKLVTEARMSLEGVDDNDGPAESSSDDTSDDSGVSELHKLENQNDLIKQENKSVNGVKLPPRTVNGMDFYFDVSALGEKEQLSMFQRIENSMERAYLLPSAFSTAQDVNGNLGTNDLYISEQVVNNDQIDRIAAETTELIPAEPLEDESSPANKSKMRWVRGRLVEGEE >SECCE6Rv1G0385330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:75301353:75303859:-1 gene:SECCE6Rv1G0385330 transcript:SECCE6Rv1G0385330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQDQVSKSSCSSISTSTQGSEDDGTVGALLTEAKPNGRSLGKRLSHLDSLPHTPRVNGKIPDFSNATIDHESLLERLGTYGLAEYQIEGDGNCQFRALADQIFRNPDYHRHVRKAVVKQLKEFRKHYEGYVPLDYKVYLKKMKRSGEWGDHVTLQAAADRFGAKICLLTSFRDTCLIEIVPRDAAPTRELWLSFWCEVHYNSLYANEDLPTRKTKKKHWLF >SECCE5Rv1G0307050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:104779738:104782209:1 gene:SECCE5Rv1G0307050 transcript:SECCE5Rv1G0307050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEILLLAENTLTGHIPNNIGNLTKINVINFNFNQLSGHIPPEIGRLTSLASFQASFNNLSGGLPSGICGRGQLEKFIVFGNNLVGSLPTSLLRCRSLVRLRLDGNQLEGDISEMGVHPNLVYIDISSNRLFGQLSDGWGECRNLTMLRASNNNITGFIPRSIGQLSLLQILDVSSNKLEGKIPLEIGGLLLLFNLSLGNNLLQGSIPQEIGSLKELEYLDLSSNKLDGSIHGSIEHCSKLRSLKLSHNHLNGSIPIKLGMLVNLQDLFDLSDNSFEGKIPSQLGSLNMLENLNLSHNALNGRISSSFQSLVSLLSMDVSYNKLEGPVPRSVFFEAAPIEGFLHNNQLCGVVKGLPSCEITESRGQHKNSKVVLLAIIPATIALVLITAVVTLLRYKRKKYSAGISGNDLQQTKLFAIWNFDGQHLYKKIVDATENFGDNHCIGSGGSGSVYRAELSSGEIFVVKKIHLMEDDESFNHEIDALIHIRHRNIVKLFGYCSETQGRFLVYEYMGRGSLAESLKNKETIAELDWIRRLNIINDVSHALSYMHHDCFARIVHRDISSNNILLDLEFRACISDFGIAKILSADDPNCTRLAGTKGYLAPELAYSTRVTEKCDIYSFGVLALELFMGHHPGDFLSAMADKSTSLKDLLDIRLPLPAAEVASKVFKVIAFAVRCIEPNPTRRPTMQQAIKVFTAAGGPHNHVDHLHTDIVIPACWS >SECCE1Rv1G0030120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:424206837:424209357:1 gene:SECCE1Rv1G0030120 transcript:SECCE1Rv1G0030120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASALLMAALAVFAAAAAAALDTSPVPFDAGYAPLFGGDNLVRSAYGRSVTLKLDRHTGSGFISKSAYRHGFFGASIKLPADYTAGVVVAFYLSNWDEYPKNHDELDFELLGNRRGHGWRVQTNMYGNGSTARGREERYHLPVEPTVAGVHRYAIAWTPNNIVFYLDGVPIREVVRVPSMGGDFPSKPMSVYATIWDGSAWATDGGKYKVDYAYAPFAAEFSDLVLSGCDASSVADPEGCQVDLLTHDVAVMAPAKRAAMRGFREQYLTYTACRDRVRYKTTVFPECDDLADGDSSFHLWGESKKRRRRSSPPLQYSSSMQ >SECCE3Rv1G0167000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:195799675:195800049:-1 gene:SECCE3Rv1G0167000 transcript:SECCE3Rv1G0167000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVEIVEQVLKTEVKQSTLLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE3Rv1G0194810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:785590222:785595441:1 gene:SECCE3Rv1G0194810 transcript:SECCE3Rv1G0194810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKAARVADVPTLDVVVPDHLAAAAARALDAEAAATMKRHQRRQFAVIGHRGKGMNALASPDRRLQEVKENSVRSFNEAARFAVDYVEFDVQVTKDGCPVIFHDNFIITEEHGKISDKRVTDLQLEDFLQYGPQNKQGKNGKPLLRKMKDGRVLNWNVQSDDPLCTLQEAFEKVNPRLGFNVELKFDDNLVYQDEELTHILQAILKVVFECAKDRPIIFSSFQPDAAQLMRKLQSTYPVYFLTNGGTEIYADVRRNSLEEAVKLCLATGMQGIVSEARAIFRFPTAIPKIKEADLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVPEITGAVSDLIAIPETDTEINDLSSQVVKDAALTPNFTPREISFLLRLMPELVQ >SECCE4Rv1G0224520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:81451566:81462164:-1 gene:SECCE4Rv1G0224520 transcript:SECCE4Rv1G0224520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRACEAGILSKEKAPEKVVMCMRVAKGRTSGGTWAGKAGKLASRHSNMAKPRVLAVTTKPKGQKTKAFMRVLKYSNGGILEPAKVYKIKHLHKVEVASNDPSGCTFILGFDNLRSQSVSPPQWTMRNKDDRNRLLMCVLNLCKELLGGIPKVVGMDIVEMAIWAKENTTTKAAQVTSKDGLFDSTVLEPDSQVAVENDLVSQAEEEDIEALLGTYVMAIGEAEAFSERMKRELVALESANVYALMETESVVEEVLQGLEVATICVEDMDEWLGIFNIKLRHMREDIQSIEWRNNRLEMQSVSNVALGEELDRLLVLLQIPPEYEVSLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPCYAKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDSMLNDKGNFTQKGQLQRPDHADMRYKCRTYARLLQFIKSLDKSCMIPLRKAYCHSLNLLIRREAREYSNELRNSSKASKSSAPSFEGPAGANQPAGIADSPAEAYSKMITAFIPLLVDESLFLAHFMCFEVPTSDGSDSDEDSTPKTSGSSGSSAKPSNSSADLGVLNECLQEMLDGIQEDFYAIVDWAFKLDPLSCIPMHGITDRYIAGQKAEVAGYVSVLLDDLETRITILFSRFVDDACFQIEKYERNVKQVGVVPYIPRFSQLAARMEQYITGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYIDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIAFQVGMSKVDFRKMLKSSLTGLDKTITAMYRKLQKNITVEELLPSLWEKCKKEFLDKYTTFLKLIAKIYPNETVTSVNEMRDILANL >SECCE6Rv1G0435120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:769732337:769736208:-1 gene:SECCE6Rv1G0435120 transcript:SECCE6Rv1G0435120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSMPLRRSDSVADMMPEALRQSRYQMKRCFQRYVSKGRRLMKNQQLMEELEASAGDDRVEKARLAEGFLGYVVCSTQEAVVLPPLVAFAVRTNPGVWEFIRVHSGDLCVEEITPSAYLKCKETLYDEKWARHDNSLEVDFGALDLSTPRLTLPSSIGNGMQFVSRFMSSKLSGKPDSMKPLLDYLLNLNCRGEKLMISDTLDTADKLQTALLLAEVFVAGLEKSTPYQQFEQRFQEWGLEKGWGDTAETCRETLNFLSEVLQAPDPINMDKFFSRVPSVFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRALEEELLQRIRKQGLNITPKILVLTRLIPDAKGTKCNVELEPVEHTKHSSILRVPFKTDDGKDLRQWVSRFDIYPYLERYAQDSSVKILEILDGKPDMVIGNYTDGNLVASLLSSKLGVTQGTIAHALEKTKYEDSDVKWREMDHKYHFSCQFTADMISMNTSDFIIASTYQEIAGSKDKPGQYESHYAFTMPGLCRYATGINVFDPKFNIAAPGADQTVYFPFTQKQARLTDLHPQIEELLYSKEDNDEHLGYLQDRSKPIIFSMARLDKVKNITGLVEWYGENRKLRDLVNLVIVGGLLEPSQSNDREEIEEINKMHSLMDKYQLKGQIRWIKAQTERVRNGELYRCIADTRGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVNDVSGFHINPLNGKEASDKIAAFFQKCKEDPTYWNKMSTAGLQRIYECYTWQIYATKVLNMGSMYGFWRTLNKEERQAKQLYLQMFYNLQFRQLVKTVPKVGEQPARPATGSTAPARIAPRPRERRPQTRIQRIVANLLGPVLPASNFSQDSA >SECCE1Rv1G0046400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:617044429:617046102:1 gene:SECCE1Rv1G0046400 transcript:SECCE1Rv1G0046400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPSKRKAGGGGGGEKKHLFHVVHKVPAGDSPYVRAKHLQLVEKDAEVSIVWFWKAINSGDRVDSALKDMAVVMKQQDRAEEAIEAIRSFRHLCSRQAQESLDNLLIDLYKKCGKVDEQIELLKQKLKMICVGEAFNGKITKTARSHGKKFQVSIQQEMSRILGNLGWAYMQQNNYEAAELVYRKAQTIVPDANRACNLGLCLIKQGRHEQAVQVLEDVLLRRISGLDDDKAVARAEQLLRELGPTTHVSSPFDIGLSFTEEIMERLDLVMNDWTPFRSRRLPVFEELDAFRDQMAC >SECCE7Rv1G0486830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:420292654:420295654:1 gene:SECCE7Rv1G0486830 transcript:SECCE7Rv1G0486830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) UniProtKB/Swiss-Prot;Acc:Q9M4A1] MAQAEADLHAASLFGADRRLCSADVLSPPEVRARIEVAVLNFLAALSSPSSPVISALPLISRKSTNCSLRSGLLSDVSSVYLSYAFCKRSLMRENDAKAFFRVWKVMEMCYKILGEGKLVTQRELFYKLLSDSPKYFSCQGHVNQTIQDVVSLLRCTRQSLGIMASSRGALIGRLVLHEPEGEHIDCSILGPSGHAITGDLNQLCRLNLSSDARYLILVEKDAIFQRLAEDRLYNMLPCILITAKGYPDIATRFILHRLSQTFPNMPIFALVDWNPAGLSILCTYKYGSMSMGLESYRYACYVKWLGLRGDDLQLIPQSAFQELKPRDLQIAKSLLSSKFLQNTHKAELTRMVETGTRAEIEALYCHGFDFLGKFIARKIVQGDYI >SECCE3Rv1G0153310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:53061638:53062131:-1 gene:SECCE3Rv1G0153310 transcript:SECCE3Rv1G0153310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMMRIFAMLMLFSLCCRGNAEFRECTLSDLHVTQTATGKNAGGNPEYAVEVENKCICTQTDVKLLAPGFKSSEPVDPNVFRPDADGKLGTLNNGSPVYYGDKINFNYASATKFSLAPISSSVACS >SECCE2Rv1G0125580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:841829464:841830906:1 gene:SECCE2Rv1G0125580 transcript:SECCE2Rv1G0125580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRAEPAVPTERLSLMPHVVLLASPGAGHLIPLAELARRLVDHHGFAATLVTFTDLSTPEALSGVPACVATTALPSVPLDDLPAGTSMETVLFELVHRSLPNLRAILRSVGAPLAALVPDFFCSAALPLAAELGVPGCVFVPSNLTTTALMRATLELHDGVPPGEYRDLPEPLCLPGGVSLRRTDLPRAFQSSDEPVYAHLLEEGRRYRGADGFLVNTFYEMEPATVEEFKQAAGRGAFPPVFPVGPFVRPSTSSDEAAGSSACIEWLDRQPTGSVVYVSFGSGGSLTVEQTAELAAGLEASGHRFLWVVRMPNLDGCNDGHGHEDKQNPLAWLPAGFLERTADKGLAVAAWAPQVRVLSHPATAVFVSHCGWNSTLESVSAGVPMVAWPLYAEQRMNAVVLEGSVGVALRPRARERGEIAGVVKELMEGAEKGRAVRRQAGDLQQAAARAWSPEGSSRRALEQVVATWKKVTLAKVK >SECCE4Rv1G0288880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:862127330:862138544:1 gene:SECCE4Rv1G0288880 transcript:SECCE4Rv1G0288880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDTNAVTMEKFTLEYLKQITDDFSAENIIGSGTYGVVYKGLLDNGKEIALKKFRYIPGLDDTQFTNEINNLMRVQHPNITQLIGYCYDKEMEHVEHNDDRVFAESQQKIICFEYLQGGGLDKHISDESCGLDWHTRFKIIKGVCAGLNYLHNECKVPMYHLDLKPENILMDKSMVPKIGDFGLSKLFPSAQTYITANAVGTRGYMPPEYIERNIITQKFDVFSLGVIIIRIIAGDEGYTKSGHMSSQEFIDHVFENWEERLVRRMPSDTQVQITTCIEKALECLEADREKRPTIKEIVNELNKIDTAQNSPAEQVANNQRWNSEHRGRIILSTYNKPVQFDIFSCPASPSSTFDELIMTDGVSYSYNGRPIPPAALKTLLKSPRLVEECRATNVDVDAGHVSGLIFVSERDNGLETLHIALRFHNDYKVKVFALGDIFGVANFRGTRMEDSGCFGGGFTVGSRAVDHCLIYVSTKEPVLERRSPWTVVYKTSLVSGKTARLTPKGAFDLSPAVSPSGKIVAVASFESRSWNGEIEDLKTDIYVMNVDTEGSLGLGRKLLIRNGGWPSWGSDNIIFFHRGTDKTLPSSKDETTWCVFRYNIATEETFQVTPEGFDSVTPAAISETKVAVATIRQHKSWFYDFRTLMAEYRHIEIFDTNASGLPPVQITQKISSFEDHYNPFVLGGGERIGYHRRAIDFLQLGEYKLLQQLLEEQKGDHLSKISHKLESPVRDIVLSRVTGVFPTISSDGTKLAFVDNDFKAVWLADTHGLRVVCEVSGQNVFSTVWNQNPDKDILYVCMGTYHRSTDMALQMYCIPNVSSPMASPRVVKLTDGGFNNEFPSSNPEGDKLVFRSNRNGGDNKYNNLYIMHDTEMGEFGDGAITQLTNGPWTDTDCHWSPRGDWIVFSSTRGKPWGGDELERGYFSVYLVKPTNPTVVIKVMNSGGQINDPVFSPDGRSIAVRADLAAVSVDPISLPRFMAYGDIFVVNVDDAYDLKKKKLKKKKKKEEKRFRLMTHSRYECGKPAWIRWHLD >SECCE3Rv1G0209290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:937617310:937617928:1 gene:SECCE3Rv1G0209290 transcript:SECCE3Rv1G0209290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTSGASSAPGFRRASGRRDMDSRSPVAYRESPMAYEPPKLCQCRQPRKAPRWISWSRQNPGRRYYACVDAMHGGCGYVEWHDDPLPKFFSDLIGDLRDEVWRLKGQRSVVQTEEECPNVLLPGQDASRVMALELELKEKNAELEAMKGKYRNVVMVFIVFVVGVVVGKMLVY >SECCE2Rv1G0073110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:63936360:63938066:-1 gene:SECCE2Rv1G0073110 transcript:SECCE2Rv1G0073110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADRLSALPNSILVRILSFVPTQQAAATALLSRRWRSVWRRTSFLNLDSRPYAKEERDHFRYCTPTLEYFFEDAHAILAALRRPRRGSRRRRHGAGLKRLTLFLEEGAFDSNWYREGDDGCRVAQLLADPTVARVEELTISCVQGHSRDYRYFPPLASLPCAATLRVLELTRCTLEPTTTAPSPSLAFPCLTDLTLRSCFFLEGYLQEMVDAAPALTNLTLGNVSQRAAKPPGSDEWIDEWDYFRLPLRLRCSTVNSLVLVTFVDEKDLKTSAAGGSGIELDMPSLRFFRFQGYPGKLSLISPAPGLARVDLDVARRHHGARSFGWTLSYEPPSHMIASFSSTTALKVRLQGIEDIVTADEDKDGGVILPTFPNLKLLEIDGKYDNDIRNDMTAVATARLLRSCPVMSELRLRLAMRHNYSDEDEYKEPFDESMDRFERLARSSAVELGGVSDLRDALTNNCAFRCLEASLRKVTLRFEAKEVNCFPVQLAKFLAENAMVLEEMHVDDGSQFWPDHLCHKVEAWRAESFRRKNMPDMAAGFRVCQLPMDKPVGDSDEESWYGYDYD >SECCE3Rv1G0201670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:866225677:866227547:1 gene:SECCE3Rv1G0201670 transcript:SECCE3Rv1G0201670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQPQLEKKAPPRARPPLAGKAVAALCVASFAAGLLLSGWMPLLSAPIGKVNKTSAPPGCDDNRVSKGLAGERHDPMGIMSEVSRTHHAIRSLDKAVSSLEMELAVERARSGDAGARAGAAGSSKALEKAFVVIGINTAFSSKKRRDSLRETWVPSGEKLRRLEKEKGIVVRFVIGRSGTAEGGGAADRALDAEEAENRDFLRLDHVEGYHELSSKTRIYFATAVATWDADFYVKVDDDVHLNLGMLATRLAKYRARPRVYVGCMKSGPVLSQRGVKYHEPEYWKFGDVGNKYFRHATGQIYAVSKDLAAYISVNQPILHRFANEDVSVGAWLIGLEVEHVDDRSMCCATPPDCEWKKRAGNVCVASFDWSCSGVCRSVDRMKLIHDACGEDQAAVWGVAT >SECCE5Rv1G0376760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873912636:873914496:-1 gene:SECCE5Rv1G0376760 transcript:SECCE5Rv1G0376760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKSELRMAMEDLCFLTTSGDGADQEQQKTTMDLLCVSNHLLHVLGAIGPTLLVLRQDIQQNVQRLQHLLARDSLKYASLTAIVMEEVEQGTSKKTNSCTRAIIWLARSVNFSIRLLERLVENPESSLQEMVEEAYESTLKPFHGWISSAAYRVALRLIPERDIFIQLLMGNCQDPKDFGEDVIILLSFVKPLLQEMNAISVKHRLDRLKST >SECCE3Rv1G0170950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:261026727:261031001:1 gene:SECCE3Rv1G0170950 transcript:SECCE3Rv1G0170950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRPSERADARRNRYKVTVDADEGRRRREDNMVEIRKNRREESLLKKRREGLQAQAPIPAAGVEKKLESLPAMVGGVYSEDNNLQLEATTQFRKILSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLASGSDDVREQAVWALGNVAGDSPKCRDLVLANGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPAFDQTKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQSVIDAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDSQTQCIIDHQALGCLLSLLTQNHKKSIKKEACWTVSNITAGNKDQIQAVITAGIIGPLVHLLQTAEFDIKKEAAWAISNATSGGSHDQIKYLVSEGCIKPLCDLLLCPDPRIVTVCLEGLENILKVGETDKNLGASGEGNVYAQMIDEAEGLEKIENLQSHDNNEIYEKAVKILEAYWMEEEDDAMGATMEAPTGVAFDFGQGGNPDLNLG >SECCE4Rv1G0237780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:363887628:363926824:1 gene:SECCE4Rv1G0237780 transcript:SECCE4Rv1G0237780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVTAESTPRQRLPTPSPSLSQQQSRGRQPPPPASDPLALGVVIFIAVCFLLVSISAPSSILHQVPEGHVGVYWRGGALLKTITTPGYHLKLPFITQFEPIQVTLQTDQVKGIPCGTKGGVMISFDKIEVVNRLNKDFVYDTLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDMFDQIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPVSIKRNFELMEEERTKALIAIERQKVAEKEAETQKKIALSEAEKNALVSKILMQQMLTEKDSSKRQQQIDNEMFLARERALADANYYRITKEAEANKLKLTPEYLELRFIESIANNTKIFFGEKIPNMIMDQRLIRNHLDSAPSKDRLEI >SECCE3Rv1G0187670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:703522922:703523230:1 gene:SECCE3Rv1G0187670 transcript:SECCE3Rv1G0187670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAETALKWVRGDYIREEMVHQHRALEETAARRRGREEDGVVILDSEDEELGSSNPIHHSDPGQGCNKDGGGGVQSDGNGDGDNDDDDGDYTTFHKLLSM >SECCE2Rv1G0073930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:72893569:72895856:1 gene:SECCE2Rv1G0073930 transcript:SECCE2Rv1G0073930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDYIELHQKRHGRRMDYEERKRKKEARAVKKNSKDARKLLGAKGKRFAKKRYAEKAQMKKTLKMHDESSSRQKVDDDVEEGAIPSYLLDRDPTQRAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEVEMFKVLRTGKRKTKQWKRMITKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGPMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >SECCE6Rv1G0438020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786886489:786886764:1 gene:SECCE6Rv1G0438020 transcript:SECCE6Rv1G0438020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTCMLYFEAHQNHAKFQDKHASVRKKEEEAVGAKHVQAHKKQVKFQDNHANDIKIDDVSKDVDTVALDFINRKHTSWTLQKSTTMYPAS >SECCE4Rv1G0275150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:791899448:791900887:-1 gene:SECCE4Rv1G0275150 transcript:SECCE4Rv1G0275150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVNKGHDLNVRVMSRRLVSASDSSIKKHVLGVSNIDLLHGAFPVSLVCIYPRRPAGGFHAVVAAFESGLPSLLNHYFAHAGRIMANPRTGLPEILCNNQGAELIVGVADVALADLDFSSMDLSVSKIPLPYGGDVPLSVQLVSFACGGFSVVWGHNHLLGDGQAQLGLVGGLSELARTGRLAPGARPNHDRSLFRPSCTPWRPRRSSSLAAFKPLTPDRMVNVLTSEASCVQRLYYLEAQAISRLRDMASQDGDGRRATRVQAVSAYMWKTLAAVVGAADTRCRMGWWVDGRHRLTAPEHRALATTTYVGNASIFVLGEHGVEEIQRKPLPEVASMVRDLIDAPGYGDRFQEVVDWVEQHKSGATYMDASNIGLGCPTVAVTANTSFRMDTDLGFGHAAMAMTTTRGRGLCSGFVQMAATPRGDGAWIVSASVWPKLAAALESDELHIFVPVTAEHLGLKLNETVVKEKGSPRSRF >SECCE4Rv1G0219960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:34041353:34047040:1 gene:SECCE4Rv1G0219960 transcript:SECCE4Rv1G0219960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLLEN DEFECTIVE IN GUIDANCE 1 [Source:Projected from Arabidopsis thaliana (AT1G67960) UniProtKB/Swiss-Prot;Acc:F4HVJ3] MSFRSGGRKLSFELLSSGLPAADADDVDDASPRSLPEASSDGQRRRRRRSRRRQGGLQSPPIAEEEPRVDAHPPAALRVTDLMPVVEKVCQASDAERSAASCVAYVGVELRQRNVAGNGRAVTFAEDAPSSCGSSSRESAAAAVPDVIDPARRPEANGVVKKLEKDESLDWEKYIKENSNVLGEVERRDNSPFRYFIGEMYSGNSLRSTIAVGNDKKRQRVYNTMFHVPWRCERLIVAGFFVCLDSFLSLLTIMPARIVMTVWRVLKTRQFLRPNAADLSDYGCFVVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSTCSTDRVTFELLRFLLDGAIAVLSLLVHSFVLLAQAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKKVSKENLHNLVYYDIIERFHITAFLLFVLAQNILEAEGPWFDSFLINASYVFMCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLSKQILNEQPDDRQKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRIFWILLWSVLTYFILAIFKILVGLILRCLATWYINLRLTRKQHAD >SECCE7Rv1G0477250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:216509069:216511667:-1 gene:SECCE7Rv1G0477250 transcript:SECCE7Rv1G0477250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRAGPICLHLVGIAGRRVRRLPQPPAPTATCSTSAFASSYFHHNLGTFLDCVGVPPSRSLSNQAGGDGGIGGECWSCGAKGAFLSCGSCRSVQPVDPAVDYFRIFGLDRGYDVKDTNLEGKYKEWQKKLHPDLHSKSEKERDFAAGQSALVIEAYRTLSKPLPRALYLLQLEGIHVDEEKTINDPELLMEMMEIREAVSEAGDSQTLKKIQSQMKSKLETWSKSFQEAFDKRDFDGAVEATQRMRYYERAMEETVKKL >SECCE5Rv1G0313080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:253628957:253632448:-1 gene:SECCE5Rv1G0313080 transcript:SECCE5Rv1G0313080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRGVMSDVQDGHYDSSTDSLRVEPIYESFLCPLTKQIMRDPVTLESGATFEREAILKWFKESDSSGRSLVCPITRKELISTELNPSIALKNTIDEWMHRNEAAKLDVARKSLTSENSEHDTLQALEYVVEICQRSRSSRHVVRKLGLISLISELLKNSSTKVRQKALESLCFVAKDDNDNKDEIAAGDNIRTIVKFLSHGHVQEKEQAASLLYELSQYKPVSEKIGSVPGAILILVGLSSSKIENLLTVDRADKTLVNLESCEKNVRQMAENGRLQPLLRLLLEGSTDTQLSMAAFVGELVLTNDVKVFVAQTAGSALVNIMKCGNREAREAALKALNQISSYDVSAKILIEAGILPPLIADLFTVGSNQLPMRLKEVSATILANVVASGANFQSIPLDHNRQTLVSEEIVHNLLHLISNTGPATECKLLQVLVGLTSSSTTVQSIVDAIKSSGATVSLIQFVEAPQREVRMASIKLLNNISPCMGQELAEAFRGNFSQLSSLIRVIADNNGISEEQAPAAGLVADLPLQDSVLTRRLVEDGAFITIISKVIMIRQGESRGGRFVNPFLEGLVRIVSRITFILEDDPDIIAVAREYNLTALFSDLLQMNGLDTVQIVSATALGNLSGQSKHLTKILPPSNAGLCFSIFPCLSQKSVETGVCRVHHGICSSRESFCLLEGKVVGKLVACLDHNNEKVVEASLTALSTLLDDGVDIDQGVMVLCDAEGVKPILDVLCENRTEALRQRAVWAVERILRTDEIAYEISGNQNVSTALVEAFRHGDFRTRQIAERALKHVDKLPNFSGIFSKIGAQ >SECCE5Rv1G0304110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:54281350:54282439:1 gene:SECCE5Rv1G0304110 transcript:SECCE5Rv1G0304110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIGKALSQHGKQLRLTVLRHMNKGIFSWATLISRIQSESPAVIIPHMGLENITVREILRAKGEAQSRAVYWCSTTHLVHEAVKHMTANNVGSLVVLKSGDDTQLAGIVTERDFARKILLPGRPSEETRVEDIMTEENKLITVSSNTNILRAMELMTDEHIRHVPVFDEKVVGMISIGDVVRAIVDQQHQEVKQLKKYITGDYY >SECCE2Rv1G0122730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:818249982:818252207:-1 gene:SECCE2Rv1G0122730 transcript:SECCE2Rv1G0122730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATTASLPPPLRRSPRPTSFGAPHGCEAGARSLHPQPRSRRTLACRAELQQDAPFVAAIGACVLASLALPPPRVRGEAAEEEDDGEFGATDTRMGVMAIISFLPYFNWLSWVFAWLDSGRKLYLLYAAVYLAPYLRTNLSLSPDESWLPIASIFICILHVQLETGIRNGDIEGFMFFQKAQNLIFPNAMKEKDGYRAKKKESLRTGHRGNSRIPSAHESREKLRNSDIFKKRFDEPDEKQKKSDWH >SECCE2Rv1G0069160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27364061:27368169:-1 gene:SECCE2Rv1G0069160 transcript:SECCE2Rv1G0069160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEADVAEMTGPLLVGAPSAVPPWREQLTVRGVVVSAILGVLFCLITHKLNLTVGIIPSLNVAAGLLAYVLVRTWTAALDMFGVVSKPFTKQENTVIQTCVVACYGLATSGGFGSYILAMDQKTYELIGTDYPGNRAVDVKNPSLSWMIGFMFVVSFIGIFCLVALRKVMVINYKLTYPRGTATAMFINSVHTATGDELVEKQVSCLGKYLSISFLWNCFKWFFSGVGDSCGFDKFPSLGLAAFKNTFYFDFTPTYIGCGLICPHIVNCSALLGAIISWGFLWPYIATKAGEWYPANLGSNDLKGLYGYKVFISVSLILGDGTYNLIKIMYATIKEIVNARSKQGRLPLVWVHDDDKNSKLSVEEKLLNQVFLKDSIPPWLAGSGYVGLAAISTATIPIIFPQLKWYLVLFAYVVAPLLAFCNSYGSGLTDWNLASTYGKIGLFIFASWVGRHGGVIAGLTTCGLMMSIISTAGDLMHDFKTGYLTLSSPRSMFVSQLIGTGLGCVIAPLTFWIYWTSFDIGNPDGMFKAPYAIIFREMSIMGVEGFSVLPRHCLAICSLFFFGGIAIKLVRDVTPNSVSKFIPLPMAMAVPFYIGAYFAIDMFIGTVILFVWQRVNRKESEEFAGAVASGLICGDGIWNVPSAILSIMRIDPPMCMYFKPSLTYG >SECCE2Rv1G0083400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:166027795:166029531:1 gene:SECCE2Rv1G0083400 transcript:SECCE2Rv1G0083400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSAALVLFLAAAATLSVAVAYDPLDPNGNITIKWDVLSWTPDGYVATVTINNFQTYRQIMAPGWTLGWTWAKREVIWSMVGAQATEQGDCSKFKANLPHSCKRTPAVVDLLPGVPYNQQIANCCRGGVVSAYGQDPSGAVSSFQVSVGQAGTTNRTVKVPKNFTLLGPGPGYTCGPAKVVPPTVFLTADHRRKTQALMTWNVTCTYSQHLASKYPTCCVSFSSFYNDTIVPCAKCACGCEHKTCARSERDSKRLMSASGKSAHPVTAALRGHVNRQSAAPLLQCTTHMCPVRVHWHVKLNYHDYWRAKVTVTNFNYRTNYTGWTLVAQHPNLDNITEVFSFDYKPVVSYGSINDTALFYGMKFFNDQLMEAGPYGNVQSEVLMRKDASTFTFRQGWAFPRKIYFNGDECRMPPPDSYPYLPNSAPASLVSSASVIVVVAFLVLLMA >SECCEUnv1G0562060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:361970946:361971737:-1 gene:SECCEUnv1G0562060 transcript:SECCEUnv1G0562060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELDKKAFSAACKGKFAKADFGEQLAIHCSKWEDEISQPGWHPFKVISVDGETKEILLEGDEKLKSLKEELGEEARDVVVKALLEMNEYNPSGRYPMHMLWNFKENRRAPLDEAVAYLLRQWKRNKNKKAFY >SECCE7Rv1G0505290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:733008125:733008805:1 gene:SECCE7Rv1G0505290 transcript:SECCE7Rv1G0505290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSPPRPLAFPTLDSLAAYLRPRLPGPALASWGSAPGTKNLLNLFLELSCGDCTLLPAASSPPALVVRAVHVATVRIRNRRGALLVETRQLLSDGTLRRRAVRPLSEKMRPGETPEAAAARAVVEELGERARVRILGAAPEPRVEERESVSYPGLPARYVLHAVDAELVEGVPDEGEFDTEEAGEGEGHDGGGAAITVKRHYWAWVDDEEARGEAAPAAVAGAQ >SECCE7Rv1G0522790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879219316:879221365:-1 gene:SECCE7Rv1G0522790 transcript:SECCE7Rv1G0522790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVQHSLHELLMLLQGRFPSPQAALVVSVLLVVCPLLVLLVARRLGTPSTATVTARAREDQLSKLPSPPSRLPIIGHLHLVGPLPHVSLRDLAAEHGRDGLMLLRLGAVPTLIVSSPSAAQAVLRTHDHVFASRAYSPVTDILFYGSTDVAFCPYGEHWRQVKKIATTHLLTNKKVRSYRHARENEVRLVVAKIREAAATGTTMDLSDLLNAFTNDVVCHAVSGKLFRKQGHNKLFRELVEANSSLIGGFNLEDYFPVLVKLEVIKKMVCAKARKVNKLWDNLLNSLIDEHASRPTSEQGGEDSDFIDVLLSIQQEYNLTRDHIKAQLAIMFEAGTDTSFIVLEYAMVRLMQKPHLMNKLKTEVRSTISKGKEMIAEDDLSSLAYLKAVIKETLRLHMPAPLLVPHLSMADCKINGYMIPSGTRAIVNSWALARDPSSWESAEDFMPERFMEGGSAASMDYKGNDFPYLPFGTGRRICPGINFAIVTIEIMLANLMYHFNWKLPSESMDAGISMTESFGVTVHRKEKLLLVPVVPED >SECCE6Rv1G0428890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:731432806:731434862:1 gene:SECCE6Rv1G0428890 transcript:SECCE6Rv1G0428890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVDKVIGRVEITDTFTDSTVIPDRYVQSDESRDGVVVGDDESYELPVVDMASLLDSEFSEAEIAKLGSACREWGFFQLTNHGVDQTVVQDMKDNTVHFFGLPLEKKKAVAIQAGGLEGFGHHYSRASCEKLDWAESLILVTEHKAQRNIRFWPADPSTFRDALDKYSVEMSDLTSRLMPFMARDLGVEQEMLVGTFQGKKQSVAFHYYPPCSHPEKVIGITPHHDGLGLTLLLHVDDTPGLQVRKSRKWYPVNPLPGALVINVGDILQILTNGIYKSAEHRVLPDAQKGRATVVMFQHACVAGMVKPLPELGEATYKAIEKVEYIKGNFRALAEGTWFVDSLKINHGT >SECCE2Rv1G0088770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:248239095:248241023:1 gene:SECCE2Rv1G0088770 transcript:SECCE2Rv1G0088770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRGLLARRLFVLLRPKIPPLFPPDGLFRGEVLMRLPPPRPFGEWRRAFHDGRPRGPLWRSKKLIGKEALFAIQGLKRFKGDEEKLREFIKRHVARLLKADKLSVLGELERQEEVDLSVKMFRIIQKEDWYKPDVYMYKDLIIALAKCKKMDEAMDIWGNMKAENLFPDSQTYAEVIRGFLRYGSPSDAMNIYEDMKRSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPDDIFGMH >SECCE6Rv1G0386150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:90991042:90993910:1 gene:SECCE6Rv1G0386150 transcript:SECCE6Rv1G0386150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSAAAARRLMLLRHRRRHLVLNHHFSSSSADEVLDGGRVKIFDRDLKRRHRDRASWAMRETDPLVDAVADNLLDRLEDCRKAFPSALCLGGSAGAVRRSLRGRGGIEKLTMMDMSVDMVNKWRELESATDDGPEMQFIVGDEEYLPIKENSQDLIMSCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRMSPLAQVRDAGNLLSRAGFALPGVDVDRYTVKYNSALELVEHLRAMAETNALFQRSPILKRDTALATAAIYQSMFGLEDGTIPATFQVIYMTGWKEHSSQQKPKRRGSATVSFGDIRKQFGSNQD >SECCE5Rv1G0376360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872223001:872224047:1 gene:SECCE5Rv1G0376360 transcript:SECCE5Rv1G0376360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRLSALRAFDDTKAGVKGLVDAGATAIPPIFHHPPDAFAPSTLATDVAAPVVDLSAPRSDVVSAVRAAAETVGFFLLANHGVPEAAMSGMLAAVRRFNEEPAEAKAPYYTRDAARRVRYSCNADLFQTLVSKWRDTIYMDDADRPASGEDLLPPALRGVAPEHTGQMRRLGRALFELLSEALGLPRGYLEEEAGCMAALSVAGHYYPACPEPHLTMGTVPHSDPSFLTVLLQDGVGGLQVLVDDGGKRAAAWVDVPAMGEASLVVNVGDFLQLVSNDRFKSPVHRVVSKNTGPRVSVACFFRANGATVCAPAIVDGSGPPRYRSIKAEEMLCVSNTQTRLNNLRL >SECCE4Rv1G0282900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:831027390:831030414:1 gene:SECCE4Rv1G0282900 transcript:SECCE4Rv1G0282900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSGKYSSRDLAAHTSLKPRKEGQQTQEEVQKRNLRDELEERERKHFSSKDKSYVDDRDRRKSSSLLLEGSKRDEDKIVPREIDADDSDVELKSDDESDDDDDDDDTEALMAELERIKKERAEDRLRKERQQAEEEAKMKEAELMRGNPLINMNNSGSFNVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLHRYMK >SECCE6Rv1G0448970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:859488124:859489421:-1 gene:SECCE6Rv1G0448970 transcript:SECCE6Rv1G0448970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASECSFAHGVSLPAHRPPLASFTSCGLRHGAARAVAHRRGMAAARPISVGAVRRILGSARYGSDEAEVAVPPSVPVRVAYELQLAGHRYLDVRTEGEFAGGHPAGAVNVPYMYSTGSGMAKNPHFVEQVTAMFGRDDEIIIGCQSGKRSLMAAAQLCSAGFTAVTDIAGGFSTWRENGLPVNGR >SECCE2Rv1G0126570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:847042484:847046048:1 gene:SECCE2Rv1G0126570 transcript:SECCE2Rv1G0126570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLMDDVTAEIFLRLPPDEPEHLFRAALVCKPWLRILRDPSFRRRYSVVHRAPPLLGLLHRLQVFEGDPAAGLAPTTAAPLSPYPDLCRTRMPNSPTTRPLDCRHGRVLLHVGVGAWHFIVWDPVTGDQHRLPEPGIPKLIYTAAVFCTVPGCDHLDCHGGPFRVVFLVTEDCTDLVKAAVYSSETGAWSTPVTMDAGCDVQHRRDTLAAGFYYTPYVQPRRGAVIGDEAYFTLRWGNPVVKYNWSKNHISMIDPPTKCVYNVDVRKEHVNDVALMVMEDSSLGFACVEGSSLHVWSRKVNAEGDAEWLQCRAIQLESIIPVASSEDKPFVVGCAEGVGVIFVSTGVGLFTIKLDSGLVKKVGKSGVYFSVLPYMSFYTPVMSIVHGIFSDHGTLLL >SECCE2Rv1G0125810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:843321781:843324346:-1 gene:SECCE2Rv1G0125810 transcript:SECCE2Rv1G0125810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGKMGATEERERKRYPDPSNPFIEVKFGDDFVDIPSNADESPAWSLLVGVKVKSGALRFHRFRVARSGRISGRSDDDIQVFHDLKQPQGCSSGATAVLAPDGRSLCLLQLVSNAQTEALQLLLPAEEEPPAEVALPPLDPSMRRRCIPISADGHIWAVSAAYSSATSFSLRVQRLVIEEEEGAAAQRWEQVGIPLVQLCKFEPYRSNIWGPDFLQGYAVLPGHGREGGTLILLSLENGLFFTFNCSSTDLGWTKVAQTSDAIDYVPILGRGFYAQESNTIYMLRKDAISAYKLGYEEGSLKMDPPVDITFPYVDRSTAHGLLTHLGCGVMCSVLITVGLPCWSDHLHAIVSTFRLGPEPSHVKLLHSTSRQLDMSLPMKDIDDFELCFLQEYKDDKVLPPQVHEEGVLHDRCSHILPPGPPPPYVQPYMDNELLFIICQAGSQSFIYKTTLTDLSPDIKRAPHLKPHYIVHGDRYRDDDGERHFFRSSSKLYAVSFQKDGMHELNLDTRFQIFDHLARRPVSASSVDPFVMVIQVGSATLALTETLQIYRRTHLVRPIGSTSWVRCRTDRSQVLDRKVKLSGYVAVGDDSFIVCDTVTCSCLQFDLRAKQWHLVMPWAPWGKYLPTDMHASRLLNGRCIFVDGFIYTCTRNGLVAYELLREDHCVYLDRPISLPFAWGTDTWRSEKMCLDYAGKDVNSGAILFWVVQGESLILYQPPENQLQITAVRVETEKTPSKCMKPVRMNHVVNATRVIDQEELIKQEKVISTRCFAVSSSSMFLGQ >SECCE1Rv1G0051510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:654442967:654444994:-1 gene:SECCE1Rv1G0051510 transcript:SECCE1Rv1G0051510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARNFMPGPNQELLDIKPIRSLAPMFPAPMGVNINQSSTPPLVCVTPVGQFPTGFGGGNLPAFGSFATFSTTANGFSQAGTSANGAIDATPISAYKTRSRATALNDDDEPYLGNQTSASKRKAKKRSAGLAADGSDGIKAKRPKPVYKNLVAGKELAFLPASPNNPREIVEAVHMTFEALRRRHLQMDETMDASRRADLKAGAIMMASNIRANVGKRVGTSPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMSAKFGADEDSVAICIVAAGGYENEDDDTDTLVYSGSGGNSRNTEERHDQKLERGNLALERSTHRKNEIRVVRGFKDPAMVAGKIYIYDGLYKIQESWKERTKFGVNCFKYRLQREPGQRDGAAIWKMTQRWIQDPSTRGRVILRDLSSGTETIPVCLVNEVDHEKGPGNFTYTNQVKYLRPISSMTPMQGCGCQSVCLPGDANCACGQHNGGDLPYSSSGVLVCRKPIIYECGEACHCTLNCRNRVSQKGIRFHFEVFRTANRGWGLRCWEPIRAGAFICEYVGEVIDELKVNLDDSEDDYIFQTVCPGEKTLKWNFGPELIGEQSTYVSAEEFQPLPIKISAKKMGNVSRFMNHSCSPNVFWQPVQYNHGDDKHPHIMFFALNHIAPMTELTYDYGVVGEETSHRAKTCLCGSLTCRGLF >SECCE6Rv1G0400780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:457424656:457426275:-1 gene:SECCE6Rv1G0400780 transcript:SECCE6Rv1G0400780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSTYRVPSGLAFLGPSKIGRSPLRSKGGVRSGAKYVVPTCAVSSARPASQPRFIQHKKEAFWFYRFLSVVYDHVINPGHWTEDMRDDALEPAELYNNEFKVVDVGGGTGFTTLGIVKHVDNDNVTLLDQSPHQIEKARQKEALKGVSIMEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGIKEAYRVVRPGGLACLIGPVHPTFWLSRFFADMWMLFPTEEEYIEWFTKAGFEEVKLKRIGPKWYRGVRRHGLIMGCSVTGVKRASGDSPLQLGPKAENVEEPVNLLAFLFRFAIGTICASYYVLVPIYMWMKDQVVPKGQPI >SECCE5Rv1G0350120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:688610162:688613244:1 gene:SECCE5Rv1G0350120 transcript:SECCE5Rv1G0350120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAMAPPAGKRPASGGREGEHMVVTPLGAGGEVGRSCVHMSFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSAIDVLLVTHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYRLLLSDYVKVSKVSVEDMLFDEQDIIRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLKAAEIPQFSPDICIIESTYGVQQHQPRHVREKRFTDAIHNTVSQGGRVLIPAYALGRAQELLLILDEYWSQHPELHKIPIYYASPLAKKCMAVYQTYINSMNERIRNQFAQSNPFHFKHIEPLNSIDNFHDVGPSVVMASPGSLQSGLSRQLFDKWCTDKKNACVISGFAVEGSLVKTIINEPREVTLANGLTAPLHMQIFYISFSAHADFPQTSGFLDELRPPNIILVHGEANEMGRLKQKLITQFDGTNTKIVSPKNCQSVEMYFSSEKMAKTIGRLAAKVPEVGESVSGLLVKKGFTYQIMAPEDLRVYTQLSTTNITQRISVPYSGSFEVIKYRLKQIYESVESSTEEPDVPTLIVHERVTIRLESESYVTLQWSSDSISDMVSDSVVAMILNIGREGPKAVPTEEAAKTEEETEKVVQKVVYALMVSLFGDVKVAEEGKLVISVDGDVAHLDGRSGDIECENAKLKERISTAFRRIQGAVRPIPLSAS >SECCE4Rv1G0233230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:231602331:231605366:1 gene:SECCE4Rv1G0233230 transcript:SECCE4Rv1G0233230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 12 [Source:Projected from Arabidopsis thaliana (AT5G56510) UniProtKB/Swiss-Prot;Acc:Q9LVC3] MGDSQSIQDCSKFRAFVDRIPGAELGNVGDMGIHYMSGSTSQAGGAKMRGPLRSPLFTMYDSAMIGSNKSAVEFPSDGLPYEQSLASAFGDMSFKNYTADSLTSTRDFVPVNGYYPSGPANYQPGITPPDVTLNLSLVPAIVQDDLTSRQFCAEHINQKPDELTVGHQEQAYRFSRHFENFPRGSVMHNLDSFSSAPYHQSALSTTPIKQQFYLDGQSQLYAPYGQPFDSNIMWQHGTETPRYAMLQPHYVYPQSQQVAGSDVRQSRRHQQAAACTSANGTSHIETPNIHRQGMGIEDPYSNGAAFQKRNIQLNSFPCTLSADNPCGSSNFHQQVDKLYSLSNGSSRHQISDNISTISYPDKARMWADVVNSVRSTRSTPLDGCIGRDGRTSSAHNHLDLQNNYSLHPDRPNSQLLPLVMKPCELNYNSVDEVAGQIYMLAKDQNGCRFLQKVFAQGSQEDVEKVFAEIIDHIGELMVDPFGNYLVQKLLEGCSDDQRMRILCEVTKTPGELIAVSCNMHGTRAVQKIIETVNNLDQVSKVVSALSPGAMHLMLDPNGSHVANRCLQKLLPESKAFLLDAATLHYLGLATHQQGCCSIQKCIEHSDDEQKFNLLSNIISSALTLADDRFGNYVVQSILNHGIEWATSKIVDELEGHFGYLSIQKCGSHVVENCVKRATQHMRDKIIQELINDPKLQHIMVDQYGNFVIQTALEHCKGPLHTTFVEAIRPHAAAMQSHMYGKRVLSKTYLKNKQHRVGVL >SECCEUnv1G0544220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:125428263:125433439:-1 gene:SECCEUnv1G0544220 transcript:SECCEUnv1G0544220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G57650) UniProtKB/Swiss-Prot;Acc:Q8LG50] MAIPLVLVLLPLGLLFLLSGLVVNTVQAVLFLTIRPFSKRLYRQINVFLAELLWLQLIWLVDWWAGIKVQVYADPETWKLMGKEHALLISNHRSDIDWLVGWILAQRSGCLGSAIAIMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKSGLQRLKDFPRSFWLALFVEGTRFTPAKLLAAQEYAVSQGLTAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIIPEDSPKPTMLRILQGQSSVVHVRIKRHSMSDMPNSDEDVSKWCKDIFVAKDALLDKHIATGTFDEEIIPIGRPVKSLMVVLSWSCLLLYGAHRFLQWTQLLSTWKGVILFATGLAMVTAVMHVFIMFSQAERSSSAKAARDRVKRD >SECCE5Rv1G0345860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:659353818:659354270:-1 gene:SECCE5Rv1G0345860 transcript:SECCE5Rv1G0345860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKRLAQMAKKWQRMAAIGRKRLTQTTSVAKGAANDERCGTSPSLVMKGHCVVYTADSVRFEVPLAYLDSSVFSELLMMSQEEFGFAGGDDGRIMLPCDAAVMEYAPCLLQRDASTEVVKAFMSSVARPCSFEGSMVGVGLNQQVAVC >SECCE5Rv1G0354640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:725486824:725491956:1 gene:SECCE5Rv1G0354640 transcript:SECCE5Rv1G0354640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLREVLRESDLTTTTTGALRRRLEEDFGVDLSDKKTFVREQVDLLLSEFADKAEPEDAPAEEEDPEEVKPEDGEGGEEKGEGEEEGAEQQVEGEEEEEEEEEEEEDEESGGGRKKKRRLGDGKKKAGGFTKLCSISPALQEFVGASECARTEVVKKLWAYIRENNLQDPSNRRKILCDDNLKKIFNVNSIDMFQMNKALTKHIWPLDSDGPVSPKKSPPKEKSTPKVKHQKRDRSEGNKQKGGSSGSTSGLLAPLVLSDDLAKFIGTGESMLSRSDVVKRMWVYIKENNLQDPSDRRKIICDEKLKDLFQVESFTGFTVSKLLNPHFTKAK >SECCE5Rv1G0372910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:855326273:855327826:-1 gene:SECCE5Rv1G0372910 transcript:SECCE5Rv1G0372910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDPTGRYAGDTDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGGIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAYEEGEYEDELEDDADLQD >SECCE4Rv1G0273860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:780050395:780052312:1 gene:SECCE4Rv1G0273860 transcript:SECCE4Rv1G0273860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGRLVLAAFVVVALAILLPSAQATSLHGGGPFDSIFAFGDSFTDTGNNPIVFGWYNVFDVVMRPPYGMTSFGGRPTGRNCNGRLIIDFIAQGLGLPLVPPYLSHKGELPAGCQLRQCKDFFGRSLFFVGEFGINDYHYSFGKKSMQEIRAFVPDLIQTIAMGAERVIGHGAKTLVVPGMIPSGCAPPILVTFEDADASEYDATTGCLKEPNEIVKLHNSMLRDAIQKLRAEHPDVTIIHTDLFNHVMEIVKLPEKFGINKDDVLKVCCGGRGRYHYNLSVACGDEAATTCEDPSTHLFWDGVHLTEAAYHHIAEDWLNTIVSSLPTTASS >SECCE4Rv1G0287920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:857379259:857379803:1 gene:SECCE4Rv1G0287920 transcript:SECCE4Rv1G0287920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPB15.9.9 [Source:Projected from Arabidopsis thaliana (AT5G09920) UniProtKB/TrEMBL;Acc:A0A178UIQ5] MSGMEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKWEQLQHMSDGGADQVSQVFEKSQAYVKRFSRYKNPDAVRQVRETLSRYSLVEFELCTLGNLCPDTADEARALVPSLVPGGRFDSDDRVDKMLNDLSLIKKFE >SECCE5Rv1G0366910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:817084695:817085051:-1 gene:SECCE5Rv1G0366910 transcript:SECCE5Rv1G0366910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQGASRGAKADGAVAAMETGGAGGGRPSSAEAGWAWSWACCAVAAGVAAVGLAGAGVLVWWAVAFHPAREQLWMVPVGLVLLGTPLVAWLSLFASGACRRLGTPTHHHHPPPAES >SECCE3Rv1G0188410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:713284360:713286207:1 gene:SECCE3Rv1G0188410 transcript:SECCE3Rv1G0188410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVYSPATVSTTSSQRGQIVSSDRPPSYAADAPLRSDEGFDGRAANPSRARRRRGRERGGGDGEPPPLSPTPSVNTDGEFDGRAAKRRRAETGGGVGAGDAAAEDRIGELPDAILLCILSYLPLRDAARSTALSSRWRRLFDQYLLDFNACQPFPPEAGRGCDWFIRAVDSILASRRHISIRSFRFVMYGQGFINRVGVVSGWFPVLATCGVREVDFDMLYTVWRPTLPASLLQLASLETLRVCFCDLPKEAEVDALRLPALKTLRLSNVRTSQDALQAMLAHCPSLESAKLKNITGVQQIRLRSKSLVRLYGDLGDLSELIVEDAPSLEELVGIHLPSGGATVKIVSAPKLQVLGYLGKNVRPLVLRDTVFDGGILQSTTTLMCSVKTLAIQVPFSEKGHTIFVAQLLKCFPCLETLHVEADSRSISQRVTPESWDTTTSIRCIEHSLNKLVFEDFGGEMCQWSFLTFMLEMAKTLKVVELYCLKGEDCASRLIGILSSINRVCQDMEFLFFTSCEPVNGLYLCHCCPRRCQNENRVSLMDTLKHQKNRR >SECCE3Rv1G0193140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:766138547:766141273:1 gene:SECCE3Rv1G0193140 transcript:SECCE3Rv1G0193140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVASIVDTAFRPLKDYFARTFGYVMSCADYIDALGHEVDELKSKRDDVKRMVDAAERQGMEATSQVKWWLECVARLEDAAARIHAEYQARLQLPRDQAPGLRATYRLSQKADETLAEAAGLKEKGDFHKVADELVQLRFEEMPSAPVFGMDEMLQELHACVRGGDVGVVGIYGMGGIGKTALLHKFNNDFLIGSQDINVVIYIEVGREFSLDDIQKVIGDRLGLSWENRTPKERAGVLYRVLTKMNFVLLLDDLWEPLNFRMLGIPVPKHNSKSKIIMATRIEDVCNRMDVRRKLKMERLSPQHAWELFRDKVGEHLECAAPEIWRPAKGVAMKCGGLPLALITVGRAMASKHTAKEWKHAITVLNIAPWQLLGMETDLLTPLKSSYDNLPSDKLRLCLLYCSLFPEDFIISKDWVIGYCIGEGFIDDLYTEMDEIYNKGHDLLGDLKIASLLEKGEDDEHISMHPMVRAMALWIASEFGTKETKWLVRAWVGLKEAPGAEKWSEAERICFMRNNIEELYETPNCPLLKTLMLQNNAGLKKICDGFFQFMPSLRVLDLSHTSITELPSGISALVQLQYLDLYSTDIKSLPRELGSLVTLRFLLLSHMPLEMIPAGVIDSFKMLQVLYMDFSYGDWKVGESGNGVDFQELESLRRLKAIDITVQSVEALERLARSYRLAGTTRNLMIKACGSLTKIELPSSHLWKTMTNLKRVWIASCSNLAEVIIDGSEETDCPILDPCDFMRMGDVIECEEPALDNLQGIILQSLLKVKIVYRGGCIENLSSLFIWCCQGLEELITLSDGDQEAAANQDEQAAGTCKVITPFPKLKELYLHGLPKFRALSSSACMLQFPSLVRLKIVDCPSLKKLKLVAAELKVIQCARDWFDGLEWDDDEVKASYEQLFRYTFQ >SECCEUnv1G0544150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:124599076:124600146:-1 gene:SECCEUnv1G0544150 transcript:SECCEUnv1G0544150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKFFEQNRGQLLQQLVSQKADITERMIISLEELEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKPKKVVQKEQEIREFINEVAILSQINHRNVVKLYGCCLETEVPMLIYEFISNGTLYEHLHADRPKSLSWDDRLRIATEAAKSLAYLHSATATPIIHRDVKSANILLDDTLTAKVADFGASRYIPMDISGVTTRAQGTRGYWDPTYFYTGRLTEKSDVYSFGVVLVELLTREKPFSYLSAEGESLVAHFAALFEKGQLLQILDPEVIEEGGKQVEEVASIAVACVKLSREDRPTMRQVELTLEGTRASLGQTSNNASPSTKAKSNARGSIRRYSMELEFRLSAEHPR >SECCE2Rv1G0106750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:638691238:638691753:-1 gene:SECCE2Rv1G0106750 transcript:SECCE2Rv1G0106750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIQLSLSCEKRRSKALTVASRAAGVTSMAITGDARDQLEVVGDGFDPVCLVSCLRKKLGHAQIIKVEEVKKPEEKKEKKDDPKPAVPVYPPPCYYQPSYYHHQYQPPHMVICEEEPSNCRTM >SECCE4Rv1G0244530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:516785917:516787818:-1 gene:SECCE4Rv1G0244530 transcript:SECCE4Rv1G0244530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPASKDLNQPPPQMNSSGLLRYRSAPSTLLGEVCEEFLQPAPRAASPDAAAAADNVFSRFLADHQIRDTKPPPPPPVAPGAHFPDEAAMASQQQQMMFHSQQQQQQMPPVGVEGLYRTVSSAGMDSAAAATAGGASSLLRQSSSPAGFLNHLNMDNGYESMLRQGMGVGFRNGAANAAAAVDSSGGGGGRLKGQLSFSSRQGSLMSQISEMGSEDLGGSSPEGAGGSRGYIPGYPMSSGWEESSLMSENMSGMKRPRDSSEPAQNGLAHQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMDKQTNTADMLDLAVDYIKELQEQVKVINESRANCTCSASKHQQYSG >SECCE3Rv1G0202540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:875026627:875032224:1 gene:SECCE3Rv1G0202540 transcript:SECCE3Rv1G0202540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGDFQHPMMEHLQALDYARAAAFAVLLVWALAEFAKRRKRRHQEAAGHGGAVASARRGGAANIVAFCNASITLSHIGFMVLAVWKRQAVSPGLVFETASWLLAALFLLYCKHEGAAGVVSSNWPPVLVSWWFFSFLSESLLASLHLLRLFDSATVVVDFASLPFCTVICLVVVAMRLSKANEKELNQPLLLREDADDSSRDRFSSAGWWSRLTFRWLNPVFEKGHKVRLELEHIPSVPQSETAEQSYALLQETLHKQKPEPMPLREAIICAVWTPLVTNAVFAGLNTVSSYMGPFLITYLVELLSDKSTDKGHGPGYMLACLFFASKTVESLSQRQWYFGARRIGFEVRAALMVSIYKKSLLMKNSGPVAGKVVNFLDVDVEKVGEFFWYIHGIWLLPLQIFLALAILYHSLGAMASLSAVLVTVLVMVSNTPLANSQQNLNMKIMEAKDSRIKAMAEAMKSMRILKLHAWETAYLDKLLKLRDVEKGWLRKYLYTCSAIAFLFWASPTLVSVVTFGVCILVEIPLSAGTVLSALATFRILQDPIYNLPELVSMVTQTKVSLDRIEEFTKEDHQGKPTCHGNITGTKDLAMAGEIIIEPGEYSWEADNSSKKTKVALKINSKVIIRKGLKVAVCGPVGSGKSSLLYSIMGEIPRVSGAEPTVVGSRAYVPQSAWIQTGTIQDNVLFGKSMDRSLYEEVLQGCALDRDLELWANGDMTVVGERGVNLSGGQKQRIQLARALYSDSDVYFLDDPFSAVDAHTSAHLFKECLLRLMSSKTVMYVTHQLEFLRDSDLVLVMKDGRIVQSGKYDDLIADKDGELLKQMAAHDQSLSQVNPAKVNGLAKSRKQKKQIEATEIESDGHVIGRECEEERESGRVRWDVYRKFVTSAYGGGLIPVVLLCQIFFQALQICSNYWIAWAAEREDQVSKKKMIGIFVLLSAGSSAFILGRAVFLSTIAIETAQQLFLGMTRNIFRAPMSFFDSTPSSRILNRASTDQATLDTDIPYRLAGLIFAIIQLLSIIFIMSQIAWPIFMLFIIIIAISTWYQSYYISSARELARMVGIRKAPVLHHFSETVSGAATIRCFNQGEKFLTKSLALIDDYTRITFHNSATVEWLCIRINFLFNLVFFVMLVILVSLPRDTIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERIFQFTNIPSESPLVIENCRPRETWPWCGTIQIEALQIQYSPDMPMVLKGISCTFPGERKIGVVGRTGSGKSTLIQALFRVVEPSAGRIFIDGVDISLLGVHDLRCRLSIIPQEPTLFQGTVRTNLDPLQQYQDTEIWEVLRKCRLEEIVTEDNRLLDAPVIEDGGNWSVGQRQLVCLARVLLMKKKILVLDEATASVDTATDNIIQKTIRQETDNCTVITIAHRIPTVIDSDLVLVLGEGRILEFDSPENLLRDESSAFSKLVMEFVGRSEGRHQPEPM >SECCE1Rv1G0011510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:78719468:78725965:1 gene:SECCE1Rv1G0011510 transcript:SECCE1Rv1G0011510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASPSCDRGLQAGLHPSASSSSISSRDSNMASKLQNAASLTIRLLQQITDNFSEERKIGQGAYGTVYKGVHANGEEIAVKLLRNNLQGTEDKQFKREFENLMRLDHHNIVQLVGYCYETHHKPMLHNEETIYAEETNRVLCFEYMRNGSLQKHISEECDGLDWHTRYEIIKGTCEGLKYLHEGFKEPIYHMDLKPDNILLDENMMPKLADFGLSKLYDGEQSMITQSLAGTIGYLPPEYLFEHVVSKKLDIFSLGVVVTKIITGPRGPTRRAEMTQQEFTNQVHVNWRKRLQETWHASRQLEAYCNQVKICIEIALTCMENDRHKRPTIVDIIHKLNQTETMIKELKNDQAYRWTSNNIIRDVVAQGSDQRDYHVVHDETPSLMGPLRSISENIEGVESEYSDEEDDPLPGVEGLRITGEAFPGRELQVSGYSINGTTSCQFEWVRHLEDGSQKFIEGARQPTYLVTADDVDTILAVEVQPLDHRERKGDIVKVYANEERKIPCDPETKELIKQTLSVGHVSYEVLLPAVPVLETWEPAVLAVTRKGYSIKCNGQRGVVVTETFQQYMAISIPCGRPTEFSLQSAGGDEYSLKPAESSQSRDTIVLILRAFRMQVHDEMKCRLLRGLERRLGAFKLE >SECCE2Rv1G0128510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:861671236:861671688:1 gene:SECCE2Rv1G0128510 transcript:SECCE2Rv1G0128510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAMSSSSTLMSSSSAPLVSLDEQPPLPLMVCPFCNNGMVQWWVSRTTRNPGKHFYKCEHEWVSHKYFLLFSTIPFWSMYSSPVFWIFFKTRKCNFWKWEDNYINVIRAKWPRLLTVASREDKKFHRIIIALLLVNLLALFFLCCKVA >SECCE2Rv1G0113030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:718506180:718506635:1 gene:SECCE2Rv1G0113030 transcript:SECCE2Rv1G0113030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLPPPRQAPPLTDLATAIRLAAEAAAALSAPSSSSAAAAAAATLRDAHAAIGSFLSRLDAPAAAPSDGDQPMADGGEAGEPMIGEVEDGLRECALQGNKRRKRPVPPSWPLGPRSIGACVAPEATTEPALDVQRRRGAAMDLLLQFHA >SECCE2Rv1G0076090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:88584930:88587305:1 gene:SECCE2Rv1G0076090 transcript:SECCE2Rv1G0076090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAALPLAAVLVLAVSWAWEHLLMRLVWRPYAIAKRHRTQGIHGPPYRFLKGSNEEVRRMKAETADVALDVRDHNYLPRVAPHFLKWRAQYGEPFLFWFGANPRICVFDYELVRQILSSKSGHFPKNDAHPNVLELLGKGLVLVNGVDWVRHRRVIDPAFAMDKIKAMTKTMVSCAQRMFTVFEEQASKNTNKEIQVEFDESVQELTADIISHTAFGSSYKLGMEAFYAQKELQAIAISSLLNVQIPGFSYLPTKRNRKKWMLEKKLRSTLMRIINSRLASQGSGYGNDLLGLMLEGCTTTVQGGKQEQLSLSMEEILHECKTFFFAGYETTSLLLIWTVFLLSVYPEWQERLREEVLREVGKGNPSGDNLGKLKEMSMVLHETLRLYGPALFMQRKTVTDMVVGAITIPKDHAVVIGAPFMHRDKKVWGEDADQFNPMRFANGVARAAKVPHALLAFSIGPRACIGQNFAMLEAKSVMATVLQKFSFALSPSYVHAPADFLTLQPKFGLPVVMKLLDV >SECCE5Rv1G0376110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871121264:871125298:-1 gene:SECCE5Rv1G0376110 transcript:SECCE5Rv1G0376110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMIECEDNWNCQIENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAGGRGGGPPVGGGRGGAPPVRR >SECCE5Rv1G0326290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:476407070:476411820:1 gene:SECCE5Rv1G0326290 transcript:SECCE5Rv1G0326290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHSAETGTSMLLHGDLDIQIVEAKCLPNMDLMTERIRKCFTGYGACRSNCGNSNPKPDVRKIITSDPYVSVCLSGATVAQTRVINNSENPKWDEHFYVQVAHSVSRLEFHVKDDDIFGAELIGVASVPVEQITEGDIVSGWFPISGHYNSPKISPELNLSIQYKPFDQNPLYKDGVGAAGTENIGVPNAYFPLRKGGRVSLYQDAHVPDDFRPNIEIEGGRTYEQNKCWEDICHAIVEAHHLIYLIGWSLYHPINLLRESTKLLPDGVPRTIGEILKSKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKFFRHSGVHCVLAPRYASNKMSIFKQQVVGTLFTHHQKCVIVDTQATGNNRKITAFLGGLDLCDGRYDTPEHRLFKDLTTVFKDDFHNPTFPVNKSDGPRQPWHDLHCKIEGPAAYDILTNFEQRWKKSAKWKVSVRRAVSWHHDTLVKINRMSWIVSPSADELNAHVCEENDPENWHVQIFRSIDSGSIKGFPKDVQEAESQNLVCAKNLQIDKSIHNAYVKAIRSAQHFIYIENQYFIGSSYYWSSHRGAGAENLIPIELAIKIARKITAREPFAAYIIIPMWPEGNPTTAPMQEILFWQGQTMSMMYKIIADALRKEGLDDAHPQDYLNFYCLGKREVTAEVPVPTSHSNENSPMRLAQKFRRFMIYVHSKGMIIDDEFVLIGSANINQRSLDGLRDTEIAMGAYQPHHSWAGSQGPPRGQVYGYRMSLWAEHLGTVEECFRHPQSMECVQLVNQMAEDNWACYVSPQMVDMKGHLMRYPIKVEKDGRVVPLPGHESFPDVGGKVLGSHSSLPNALTT >SECCE7Rv1G0514720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:836214966:836216971:-1 gene:SECCE7Rv1G0514720 transcript:SECCE7Rv1G0514720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEEFQHILRVLNTNVDGKQKIMFALTSIKGVGRRFSNIVCKKADIDMNKRAGELSAEEMDRLMAVVHNPRQFKVPDWFLNRKKDYKDGRFSQVVSNAVDMKLRDDLERLKKIRNHRGLRHYWGVRVRGQHTKTTGRRGKTVGVSKKR >SECCE2Rv1G0071810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:47763614:47765122:1 gene:SECCE2Rv1G0071810 transcript:SECCE2Rv1G0071810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQTMSSLGGAGGGGGGGAALARQGSVYGLTLNEVESHLGEPLRSMNLEDLLRTVLPAAGADAEPRGAGTGRKTVDEVWRDIESAGRGRQPTVGEMTLEDFLSRAGVPVGVPGGGGGCAGAHWLHQYHPPQQYVTRPLPRPLGVGAGPVLDAVYQGGGGGFLSQAGGRKRGAAVVGGDGVVEKTVERRQKRMIKNRESAARSRARKQAYTNELENKISRLEEEIEHLKELKKLEPVMQFLPQQEAGERQQQQLRRHAVLYMPQPEAEPKRHQLRRINSASF >SECCE5Rv1G0365100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:804664889:804668094:1 gene:SECCE5Rv1G0365100 transcript:SECCE5Rv1G0365100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVVVGPIVRLLPKLLSVIDGKRKQLDNLEVDAGFIRRDLQSIQEIIGRSSCGRSITDLWVRDLRRLADDMEDCIDRFQVGKMSRIRFVGKIGKLKKRSKETLEQLQNCISIAGAAAPPTASDPPVDPEEELVALLARSQPEERKLKVISVAGFGGAGMTRLAHKVYSDRDVRSQFSLHAWVRAAPDISVHNLLQKIHDELLLISIAKNHGATASSSKITLPQINGDAQHASTQHELAELLKTGRYFIVIDGVDAHEFYDILSAFSWVDGVDGRIIITTAIQLPAATCCRCGNGSSLAMDSTRQVFIGELTESGFVEACLHLRDDTLARMQHSNNEILSSPVVQDLLLYFCIFPRDHPVRRNPLIRRWLAEGLVFPQPETESFSQDVAAKNLESLISRNVIQPIQVRNYENVKRCQTFGMMLNSISSKSKSQNFITMWCGSQATEKNLPGKEIRRLSLHLNGAANGPLNLPKELSRLHTLAVFPDDANVARHQANLNYAKYKLLRVLDLKECADVKAEHVSKICDLLLLKYLSLGDSIDKVPRKIAKLKWLETLDMRRTQVVMLPIEVLHLPGLKHLLGKFQLLEGDCTQEKLEKLLSEDSELQRLSGFVTDKSEGFAQLMSRMGKLRKVKIWCDSSADVTKLDHVVGATKKFIREGLDMTRVDRSLSIDFQGCPTECSEQFMDSLQTAGRLTSLKLRGKLTQLPRFRAKLNYIEELCLSKTDLSADTILDGLSELRMTLKYVKLVEDKLGHFVIKPEHFRSLKGLCLVGEQSVEDITIQDKAMPYLVSLHIICKTLGDLPGIEITRIARLKEVALHSGVQEAIKEGWQAAAMNHPNRPNVLFIHAAKSWHRDPPPCGATGETTNLPKPIGRKFATSIMGGIFCCVRPS >SECCE6Rv1G0410920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:604216150:604216413:1 gene:SECCE6Rv1G0410920 transcript:SECCE6Rv1G0410920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYSEIEREKANLINATSISLEQLEKSKNETLYFEKQRAMNQVRQRVFQQAVQGALGTLNSCLNTELHFRTIRANIGILGSLEWKR >SECCE3Rv1G0186340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:683508468:683511251:1 gene:SECCE3Rv1G0186340 transcript:SECCE3Rv1G0186340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQEMDYNADRTWYGCEEHSNIFNAGGYLGDDASFQNKKAKLSKKLTRQDGSLMTLAQSKRLSQVTAENDRWENRQLFRSGAVRRTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTTQAEPVMPLKDLTSDMAIIARKGSLLVREIREKQSMNKSRQRFWELGGSKLGNILGVEKTAQQFADTALVGDQGDVDFKEKLKFSQHLKEKAEAVSDFAKSKSLSQQRQYLPIYTVRDDLLGVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTRTGLVGCTQPRRVAAMSVARRVSEEMETVLGEEVGYAIRFEDVTGRNTKIKYMTDGVLLRETLKDADLDKYRVIIMDEAHERSVDTDVLFGILKKVAARRRDFKLIVTSATLNADKFSKFFGGAPVFHIPGRTFPVNILYSKTPCEDYVEAAVKQAITIHITSGPGDILIFMTGQEEIETACYALAERMEQLISSSTKVVGKLSILPVYSQLPADLQAKIFQKAGEGTRKCIVATNIAETSLTVDGILYVIDTGYGKMKVYNPRMGMDALQIFPCSRAAADQRAGRAGRTGPGTCYRLFTESAYQDEMLPNPVPEIQRTNLANVVLLLKSLEVENLLHFDFMDPPPQENILNSMYQLWLLGALNNAGSLTNLGWKMVEFPLDPTLAKMLLMGKEMGCVDEVLTIVSMLSVPSVFFRPKDREEESDAAREKFFVPESDHLTLLNVYLLWKSNEYSVDWCNAHFLHVKGLQKAREVRSQLEDILNTLKIPQTSCHREWDVVRKAICSAYFQNSARLKGVGEYINCRNGVPCHLHPSSALYGLGYTPDYIVYHELVLTTKDYMQCVSAVDPQWLVELGPMFFSVKEGDTSFLDRRRWHNEEKTAMEGEMEKLRQEQAEAACREKGREEKRGKQHQQVAMPGLKKSLAYLRPKRRMGL >SECCE1Rv1G0043030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:587988576:587990009:-1 gene:SECCE1Rv1G0043030 transcript:SECCE1Rv1G0043030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKPSSGDQARGAAPHLVFLPSAGMGHLLPFSRFIAALASQGNIDISVVTALPTVSEAEADHFAALFAAFPAIRRIDFNLLPLDDATLAGTDPFVLRWESLRRSAHLLGPLIAGATPRASAVVTDVTLASQVIPIAKNQLHLPCHILFISCATMLSFLAYFPTYLDGANADHLAGDVDIPSIGRIPVDYPPNVLRNPDSLFTKQFIANGREIAQVDGILVNTFDALEPEALAALRDGKVVPGFPPVFAVGPLKSTATDKQAAAHGGVSSPIAWLGEQPARSVVYVAFGNRNAAALEQIREIGAGLEASGCRFLWVVKTTVVDRDDTAELKDVLGEGYLERVQGRGLVTKEWVDQEAVLKHPAVGLYLSHCGWNSVTESAAYGVPMLAWPTLGDQRLIAKVIKSGGFGLWVEHWSWDGGEGSLVRGAEIAEKVKEVMGDEAISARAKEISQEATRAVAEGGSSHRSMQEFLATLR >SECCE5Rv1G0357270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:744827248:744828096:-1 gene:SECCE5Rv1G0357270 transcript:SECCE5Rv1G0357270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPYAGGSGSSLPMSVPMLAGDNYTTWAIKVEAHLDAAGLWEAVIPAENAAAAVIAKKDKPARAYLLEALSEDLLMQVSTKKTAAEVWASLKTRFVGADRVRVARLATLRGEFDRLRMADGESLDAFAGKIGGMAARYTGLGTTLGDAEMVKKLLDSVPNRLYAAVAGIEQFCDVDKMPFEEALGRLKAFKERMKRCTRENDGRGGDQLMFTAAQWAARQRVRPRRRRRRWQQHGVGRRATARQVLQLRRARPFPEGLLEAEDAEEEKAFLADIDDPALL >SECCE3Rv1G0194300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:779160608:779162796:1 gene:SECCE3Rv1G0194300 transcript:SECCE3Rv1G0194300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCAGSGDAEPVPAADPSTRRAGASVQAGGASPSSAPAQNKPPAAIGPVLGRPMEDVRSIYTVGKELGRGQFGVTSLCTHKATGQKFACKTIAKRKLSTKEDVEDVRREVQIMYHLAGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGKYTERAAASLLRTIVEIIHTCHSLGVIHRDLKPENFLLLSKEEDAPLKATDFGLSVFFKQGEVFKDIVGSAYYIAPEVLKRNYGPEADIWSVGVILYILLCGVPPFWAESEHGIFNSILRGQVDFTSDPWPRISPGAKDLVRKMLNSDPKKRISAYDVLNHPWIKEDGEAPDTPLDNAVMNRLKQFKAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRKGLGKQGTKLTEAEVEQLMEAADADGSGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDNSGYISKEELEQALREKGLLDGRDIKEIVSEVDADNDGRIDYSEFVAMMRKGAPEGANPKKRRDVVL >SECCE2Rv1G0083940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172333687:172333998:1 gene:SECCE2Rv1G0083940 transcript:SECCE2Rv1G0083940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNPAPVFLLALLLSCVAMSSTARKLQEQETAPPTEEAPSAPHLTVPGLPDYELPPMPKFELPPFPEMHLPPFPGTPWRPATRTPTLTGFFFPLPEPEANP >SECCE3Rv1G0158730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:90437492:90443345:1 gene:SECCE3Rv1G0158730 transcript:SECCE3Rv1G0158730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDGGDTVALPTEVAALRLRILELERENQRLAQIVSTCSCSSKEDNIPSSLAESRDQQVQKNVKSHESRTSDHGVSLPFDHMTISTENQVLCNVKGEGHDDLSKIQRKHSKRTGHQVGNVSHCQKRLIALKIMYFGQRFYGFASEAHTEPTVESEIFKALERARLLVGSRKDLCYSRCGRTDKGVSATGQVISLYLRSNLKEAGGNVLDERSEIDYVSVLNRNLPRDIRVIGWCPVAADFLARFSCLGREYKYLFWKGGLDVSKMQKAAFKFIGEHDLRNFCKMDAANVSNYKRCITDFTISACDQRSNHDELWSMNIKGSAFLWHQVRCMVAVLFLVGQGLESPCVVDSLLDITKTPRKPQYTMAPELPLILRSCLFDRVSFMCSSDASQALIEHLKDEYHQYMLQAAIFDEALTCLSIPDPNPFESPKKKRKHIPLLSRETEPSYEERRARVKSKPATCS >SECCE7Rv1G0464250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59812861:59813412:1 gene:SECCE7Rv1G0464250 transcript:SECCE7Rv1G0464250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVESKSRRFALACGVLSQYVKAEQKMSSSVPAPRAPPATTLSLMPGADVGHAAEAGEEAGPATATPLTIFYGGRVVVFEDFPADKAAEVMRMAATAGAERAAAPAPAPALLPADKAALADLPIMRKASLQRFFEKRKDRLGARAPYARPAAPANKDSDDKPASASSSSWLGLASADGAFAL >SECCEUnv1G0564030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:379810768:379811514:1 gene:SECCEUnv1G0564030 transcript:SECCEUnv1G0564030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGIGNLNELDTLEVVDIGRTSCKAIEELGELIQLTKLSVTIKKVTSKKCRVFCAALQKLFSLRSLSLDSISKFEVGTLKSLDRITSPPALLRTFRLRGHLGKMPSWFGNLMHLVKIYLEASELEEGQPMEILGALPSLMLLHLDYGSYVGEKLVFTKEAFPNLRKLYISWLCNLREVKFEEGSSPQMERIQIWDIKSGAQIIGVKHLPILREIKFGNKSVRSPKVLADKLQEEVRAHPNHPLLLLE >SECCE4Rv1G0230430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:163706790:163707669:-1 gene:SECCE4Rv1G0230430 transcript:SECCE4Rv1G0230430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASHSVSAMDALAPPSRAHIGSPAAAAASARPYVLRRFNRGTIRRRGAGLRCRRRLLSARGERPAPDDDEDEEEPAGFDAAVALFNGGEYHACHDVVEELWYSAEDPGRTLLHGVLQCAVGFHHLFNQNHRGAMMELGEGLCKLRRLRLEDDDDQGPFSRFRDEVAAVLSFLYRTQKELAACNDELCLAMDGSATSYQLLGDFAAGQRLYRQGVDADGVQSILFSGRASGDYVAPPCTVKLPSLLATEQHLAALQRAHEYS >SECCE3Rv1G0198550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:833341673:833343311:-1 gene:SECCE3Rv1G0198550 transcript:SECCE3Rv1G0198550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETAVSPQPLSSSPPTAAVSAHSSPAPPADEPEAPYPPHHASPSPVPSVPDETDAPTRPQPPPHHAIASSSPSTAGGETQGPVHPPPQPQMHQPASSSPSSAEPQTPVQPQPNHSSPPLSGDDDDDDVVVTGALSRAGGAASTAADERVKGPWSPEEDAILTNLVMRDGARNWTTIARGIPGRSGKSCRLRWCNQLDPQLKRKPFTEEEDQMIISAHAIHGNKWASIAKLLVGRTDNAIKNHWNSTLRRRYCTGTRCTRSASAEQPMREMSRAVSEERWPLSGPSSFSVMEVKEAPVQTVSESSAEALQIRDINNCSTEAVDRSYPVRPVATVGAFRPYSLGPSQLMQMEMSSSTKFVSTIQAFTPEIAVSKFADTTCFAADVPNKCGHGCCSAEEQPRSNSLLGPEFKEFIDHPPILSSSFASLVSEISSIAWMNSGLQRSNSGNSVQSNPPAPDKLGIM >SECCE5Rv1G0310230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:166566308:166569478:-1 gene:SECCE5Rv1G0310230 transcript:SECCE5Rv1G0310230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASPVEYAGTITSSQRHLSSVMPRCNGLRCTIGYENKSRAAGHLVVRAMSMDRPKLDSSNPNWKNQFQEDFDRRFSLPHLTDIIDVESRPTTFSLKSRTPLESVNGSSEESWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWVHRAGPRKQIYFEPQCVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLAEVPLNRHVVQNINLAGGSFLGVSRGGASISDIVDSIQARRLDMLFVLGGNGTHAGADAIHGECRKRKLKVSIIGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLRHLEHLIETKGFALMCVAEGAGQEYLQKSNATDASGNMVLSDIGVHLQQKIKSHFREINVHSDVKYIDPTYMLRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGICNTHNVYLPIPEVIKTPRLVDPNSRMWHRCLTSTGQPDFC >SECCE2Rv1G0107030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:642260325:642264361:1 gene:SECCE2Rv1G0107030 transcript:SECCE2Rv1G0107030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRACSVVASVVLLWLGVAAAQGDSPWKTLSGKAPAIIAKGGFSGLFPDSSEFAYQFAMIASSPDTVLYCDVRLTKDGLGVCLPEIKMDNCTNIPDFYPKGKKSYLVNGVSTTGWFSVDYNGTELSQVSLKQSIFSRTPRFDPSFFPLLAVEDVASKFKPPGMWLNIQHDGFYSQFNMSMRKYILSVSRRVIVDYISSPEASFLTSISGRVSNNTKLVFRFLDEATLEPSTKETYGSMLKNLTFIKTFASGILVPKKYIWPVSPDNYLQPYTSIVDDAHKAGLEIYAADFANDFVLSYNHSYDPLAESLSFIDNGAFSVDGILTDFPVTPSEAIGCFLNLNKSNTDHGKPLIISHNGASGDYPGCTDLAYQKAVDDGADVIDCPVQVTKDGIPVCMGSINLMDSTTVAKSPFASQAAVMKDIESVLGVFTFNLTWDDIVKNLKPKISTPLSTFSLDRNPRYRNAGNFMRLSDFLDFSKDKDLSGIMISIEHAAFLAEELGFDMVDAVIKALDDSGYSKRTAQKVMIQSTNSSVLVKLKQQTKYDLVYMINEDVSDAAPSSLAGIKKFADAVSVETSSVFPENRHFTSHQTDLVESLQTAGLSVYAYTLMNEFVAQPYDFFSDATAEIIAYVQGAGVDGLITDFPATARRYKSNTCMNMGNKTPSFMAPPRPGDLLQLISKPAQPPALAPMPLLMDSDVSEPPLPPARLNNATTAPAPSSARRMQTRIPFLVTLAMLCAWATV >SECCE3Rv1G0168050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:214127701:214128045:1 gene:SECCE3Rv1G0168050 transcript:SECCE3Rv1G0168050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPDRAARIVGFLKPNLLRMDFSNKYVTAQVIHTPTTTIPCSASSQEKLLRSHIEQKYHGKVKAVIDSVRGAGVKLL >SECCE5Rv1G0362720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:787869266:787871354:-1 gene:SECCE5Rv1G0362720 transcript:SECCE5Rv1G0362720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVPFSAALGSPPPQRHSCRFQRYQQPGTLISSAIRHGQVKAMAGVSMDGLAQPQAPVAVVTGASRGIGRAIAVALGKAGCKVVVNYAKSGMEAEEVCREIEESGGTAITFSADVSIEAEVETMMRAAIDTWGTLDVLVNNAGITRDALLMRMKKAQWQEVVDVNLTGVYLCAQAAAAVMMKRKKGRIINIASVAGMIGNIGQANYCAAKAGVIGLTKAMAREYGGRNINVNAVSPGWVASDMTAKLGDDIERKALETIPLGRFGKPEEIAGLVQFLAVHPAASYMTGQVIPVDGGLSI >SECCE2Rv1G0093670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:384283732:384298557:-1 gene:SECCE2Rv1G0093670 transcript:SECCE2Rv1G0093670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:(S)-ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) UniProtKB/Swiss-Prot;Acc:Q8GXV5] MVPAGHHLLLLALASLGGAAAAGAGEGFCSAEPPNDCPQSPPLYLKVTSPTLAPVHLQDLPGFTRSVYKKDHALITPESHVFSPLPDWTNTLGAYLISPALGAHFTMFLANMQDGSKSALPPKDVERLIFVVQGSIALSVESGTTHSLLVDSYAYLPANMKHSLISDKPTTLVIFERRYTTIGDYHADLVVGSTDKQPLLEIPGEVFELRKLLPTSLPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGNSWYPVQAGDTIWMAPFVPQWYAALGKTKSRYLLYKDVNRNPLI >SECCE5Rv1G0331590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:539959571:539960548:1 gene:SECCE5Rv1G0331590 transcript:SECCE5Rv1G0331590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTGAGGDDVIHDAPGFIRVYKSGRVERFLLIDFAPPCTDAATGVSSKDITILPGAGVSARIYLPPAPAGGQQGKLPVLVFFHGGAFCLGSAFDAAAHGHANQLASRAGAIVVSVEYRLAPELPVPALYGDAWEALQWVAAHAGGQGGEEPWLTNHADFGRVHLGGESAGANIAHHAAMRAGAEELGHGVRVSSLVLIHPYFLGGDSSESDEMGMALLDELVRLWPVVCPGTSGCDDLWINPMAEGAPSLAGLGCKRALVCVGGKDAMRGRGSLYYEKLIGSGWQGEVEIWEANGQGHGFHLFQPTCAQAEAQVRVVAEFLGRR >SECCE7Rv1G0496090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:607521920:607522423:1 gene:SECCE7Rv1G0496090 transcript:SECCE7Rv1G0496090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDCATPVAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGFFYLMAISR >SECCE5Rv1G0363870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:796798524:796800725:1 gene:SECCE5Rv1G0363870 transcript:SECCE5Rv1G0363870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGYDREFWQFGDQLRLQTASFSGLSLGDSIWSPADGAPRARNADPGLFSPSPAPDNNAGFAAAKMNGPGLIGSGKLAFGATTTSKADRYNNVSLPAGSDAKPYANANAGYGAKPNNTNNSNFGGLNKMAGGGGGYSNFNGGNEGVKSYFNKSIGRPASNNNNFNNFNGYGGKKGAGAADGKKKHAKNERGDNNHGAASEKRFKTLPASEALPRNEPIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGANIDPAAWEDKKCNGESRFPAQVRVATRKICDPLEEDAFRPILHHYDGPKFRLELSITEALSLLDIFEDKDDA >SECCE4Rv1G0256650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:658713706:658716558:-1 gene:SECCE4Rv1G0256650 transcript:SECCE4Rv1G0256650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASATPRIARRRASAMRPLSNGPHRFLRLVAATIRKITRRRRRRGLTAVSPSSPPPLPPDRRQCAIRPPTPSPPPPHEAETPQEAKRPEEEAHAADAAAEAVAGKYWVHRHSLFSLYDRGVRMDAEGWYSATPEAIAAAQAARAAPAGLVLDAFAGVGGNSIQFAARGCYVVAVEIDPRKVELARHNARIYGVEDMIEFVVGDFFHLAPSLKADLVFLSPPWGGPSYNQTPVYTLDMLKPKDGHAIFQAAQKIAPNIIMFLPRNVDISQVEELSWLSSPPLNFESEESYVQHRFKGITAYFGNMAR >SECCE7Rv1G0483920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:333137805:333140694:-1 gene:SECCE7Rv1G0483920 transcript:SECCE7Rv1G0483920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENYWRYADARQQQAMVAAAAAAAGMAPAATVAQAATAAGGMQQHQHQQAAMAQQQQQHQQQQQQQAAAPSLKRARPDYGDVSGGQEMAGYYPRENAGYHSLRDNEAIGASYDRYLRNGMPSVAANEPSRAVVGAMGGAAMVGGGMSGYPVDDRRMMGVVGMDSRAMGYGARPEPPLPPDASNTLYIEGLPANCTRREVSHIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDSPAQATIALEALQGYKFDEHDRESAHLRLQFSRFPGPRSAGGPRGRR >SECCE5Rv1G0368350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:828262784:828263309:-1 gene:SECCE5Rv1G0368350 transcript:SECCE5Rv1G0368350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWFGGKTDAKAEEAAKTGPSIQDRAIEAKDQTIGFIGEKSEAVTKAASDTADAAMKMGGDAMGKVSETGQAISDRAVEFTKEPAAPKEPAGNMFQQAGGQVMGAATGAKDAVMNTLGMGGDKADAGSAK >SECCE3Rv1G0184500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:663809026:663809652:-1 gene:SECCE3Rv1G0184500 transcript:SECCE3Rv1G0184500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRNLYSLRKDLNRAALVVLWIPLLFWIPLGCVVFRVNGLPPKFSVQVTEATSPESPRAAVPADPVSSTGGTAFNITLHAVNRRPVDRCYRYGEAVVLYSGLTVAWGRTPRFCVGAMDTRDVTVVAWADDGVQLPTLLMDQMAVEGRAGSVELDVDLRLFRSDDGSARPTWMRCKVTTGGPKQTDGVPCTVFALHNWASDVAPYWMQ >SECCE3Rv1G0163100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:144557692:144559972:1 gene:SECCE3Rv1G0163100 transcript:SECCE3Rv1G0163100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDGGMPVTTGGAGAPPAAERGSGARVAPEVVVERDGGVVTPAMERDGGVGAGVAPPPAAESDGGGGRSCGEQCVIRVTEESCCARCVCAGPNPMVARYVYALIFLVTNLLAWTVRDYGHPALGELRRLKICQGAGYCLGAEGVLRISLGCFLFFFVMFLSTMKTRKVHDCRNSWHSEWWPVKIVLWMALTAVPFFAPSPLIQLYGKVAHFGAGAFLVIQLISVTRFITWLNDCCRSELNLKRCHMQVLVVSIVTYVGSILGIVLMYVWYAPTSACKLNILFITVTLALVQLMTFVSVNSKVKAGYLAPGLMGIYIVFLCWSAIRSEPHTEICNRKAEVATSADWLNIASFVIAVIVVVAATFSTGIDSKCIQFKSAETESEDDDIPYGFGFFHFVFAMGAMYFAMLFIGWNAHQEMEKWTIDVGWASTWVRVGNEWLAAIAYIWMIVAPIVWKRRQVGSSSACA >SECCE2Rv1G0069860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31563094:31569728:-1 gene:SECCE2Rv1G0069860 transcript:SECCE2Rv1G0069860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRVLVVGGTGYIGRRIVKASLAQGHETYVLMRPEIGLDIDKLQMLLSFKAQGARLLEASLDNHRGLVAAVKQVDVVVSAMSGVHFRSHNLHLQLKLVEAIKEAGNVKRFLPSEFGMDPARMGHALEPGRITFDEKMEIRRAIEEGNIPHTYISANCFAAYFAPNLCQMRTLLPPKEKVHVYGDGDVKAIFVDEDDVAAYTIKCVDDAPALNKTIYLRPQENILSQNELIAKWEKLSGKVLKKIPIPSDEFLASMKGTDLANQVGIGHYYHIFYEGCLTNFDIGDGGEEEASLLYPEVQYTRMDEYMQRYL >SECCE4Rv1G0231280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:180828742:180829947:1 gene:SECCE4Rv1G0231280 transcript:SECCE4Rv1G0231280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWLRCFPHDGTAMDEERKPRPGRSATFRKKHCPDAAAARKRFIRSGTSLTASSSARASFGRHSVDVPNYNHSIVSARSFTFRELAAATDSFSQANLIGEGGFGRVYRGLVGSSAVAVKQLDRTGFQGDHEFLVEVLVLSSLLTHPNLVGLLGYCADGNQRLLVYQLMPLGSLENHLFLPRLPADGEEKPSPPVLPWRTRMRIAHDAAQGLEFLHETANPPVIYRDLKSSNILLDEGYNAKLSDFGLAKLATPITRNGKGGEAEEEKDGSSRVMGTYGYCAPEYVRTGHLTVKSDVYSFGVVLLELITGRRVIDESRPRGEQNLVAWAAPMFSEQRRMHELVDPLLGEGPSGRELKQAVAVAAMCLQEEDTVRPIMSDVVMALSFAADDDLTSAPRYTSL >SECCE2Rv1G0063930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:654464:657199:-1 gene:SECCE2Rv1G0063930 transcript:SECCE2Rv1G0063930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLFFSFKSVMHSKSAFLLIVVAVSTTAMVHGHPAASTPAARFWEQALPGTPMPEVLADMVQKGMDLSPLVEHYSAQPSIGMCTLINTICNARTVAETGIFFHEAELHPGSKMTLSFPAEAETAFLPHDVAGKVPFENLSDVLSTFHISPGSAEAAQVEDTLRKCQQPPIAGEMKACTMSLESTVKAAMEMLGTTIQQGGGGGDVWAATSTLPRGGLLPRREYIVEEVTKLEGTAYVACHKVPFPYAVFHCHIAPTGYTAYKVTLHGRGDDEGPVVSLLAFCHFDTSRWNPAHPAFQILKAHPGARTSVCHFMSYGNLAFVKKARTA >SECCE2Rv1G0077010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:96015629:96017227:-1 gene:SECCE2Rv1G0077010 transcript:SECCE2Rv1G0077010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQHRIYVVQFDKGEPDQEELSCKASPPKGGGRRIMYYYHDYGTGGAAGKNGKPAKSRALSLSGFFGLLLICFFGLATLLAAPGAFFSFARTGGAAAAATQQRQSERCSGIGNDTMCCDRSSRRADMCFARGDLRMHSASASFQLVSSAGRPAEEERIRPYTRKWEAHVMKTIDEVRFHRVSPGDAARCDVRHDMPAVLLSTGGFTGNVYHEFNDGLLPMFVTAGHLQRRVVFVILEYHDWWMTKYGDVVSRLSAFPPIDFTADRRVHCFPEVIAGLRIHGELTVDPAKTPEGRSIRDFRRLLDDAYRPRINYLERMERKAARKQRRASGAATKLALPTKNPAAPTERTKLVIVSRTGSRVIENEEELKAVATDVGFDVRVVRPERSTEMCKIYRDLNASDAMVGVHGAAMTHFLFMRPGKVFIQVVPLGTDWAANEYYGEPAARLGLRYVGYKILPEESSLAREYPAGHPVLTDPAGVAQRGWDVTKKVYLDRQNVRLDLARFRAELVAAHRYLAAGKRKGRPKSKAAVM >SECCEUnv1G0542080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98482686:98482967:-1 gene:SECCEUnv1G0542080 transcript:SECCEUnv1G0542080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAARLAIIPQEILNIENEKLELEQSLDLIRDPMFLSFVDPVVWIHNPVVWIENHALDVRNKIRILETRKRALLSEQQSLIVKAALYGDRRN >SECCE6Rv1G0428760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:730323645:730324811:1 gene:SECCE6Rv1G0428760 transcript:SECCE6Rv1G0428760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVAAQKPLLHFLIKWAGLRQHTVDVDGTGTVLTFWVPKDKVPRSNSTVAPDEKQSETSKAKEERRPAVVLVHGFAAEGIVTWQFQVGALAKHYDVYIPDLLYFGGSTSPSTDRSPAFQAECLAAALGKLGVDECTVVGFSYGGMVAFKMAESRPDLVRSLVVSGSVVAMTDSISDATLERIGVRSSAELLLPESVKGLKALLSIAAHRRLWFPERLHRDFLEVMFTNRKERAELLEGLVVSNKDATVPVLPQKILLLWGHNDNIFNIELAKAMKEQLGEKTILQSIDKAGHLVHLERPCVYNARLMEFLAYATAEASKETAN >SECCE4Rv1G0214480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825917:829604:1 gene:SECCE4Rv1G0214480 transcript:SECCE4Rv1G0214480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAFGLPLLECVYCLGCARWAWKRCVHSGHHDSATWGHAAAADFAPVPRMCRLVMANYAPPDLLAAPPLLLDPSSVVRRRTYADTGGRVTPYLVYLDHAHADIVLALRGLNLGRESDYALLLDNRLGKRRFDGGYVHNGLLRAAGWVLDRECDLLRDLLDRYPAYTLTFTGHSLGAGVAAMLTMVVVLNLDKLGKVERGRTRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSILCLPCLLCLRCLKDTCIPEDAMLKDPRRLYAPGRIYHIVERKSFRCGRYPPVVKTAVPVDGRFEHVVLSCNATMDHAIVWIEREGQRALDLMLEKERAMAAPSNQRMERDETAVQREHVEEHKAALQRAATLSVSGMASIYGTFDGGPRPERSESFPPPASSRQQQPRVSWNDLIEQVFDKDEDGQIVLRSPSPPS >SECCE7Rv1G0518070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857141357:857143158:1 gene:SECCE7Rv1G0518070 transcript:SECCE7Rv1G0518070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAATGPVVDAEYVAEIERARRDLRALIASKSCAPIMLRLAWHDAGTYDKNTNTGGPDGSIRFPEELGHAANAGLKIAVDLLEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRRDSSVAIEEGRLPDAKQGASHLREVFYRMGLTDKDIVALSGGHTLGKARPDRSGFDGAWTKDPLKFDNSYFVELLKGDSNGLLKLPTDKVLVEDADFRRFVELYAKDEDAFFKDYAESHKKLSELGFTPSRATLLAWTCRDKAKRVATRTTAVFAVAVAVIACAYICESKRRLSG >SECCE2Rv1G0133630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:897950969:897952653:-1 gene:SECCE2Rv1G0133630 transcript:SECCE2Rv1G0133630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSFQFFYVAAITFLTVFLLRSFLITKKRPRRRLPPGPALALPFLGHLPFLKQPLHVTLARLAARHGPVFSLRLGSRPAVVVASAEVARECFSSELDATLANRPRFPSLKEVSFDYRALTVASYGAHWRAARRVAVVHLLSARRVDIMSDAVVARELRALVRRLARVAAGGAARVELKRRLFDLSHCVLMEAIARSRNTYSEDGADMSQEAREMKDIVDAIVPLVGVANLWDYLPVLRWLDWRGVRRRLADATSRRNAFIYKLIDGERQKQQQRLTNAAAAADKQEEEEHSMIGVMLSLQKSEPDLYTDTFIAALVANLLGAGTETTSTTTEWAMALLLNHPAVLKKAQEEIDAHLGGEPGRLLDKKDLPHLPYLHCIISETLRLCPAAPLLLPHEAAADCKLHGYDVAAGTIVLVNAYAIHRDPAAWGPAPEEFRPERFEDGSAEGKLMMPFGMGRRKCPGESLAMRTMGLVLGTLIQCFDWTRVGDEEVDMAASSGTVMFKAVPLEALCTLRAGMDTLLQKL >SECCE3Rv1G0184290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:661378992:661381376:1 gene:SECCE3Rv1G0184290 transcript:SECCE3Rv1G0184290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGAGAAYRFHPHGAGSMAFPPPPGSGCPYSSGASSSSSTFGAATGPGVLQQQLDVLDYLSDDGGVPGTVGAPLPVEAAVMPDVGYCDHTSRAAAVAASGKIAFRTRSEEEILEDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCIVKKRVERDKDDANYVVTMYEGVHNHASPGTIYYAAQDPASGRFFVTGTHHLAP >SECCE3Rv1G0157540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:82367705:82368888:-1 gene:SECCE3Rv1G0157540 transcript:SECCE3Rv1G0157540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLQRCVVMDIEGTTTPISFVADVLFPYARANARAHLAATYHTQQTRDDVALLRAQIDKDLAEGVTGAVPLPPSPPATEEQVIDALVANVQAMIDADRKLTALKQLQGRVWRRGFESGEIKGEVYDDVTEALAEWDAKGIKSYIYSSGSREAQRLIFSNTAAHGDLRRYLSAFFDTNVGGKKESRSYYEIWQTLGVDSPSQILFLTDVYQEATAARDAGLEVLISIRPGNAPLPEDHGFQTITSFAQIST >SECCE1Rv1G0003990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:15946902:15949040:-1 gene:SECCE1Rv1G0003990 transcript:SECCE1Rv1G0003990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESLRDNLDAVPATDQPYHGDSSSQQELTVYNYDLSGPHSTVLSPANQASVNNSHGQNQFQIAARGSPEHHRIRSNDALHHISQMLMEDVDERVDISQGEDALQAAEKPFRDILGEVYVSATNWPPLHSNSKPDNADKSGTSCSKRLWSTSFSNECSSYSLLQPLATQLSPYICNSGFSLQKRPLTSVGPTSGFGFPALRCHGGVEEEKMFAPSIDKLVMYLENGILYISQLTMKAKVGQRSKNAIFEVADQRDIFQARSNKHHAITTCAIVRSENFDRVLLCYGRKSFDLITRLQERMAVEGNKNSLKGWSKGRRKLWARKQPRKELIDLRTLLVHCAQAVAADNHLLASELLKKIRQHSSADGDCTQRVAFYLADGLEARLGGIGSHVYGNLMERRTSAADWLETYRLFIAACPFIRASYYFANETILDVSQRQPRVHIVDFGIDFGFQWPLLIQKFALREEGVPNLRITGIEVPQPGFHPCEMIEETRKRLADYANMFKVPFQYQGIVASRWETIKIEDLNIDEDEVLIINCIFRMKNLGHETEAINSARGKVLKTMRMNPKVVISGTVNGLHSSPFFIQRFKEVMLHYSSMFDMLDAIVPRDNEARKMIERIPFGRDALNIIACEDAERTQRPESYRQWKARFLKAGFQQLPVDPAILKKIVHMKNSHHHEEFFAVEECGWLLQGWKGRVLYAVSKWKPNETYDDH >SECCE3Rv1G0145510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:7406651:7414271:-1 gene:SECCE3Rv1G0145510 transcript:SECCE3Rv1G0145510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSREDALAAAGSQPSKRISGGSTSAAAVARSDPSPPQLMPPAGSGSALVPAPPPQAVAALPAQPSGGSGATAAAAAAAGQKLTTNDALVYLKAVKDKFQDNRAKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNTFLPKGFAIRLQDEKKPVDFVEAINFVNKIKSRFQRDEHVYKSFLDILNMYRKDNKSIQDVYHEVAVLFSDHKDLLEEFQHFLPDTSASPQAGTAPRGGLVKREALMPPASRGHSEKPRAFPSHTDRDASVDRPDVDHDRQRQREKNKERKAERDRREYDRDEKDVEQDSKELDVGQRKRKTFPSTSLAGAEAHQGGHPEIHGINGASASSYDNKDALKSAYTQEFHFCEKVKEKLEYDAYQEFLKCLHIYSQEIITRSELKNLVSDILQHYPDLMAGFNEFLDHCENIDGFLAGVFSKKQPGRLIKTEDKERDKEHEREDRNRDRDKEREKERERLHPKEGPSQKPSIIKEKYLCKPISELDLSNCQRCTPSYRLLPKNYPMPPAGNKTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSIKPENPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRRNASVALPVILSRLKQKQEEWSRCRSDFNKVWADIYAKNYHKSLDHRSFYFKQQDSKNLSTKSLLTEIKEINEKKRKEDDVLIAIAAGNRRPIVPNMSFEYVDANVHDDLYKIVKYSCGEVCGADQLDKVMKIWTTFLEPILGIPPRSHGSLDADLTKPKNGITKSGTANVGESNTSPDGVAAQQGHGDESMQQEQAPSAVARLSRLAKGVAADSQNGSHDADRTARRDEEASIAALNEVSAVSTQNMPTERSAGQHSHVKGNSATTPGVNASKSSHAGVSMAAGARAGHEVLPSTEGGETGKSGSSLNGGATSEGNKGRLFSEAAASHNTSKVEREEGELSPNGDFEEDNFVPFEDGASKTKEGSTSRTFKVRPGQVEPHAEAAGENDADDEESTQRSTEDSENASEAGEDASGSESADGEHGSPEDHDEEEDMDHDQDPKAESEGEAEGTTETTHDVEGGMSLLGISLPSSERFLYSAKPLAKHVPTSLHGREDRSSHIFYGNDSFYILFRLHQMLYERLLSAKTNSSSAEKKWRTSKDTNPPDLYGRFIKALYNLLDGTSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDSKLLQLYIYEKSRSPGRFFDLVYHENARVLLHDESMYRFECRSSPMRLSMQLMEYGHEKPEVTAVSIEPNFASYLFSEYLSNSSGTMLPEGVFLGRNKRKHSNDGEPSDSLKAMDGIKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRRLSPAGNVADKPPTTKKYAAQVQRFHLFLSKP >SECCE6Rv1G0442810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:819418217:819420239:1 gene:SECCE6Rv1G0442810 transcript:SECCE6Rv1G0442810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLVGKAAATGGGGVDRLGALPDEILRHALSFLPSRDAVRTCVLARRWRHLWKSAAALRVTNVDTFRCARHVNNFVNHLIVFRDRTPLRECEIAAYKEEHDDDGEEYYDSDGERLEHSQYIDLWVRYALSCNARLLRISDHDPNDLEAGHEPCIRNVLLAVPIFSQHLTTLELDGVLLSTKCSNPLDFSTCCPNLETLKMNRCSFQFLRPSDGPMNISSQSVRCLSIIGCDFRSLDQARALISVPSVVYLELAVNYGLLPVFETMMPSLLTTSIKLDGSSNKDEEGGSLLLGRLSDSTNLELIAYSQAFAFRKALKRCTTFSNLKTLSLNNWCVEPDFGPLLYFLRRSPNLERLNIRLSKPYQHVEETDGCYDSLEPLLASKHFVVAEIQYRTEDERVDKIFKDRIQIQTTHIQMQSSPMNIWTNSIISSHQVETSSVQSAKLER >SECCE7Rv1G0496400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:612020186:612024331:1 gene:SECCE7Rv1G0496400 transcript:SECCE7Rv1G0496400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRASGGPSFEFAFNSVNFSDRVLRIEIVAGDDAPGAKGAAGEGCSSIADWARHRKRRREDLRREKECGKYMSEPANIKIEADERDTYEETNEEPVAMIEESPPDIGQDGEDGESSDSSWNMECNQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASEESALMELLSFIYSGKLTTNQPTLLLDILMMSDKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMAAAVQPLTDAAKEFLANKYKDLTKFQDEVMNIPLAGIEAILCSNDLQVASEDAVYDFVIKWARAQYSRTEERREILGTRLLPLVRFSHMTCRKLRKVLACSDLDNEQATKSVTDALLYKADAPHRQRALATDVLTSRKYTERAYKYRPLKVVEFDRPYPQCIAYLDLKREECGRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFHCFGLFLGMQEKGSSSVTVDYEFAARTRPSGEFVSKYKGCYTFTGGKAVGYRNLFAIPWPSFMADDSLFFINGVLHLRAELTIKQL >SECCE7Rv1G0514090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:829710459:829713060:-1 gene:SECCE7Rv1G0514090 transcript:SECCE7Rv1G0514090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQKPAKRLGGMAEALAIAGDLGFPAPPAQEDQNTSDKSDDLVRVLRELTVVQRNIANFQVELQGRKDDKNIAHLTHVSEMEKKCESLARITAILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTTSVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQTLRVGHSSLSMTQGGSSDDSKFLTPPQRREGSTLNSWKQVDDVNPESDGLDNIDQRRLSWPSSINRDL >SECCE3Rv1G0192060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:754681095:754682325:1 gene:SECCE3Rv1G0192060 transcript:SECCE3Rv1G0192060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNELLVSVTLLFLLLRVATANLRHENVIDRCWRGQSNWATDRQRLAMCSVGFAGKMRQNRGRGVTAYTVTDPGDDPVRPRPGTLRYGATVLPGKVWITFRPGSTHIRLAQPLFVKSFTAIDGRGADVHVAGGAGIVLYEVSNVVIHGLHVHGVRAQPPGQVVRPGGAVQSVDAGDGDAIRLLSSSKVWIDHNTLSRSEDGLVDVTLGSTDVTVSNNWFHDHDKVMLLGHDDQHVADRRMRVTVAFNRFGPNVNQRMPRIRHGYAHVVNNFYDGWKAYAIGGSMGPTVKSQGNLFIASAPDSANVTRRMPVGDAAGKDWHWHSSGDSFENGAVFKQTGSRVRPNYNRHQEFPAASSGEVRSLTKDAGALRCSAGAAC >SECCE1Rv1G0033920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:473853598:473854289:1 gene:SECCE1Rv1G0033920 transcript:SECCE1Rv1G0033920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRVVAATTRTPVFPFPAAAGDTEAPHHFSDYGFDPQLIRFSQLHDAKHHQQQQPPPPPPEHARFKLQKPISKKQQHAQHNSKQRRRGWWSSAASAALLFFKRPSSKSNPAARAVGTASSSSCGAAAAFAPRPLYFADDGGDDDSTGCTCWSPAVRSGHLAAAELGIASVGVPYVSLRDVNLRGGAGGAAPAMPIYLVT >SECCE1Rv1G0002170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8103682:8105987:-1 gene:SECCE1Rv1G0002170 transcript:SECCE1Rv1G0002170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMARAAWLVLRYAVVVPLLQLAIYLCAVMSLMLFADRLYMGLVVAVLWLYRRCRNRNQRNKGDDNNLESDDADLPMVLVQIPMFNEKQVFRLSIGAACDLWWPADKLVIQVLDDSTDAGIRFLVEAECRRWAGKGVHIRYENRSNRSGYKAGAMREGLKKQYVKDCEFVAVLDADFLRHTVPVLQADPAVALVQARWRFVNADECILTRMQEISLDYHFSVEQEVGSACHGFFGFNGTAGVWRLHALADAGGWKDRTTVEDMDLAVRASMRGWRFVYAGDVQVRNELPSSYKAYRYQQHRWSCGPANLMRKMFWEIVANKQVSAWKKLHVLYGFFFVRKVVAHLATFLFCCVVIPAYVLVGGQDVRLPQYVPMYVAAFLTLLNAVSTPRSCHLLVFWILFENVMSIHRCKATIIGLLESSRANEWVVTEKLGGSTTTTTMAEKKKSSSSFLAPEIVMGLFLLYCALYDIVFRHDHFYVYLLMQYAAAFVIGFGYVGSQ >SECCE4Rv1G0280170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:818595017:818596876:-1 gene:SECCE4Rv1G0280170 transcript:SECCE4Rv1G0280170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSRAWSSLARGLARRLAEGAPSAPISPLQSARISTGSACLLARQDGGFVHGGFMDSIRIGGVVIPNHKRVEYALQSIHGIGRARARQILSELNLENKVTKDLSKEEILTLREGIAKYMIETDLRRFKSAAVERLEGIRCYRGIRHADALPVRGQRTKTNGRTRKEKRA >SECCE5Rv1G0376890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:874693755:874695509:-1 gene:SECCE5Rv1G0376890 transcript:SECCE5Rv1G0376890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Peptide transporter [Source: Projected from Oryza sativa (Os07g0100600)] MAAGAATTADGTTDLSGKPAVRGKTGGWRACPFILANECCERLAYYGMSSNLVNYMIDRLHQGNAAAATNVNNWSGTCYVMPLLGAFIADAYLGRFRTIAAFMSLYIAGLALLTLTATVPGLRPPDCAACKPAAAQTAAFFTALYLIAVGTGGIKPCVSSFGADQFDDADPREMRSKSSFFNWFYMSINVGALVASSVLVWVQMNVGWGWGFGIPAAAMAVAVVSFLMGSRLYRYQKPGGSPLTRMLQVVVAACRKSHVPLPADPSLLHEVASPDGKAAIEGSRRLEHTDQLRWLDKAAVVVTTPGPGGAEEEDEGPWRLCTVTQVEELKSMVRLLPVWASGIVMSAVYSQMSTMFVLQGNTLDPRMGASFKIPAASLSIFDTIAVIVWALAYDRLIVPAARRITGHPRGFTQLQRMGIGLVISIFSMVAAGVLEVVRLHVAATHGMLDSKEYLPMSIFWQVPQYFIVGAAEVFTFVGQIEFFYDQSPDAMRSMGSALSLTSNALGNYLSTLLVVIVTAISTRNGGLGWIPDDNLNRGHLDYFYWVLAVLSALNFIVYLWIAKWYKYKATTPAPAVVAIDDDAN >SECCE5Rv1G0326400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:478953527:478958652:1 gene:SECCE5Rv1G0326400 transcript:SECCE5Rv1G0326400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor KAN1 [Source:Projected from Arabidopsis thaliana (AT5G16560) UniProtKB/Swiss-Prot;Acc:Q93WJ9] MEASSAASPDLSLHISLPSTGPPTGPGGGVGGRGPAGGGGGDPWRRLNGSTASTELSLSPPLLAADQDGAALPWRHRPSSSAAASSAATTSAGPALMTMPMLQPLDAGVGGASAAPPIRGIPIYNGAGGFPFLPPAPGGGEGHHLPKVGFYSYHHPATWPSSLGSTSPSSLGPGPAPPANSFDPTTAFLSSAAHHRMLSASGRLNGMLSDTLRSYGAPGGGVGMGLGGHHHHLHGAQPFGLGSRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASGPTDGGSGDDDFPSAGGQAGSGGDNNMCPRPFPEHRSTSEGAASSVGGGDMDQSSAGNASTRWSNSSRDPWLSSNSCNMDAHRSVGLSSPMENMEPCRSTGSQVSNHELSSPSLEFTLGRPDWHGADHD >SECCE2Rv1G0083780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:170903847:170910530:1 gene:SECCE2Rv1G0083780 transcript:SECCE2Rv1G0083780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLTLSSSPALPPPAPSRARLRVAASADVRATGRAAAAANDFPPFLPRAVERIRDGAALRLAKRIERVPVQTGFSKSAIPSSCVRPLKQQQGADPVVLLHGFDSSCLEWRYTYPLLEDAGLETWAIDILGWGFSNLETRPPCDIASKREHLYQFWRSYIKRPMVLVGPSLGAAVAIDFAVNYPEAVSKLIFIGASVYAEGTKDMTRVPKFVPYAGVFVLKSLPLRLLATRLAFYTIPDGFFDWVQIGRLHCLLPWWEDATVNFMITGGYNVLNQIKQVKQKCLVLWGEDDGIISNKQAYRLQQELPSAILRQVGQCGHIPHVEKPREAAKHVLDFLGSDSMENADQASSLSSTLVSA >SECCE5Rv1G0300070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:22161554:22166361:-1 gene:SECCE5Rv1G0300070 transcript:SECCE5Rv1G0300070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGSTRDGPLERKRKKVVVWSEEDDVSSPDPFAGVYPASLGSYYLAKKMEQPRRDEESAPRPVEIPTLDHFKPPTRFHIAELFAVRESGSQAVLSAARFLLGVSSSLDGEPLRRCSGFWVDWDEEKKTGLVLTTARLIRTKDAPHSVSSGGEEYAPRADVTVHLLNGTSAKGELVYYQPHYDIAFLNVEVDQPIKLPCLREKDVKYAEEVFQLGRDNSLNLRITYARAKYMNPTMFERHHKVYFHSLDGPYYENEYDNGGPVIDLNGKVVGMVNNPERFGSFIPSSILLNCLDSWKKCRYIARPHLGMTFKAVKLLQPAHVDMLWRMCNIDDGLVVQEVSKGSNAEILGIQEGDIIECMNGKCISTTIELENMLMSLCKDPSDSQNGHNAKVCISIGVFHTLKKYRSTRKLTANVSDLGEVIARGTRLF >SECCE1Rv1G0055040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678115041:678115442:1 gene:SECCE1Rv1G0055040 transcript:SECCE1Rv1G0055040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIPPLQCMCKEARVVHATVASAKKVKRKRSAAANNLVVHREDQDHGNEEGVEKRPVWPRRHMQEVVAGKDGAVRLKVVMTRKDAAEFMARLEERAAAERKARMGCLSHGGAVTSPCRDAWKPRLATIQENC >SECCEUnv1G0569420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:442323617:442324720:-1 gene:SECCEUnv1G0569420 transcript:SECCEUnv1G0569420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNHWRCLVIDPSFLRRRWPEDASSSFIGFFTGERRRPYLRQDPPPEPWFIPVRRSPMGPCRRTLASIVSTTRADIFKYAVPIVSRHNLLLVRLEVRSRTVRPNQTILQLAVCDVLVSSNFIDYNSNGYAILTAADCHEQSPWSNTWPFFKVVIIGSSHGFLKYDLHVFSLDKGSWSVSTNCLGGNAPPKGYWSFSDAIVRRGTAHWLLYYGECSYIINLNAQTDHISLTKLPSTMNTQDRCLSLAMNGKLSLLCMSMIPPGLNIWEQQENQENMSSASEWLCTKTIKLKQPEKETGRTELCSLREKCGTLLIGDNDKCVFTADLETGTMKEVEGWPREHDIFPWEVNALEISWPGIFVSRLTRYS >SECCE6Rv1G0404000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510942958:510943275:1 gene:SECCE6Rv1G0404000 transcript:SECCE6Rv1G0404000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTLLNVADNSGARKLMCIRVIGAAGNQRYARIGDVIVAVIKDALPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAE >SECCE2Rv1G0084700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:178471696:178474954:1 gene:SECCE2Rv1G0084700 transcript:SECCE2Rv1G0084700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQWSGIGDGGGLWAPPALDSLFPDEQQPSPAASALGFFGGSLAQLPSPPPLLGYPQDNFDVFHEQDLAQLAAQVAQKKELRERQGAGLLHKIGPQLAFSKYSILDQVGNSSSFSLATSVLTPQHVSSSVGASSMQGQTLPSHTGSGSVNTEPTGVLQVLQDSSTTLDSINTGSAGVLEALQGASITLDRPADDGYTWRKYGQKAVKGGKYPKSYYKCTLNCPVRKNVEHSADGRIIKIIYRGQHCHEPPSKRFKDCGDLLNELDDFNDAKEPSTGSQLGCQGYYGKPITPNGTMADGLLPTKEEGDEQLSSLSDIREGDDEIRTVDGDVGDADANERNAPGQKIIVSTTSDVDLLDDGYRWRKYGQKVVRGNPHPRSYYKCTYQGCDVKKHIERSSEEPHAVITTYEGKHTHDVPESRTRSQATGQHHCKEQTYSEQPAASFCSSSEKRKYGTAILNDLAF >SECCE2Rv1G0085970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:198747321:198749122:-1 gene:SECCE2Rv1G0085970 transcript:SECCE2Rv1G0085970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVSINASLPGNATLHCHIETSSRAPLNYTLDRLHSLQAASREASSTMDRAALPPAVEPKKKGNVRFAFACAILASMTSILLGYDIGVMSGASLYIQKDLKINDTQLEVLMGILNVYSLVGSFAAGRTSDWIGRRFTIVFAAVIFFAGALIMGFSVNYAMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNFAFARLSLRLGWRIMLGIGAVPSVLLAFMVLGMPESPRWLVMKGRLADAKVVLAKTSDTPEEAAERLADIKTAAGIPLDLDGDVVAVPKNKGSSEEKRVWKDLILSPTKAMRHILIAGIGIHFFQQSSGIDAVVLYSPRVFKSAGITGDNRLLGTTVAVGATKTVFILVATFLLDRIGRRPLLLTSTGGMIVSLVGLATGLTVISRHPDEKITWAIVVCIFCIMAYVAFFSIGLGPITWVYSSEIFPLHVRALGCSLGVAVNRLTSGVISMTFISLSKAMTIGGAFFLFAGIASFAWVFFFAYLPETRGRTLEDMSSLFGSTATHKQGAAEANDDAGKEKKVEMAATN >SECCE3Rv1G0186090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:680164949:680166563:1 gene:SECCE3Rv1G0186090 transcript:SECCE3Rv1G0186090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPPHSLSLLFLLAVHAFVASHGSPLPPSSAYNDSVCSEPFRCGGVDIKYPFYLSDSTRATSDYKSHYSCGYTDLKIFCQVEGGTATPILQLGQDELHNYTVQNIFYENHAIILTDTEALIGDGKCPTVTHNVTFDREWLNYTGSLEELAFFFDCFSTASDHSPPDSQIEKFQIDCRGFKPPPGSGDGVSFVFTPDQGNVPREYELTEYCSQKVTVPVLEKNALRSDPLVLPRDYGVVLGQGFELEWKQGKEPQCYLCEKSYGRCAYNEKKEYLACLCSGGNCSSSGAAAANPNPPSSVDGDGVLVLSLCSWDPAWITTNTK >SECCE4Rv1G0243270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:499389546:499392040:-1 gene:SECCE4Rv1G0243270 transcript:SECCE4Rv1G0243270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDSDGEAEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRGTFDNVGRWLQELNTHSDTTVAKMLVGNKCDLDNIREVPVEEGKALAESEGLFFMETSALDSTNVNTAFELVIKEIYSSVSRKILNSDSYKAELSLNRVSIDDGDSKDGQKQTSRFGCC >SECCE2Rv1G0079440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:119353269:119356245:1 gene:SECCE2Rv1G0079440 transcript:SECCE2Rv1G0079440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYRITGGHSRRSPLPLPTARALLAAVVTGAVLSILCVLSFTDSFSYMGFQPRSVDKRESSRKYLYWGARIDCPGKHCSSCAGLGHQESSLRCALEEALFLDRIFVMPSRMCLSSVHNTKGIIDSSNATSNPRWETSYCAMESLYDIDLISKTVPVVLDNPRSWYGIVSRSTKLGEGDVAHVQGVSRDELKDNPLYSDALLVNRTASPLAWFMECKDRTKRTSVMLPYTFLPTMPARKLRVAARKIKEILGEYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKRRIAKWIPKGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILEPIIENNYQLFMVERLIMQGAKTFVKTMKELDSDLALCDDPKKNTKNWEVPVYTR >SECCE5Rv1G0361690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780744166:780745203:-1 gene:SECCE5Rv1G0361690 transcript:SECCE5Rv1G0361690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMSLSSPAFAGKAVKNLPSSSLFGEARVTMRKTAAKAKQVASGSPWYGSDRVLYLGPLSGEPPSYLSGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLSDPVNNNAWAFATNFVPGLH >SECCE5Rv1G0308630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:134859269:134861437:1 gene:SECCE5Rv1G0308630 transcript:SECCE5Rv1G0308630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHRRPSLTPLVLVLLSLYHAPRMALSLSFNLNFSDPGVPNRGASINFAGQAFLSSSTVELTTNTRDANIQHSTGRASYVDKVPLWNSATGETASFTTTFSFLITPDKDSPSTTGDGMAFFLGHFPSAIPPKSDGGSLGLLPAFTNGTGDGRIVAVEFDTFLNPQNADMNGNHVGIDVNSVNSTASTDTTTSPGRNLTSSHVMTATIKYHNGSKLLAVDLLIDDALYQVNATVDLRRYLPEEVAVGFSAATGWAAELHQILSWSFSSTLQLESNEEAPPPAQLPPPTGSKHKMLLVTLLSVTVPMLFLLACVAMITIWRRHERRRSKRANGDSSSDSDDEEHCVGKADLERGVAAGGPKRYTYHELVAATSNFAEEEKLGRGGFGSVYRGHLMLAVAGGDQERRAVAVKVLLAESSAQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRKGLLLVYELVAEGSLDRHLYSKDGSYLTWPQRYKIILGLGSALRYLHGEWEQSVVHGDIKPSNIMLDSSHCTKLGDFGLARLVDHGAGLLQTTKAVLGTAGYIDPEFVNTRRPSTESDVYSFGVVLLEVVSGRRPVVETAEKSFTLLRWVWSLYGRDAILDAADERLRGDEADEWWMARVLVVGLWCAHPDRSERPSVAQAMSILQSEEARLPALPLHMYRTVQELESSGRYGVFSTDGTDCVGSSSVNTGDATLSSDSSPTPLLRRSKDLAN >SECCE7Rv1G0482610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:308887477:308888694:-1 gene:SECCE7Rv1G0482610 transcript:SECCE7Rv1G0482610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASVINQAEVEDAAAGLDLPPGFRFHPTDEEIISHYLTPKALDHRFCSGVIGEVDLNKCEPWYLPGKAKMGEKEWYFFCHKDRKYPTGTRTNRATESGYWKATGKDKEIFRGRGILVGMKKTLVFYLGRAPRGEKTGWVMHEFRLEGKLPHPLPRSAKDEWAVSKVFNKELTATNGAMAAAAAADAGIERVSSFGFISDFLDSGELPPLMDPPLGGDVDEVIDFKSTSAYAAGAHSGLQVKMEHHMPPHMMYSSQYFSLPAANSGDLSPAIRRYCKAEQVSGQTSALSPSRETGLSTDPNAAGCAEISSAATPSSQNQEFLDHLDEYPALNLADIWKY >SECCE2Rv1G0077790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:101986334:101989265:-1 gene:SECCE2Rv1G0077790 transcript:SECCE2Rv1G0077790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLKPTPAILLSSPCSSSAISTSSRLPLQPSPRPRFPHVQASPATEATPTSRVEHEARDTCNHVASAGVAAAPKTLSSYEPSLWGDYFLSYEPKPLQRSEKWMIARADKLKEDINMLFESCKCTVERITLLDSVQRLGIDHHFKKKVDIALSQIIEDDFSSSSLHHVALRFGLLRQHGLWVSSDVFNKFKNEDGSFRKDITKDPKGLLCLYNAAHLLVHGEPSLEEAISFTKHHLELMRDTLKSPLDEQVKRALRVPLPRTLKRVETFHYISEYMHEERHNPTLLELAKLDFNLLQHVHLKELKYLTKWWRYLYRSVELNYSRDRLVEGYIWCYAIYHEKEFAFSRIFLTKKLMLISLMDDTYDAHATIEECRQLNTAIQRWDERATSLLPNYLKRFYTELLRTFKDATSEVAICDTHHVVYARKAFQDLSAYYLQEAEWLHQNHKPSFKDHLSLSAMSIGSPTLCVGLMVGMGDPVTREAFEWAAGYPKVAIACGKIARLMDDIAAFKGGKAKGDMASSIECYMVEHKVTSEVAISKIISLLEDEWKTLNQAHFEHHSHLPVVQRIINFANSMLVFYAGKDAYTFSINLKETVESLFVKPIPM >SECCE6Rv1G0408880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:577742832:577747773:1 gene:SECCE6Rv1G0408880 transcript:SECCE6Rv1G0408880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS5 [Source:Projected from Arabidopsis thaliana (AT1G27520) UniProtKB/Swiss-Prot;Acc:Q9SXC9] MRSPRPARLAAVALLLAALAAAAAAPAAAASRDGYGRARRLRMRDKVVEMFYHAYDNYMEYAFPHDELKPLTKAFTDSLSELGNLNLEHLPQDYNGSALTLVESLSSLVVLGNLTEFDRGVSWLSENLTFDVDARVNLFECNIRLLGGLISAHILAKDYSSQNKDGVYQNQLLHLAENLGSRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSRLTGDPRYEAAALRALRKLWSMRSSLNLVGSTLDVLSGNWIEYSSGIGAGVDSFYEYLIKAYILFGSDEYWDMFHSAYLAVQKYFRHGPWYHEADIRTGEATHWQLTSLQAFWPGVQTLLGDVAAANLSHREFYNVWQRFGVLPERYLLDYGILHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIIGSLNYYTKVEGGFASIRDVSTMNLEDHQHSFFLSETCKYLFLLYDDSFLRNKNYIFTTEGHPLPVMSTWHEKIPRLDVPTNWTVVKDDNQPIRVSALSSKVCPETIFRQNVGSSWESACHVPDVLPSHRCRADDDCGIESVTCKRRTCSMAGYCGLWLAVY >SECCE4Rv1G0215300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4467379:4469522:-1 gene:SECCE4Rv1G0215300 transcript:SECCE4Rv1G0215300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAAGRGGQNLAVLDALDSARTQMYHMKAIVIAGMGFFTDAYDLFCITTVSKLLGRLYYPDSNADIGKPGTMPVRINNMVTGVALVGTLMGQLIFGYFGDKLGRKRVYGITLVLMAVCAIGSGLSFGSSASAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSAIFLHYNPAPSWNAHHGWTVDHQIEQWPGADYMWRVVLMLGAFPALATFYWRMKMPETARYTALIEGNAKQATNDMQKVLEIRIDEEQEKLSKFRAANEYSLLSMEFARRHGLHLIGTTTTWFLLDIAFYSQNLTQKDIFPAINLTGPPGTMNALKEVFVISRAMFLIALFGTFPGYWVTVALIDKMGRYLIQLLGFFMMSLFMLVMGIKYEYLKDHGHALFAILYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAASGKAGAIVAAFGVQTLTLKGDPKHMKQALILLSVTNMFGFFFTFLVPETMGRSLEEISGEDGNVAGAAAGHVDKDVEKAPPSSTEWQPPSSMN >SECCE1Rv1G0018460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:193781406:193782982:1 gene:SECCE1Rv1G0018460 transcript:SECCE1Rv1G0018460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGLEPSEFEDTVAQAFFDLENGNQELKSDVKDLYINAAFQMDVAGNRKAVVIHVPYRLRKNFRKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVVRPRTRTLTAVHDGLLEDVVYPAEIVGKRVRYRLDGSKIIKIFLDPMERNNTEYKLDTFTAVYRRLCGKDVVYEYPVAETA >SECCE2Rv1G0109980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:685190457:685193959:1 gene:SECCE2Rv1G0109980 transcript:SECCE2Rv1G0109980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDLKERLLLPPRAAANGPHRRIKPAAPGGGGGGGGVTIDVHGLKKRGGGRRSWVRVDAATGAAESVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERIRCIITADEALVLRDPDADGGAAAEEAVRRYVDELQRRLVDRADDLPFEFIALEVALEAACSFLDAQAVELEAEAYPLLDELTAKISTLDLERARRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMEASSLDEEGLQGIVGNNVFGTSASAPVSPVSSPPAPRRLEKQFSFARSRHSSFKSSESSQYNIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEGVAVLKVPHAFEWTLIITGVCGAVIFACLLWYFKKRRFFPL >SECCE2Rv1G0136870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:915042479:915045299:1 gene:SECCE2Rv1G0136870 transcript:SECCE2Rv1G0136870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEDGVLRWVRTTVDLDHHIIYPRLELDTAATPDQAVENYVASLPNKPMDHSRPLWEFHILDFPTSEATATVVMRVHHSLSDGTSLLMLLLWSTRSAANPTKPPVMPPLPARSGPIYSRPRPPLSAGAPAFVMWVWSFVLLAWHTMWDVTSFLAIILFRKDTHTLFTRMNHDHYQRKRIVHKSLSLDDIKFVKDAINCTVNDVLVGVTDAALSRYYYRKSGDTESCKDIHLRSILLVNLRAPTELHACVNMMESGKGSVVKLGNQLGFIILPVHIAMHSDPLDYIRKAKNIVDMKKNSLEAVSTYMVAELFHKIFGWKAGAAIIHRMVSHTSMVLSNMIGPVEQVELFGHPVAFIAPSQHGLAQAINVNYQSYANTVRVVLAVDDEQFPDCYHLLDDFAESLAHIKRAAASVGQHNWKA >SECCE4Rv1G0220160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:35777138:35778124:-1 gene:SECCE4Rv1G0220160 transcript:SECCE4Rv1G0220160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPVAEADERSPFGGLAADEYYARHGVTHSSSTFVNPRGLRIFTQRWVPAGDGPVLGAIAVVHGFTGESSWMVLLTAVHFAKQGFAVAAVDHQGHGFSEGLQAHIPDIAPVLDDCEAAFASFRADYPPPLPCFLYGESLGGAIALLLHLRDKARWRDGAVLNGAMCGVSPRFMPPWPLEHLLWAAAAVAPTWPVAFTRGNIPGRSFKVEWKRKLALASPRRTTSPPRAATALELLRVCRELQARFEEVELPLLAVHGGDDTVCDPACVEEMHRRAGSKDKTLRVYPGMWHQIIGEPEENVEQVFADVVDWLKARAAAAAAGPSSTVG >SECCE7Rv1G0523260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:881545864:881546868:1 gene:SECCE7Rv1G0523260 transcript:SECCE7Rv1G0523260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPAAAATDTESSPVDEEGLKDLVSSLSSREGWAQPLIHYNGYWFKRKILDGVLRAGRAFAPRGDDVLLATQPKCGTTWLKALAFTVATRSRHGHGVADHPLLTRHPHHLVPYVEISGAAGSHVVDLGALPSPRLLATHMLMSLLPPETRSLGCRVVYLCRDPKDTLVSRLHFENKLAARRGGAGPSMDDAFRMFCEGFSPYGPFWDHCLEYWEESVARPDTVLFLKYEEIKSDPARVVRRLASFLDVPLTEEEEERSGVAEEVARMCSFETLTGLEVNQVGGVSHGSKVHVDNSVFYRKGEVGDWVNHMSREMAEKLDRIVQDKLQGSGLVF >SECCE4Rv1G0294950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:894199463:894207842:1 gene:SECCE4Rv1G0294950 transcript:SECCE4Rv1G0294950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISFSCLAITRLTWILSLLFIPDMMPQVYGQSTSLAVSIDCGNSSTGFYGYSDATTGIVYSSDSGFVEGGFSHNILEEFMAGAANEQQKTLRSFPDGSRNCYTLRSTTGMKFLLRAMFTYGNYDGLKKSMDGTLFLFGLHIGVNFWEAVNLTGLGPSRMVWKEMITVAQGNSVSVCLINFGSGTPFVSSLELRPLGFEMYPFVNSSVSISYFRRIRFGNITDYITRYPTDDYDRFWESWSQKSYPFVSLTTSSPVKRLLGDNSFQVPQDILQNATTLDTNYTFFGVELEVGPIRDPKNLQLLYIFHFAEINNDNRSRGFDIYTNGELLFPDFSPSRLQVNSIHENGRFFHNAASSFSFLLNKTRRSMLPPLINAFEIYSLIRMDNLFTDSDDVDYMKEVKDHYNLAQIHWNGDPCSPREYSWKELTCKYPESNQSKIIAVNMSTMGLKGGLAISFMNMLSLENLDLSHNNLTGTIPDYQLNSLKVLNLSNNQLNGPIPDTILERFQRGLLDLRLEGNPLCSKVNDTYCSNKKKKTTPTVRIAVIVPVVLVCLLVVMGIFWKLCWKGESGDPEDYAMYEEETHLHIEIRRFTYAELKLITNDFQSIIGKGGFGIVYHGTLENGKEIAVKVLMETSIMESTDFLPEVQTLSQVHHKNLVTLQGYCQNKKCLALVYDFMPRGNLQQLLRGEDCSLNWKQRVHIALDAARGLEYLHESCTPSIVHRDVKTPNILVDKNLVGVTSDFGLSRTFSDAHTHISTVAAGTIGYLDPEYHATFQLTVKADVYSFGIVLLEIITGQPPVCMDPQAVHLPNWVRQKIAKGSIHDVVDKKLLGHYDANLLQSVVDLAMNCIESIAFNRPTMAEVVSKLRVWLPAISSEEKPTSRTNTELPSQFQLMISGASNEGRYTGGTSQTSIFSGR >SECCE7Rv1G0491240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:520276746:520289605:-1 gene:SECCE7Rv1G0491240 transcript:SECCE7Rv1G0491240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYDEDHSISSSQHDDTDDRRSTIPAASADEKPFPFFGLLCYANKVDWLLMALGTVGSTIHGMAFPIGYLLLGKALDAFGTNINNQEAMVQTLYKVVPYVWYMAAATFPAGLVEISCWIYSSERQLARMRLEYLRSVLNQEVGAFDKDLTTANIITGVTNHMNIIQDAIGEKLGHFVASFSTFFAGIIIAFASCWEVAMLSFLVIPLILAIGATYTKKMNVISLSRNAIISQATSVVEQTLSHIKTVFSFVGENWAMKSFVQCTDNQYKLSKKEAMIKGIGLGLFQTVTFCSWALMVWIGAVAVSNRTATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFKVIRRNPSITYGKGGAVLEKVYGEIKLHGVHFAYPSRQDKPILQGFSLSIPAGKVVALIGSSGCGKSTVISLLQRFYDPTSGDIFIDGHSIKKLDLKSLRRNIASVSQEPSLFSGTIKDNLRIGKMDATDEEIIEAATTANVHSFISKLPNEYLTEVGERGVQLSGGQKQRVAIARAMLKDPPIILLDEATSALDSESEKLVQDALERAMHGRTVILIAHRMSTIVNAGTIVVVENGSVAQTGTHQELLEKSTFYSNPSMKQGQQNNLEPVNSKQSKQEVRKEIPPFFRIWYGLRKDDIAKILLGSSAAAISGISKPLFGYFIMTIGVAYYDQDAKRKVTKYSLIFFGAGMVTLASSILQHYIYGVIGETAMKNLREALFSSVLRNELGWFEKPKNGVGSLTSCIVSDTSTVKSIISDRMAVIVQCISSILIATTVSMFVNWRMGLVSWAVMPCHFIGGLIQARSAKGFYGDAAIAHQELVSLASEAASNIRTVASFVYEDEIMKKAQVSLQEPMRITKIESIKYGIIQGISLCLWNIAHAVALWYTTVLVQRKQASFENSIRSYQIFSLTVPSITELWTLIPMVMSAITILNPAFDILDRETQVVPDEPKVTNDRWLVGRIEFQGVNFNYPSRSEITILDGFNLVIEPGQRVALVGPSGAGKSSVLALILRFYDPRRGTVLVDNKDIRDYNLRWLRKQIGLVQQEPILFNTSIRDNISYGSEELSETEIIQAAMDANIHEFISGLPDGYGTVVGDKGGQLSGGQKQRIAIARTILKRPDILLLDEATSALDGESERVVMTSLGAKEWKNRSERSSNITSITVAHRLSTVINADMIVVMEKGKVVEIGDHQTLISADDGVYSRLFHLQSNMKD >SECCE6Rv1G0431050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745457804:745458697:-1 gene:SECCE6Rv1G0431050 transcript:SECCE6Rv1G0431050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTAREVLYMYSVARQAYDRFLSVCGSPEKAQNTVALLLWLDQGTISAIHHVPAMAPDAIAVVVEEANAVLKCLCHQVPALPPIPLISALCMQGGVHIEPGFFAFHQDLIVRGVAHFLDGAGKFVFDNRLHVLLRRSETGLMANPPELMAPYTSQPVAVPEDCRSMFITFFKENALQREEIFEYFRQKWGDCVVRVLMEKTKGGHTPMYGRIIFKTKAIVKLVLNGERLIKISIGQREIWLRKYVPRPNNAAA >SECCE7Rv1G0514870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:837259949:837261882:-1 gene:SECCE7Rv1G0514870 transcript:SECCE7Rv1G0514870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFERRGVRQYSRSDEPRMRWTEELHRQFIEAVDCLGGQDEATPKRILQLMGAKGVSISHVKSHLQMYRSSSSNTTYNSPPNVSVDRRRDHRVVDGPRNGHRNGNDMGLASERIDDASSYPVPPHGHRSPPPYQIPSIEEVFRSWEQRRGRLPWNSSMPSEKATGCACHADRSTRQKQQQQQQPAAGCDLTLSIGQWEVETTSSEADVSSTTTEEAVAPARDRGAGGHRRSASTTGLDLDLNLDLTVSSSWL >SECCE4Rv1G0271960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:765017346:765017588:1 gene:SECCE4Rv1G0271960 transcript:SECCE4Rv1G0271960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMLAFSILSSSPVEIAGAGYASRLSWRSVSGKKAIMEKKQQEEKIELSPWTERKREARQARFAPEFDGLNCLECLVSS >SECCE4Rv1G0295150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:896020001:896020747:-1 gene:SECCE4Rv1G0295150 transcript:SECCE4Rv1G0295150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSYQQTATTNLEAPAPAPVPKVKAAAVMIRNPSSPSGPPSPEMEATAEALTREEVLRRRRRRAARLHAGYRRLYWAMAEEVRARHRQYVWELGCSPLEAEQPLSEAKPGPAAAPRRKKCGVTGCKVRAMAMAKYCHYHILSDPNQVLYKGCGHIMIKSGAQTGKSAHNTPILKASVPSLCNFHLQKSQKNISQAYKKVGFNPPSTGQISPDFSVLVAESVRQIQAKRREFRSAAAGKKYPKDGKVN >SECCE5Rv1G0342740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633649861:633650415:-1 gene:SECCE5Rv1G0342740 transcript:SECCE5Rv1G0342740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQAHLERVLSITTAVLFVASVSYIALSTLYACLRAARQEEPGGVGVDVDGPAPPREATKRALEGIPVRVVVLQQQPHDAAGGRKKEEENDAEAGDCAVCLAEYAAGDEVRVLPACRHGFHRECVDRWLLTRAPTCPVCRATVAAHLDGADAKEELCPTTTRHAGRFGDFAAAWSLGLPAPI >SECCE3Rv1G0188160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:710567625:710571329:-1 gene:SECCE3Rv1G0188160 transcript:SECCE3Rv1G0188160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLHGDDEAHTFPPFPYFPVPSTPPLASSSDGQHSPLAAALQQLVPPPWPCTPPDLTPRPIPSAMGEVDWSSLFQTGLVAPPEEKEEAVQADQSGENDGEARGSGSGNKEKAKGSAGRSGKKKVGKPRFAFQTKSENDILDDGYRWRKYGQKAVKNSSNPRSYYRCTHPTCNVKKQVQRLAKDTAIVVTTYEGVHNHPCEKLMEALGPILKQLQFLSQF >SECCE7Rv1G0496620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:614482739:614483092:-1 gene:SECCE7Rv1G0496620 transcript:SECCE7Rv1G0496620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLLLLAIATIVHAIATPTTAIPGGWFKIKNITDPHIQELGKWAVLEHTQLGGSDGLRFVKVVSGEEQIVNGVNYRLVIDALSLDGSEGTYKAVLFEKDSSNPKTWKFISFTPAN >SECCE5Rv1G0299350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:16213370:16213987:1 gene:SECCE5Rv1G0299350 transcript:SECCE5Rv1G0299350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVHRHHHNHHPVLMSAAASPARSEDMRLRRSPPPAKAEDRRLRRSPPVSVEKARRQGRASRGGAVVAGTAAGTAAGCAAVCACFPFAVLELAVLATVRAPAAMCRRTIRERRNRRREAQAMRKKGMEGGDASPKPAAAAQAKHAALKAGEEEEQGWCHWPVKPEAAALAAAEELAEAEKEVWERFYDTGGFWRSPSEHEEAW >SECCE7Rv1G0465730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:72931313:72931624:1 gene:SECCE7Rv1G0465730 transcript:SECCE7Rv1G0465730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVWVFRKDGVMELEREASSRKVLVYVPANETMRSLRALERRLASLGWERYYEDPAVVQLHRRDGSLDLISLPRDFARFRSVHMYDVVVKNRGHFRVVDL >SECCE4Rv1G0295780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:900133394:900142345:-1 gene:SECCE4Rv1G0295780 transcript:SECCE4Rv1G0295780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFALGLTKTAVEGTLSRVKSAIEEEARLKEAVQQDLVFITAEFQMMQSFLNVANKERAQNQVVRTWVRQLRDLALDVEDCVEFVVHLDNKSTWWWRVVPSCICMAPPRHRHRHLDEAAAEIKRLKERVEDVSQRNTRYNLISDSGSHTKAITVAEQPAPATANPSSSVFPLLRQVWDAAGRISNIHNLQELITRGSSSDLQVISLWGSRGGDLGATFIFREAFYNKQIRQEFKSRVWIKLMHPFNREEFLKSFMIQLCTDSHQATVGMEDLRTRMKAARVVTEDDLIKAEQLIKENRYLVVIEEVSTLAEWDAIKIYLPDCKNGSRIVMSTQDLGVALMCTGDPYQVSELRRFSRGQAICAFFKTVSSSRRRDMSELKRLIRRGGVISVHGRTRSKLELVKELYHCIKDIDEEQYFRFQFGNYRWVNVSNGLNRMDFPRRLHLDLDDDDDNYDDSADNDSDGPRGNKVDQDDSHGLQANEVAAVDQDLIESCCKTLHEKDCLVVIEGLQSTEDWDWIKDTFLSQPIKGCIVVITNEEHVAKHCVDDEEYRAINCEYLEPEGRRMEEAHDWTNKFQLFGHDGEEELPSDKVTAVWGIAGIGKSDFVRNTYYNRILGILGFSYVGSIFSYYSWVDVPHPFDLTEFSRRLLLDFHSDDTQAKEAASISIIEGQDPIQGCRDIMSQYSYLVVFDGLRSKDEWDLIKATFLSELSGHSALSTIVVITNEATVARYCVDNKDECVVNVKGLEAGEALGLFKKVLGPDKILTPKEMELSKHILAKCGGLPKVIVAIGKYCRRHNKVEDIKENFMDMLENDAEFDCLRGLFSWMHSYFEECNDSLKPCIFYLPIFPTNHNIRRRRLMRRWIAEGYSRDTSSGTAEENGERLFSELVDLSIIQQPLSKSMCRVNGFFHEYIISRPMEDNLVFALEGHCSLNSQLVGKHLAIRKSWDRDINVFENIDFSSLRSFTVFGEWKPFFISTNNNMRLVRVLDLEDTANVTDNDLEQIGKLVPRLKFLSLRGHRGITRLPNSLDNLRQLQTLDARHTSIATLPQGIIKLQKLQYIRAGTSKQPWNEGGRVISLTGPDYDRTLTPTQDGDGTPPSQHPAAAPPTQIEEDVGSSTGQAAAPGVVRTSTPSRRMPHTLISSWFSKLCYTSPRHNSLGVEVPAGIGKLTALHTLGVVNVGGVGGKAILMELKNLSRLRRLGVCGINRENLKELCSAISGHAHLESLSVQVDKDEEQGLFCCFDHTISQPPMNLKTLKLYGYAHILPDSWMKHLDKLKRLDLEMTIFRQEDLHVLDELPNSEALGHLCVKLIQDAEIHFTTPKDLPYNDLHPRVLEIDCTSKVEVSFLPPALMMAYVHVIKVHCSSGSSLRFSGLEQLVCLEEVWLKGSYGDELKQDLQQQLPKLQKKPVLKLVQS >SECCE1Rv1G0014470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:114632084:114633037:-1 gene:SECCE1Rv1G0014470 transcript:SECCE1Rv1G0014470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAIRKRPAAGQEPRVHGGKKPRYAFGSISNYEKLEVLGEGAYGEVFKARDRRTGKKVAVKWVRGNGAGGHGPPDIRAITLEAGCLGACRGHESIIEIFDVATDAETGDVFLVMELVADGRTLRESLWRPVSEEGTRVMMEQLLDAAKKIHGAGVIHRDFKPENVMVGFFGGLKVGDFGSAMRAKPAGVPYEECCVGTLIYTSPEQLEGNRYYGQAVDMWALGCIMAEMLGGGTLFVAETEEELLAEMYKLREQISSTGKLDLEFFEELSEAGREVLTGLLAFNPDKRITAAEALEHRWFSKPKAADHPGFVSLKS >SECCE2Rv1G0114460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:735029766:735033192:-1 gene:SECCE2Rv1G0114460 transcript:SECCE2Rv1G0114460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGPCCHCGVTSTPLWRNGPTHKPVLCNACGSRWRTKGSLENYTPMHSRDDIDADQPRVSKLKPPTLRLKEPRQPKKKPSHSIRENGAFSDQNFWKMGDADPSRSSSGSALSYSESCAPYGSADASEMTGSAQSHAWESLVPSKKRSCVTRTKSSSVDMLVKDLHCIMHEEQLSYLSGSSEEDLIYHSATPVGSFEIGYGSMLLRSSNSKSAEEDSEANSVPADNQSYLTSESYSGTASFVVHSESKGASNSNAAPEKPNWFPLQTHEMVKRGKLHYSKQHTLENVGSALVSVALEGEDTKETGGNENTSALKDLTKSTMKPLKRPHESQLQSCPEGTMRIAKKVCKSVAMAPQFKGSFLPKSGGAPFNLLMLPPDKISMLAPPQYMDNSDQDLLLEVPLNARQPEAELLCQPFQLSSVTRSSTSADVVADGEGRLKQP >SECCE6Rv1G0405670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:533929088:533934291:-1 gene:SECCE6Rv1G0405670 transcript:SECCE6Rv1G0405670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSRLRLWCSAFACALAFLDLEADGYFVDITYLQSAVAKGAVCLDGSPPAYHLAPGSGSGANSWLVHFEGGGWCNNVTTCLQRSRTRLGSSREMAKQVAFSGILSNTPDYNPDFYNWNKVRVRYCDGSSFTGDREAVDPSTNLHYRGARVWQAVMEDLLAKGMNKAENALVSGCSAGGLTSILHCDRFHRLLPAEANVKCLSDAGFFINVKDIAGVNHAAAFFNDVVITHGSAKNLPSSCTSKLPAGMCLFPQNEVKQIQTPLFILNAAYDSWQVRNILVPGGSDPRWRSCKHDINQCSAKQLKTLQGFRDHFLEALEAQGRSSTRGLFINSCFAHCQSEIQEIWFAPGSPVLGGKRIASAVGDWFYGRSPFQKTDCPYPCDSTCHVFKNSSHT >SECCE4Rv1G0227860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:129096744:129098732:-1 gene:SECCE4Rv1G0227860 transcript:SECCE4Rv1G0227860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFSSLPRVLRRSAAPKPNRRSPAPKPHPPSSSPDPVPDAQAPVAPAPMPTRPWEEALDAAQRAFCLPLAGRVLAAAGTGNAAVSPVGVHAALSLAASGARGATRRQLLGTLGCGGGGKGAAADAANVASRVVKRVLKDRAKSGGPRLAFASGVWADASTTLSPEFVDTAGGLYCSAAKTVDFKSTPEDAAEQINSWVNKSTRQTITSLLPDGLVDQNTGLVLGSALYFKGRWLDKTDIGKTAEQKFYCLDGTHVLVPFVEYDRTRLFAAHDGFKVIKLPYKQGNNERKFSMYIFLPDAHDGLFELTKKIFSEPAFLEQHLPTEKRHVGIGVPKFTISFQIDMKDFLKDMTLELPFRRDADFKDMVKEGDSKEPLFLSDVLHKVILEVNDDEIGETSVEKNIGKPLPTEHFTADHPFFFLIREEVSATVIFMGHVLDPSSQY >SECCE4Rv1G0231610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:186540954:186543168:-1 gene:SECCE4Rv1G0231610 transcript:SECCE4Rv1G0231610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQVLSNAHGGRISSPSVAARTRAPRAAAAAAAPSKEAPSPAPAPATPPRARRPVRVSSKEERVATGAAAKPKRHKEDSEEETRKLRGEVEALRKEVERLQLLNTELECDKSDLTHQLALAHCTITRLQEQHDIHAQTAVAQRSNQKDSAISRPQPPKPPSPPPPPPPSKILGRAPAPPPPPPPQHGTIGTVNKATALVEMYNSLKKRDTKKAVTVSAAHHNSIVGELQNRSTHLLAIKTDVETKGDFISGLINKVQTTTYTDVEQVLTFVDWLDQQLSTLSDETGVLKHFSWPERKADALREAAFEYRDLKCVVTEISSLNVDDGSPTSCEATLRKISSMLDKLEKSMKRLVNLRSSVMPCYKQFGIPTEWMLDSGIASKMRVASVTLAKVYMKRALKEIAAYTGGGNEAALVAQSVRFTYRVHQFAGGLDSEAMRAFEELTQRSRLTAV >SECCE4Rv1G0222500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:59620960:59626167:1 gene:SECCE4Rv1G0222500 transcript:SECCE4Rv1G0222500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNASCVFSGEAVDKSSGTADLINVSVMASDEGNGVTARKSFCLPEYPRVARLRQRRLMGYLWCQDFSDSYKELMNKTRAYMDLPHLRGLIEVGRWADAVEYVNRFLPPATCYKSLHAITLRHFLMMLHALADAAAIGKGDKLLPKNYLKLNHKRSVSHAQLRLRSICSDAMACVVNSSRECRDSMNWQRMQIKASSLVHRLAHRVPELRGLLSLPYTGFNPSHVLPIASGLRSQRRYVKQKNTGSEKQKAVIRALKRRRMSSEIESDDEARELLADLLDETIRSGIQKLSSDPSRPLENEGDQVQRKKDHQGKQIGTDGHTRSAPAINKDLLAQGQKGISIANYKRLLDEIIRSGIQKLSSDPSRPLENEGAQFFQPMSGTLTEAKTSGPLTNAGAQFIQPIPPTFTEAKTSGPSTNAGTSKHEEEAGYHTITARQEDSDGRKHSRDELATAEQDRDSKRQRSNGAPGEATLVLQGYCAVENWNAKPSGGETTAP >SECCE4Rv1G0255930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:652276091:652280955:1 gene:SECCE4Rv1G0255930 transcript:SECCE4Rv1G0255930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGCLKRLQKEYHALCKEPVPQIVARPLPNDILEWHFVLEGSVATPFEGGYYYGKLKFPSDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIRSTDGEKKRLAKASLAYNCESKNCPHFRKLFPEYVEKYNQQQEKEQTGAEPEPQENPAPAPSPAAVQQAAAMVNNIGRPVAEVRGEKKLKKRVPFWLMVVIVSVFGAVMALPLMQL >SECCE1Rv1G0006950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:37073451:37074578:1 gene:SECCE1Rv1G0006950 transcript:SECCE1Rv1G0006950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGATPLLPGLPDEIAIWEILVRLPPKSVLRSRAVSPAWRRATSTRGFLLSHHARQPALPLQYTHSNVAGGAVSLDIVPFDHRAGVAAADRFQCVARLKTAPVPVSPRVGAPRFYLEASCDGLLVLSFGFDDICICNPTTRQYAPLQQVHGFRPVELYPHPSTGEYRLLLYRDEARGAIYVFTVGSGQPPRRIGCPDPYELEDCPGLLFHGSLYWYIGNRIMVFDTTIESFRQIRAPVARDHARLFEMGDMLGASSLNDEETAIDIWVMQDYQSEVWDFKRRVELPAAEIKHQCQCPFFEGGGVIVVPGDGELVMLVGCNGWLFQVDVNGKLIASFQPRGLNSTLYVLKQTLVQHTFFRALEGYVVNASPFI >SECCE2Rv1G0086890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:216629129:216632720:-1 gene:SECCE2Rv1G0086890 transcript:SECCE2Rv1G0086890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGKDRDDGKQEPARVHGQRDDASAASPAGAPSSGAAQAGSGVSSPPPHPPPPVDDQAGGGGRRAGESFSSPPPPSAPPVDVQTGSQIFGAGSSSSAAARAGEQAGLPKAKKTVWSKIFSSTKNTVFCRKGIGSSERPHVGSSERPHVNQQAIPYEQAFNHYLQQIIALCRDRVYAVNAICLGDRYLEFRPVEGDGECFYRSFIFSYLEQVLDRKNRNEERRLLAAIQELAEEPARLGWASEFSRSHDAFKELMKKVKGWKKKRRFFPLLTDSYKQKLLEFFSTYDQTEDIFVFLRLVAATWLCLHRADYTEVIPGLGENDSVADWCLQHVTPRREVAGRVQMWALAAALQVSLVLVRLNEEEPDDVYVSPGADAARVHVLSSLNHYDIIYPK >SECCE5Rv1G0298660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:13384448:13386689:1 gene:SECCE5Rv1G0298660 transcript:SECCE5Rv1G0298660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLRAGHHAELEDSVADAVSEKHAFRGWKQYVKELNSITLPPFLTRLCDPDKPCSYSEEELLCVFETAAEVHGCNIVPHISQIVSAIIRIMSSATGSLHSVGCSKVIRTLSRYVIDPLGTEEEKSGIVSSLCSPFSGCLMSTKESVSSGSALCVTALIQSNNWQFASHELVSDICLKVSGALEEVHCQTISHLGLVVALLEQNWLTLEPYGRSLVRSGLIILDKSTKASNSQMIISSIQMIHSIMKTLDLNIISSEISSIIQAMEQVRDDCMPEISTPAFQAAETANKLYKQEECGHDKRISPLAKFHDGRHRRRGSYSHSVMDDAEIRDCGSNESLYDDTQSVDRFRHHDSQPSVGQYSGVPVSARVRRRLLSNASDRQHQMSSDEFPRTIVSDYRDGVGVIAQYGSAGLLDKSVRRFSDVSTRIADPCPMCLTPRTTNRCSQISRRGTFSEDVWMQSTPRKQLQFHSSSSESKRDAHRLPDSPSLRRIRHCSGQCTNGEVEERNGYCDSVQHDSQCHVESNDTSIEDLNLPPTNSEHSDSAGKSRSEECRADNEKMTRVGKGGRNCSGTLLFLLVCAVVIPVVALLLAWWKEDQRELYVVPT >SECCE6Rv1G0399360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:437758752:437762448:1 gene:SECCE6Rv1G0399360 transcript:SECCE6Rv1G0399360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPADGAGEPVEAKGKGKEEGEKKKAGGGVLWRMWRSLFGGREDYEKRLQYLSKEEAAVHARMRRRTQFSRRTVRNIIVLSVVAEAVAVGYAIMMTRDEDLTWQMRAIRVLPMFVLPAISSVVYSAVVNFTRMLERKDEKTLEKLRAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGADSGLKVHLGEEPNFDAAMVMSNNAEILPSDGLRNRKQPNARSSRTGSTTAAHTSAQGAESSSTLNAGLENVHPTRVVEHYQGSGASDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHITYYCPHCQALNTSKNSTGQYSGSNSGPSTPVAPADGISATSSVVESELTNMATVQELPKEEHAVKEVEAS >SECCE7Rv1G0467450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:87811125:87814005:1 gene:SECCE7Rv1G0467450 transcript:SECCE7Rv1G0467450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRFWLVAACAALLLWASVAQLVAVGRLLALFGLAGGTSAASPPPSSPPPPPPPPRIYKSNGYLKISCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFGDIFDVRHFITSLRDQVRIVKRLPKRFGPTDSGITLDMSPVSWSDEKYYLHQILPLFSKYKVIHFNKTDARLANNGISTEIQLVRCRVNFRALKFTPQIEALGNKLVQKLRDKGPFVALHLRYEMDMLAFSGCNHGLNPEEAEELKRMRYAYPWWREKEIDSKTKRSEGLCPLTPEETSLVLKALGFEKDTLIYIAAGEIYGGEKRLKPLRAAFPKLVRKEMLLDSEPLRQFQNHSSQMAALDFIVSTASDVFLPTYDGNMAKLVEGHRRFLGFRKSVLPDRRKLVELIDLYKNKTISWENFTSSVQEVHRGRVVQPSCRRKLENKPKEEDYFYANPHECLANSSLCSGSKDTVTVR >SECCE6Rv1G0390840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:197465057:197466370:-1 gene:SECCE6Rv1G0390840 transcript:SECCE6Rv1G0390840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor, Chloroplast development, Plant architectur [Source: Projected from Oryza sativa (Os02g0220400)] MSTIYMSQLSTLPLMDGDQDQGHFQAFNLPKDPPILFPFMIDNPVEHQGQGYGDQHSRQQFFGESNQQFNDHMMLSRGSADVFATCSPFGPTIQSIGSDMIQRSSYNSYDFEATHAGDGSTSQWASAKPPVKMRIMRKVPTNDHQETARKPRRRAQAHQADESKQLQHPMGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMAAAAATAANGGVASVSSGGVAAGGVQTSEASQAVKATKKEKRAADLDWSLPFKKRCKMVDHPTVTSTKAVAVDATSKDQDYVVAKNGSTVERPSKADPPAAFTQGFVRDEITDAAVLLMTLSCGLVRS >SECCE6Rv1G0430510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:742479973:742481157:-1 gene:SECCE6Rv1G0430510 transcript:SECCE6Rv1G0430510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEEVCEKRRAEWASLQPELVQLIADRVLSTSGVDEYMGMRAVCPTWRSAVAKPSPHATVADLRFRPRQWVLLHGADDQEGRPLFLNVSTGRFRRLRLPVLRDYVFVGASDGLLVLGARETPHAARLLNPLTGDMLPFAAPIPPEDWVETAIAGSEPTIIFSFEPVYGKYQDVPAYCSLGLGGDVVYSADPMGQLRAVRFHDAASNQEALFLLRSMVTYAGNVYVLSAGGTLCKIVWTGGRLYAERIMEVEKDYTVALVVSAGKLLLVRELPDIIQVFSIYVKRKVLEPIGSLGSSAIFISHGNCMVVDADMLPSIKCNCIYSISFTGIQLDSIHILYDLSDGKKIRFSGPLMHGDGRRRESGIIHEGPLSLAQVLLNPYPRLKAQLGRIQQI >SECCE3Rv1G0185630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:676440104:676441069:1 gene:SECCE3Rv1G0185630 transcript:SECCE3Rv1G0185630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIMAARGQGQGQGHQVQQDFDFFLVVDFEATCEKDARIYPQEIIEFPAVLVDGATGLIESAFRRYVRPRHRPVLTQFCRDLTGIRQEDVDGGVDLGEALWLHDAWLKAATAGAGNKRCVRLAVVTWGDWDCRTMLEFECRFKGIEKPSYFDRWINLRIPFQAALGGGGRVNLQEAVRAAGLDWEGRLHCGLDDAHNTARLLVEIMRRGVKITITGSLAPPPPPPRSIPFGGSSAPAPPSIQLQPPHTRPCGGSSAPPLLPPIQQQQLPQRHICTCGGSYATCLCYCGVATKGGVVPVPGPGPMQVNCFLGGGNWMPAMV >SECCE5Rv1G0361210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:778037301:778038473:1 gene:SECCE5Rv1G0361210 transcript:SECCE5Rv1G0361210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAALMDERAEHQFLRLSPELVEEVLFRLPPDEPACLVRASAVCKPWRLTLADSGFRRRYRQFHGAPPVLGFFQKDVSFFPISALPHAQPNDPRWVPLDCRHGRALFAFAPSLSAFAPAHRNIVEGGTVGLIVWDPLTGHGRRLPTPVDGGELRFKFSAAVFCAAQGCDHHGCQEGHFRVVIVTTNQQKKVTSGWIYSSETRVWSEFTSLHHPNVEYSYTLAVPSVIVGDALYFNNGGIVECQLGTLRLSMFDKPINGNGRLMTAEDGRLGFAAVVDVTNLTLWSMQAGPEGAMGWAKLRAIDLKSLLPDRALPIPTGEFGVRGWGYALLVSGIAEGTQVIFVRTKVGSYMVDLKSRQVRKVTRLGRKIFPYMSFYIPGTITYLHIYK >SECCE1Rv1G0056470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:687115166:687116008:-1 gene:SECCE1Rv1G0056470 transcript:SECCE1Rv1G0056470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCQTEIWTHGYTQNLLVKGPRILSLGQRISIAVDIADALAYLHHDITRSIVHCDLKPRNILLDTDMNAYLGDFGIANLVRNSKSTAVGHSSSASTSDSSIGLKGTIGYIAPEYAQTGQASTYGDVYSFGVVLLEMLIGKRPTYPMFNNELDIVNFMERNSPDQILHIIDDHLQEECKGFICATAEAGNVVYQCLVSLVQLAQSCTCVFPREQMNMREVTMKLQAARTSYICWSKQARASYTTLE >SECCE5Rv1G0353950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720807336:720809668:-1 gene:SECCE5Rv1G0353950 transcript:SECCE5Rv1G0353950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSTTRRRRRSSRKLSATATRFRRKVSAAIAGDAAGRFAARHGVVRVDAAPPASAVTLHLMQLQWQRSQMDAGNVICDEAWYDSVSMLGDSAGSDDDDNDYSSVSGDPLPEDAAGGTSASPCKDAACLADTVNRLRSIADAEACPGDPPEKSEDSDAAAPSVTGNLKEWQSAASCSPRPLPGSVPSHKVQPMPVAGFSPHHQRKKSAVVRLSFRRRSYEGDEMTEMTGSAKYLYRPRAGLTLRCAAGEKPSEGCWSALEPSAFRVRGEGFFRDKRKSPAPNCSPYTPIGADMFACPRKVHHIAQHIALPSLRPHDSFPSLLIVNIQLPTYPTTMFGENDGDGVSLVLYFKISDSFDKEISPQLKDNIKSVMNEEMEKVKGFPVDSNVPYTERLKILAGIVNPEDLQLSAAERRLVQTYNQKPVLSRPQHKFYKGSNYFEIDIDVHRFSYISRKGLETFRERLKHGVIDLGLTIQAQKAEELPEHVLCCMRLNRLDFADNGQIPTLITSTDE >SECCE1Rv1G0013830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108612652:108613581:-1 gene:SECCE1Rv1G0013830 transcript:SECCE1Rv1G0013830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSTFTEDDERRIIGVSASASHIVSLTSSTYGILTYNLAAHDASPSTKSAPPPPPPPPPAPPLSLPSCRAKPKPPSDEQPEAEVINSWELMAGLHDPSTPAKSKSPRGPARRDAGDRPPRPIRFPLHAIDGNAAARVPPPPQRCPPGGARCAVLYTTTLRAVRATFEACNAARAALQSHGVAFRERDVSMDRGFRDELRALLLPGDPAALPRLFVRGRHVGGAEEVLRLDEEGALAPLLEGLPRARSQGCCDGCGGMRFLPCFDCSGSRKVLAGAGAAVKGRPERGVVLRCRECNENGLVLCPICS >SECCE6Rv1G0413530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:624301470:624303116:-1 gene:SECCE6Rv1G0413530 transcript:SECCE6Rv1G0413530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPRLPALLTGLANRATSPAAARQLHAQLLLRGLPLPARAAVTLLTASSSFSPRYARAIFDSVPAASANVYLWTATISSYAKHASSPALAAEAFALFRLMLRSGPPPNAFTVSSALKSLSTLREVHEVHAFLMKAGLGSSLHVGSALLDSYGSLGLVRDARRVFDEMPARNVVVGNAMVACYARAGDVEAAREMFDGMEERDLISWNTLMSGYLRQGDAGVARDLFDQMPERNVNSWNMMIAACSQAGLWADSVRVFNRMRLMSFQPDAATMAVLMSACAQLGSLSVARQVHGLLHKGCAEMNCHVQNSLIDMFAKCGCITEARFLFGETRPKDVVSYNVMISALAQHGHGKDALKLFNKMIEEGLLPDAVTFLGVLSACAHAGLVDDGKHYFESMGTTYAIQKSADHYACMVDLYGRAGLVEEAHSFVKVMPVKPHAGVWGALLSACRKHCNVDVGEVAARELVRIEPMNAGNYVLLANTLARSRRWDAVEDVRRLMRGNAVEKDIGLSWVEVDTVVHEFLTGDFSHPSFNQIYNILEHLYLQPS >SECCE7Rv1G0454890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:4694310:4699152:-1 gene:SECCE7Rv1G0454890 transcript:SECCE7Rv1G0454890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKEGLVLLLDVGPSMHRALQEVENVCMTLVRKKLVFHTSDEVGVVLFGTKETHNDLARELGGYKHVLVKHDIKVVDEETKDALENLPRGTAPGDFLDAIVVGLDMLIKRFGDTKAKQRLCLITDAQHLLRDPPQGTKEDQVDTIADQMKKHDIKMDCIVFRESGVQHNSVMDENDRLLYHFRDRSVAKVVQVDTPTALLGALKTRNVLPVTIFRGDLEVSSTFKIKVWAYKKTSEEKFPTLKKYSDKAPPSDQFASHEVKVDYEYKSVLEPDTVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKGVKLLGFADRSSIPRSYFMKDVNSFVPEPGNTKAIVAVSALARAMQEMNKVAILRCVWRQNQANVAFGVLTPNISSVNNVPDSFYFNILPFAEDIRDFPFRSFSSLPPSSQPTEEQQEAADNLVKMLDLAPPGREEILRPDFTPNPMLERFYNYLDLKSKQPDANPPPLDSCLRRITEPDPDVINYRAPLIQNLGKSFELKENPKRKKARTQERLAYTGTDDQAKKSEDNAEKAMAADGQGKKSEDPYAEKARAIEGLFPSTEKVGKIGDVNPVKDFEAMLAERSSSVWVQKAIEEMKKYTTNLVENTLQGDYYEKALECFVALRKACIIEQEPEEYNQFVTKLYERLKKVDDVVKFFQLLSSKNVLLICKEEAPDSDVTEEMARSFFLKTEITSE >SECCE3Rv1G0151430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:38680375:38680702:-1 gene:SECCE3Rv1G0151430 transcript:SECCE3Rv1G0151430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALALLLALTFLLLASDVEADCTTSVMNRPNKCTNAICEQSCDARRQSVCGDQCRLQKASCVGKLCGCTWCN >SECCE4Rv1G0222690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:61868970:61875374:-1 gene:SECCE4Rv1G0222690 transcript:SECCE4Rv1G0222690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDESYLYYDDDDVEEDGLEADEDDVGLFEDAAPQPEHRADHWAITRKSLSAAQQQDVSMVMNLVNVERHNARALLMHHRWKMDRIHDFLERRGREGLFREAGIVVPLEDSSMAASARAPPHKRPRTVTCNVCFEDVSQPSDVSTMDCGHCFCNDCWTEHFFASVGNGKKHIHCMQVKCPAICDDATVRRLLGRKYPDAAKRFDNLVLDLYLDNNASVKWCPSAPHCGRAIRVVDASERYCEVECPCGVSFCFNCAAPAHSPCPCPMWDKWDAKFRGESENLKWIAVNTKSCPKCLRPIEKNGGCNHVSCPCGQHLCYACGGRLDTLHNCNRYDEAGHANYDSIRRQMLRYTHYCDRFNVHVNSRKVELAELWPAVQRRAALLESATAIRPLIREASWMVRAHRTLLASRLVLARSYGFAYYMFGDEVHTYPSEKANLPIAKVLFEDQQWQLEQNAEKLSKVLAAEAKPVLAEEDVLQAMQETSNLAKIVDTHCREMYKCIQDELLPLLLEPMTIAPYRPDGPDKAKDLPLPA >SECCEUnv1G0530790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13984473:13985408:1 gene:SECCEUnv1G0530790 transcript:SECCEUnv1G0530790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKHKGGKGQQKITIRRIEKEAAQQVCFAKRRQGLFNKANELAVMCGAEVAVVTYSSGGKAFSFGNPSVEAVIDRFLVAGGRGVPSASNDNKLKKLHLQHGELRMQLKEVKMRKKCMEEAMAKERAVGDQIAVCLNPELGDMGEEDMMAFAAKLMPVRVAISECANQVLLNLGMDNVLWGLQAPGVQLPQQLFGGSTFEFGSTSTNTGMEMQQMQMAMPPPGLAYGMDMQQILMSIPPSFGFGAGMEMQHMLMVMSPQPEFAAGIEKQQVTMAMSPLEFPNDMEMSPPMGIAAGTEMVQQGPGKNIGFPY >SECCE5Rv1G0307870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122171590:122173925:-1 gene:SECCE5Rv1G0307870 transcript:SECCE5Rv1G0307870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAGRRPRARRPFAAVASLSAARRRVLPRTEGSENVEPLEGMSFKECLRRFNKFSGEAAVKPAFAVGAKAVTKNRRTCSTPGSRRPSSSRTPRRKRLESSSSRTSSTPAAAAVPYEAPRAPLWDFSDELCQKKVRARLSSPCDVPAEEEQGKGRRRGEAASRKSAAWSATLEEAMAGIPEHGEGRVRYLVDTFERLLSLSRSDPKAQSRGGAGTRRKKESASVPSSPRKAEEIDMASYPSVASSSELSYSIVGLPRRNSRSSSGPRDERQVRRCNSAGSSERSSCRKATRPHPFNLRTEQRGRVKEGNFVQRMREMLLEEERLRNPLAQGLPWTTEEPENLAKPPTKEPTEPFDVVLHSAVRAVGRARFDHEIAERNIFLERLELEKERQQKLDEEIEIKLLRKEQVPRAHPMPDFSKPFMPKRSVKPQTVPREPRFHIRPARHNPKTLS >SECCE4Rv1G0245770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:535936661:535940724:-1 gene:SECCE4Rv1G0245770 transcript:SECCE4Rv1G0245770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGVGDDGWARLTSRSDAAEGQGRRKEWEVEFARYFASPLRDTSTPPPPPGVRYVTSATDGHPGAWLPAATPAALRVSRSSHPSAAPVLTVSVVDVVFEEHFVSILNFAWPQLTCGGQCPGSGSRVVFVSFCDKSKQIQKFALRFPQLSDVESFLNCVKECFSDTMDITSSGCDYICEDSSSRSEYIASNELLHSFEEPASDHRTEAPALCYHEEPDLPFSEPLLTSNIDNINSGFPLSFTEMLTNLSTETEHDGLDLHQLAGTDHPQEVMYGRSDADDLHQTDHPQEVMYGGSDAEDLHELTGIDSPQEVFTQDTCHDVASNENTADKGTATSKSIKETDTSKSTSDIMARIKTYMADESFHDMLSKLERVIDELGVDLSVYS >SECCE2Rv1G0077590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:100631415:100636872:-1 gene:SECCE2Rv1G0077590 transcript:SECCE2Rv1G0077590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSWPSALRLAVGAALLTAAGVALFTLPVEKILKDFLLWIKENLGAWGPVVLALAYIPLTVLAVPASILTLGGGYLFGLPIGFVADSIGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIQRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGIVEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEISTTRWVLIISGFAMSILLIICVTRVAKSALDKALAENGEADLGAPQLPVAASPSDLHQPLVIRIDTSNEDHEK >SECCE3Rv1G0158350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:87249530:87249988:-1 gene:SECCE3Rv1G0158350 transcript:SECCE3Rv1G0158350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPVEEEPAAAEKAPAAKKPKAEKRLPAGKKTPAGKDGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE5Rv1G0316370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:320106545:320107237:-1 gene:SECCE5Rv1G0316370 transcript:SECCE5Rv1G0316370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRARRSGGRQFAVGGRWRHVAVVDTGCGCRPRRPRLPLMSLPSFLRPSVTRNGSSSRSSSLFPSSASTASSASAATYSSSGNYANYPASARVYKHHQEPAVPYGVTAKASAPAPAPVARKKVGSKAKKRREKMPASTEEEEEEDVGVAVEKESSDPRADFRDSMVQMVVEMGLCDWDGLRCMLRRLLALNAPRHHAAILAAFAEVCAQLASEPPPPPLPAYQCDYYY >SECCE2Rv1G0116930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:768675159:768679348:1 gene:SECCE2Rv1G0116930 transcript:SECCE2Rv1G0116930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGRLLLCLFLSAALTPPRQLAVAGAASSSSSKVVTSLPGFQGRLPFHLETGYVEVDEENGTELFYYFVESEAGGEKAPFLLWLTGGDHCSVLSGLALEIGPFQFVPEPYNGTIPRLQINPYSWTKVANILFVDSPVGAGFSFSRRPQGYDVGEVSTSLQLHELLIKWFTQHPEFLANPLYIGGDSRAGQLVPFIAQKISEGIEAGRSPILNLKGYLVGNPVTGESIDVNSRVPYAHGLGIISDQLYETILGHCQGEDYMNPTNTLCAQSLGTFNNLLSEVMEAQILLDNCVYASPRPGSETGKSAGAGRKILSEEAVIVTGKRVKHPPPRLPLGCISYTAYLSYFWANDALTRDALGIKDGTVDEWVRCHDGDLPYAVDIGSSIKYHRNVTVNGYRALVYSGDHDAIVPHLGTQAWVRSLGFPVVDDWRAWHLDGQSAGFTITYSNNMTFATVKGAGHTAPQYEPERCYAMFNRWILGQPL >SECCE1Rv1G0004140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16628837:16632933:-1 gene:SECCE1Rv1G0004140 transcript:SECCE1Rv1G0004140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWGADQGNTATVEAIIVVPNDLLQVCLVNTGSGTPFISSLDLRPLKRTFYPQATAEQGLVMLARFNAAPVNKTVTIRYPDDPHDRLWYPWFDATIWAEISTTERVYGVGDDLFEVPWKVMQTAIVTRNTSENIWFSWESLDAEPRDDDPSRPGFVAILHFAELQLLNASNGELRQFYINLNDELAYPTGFTPEHLISNTIYDTKPSRHSSYNFSINATANPTLPPILNAVEVYSVIPTTNLGTDSEDASAAMAIKDKYGVRKNWMGDPCFPRTMAWDGLNCRYAAANPPRITSVNLSSSGLNSDISSSFAHLKALQYLDLSNNNLTGSIPDALSQLPSLTVIDLSGNQLNGSIPSGLLKRIQDGSLDLRHGNNPNLCTDDNSCQVAAKRKSKLAIYVVVPVLVIVVIVSVALLVFFLRRRNQQQGSVKNRRAVKPQNEEAMSTSYGGDDDSLRLVENRRFTYEELERITNGFDRVLGQGGFGYVYDGFLEDGTQVAVKLRSHSSNQGVKEFLAEAQILTRIHHKNLVLMIGYCKDGEYMALVYEYMAQGTLREHIAGSGRTGGCLPWRQRLKNALESAQGLEYLHTGCNPPLIHRDVKATNILLNARLEAKIADFGLTKAFDYNNNTHLFTNTLAFTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKTAILLDPEPTSIVQWARQRLARGNMEGVVDSRMQGGYNINGVWKVAEIALKCTAQGSAQRPTMADVVAQLQECVELEEGRAPSFHNGGSSGDDNYNAYTSAQSTDVSSNTAFETELRIPTVAADPGPTAR >SECCE7Rv1G0501960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:691670949:691671869:1 gene:SECCE7Rv1G0501960 transcript:SECCE7Rv1G0501960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASSPLLNAARVPAAAAVEHVLLGHGHLAVASPARHHGPQQGALCRALSEADLLAPVQLPAASAFLEELDEEEAQQEDEDVAGVRRLLTSTGLDAAGEEQEAGAGGALALLEGVGGGGGRGRVCGGWQGGRGGDGDGDGRDRGATDAHYRRMIRANPGNSLPLGNYARFLKEVQGDAARAQEYCERAIVANPGDGDALAMYAGLVWETSRDAERADAYYSRAVQAAPDDCYVLGSYAGFLWDAEEEDDLNDGQPPAGSPPFYGAAQPSSIRAAS >SECCE6Rv1G0414030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:628761596:628764062:-1 gene:SECCE6Rv1G0414030 transcript:SECCE6Rv1G0414030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPADDVFLRLSPELVDEILLRLPPDEPACLVRASAVCKPWRRFFASANFRGRYREFHGAPPVLGLVQEGASFLAIPALPTLPDLSPLAAAALKALDCRHGRYVFDSYDQYWQGDTVHLTVLDPLTGHGRRIPTPIDNRLLWYSVAVLCAAQGCDHHXXXXCHGGHFRVVILTTNHEQRVTLGWLYSSETDLWTALTSVSHPNVTKYSYISGSPSILVGDTVYFHLDGIIKCELGSRRLSMLERPADGTGYLMTAEDGGLGFATMVDVTNLTLWSMQTAPEGAMGWAKVRIIDLTQLLLDGGLSITSAEDGICGVAEGAQIIFFSTRLGTYRIDLKSRGAKIVSGPSSKVFPYQKFYIPVMEAASMDQGHLVGA >SECCE3Rv1G0178910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:519079625:519086738:-1 gene:SECCE3Rv1G0178910 transcript:SECCE3Rv1G0178910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKAQVEQLLRYVVEEVPDDAEKKRSFKFPFIACEIFTCEIDVILRTLVEDEELMDLLFSFVKPDHPHSTLLSGYFSKVVICLMLRKTAPLMNYVQEHPEIVVQLVDLIGITSVMEVLIRLIGADETIYSNYADTMQWLENTDVLGMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFCHALEGSRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNVSHGALVTASPETVDGMLESLGNLLNLLDISAAENVLPTTYGCLRPPLGKHRLKIVEFISVLLTVGSETAEQELISQSAIKRSINLFFEYPYNNFLHHHVENIIVSCLEGKRTELVEHVLNECDIVGKILAADKLSSLSTESNGPTVPSEGKTPSKIGNVGHMTRIANKLIQLGNNNSTIQTHLQGNSEWVEWQTDVLVRRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVVISSLRLGDDPDGSSLFTNSNWFTFDGERGINDRLAASVPSSSPNSEEASPDIEEADDGEAIGTEDQMETLGLGNGPAKEAEDDAECTKHTNLSTENEQLESAEGMERHPDVSVDGTEVSTDEAASGAAESSAPAAETEAERTVDKPAASSDLDNPISEASPDPDVNGSDLAGSALSSEQAVRNEDAEVAIKTDAVVGTETKTETVVETDAAGDVGVKADAVGEVPSKEVSAVDVEAKTGEAEANE >SECCE5Rv1G0308700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:135840141:135850714:-1 gene:SECCE5Rv1G0308700 transcript:SECCE5Rv1G0308700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTTGPEFAASHDDEEAAPLVSAAGDGRRGAGTPSQTRDLHLLSLAFFFVFLAYHAAQNLQSTVNTDGNLGSISLGLLYTSFTLFSVVGSPVVRAMGSRRALVLGTSGYLLFMAANLAPSWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPDGPTLGSFNGEFWGVFASTQVIGNLISLALLRNGKDGGSVTGKNLLFVVFLGCMIIGIVLMCLLSKRDEKRDNASTHSSFGAMLKYIVAPLKDRRMILLIPLIAYSGLQQAFVWAVFTKSIVTPVLGISGVGGAMAIYGASDVVCSLVAGRFTSGLHSATFIVSVGAIVQAVVLFWLLLFYSPMEGLLGAAIPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPSITLQAMLILMATSLVISFGLFMLLTLVVEKPSTIRA >SECCE3Rv1G0187650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:703051371:703053465:-1 gene:SECCE3Rv1G0187650 transcript:SECCE3Rv1G0187650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTSPCHASLVLLLLVLPCCLLHHAAAQTADERHQLIQIKRAWGDPPVLAAWNGSSDHCTWPYVTCDASSGRVTSLSLANAGVAGPFPDAIGGLSRLTSLNISNNDITGAFPTSLYRCASLRHFDSSLTYLHGELPADIGNGMPLLQAMNLSGNQLCGGIPTSVAKLGFLRQLDLSRNQLAGEIPAELGAMRVLNALDLSSNKLSGHIPKLLAKLKLSSLNLSSNQLDGQVPAGLAVAAYDRSFLDNPGLCHAGLDPGFLTGVRSCAAGSQVTSSSGGVSPALRTGLLVAAGALLVLIVAFAFFVLHDIRKRKRAAQDGGWKITPFQTDLGFGEAAILRALTEENLVGSGGSGRVYRVALPAYTNRYNGNDSAVAVKKIRSAGKVEERLEREFELEAGILGGVRHKNIVSLLCSLSHADSAVKLLVYDYMDNGSLDGWLHRHALPHGAGHSASSNKAGLDWPTRIRVAVGAAQGLCYMHHECSPPIVHRNVKTSNILLDSEFRAKVADFGLARMLLQAGTPDTMSAVARSFGYVAPEYCASTTSVTEKVDVYSFGVVLLELTTGRAANGGDEDGSLAEWARHLYQSGQSGGGIDGATDRRIRNAGFSEEIEFVFRLGLMCTTESPSSRPTMKYVLQKLLRCSEQTHKKGKVTPLLQTLWEKSDFDSTV >SECCE7Rv1G0488640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:443360500:443361957:-1 gene:SECCE7Rv1G0488640 transcript:SECCE7Rv1G0488640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEEAAEGSGNRRRMDLNLYLGLPPLPRPPGRLGVAMDCPPPPNSAIPVPESPRTDEPVGLPAPEEMPRLPVVYSPSNVLSTPELSLIDPMLFDWLDGLSTDSEEALDAGESAVLRHAPSHDANVSPPPPAPLSLPGLEGVRLEWVERLSHPILVAPAAGAEMVSTRVMRQSMGGANAIEDMTPELRLQRLIQVSEQHHIVRPGSVNRNQRATSPEAERLAQAIQRSHSSLDASRRQKLDGDGKMTGTGAVKKDGNCGCNSSFECNICLEPAKEPVVTPCGHLFCWPCLYQWLHGHSAHSECPVCKGEVLEVNVTPIYGRGGGERDASSNDVPPRPRANRSESLRQQLQMPDPRGIASMVRRLIENQDIVRGQAAPPAGRVEVTVLPAARSRARVRRQQRHGLVSPSPIMLRASNAAPESGNQVRLPSSNSVNAAPAVTQQSSSMEQASTSSTLAVIVGQAAQSRRSRPSESTTTRRTRRRQQQ >SECCE6Rv1G0421260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:682806132:682808472:-1 gene:SECCE6Rv1G0421260 transcript:SECCE6Rv1G0421260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQKTPAGRRAEEPMEGACEIARLPEELLSAALARTSPRDACRAAAVSPAFRAAAGSDDVWARFLPPGSLPPLADGELVAPATTSSKKELFLRLSAGPALLQDKLVSVWLDRETGAKCYMLSARNLFIVWGDTPEYWTWIPLQDSRFSEVAELMDVCWFEIHGKIHSKMLSQDTTYAAYMVFKMADDYYGLNFPVQEASVSSGATNLTREVCLQAGEADENTYYDEGDEEEEEEDLDEYYRSLSNRRVVHGENVALPRRRADGWMELELGEFLNEGGDDGEVSISLTETKSGKWKSGLIVQGIEIRRKKSG >SECCE5Rv1G0366310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:812531965:812533452:-1 gene:SECCE5Rv1G0366310 transcript:SECCE5Rv1G0366310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MASKAVTIGDLIHRVASSCLSNRFPGNYTLDSSDLDDDEDDDPFADFGDAADAAEEECPRSTVRAEDGDEEARRLRIWEEAEEEKRRTKNAAAEEAKEAEAKKVEGAERAGDAEALLAEVFDAVSGVRRAYAALQGAHCPWDPDRMRAADAGVVAELRHLARLRDRFRRAAASPGGRIPRPSPSAPPLREAVAPYEAALDDLRRQLQGKQAEVDGLKEKLAAAASRRNARLHPAKKHHHILAAGPAAEVGAPTAELFVACAEQARAATRAFAAHLLHLLRAAGLDPAAATRSVTKIPVSSPQLAKHALEAHATAVLLGGFEHESFYLDGSLSSLLDPAAFRRERYAQFRDMRGMDPGELLGVLPTCAFGRYAASKFASLLPPRVEEAVLGGDEHKKAVGAGAHPRTPFYGEFLRAAKAVWLLHLLAFALEPPPSHFEAGRGAEFHPEYMESVAGRAPGAGAGGMVVGFAVAPGFKLGNAAVVRARVYLVPRGSRQ >SECCE1Rv1G0016210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:135689722:135694073:-1 gene:SECCE1Rv1G0016210 transcript:SECCE1Rv1G0016210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPATAKPKTTKKHNARLNNPFPRAVPAAAFRNGDAAPPLSFGPFSKLAHAHDYPVGSRFRLSWNPSLGGAVSLARVFSSSSSGGGPRSRVMWETIPGVAFVSAASATTVADECRGSFALRDGRARLVPDRQNVERIRTLYRCDVEAGADALRGAAFEASDQTRFPVLLITGVVSAKRADPASSCCCGLRAGRRARARAGKPVLSGRYWVFLEEKSDTQVSFSVKIADYQWSCGHADPSSPPPAATTAPRPHRINILSLRLRLAGRVHRNMSKKKKLSAQEEVSALLPMTERASAGEEVRPEEFNRVFLTYASERDERFYGFGEQFSCMEFKGRRVPVLVQEQGIGRGDQPITFAANLLSYRSGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKPDRVQIQVYGNSVQGRILEGESPTELITSYTGSTGRPPVLPRWITSGAVVGMQGGTEAVRRVWSQLRDHDVPVSAFWLQDWVGQRKTVIGSQLWWNWEVDDDHYAGWKDLIRDLRCDGVRTMTYCNPCLVPMSEKGNARRHLYEEAKELGILVRDEAGEPYMMPNTAFDVAMLDLSNPEASSWFKGILRGMAEEGVSGWMADFGEGLPLDARLHSGEDPVSAHNRYPELWARVNREFADEWKSEAGEDEEEGLVFFLRAGFRESSRWAMLFWEGDQMVSWQANDGIKSSVVGLLSGGLSGIPLNHSDAGGYCTVDLPLLRYRRSEELLMRWMEVNAFTVVFRTHEGNKPGSNCQFYSNSRTLAHFALCAKMYKAWEFYRIQLVKEAAEKGLPVARHLFLHYPEDRRVQELTYQQFLVGTEMLVVPVLDKGRTAVTAYFPTSDGASWRHLWTGEEFGGGRRSGHGSVGEATVHGFEAEVSAHVGCPAVFVRVGSPVGERFATNLRDLGVI >SECCE6Rv1G0426360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712593704:712597893:1 gene:SECCE6Rv1G0426360 transcript:SECCE6Rv1G0426360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATGAMGSLLLKLAELLKEEYKLQRDLRKQVESLAQELESLQAALRKVAQVPRDQLDEQVWIWAREVRKASYAMEDIVDSFLVRVDGVHDHEAGAKKTGLGRRFKEKMGNLLDFKKPADRRSIAGKIQDIRDQLRDVALRRAAYKVDDIVARPVATPLTMDDPRLLDLYRVTKLFGIDEPREALIGMLSEGKDGQGVPDDGKVEMKMVSIVGQGGLGKTTIAKAVYDKLKPKFQCGAFVPVGQNPDMKKIFVDILIGLDKKKYMDANLMVLDQYQLISEIREFLQYKRYFVVIDDIWDTSAWELIKCALADNYLGNAVITTTRKSQVDTGGLVYTPKKLSYSDSQKLFYTKIFGAEKCPEKYKSAGMYDKITEVSEQILEKCNGVPLAITTIASVLAKKPILEWSNVYNTIGFGHDEDDSQVKKTMKILSYSYYDLPLHLRACLLYLSIFPEDHFIEKTMLIWRWIAEGFIHDKEGVGLFELGNRYFNDLVNRNMILPMEEYSYTGFLNGCRVHDMILHLLRSLSKEENFVSILDNEQHSYVDSRRVSIQKIEIDFDNISTQVVMDMPQVRSVTAFGCLMDALPQLSSFPVLRVLGAVESFLLTQGHYLEGLGSSLHLRYINLSFTPTPQLPKDIGHLKLLQVLEIDGTGIEELPESVAQLRRLMCLHADRKTRMPDWIGKLTSLQELWIWPAADKYALFVEELAKLTELRVLVTWNAAPDGSVERGLLESLRNLHKIMAIDFVIRGKQELGRPIKTCDEGFVLSLHLRRLILYGFMFSRLPAWISPFLPPNLCDLEVGVSDVEQQDMEVLGGFTELRNLYLQILSTKTKDREILTCGGGALQNLRYCHVNRQLKFLHGSMPRLEDIHLNIRVWEVKRVSPDFDFDLGLLNLPTIQQVQVEIDCAFSRAVDVEEAEAALRHAVDMHPNSPTLKMSRRREEFMLLMTEEHKKKNEVVGQLLIQRDRFFAIREEIDETREVQAALPVNHPILLETIPLMEHLTKDVLGPETKKDLIDDWVRLSRMDTSDRHGLKAQVAAARRHLKRVTLLNQQSTPLLEEIKAALNQEKPLFLPPFLDDSEDSE >SECCE5Rv1G0376710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873757508:873759049:1 gene:SECCE5Rv1G0376710 transcript:SECCE5Rv1G0376710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSITTNPTVLSAIAAAADNVKEPFRPLKPEDVRSYLLEAVDFICDYYTTVESMPVLPDVKPGYLQHEFMASPPTYPAPFHVTMKELKASIVPGMTHWASPNFFAFFPATNSAAAIAGDLIASAMNTVGFTWQAAPAATELEVLALNWLAQLLHLPATFMSRTATGDRGTGGAVILGTISEAILVTLVAARDAALHRSRSMRVSGMLRLVVYAGDQTHSTFFKACRLAGFDPANIRSIPAGPETHYALDPAKLLEAMQADADVGLVPTYVCATVGTTSSNAVDPVGAVADIAAALGAWVLVDAAYAGSACICPEFRHHLEGVELVDSISVSPHKWLLTCLDCTCLYVRDAHRLRDSMETNPEYLKNDATDSGEVTDLKDMQVGVGRRFRGLKLWMVTRTYGTAKLQEHIRSDVNRAKMLEGLVNADDRFEVVVPRNFAMVCFRIKSGGGITEEAADEANRMLMKNLNNIAHTVLSNRIVLRFAAGSSLQEERHVRSAWELIKRTTSDLMMDS >SECCE2Rv1G0110260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:687944491:687951350:-1 gene:SECCE2Rv1G0110260 transcript:SECCE2Rv1G0110260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRNRGQVVHEDQLYSVKFSKSGSFKWLLHTLSRSSSDVLRKAQGPGPGRRPSLVELCVARVREDISRYSDFSMLPRDLSQQIFNELVRCSYITEELLGAFRDCALQDICLDEYPGVKDAWMEVIASQGQSLLSVDISCSDVTDSGLNRLKDCSNMQSLACNYCDRISEHGLKTVSGLSNLTSLSLKKCAAVTAEGAKAFANLVNLVNLDLERCPKIHGGLVHLKGLRKLETLNMRYCNGITDSDMKYLSDFTSLRELQLSCCKISDCGVSYLRGLSKLSHLNLEGCAVTAACLEAISGLASLVLLNLNRCGICDEGCEKLEGLVKLKVLNLGFNYITDACLVHLRELINLECLNLDSCKIGDEGLLHLKGLLQLRSLELSDTAVGSNGLRHLSGLRNLHSMNLSFTVITDIGLKKISGMNSLRSLNLDNRQITDNGLAALTTLTGLTHLDLFGARITDAGTNCLRCFKGLRSLELCGGLITDAGVKNIKDLKDLTLLNLSQNGNLTDKTLEIISGLTALVSLNLSSSRVSNAGLHHLRPLQNLRSLSLDSCKVTACEIKKLQLAALPNLVSVRPE >SECCE3Rv1G0156540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:73454029:73455994:-1 gene:SECCE3Rv1G0156540 transcript:SECCE3Rv1G0156540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVIRHRTVEVNGISMHVAEAGPEVDAKGAVLFLHGFPELWYSWRHQMDHLGARGYRCVAPDLRGYGGTTAPPDVASYTVFHIVGDLVALLDTLGHAKVFVVGHDWGALIAWYLCLFRPERVTALANTSVAFMRNIMIRNGPDFVNPIEYFKRAYGPNYYKCRFQEPGVAEKQFAPAHAKRLMRQMLCHCFSHGVFCDEEMDDHKFPTSPLPPWLTEADMDYFVTSFEKTGFTGAINYYRNFDKNCELAAVVV >SECCEUnv1G0530640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13780657:13782335:1 gene:SECCEUnv1G0530640 transcript:SECCEUnv1G0530640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGGGAAVVVVDGKDGYARAAPRSFPAKLLRPVLLSAVLATGFLAAALLMFFGGADSYYRLPRLAVPDALLPAPACDHQRQGEEDQHQERWWARPAARSAWHNMSEEELLWAASFEPRAQQHRRPGKTPKVAFMFLTRGPLPLAPLWERFFNGTGGAKGGRELFSVYVHTTPGYRLDVPPSSPFHRRQVPSKPTRWGNVNVVDAERRLLANALLDFDNERFVLVSESCIPLYPLPVVHAYLTRSRHSFVGAFDDPSPHGRGRYRAGLAPDVTLSQWRKGAQWFEMDRRLAVFVIADDRYYPRFRTECRAPCYVDEHYLPTVLSIKEPTRIANRSVTLVDWSRGGAHPATFGAADVTEDFLGRLVGKKGSPERCMYNGQPVEVCFLFARKFAPAALPQLLSLSSKILGY >SECCE6Rv1G0382290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:40964577:40969808:-1 gene:SECCE6Rv1G0382290 transcript:SECCE6Rv1G0382290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVVSATMGAMNPLIGKLAALMGDEYKKLTGVRRQASFLKDELSTMKALLEKLELMDELDPLAKNWRDHVREMSYDMENCIDDFMRDLGGADTKTGFIKKTVKRLKTLRKRHRIADRMKELKGLALQANERRMRYKYALFFNIGAPCSQFYAHETHKYPGFLVLVPMQRYKIDDCTNSTTRVVPIDTRMLAIYKQATGLVGVDGPKKKLVSWLTDTQEKLKVVAIVGFGGLGKTTLAKQVYDTIGGQFSCTIFLSVSHRPDMSSLLGGLLLKLEMKKELTHAHEVQDMVGHLREYLTHKRYLIVVDDLWDQPTWNIMSCIFPEVGNGSRVIVTTRMEDVANWACHNDHECVYRMEPLKEQDSSMLFYNRVFGSGYVCPPHLKEVSVEILKKCGGLPLAIITIASLLASRQTGPKDEWESIRNSLGAKFAKNPTLEEMRSILNLSYMHLPLHLRPCLLYLGMYPEDAVVMRHDLVLQWIAEGLVNCSHGSDLEDIGKSYFNELINRSLIQPAGTSKSGEVEGCRVHDIMLDLILSKCADQNFINVVYNCEDVAKMHAYEYKVRRLSLRSSTNDATLKIIDTSMPQVRSFAQFGESNYTPPLSLFKYLRVLVFMFPFNRSTIVNLTAIGQLFQLRYLEVSAFLCFIDLPTEIGGLIHLETLEISGNASSIPSDIVCLPRLSRLILQCLSLTRLPQGIGNIKSLRALHCMENISLEDINAVGELTSLRELRLSTKVVAGEVDALVSLIGKLHDLKYLEVRVESSKHHCDPMYSLSNPPLHIEELNLFGWRLKRVPTWIGDLHFLRILILRVDNLSNDEVHVVGNLPSLVHLDLRVSAEGGAIICTGLFQVLKVLRLFSHDVAHMQFQIGLMPSLRRLTLEVNNGWGGAVPRGMEHLLALDHISEFARDGVNHRDVESAFRSIVDVHPRRPSLEIIPPPSLSSINLVKL >SECCE2Rv1G0125730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:842998040:842999107:-1 gene:SECCE2Rv1G0125730 transcript:SECCE2Rv1G0125730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CXXS1 [Source:Projected from Arabidopsis thaliana (AT1G11530) UniProtKB/Swiss-Prot;Acc:Q8LDI5] MDVEIQKPREVGSCRVVKVDKEAAWDLFTTQAANEGRTVAAHFGASWCVTSLSMNYKFEELAQTHPDMLFLFVDVDDVPSVSSKLGVKAMPTFFVIKSNEVVKKIVGANPDELHKMVDSSDDDSAVTTLPDIVIEK >SECCE7Rv1G0502530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:697245896:697248531:1 gene:SECCE7Rv1G0502530 transcript:SECCE7Rv1G0502530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPKPFWSPGSAHSNPISHDQQIGPTANNAASNIGGNTSNNSFATRQRLRWTDELHGRFLEAVAQLGGPDRATPKGILRTMGVHGLTIYHVKSHLQKYRLAKYIPDPTASGDKPEKKDSGNLPAGMESSPGMEKSEVLKLQVEVQKRLREQLEVQRQLQLRIEAQGKYLQKIMEEQQRLSGVLCESGKMNALALAEEELHHDFSKTEPSTPVLTSEPPFRDKAVTASGDLEGTDELLKVLSSHDDCLSLDRELSTPDSSCGASYLLSSPRDSKRARISSSLGHGNNEFALPHIIPELSSGSDLQLMSSVFSSVTGQSGSSAALDASEDGFTNGSGSDV >SECCE1Rv1G0056560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:687598901:687599944:1 gene:SECCE1Rv1G0056560 transcript:SECCE1Rv1G0056560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELREMAAAAAAAASAGGGGGGGGGGGRLPPPNPNLPYREDCWSEGETAALVGAWGSRYVDLNRGNLRQKQWQEVAGAVNSRRGAAARRRPPRTDVQCKNRVDTLKKKYKAERARGGPSAWNFYGELDRLVGPTLSASAATKKHPSAAPPPAPHFALPLHPSAARRHPSPSSSPSPPPPMALPLPNYRRGAPLPAAAFIQQAAAAAAAISDSDYSGDLGDNNSQRSPSRSISSHSGGNNKRRRRSESGSGGDGGVRELARAIEDFAEMYERLERAKQKQFVEMEQERINLMKQLEEKRVQNFVDAHVELERMKHAKKNGGSAANGAVALELASSVAVLPFLSNPAYL >SECCE4Rv1G0296070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:901405697:901406061:1 gene:SECCE4Rv1G0296070 transcript:SECCE4Rv1G0296070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRSLLRSSSSVLRAAPARPSALGVGARPSVRRALSAPPRILRLPVEASLCLESMLPLHSATAAARIKSKLDVVPGKGIGWIIEDN >SECCE5Rv1G0317600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:346866692:346868568:-1 gene:SECCE5Rv1G0317600 transcript:SECCE5Rv1G0317600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIAEAPFHVLAVDDSVLDRKLIERLLKTSSFQVTTVDSGTKALEFLGLHGEDASISSVHADQLDVEVNVNLIITDYCMPGMTGYDLLKKIKESSSFRDIPVVIMSSENIPSRINRCLEEGANEFFLKPVRLSDMSKLKPHIMKSRCKEHSHQDQDLLSNSDTNPTNNSSSSDTITISSSNPTNNSSSDTISSSSSNPTDNSSSDSSNIRKRKAADDEILTKTSRPNHSC >SECCE2Rv1G0072290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:54036534:54038142:1 gene:SECCE2Rv1G0072290 transcript:SECCE2Rv1G0072290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAQQGQSAATSLPPGFRFHPTDEELILHYLRNRAAAAPCPVSIIADVDIYKFDPWDLPSQAVYGDCEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDAATGQGVGVKKALVFYRGRPLKGTKTAWIMHEYRLAAAVNTYKPIKFRNVSMRLDDWVLCRIYKKTGLASPMVPPLADYGHMADHDDLSGGGVFHDATCRPLIKQQQQHQQPHAFPSFSELFDDYAFGQILDTEVEQGATHYLAVHPSLSQLLPVGDSRRVVEPSYYAPSSSSPDASGGSAGKRKAASPEERATHQPSAKKLNGSCFDAPPQSANGWQAVASVLGGLNHHVLHQF >SECCE6Rv1G0383910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:58445613:58460282:-1 gene:SECCE6Rv1G0383910 transcript:SECCE6Rv1G0383910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLTPSPASEVPFRISFSGHGGHLRLDPTPHPPSSVPDFVLPPAYPPESPSSVKEYLEANYLNPELYVPTAANDGRVWDVDWFDLARPPLEPSAPRTMLVPAWEPPFRRRSSSSSEQQVWDPESVQMEMSQVFDSGTGGMVPRMPGPAKDFVRGRVNSRPFRPGGLQDDAAEAAALEKAFPEGARNGDWVRELMSGGPALNAPPGFPKGLDLGQLKEYNSHWKCFRDGEHVEEQPASSSNDSTDKYSVQFDDLFKIAWEEDDANIVSHEDDGEQLAGDEGTNDVNERKVDKLQDTSETLTMVDTDKQETNVSGDISEAQTDLDKMLSSEVQDTRRETSGLADDKPAQEGTDWALVVGDNSIVANFHKLVPDMAIEYPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIRVTSTNKRPVPLEHCLFYSGEVYKVCEKDIFLTQGFRDAKDAFKMKNANKFGAKPGTKSGTPAVRAGTQGRNPDTSSKGRDQKYPKHHQTNSGAAASQQSTSGSRRSESSFWMPLINNLLKKSLVPVVIFCFSKNRCDRSADSMFGADLTSSSDKSEIRVFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEHRRLLPGEYIQMAGRAGRRGLDTIGTVIMMCRDEIPEESDLKNLLVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKDLPQKEKLLLQMLRQPTKTIECIKGEPSIEEYYDMFLEAEEHREFVTEAIMQLHTTQQFLAPGRLVVVKSKSDDDHLLGVIVKNPSTTLKQYVVLVLTGDCTSSALAPNLSSQNEKGPGDSQGYFIIPPKGKRGMDDDFFSSSRTRKSSGVINIKLPYTGDASGMGFEVRAVENKEIISICTSKIKIDQVGLLEDISKTAYAKTVQMLIKEQTDGKYPPALDAIKDLKMKDMDQVKRYHAHYNLLEEMSKNKCHGCIKLKEHKSMMKDQKLHKDELDQLKYQMSDEALQQMPQFQGRIDVLKEIHYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDELEPEEAVAIMSAFVFQQRNASEPSLTPKLADAKKRLYDTAISLGQLQKRHEVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYKKMEIASNAIKRDIVFAASLYVTGI >SECCE2Rv1G0118510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:782940899:782941402:-1 gene:SECCE2Rv1G0118510 transcript:SECCE2Rv1G0118510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAPLVLVALLSVLAAPSSACPSCPTPTTPPPPPPPKVKPPPSSVPCPPPPHSPAPTPPTPTPPTPPTPTPPTPSSPTGKCPVDVLKLVACVDALNGLVHAVVGANASETCCPLLSGVADLDAALCLCTTIKAKALNVSLVLPVAISVLVNQCGKHVPSSFQCPS >SECCEUnv1G0544580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:130769157:130769570:-1 gene:SECCEUnv1G0544580 transcript:SECCEUnv1G0544580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSWLTALGFVFLTFNSGMAVYRSNGDAGSVVFVAVSYLDLVALFACLRLYERLDRHSPRRERLKAAVWALTTLLTVMFTYKVAEVMPLAVKLLVWAMAAATTCGGFYVFFVHDDKPYQQLQDASAAAERGDAAN >SECCE5Rv1G0350860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:693832779:693833996:-1 gene:SECCE5Rv1G0350860 transcript:SECCE5Rv1G0350860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPLRIRRLVPLKEKDPDWSTLPEELLEHVGKMLPSRGHALQFRSICPVWRAVLPFARYIGPLLMLPYNRDSPDCAVTFYTVADGGETTFTRNLPSLRGKAMLGSSRGWLALVDDEAASLTLLNPLTDTTVELPPADEHVVVASFGQAMMLDGIQTVILLADGNVIMLDELKKSSMFREKKTSAVFCQIVLSSSSPGSGDCVAMAALQGTSTVAFCRVGVDVAWSLLDTDLDCVTLLVHFHGNRFLAIDDECSGMFNVCDVEGAAATATSVPLSPAPIYGRHYMEVNGELYVIGTMFHGSTHLWQVYKSNVFAATPSWTRVKNAGDLILLMSSNFTAAGYGGAVRVSWFKRNSAYCLAFNSDRDQFEWEIIDIANGTSEFQPCSKKILGSGALCWIQPNCWT >SECCE7Rv1G0493670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:572608214:572616316:-1 gene:SECCE7Rv1G0493670 transcript:SECCE7Rv1G0493670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEADDPTAASATLEKFRLYETRARFYVIGSSREKRWFRVLKIDRSEPSELHLSEDPVWYSQQEVKSLLQRIAEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCVCGHAIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGMKETPYENLFVWNTFLTEPIRSRCHNALWSVALVHGHFKQVKLSVFGRELNVILISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAIVQMRGSIPLFWSQEAGRLSPKPDIFVQRYDPTYEATKLHFDDLAQRYGQPIIILNLIKTVEKRPREMMLRREFFNAVGYLNQNVPEERKLRFIHWDFHKFAKSKSANVLGVLGGVASEALDLTGFYYSGKPKVQKRRSIQLSRTSTARDVEIRASSGDLPRLSSNADALGSIASQDMRKEDSKHEPLGDAPCYQTGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDVSKIHPDSSIASALMEMYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDTDYYLHVTTAGDDSYHLSSPHGNNVPGGSGDAMSPRPTLSPVPACKEDFSRMKLTSFDKLIERTCSSIRNVRLHCDADLRPSGGVGTSAMAPDAAEIQLKSPNWLFGQRKHAETVPTAKVTPVENANEGNKDETNVSLCGELNWLSSSADSCEEDNFRRYLAFTTADVDNGWYSGALLYDQDENSGAYKHYSEFCQGPVMDPFEHDPEKEGHYREALSMDMELTDDARVEAEMKAALDDYQVIGSDLSIIPSCGSLAEDPSQLTRWIIGDDKPRVVSAVQ >SECCE5Rv1G0368430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:828946657:828947354:1 gene:SECCE5Rv1G0368430 transcript:SECCE5Rv1G0368430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCPGFIRANGAQVAPGDVIKPISGVHEPIQNVTLKVLKDKTSGDWWVYYGFNGNPTGVGYFPRSLFTYLAQKANGMAFGAFVVADKALSTPPMGSGILPNGGQSRAASFTNLRFIEQDGRSIPITTDWPKLVTNNKCHSITPIGHAKCLYGGPGGCAR >SECCEUnv1G0528310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3635732:3648011:1 gene:SECCEUnv1G0528310 transcript:SECCEUnv1G0528310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVSDGGGPWLRSVNNFLGRAVWEFDPDHGTPEERAEVDTLRRDFTRRRFHKRESQDLLMRMQYAKEKHLQVQVDLPAIKLVDIAQVTQETILTSLRRALTQHSAMQAHDGHWPGDYSGIMFIMPILIFALHVTGTLNTVLSREHRREICRYIYNHQNEDGGWGTQVLGPSTMFGSCLNYVTLRLLDEVDNEGLIKGRAWVLLHGSAAAIPQWGKIWLSVVGLYEWSGNNSIIPELWLVPHFLPIHPGRFWCFCRLIYMPMSYLYGKKFVGPLTPTILAIREELYSIPYREVDWNKARDTCAKEDLRYPRSSLQNVIWTCLTKFVEPLLNCWPVNKLRDKSLKTIMKHIHYEDESTKYIGICPINKALNMICCWIDDPNSHALKLHIPRIYDYLWLAEDGMKAQVYDGCQSWETSFIIQAYCSTYLINEFGPALHKAHEFIKNSQVLENHPDYKAYYRHRSKGSWTLSTTDNGWSVSDCTAEALKALLLLSKISPNLVGDPIKGERLYHAVDCLLSFMNKDGTFSTYECKRTTSLIEVLNPSETFLNIMVDYPSVECTSSVLQALIMFREIYPGYREEEIGKCFKNASIFIENSQRKDGSWYDTWGICFTYGTLFAVEGLIASGRTYENNSFIRNACNFLLSKQLSTGGWGETYVSSEIESYVDAGSPHAVNTAWAMLALIYAGQVERDPTPLYYAAKELVNMQLDTGEFPQQEHIGCFNCSFYYNYGNYNNLFPIWALGELHHRLREKN >SECCE5Rv1G0310580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:171831082:171833795:1 gene:SECCE5Rv1G0310580 transcript:SECCE5Rv1G0310580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGARSRVAFVLVDGIGDVTIPSLGGRTPLEAAAAPRLDAVAAAGVVGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXAGLAMAPGDIAFKSNFATLDESTGIIVSRRADRHFEEEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLHLKAKPLDDSEEAKNTAAVVNELSKEITRTLVSQPINAKRAVEGKNIANVVLLRGCGIRIEVPPFETKHGLTPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPLDTPPRVFVPGEDEYKAGRENGYDFGFLHIKAIDDAGHDKAVKLKVRGLEAVDRAIGQLARLLWEAEKSGHYQYFLCVTGDHSTPVEYGDHSFEPVPFAICRLRDFVGAIGEENVMNTPLDDFPLPSVKSGEDLTDGSEPAEHKPDELKAFSGDTVCEYTEIAAARGCLGRFPGSEMMGIIKKFMKAKND >SECCE4Rv1G0265840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727593954:727595464:-1 gene:SECCE4Rv1G0265840 transcript:SECCE4Rv1G0265840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLLLLAILFLTGPTTHGGESRPLVPAAFLFGDSLVDVGNNDYFLTVGKANFPPYGRDFKNQVATGRFGNGKLLSDIIAEKVGFTGSPPPYLGMRASGQNLMTGANFASAGSGYYDPTAFEFKVIPLSQQLEYFREYKSKLAVLTGNSQSQAIISGALYIISAGSNDFGPNYYINPLLFKTLTVDQFADLIISIFSNTVMQLHGMGARRIGVFSLPPLGCFPAAITVFGHGRGGCVSRLNRGSQIYNKKLNIAVNTLSRQYHGLKIVVLDIYTPLYNIANSPRSYGFIEARRSCCATGTIETSVFLCNPLSIGTCPNATSYVYWDAAHPSEKTNQLIVDSFIVELGRLVA >SECCE4Rv1G0285410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:844375872:844376649:1 gene:SECCE4Rv1G0285410 transcript:SECCE4Rv1G0285410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKGTESTSSRCKEKLLEFFSTYDETKDIFAFLRLLVAVEICSHRTVYEPIIESDRGNCSLEAWCLEHVIPARVDADHVMLVALARALEVPLRVESFQQGYARDIYTGPGVPRPSVTLLYTGNHYNIIYPRAPSAESSSHQASQREDPADQSSSHQASQREDPAGQS >SECCE1Rv1G0051650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:656416562:656416873:1 gene:SECCE1Rv1G0051650 transcript:SECCE1Rv1G0051650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE2Rv1G0123640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:826021045:826023414:-1 gene:SECCE2Rv1G0123640 transcript:SECCE2Rv1G0123640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQTKKRSPVESGDAAIGTALVGFVSNNEDLGPIVRHAFESGRPEALFHNLRGIAKRKEFEIEELCRLHYEEFILAVDELRGVLVDADELKGALSGENLRLQEVASALLLKLDELLELYAANKNVGEALATLKICLQVTSLCQVCNRDIARGKFHTVLKTLELIEKDHLQNIPLKLFKNVVQKKIPMMKLYIEKKVSGEFNEWLVYIRKFAMEIGQASIRQASLDRQKDERMRAWQREAEECSRGGFDEHAYALDLEYTDEESTLEFDLTPVYRAYNIHISLGLGEKFREYYYSNRLMQLNLDMQISTTQPFLESHQHFLAQVAGFFIVENRVLRTAEGLLSDSQVDTMWEASISKVTSFLEEQFAHMDAANHHLLVKDYVSLLAATMKKYGYQVTSLLEVLDKNRDRYHELLVSDCRKQIQGVFAKDSYERMVIEKENDYNMNVAACQLEPVHAVPDLPYVAPFSSSVPSACRIIRSFIEDLVSYLSYGVVINSYDVVKGYLDKLLIEVLNDGLLKLIHNGSLEIAQLVQIAGNIAVLERSCDMFLLHAAQLCGLPKRLLEKPHSGLTAKAVLKASQNAAFNGLITSANSRIDEFMLLLTSIDWTTEETPEHANDYMNEVLIYLDMVVTAAHPILPREALLKVISGSLSHISDSIITVLLSDRVKRLSINAVVGIDIDLKLLEEFAEDRYHSTGLSGLKRETSFKDCLVEIRQLTNLLLSNHAESFMNAVIREKSYASLDHKKVAIICDKFRDAPDSLFGSLSSRNVVQSARKKSLDVLKRRLKDFS >SECCE4Rv1G0217940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19115802:19116326:1 gene:SECCE4Rv1G0217940 transcript:SECCE4Rv1G0217940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLTLLLVLAVAATAAPLAHAACADEGMLHGVANDVLLEYGLPKGLLPDSVVSYTFENATGDFHIELAGSCYVWFGDHYVYFDKNIGGTISHGAISNLSGIMAKKLFIWVSITSMTAHLERGMIEFRAGFITEDVPASLFEEIPVCGNGVGEQLRGAAGVIRELGLLPAAEV >SECCE7Rv1G0460440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:31832916:31836637:1 gene:SECCE7Rv1G0460440 transcript:SECCE7Rv1G0460440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGKAKASGGKRGGAKDPDEAPRSDKRRRDMDDSDPELELDSDFKQIVTMLRHIKDKAHKDGQKKTEQAISSVATEIQSMVQDTKTKFEKERQNVLKALTKASKECEGSLKTEYTKFQATHDKFSKDKAAHVQNFKDLFSKFEVEKEKLIVQYELQRKKEKTTLADLEKTVSEKITDAEESLKKLKQGDKSIIKLRKSFASFLSPDDEED >SECCE6Rv1G0402270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:482458859:482460173:1 gene:SECCE6Rv1G0402270 transcript:SECCE6Rv1G0402270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNLLFHDRGDLERADMDLPKQQQQQQHPQQLAGVSPAVYFASGGASGNRRKRAREAMAMAPPPPAKEEYINLFAHQSAPFFNMAQLHSSRVASSPSPAPATRVSTGLRLALDEQQQRQINSLCYPSSSSPLVPVSDEFAGQMKQHGEELDKFVRDQGEQLRRAIADRMRYHNRALLVAADKSASRRLREKAVEAEREARRGAELEERLARLRNEAAAWQAKALSEQATAVALHAQLQQAASAARASCEELAGGDAGPAESCSSAYVDPRRAGPDRACHGCHHGAATVVLLPCRHLSLCRDCFAAGDMDVALACPVCHCVRTGSVEAILC >SECCE4Rv1G0270720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:756205273:756205827:-1 gene:SECCE4Rv1G0270720 transcript:SECCE4Rv1G0270720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSSSTSVIIVLLLLPILLAPPASAVPVLAACKTVGGGSSYFDVTFCLEALGSIGGGGVYRDLAAAAVGLLATNASSTQAKIGRLLGESGVKIKAGDPALARPLWSCQSLYDRIVRRVHACTAAVKGGKFGDATAILEKAAAAAKECEDGFRESNAASPLTAEDDDAFKLAKLGVALLGFA >SECCE3Rv1G0170500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:254859377:254860177:-1 gene:SECCE3Rv1G0170500 transcript:SECCE3Rv1G0170500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNLKPVALFLLMLNFCMYLILAIIGGWAVNLAIDQGFIIGPELRLPAHFHPIFFPIGNWATGFFVVFSLLTGVVGAASCIVGFTHVRFWNYSSLQPAASLGLLAWALTVLAMGLACQEISFDRRNAKLGTMEAFTIALSLTQLVYILAIKAGGHGPVHVERHNALGR >SECCE3Rv1G0171450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:271647697:271648449:1 gene:SECCE3Rv1G0171450 transcript:SECCE3Rv1G0171450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANFGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFYYWVGKIFGRTYPETLGQIHFWITFFGVNLTFFPMHFLGLSGMPRRILDYPDAYAGWNALSSFGSYISVVGIRRFFVVVAITSSSGKNQKCAESPWAVEQNPTTLEWLVQSPPAFHTFGELPAVKETKS >SECCE6Rv1G0392460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:261488940:261492775:1 gene:SECCE6Rv1G0392460 transcript:SECCE6Rv1G0392460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGAKATAAKAAEKEKGKKAPASRSSRACSQEEPAPKKDVYQLFAEKVRDNKQLESRWAVMQETRVEFFRGKDFTTFIKNHPEVGEILGPDKDMEAEDIVNTLLIKNLVIRCDRVWKTVRPGKKKLSSWPAHLEIHQEQVFTENDGFYAWMFLKRRTLWQTILSFIWPVFALAVCLFPVYPYQCKIVVLYSCAGALLFIVSLLLLRAAIFGVLWVLLGKRVWFFPNINAEETTFRELVRFWPEKDEGERPKWTSRLFYATVALLVILLLRHHAPDEAARARYQKKVSNIIDDVLEWSPKLALSGMMDKHTEDNATETSNYTSHATTTTEEESTDAAEDEDADETQDAADDTRTRSSEA >SECCE4Rv1G0260300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:694642803:694650663:-1 gene:SECCE4Rv1G0260300 transcript:SECCE4Rv1G0260300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRLFYICSIIFAFYFAHVQQTTAQITAPWEVDALRAIRGSLSDPLGRLDNWNRGDPCVGNWSRVICYNVTASDGYFHVRQLELLRLNLSGTLAPELGQLSRMKIIDFMWNSIGGSIPKELGNITSLELLLLNGNQLTGTLPEEIGFAPNLNRIQIDQNHISGSIPKSFANLNKTEHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYLPPELSQLPKLLIVQLDNNNFSGSSIPSSYGNITTLLKLSLRNCSLEGPALDASGIPQLGYLDISWNQLTGPIPSGQLASNITTIDLSHNRLNGSIPGSFSGLPNLQRLSMENNNLDGSVPSDVWRNIDFSGNRSLILDFHNNALTNLSNPLTPPANVTILLSGNPICTSQNQLNISQYCQSTSVVVPGGSTNNNTLCPPCSTDLPHENILRSPIPCLCAIPLHVDYRLKSPGFWDFVPYEAEFQHYLSSGLSLSSYQLEVSTFMWEEGPRLKMNLKLFPNNTAVFNSGEVLRLRDMFTGWLIADSDIFGPYELIDFIPGWYENVLPRRTKSSLSTGATVGIVIAAFAAAAILSSLVTLIILRRRSSQISKRRTAKRIQMKIDGVKDLTFEELSNCTSNFSDSALIGQGGYGKVYKGVLADGTGAAIKRTQQGSLQGSEEFFTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEYMPNGTLGDHLSAQAKEALSFPMRLRIALGSSRGILYLHTEADPPIYHRDIKSSNILLDSRFVAKVADFGLSRLAPLPEMEGVAPGHVSTVVKGTPGYLDPEYFLTHNLTDKSDVYSLGVVFLELLTGMQPISHGRNLVREVVAANQSGMILSVVDVRMGPCPGECLERFAALGLRCCRDETDARPSMVEVVRELETIWQMTPETDSVPLELESVSMDPSRTGTQPSSSSAGGSMMAYQYMSSSDFSGSNLLSGVVRSTNPR >SECCE6Rv1G0404080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:511614405:511615590:-1 gene:SECCE6Rv1G0404080 transcript:SECCE6Rv1G0404080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYMLANLCVVVTGGHRTGTAFKNVHWNACAMAMNEHFNRTDLIGTHITNHTRTWKRKYKQIVHLKSLSGALWDEDNFMIVLDHEHYTNHIKDHKEDEPFLNKPIKHYEEMLVIVGASMATGQYAKGSSDPLGTDVIDLEEPKANKAAAPHEEVAQSPTCGESAAPKLKKAKTNPSAEDKMHATIMASSERLAVAIEKLVSSANPAIDGLWDEMKELSGFDLDSVAHYYAYLVDNPRVATAFKVLGDVQRKVWFSRYVKSTFPEAEA >SECCE5Rv1G0297860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:6789969:6793802:-1 gene:SECCE5Rv1G0297860 transcript:SECCE5Rv1G0297860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSPPLLLVLLVVAAAVAGARLPVDAAGNTTLGELRVKNGLGRTPQMGWNSWNHFYCGISEGVIRETADALINTGLAKLGYKYVNIDDCWAELNRDYQGNMVPNKRTFPSGIKALADYVHAKGLKLGIYSDAGTQTCSKKMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRNVKERYTRMSNAMKKYGKNIFFSLCEWGVENPATWARGMGGNSWRTTGDIADNWDSMTSRADQNDRWASYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLLGCDVRSMSPQTKNIISNTEVIAVNQDRLGVQGKKVQSDGGLEVWAGPLSGNRKAVVLWNRQGHQATITAHWLKVGLPVSAAVTARDLWAHSSFSAQGQLSASVAPHDCKMYILTPK >SECCE4Rv1G0258510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677890886:677892989:-1 gene:SECCE4Rv1G0258510 transcript:SECCE4Rv1G0258510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGVARPTSTVQELAGDLGNPDVPARYVSRGHHENDRQPTVAPVPVIDIGRLFKQDGADAADDEAVKLRQALESWGLFLVTNHGVDTSVMDGMMAASREFFRQPPEEKQRYTNLIGGDRFQLEGYGTDRVSSAEQILDWSDRLYLKVEPEDERNLALWPAHPETFRNLLHEFTTKCRVVKNGLVRAMARLLELDDDYIMDQFGEKADTYARFSYYPECPRPELVSGLKPHSDGSVLTMLMVDDTVGGLQILRDGVWFDVPIVPHTLLINIGDQTEIMSNGIFKSPVHRVVTNAEKERLSLALFYSVDPEKEIEPATQLVDEKRQALYRKVKVKDYIAGLYEHVSQGTMVIDTVKI >SECCE2Rv1G0133570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:897741559:897745160:-1 gene:SECCE2Rv1G0133570 transcript:SECCE2Rv1G0133570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKNQLHLHSLLAILVLFLLVSTVSAANRGSDILDKGRNITDGDTLVSADGSFTLGFFPPGVLAKRYIGIWYSVSEDAICWVANRDRPLTDARGALVISDTGSLFLLDSFGQVVWSSNTTGVASTTLQLHNSGNLVLSDGSANGAFTWQSFDHPSNTLLPSMKIGKNLWTREEWYLTSWASANDPATGKFRYITDGEGVPQNILLDGDKMIYRSGPWNGLRFSGVTEMASYSDKFAYQLTSSSSEVTYSYVSKAETSFSRLLLTDDGVYQRLVWDPTSRSWKVFFQAPRDICDHYGRCGAFGLCNANAPSTSFCNCVKGFSPTSPVQWKMRDTSNGCRRNMVLDCGNGMSSTTDGFVEIDGVKLPDTHNVSVNASITLEECRARCLANCSCLAYAPLDLKGGGAGTGCIIWTEDLMDLRYVDGGQILYLRSTKSELDGPFVSMFPTGIVIGVSVALIIILVLLAFWVIITRRRRRMQRVLVHNGLRVTGGSDSHSPDPASPMTSVPIIDLPTIEEATGNFSDANKVVEEGFSVVYKGQLPGGTVVAVKRLKQSLLTDKGRQHFSREVDVMSTLTHVNLVKLLNYCREGEEWILVYEWMEKKSLNLYVFGEEDGLRSSLSWAQRREIIRGVALGVEFLHGRGFIHRDLKPANILVSDTWVPKIADFATAKLFIDEQTDLTLVQTRGYVAPEYIGEGALTYKCDVYSFGVVLLEIVSGKRRTGNATFLPDAWELWNQRKSGELLDAAVGGEPEGEVLSGLLRCIQIGLLCVQYLPEHRPSMSEVVAMLNTSSQLPRPLKPTPNSGPGPGAQPGPSRTFLPWLFCSRGRDPTSPSMEATV >SECCE2Rv1G0127280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:852059061:852059522:-1 gene:SECCE2Rv1G0127280 transcript:SECCE2Rv1G0127280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLGPLAAQHAGAFAHDLGLGSPAALVAFAVLATVAVAAVAAFGCAKGAKKTRRQDKNNVHYYGQGYPPPPPAGAYGYPAQQPPPGYAYPPPPPVDAGRKQGRMGGGAGLALGAGAGLATGVIVGSALSSGCGGGGGCGGGCGGGCGGGCGG >SECCE5Rv1G0342960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:634199692:634203009:-1 gene:SECCE5Rv1G0342960 transcript:SECCE5Rv1G0342960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTALDAMAGTAWGRWLGLVAAVWVQCISGNNYTFSNYSDSIKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAIGSLEGFLGYGAQWLVVSKTIAPLPYWQMCVCLCLGGNSTTWMNTAVLVTCIRNFRGSRGPVSGVLKGYVGLSTAIFTDVCSALFADDPASFLVMLAVVPAAVCAVAMVFLREGRVASADSGGDEADARGFAAISTLAVAIALYLLAADLTGVGGGGGLVSTVFVAVLMVLLAAPVAVPAYVAWTSWMKTRKAANADAEDAAAAPLLVDAKEATASSEAATQQQGSGEARGGPRLGEEHTIAEALSSLDFWLLFSSFLMGVGTGLAVMNNLGQMGVAMGYTDVSLFVSMTSIWGFFGRLASGTISEHFIKTRALPRPVWNAASQVLMCAGYVVMAFGMPGSLFVGSVVVGVCYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAEATKVPGGGNTCSGAHCYRLVFVVMAAACVVGFGLDVLLSLRTRRVYAKIHQAKRAKRSAAAAQRVS >SECCE2Rv1G0101650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:567786060:567786818:1 gene:SECCE2Rv1G0101650 transcript:SECCE2Rv1G0101650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLHGQQQSIFPHTIPGADSSPGDAVGNFSGNEQSSDDHLGSLSPYTFIRRNTSLFSNQMILPHDSGTAVDGSEPSSPYMSMSSPYMSATALLQKAAEMGAKTSEDPTAPLLLKGFPNYFTTRGHIGISSGILGTPIANSDRKKTAEDNSSYMNSLWTGSCMRPPNAAPWIGLPPFSMGAEDNMVDEDHMQQNAHESILGARDVGLTQDFLGLAGNGNAGMRDDTYNGEMALSYSNEQQKSEQDIYSYHQ >SECCEUnv1G0545070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:135312619:135315274:1 gene:SECCEUnv1G0545070 transcript:SECCEUnv1G0545070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRGRGVSVDALTSRIESKICYHRDAAVEYLDIKTMVDKVLEEKNKLLAENTEFLNTIDKVVEEKEKLQHDHEVINELVAPMAQQLEKVKKELEEEKYVHVAAKEELAVAKEQLAQKNKELKVLRKKLQESEAMHTQHEQQNGSASEPARCKMVTRLSHKRAILLQGSSDNDADRHRCKKQRSHPQVPNNPTAGQHSGRDDDQEVVRQKLIKGFSKIDAGQSIGIKKMGKLNEKPFRDACAVKLAPKYAGAKSSELYTLWQELLDSRNWNPFKSVIVDGNHQEVIDVDDDKLQGLKMAWGEGPYNAVISALVERKEYNTAGTGDAFDLWNYKEGRKATLGECVDCMIDNVKKLKLFHLTYRSRRTMCTATASMHDPVKDLSAPSNE >SECCE4Rv1G0279000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811685045:811687223:-1 gene:SECCE4Rv1G0279000 transcript:SECCE4Rv1G0279000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAHAGWPTGLGRICWDKTTTTPNGPIHPAPPTTPLPRARASPQEKPRSRPMEPPPPPPAAKCPTTVLDLDGDLLREVFLRLPGLPSLVRAALSCRTFLSAVRSSPAFRRSFRALHPSPFVGLFVQRLGFKPEASSFHAHHTRPDPDFEAAARGGDFSLTALPLPDADGDEENDEEEEGDEEEYEEDDDDEEEDADASPVWEIERCCDGYVVVFNRRAKQIAAYNPLTRALHLYHPPPRLFSDATNFEFHIISSQEDPGVPPRVVCFDFDLFGMKAVVHIISPDSSIKSKRRKFPGTGSGVTGKMVNGSVYWTHVGKPYITMLDTKTLKFTKMDLPPLLADQVGPECAFVFGSTKDGRPCIVSPDLWGDCSLDVFFWRREDEYYDDGNNYWMLDHTFPLKTIRQFVKFSEGDDEFIIVRLMDVIDGIVYLRTEYDGCTEAPQLLLSFCLETAELKMICEDYHKPVRPYIMAWPPSLVRNDEGPCSKDQALSTASSEVSDVKAGGGQTLPASVGKA >SECCE2Rv1G0069920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31824022:31825791:1 gene:SECCE2Rv1G0069920 transcript:SECCE2Rv1G0069920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGASSCLVGPIPFKDVVSGGDDHHHIVSPPEEYADIISAMPTTTIGAGYLMRQCQGAWLPHQRVPGLLTFQRRFTPRPGDVLLASPPKCGTTWLKALSFATMARAAYPPGDDGHPLLRLNPHDCVPLVDDLFSAGQEAKLDALPSPRLMNTHLHHSLLPPSIAQNPGCKIVYVCREPKDMLISVWHFVKNFATANGSMYRFSDLFENACEGKHVNGPIWDHILGYWRASKASPERVLFLRYEEMLRNPVGKVRELARFLGVPFSLSEEAAGLPANIVKLCSIETLRGVDKAGASGIFVKFPHASFFRKGVAGDWVNHMTPEMAKRFDGIVEEKLHGSGLSFKSCIS >SECCE7Rv1G0507860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:766101038:766102449:1 gene:SECCE7Rv1G0507860 transcript:SECCE7Rv1G0507860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDVATDVYPIHTGEKFTVGLAPTLNPESGWNSRHWLLYRVLSFFQAGRNTLVNKYDYIMQGKLYKNSEDSSSGQATRVEIYGSFGGLLMLVKGDPSSAANLELDQRPFLLIRKVYK >SECCE6Rv1G0411920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611514924:611516222:1 gene:SECCE6Rv1G0411920 transcript:SECCE6Rv1G0411920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPAAPAPNDVTLLTVLSACAGAPSSPLARPLALSLHALAIKLFPGHLLLCTCLARFYLASRLPHHALQLFGSMPVRSVVTYNTMITGLMRNGLVAAACEVFDGMPEPDKVSWTALIDGCVKNGRHDEAIDCFHAMLLDGVETDYVTVVAAISACAVVGALGLGMWVHRFVTRQRLEGNIRIANALIDMYARCGKVEFARQVFDSMRKRTVVSWNSMIVGFAANGRCTEAIEHFEAMRRKGFKPDAVTFTGLLTACSHAGLTDEGLRYFDAMRAEHGIAPRMEHYGCVVDLLGRAGRLDEAMSMVASMPMRPNEVVLGALLAGCRMHGDVDMAEQLMQYLLEQDPGGDSNYVLLSNIYAAVGKWDGAGKVRSLMKSRGVKKRPGRSAVEIDGDVHEFVCGDRSHPQAAEVLDMLGLLSHEMAGHEAVSYE >SECCE3Rv1G0157630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:83014227:83015955:1 gene:SECCE3Rv1G0157630 transcript:SECCE3Rv1G0157630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLLLAALSSVLLLLLALYLLGGKRRRRSYPPVAGSMLQQLLNWGRLPEYMTELSRRYGTFRMLTLTCSSWVYTVDPANVEYILRTNFANYGKGPMTHGVLEDLLGDGIFNVDGAMWRHQRKVASFEFTTRALRDYSSGVFRDMAAELAGIVAAAAAAGERLDMENLFMRSTMDSIFAVGFGVNLGALSGSNKKGAAFAKAFDDASEQVLYRFLDPLWKAKRLLGVLSEAAMKRSVRTINDFVYAVIDKKIKQMGTDGHEFDKKGDILSRFLLERENDPGCFDNKYLRDIILNFVIAGRDTTAGTLSWFLYVLCRDQRIQDKVAREVREATTGDRQDAGGVREFTARLTEDAIGSMHYLHAALTETLRLYPAVPVDVKCCFSDDTLPDGMKFLWGDDAEEFRPERWLDDNGVFVPESPYKFTAFQAGPRICLGKEFAYRQMKIFAATLLYLFRFEMWQHDSTVGYRPMLTLKMDGPLYVRASPRRSTGN >SECCE5Rv1G0343870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:641712173:641714872:-1 gene:SECCE5Rv1G0343870 transcript:SECCE5Rv1G0343870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASCPPPPSVLLPVRTTAAGARLTSAHGTRAGHAVATTGGAAPARPPLPMGMARALLPQRAPLLRRLLMAGALAASCTCFLLVLQAQASVPPRYDGFAYGVGAAAWKDAVLVEAFLDPLCPDSRDAWDPLQLAVEHYSPMVSLIVHPFPLPYHTYSYHACRALHIANKLNSSSTYPLLELFFKNQAKFSNRATSSISSTAVTGEISKMAAQAVGNSVSEFQSGFSDMRSDMAARVSFKYGCTRGVAGAPFFFVNGFLQPGGGSPIDYTTWTSILEPLVAHHGQTIEMLTSA >SECCE4Rv1G0221130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:46151741:46153012:-1 gene:SECCE4Rv1G0221130 transcript:SECCE4Rv1G0221130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAHWSSLPHDLVRRIADCFLATNDVDCYMDLRAVCGSWRSATDDPKDGPDDPRFRPRQWVVLDDDVFQREDTRLLVNTHTGRFLRKELPLLGRHRGYSYVATAPGGFFVLADYDDYNAARVLNPFTGHMIHFRATVPSDTVDAAAVIGSAPTLVLLCDSDRKQYMAGPDSRSFAVYQEEDAYPLIRLAVTGGMYADGEQRPVPPLPVAVADKIFDMMRLFSVDPSEMFWSDVIEHVADDAHALEIEHADNNRCYLVQSLGEVFVVFKLQHGMEVFRMDDSGRGVLEPVKSIGRCAIFVGCCRCLAVDADKFPSVEANCIYHLVGMNPYGDFYFHDVYKYDLEGRTEEMVSEAISWSDPITPRDADPPFTAVQLLSSYTINVRGSELVIPETCRVDIDQLVEEFFSTEDKEFLARFPLQLL >SECCE5Rv1G0297370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:1573955:1574629:1 gene:SECCE5Rv1G0297370 transcript:SECCE5Rv1G0297370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFILALLLAVAATDAATITVVNKCSYTVWPAAIPVGGGTKLEPGQLSTIHPPAGTNSGRIWARTGCKFDASGKGSCTTGDCGGVLACRAGGKPPASLAEYTLGTGSNADFYDISLVDGFNVPMSFGPVGGSCRAVSCAADINAKCPSDLKVEGGCMSACGKFGTPQYCCPAPSTPATCGPTSYSRFFKGLCPDAYSYAYDDKSSTFTCAAGTNYKVTFCP >SECCE3Rv1G0205540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900607237:900608202:-1 gene:SECCE3Rv1G0205540 transcript:SECCE3Rv1G0205540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARCSLLVTAALLLALSHGSHGHGGAGAGLSSSFYDESCPGAGDIVRRVIQKARVTDARIPASLIRLHFHDCFVQGCDGSLLLDNDLPAIMTEKEVPANDRSARGFKVVDSIKRALENACPGIVSCADILALASEISVELAGGPRWSVPLGRRDGTTTNIESANNLPSPFDPLETLQEKFKNLGLDDTDLFTQRNCSAGQDEETLVNLDAVTADVFDNKYYSNLLRGRAPLPSDQVMLSDPVASATTARIVRRFSGSQKDFFKNFAASMVKMGNISPLTGRAGEIRNNCRRVNRKPY >SECCE3Rv1G0150240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31378787:31379423:1 gene:SECCE3Rv1G0150240 transcript:SECCE3Rv1G0150240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKRCIVPSILLMLSLHSASLLVAGRPSVDDDEVGAILLPSQGQVADEAAMAAPKRPWKCCDRARCTRSIPPICTCVDEAFECASTCKACVPSTRNPSLQVCQDQYVGDPGPICRPWECCDSAACTKTDPPTCRCGDEVEQCAPTCKTCEPSTSDQSLHVCRDAYTGAIPPTCTPPEAVAAGGN >SECCE1Rv1G0018150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:179544075:179550218:-1 gene:SECCE1Rv1G0018150 transcript:SECCE1Rv1G0018150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSASLGEEEGGGGPRLRSLLGEKRRMRGRTASLEPASTARPPQTYGKWHPDESYRPEIDDAPVFTPTEEEFKDAIGYITSIRPQVEKYGICRIVPPSSWRPPCPLKEKSFWNCTEFNTRVQQVDKLQNREPIKKETQPRVQKKRKRRKKLRFGMSQKRRSADSADPDEKFGFQSGSDFTLEEFQKYADMFKEQYFGMKGSDEISLFQIKQHKEMWRPSVEEIEGEYWRIVVCPDDEVEVDYGADLDTAIFSSGFPKSSLSDAKKQDPYGLSCWNLNNLRRQPRSVLSFETEDISGVVVPWLYVGMCFSSFCWHVEDHFLYSLNYMHFGEQKVWYGVPGENAVKLEDAMRRNLPRLFEEQPDLLHELVTQLSPSVLKSEGIPVYRVVQNPGEFVLTLPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQCAVELYRDQRRKTSISHDKLLLKTVQAALREVWANLHNCKSGQKEYIWLDTCGKNGTLTSAFKTRIKMEGAAREANALLQCKKMDQDYDSTDRECFSCFYDLHLSAVSCQCSPNRFACLNHANLLCSCEKDRKFVLHRYSMEELNALVAALEGGPATVYQWKESDVGLVSQSVSTQQKMDFSKSTKLSGSVIDVNIDCGFDGYEDLDKQAGYLEEEEVQGCVNLNIEGPSSSPRIKEELVRSSRRSNTTCFSSSTFSALGKIDKGKMAMELGSLQTSNPTISSFQCTQSLSHSSELPCPFRISTGSTLPSKTTKELFGIDTEYCVAKASRAQVSQLGKPSSSQSNEVSWPAKLRHQVEQLDHGTVIVGKNWCNHQAIFPKGFRSRATFHSVLDPARICCYISEVIDAGLGGPLFRVAVEEFPEVSFTHTTPMQCWDSVRDRVNEEIKKQRRAGKSGVPALLSNNSVNGLEMFGFFSPPIIEVIEALDPDHKCLDYWLSKHTPPLRRLLSESWMTTTVDGTNNPPIRLLGVDIASNESERSSFHNYSCAEEAKLSRLLKKAKCPEEQELIVMNKAICSRVYNSASLQDEIKNYVDK >SECCE5Rv1G0299540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:18658106:18658840:-1 gene:SECCE5Rv1G0299540 transcript:SECCE5Rv1G0299540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSEEDRQSPRSRQLQLQGPRPPRLSVSKDSHKIRKPPVVPLPYGARQQAPNNRHQQAQPQQPRAPVIIYDASPKVIHTQPGEFLALVQRLTGPGAPAQYAAAEPSAMPPQFQPQESLFSPAARYAAIERSVRPLPPGPAPYAGSWLDLDGFAEVLGPGRPGILSPVPSALPLAASAGLFSPLPFDTGSLSWLNDLSPFLASAGARDAPPFASSPGGLLLATPTMPSPGMMMRFFSDFPDLQ >SECCE2Rv1G0072020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:48605513:48607171:-1 gene:SECCE2Rv1G0072020 transcript:SECCE2Rv1G0072020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALADLVPYPAEWPRAAMAALAAAVFAALDVVDVLLCLVYGVLDGFLEESPVGCYCHRSRGKAPAAAGEEEEADGVSDTLYVRRSACRDALLRLLGAVGGGARRKEDASPAKARSPRWSDCGCGKCGAWRSTGGDRLHFVLQEPTAPNKGAEETRSESDRGDDVIFIHGFTSSSSFWAQTVFPEPAAASHRRLIAVDLLGFGDSPKPASCAYTLRDHVEAIERSLIDPLHLGSFHLVSHSMGCTIAIALAARHPERVKSITLVAPPYFLPCGERASQVALRRLAEKKLWPPLLFGSAVMSWYEHIGRTICFVVCKNHRFWEWLIKILTRTSGVDIVVRDLTRHTHHSAWHTMHNVICGGARAQDRNLEALTAAGVPVRVVHGDADQVVPVECSSRHLKSKLPHAELRIVAGRDHRTAVFGREEELAQELRAFWSSCEKAGSAG >SECCEUnv1G0549370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:202899712:202900023:1 gene:SECCEUnv1G0549370 transcript:SECCEUnv1G0549370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSLIQREKKRQKLEQKYHLIRQSLKKKIRSKVSPLSLSEKTKMREKLQSLPRNSAPTRLHRRCFLTGRPRANYRHFGLSGHVLREMVYECLLPGATRSSW >SECCEUnv1G0550160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:213783279:213783524:-1 gene:SECCEUnv1G0550160 transcript:SECCEUnv1G0550160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTPADVPHSWAVPSSGVKCDAVPGRSNLTSISVQREGVYYGQYSEIRGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN >SECCE7Rv1G0507490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:762576556:762577305:-1 gene:SECCE7Rv1G0507490 transcript:SECCE7Rv1G0507490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAGGSHVYPALAYPPGATVSAAPGITPAGSRPTPPFPANPSLRSAQNQLMYEQSQQYQQQLQQQHQRRLQQFWAEQRSEIEQATIIKKHPVQLKRIRKIMKADEGVHMISAEAPVVFAKACEMLTLEMTMRSWMVAEENKRRILKKSDVADAVARTDVYDFLADIIRMDEMEGEGVGLRRAWPPPPLGAPAGAYPCYHPPQLQVPGAAMVWGGQQVPQGHLPHVWIDLQEQRHKGYHAEDQQSESG >SECCE4Rv1G0218730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:24024039:24024650:-1 gene:SECCE4Rv1G0218730 transcript:SECCE4Rv1G0218730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQRRHRAGAPKPSWLVTIKATPPAPARGDAAKKLAAPARSPILPSPSVWQKGMSVGDRGDTGVAPASPRIGCMGQVKGARRCSRARGPISSRGPAASGLPCGSLAGLLMGLFRRRSTGRKSRAYSKVRDVASDSSSSGSARASSSSGSAPGARAPRPLELAPPLPVPAPVVRRPAMSENAPSLWERRRGGAKVLEGLQLT >SECCE6Rv1G0392530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:263648518:263660667:-1 gene:SECCE6Rv1G0392530 transcript:SECCE6Rv1G0392530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGSKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIENSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEENVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRIEFLHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAVTKKQKYDKISEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLSDREGYQFDHVFDWTLLKCKQTHKVKAQQQDPGVSSRPVAKNMDKHQAEASCQLEAEKRPVIRMQIRSTAENSRSNNQHSDKLRVSASTDNELLQSTSFGHAGAPRKSVSISKTPWLVDPNSGPSNHL >SECCEUnv1G0570070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:458330437:458331030:-1 gene:SECCEUnv1G0570070 transcript:SECCEUnv1G0570070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTAFLSIAPKISISANMSRVSIVASYGGTLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIVIFIYASMTIDAFAIVPALRQTRVKYIADLGTLAKTNPISAMTFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRLP >SECCE3Rv1G0211430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:950298504:950299245:-1 gene:SECCE3Rv1G0211430 transcript:SECCE3Rv1G0211430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATSLAIRLSALAVVLVVLCPTASAVPLGKRYRVGGPEGWRVPPPQDKEMFYVKWAAPITFFVEDSIEFVYKNDSVIKVSKAGYYHCNETAGIGTGAVPRDGSTLFLLDAPGFAYFASADLGHCNDGERLIINVRAAEPPAPASASSPAQPPTPTAPSSSRPPTSSSFSPAPGPDPSMEYSSAAGGPFVAFLARAMAQAATLVLACFI >SECCE2Rv1G0065380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:8377162:8377488:-1 gene:SECCE2Rv1G0065380 transcript:SECCE2Rv1G0065380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNTKQEQQQPAPKPEFANGEKANAVVDHKNLAEATATDAKAVLEKKATVCSGWKEHVAGRLEQLTDMKWADSVVEKARNNKEAVAIAIAAFSLGFFISHKLFRK >SECCE2Rv1G0127620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:855250895:855253276:1 gene:SECCE2Rv1G0127620 transcript:SECCE2Rv1G0127620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAPPPEQKMMVAIDESECSHYALEWALRNLAPRRLILFTVQPFSPLSYLPVGSPLGPSVASPELIRSVTEHQRQLAQALVDKAKAICAEHGVDAETVIEVGDPKETICEAAEKLNVDLLILGSHSRGPVQRFFLGSVSNYCSHHAKCPVLVVKKKE >SECCE2Rv1G0072400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:55205966:55208352:-1 gene:SECCE2Rv1G0072400 transcript:SECCE2Rv1G0072400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAADQNPKDAGNRVPEPWAGADGEIAEEEYEYEEEEELDERAAAALEREKVQSVFRRLSSDPVGIRVHNVIIRGNAKTREELIEAEVADLLRSATTVQDLLSAAADASARLRGLDVFEAVNITLDAGPPELPGTTNVVIEVVETAIPISGNAGVFSKPEAKAWSLEGAVKWKNLAGYADIWDASVAYGWDQTTEVGVGVSLPRFKSIPTPLMARASLLSQDWMKFSSYKERLLGLSFGLLSTRHHDLSYNLTWRTLTDPSRLASTSIRRQLGHNLLSALKYTYKIDQRDSHIRPTKGYAFLSSSQVGGLWDNKGLNFFRQEFDVRAAVPFGFWNAALNVGVGAGVVLPLARGFMNSSTHVTDRFNLGGHSSPVCSLGGISSLLGFRTRGVGPTEARRLVPGESEDGSAAVPGRDYLGGDLAVSAFADLSFDLPLKVFRDAGIHGHAFLTAGNLVKLSEGQYKNFSLDEFRRSFRSSAGVGIILPTKLFRVEVNYCYILKQSQHDSGKTGIQLSFSSPS >SECCE3Rv1G0157730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:83627473:83629180:1 gene:SECCE3Rv1G0157730 transcript:SECCE3Rv1G0157730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMPEVRRPKLSDAGGGEDRLSALHDDTLIHILLKLRCPVAAARTSVLSRRWRHLWALVSKLHFYSTTDPRRVRSALAAHEAPVLQELVVVRQDASAGPMGAWLPIAACRLSGLLVCHYLSQRDMARRRAALKLPCFESATGIFLRLGFLRLTLPLAGVFARLSVLYLFKIRLHGVCGLGGIVSSSRCPCLGRLFVDDVRGVGGLAIHSESLGQIELYNLPDMQQLTVVAPSLQQLKVQDCFAPVARQPVASISTPHLLQLAWIDDYDQNSVKLGEMAHLERLVVQEFMVYGKDYIASHNRNCALLLRRFERLHNLVITLHCPPDIANKKYLMEDITRVPDVKLLGLGITACGHSFGASLFHVLRMCTAIRSLNLGLIIAPEEEAKTVCPSDCICDQPLSWKTRELVLNCLEEVEIFDLKGTEHEINSMNRLFSWAPVLKRMTVHFHYSINENKAEELCQVLLTFSRPEICMICRMPDMSEKILYA >SECCE6Rv1G0377650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:2820264:2821148:1 gene:SECCE6Rv1G0377650 transcript:SECCE6Rv1G0377650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQGKQSREAHGNNDLSVTKDYLDPPAVRLFDAGELGQWSLYRAIIAEFTASLLFVYVSVATVIGHKRQTDAEACSGAGVLGIAWAFGGMIAVLVYCTAGISGGHVNPAVTFGLLLARKLSLPRAFLYTSAQCLGAICGAAMVRAVHGARYYELYGGGANEVAPGYSKAGGLLAEAAGTFVLVYTVFSATDPKRMARDTHVPVLAPLLIGFAVVVAHLATIPVTGTGINPARSLGAAVVYNNSKAWSEQWIFWVGPFSGAAVAMAYHQYILRGGAASKPHFNFDNGFRRLGC >SECCE7Rv1G0495000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:593395081:593397042:1 gene:SECCE7Rv1G0495000 transcript:SECCE7Rv1G0495000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHWRLILFTIVVFLPQVFSQLPNICGTKANGRYACPDCSTSTAATSNRSAGFEANLLRLQASLQHMAATDASFLNSTFTTGASDAADMVFGLAMCFADAERSDCSACLAGAAAELPGTRCAGSRDIVLWYGHCLVRYDDIPFFGTADTSPARRFDVPNPHNFSDPVSLGAARQRLAGRMLPAAAASALRFAFDAEEVTPIMTLHGLAHCTEDLPPEECSRCLVSHMVWLAGCCADMDGVRLNGPSCYLRYEFMAFIPSMPPSMAPLLPPPASEPGTPSGRKKARTYILAGTLGALALLCLFLLGAFLYYKKKFHGSLPPVPWKRDTPTIESFLRQQHPRRYSYSQVKQMTKSFSHKLGHGGNGVVYKGSLPDGREIAVKMLKDNRDIDGEDFMTEVASISRTSHVNVVTLLGFCLQGRSKRGLIYELMPNGSLERYTSGRGTEHNSLGWETLFDIAVGIARGLEYLHRGCNAHIVHFDIKPHNILLDRDLRPKISDFGLAKLCSQKESTIAVSIAGARGTVGYIAPEVFSRGVMAVTSKSDVYSYGMMVLEISGARRNIDDGDFAGSATSSSSSNKYFPQCLYEGLDQFCANACAIHDGEATELVRKMVVVGLWCVQISPSDRPSMTRVLEMLEKSAEELQLPPHTPRGP >SECCE1Rv1G0053030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:666579221:666580483:1 gene:SECCE1Rv1G0053030 transcript:SECCE1Rv1G0053030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVGRSPPPCRVDLSRRKVSSYQMPTVDSLTDDLLVEILSRLPVKSLRRCMCVSRTWQGIISHPHNQRQLPQTLDGFFYVPESEPAPAPSFTNVTGTGRPLVSPLSDFLPQHQSISLLSCCNGLLLCRCWEGQDGFHYVVCNPATEEWARLPDSVNAGTECMARLAFDPAVSDHFHVFEFSEDHDISHAGMEVYSSKTGGWVHKENGWIDTEDDEAFVSLIGHHSGDVFLNGCLHFLTMDDDIAVVDTEGKTWRKIPVPELGTVGLIQKSQGCLHFVNFLETDDGMVQLVVYVLENYRSQEWILKHSTDASYIFGEREIDLIQGFQWVAMHPDCNMIFLTVGWDNTLVSYDMDHHQVQEICDLGGDDDPLYLPYVPLYSELQTLHMRHKN >SECCE7Rv1G0496370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:611773088:611777585:1 gene:SECCE7Rv1G0496370 transcript:SECCE7Rv1G0496370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEPPAALLQKCGVGGEQPGRGTPNRPREEDSAAPAPLRRELAAARARRRAGRATPSPSWKLEASPPRPEEPPADATAAGRRSSSGASARQLGATLWEIQDVIRAAGAGRRIRRRARRGAAASPRRDGDDDDDVDRPHISGGCGRDLSAPLMEHEKLHEDRCHSRQSLSPASYTSSIGAATINLVSPTRSLNFRDRYRDAGCNLKTSTELLKVLNRIWSLEEQHAVDVSAVKGLKSELQNTQARVQELTQERQRYHYEIESLARQVNEDKMARKNKEQEKLRATLCSLQEELEAERHLRKHSENLHRKLGKELSAMKPAFLKAVKDLEKEQKATRLLEDLCDEFALGIRKYEEEVRVLKQRHVKEYEHKTDKLVVHISEAWLDERIQMQNADARGDSEGKTSITERLSGEIQSFLHGRRSSNFYGVNKHTGNEKGDTSLCRQSLESLHLNGATSAPRLAEDDENSVASDLHCFELNMHGDAVQTHDLAGTRRTVADCMYSPMRRLEFSDNLSVEGSRISTARPRSEKGKAKPSSSKAQLHTLTPEISSRNCDKIDPIDEQNETVMTQVSRRLHDDLLKIKSEAPQHAFLERKHDHHPRTYQFRESASSSDLLHNLHSPARQLKNHQRSSLDYQISECATAGDLRGLRSPSWQLKNHQRASLDYQMSECATTGDLHNLRSPSRQLKNHHRSSLDHEISEASPARSLGSKDNTLKAKLLQARLEGQHARIRASGYPLISTRRK >SECCE4Rv1G0230950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:174440246:174442663:-1 gene:SECCE4Rv1G0230950 transcript:SECCE4Rv1G0230950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPCAHEQVTADDLVQLGPALYECMAHLIEGSVEKTDHSLMKISKLASVVDGPLQRLSRMIADSLARRLMCPAQGIAAALIDPSRYFEQSCLRAAYENFADISPYLSTGFVTINQAMLEQVQDQKVVRIVDLSCSTTHPWQWLKILHDFHGRPGGPPELRLTVVHEDSEFLNKMQAFLCKEAAKLKILFHFDKVIGKLETLDFSNLREILKINFGEAVVISCALQMHRLLAVDDSISRDCIAQLQQMANMAWLKQMACSACSPASTLNYPQTPSPQRQIPSLLVSFLYAIRALEPKIIVMMEQDADHNSPLLHDRFTETVHYYAALFDSLDAVGAAIPQRVRVETMLLGEEIKNILVCEGVHRHERHERLSQWEMHMQRCEVDHVPLSFEAIREGKERLMSYGLKQCKCDEDNASLLLCWGATRLYSISAWRPHSWDAAPNNVAG >SECCE4Rv1G0267570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736590342:736592879:1 gene:SECCE4Rv1G0267570 transcript:SECCE4Rv1G0267570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGCPDKCGNVSIPYPFGTGKGCFQEPFNVTCHESRAYLASTGVRVLDINLTSGEVRVQNPKIASQCNYTNGTNSTHFSGLTLDPFHKVSNTKNHLVSIGCSMLGMILGVTKGKNQLELPIVNSCFSYCTDESNVDDSTECAGMGCCQTPFPGNISSFNTSCTPIPPIYNATIQSFSPCSYSFIAEVDSFKFDRSYVSSTNFSSKYAEGFPLVLDWVVGNGSCSEDTKMGSQYACQAMNSQCINVSNGPGYRCNCSQGYAGNPYLQGGCRDINECEPPNQSLYPCKGNCRNTDGSYTCSCPSGFRSDDPKSIPCVRADPNKAMKVALGASAGVVFLMVCMFALRAEYQKRKLAKEKERFFDQNGGQILYHQIMSKQVDTLKIFTQEDLKKATNDFDESREGILKDNREVAVKRSKIMNVAETDEFVREIIILSQTNHRNVVRLLGCCLEVEVPMLVYEFIPNGTLFQFIHRNYRSPPPSLDTRLRVAQESAEALAYLHLSMNRPIVHGDVKSMNILLDENYMAKVTDFGASRMLPKDNVQFMTLVQGTMGYLDPEYLQERKLTEKSDVYSFGIVLLELITGKTAIYHDGPEEVKNLASSFLLAMKDGSLDGILDASIASTGTEMLLGEVAEMASMCLSTRGKERPSMTQVADMLKALRSTWREKLVLNHGKSERLVIGSSPATLMLRVPPQSSMFSTGAQISEVGIETPR >SECCE5Rv1G0340070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611981007:611981540:1 gene:SECCE5Rv1G0340070 transcript:SECCE5Rv1G0340070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDSEAPASSVAYGGSGGAAAPAGTRADSPFETNVVIILAALFFGLLLIVALNSLARCALRYVGRGAAAAAGEGRASAREACSGSGIKRRVLRSLPVEVYGSGEDIDDVCAICLSEFADGEKVRVLPLCGHGFHVRCVDAWLVSHGSCPTCRRPVIEGVPAKAAETNTTITVVIV >SECCE6Rv1G0429470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736081514:736082825:1 gene:SECCE6Rv1G0429470 transcript:SECCE6Rv1G0429470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGVASSMLAVALVLASLAAFPTECSAHRAAANPDGHAKGVHSIGVCNGVIGNNLPAPSDVVKLYKSKGINAMRIYAPETNVLKALSGTGIGLLMDVGNSALPGLANDPSAAAAWVKANVQPYPGVSFRYIAVGNEVMDSDGQKTILPAMKNLQGALAAAGLGSRVKVSTSVRFDVVTDTFPPSNGVFADLDYMGPILDFLASTGAPLLANVYPYFAYKGDPQNIKLNYATFAPGTTVNDDGNGLTYTNLFDAMVDSIHAALEDAETPGVKVVVSESGWPSAGGFGATAQNARAYNQGLINHVGGGTPKRPGPLETYMFAMFNENQKTGEPTENHFGLFNPDKSPAYSISF >SECCE4Rv1G0234170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:268827032:268827909:1 gene:SECCE4Rv1G0234170 transcript:SECCE4Rv1G0234170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKTAKFQKNITKRGSVPETTVKKGNDYPVGPIVLGFFIFVVIGSSLFQIIRTASSGGMA >SECCE3Rv1G0148350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19028646:19029341:1 gene:SECCE3Rv1G0148350 transcript:SECCE3Rv1G0148350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSCWLLVFVWAVWWLPLMLAWAEERQQGEGCSAKRCGNLRISDPFWLADKEAGGSCGSFDFVVGCREDNIPVLRSSVHFGFDIKDILYEQRTLCVVDVHKEKDLNVSDGCHVPGWNTSSKLAPPFKVHPSNLNLIFYNCTAARRGMALAEMRCLNATNAFVRAGVPSDVTGTYAAYALDGCDATVVPVMGSSSGSANTSNYEQLIKDGFLLTWDPPPLGKSTHQMTF >SECCE7Rv1G0494480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:584383110:584387330:1 gene:SECCE7Rv1G0494480 transcript:SECCE7Rv1G0494480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVHGFASGTSDGTANRKIKRLFNSSERKNPTNFQFERHVARLESRQQQQPRRCIFTTILPIEFFHTSSEPTSPEDSLGPSSSSPSIIFLHFSVADEPLRKYPANQRLLAERSTSSNSMSNSGFSDNTSTKTRVDARHTTRRKSKKKNKKQKKHFRKPTDGSEITCAESNGSTPSVDMVDSCEGSTLSPKHVGDILFEETFSPSSSVKEASEKAPDSENDNEYNGCSVASVSSASYSDETELSRPTTSGLELFGQCNSSDCRCLDGTPNCELTDSSQELHYGSRSGNCSDMTKTLFRNDCGHDPCETAEFCSSSDGVDDSWLENSNCSSSFCSENGSRGSDFHLVISRKRARKERKMSMWKSFNGEHASAVTHGPNEKYTGRSSRQMIKELNTKEWSHRPDHVGSIQPQHGVALKRPIKNFIHRRSNEVPLKDFESGASHNHFTSPKENINSKSNGDFDKEQNIDLNKRLPNAVYCRESSSCEMLSNSASEPTTSESAQDNCTSESGESTDHIVGDFPMQKTGLQGSFQANDVTGTGSGPPPPDPKSTRTDLAIGCGVTPSVEGNHRLGKFCSSEMHLIEMIKVVNDAFEVQVATDAYMSAGYPITDLETFIYSATPVIGHVPCVKSRNWSQDQVIRNSAYAQYMSNINLRNIWEWYEEPGCYGLEVRDLNDLSSMASQHKSSEFCAYFVPYLSAIQLFGWSTKNMDNGFDVQEGGLLGASNTTSVLSSQPVPAKLHKPFEQSNTSFSESSSSGHAHGELIFEYFETEQPSFRPPLFEKIKELSCLNVSGDSDKLQNLKLRDLHPASWYCVAWYPVYRVPHGNFRASFLTYHSLGKLVPQICSPDFSGGQDTRIVCPVVGLHSYNDKGEQWFELRCQDSSKPSGAEVVEERLRTLKRGAFAMARAAIPRGSEESSANHHPDYEFFLSRST >SECCE2Rv1G0078000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:103427106:103427975:1 gene:SECCE2Rv1G0078000 transcript:SECCE2Rv1G0078000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVLQLRSADGKVLVAPAWDYRPVAAQARPLELRVPSRALERVLQYWTKHSLAKATGESRESLARWDADFQRRLEEDGLAKEAGAAAQELRRHGVHHGGRPRRHAATGASDVAAPATATRADPVRAWCQLLHHLKGVNHDEPSPAPTASIAFPVPTAAAGTEPVGVRCAIRARGRQMAEDEESDCHHRKHPASKESKASKAAAPVKKVSRPVASKVTPAVVSRPITPLPVTAVAPVVKKMTPAFSTLRARRGMRELSCKVPKQNRVTAAAPKKQPIPWLRPVVLRLC >SECCE4Rv1G0257800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:673004455:673005323:1 gene:SECCE4Rv1G0257800 transcript:SECCE4Rv1G0257800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRMWQGGGAGTDQAAAGTRRLSFCLYAAGHGAAHAVGTGNSGNKQRSGVMDGWALDSNAREAKERLDQKLKSKSNGPDTVIKRHHSTGSIKLSRANGSGGGGGSSAAVATGVQREVYSKKGVMRRLMRWSRLRWEAAEQAECAVCLDEFAAGDVLAHLPCGHRFHWGCALPWLEGAAAASHSCPFCRAAVDAGAGAGAHAAS >SECCE5Rv1G0307950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122570465:122574161:1 gene:SECCE5Rv1G0307950 transcript:SECCE5Rv1G0307950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWAWVAAVTWMAACAAAAAHGEQPLSRIAVEKTTLAVDDAAHVKASPAVLGLEGQDSGWVEVEFFHPDPSGDDWIGVFSPANFSAAICEPENKRQYPPVLCTAPIKYQFAKFKNDGYGKSGKGYLKLQLINQREDFSFALFSGGLLKPKLIAVSNKVTFVNPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAVPFVEWGEKGGRRFLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSMYTYRLGHRSPNGTRVWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEYNNFQPGSLNTTNQIIRDLDNIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEHCLSSVDRQKQPWLIFLAHRVLGYSSNSYYGFEGTFEEPMGREALQELWQKYKVDLAFYGHVHNYERTCPVYQSQCVVNASNHYSGPFQATTHVVVGGAGASLSDFTTSKIQWSHFRDFDHGFGKLTAFNHSSLLFEYKKSRDGNVYDHFTISRDYRDVLACSVDNCPRTSLAS >SECCE2Rv1G0082920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:158386071:158386433:-1 gene:SECCE2Rv1G0082920 transcript:SECCE2Rv1G0082920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLHEYDIFWTFLIIASLIPILAFSISGLLAPVSEGPEKLSSYESGIEPMGGAWVQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEALIFVLILVVGLVYAWRKGALEWS >SECCE6Rv1G0381120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:29842055:29844102:1 gene:SECCE6Rv1G0381120 transcript:SECCE6Rv1G0381120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARGGGGGGGEFKNYADIEEHFNNMALEGMSAQDRMDCIVHQITSFLPPPLVPAPEADDSDDDHFSLTSSDSEDDAAVSPAAQGGDGQDHTGRLPRSLLSNIISRLPTKEAARAMVLSARWRGAWAATPLLLDDAHFRTDDARRMFDAVRAVSRCVAAHPGPVRAVRVTRTSFYHQEYALQRLLAGLAAKNIQDLILFNRPWPLNLPLPKEILSCASLTRLYIGVWHFPDITPARRPAFPNLHELGLFHSIIEDKKFEALLAHCPKLEILSFAIAYNCPARPRIKSRSLRVVSEWICSFDKIIIEDAPCLERLLFESVAFSERRRPIKIVHASRLEVLGVLDLQLHTLEIGGTVIRAGMTMKDGAMLPCLKILAVKVRFSHDKEVKMLHTLLRCFPRLETLHIMSIPSTSPDSGDCAETWNSLGSADCLSHLKKFVLHGFQGLDREQLFVSYILEKGIKTLGIVYGDSDDVLVKGGLVQGSVGEGNAQSGGSSSSDSDDVVMEADPISGSGNAPSAGNSGSGIYVCPAFPCWTLQHAIDLSVEDPFCVLRHDKACIASFADAMRLCASLGC >SECCE5Rv1G0372510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853169809:853171803:-1 gene:SECCE5Rv1G0372510 transcript:SECCE5Rv1G0372510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSFADADADADEDPAPAVDARSDKRKRDGSPAPDDGADGGGPAPKARRLEAAGGEREERAVAGRGREVGRVGPGGDGEAAGISMRIDPDLLDCSICFEPLCPPLYQCQNGHVACLSCWSRLSNKCHICSHDAIFARNIALEKIVESIKSSCAYAKWGCCELVSYTQRNTHEETCLFAPSTCPIPGCGYRGFTGRWSGHFLVDHHSADFLHFVYGQSFEVNLEASLPFLVLLGEDDHLFLLLNKNMTPFGHAFSVVCLRTGDLNWKFSYKIEAASTRNPENCLQLKASVPNAKEWAGLHPTKAFLLVPYDFCSSTNLTLNVSVGRSASV >SECCE4Rv1G0257160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:664519159:664521339:1 gene:SECCE4Rv1G0257160 transcript:SECCE4Rv1G0257160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGGEATATGNGKTVCVTGAGGYIGSWIVKLLLEKGYAVRGTVRNPDDAKNAHLRGLAGAAERLVLCKADLLDGDALRAAITGCHGVFHTASPVTDDPEEMVEPAVRGTRYVIDAAAESGTVRRVVLTSSIGAVAMDPSRAPDAIVDESCWSDLEFCKKTKNWYCYGKTVAEREAWEAAAARGVDLVVVNPVLVQGPALQPAVNASLTHVLKYLDGSAKTYANAVQAYVHVRDTAAAHVLVFESAAAAGRYLCVADGAVLHREDVVIILRKFFPEYPIPSRCSDEVNPRKQPYKMSNQRLRELGLEFTPVAQCLYDTVVSFQEKGILPAPPASAQPEMKEIN >SECCE5Rv1G0326500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:480698630:480699658:-1 gene:SECCE5Rv1G0326500 transcript:SECCE5Rv1G0326500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRAACSGWRASTDCPRSPQEQYHYFGPGGWVALCDGDQTRPDDALQIAFFKPSTGRHLRVRFPTKLRGYRIVSFSGGLLVLLHKRFSIVRLLHPFTRSYLDLPSIAPTFRIVVGVKDKKECFLRMNAAICTSAAAPDTSIDVVAWFPGEKAMLWAKPGDANWNAIYLDVELGSVLAFRGRLYATARDSASILQVHPQSNIFAVDTPIPDELGPPSSCGSFLVESNGRMLLAVRHYDALPNAYTTAVKIFDVDIDRRQLTPVSNIGDRALFLGKDRCLSVSAKHLPSIRGNSVYTSEHCVELYSLSSDCPENQYLSLKTLCYTRPFTIVDHLITYCNHLEW >SECCE7Rv1G0487890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:432311793:432315055:1 gene:SECCE7Rv1G0487890 transcript:SECCE7Rv1G0487890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSLPATAAALSVVLAALCFLTVPAAGFYLPGVAPNDFEKKDHLPVKVNKLTSIKTQLPYSFYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMRVPQMCQIVCKISVGEKEGKVLKEKIEDEYRVNMILDNLPLVVPIQRVDQEGAYFYQHGFHVGAKGQYSGSKDEKYFIHNHLSFTVKYHRDAQRDISRIVAFEVKPYSVKHEYGQWNDKKTHLTTCDPHAKRIITSSDSPQEVEAGKDIVFTYDVDFKESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQDETGWKLVHGDVFRPPANSDWLCVYVGTGVQFFGMMLVTMVFAVLGFLSPSNRGGLMTAMLLLWVVMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFTVFFFLNILIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQVPEQAWYMNSIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSAILYFGYMLIAAYAFFALTGTIGFYACLMFTRLIYSSVKIE >SECCE5Rv1G0300120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:22720455:22728761:1 gene:SECCE5Rv1G0300120 transcript:SECCE5Rv1G0300120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDARMATESDSDSDAAAQAVGAVSVPVSGTETPSVSPPPEPAAGAAAPPAAAAGPRPAPGYTVVDALMDKKEDGPGCRCGHTLTAVPAVGEEGSPGYIGQRLILFGGATALEGNNATPPSSAGSAGIRLAGATADVHCYDVLSNKWTRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDTNSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGEASGELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLAAEDLAAAETTSAANHAAAAAANMQAGGTPGRFAYNDEQTGQTTTETTADGAVVLGTPVAPPVNGDVYTDISPENAVIQGQRRSSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEHSPDSPDTTQSGKLNSSLIKPDVALANNSTPPPGVRLHHRAVVIAAETGGALGGMVRQLSIDQFENEGRRVIYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVIKLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRVNRLFNWLPLAALIEKKIICMHGGIGRSINHIEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQAASNDRAGPLAWI >SECCE2Rv1G0074960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:81134947:81136071:1 gene:SECCE2Rv1G0074960 transcript:SECCE2Rv1G0074960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADAVLPSPPPPPPTPARIVVVVLALVHFAIAPMLALGRVLCVAAGALPYLGFALAWVISAATAGLVVARRAWGESSAPFLFLEALMYGCLKVLNYSILVLVALLVLLMCVTYVIAVVSGSSSAFKKSASGAFTRESVADMFRLPRAAVLGYVADVAFFLLMVAGLLVAMLSPHVEGSISQGEMVASVIIDVALFGMHATACFVIIPALVLSVWRGGQADRKAPSQFC >SECCE6Rv1G0399200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:432039407:432040394:1 gene:SECCE6Rv1G0399200 transcript:SECCE6Rv1G0399200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELNRDYEIGEEIGRGRFGVVHRCTSRSTGEAFAVKSVDRSNLADDLDRELAEIEPKLAQLAGAGNPGVVQVHAVYEDDSWTHMVMDLCSGPDLLDWVRLRRGAPVPEPVAADIIAQLAQALALCHRRGVAHRDVKPDNVVLDVDDEGENGSPRARLADFGSAAWIGADGGRAEGLVGTPHYVAPEVVSGGDYGEKADVWSAGVVMYVLLSGGALPFGGETAKDVLSAVMRGSVRFPPRLFSGVSPAAKDLMRRMMCRDEWRRFSAEQVLRHPWIVSGGGARAMEQPT >SECCE4Rv1G0283580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:835969238:835970353:-1 gene:SECCE4Rv1G0283580 transcript:SECCE4Rv1G0283580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCASVKKRYEREGIPPAETWSRSSTESATATHNFEVTDFSLLDGVGIGRLVTSSAFTVGGYEWELKLYPDGWKEEHKSAYMSVALGLRKGEVPKTGVKTTYTLSLLDEHGLSSKHTASHRSIQQTFECHGISCGFSTFVEKSNLRQLMAHNGGSITVRCVLTVMKERQTEDVHKVLVPLPQSNLHSHFLDMLQGGQGTDVTFTVDGQSFLAHRCVLAARSPVFKAELFGEMNETLAQESVKIDDMEPSIFEALLHFIYTDSLPDGQHADDTHTEMEHLLVAADRYGIERLMAMCEGQLCRNIKVQTVATTLALAEQHHCMHQKKACLEFLSSWDVRQAVKETDGFGHLLASCPSVILEIFDKPPPHS >SECCEUnv1G0536740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:66087442:66089223:1 gene:SECCEUnv1G0536740 transcript:SECCEUnv1G0536740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVMCAAGDPGHVHGACHSGLFKVVLVSAYDEEKIACVYFSETGAWGNLISAVGPYQTFAAGCPGLLVGMTLYWLSTKDTIIEFDLEEQSLAVVSVPPGTEELRNRQIILAEDGAIGFAVLSYPHFQMWQRNSNCRGVATWVPWKTIQMHTILGLPPKLELPIMKTILCYDEDTDTTFLSVDENVYMVQLKSMQSKRLYTTESVHGFYPFKSFYPPGTCTTGGGAEMSHDR >SECCE5Rv1G0307030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:104772012:104775575:1 gene:SECCE5Rv1G0307030 transcript:SECCE5Rv1G0307030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLAAEAGAAAATEEKAVPEREPETLEEVISRHRKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEILRLSAALEAKHAAELASFGYKPAESSEKGNLDTLVKAIAGVSVSSNADSAKPGKAAKRREKKAKEEAAREQRIQEEQTNLVSDRMLEDEKLGRRLEPLGLTIHEIKPDGHCLYRAIENQLSLHSKGTTQYNHQELRQMTAKYMREHAADFLPFFLSEGKAESGPDPSESFEKYCEEMESTAAWGGQLELGALTHCLKKHIVVYSGSFPDVEMGKEYNKSGPGGDPSIKLSYHRHAYGLGEHYNSVIPTELS >SECCE2Rv1G0070750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:37512428:37513648:-1 gene:SECCE2Rv1G0070750 transcript:SECCE2Rv1G0070750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGGAAALDDLPEWIVVEEILIRLPPKDILRCRAVCRWWHSATSTDKFMLDHHRRQPLLPILSQVLDPQPQKACLLFSFDAGADQQQLCPVIQTDCYSNLQATLDGLLIVSHGSVVPKFYICNPVIRKCALLAKPQTQEGFYNRIVGFYRHNPSGGEYRVLWVSTNAEDTNCTTFYYAIAVGSDNTRYIGQGCIPQPNSSSPSLELALHRGLIGSSQFPPVHHRGSLHWRLGTYSGWDADYIMVFNTSPETFRLMYRPARLCNCLNESLLKMDNTLALCSIVHDKHTIDISVVHDYDAETWSLKHRINLTGLDPSALVDLEVTIFPRMAVLSGGGMLIRFTEFRVLHFGIDGKFLGYVMSKDDQEINLWISKHYLLESIIPLPLFHEMRKDDGANQEPPFFVGL >SECCE5Rv1G0308130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:125685064:125691215:1 gene:SECCE5Rv1G0308130 transcript:SECCE5Rv1G0308130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITADEDHAGWTLGDSGSDEEEEWTNRPFTVDDFPRVSYDRGEQTYRIYVNPDFCLRGPTPLSLFRAYNDPLVDKERDWFCEEYELHDESEITVNNDCSNSCDRSTMVMVQFFDLKIAGYHHTQPGPAKIFGFFAARDRIKPLRNYVYKREIDNYEAVTVNRKMGTARLSLGSPARGINMTDHVLFEFKLCIRIEDQPGEGPKEELLIEGCTELSNMFRPSFVETQRLYGEKCGLDLKFAVLNSAVQAKIDVDIVYAPACGLILNLYAKTSGFGDIIRLFRGRSKAGGRFSSVVGVLINSYLDVCIEGSSREGFCQRLPQDGCGLDQKLPCYTWTGRFDACYRGTVVEKAKFDKFTTISVKVTWSMVDEERRIRYA >SECCE5Rv1G0364540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802031939:802033094:-1 gene:SECCE5Rv1G0364540 transcript:SECCE5Rv1G0364540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFKDMRSLSCSSPASTAICPSLERQPIFRPQKPGASPLFQVPAEPRAHRQDGKKGQHHHRAGVANGELASPAGSTRFLLSGCAAVDEIQEVATVAAAPPAAAPGGDARREEPAAAVVKNTSTTQEQVVVLKVSLHCKACAGKVKKHLAKMEGVRTFSIDFAAKKVTVVGDVTPLGVLASVSKVKNAQIWAAAPPQPAMAA >SECCE3Rv1G0201340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:863103161:863104243:-1 gene:SECCE3Rv1G0201340 transcript:SECCE3Rv1G0201340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSIARYYTGDVANGHAVDVSTASGYHLLVVTDYSRTKAATGKAIASLPFTVGGRRWSIRCFPDGETSESRQYISLYLHLVDKDVTEAVKVQYSFSFVDQLENQGPACVRAKKAHDFSSCHATWGNKYFWNRDDLEKSSHLKGNCFTIRCDLAVATTFDRFITVPPSSIQQHIINLLMSKEGTDVTFSVGGETVVAHRCVLAARSSVFKAELFGPMMEGTIASVIRIEDMEARVFRALLSFIYTDSLPEMEVDNVEERETQELLWLQHLLAAADRYDLQRLKVLCEEKLCKHIALSSVRTIFILAEQHSCGGLKEVCLEFLKKPSNLKEITAADVFDDIVATCPYLLKELIAKFAS >SECCE6Rv1G0448150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:854296997:854298349:-1 gene:SECCE6Rv1G0448150 transcript:SECCE6Rv1G0448150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAISVCFGGRTRRPSKGRRLVAAAAGQVARWARRLCFSLPKRPASAVIQQLDAHGDNNQDCWSGLPEDVLLTAMALMEVTDVVRAGAVCSSWRSAYAEFRRLRLPAKPNPPPCLMHATADGAAAIYSPSTGATFPCGGGGDGFIIAGSAHGWVLAADVATANPCLLNILTGARAALPAVATLARVKGTFFDDDGTAVYDVDHAFGNATPDMQLVTARTVRNWMFRHIAISAAGVVLLVHMPHGEASYARPGDERWTSLSSHLEPDAIAVVHNDGDGLFYVLCKGGGILFAVVVDGPAAPTAWPMSYLFTIFEPRHTHYLVLRGGELLLVTRQLLLTRPRESEKVVSTTGICIEKVGLGRSKLVTLDGIGEDYALFLGYGSPICLPVKEYPMLRRNCAYLADDSEQHFPPITRRDVGIWDFESKSMSRFEDLHPWLDNQPPIWIAPSLY >SECCE7Rv1G0463320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:52094963:52095496:1 gene:SECCE7Rv1G0463320 transcript:SECCE7Rv1G0463320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHPQVALLLTLILLLAAGDGSLAETVVTKTCGAIDRATGGTRDYQYCVDALSADRAAASAKDARELAVAATSLAAANITSTVLVLEDLVNNLGQCLQIYREMTGPLKGALGDLRAGHVDAGHKKLKHVSGQPELCSMLLLAGSAQRSPIEEENRDGEMLCGLSEDITGLILGYLH >SECCE7Rv1G0499680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:656163329:656166533:1 gene:SECCE7Rv1G0499680 transcript:SECCE7Rv1G0499680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGVIFQGFLSFLCLFSFICSLPLAICNETENDRQALLCFKSRISGPAGVLTSWSNTSLEVCEWHGITCSTVSPRRVIALDLESEGISGLISPCLANLTSLARLQLSNNSFNGGIPAELGLLSRLRDLNLSKNILEGNIPPSLGSSRSLTYVDLGVNALTGVIPESLANSSSLQVLWLMSNRLGGKLPKALFNTSSLLDISLQQNNLVGSIPVVTATSPPIQYLDLRYNHISGKIPSSLGNLSSLIDLRLTENNLVGSIPDSLGHISTLQILTLNVNKLSGTVPPSLFNMSSLTFLGLGNNSLAGTIPSNIGYTLPSIQTLVLSTNKFDGPIPASLPKAYSLRQLYLYNNSLTGFIPFFGSLPNLEELDLSYNKLEAGDWEFVSSLANCTRLTMLMLVGNNLQGEMPSSIGNLSDSLEWLWLRENQISGPIPPEIGNLKSLSRLYMDYNLINGNIPPTIGNLHSLVHLSFAQNRLSGQIPDTIGNLVQLKSLKLDRNNISGRIPTSIGRCSQLQILNLAHNSLDGNIPSEIFKISALSEELDLSHNYLSGGIPVEVGNLINVNKISISDNRLSGNIPSTLGQCVVLEYLDISQNNLSGKIPQFLVSLSSLQNLNLSFNNFDGAVPRGGIFYNASAVSLEGNSDLCASIPTGGIPLCSTQVDRKGKQNSSALVLRIVMPAVAVVLLILSCIATIYWRKRVQENPHLQEFDEHMKMSYEDIVRSTNRFSQANLIGSGSFGVVYKGSLKLQKDQVAIKIFNLNNYGANRSFIAECEALRNVRHRNLVKIITSCSSVDSTGADFKALVFQYMPNGNLEMWLHPEDLEHGDRRILTLSQRINIGLDVAFALDYLHNQCASPLIHCDLKPSNILLDLNMVAYVTDFGLARFVFTASNAYKDSTRSLACLKGSIGYIPPEYGMSEEISTKGDVYSFGVLLLQMITARSPTDGKFSDGASLHEFVRRAFPDNICEVVDPTMLQDDSNAPEVMKNCVIPMLRIGLSCSMPSPKERPDMGQVSAEILRIKHRASHMDVR >SECCE7Rv1G0484220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:342276268:342279109:1 gene:SECCE7Rv1G0484220 transcript:SECCE7Rv1G0484220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWLSLCFIAGSTLLALWFLVLPRSKNSPNKKLPPGPWTLPIIGSLLHVVGAFPHRTIAELSRRHGPLMHLKLGEVATMVVSSAEVAALVLKTNDLTFADRPRTVTQDIFGSGGKDIAFAPYGAAWRQMRKVCVMEILGSKQARRMERIRTEEVGNLLRSIVITASTGGCATVNVSEMVAMLSNDVVSRAVFGGKVAQRDEYIRELGEAMELVTGFCLVDIFPSSRLLRWLSSVERRMRRSYGRMQSIIADILDKRKAARAAGAGSCGTDDEDLLEVLLRLQAEDSLEFPLTTEIIGAVMFDMFAGGTDTTATILEWAMSELVKSPKAMTKAQLEVREVFGEDRVIITNCDIAKLPYMRMVIKEVLRLHPPIPLVPRMARDDCTIMGYDMLKGTNVLVNVFAISRDSRYWENPEEFKPERFENNNMDYNVTYFEFIPFGAGRRQCPGMFFGMSTVHITLANMLYHFNWMLPEGACLTSFDMSEKFGLTIRRRYHLQLRAIPRVGFKDILLK >SECCE4Rv1G0280750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:823299424:823300071:1 gene:SECCE4Rv1G0280750 transcript:SECCE4Rv1G0280750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSHMTTTIFSAIVMMLLSSAIAAQSSGDVGGKPKPTDFMVRACKNASNYSRGYNDGINYVTSEFCITTLQSDKRSAHAKNSRDLALIPVDILKPRVATAGGNVKEMLHITKNSTSSTARQLRICEMQYTATASLLNFCDSLMRDYQGDRRGEEDHNDGPLDFELFDCVDMVYTSSGYCWFALPHIPGAEALSKENEGLVMLISLSLSLLSPYE >SECCE2Rv1G0141230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934620979:934624703:1 gene:SECCE2Rv1G0141230 transcript:SECCE2Rv1G0141230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLDVDAPFLEDKEEPVAGVCDFRGRAVYRATSGGCRSALFLIVVEVAVIIAYYGVSANLITYMTGPLGHSNAAAATAVNVWTGTARLMPLLGAYVADSWLGRYRSIILACTLYVLGYGMITLASTLLPQRPSATLNEDSSSGPFSLEVFFFYASLYLIALAQGAGKPCGLAFAADQFDPNHPREFTARSSLFNWWHFSIAIGICFAVIVVSYIQENLGWGIGFGMLCTIMICAFVIFLLGIPTYRLHAPVTGSDNPFARLGRSVFALARNSSFCFCAKRHHHVDEDVATSLEEARCMLQLLPIWAACLAYGVVIAQIMTLFNKQGRTLDRHIGGMELPPATLQAFWPAAGLLFVPIYDRVLVPALRCTTGTPSGLTLLQRVGTGMVVSMVAMCVAALVETHRLEMARENNLVDNARATIPMSWAWLVPQYVMIGVADVFVLVGMQEFFYDQMPSELRSLGIALFYSVMGIGGFISGALISLIDHITRSGGGESWFSDNLNRAHLDYFYWLLAGLSAVELALYIHITRSYVYKENRVN >SECCE3Rv1G0199690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:847749054:847750047:1 gene:SECCE3Rv1G0199690 transcript:SECCE3Rv1G0199690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALTPFQLTATLLVALLTTCHAGSIAVYWGQNDGEASLAETCASGNYEFVILAFLPTFGKGQTPQLDLGSHCDASSGGCKSQSNDIHSCQRAGVKILLSIGGGEGNYGLSSPGDARQVAMYLWNNYLGGTSSFRPLGDAVLDGIDFDIELGGAKFWNDLATDLKNLGKNGGKDVLLSAAPQCPFPDEWDGNAISTGLFDFVWVQFYNNPECQFSAGRGAFMDAWKRWESVPAGKIFLGLPASKDAAGTGFVPAGELTSRVLPLIKGSPKYGGVTLWSKFYDDQTGYSSAIKSHV >SECCE3Rv1G0189110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721271545:721272873:1 gene:SECCE3Rv1G0189110 transcript:SECCE3Rv1G0189110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKRTLVLLLLLFSAWTNATRPPATLRIPVVHRDAVFPPPPGASRGSLLSRRAAADAARYAALKASLGLRRSSAHDDRLHSPVMSGLPFDSGEYFASIGVGTPPTTALLVIDTGSDLIWLQSKPCRRCHRQMSPLYDPRGSSTYRQIPCSSPRCFPRQGCDSSTGACGYAMVYGDGSGSRGNLGTDRLVFSNGTSVDSVVIGCGHDNEELFDSSAGVLGVGRGTGSLATQVAPAYGRYFAYCLGDRTSVARSSASYLAFGRTPEPPATAFTPLLSNPRRPNLYYVNMAGFSVGGERVTGGFCNSSLSLDPATGRGGVVVDSGTSISRLPRDAYAALRDAFDARAAAGGMRRLARGISVFDACYELRGASVPAVVLHLAGGADVALPPENYFIPEQGGRYHCLALEAADDGLSVLGNVLQQGFRVVFDVEKERVGFAPNGC >SECCE1Rv1G0004080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16217421:16219781:-1 gene:SECCE1Rv1G0004080 transcript:SECCE1Rv1G0004080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFSSTTPISPLRLRLRARHSSSASHPSRSWKPHAAFAAATERALSGNLTPEDAHHLFDELLRQGNPVQERPLNKLLSALTRAPASAACGDGPSLAVALFSRISQGARQRVAQPNVFTYGLLMDCCCRAHRPDLALAFFARLLRTGLEADHVVFNTLLKGLCHAKRADEALDVLLHRMPELSCTPDVVAYSTVIHGFFKEGQVSKACSLFHGMAQQGVMPDVVTYNSVIDALCKARAMDKAEYFLRQMVDNGVVPNNVTYSSLIHGYSSSGHWKEAVRVFKEMTSRRVTPNVHTYNMFIAFLCKHGRSKEAAGIFDSMAIKGLKPDIVSYSTMLHGYATEGCLVDMTNLFTSMTRDGIRPDCHALNILINAYAKSGMMVEAMLIFKGMRKQGVSPDVFTYSIVIDTFCKMGSLDNAMDKFNQMVDMGVRPDASVYRSLIQGFCTHGDLVKAKEYVTEMMKKGMCPPDIMFFNSIMQNLCTEGRVIEARDILDLIVHIGNKPDVCTFNLLIGGYCLVHKMEDASKTFDAMVSYGLEPCNITYGILINGYCKNRRIDEGLILFKKMLCKGLKPTNFNYNVILGGLFLAGQTVAAKEKFHEMVESGVSVWIDTYSIVLRGLCRNNCSGEAITLFQKLSTMNVKFDIRIVNIMIDAFFRVQRKQEAKDLFAAITANGLVANVFTYSLMMTNLIKEGSVEEADTLFSSMERSGCTANSWMLNLIIRRLLEKGEIVKAGCYMSKVDAKSYSLEAKTVSLLIYLFSGKGKYREHIRLLPTKYQFLEEAATVE >SECCE7Rv1G0483290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:320704468:320716188:-1 gene:SECCE7Rv1G0483290 transcript:SECCE7Rv1G0483290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIEETVTSLTRAVLNRAIHYAESAVAKEAALHLGIQRDQTFIADELEMMQGFLMAAHDDRDSHNRVVKIWVKQVRDVAYDVEDCLQDFAVRVTVRRSWWRFPRKLLHRRRVAKKMKELRAKVEDVSQRNLRYHLIKAPKPISADEQSLAVASATMSDIDEVRRRHEKAKVGLVRLISKKDNDLRVIAVSGTHAIGLGETSIVKRAYEDLKLHKKFERCAWIRLVRPFNLTKFLQSIARQFYVHYLQQAKQTEKNPMDARVLRKMGMMSEENLANEFKTYINEKSCLIVIDDLHTVEEWDRIKTCFPQSKKGSRIIVLAEQVEVASLCVGPENVAPEHRQLFADLHAFYEKSFQDAADLAETGSSSNTSCMYNNNSVYRKSLVILKESEPIGRDQEKSDIIEIITNGDSQHLEVVSVCGMGGLGKTTLIRDIYESQELNGMFEKSAFVTIMHPFNREKLIESLSRQFGEKEVENMYQYLEGRKYLVVLDDLSSTTEWDAIKQHFPPTGTANRIIITTRKEDIAKHCSKRHKNIYNLQELVYKNALDLFTQKIFGKITNLDEQYPELVEQAKLILKKCSGLPLAIVTIGGFLANQQNTPLEWRKLNEHISAELELNPDLGPIMTVLNKSFDGLPYYLKPCFLYMSIFPKDREVSRRRLVRRWIAEGYSREVRGRSAEDIAEGDFMELVSRSMLLPSQQSIHGRKRIDACQVHDLIREISIKKSTEENFVFTLEEGYGLSRRATVRHLAISENWKGDQSQFESIVDLSRVRSITVFGKWKPFFISDKMRLLRVLDLEGTPGLADHHLQHIGKLLHLKYFSIRGCDDIHHLPHSLGNLRQLQTLDVRDTRILKLPKTIIKLRMLTYLRLGRKSTDKKAPYEKLEEKLSNSMGNNRLCLLTSGSVMLCGACCAPRRFGYSEDMNRHDICTAACCATLPAVAMRLDRYGVVAPGGMRKLIGLHTLGVVNVARGAVIQDIKKLTWLRKLAVTGINMGNGKEFCSAINNLNRLESLSIRSEGEPGLCECLHWMSLPPENLQSLKLYGNLIKLPGWVQKLQNLVKLNLQSTRLSGHDGDMEVLGKMPNLAILHLLEKSFQGEELHFQIGTFRSLTVLVFGNFGDIKLVKFDQGAMPKLEQLQVRNDWRVSAENGCSANEVAFSGLDFLPSIKEARLNITPDEQLKEISDEVFLKASNFKEHIRTQLANNPRNPILKVGELENQD >SECCE1Rv1G0002550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9413970:9414899:1 gene:SECCE1Rv1G0002550 transcript:SECCE1Rv1G0002550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKKREMVFFDVEAVPTSSPSGECRLLEFAAILVCPRRLVEVSSYSTLIRPDDADGSSLLPSSAPSFEDVFPDIFELLDGRVWAGHGIRRSGCARVREAFAAFGLPAPEPTGVVDSLDVLLAQGSFGRTGAGDDGQEEDEEAAAAALAEHFGIGARRARGLRCLDGARVSLEVLKHCAGVLLLESSLRGDVPAGARRRSKPAATPKPSTNNTLEKAFARGAAKTTPPAKAATAPSPPSPAAAASPAAVRKVKTSTGKRDSTGKVVVVVKKGATAATLTTTTATGGRRVRPPAPPFSMILRHSRAVIR >SECCE4Rv1G0224320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:78844014:78844527:1 gene:SECCE4Rv1G0224320 transcript:SECCE4Rv1G0224320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRIAPLKLLTSKEEKNGNFGREFVKCESKPEGQIVKKCHHFEWIDDYIQRLQGLGLLDSRGNAIHEFNLPHDSAAPAAAARSEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE4Rv1G0292050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:880285919:880288098:1 gene:SECCE4Rv1G0292050 transcript:SECCE4Rv1G0292050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPAVVAVALLLLIAWQPSPGRTAAAMDEQEDDDPPARSLPPAADEQDDDPPARSMPPPSDDDPPVRSVPPPPSDEQEDNPSWPGLPLLPSPPPPPPEGSNAPGGASLPVFPPPPEPETPAPAPPPTRPRRARLPPRQDDPPEPEPPELPRHRRTPKDPTPPRTVVPPQEPGWAAVPLPLPPMPSPGRPINYSTTGWTTMLVFGDSTVDPGNNNRLQTVMRANFLPYGAGFLGGRPTGRFSNGRLITDILAERLGVARSLPGFREPRLRPRQLRRGVSFASAGSGYDDATARISNTLSFSNQVEDLWRYRRNLQRLVGPRRAGQLLRRATFVISAGTTDLFSHYLATNRSGTDSWPSYENLLITRVANYTQVMRALGGRRFVFVGVPPVGCLPLVRTLLGTGAETCHENMNSMATSFNRRLTEVVRFLRNQRDIRATFIDVYPIISMATIDPKIFGLTETSRGCCGTGVIEVGQTCRGRLTCTDPSKYMYWDAVHQTERMNQIITDHVIMNSIGEIYA >SECCE2Rv1G0120200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:797426257:797429970:1 gene:SECCE2Rv1G0120200 transcript:SECCE2Rv1G0120200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSAKEGGEDGSRAAATPAVRSLTSQLKDMVLKFSGSSKHYKGAAAGSPSFRSYRRPYPGFVDDTTFTPTGRPASDAGAYTRTTAAAANGSARAASSATWDMTGRGWPGVDDDGGEIVAAGVDAAVPREWTAQVEPGVQITFVTLPGGGNDLKRIRFSREMFNKWEAQRWWGENYDRIVELYNVQTFSGRQQGASTPTSSVDDSLLRDSSYSRGGSTRDSPVVMMPPPPPSSSSSKDPMSRSVSCKAMMPPPSGPYGAGPSTRAAYYPSAAAVPDPSDHVWAHHFNMLNSAAAGGHSSYDPSRATTSSRDEASVSISNVSDMEATEWIEQDEPGVCLTIRELGDGTRELRRVRFSRERFGEDRAKVWWEQNRDRIQAQYL >SECCE7Rv1G0459390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:25462676:25464623:1 gene:SECCE7Rv1G0459390 transcript:SECCE7Rv1G0459390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSCSFVSLSQVLIVITLIYLVLSKGKGRSGTCSLPLPPGPWSWPLVGSLPEMVLNKPAFRWIHRVMKDMGTDIACFRLGGVHVVPITCPKIAREVLKKQDKNFLSRPLTFASDAISCGYKDAVLTPFGDQWMKMRKVLTSEIICPSRHKWLHNKRADEADNLTRYIYNLTTGGSSSTSGLANVDVRHVTRHYCGNVIRRLVFGQRYFGEPQPDGGPGPMEVEHMDASFNLLGLLFSFCVSDYLPCLLGLDLDGHEKIIMEANTKVDRLHNMVIEERWRQWKDGERRDEVQDLLDVLITLTDDDGQPLLSIDEVKAQCKDIILAAIDNPSNAVEWALAEMVNNPELLAKAVEEMDRVVGRERLVQESDIMQLNYLKACIREAFRLHPVAPFNVPHVATADTVVAGYRVPKGSHVILSRLALGQNPTVWDEPLHFKPERHMGDNINVVLTESELRFISFSTGRRGCIAASLGTTMSVMLFGRLLHGFTWTKPAGVSAINLNESKHDLFIEKPLVLHAEPRLGVHLYPLMHR >SECCE1Rv1G0013760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108307312:108310964:1 gene:SECCE1Rv1G0013760 transcript:SECCE1Rv1G0013760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDAASAPPTAQLAAASISSSDLEPPTSRTRIRAILDAGDAMAGERVVVGGWVKTGRQQGKGEFAFLEVNDGSCQGNLQVMVDKDMHPLASLTHTGTSVLVEGVLKKPPAEAKQRIELKVERVIELGEVDAAAYPLPKTKITLETLRDFVHLRARTNTIGAVARIRHQLAYATHSFFDENGFLYIHTPIITTSDCEGAGEMFQVTALFSQAEKVEKELKENPAPSEADVEAAKLVVKEKGDAVAQLKAAKASKQEITAAVSVLTKAKENVLRVEERSKLKPGLPLKDDGKIAFENDFFKRQAFLTVSGQLQVETYACALSNVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFANLQDDMNCAERYVQYLCKWLLKHCREDMEFMVKHVDKTAIERLELVSSTPFERISYTKAVEILEGVDKKFENKVEWGIDLASEHERYLTEVIFKKPVIVYNYPKGIKAFYMRLNDDQKTVAAMDVLVPKVGELIGGSQREERLDILKQRILDADLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGLENIRDVIPFPRYPGRADL >SECCE4Rv1G0225610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:96551741:96557189:-1 gene:SECCE4Rv1G0225610 transcript:SECCE4Rv1G0225610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphate synthase 4 [Source:Projected from Arabidopsis thaliana (AT4G10120) UniProtKB/Swiss-Prot;Acc:F4JLK2] MAVGNEWINGYLEAILDAGSKLRVQGVSLPPLEPAPALASEESSATYNPTRYFVEEVVRSFDDQALHKTWTKVVAMRNSQERSNRLENLCWRIWNVARQKKQVERDYSREVARRKLEQELGSLEAAEDLSELSEGEKETVPKPDAHLSADEQQPQQRTRLARINSEVRLVSDDEDEQSNDRNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATAGVHRVDLLTRQISCPDVDWTYGEPVEMLERLSSGGADDDDGDESGGGGAYIVRLPCGPRDQYIPKEELWPHIPEFVDRALSHVTNVARALGEQLQPPPSDAPATALAAPVWPYVIHGHYADAAEVAANLASALNVPMVMTGHSLGRNKLEQLLKLGRMHGPEIQGTYKIARRIEAEETGLDTAEMVVTSTKQEIEEQWGLYDGFDLMVERKLRVRQRRGISSLGRYMPRMAVIPPGMDFSFVDTQDTADGDGADLQMLIDPVKAKKALPPIWSEVLRFFTNPHKPMILALSRPDPKKNITTLLKAYGESRKLRELANLTLILGNRDDIDDMAGGGGTVLTAVLKLIDRYDLYGQVAYPKHHKQTDVPHIYRLAAKTKGVFINPALVEPFGLTIIEAAAYGLPVVATKNGGPVDILKALHNGLLVDPHSAEAITGALLSLLADKGQWLESRRNGLRNIHRFSWPHHCRLYLSHVAAYCDHPSPHQRLRVPGVPSASPSMGGDDSLSDSLRGLSLQISVDASSDLNAGDSAALIMDALRRRPAADRREGSGRALGFAPGRRQSLLVVAVDCYGDDGKPDVEQLKKAIDAAMSAGDGAGGRQGYVLSTGMTITEAAETLKACGADPAGFDALICSSGAEICYPWKELTADEEYTGHVAFRWPGDHVKTVVPRLGKAEDAQASDLAVDVSACSVHCHAYAAADASKVKKVDSIRQALRMRGFRCNLVYTRACTRLNVIPLSASRPRALRYLSIQWGIDLSKVAVLVGDTGDTDREKLLPGLHRTLILPGMVSRGSEQLVRGDDGYATQDVVAMDSPNIVTLAEGQAVSDLLKAM >SECCE1Rv1G0020670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:259664034:259664249:-1 gene:SECCE1Rv1G0020670 transcript:SECCE1Rv1G0020670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPTMVDHKIVIHNGKEHIPIYIGNPMVGRKLGEFVPTRHFTSYENARKDTKSRR >SECCE7Rv1G0510200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:787641395:787642323:-1 gene:SECCE7Rv1G0510200 transcript:SECCE7Rv1G0510200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDPIDHMSVSERLQRLAPPSAPAEDDVDVDADADGDGATFRDIHPSPAPAPLRQPSWDLASQRSLSSYSDEQFMSSMGREFTAMVDAGGAPADPCPGDSGAGNNDLQLARIGEHEPAPETNPLAIVADTGRPLPAAPSSSSSSSCAAQEVVEVRQVKKDEAEAKVAAWQAEEVAKINNKFKREEVVINGWEKQQIHTATTYLSKIERKLEEERAKAMEKAQNEVARARRKAEEKRASAEAARGTKTARVMELANFMKAVGRVPTKRSFFSFSSS >SECCE3Rv1G0150130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30685789:30696568:1 gene:SECCE3Rv1G0150130 transcript:SECCE3Rv1G0150130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGMAATALTLSSGHEMPAAGLGVWRMDSHAIRGLIHSALRAGYRHFDCAAKYQNEAEVGDALAEAFQIGLIKREDLFITTKLWNSDHGHVAEACKDSLKKLRLDYLDLYLIHFPVVTKHARIGTTSSALGDDGVLDINTTISLETTWRAMEDLVSMGLVRSIGISNYNILLTRDCLAYAKIKPAVNQIETHPYFQRDSLMRFCQKHGICVTAHTPLGGSTANTELFGSVSCLDDPVIKSLAEKYGKTPAQLVLRWGLQRKTVVIPKTSKVERLEENLAVFDFDISSEDMEKIKALDRNYRTNQPAKFWGIDIYA >SECCE7Rv1G0477430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:219195381:219196778:-1 gene:SECCE7Rv1G0477430 transcript:SECCE7Rv1G0477430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWLAGLRSRLGGGARQDGLGILAFEAAAAMSRLVSLHLSLSDAEVRRLRADVLRAEGVARLTSTDQSRLLRLACAELMAGLDRAAADAARLGARCRGLPDAPFLHDFDRVYADAKRGGGLARLDATVGFSRGAGKRFKKMERHVAATAKLYAEMDALTELEASERRMEQWKQHSGPIPAQSSKRQPADEPSEKLMGELRLQRQKVRRLTEGSLWSVAAHKVAKLMAKSVLAVLARVSVAFGALVPGLPPPLTGRGWALSHSSGPMHQSTAPDGAIRHSAPIFRQKDTASTSSESIKPPATTVGGSGMELRYANVILSAETLLKALRPAIRNEEAHDGMTELSMRDELYKMLPVTIRAAVKEKLRERLRGRQVDEEAAATAMDAVDGVLRWLGPMAHDTLRWQDERSMERKQRFSMQPRAPMVQTLHFADRRKADAAIVEVLVGLSCMCWYDDQRRRPADWNE >SECCE7Rv1G0457920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19158197:19160031:-1 gene:SECCE7Rv1G0457920 transcript:SECCE7Rv1G0457920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMALSVSVSSSAAAFAPRRGLPSAAPAPSRLLRAARAFSTGYAASFYGGAASGTRTRGRDEEEVGDEDGSSSGFGGMSASEAAMALEEREMPPCPPGLRQYETMVVLRPDMSEEERLALIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPFTYYDGIYLLWTYFTKPDSVDALQMKLNADDDVIRSTSFKVRKRRVY >SECCE5Rv1G0342770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633810266:633811438:-1 gene:SECCE5Rv1G0342770 transcript:SECCE5Rv1G0342770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSVVDGAKRCTCDTSAVYAGADSGYHLLMVRDYSRTKLQLPTGESATTGLFTVGEYDWYIEYYPNGENPNCRDFVSLYVTRHTLYDCEGEEDVEAKFSFSLVDQLEKHKPVYIHGTNKTCTFSTSAPSWGSDRFVRRDALERSADLKGDCLTIRCDIMVVCKDHKIKDAAGTISDINQHFGHLLENKVGADVTFEVGGETFAAHRCVLAARSKVFMAQLFGPMKEGATTSSVIQIKDMEAKVFRALLSFIYTDSLPAMEKDSMEEDEMSEVMDEGREEAAEFEMWLQWLEDLFVAADRYDLQLLKLICEKHLSEHISVSSVTSTLALAEKHHCSGLKEACLKFIQVQSPSCLQTVMATSGWDHIAVTYPWVVKEFIAKLALNQRK >SECCE4Rv1G0237280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:359136900:359178781:1 gene:SECCE4Rv1G0237280 transcript:SECCE4Rv1G0237280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aminopeptidase P1 [Source:Projected from Arabidopsis thaliana (AT4G36760) TAIR;Acc:AT4G36760] MTSSSTARNQHLDELRALMASHSPPIDALLIPSEDAHQSEYVSERDKRRQFISGFTGSAGLALITTNEALLWTDGRYFLQATHQLSNRWRLMRMGEDPPVEVWIADNLSDEAVIGIDSWCISVDSAQRYEQAFLKKNQTLFQLSSDLVDDVWKDRPPNDAAPIIVHPVEFAGRSVAQKMKELREKLKHAKASGIIITALDEVAWLYNVRGNDVHYSPVVHSYAIVTLHGAFFYVDKRKVTTEVKNYMAESGIDIREYDMVQLDVSLLASGQLKGSAVTGSLHMEKDINVAEHSKIWIDSNSCCLALYSKLRPDQALMLQSPIALPKAVKNPMELNGLRKAHIRDGAAVVQYLAWLDNQMQENYGASGYFSEANGSQKKEHLEIQLTEVSVSDKLEAFRAEKEHFKGLSFPTISSVGPNAAIIHYSPEANTCVELDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHQKSCYTAVLKGHIALDAAVFPNGTTGHALDILARTPLWRSGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGNFGIRLENVLIVKEADTKFNFGEKGYLSFEHITWAPYQTKLINTALLTPAEIEWVNLYHSDCQKILESYLNLQEKEWLRKATEPLAVSS >SECCE2Rv1G0136160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910461537:910462960:1 gene:SECCE2Rv1G0136160 transcript:SECCE2Rv1G0136160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARVNLKGWQQAAVAVGSAFGALLDPKRADLIAALGETTGKPAFERVLQRMKNSAEGREILLERPRVISTQVSRAWDMPENTFGAAYAQFMGSRNFSPDDRPPVRFMETDDLAFVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFSEKQRRLFFQHYFPWATKAGVKATDLMSVYYEKHFHEDLEEVRRNWGIVPCPDPKASSA >SECCE1Rv1G0023190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:298406013:298408079:-1 gene:SECCE1Rv1G0023190 transcript:SECCE1Rv1G0023190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLESTPKVVLGCVAFTIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEQAYAAIDLPILGLLFGTMVVSIFLERADMFQYLGSMLSWKSRGSKDLLFRVCLVSAVASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSSATPIGNPQNLVIAVTSGISFGSFLFGIFPAMIVGVITNTCILLCYFWKHLSVDKERDQESAGGQDVVVADDEVTSHRFTPARMSRANSVNGDADYMNEPIRRSDSMSRGTDTLRSKSYNSEGDRDIQVAIRSVRASSVSQEMVEVSTVCDRRDEGPRKVTRTTSRQRSVIIEDAPEQTFDGDEKEKPHEEEVVKQKKWKVLLWKTAVYLTTLGMLVSLLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNRTGIPNALWELVEPHARIDSPKGIALLAVVILVLSNVASNVPTVLLLGTRVAASAGAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFHGYNLTFWSHLRFGVPSTIIVTAIGLIIVVSY >SECCE5Rv1G0364440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:801467189:801467608:1 gene:SECCE5Rv1G0364440 transcript:SECCE5Rv1G0364440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVIFTTLIQNLAGLPWSPQCYTMFIWFLMTSCATSLVCFACLLRLQASGIYCNLEMIHVLPNFLLQNHKAMFRLLATRINTTTHSVTCSKLLYWSLLIPFTGSNILQI >SECCE1Rv1G0048730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637242943:637244031:1 gene:SECCE1Rv1G0048730 transcript:SECCE1Rv1G0048730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAKPHVLVAFALLLLAVGCKASPFWPLEIGYYHDKCPQAESIVKGVMEKAISQNPGNGAAMIRMLFHDCFVEGCDASILLDPTPFNPTPEKLSPPNDPTLRGFELIDAIKDALEVACPGVVSCADIVAFAARDASCILSRGKVNFQMPSGRRDGTFSNASEPLKFLAPPTSNLSDLVASFVIKGLNAEDLVVLSGAHTIGRSHCSSFVSDRLNTPSDINGGLATFLRGQCPTDAMPGGNDPTVMQDVVTPNKLDKQYYKNVLSHTVLFTSDAALMTSQETARMVVENAKIPGWWENGFEKAMVKMARIEVKTGYQGQIRKNCRAINHY >SECCE6Rv1G0433700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:761193376:761198650:1 gene:SECCE6Rv1G0433700 transcript:SECCE6Rv1G0433700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAHQAAAAGGDTRLTKVFVGGLAWETSKEGVRGHFERFGEILEAVVIADKGTGRSKGYGFVTFREAEAAMRACLDPYPVIDGRRANCNLACLGVQRSKAPQPLPYLQPYAAVGHVHGGGNINTRAMKAAIAAAGAGAGGASFVEHGIQQGIPAAAYNMYGYSPYLSDYGYQPLTYYQAYGGLAGGAQYQVFNGSAATVPTTGLAMSDPTGLYPYYQYATATSAAAAYSMMHYPQMYQYAAAVGAPPESSPTAVSGLHQFIGAAAFEPNTGAQAGGMTLAQLTAPAPPAPAPQYQYRLVSPMPIATPDQKKPLA >SECCE3Rv1G0189180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721947168:721947693:1 gene:SECCE3Rv1G0189180 transcript:SECCE3Rv1G0189180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGKALVLAMLLSFLAVQGTLGFDFAACYCHHHSTCLAQTPGSSGKEFHCVKTSLAACTGWRCSKRHPSVMPLADPVNPVTDEGSMAVPAGGADHAEFHITEGSVEAPAGGADHGDFNTSEEDAAP >SECCE4Rv1G0219660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33084435:33087264:1 gene:SECCE4Rv1G0219660 transcript:SECCE4Rv1G0219660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSTSAAGSGPLGRGLISCLQSRNCRAEDAPLLSDQELPLAIDKLIAGFYEEAFSRLPCDAMPDLLRLLTTDCGGSCLGLLDPVSNIILNILALLPKDAAPAPAAEPSTSPSPPATRRSKRSRSIPGFGGWHEVVSGSYHILLAFLMAYFGCLEKEQAVRYLYRADANLLLAVMLIQHDLYADEALDPESDRTQAALESAATIAGHPSPTTLARLMSIWLQDDNFALLKKLFSADAQGIPLTVEDIWATHRILHMMMSPVCTASIIHTKRGLVVHVRHMLEARCSETISFSTTADARTATTTLGWDGNPISSLQSGVLPDKLQDCLGKAIADGQKHNIKTPCGAGDTCDYLQSLKMYLYGMIHNLYIKALKLLPSPSGSLMRSILKAGHCYGCMDPVSNIIVNSIWYNTCGCNLPVSEARDMVEYNDVLDPLCLLRAQVHSLKGLMELAAFVDPQFSVPACALELLCSTKCDIASMLPSSTESSEKNPFHESAKAAGHTRPLVLGELHQLLLLMPDTRSELLSFITEAQTSGTVLHVDDMTQSISLIWNRNRSGAQTVQAPELCAGALTAVSSERSDYEDERSCFRSKIEQLLKEYTTQQFLGSEYKLDTILGVEERNKGYRPCGYIRYHVNFTATCDFRLQRTLFYAEFSLSSREPEPEFCCPLPYENAGRCYYGVLSARKIVYPDDAKYIPDDITVRGTSSADGMLGMDLVYFSPMLDVEIAENLNVLHSEEEEEKRKKMNKKRRGMCRPN >SECCE5Rv1G0325140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:460980532:460984902:-1 gene:SECCE5Rv1G0325140 transcript:SECCE5Rv1G0325140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGTAALACTFRSSSSSSPSPVSHCPPLRSRGRPPAAAGAPHFLPPTGRRFSQNRFLDTSKGLKRYSLTTFSPFGRPAVSLNDADVVKDKMLIDCGEEQDCVLDGIVALGKFDALHIGHRELAMHASKSGNPFLLSFVGMAEVLGWEYRPPIVAHCDRKRVLSSWAPYCRNVVPLEYQVEFSKVRYLTPRQFVERLSTDLRIKGVVAGENYRFGYKASGDAAELVKLCEEFGLSAFIVRSVMDTAKGSYNGATPTVNSSDKGQVSSSRVRQALSVGDIEYVSKLLGRKHRLVLTVTEYSIKEQKNIIVPKSCMVNMPPADGFYENCELFNGGYLGLCRVAINTETIDIEMKDESSLSPDNFQEVKQLGIEFG >SECCE6Rv1G0450440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:867817067:867817956:-1 gene:SECCE6Rv1G0450440 transcript:SECCE6Rv1G0450440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHCAPACHCPSPAAAFMPPVAVYHSRSTGNRAGSSTAPLLEPRPRPAVVDDESYRKFRYFGVSGYMFTAAVIVALSWPDWQESLTTAILFSYTLAMILLLLKHWDNKEDQPARRCHESTWVQMLVVTGIMLIFLVLMVSIFHAKWGHVAMIAFISLAAAVFSFLLLNVWDAQHERERAHAASTASEETSTDLA >SECCE6Rv1G0434900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:768796738:768797908:1 gene:SECCE6Rv1G0434900 transcript:SECCE6Rv1G0434900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPCSVAACGARSLTHLAVAAVRLPMLLFCDAMVWAVTFLTFPVRLLAAADRERKLERLVGEMQGQMERVVWENRDLEQRLRTALKENATMEDILDEMEEEHEDAFARIDLLESQLKALKKENMRLKEQRGKSGWDKAAVTATAATVAVAGSGGGKKKAGEPRAWEGQEEEEDAAAQKDRPAVFRPGDIDAFPPSEARDQLLRATARRRSLFSLGMSLAVGGIAWSADGPCLPLLAGLAAVVAMSMCSVSRLFRAPGDRRGLPPASAASEGAVALLSLNWFLLGVLTYPMLPGVARAVVPRAARLAGPAIAWLAAAVPV >SECCE2Rv1G0067200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18099980:18101060:-1 gene:SECCE2Rv1G0067200 transcript:SECCE2Rv1G0067200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRDLAFLLDRSPALEILTILGSQSRVRLRLVSQSVRCLQLCFSILEEILVVDAPRLEKLLLWTTWGYGDESTKCSRIKIGHAPKLRVLGYFQPGEHDLEIGNTVIKAGTKVSTSTTVSNVQILGLELKFEVRNELKKVPNFLRCFPKVETLYIQSAKVYEEPTGKVSLKFWLEGGPITCIRQHMKKVVLYELQGSRNEVVFLKFLAERAERLEKMVVVVSCEFMSSGVDVNATLKPLACAKWANRACKLQVFKSTLTEGGGPVYDIRLASEPTRADPLDQIY >SECCE3Rv1G0167040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:196982914:196989831:1 gene:SECCE3Rv1G0167040 transcript:SECCE3Rv1G0167040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFESGDKGIAGPAPGPGAGDDGNSAPLPETVQIGNSPTYKLGRKLGKGGFGQVYVGRRVSSPSLGDRNPGANALEVALKFEHRTSKGCNYGAPSEWQVYNTLSGNHGVPRVHYKGKQGEFYIMVMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPCGTLEEKKLFLVDLGLATKWKGAGNGHIEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGDNKGFLVSKKKMATSPESLCGICPQSFRHFVEYVVNLKFDEEPNYAKCISLFDGIVGPHPDTRPINTDGAQKLIYQVGQKRGRLIADEDDEQPKKKIRMGMPATQWISVYSARRPMKQRYHYNVADDRLVQHILKGNEDGLFISSVSSSANLWALIMDAGTGFTAQVYEISQHFLHKEWILEQWERNYYITALAGANSGSSLVIMSRGTTYAQQSYKVSDAFPFKWINKKWKEGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHQRWDNGYRITATAATLDQAAFILSIPRRKPNDETQETLRTSAFPSQHVKEKWSKNLYLASICYGRAAS >SECCE1Rv1G0054590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675485512:675489962:1 gene:SECCE1Rv1G0054590 transcript:SECCE1Rv1G0054590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARQRARPPSPPAEEYDPWTAWLYRPRTISVLLVGACLLIWASGALDPEGAASHSSSTSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAFWRLVHGLAVVYLVALTFLLFQNRDDARRFMKHLSPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHVLGWWGKAVMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWQPFMGPLRFIQVLFLCVVFMMVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDSKPVKKVGAFCWLSVAICIVELLICMKFGHGLFHDPMPTWLIIFWRSAGIAFVIFLLAWSWRNHQKFRRKNL >SECCE6Rv1G0445900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:841729649:841733072:-1 gene:SECCE6Rv1G0445900 transcript:SECCE6Rv1G0445900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSAPPSYSACAGAGAAFLGDNVDPEALTEEESLVVGDSNWVDCLHGSYSSSLSLHGVRVDDEHSALENSSRPPSHINILTPQDVVPIEIARSRFLDIIIDHFIGQNVIEVGEPSLYDSILASNRMNKRKQQEVRYEGDPRFALPLMYIANLYESLVSDVNARLASLIGSREKTLGVALEAAGGLYRKLTQKFPKKGNCSFRRRELATSNATKTKFPELVVQEEKRVRFVVINGLVIIERPNNMRMQDAEWFKRLTGRNEVAISSRDYKFYSPRHKYRRTLQPVFDLPGTSVLSEDETSPLVCSSEFRPPFEMQNQHESSSKRHIEQIESQPYLHFLDQAEHDNIQQDQHSSQFPPIHPCASSSQLSDNPQQHQSYLSPHLSSMQAGHSHLGGRSNILPSSPAKFCDECGSPYLRATSKFCSECGTKRLGI >SECCE1Rv1G0056730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:688988327:688992742:-1 gene:SECCE1Rv1G0056730 transcript:SECCE1Rv1G0056730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMAHVVLAAVLAMAAAAASTVSAGPAEGIQPLSKIAIHKATVELHGSAYVRATPALLGDQGEDTVWVTVKYGWENPSAADWIAVFSPADFISGSCPNPRRNPDEPGLCTAPIKYQYANYSSNYGYWGKGTIRFQIINQRSDFSFALFTGGFENPKLVAVSKLVAFKNPKAPVFPRLAQGKTHAEMTVTWTSGYDIDEAYPLVEWGMVAPDGGIRNPTRTPAGTLTFNRGSMCGEPARTVGWRHPGFIHTAFMRDLWPNKEYSYKIGHELSDGTMVWGKSYTFRAPPTPGQNSLQRIIVFGDMGKAERDGSNEFANYQPGSLNTTDTLVDDLDNYDIVFHIGDMPYANGYISQWDQFTAQVAPISARKPYMVASDNHERDWPNTGGFFDVKDSGGECGVPAETMYYYPAENRANFWYKVDYGMFRFCVADTGHDWREGTPQHKFIEECLSTVDRKHQPWLIFAAHRVLGYSSNAWYAAEGSFEEPEGRENLQKLWQRYRVDMAFFGHVHNYERTCPLYQSQCVNNERSHYSGTMNGTIFVVAGGGGSHLSSYTTAIPRWSVFRDQDYGFVKLTAYNHSSLLFEYKKSSDGNVYDSFTIDRDYRDVLSCVHDSCFPTTLAL >SECCE7Rv1G0478960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:243855753:243858489:1 gene:SECCE7Rv1G0478960 transcript:SECCE7Rv1G0478960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTAPRLPFLPARTRSASVAFSPLSPSPSQCCPVKRINATNANSGPLLSASRPGAPAADGVGSADLYRLRASAMPVADSPLPGYGDPHELPRPLTNADLMESSGEGLKVAYQGFPGAYSESAAKKAYPHCQTVPCEHFDTAFQAVENCTVDRAVLPLENTLGGSIHRNYDLLLRHELHIVGDIRLAIRHCLLANRGVKIGNLRSVMSHPQALAQCEHTLTELGIEQRQAVDDTAGAAKLVTEQMLQDTGAIASSLAAELYGLDILAENIQDEKENVTRFMMLARKPIVPQVDIPFKTSIVFSLEDWKPAQLLKALAVFALRDINLTKIESRPHKKRPFRVADDTFSTPVKYFNYLFYVDLEASMADPKTKKALENLEEFAPFVRVLGSYPTDVREV >SECCE4Rv1G0243190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:497632687:497641808:1 gene:SECCE4Rv1G0243190 transcript:SECCE4Rv1G0243190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEPAKEIEALIQRLRLHQPPPSPYAGDPSTAATPNAGELLKPRRAAVLICLFRGSAGELRVILTKRSSSLSTHSGEVALPGGKADEGDADDAATALREAKEEIGMDPCLVTVVTSLEHFLSKHLLVVVPVVGILSDIDGFKPVLNTHEVDDIFDVPLEMFLKDENRRSEERERMGQVFTIQYFDYEKENRKYVIWGLTARILIHAASIVYQRPPDFVERRLQFNLPKYSISSSGSATS >SECCE2Rv1G0115060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:746077808:746081822:1 gene:SECCE2Rv1G0115060 transcript:SECCE2Rv1G0115060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCHRATSLRSRSPGRPFIQFHSIHGQLHPHPGRHCFHPLIAMGSLAATDEPLPPLRYPPARRDGDIVDDYHGVSVPDPYRWMEELESEETKEFVGAQAAVANAVLATCDHRGRLRGQLTALLDHPRYRAPFKRAGAYFYFHNPGLLPHSALYVQHGLAGEPSVLLDPSELSDDATVSLSMIGVSDDGEHLAYGTSASGSDWVTIRVMRVRDKRRLPDTLSWVKFSRIAWTTDGKGFFYSRFPAPKDDDGAPESGIRTDVNLNHEVYYHFLGTDQSDDVVCWKDPEHPKYIYTPEVTEDGKYVLLSVSESSEPVNKLYYCDLSALSLSGIKAKGTHEMLPFVKLVDKFEAFYGVVANDGTRFTILTNKDAPRYKLSRVDVDDLQSWADVLPEDDRAVLESACAVHGDKLLVNYLSDVKYVLQLRSLATGELLHGIPIDIGSVNGITGRRNDSEVFIEFSSFLTPGIIYRCDLSTGAPEMNIYREITVPGFDRTDFEAKQVFYPSKDGTKIPMFVVSRKNMALDGSHPALLFGYGGFGMSMTPQFSAARVVLMRNLGFVTCVANIRGGGEYGEGWHKAGSLANKQNCFDDFIAAGEFLVSAGYTSPSRLCVEGGSNGGLLVAACINQRPGLFGCALAHVGVMDMLRFHKFTIGRAWACDFGCSEKEEEFHWLIKYSPLHNVRRPWEKCAAGDQYGSHQYPPTMLLTADHDDRVVPSHTLKFLATMQHVLCTSVEQSPQTNPIVARIDRKSGHGCGRSTQKIIDEAADRYAFAAKMMGVSWID >SECCE1Rv1G0047110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:621582051:621582413:-1 gene:SECCE1Rv1G0047110 transcript:SECCE1Rv1G0047110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVPLAALLVVLLLVAAACAGRREEQEDPEVTACKQQCAGQRQFGAEERRYCLAACGEYGRKKRRREEEEGRRSAEKERDRCLHECRAAGPRKPGCEHRCADEYERATHHQGTMPDDA >SECCE6Rv1G0442530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:817921495:817921852:-1 gene:SECCE6Rv1G0442530 transcript:SECCE6Rv1G0442530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQVLLAALAAVGVLAAALPLALSQDATLCCDKCGICTRSFPPQCRCMDVSPTACNPACKTCAKSTVDGRDSFQCKDLVTNFCKRRCTPAA >SECCE3Rv1G0174360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:383603718:383635340:1 gene:SECCE3Rv1G0174360 transcript:SECCE3Rv1G0174360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQRPAPSPAVRANLFSCPPPPPPNRHNPKNRAVPLPPLPPRRRHPENHHQQPFQEQAATPAPRTTHTNPAFRAPHLSTSYRKPVPPAAATGKGEALLTTDPTDAAAGRSVVVGPSGVTFRLPGAPFDFQFSYSEAPRAAPLAIREPPFLPFAPPTMPRPWTGRAPLLTKEEKARRRGVRLHTPLGQEPPRAVSPHGFMMEVRGRRQLDFARVSPGDGRSREEVLGEPLTSAEVRELVKPHMSHNRQLNIGRDGLTHNMLEMIHCHWRRQEVCKVRCRGVPTVDMNNLCYHLEEKSGGKVIKRVGGVVFLYRGRNYNPRTRPRYPLMLWKPATPVYPKLIQRAPEGLTVEEAAEMRRRGQILLPILKLAKNGIYINLVKDVQDAFEGNDLVRIDCKGLEPSDYKKIGAKLRDLVPCVLLSFDKEQILIFRGKEWKSRYLKPLTPIPNVEKNNLAVSSVVESSGESAGSSNNVTIREVLRPRMFKLWKRAIELSIALSLDDDEANALTPDTLLVRVEEFSITSQAVEHSFPALLVGNGKEGPEALNESEDESDDGISRPQENQFEKSLDAGDDDHFEYDMLERLESSAPLGSLPIDSVMEQLNSE >SECCEUnv1G0537580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69269113:69277323:1 gene:SECCEUnv1G0537580 transcript:SECCEUnv1G0537580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 3-beta-glucosyltransferase UGT80A2 [Source:Projected from Arabidopsis thaliana (AT3G07020) UniProtKB/Swiss-Prot;Acc:Q9M8Z7] MAAAADPTGGGEGVDQIKEAGDGAAAAAAATHNGDRPTHDAPGPSAPAPSTSSASDNGSLHRSSTMPGVIKDTEITTETTGPSNLERSKTERRKQNNQADDPTKQLLDDKISIRKKLKMLNRIATVKDDGTVVVNVPSTLEAAPIDVGSVDGYEDVVVEESLDGSDIPYKPPIQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANYKEFILTAGLEFFPLGGDPKVLAEYMVKNKGFLPSGPSEIPIQRKQMKEIIFSLYPACKDPDPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKTSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGSDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASDYVPPEELVKWLEAGDKPIYVGFGSLPVQDPAKMTETIVKALEMTGQRGIINKGWGGLGTLAEPKDSIYVLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPSPIPVDQFNLQKLVDAINFMLDPEVKEKAVELAKAMESEDGVTGAVRAFLKHLPCKTDENSPPPTHGFLEFLGPVSKCLGCS >SECCE7Rv1G0523970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884235220:884236518:-1 gene:SECCE7Rv1G0523970 transcript:SECCE7Rv1G0523970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAAADGSPPGEEGAPSALVRSSSSPSGGTAAGRLVDDLIVEILSRVPAKSLCRFKCVSKHWLGLTNDRNHRRRLAQTLAGVFYSRASGDGLLKPFVRFRNVMGSCRPPIGTSLAFLPNHRRAILLDGCNGLLLVLWCPSAQGPECYYVVCNPATEKWVALPDPNQANKEDIVHLGLSFDPAVSSSHFRVFALLDHSPSWERDPYFCGVEVYSSETGTWALSETGWNGEVMLANDQPASAFLNGYMHFHGFDRESHRCLAAVDTKGETWTHFRVPGGLNKGFIQQSQGRLHYANFKRDEDGAVVRLVVYVLEDYGSKEWALKHSVEASHVFRGNPVYMNPNFEWVMIHPDCNLIFFTVGQGILCYNMDRRQVKQIPGTKNCMEPYLPYVPLYSELPVLHT >SECCE2Rv1G0107420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:648716388:648720237:1 gene:SECCE2Rv1G0107420 transcript:SECCE2Rv1G0107420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWCENMALPPRVLVAPRPSGANGQGNILLLRHPKLEEETQYLFTDGQLHEFNWFKERYGSWFLGDYVCEDGSLYYCTLVDPIFVLLPLFEAARMSNGKDLGKFRQLDEILYIEGYPGYQHLMSIAGKHMELVCEVKEVANMKFFRLDDSKVLTWLCCKVHSIKEAISKLGKNYAAQGERELLKEAVQIIRENLKDEPWLTVLCKKFQLDINEINDMAKTNDTSFCADNSPVPAPARPSEGSVGNGSAKSSKGRPAKKLKPEVGSKNIKDMFRRVTRSGT >SECCE4Rv1G0251920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:609292993:609295017:-1 gene:SECCE4Rv1G0251920 transcript:SECCE4Rv1G0251920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVAHCRAAAAPAAAATVATPTDELVRQHNRSLAALLRRGRLAAAWRLFDALPARSVKTWNLLLAALARRSDVSAANAFFASMPVRDVVSWNTLLAAHSRSSHSHHLAAARRLFDEMPQRDAVTWNTLLGAYVRRGLMGEARKLFDEMPQRGVTSWNTMVTGFFAAGQVSKALDMFNSMPVKDSASLGTLVSGLAKNGRLHEAEELLTKRLRATDMDKALDAYNTLIAAYGQVGRVDDARRLFDMIPTGQYQHQMSNMRVFQRNVVSWNTMMMCYTRTGDVCSARMLFDEMPAKNLESWNTMIAGYAKVSNMQEAEKLFWEMPDPDMVSWNLIIRGFTQIGEVEHARGFFDRMPERAIISWNTMISGYEQNGDYDGTIELFSKMLEVGGMPDRHTFSSVLAACASIPMLPLGAQLHQLIEKSFLPDTAISNALITMYSRGGAITDAEAIFNQMHTQKCLVSWNALIGGYEHHGRATEALQLFKEMRRAGVMPTHITFISLLSACGNAGLVSEGWKVFHTMVHEYGIAARIEHYSALVNLIGRHGKLDDALEVINSMPIAPDRSVWGSFLGACTAKKNEPLAHMAAKALSKIDPQSSAPYVLIHNLHAREGRWGSAAVAREEMDRQGVHKHPGYSWIDLHDKVHVFNAGDTSHPFIQEIYSVLECFDMSSRDWS >SECCE5Rv1G0344290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644511456:644512760:1 gene:SECCE5Rv1G0344290 transcript:SECCE5Rv1G0344290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSRLVKPAYSAGEAPVPATEYIPLSIFDKVTFEMQMAIIYAFAPPAPTTASIEKGLAMVLAQYRAFAGQLGVSPDGTPSVILNDRGARLIEAFVDADLVDMAPSKPTPELLKLHPDLEVEHLEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLAMGLPPVHPHADLFKPRSSPRVEHDHRNREYYLPSRTDVVGHHGDAADNIVIHKAHFTKDFIAGLRAKASEGRGRPFSRFETILAHLWRTMTRARDLSPEETSKIRLSVDGRHRLGQPAEYFGNMVLWAFPRSTVGDLLNRPLKHAAQVIHDEVARVDGAYFQSFVDFASSGAAEKEELARSAVCKDAHCPDVEVDSWLTFPFYELDFGTGSPSYFMPAYFPTEGMLFLAPSYLGDGSVDAFVPVFEHNLQAFKECCHSME >SECCE6Rv1G0449880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:864984813:864985902:1 gene:SECCE6Rv1G0449880 transcript:SECCE6Rv1G0449880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQPAVQTTVLRVTIHCHGCKKKVRKVLKSMEGVQDVKVDAQQHKVTVTGTVDADTLVKRLYKSGKHALPWQHTPAAPASSPEAPAPATPAEDGTTKDAAAADKKPVEPVKADSSDKKPPEKETAPEKKPETEKEAEPEKEAEKEEAKPSDEAKKDGGEGEGAETEPKAKDTEPASESPAATNEAGDDEGGDKKKQSKPKDAPAPDRSLSPTPAPAHAHQEFNPYSAPQPVMSYHMAQPRASVSYYAPQPEQGYSMRQQPPQPQQAYSQQAQPMQQWSPSYLYMPYPHASPESYYHDYYSPPGTHAPPPPPPPPLQDSYRLFDDENPNSCSVM >SECCE1Rv1G0021070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:263950585:263986601:1 gene:SECCE1Rv1G0021070 transcript:SECCE1Rv1G0021070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLVVLALHLTAARAFTATSVGGRSGDFDPSRVVQLSWHPRAFLHKGFLSDAECDHMIELAKDKLEKSMVADNESGKSVQSEVRTSSGMFLEKRQDEVVARIEERIAAWTFLPSENGESIQILHYKNGEKYEPHYDYFHDKNNQALGGHRIATVLMYLSNIEKGGETIFPNAEGKLTQHKDETASECAKNGYAVKPVKGDALLFFSLHPDATTDPDSLHGSCPVIEGQKWSATKWIHVRSFENSGKQGGSRDGCEDDNVLCSQWAAVGECAKNPNYMVGTKEAPGFCRKSCNVCAQ >SECCE3Rv1G0167500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:204207022:204209947:-1 gene:SECCE3Rv1G0167500 transcript:SECCE3Rv1G0167500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATSKKAANRLVVEEAGTKDDNSVCNLHPATMEKLSIFQGDIVLLKGKRRHNTVCMALADDTCEGHKLRINKVARSNLRVRIADVVSVHLCHDAKYGRRVHILPLDDTVEGITGNLFDAYLKPYFGDTFRPVHKGDLFLVRGGMRSVEFKVMEIDPAVDYCVVAPDTEIFCEGEPVKREDEERLDDVGYDDVGGMGKPLTLIRELVELPLRHPQIFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKMAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPNREKTHGEVERRIVSQLLTLMDGMKARAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLDEDVNLEVVAKDTHGYVGADLAALCTEAALQCIREKMDLIDLEDDTIDAEILNSMAVTNDHLKTALVGTNPSALRETVVEVPNVSWNDIGGLDGVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGGRVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDEASRHQIFKACLRKSPVAKDVDLGALARFTAGFSGADITEICQRACKYAIREDIEKDMEKQRMGKDTMEVDGGQEDEVSEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFQAQPQAAEAAVHTTAAADEDEDDLYK >SECCE3Rv1G0163400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:147713836:147715257:-1 gene:SECCE3Rv1G0163400 transcript:SECCE3Rv1G0163400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATMTSRVLLLVGVVLTAHKFLCTAYVGGDGFSVEFIHRDSVKSPYGDPSLTAPARVLEAARRSTSRAAALSRSYARAAAPSAGGAPVSELTSRPFEYLMVVNVGTPPTRMLAIADTGSDLIWLNCSNGAGATGLAANRHTHAPASAPPPGVQFNSANSTTFGLVSCGSGACRALPEATCADSKCRYLYSYGDGSQSTSGLLSTETFTFADDQGTRGDRAMRVANVNFGCSTTMIGSFTGDGLVGLGGGDLSLVNQLGADTSLGRRFSYCLVPYSVNASSVLNFGPRAAVTEPGAATTPLIPSELKSYYTVDLRSVKIGNKTFAATQQSPVVVDSGSTLTFLPNEFVDPLVKELTRRIKLPRAQSPEELLPLCFDVSGVRDGQVEALIPDVTLELGGGATVTLKSENTFLVVHEGTLCLAVVALQFPPVSIIGNIAQQNMHVGYDLDKGTVTFAPADCARFSGSVYTSDQHY >SECCE4Rv1G0227700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:126136393:126137241:1 gene:SECCE4Rv1G0227700 transcript:SECCE4Rv1G0227700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLKKKTRNYLTWTNEMDEALLAVLVEHHNIGDRAQNGWKPHVYTACIKHVKDTCDVDITKDNIVGRIKTFDKHYEIISKMLAQSGFGWDWETNKVTVDSDEVWTRYVEANKDARAYKTKVVHNWSAIETIYSKDHANGGGARTGAECAQEQNTSVVEESPEVPQKRQRTGDAILCMMGQMRTSFDEALKATEPLPMPKATPATEILEALKKVEGLEDADMLRAYGKLTANERMFESFMALPQNLRKPWLLTLP >SECCE6Rv1G0414790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:636774577:636775120:1 gene:SECCE6Rv1G0414790 transcript:SECCE6Rv1G0414790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTREDVAWYGGKRMAYVYKAKTKSNGTHYRCIWGKVSRPHGNTGVVRAKFTSNLPAEAMGKKVRVFMYPSSI >SECCE2Rv1G0104970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:613186243:613190347:-1 gene:SECCE2Rv1G0104970 transcript:SECCE2Rv1G0104970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVKAWVAGKYAEPMGPMHDSLRVAYVVFSFCAAFLLGGIKAMVVGPVAAALMILGNVGVILVLFPAHVWWTIYSLIKTDRINAGLKLAVAIALPVLFGLWLGLGIFGSALVALGYGFFTPWISTFEAFRQESEAKKFVHGIVDGTWGTIKGSCTVVRDFADMCFHSYPVYLKELRECSQDREPLSIRLLDVPSCILVALLGLIVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLEPVCVPIAGLAILFWPLVVVGSVLLAVVSSIFVGLYGAVIVFQEKSFRRGASYVVTMVAEFDEYTNDWLYLREGTVLPKPSYRKWKSSDSAEFSVRPNVSVRGGEHPSASSEAPAMLVPTLVPARSVREAIQEVKMVQIWENVMKSCEQRGRDLLNLNVITSVDLTEWLRTKDGGNETINLGLPSYDMLCTVMQSIKAGSAGLLIGNGVEVDQQNRPQDLLLDWFFHPVLVLKDQIQVLKMTEQEVRFLEKSTLFVGSGSAATASADAWDNGAETPRDPVRTAQIQAISRRMVGIVRSMSKFPTYRRRYRHVVKLLVAYAVEREGSFGSSASGPSVSFEITRLEV >SECCE5Rv1G0309480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:153099137:153104797:-1 gene:SECCE5Rv1G0309480 transcript:SECCE5Rv1G0309480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHEAASIRDEAREVLNLHSDGQNEAALAHAVVLAAVHPGSALALNLAGLIHRYAALVARSDRGAHSDDEDDDENASALEKYHRHAALDAFSAAARLAPGCVVTNADHADALADCRRYEDAQKEFLRMLDTVANNDQADPALYNVVYDMSGDSSRKGRRRDAVKSASIAMERFAERINHRIMPLEAAKLLDASNLGGPAADEARDRAKLLAETYPYSPRAQLLRAYIDLAPVRALDPAMDKKQLLRRALTTVSQAAENFDCSLMVALFHAKLLFVLDEFDAAEGECRRALRIERPNDPSWDDIPPMAALGADSDARVSYVKKQLRVLLKQIVVVAALYWSSMKNALQGQRVVSVTVDALHAHYDGIDKSAAKTISDATRFLKNQESWSFWICLNSRCDGKKFTDTSSLWQHMCSKHRDELWGKLQSLIDPEYCENTSQDDHLLVGITLSRQSDTFLLPRVQDMFESLLLSPSVGIQAEPFAEMRQRKCKEGSEILGSIREKLRMLPEDTLSTEFQECCSGIEKLWLKFLEVTLLDYREIVLPLARSYQWIELKKRIPLYLKHPGTRLIGFADDNIDIICGTSGQSVKEMASTSSSQQSLTVSNKNNADKELSILSVIIRSLCNLGHFRDKLLMEPLVWIPSVESPCIAQQFYEIFSSWEKNDHHLSDVVLTYMKTLLCGVVDCSTFNEKVGITFASEIVATILIELHMSETCSRFRENKETERHVVNLITCGDCICPTHYLFGIKFDAQLSCRCGKSSGEYLYTTLFHKLDAGSPQTAKIKSFAELPVLLDEQFREDNKCEHCGSLQNIDLLLSNTPHFFTIVLNWLGGSESQDALSEVLAAITSPLVTEFFCRSAHSAAMYAVTSMICYADDRYVCFAHDEDKWVIYGFENVEGEDSWEHLLERFKDCKLQPEVLFFEVIK >SECCE6Rv1G0430790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:744726204:744728163:1 gene:SECCE6Rv1G0430790 transcript:SECCE6Rv1G0430790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPVKLIGGFGSPFVHRAEVALRLKGVPYQLILEDMTNKSELLLKHNPVHKKVPVLLHGDKGWQWLGFGSAVYEYLLIVKYVDEAFDGPPILPTDPHERYECLMSLWLALWTEGDEQKVFVMDVKENLALVEAQLNQKRFFGGATIGLPDIAGASLLSRWASMMQEVAGVSVMTGNEYPAIHRWMEDYNAVKAVKECLPDSNDLISYFTTIRGKCNSAAKSMLPNLSSQEIV >SECCE5Rv1G0351860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:705101637:705102399:1 gene:SECCE5Rv1G0351860 transcript:SECCE5Rv1G0351860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRIRVLLVEDEEIHRVVARAVMRAAGVEADEAENGAEAVRRVREHVGGAGAGAYDLILTDKQMPVMDGHEATRQIRAMGVTTPIVAVSSDSLPSDVQAFTAAGADDFTPKPLTKEKLGHILSKFGLA >SECCE5Rv1G0328690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509428331:509428948:1 gene:SECCE5Rv1G0328690 transcript:SECCE5Rv1G0328690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVTMSSFAGTAVLPRGSAGHCGVQSLPALGRRALVVRAQTEGPSAPPPNKPKASTSIWDALAFAGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYSVAVLSVASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEIITGTPFINV >SECCE5Rv1G0330230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:526705016:526705393:-1 gene:SECCE5Rv1G0330230 transcript:SECCE5Rv1G0330230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEEKPNWPPSRLDCIKYFNALWSCYSPFHQMQNYYRYGVFDNCSSKWRDLVDCLTQKTKSKAEVEEMLIAREKARPHFWTYRTVDEASANWWKMYKHRVMMSSPPKTAGFAAPPPKSGAPKKR >SECCE5Rv1G0347150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666082607:666083885:-1 gene:SECCE5Rv1G0347150 transcript:SECCE5Rv1G0347150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVAADGDNAREDDQGAADGSGLGTAVDPALVANGDGGAASEEGSVVGAADGVDEIASAGGGGSSAAGLRQGAKVAGGYVAVVARDSGEGTAKPASTGASDQGMAHLASATRVCPDGMVPNSSYLLRIKLFGNPKKARKDILCFCFDKVVDCGLTNYKGLVESIVNEYPPHYMEVAHMQYYDGDLKTCPEVKSDQDLMLMFEKHSETKVLDMIIAYRDPSEPYEPITQWQSDVHSEPDNNKEHEDDTTNKRYAKGGKRATRTMELTKKDQNGPKVPFDSPAMGTRSKKSYLASPAMSTRSKRRLSS >SECCE2Rv1G0111010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:697369313:697369654:1 gene:SECCE2Rv1G0111010 transcript:SECCE2Rv1G0111010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGQARKEHSATEEEVDSKAAVARLITLKVVSQERVIRHTMKMTDKLQVLKDVWYHKVPEVTPGTGLFMINGSRFRPENTPEELEMEEDDMVDFFEHVDGGAPLVPAWMWE >SECCE1Rv1G0061770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717300794:717303400:1 gene:SECCE1Rv1G0061770 transcript:SECCE1Rv1G0061770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g01030, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01030) UniProtKB/Swiss-Prot;Acc:Q9SV26] MAQAISLPALPTAHHHHHYAAPPSQRPSRGAYSPSLLRAEPPISAALRAGDDSSFRDARFLLSLLRQCGDLLHGEGEKSPEKERTDVAAARRLAPQLHSLAVRAGHATREPHVACALADLLERLGRSLSGRRLLSEGDGEDWKDAVLWNKQVAMLAEAGDWDGAIAAFREMRARGVAADGYACARALHACGRAGRRREGRAVHAHALRAGLVDAHPLVPGFLAGMYAEGADVAAATTVLLRTTGAGVVAWNAVIACCVRLGLVDDALELAERMARDAETSVVAEPTLATWNTVLSGCARHGRDREALAVVGRMLEQGLSPDAATVSSLLKSVANSGSLDHGTEVHCFFLRHGLEPDAYTGTALVDMYAKCGRLDLAQTVFDGLEHRNLATWNSLVAGHANAGQFDRALELVEAMKRHRLDPNVTTWNGLITGYAMNGLSSQAMLLLRQIKSAGVAPNVVSWTSLISGSCHSGDYQDSFTFFSEMQQDGVQPSLVTMLVLLRACAGLAHLNKGKELHCFALRRAYDGEVVVSTALVDMYAKAGSLTSAKRVFGRVQGKNLVCCNAMLTGLAVHGQAHEAAALFHDMWRSGLKPDGITFTAMLTACRSMGLVTEAWEYFDDMEAKYGVAPTTEHHACMVDLLARRGYLDEAMAFMDRSPVDPGASSWGALLTGCAIHGNLDLAESAARHLFRLEPHNSANYLAMMSLYEQHQMFDEAESLKYAMKARGVDARPGWSWTQVGRSVHVFEVDGGSPPHPETPEIYGEMSRLVSQIRMVGYVPDTGCIAYDVPEEEKERLLLCHTEKLAVVYGLIRSDRSRAPVRVVKNTRMCRDCHEVIKHVSALCGRQIILRDASRFHHFVDGKCSCDDYW >SECCE5Rv1G0361490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:779867820:779868346:1 gene:SECCE5Rv1G0361490 transcript:SECCE5Rv1G0361490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAQESSSMKALVVEDNEVQRMVLSMKLRKFQCEITLAMNGKEAIDLFLEGKKFDIILCDRDMPIMTGPEAVVKIRAMGETDVKIVGMSADDDAMEIFISAGADMFVPKPIKVEDLGSIIQEIINKKNTMV >SECCE4Rv1G0227610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:124951583:124952413:-1 gene:SECCE4Rv1G0227610 transcript:SECCE4Rv1G0227610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit T, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09350) UniProtKB/Swiss-Prot;Acc:Q9SMS0] MAASTASSSPLTAFLHRHDARSRRRTRFVVAASTADAEPSPEATAASSSSTAGPGKKKTVDTRIHWSDPDEGWVGGKANKEGDGRKDEPMGRRFADLINNPSESHYQFLGIAPGADLEEIKAAYRRLSKEYHPDTTRLPLKSASEKFIRLREVYKVLSKEESRRFYDWTLAQEAESRRLQQLRSRLEDPYELDLQNYEPVPDTVDRLGGKNMELSDQAMTALAFDIVVIIFSICCIIYALFFKEQY >SECCE7Rv1G0509600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:782589328:782590073:1 gene:SECCE7Rv1G0509600 transcript:SECCE7Rv1G0509600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLLLVLAVVALVSGHVEAFDPNPLQDFCVADPTSKVHENGVACKDPAAVVAEDFLFGGLDKPGGTTSKRFGFTAQQVQIPGLNTLGESHVRLDVAPGGVFPVHYHPRAAETALVLEGSVYFGFVSSYPDNKLYAKVLRKGDVFAVPQGLVHFLYNNGTAPATLYASLSSQNPGLVLLGNSLFAGALPDDLLAKTLLTDQHTVQTIKANFRRP >SECCE2Rv1G0142790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:942687072:942689421:-1 gene:SECCE2Rv1G0142790 transcript:SECCE2Rv1G0142790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQLPADDSVALRVTHSNLASFASDLRVSQQTTVEALKEKLWKKTGTAVGSMRLELRDEAGARVADLDRDAAPLAAYSPYDGYRVHIIDLDPSSVTSGGWLEDTSLVDKYTISDEAYDKLGTNFRKFKEKMVSKNPVPDDKQSDNQMEELCANIKVGDRCEVEPGAKRGTVKFVGKAEALGRGFWVGVQYDEPLGKHDGMVKGVRFFECPQGHGAIVRPEKVKVGDFPERDPFDDEDEI >SECCE2Rv1G0097010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:451264224:451267843:1 gene:SECCE2Rv1G0097010 transcript:SECCE2Rv1G0097010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMEAPEKKGHRSLAKAKPVKPSYNYNATSRHKRSKSDLEETNAKDALPSSQKACNHPKSNGRSSNLHLQSEANKGIQPKSDSLRKEIVQLERHLDDQQTVRGALEKALGPNPAPLTLSNDGPILQPTNQLIREVATLELEIKHLEQYLLTLYRKAFEQAPTLPSSLVVRQEEPAPPKPSVSSRSALMEETPKPKATVGRGGDAMLHYSCPPLSKRRNGTVEDSSPSTCPTKAMDSDHGLRSQSALSFRGVCSSRISPSEESLARALRSCHSQPFSFLEEGETATTSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHRASSSPTSSLSSTTSVVSPQYLGDMWSPNCRREATLDSRLINPFHVEGLKEFSGPYNTMVEVPSISRDRPRLKEVEDLLQTYKLILHRLETVDLRRMANEEKLAFWINIHNALLMHAYLKHGIPQNHLKKTSLLVKADCKIAGRTINAAAIQGLVLGCSTHCPGQWLRTLLQPRMKSRGSKAGGQWQAFAIHRPEPLVRFALCSGSHSDPAVRVYTPKRLFQQLEAAKEEYIRATVGVRPPDHQHRRGRVVLPKLVEAYARDVGLSPERLLDAAQRCLPESVRAGVQRCRQQQQQQGTTASKAVVEWAPHRQSFRYLLARDLAFPHLS >SECCE6Rv1G0410300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:596379893:596382095:1 gene:SECCE6Rv1G0410300 transcript:SECCE6Rv1G0410300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAPAGRRRGSQPRDEVTHFIMSDFALSNGHAMLLHGRDEPKIACLGHPAVFSADPRPVHGGGVNEPPRQTRNFCAQMTRKNDAAVLPMSAEAKLFSADGYAGIRNVSDVICSPEGDQHKSKESDLLLFDWPELDDLEDLETDLRKFDPAFEIGSDCFDEPVWPSICSPDVQLVPSSHFGNPHPSNVANESATDHTLKPAVSVPDANDQQPSRKKGKETPLNSSSSSSVGIELFPRLPDADLFCPFDYHDMVVPTSSSVMCSDETMPSSAARSGAYVSTKKNQPHGATPDMILDEMAGNPLDMYFPPLATYEQSQAPMSGAASTLPAESFASTLPAESFAGDGALNGAAMQSGSKGRRSSGGVRENARPSSIPEAAPAPVRHLGFQKLQEGMNQLDVGTKACIRDALYRLANTVEQRHCADQNVGSSAANRVKASGVWAETERSPMDRSVAQLLLQKPLHGKTTANRAA >SECCE6Rv1G0384020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:59467049:59467315:1 gene:SECCE6Rv1G0384020 transcript:SECCE6Rv1G0384020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASRKAPSLVVAASVGAVEALKDQAGLCRWGYPLRSLYRHAAAAPGVRALSASLSEATAAPRPAPLYAEEAKLRKAHHLVCWGPN >SECCE5Rv1G0367620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:822826872:822829547:1 gene:SECCE5Rv1G0367620 transcript:SECCE5Rv1G0367620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGHLAPLLDGGGLDASLLRRLYAGHFLARWGARMWEFSVGLYMIRVWPGSLLLAAVYGVVESASVAVFGPMVGTLVDSLTYLQVLGLWLLVQSISFIVAGISVTALFVYDDLKVTSFPVFVALVVVTNVSGALAALSTLAGTILIERQWVVVMCSGHPPAVLTGTNSAIRRIDLSCKLLAPVLSGFVISFVSTQASAVALALWNVASVWVEYWLFVSVYNGVPALGAKGLARDVALAPEVDEAVQGHGRDASSDWSERLTARLSVLPCWESWAVYARQEVVLPGVAVAILYFSVLSFGTLMTATLDWEGIPAYVISLARGFSAMVGIGATVLYPAVHARLSTLRTGLWAIWTQWCCLLLCVGSVWVGGRVASAWVLMAGVAASRLGLWMFDLAVMQLMQDGVPDADRCAFGGVQSSLQSVFDLLTYVMGIIVSDPRDFGQLIALSFLLVTCSAVMYTLHVYRVRKHLFHLDKIFAKMQLS >SECCE4Rv1G0274940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:789113947:789114322:-1 gene:SECCE4Rv1G0274940 transcript:SECCE4Rv1G0274940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKRNLTRAACLAALVAMAAILLSSSTAQADECMVAPECAGPYPEVICPELCARYGFNKDGYCKESQYCCCRGAKLARPNVANPSVNP >SECCE6Rv1G0448500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:856440587:856441135:-1 gene:SECCE6Rv1G0448500 transcript:SECCE6Rv1G0448500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGSSSQVFIMPAAGHVNYLLAGGSGGGDDPRYPWIFKSLHEVDAVVPAIARAGKRPVAVPGGPQVEPYGCPICFRIFATAKAVHGHMRSHTDRSWRGMEPPRPPPLGLGEVRYPYMCDRCKMPFQTRQALGGHRASHSGKKGCSWLEREELAAAEEAQKPIVLDVDLNLPAPEAEDQDGE >SECCE5Rv1G0354850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727370693:727373115:1 gene:SECCE5Rv1G0354850 transcript:SECCE5Rv1G0354850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGSRKAKGKREVAREEQQHSPKGQAWFCTTGLPSDVVIEVGDMTFHLHKFPLMSKSKKIHDLIMNKESSLARQAGGGEEEEEDEGAGEIREEEEVVLEADEEADAHRIRLPDFPGGADAFELAAKFCYGVKLDLAPATAAPLRCAAERLGMSDDHAEDNLVSRTDRFISHTVLRNPRDAIRALRSCEGLLPLADDLGLVSRCVEAIAAKAAASTPTALFGWPINDAGAGDRPRHKKNAGAGATSTLFDDLAGLSLAMFTRVIAAMRERGVGPEVLEGALIAYAKRSIPGLSRSDRHAGGGGAAPRSADGDQKALLETVIVNLPEETIKSSAHTGTAVGATTARVLFGLLRTANILQASEASRDMLERRVASRLPDAAVDDLLIPSYSYLVETLYDVDCVERIVRHFLEGRGGVEEVDEEGSEVETPGREASRRAMLAVGRLMDAYLGEIATDANLKTDKFCDLAWALPDGARVYDDGLYRAVDIYIKAHPALREEEKEKVSGVVDGRKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRRAIARTIVANEGGAAGQGEEDGDSDGGRTWRVATRGNQMLRLDMDSMRNRVQELERECTTMRKAIQKIDRRGGAAGDRGAAAPAAEGRWGAMVTKRFGCKFPAQVCQSQPRSVVARPRRARIEQSP >SECCE1Rv1G0002360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8822147:8824960:-1 gene:SECCE1Rv1G0002360 transcript:SECCE1Rv1G0002360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPAKCLDQAWREDAWELGGLSAVEWSTFKRRGREILRRAKDPGRCIRRLQLKAANFIESSPVRVELEGGSEGKEPIASERRWPAPDSAMGTVIQGNKGEDCSSVFIGLNSDLILDENGQQNQSNLGVNCVDLREDFGEEMGYFKGMVSGSESQPTRPLSACSFVAVSACIFQVPARAQESPAMERKGKGVEQETVPGSTAKPAESSGAPGTVGQGEVLGGTSSLDGRSAVVTLGLCPGLPATAAALTNPATSVGRDGGVGEPAQAATTGGTPLFQGKAAVGGPSGMALSVTPTASIGFASAAMAPPALRRAGKAKVFGGQRAALKPIVVDMEAALRALAGRLAVAPVLSPYPVDQKAVVNDLRGPWRLRGNAVAQRVTSSDGRFVITFTEEGDLKHVLRAGPWHFRNNAVLIAELDGKVNPPEVCLDSFMIWVQIHGLPVPIKTERMGWVLGDQLGKVVAVSHQNKQIVDEHLRVRVIHKLDEPLRKNVVINPFGTTEEISFIVKYEKLPNFCLCCGIVGHTTAKYCSIPMEQRKANYSLELKALAWGGSVRRHLDCWGLPDSREDDENMANIKLPDKVLSLVATAVQNLSVAATPLLQSPAGQGPLVVSAQTDPGLRVLGFSQEGRPVLAGSGSKDTAPVLAVESPGQADAGANYINKGAPSLATEVHMEEPNNQEVLKLHETPGQHSGLLVPEAAGHGFPGEHFDPDLGAPRMQDGVPDGAQQLLSGAAATSQRSLKAPTRPTGPPLGGPMEHLAAPEVGDLRPSFDAKGSETVWGRWKRVHREEREERAEVQYAGVKHVMFGVPTPPNSGSELSNRKVDFLSILESTVGSKRRCQEDHDFIINMNSNSTESIEFSSCKRVCGERVEAVLGVDGLVTHVTKDVDGGEEVSQEKKRESVGEGRDVGKSAKEATDPGAVGQLTGADDSARQEP >SECCEUnv1G0556460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:311542813:311552958:-1 gene:SECCEUnv1G0556460 transcript:SECCEUnv1G0556460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPEVAVTVGEEGRGAEGEDAFDIPGKNAPRDRLRRWRQIALVLNASRRFRYTLDLQRDEERENLRRIIRAHAQVIRAVFLFKKAGQKALQESYNGTNFESLSQRFPIDLEKLVMLNRDHDAIMLQEVGGVSGLSDLLKSNLDRGVSSNEHELLQRRDIFGANTYPRKKRKSIWRFVFEACQDLTLVILMVAAAISLSLDMATEGVKDGWYDGGSIFFAVFLVIFVTATSDYRQSLQFQHLNEEKQNIQVEVIRGGKRVGASIFDLVVGDVVPLKIGDQVPADGVLISGHSLAIDESSMTGESKIVHKDHKAPMLMSGCKVADGYGSMLVTGVGTNTEWGMLMANLSEDIGEETPLQVRLNGVATLIGIVGLSVAGVVLVVLWIRYFTGHSNNPDGTTAFVAGTTSAKQGFMGAISIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEAYLSGTKLNPCDNAGMVSSSVASLLIEGIAQNTAGAVFSPEDGGATEVAGSPTEKAILSWGLKIGMNFNDVRSKSSVLRVLPFNSVKKCGGVAVQVSYAYVHIHWKGAAELVLASCKSWLSIDGSVHPMSSDKYNEVKRSIDDMAMSSLRCIAFAYCTCELTMVPKEDLDKWQLPEDNLTLLGMVGIKDPCRPGVRDAVQLCSAAGVKVRMVTGDNVETAKAIAFECGILNTEDVALETIVMEGKVFREMSETAREEVADKITVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVSAVSSGAVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRHPVGRREPLVTNIMWRNLFIQALYQIAVLLVFNFDGKRIFQLHNESREHADKIKNTFVFNAFVFCQIFNEFNARKPEEKNVFIGVTSNRLFMGIVGITTVLQILIIEFLGKFFGTVRLSWKLWLLSVAIGAVSWPLAYVGKALPVPARPFQDYFKHCSSCRRPRRRDEEQGGKS >SECCE5Rv1G0332490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:548892653:548893155:1 gene:SECCE5Rv1G0332490 transcript:SECCE5Rv1G0332490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAGSLLLLLLLLSLSASAAEANNKESLGDNAAPLTGRRWHLRARRAMAARGHGEARKDEAVGASNTGANPARARGGKKTVEVTVVGLGGESAGQRRSGGGRREFDGQVPLHSDYRTPRVHPPKNN >SECCE2Rv1G0103870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:595859798:595866330:-1 gene:SECCE2Rv1G0103870 transcript:SECCE2Rv1G0103870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPGWFRGKLRSRSKPKPGAAAAASSPRKSVDLDYPSPSPTPRAREKARSLDSPAARHGGCGADLQYKLPVPVISPDPLCEEATDVVGCSSASGSSVCSSPDDAPDHHVSRSMDPIAFAKGRDMASDTAMILNEDKHFMSCSMPREHQKFFEAPVSSVRALHVHNNDDPSTSEGSCSRGRMLTEDIFGPRTRSPSPGRKAHAFAVNNVHSKEFGFSPRSPLKMSEGLRSPPHPLPLPPAPGGCSPLPPSPTACSPLPTSPTACSPLPTSPTACSQSQSQWKRGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVISDDPHSKERLKQLNQEIDMLKKASHPNVVQYYDSYMTDETLSIYLEYVSGGSIHKLLREYGPFKEPVIRNYTGQILAGLAYLHAKNTVHRDIKGANILVGPNGDVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNSKGYNLAVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDTPEIPDIFSEDGRSFLKLCLKRNPASRATASQLMDHPFVQDHPVVRAAKASALSNAFSAPADVKHTMSNRELPSRRSITPLRDIGVSARDFTGFSTTVPSPRTSSPIPVRTNMSLPVSPCSSPLRQFKQSNWSCLPSPPHPMLSSGAAAYNSSSYALNQARRNPDPWQDGSLKLPSPYGSPKRF >SECCE6Rv1G0386170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:91316679:91321308:-1 gene:SECCE6Rv1G0386170 transcript:SECCE6Rv1G0386170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthetic bifunctional enzyme TH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22940) UniProtKB/Swiss-Prot;Acc:Q5M731] MSSAPPSLILRLHPHSSVSCFSSPRRPHLSSRTCPWRLAAAREMPWPHVLTVAGSDSSAGAGIQADVKACAALGAYCSSVITAVTAQNTTGVQGVHLVPEEFIREQLQSVLSDMSVDVVKTGMLPSAGIIQILCESLRKFPVKALVVDPVMVSTSGDSLSDPSTLTNYRDELFAMADIVTPNVKEASKLLGGVSLHTISDMRDAALSIHKFGPRYVLVKGGDMPDSSEAIDVLYDGKEFVELRGHRIKSHNTHGTGCTLASSIAAELAKGSSMPNAVQVAKNFVESALHHSKDLIIGNGPQGPFDHLFRLKCPPYNIGSQQRFDPDSLFLYAVTDSRMNKRWGHSIEDAVKAAIEGGATIIQLREKDTESREFLEAAKACMEICKSGGVPLLINDRVDIALACNADGVHVGQSDISAGEVRGLLGPGKIIGVSCKTPAQAEQAWRDGADYIGCGGVFPTTTKANNPTLGFEGLKAVCLASKLPVVAIGGINATNAGSVMELGLPNLKGVAVVSALFDRECVSSETRNLRSILTSGRCLA >SECCE2Rv1G0112300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:708116992:708117594:1 gene:SECCE2Rv1G0112300 transcript:SECCE2Rv1G0112300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAPSSYTRYETRRRDPNPREAALLVIDVQGHFASLAASAMPAIAATVALCRGAGMPVVYTRHVDPEPRSRPLDEWWAGDRIDAGTPAAELLPGTGRAAGDLVVEKSTYSAFAGTGLEEALRGMGVEEVVVSGVMTNLCCETTARDAFVRGFRVFFSADATATASRDLHEATLANMAYGFAYIVDCKRLEAAFRKDK >SECCE4Rv1G0253730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:629266620:629268806:-1 gene:SECCE4Rv1G0253730 transcript:SECCE4Rv1G0253730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFSPLSPSLFLDLPPTPPPAADVDLDFISRMLMEEDIDDKFFYQYPDQPAILDAQRPYEQIISDTTTSSGSPNGTTSSDGNAATSSSEETNNSSWPYDPLELSQLLRSPPYLDDMVFGGLVPDSPAEEDARSSFLADAPAVGFQQSPAQFEGAVDGASAFFVQNGGSPGTQSSAILNVPAEEKEAERKPVMFSAGDGGQGGLLSAFFSNGGDMDMLNSAFLKGMEEANKFLPTNNTLLDAIPGKERGFTVKKEEVGNGTPTSGNGRGRKYRYDQDDLEAETARSSKLMMPDSEETGAREMFNEIMLEGYEVCMQGIEELRLAMDSEAKKKNGKAARAKKSEAVDLRTMLIHCAQAVAAGDRRGATELLRQIKQHSGPTGDATQRLAHCFAEGLEARLAGTGSQVYQSLVAKRTSVVEFLKAYKLFMAACCFKKANFGFANKTILNAVAGKRRLHIVDFGVQYGLQWPGLMRWLAQRDGGPPEVRITGIDLPQPGFRPARQVEETGRRLSSCARELGVPFKFHGVAAKWETVRGEDLRIDPDEVLVVHCQCGLQNLMDDSFAVTTDSGPSPRDVVLRNIRDMRPAVVVECVANGGYGAPFFVTRFREALFFYSAHFDMLDATIPRDNDERLLIERDIIGRSALNVIACEGADRVDRPETYRQWQARNRRAGLRQLPLDPEVVGLVRSKVREHYHKDFLIDVDHQWLLQGWKGRVLYALSTWVADDA >SECCE6Rv1G0387880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:125179092:125180273:1 gene:SECCE6Rv1G0387880 transcript:SECCE6Rv1G0387880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPPEPDDDAAQPPRAPVTAASPRELVLACADLLHRGDLDGARRAAGTVLSGADPRGDAADRLAHHFARALALRANEGRSSDGAPVAVGVAPASSAAHLAYNKIAPFLRFAHLTANQAILEAAAGARRVHIVDLDAAHGVQWPPLLQAICARADATVGPPEVRITGAGPDLDVLLRTGDRLRAFARSLNLPFRFHPLLLPCTAQLAADPAACLELHPDETLAVNCVLFLHRLSGDGELAAFLRWVRSMNPAVVTIAEREGSASRGDDGDDLPRRVAAAMDFYSAVFDALEATVPPGSAERLAVEQEILGTEIDTVVAGPGGGGGRPRSFEAWTAAARAAGLSPWPASTFAVSQARLLLRLHYPSEGYAAEEARGACFLGWQTRTLMSVSSWH >SECCE7Rv1G0490930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:512015991:512019494:1 gene:SECCE7Rv1G0490930 transcript:SECCE7Rv1G0490930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAAAAAINSVSSYALSPHAAPFNPPSRPACAPFEYRQNGDVSRLADASSVGYAEEKTSRDTHAASSSWSAVCLDAYPSSPYVRITSNYKQQNSLISGNGSKCSTVRIERPQNKTSETNKNNCGSGSKLVIAENPKSNKDSEEETSSCSLQFNDPVDGKDNSQGTMFSSKEANPVFSASSLHIPTTSADPCGVLAEDVMPDPSECSVDSPCYRGASASRLSPFDVFGTPATQSTNQELDAFAVQQKQSSSTVQHHEIPSELQSLVTKTKHDHCKSQTEAGVSKKFGVTSIKETKKSCLKELECANQYAAKCELEQKHLLELRDSYVKRSGLNSAAPDFVPSSIGKSKIGKGPCSSTGKNISGLLKAIENLTVVFQSSYSGDEIELDKDDCILLESVIDSLQTCLHKIRTDPIEGASDKAGPKAPHSQTAVLKYDAGKYDRSYIADGEKDIIINHFAGSSHMHNEFVRNSLTWGQPALTNVQKKMSCEEEHPQVLVYKNLWIEAERANCELKYQLKHTCIKIDLESSMAPIGGPGPRKNYSQVSDLSTDPSNLYGVALTHPTGGTSGARNGQYLPYAGDCTQSGGDAALSCSSSTEGCTALPKNLQRGHVLTGLEETATHHHAPLPYGACQGLNGGTLDGVAARSYITGQDDILRSNSKYGSSDWEHVLTEEIGWS >SECCE4Rv1G0268800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744918594:744920446:1 gene:SECCE4Rv1G0268800 transcript:SECCE4Rv1G0268800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLISLLLSLPQQWQPVLLALVTALSLLLFTRRKGLKLPPGPATVPILGNLHQLGPLPHRALRDLARVHGPVMQLQLGKAPTVVLSSAQAAWEALKTHDLDCCMRPVSAGTRRLTYDLKNVAFAPYGAYWREVRKLLTVELLSVQRVKVAWYARHEQKLISTLDRTEGKPVALDEHILSLSDGIIGTVAFGNIYGGDKFSQNNNFQYTLDDVMEMLSSSGSSAEDLFPIAVGRLVDRLTGFIARRERIFLQLDAFSEMVIEQHLDPNRVLPDNGGDLIDVLIDLWKKPRGTFIFTKDHVKAIILLVRKPRVLKKVHDNIMDVVGDNKTVQPDDISKLSYLRMVVKETLRLHPPGPLLLPRETMRHVQIGGYDMPAKTKIYVNAWAIDKDPVSWPDEPEDFNPDRFEANEIDFKGEHPELMPFGTGHRICPGMSMAMATIEFTLANLLFSFRWTLPEGMTVDDVNMEEEGRLMFHRKTIPPRS >SECCE6Rv1G0424530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:701629467:701635160:1 gene:SECCE6Rv1G0424530 transcript:SECCE6Rv1G0424530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEAPFLLPAEDDEAAPLAGVSDFRGRPVRRAGFGGWRSALFVCVVEIAGSFAYFGVSANLITYLTGPLGHSNAAAAAAVNAWSGTACLMPLLGAFVADSWLGRYRSIILACTLYVLGYGMMTLVTTLQAQQSSPAGDIHSSSPPSSMQVALFYASLYLIPLAQGADKPCGLAFAADQFDADHPKERASRSSLFNWWYFSMAIGISVAVALVSYIQENVGWGIGFGMLCAIMLCAFAVFLAGTPTYRLYAPTPGAESPFARLGRSLMALVRSSSFFRTKGCQDEDVAAKSEEARGVLRLLPIWAACLAYGVAYAQIMTLFNKQGRTLDRRIFGGLELPPAALQTLGPASILLFVPIYDRLLVPALGRATGKPSGLTLLQRVGVGMVVSMGAVIVAALVEGRRLEMAREHGLVDDAGATVPMSWAWLVPQYAMMGVADVLTVVGLQEFFYDQMPRELRSLGLALYFSVMGIGGFISSALISFIDRVTRSGGGDGWFADNLNRAHLDYFYWLLAGLSAAELVLFVWLASSYAYNNNHHKRLQH >SECCE4Rv1G0280270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:819122596:819123898:1 gene:SECCE4Rv1G0280270 transcript:SECCE4Rv1G0280270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDGEAGVKKGPWTTEEDKLLVDYIQEKGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFTDDEEKLIIHLHSLLGNKWSSIATKLPGRTDNEIKNYWNTHLRKKLLGMGIDPVTHRPRTDLSLLAGLPGLLAAAGNFGGAGSATGAWDMNALKLQVDAAKFQLLHGLVRALTTAAAPAPAPALGMDNLMALLAVSNGGQHGGVDQNMLLLQQCQWNDMNNLPALTSSAPTSGMFDGFSAGDGLSSTELGSHGGASGSNVTVGPMVADDQDCKNNGGGGGVSCEQTPASSPFNGLESLDQMDDLNTDGSWKDLLEQMPWLNSSEL >SECCE5Rv1G0339860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611431096:611432792:1 gene:SECCE5Rv1G0339860 transcript:SECCE5Rv1G0339860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRANGSIKCDSAGVDRLGGLPDDVLGRILGFLPTPMAVRATQLSRRWRRLWPAHVLALNLSVQDCKSCGVGVRFPDLCARALARFPTFSIPSISLEFCTRDQIGVGKAKAWYAEAMERAAGSVSVTVPRGAFPLALPRFTQAEALSLTLTHTIDLKLPAAGDDPVHFGRLTELVLATVRLPAGSPPLHEFLSSSCPRLRRLRLSCVRGGEAVRALVLHSDALVALDLNNVDGMERLDVAAPNLRSLSVRSCFRFPRSGDQDTEVVVSAPRMESICWYRSYPKRLSIADGSLARVRRLSGLKLATLGRSDRFDFPYTMQLLQACSLTTQRLELDLVLPDEMTLHNWLGPEQGGSCEDLMRYVPPLPRVTVLSLKVRWGIGGDVRPCLATLLSRAPSLETIYVEPAPYCLTVLGGAVVPRGEWRWGRCVDQRSSGSQMDSLREMVVHGLRGTDGEECSLVEVLLGTVPPSIETISLGFHDATAASVVDKIAAELRARFPFPTATAAGCWTRRACSTTLQWTKRKLTPRKRKR >SECCE3Rv1G0143640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:1874066:1875273:-1 gene:SECCE3Rv1G0143640 transcript:SECCE3Rv1G0143640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDQQQAGVGAGAAAAHREKVKLFMGVLALQFLLAGFHIVSRAALNMGISKLVFIVYRNVISLALLAPFAYFLEKKDRPPLTLSLLAEFFVLALIGITANQGFYLLGLYHLSPTYASAIQNMVPAITFVLAAVLRLEEVDLGRRHGVAKVVGTVVSIGGATVITLYKGLPLFSHNLHVQAVLSWTSGSPIFNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVISLTCVFGLLQFLLIAAFTEEDLSRWKVRSGGELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAVILGDQLYTGGIIGAVLIVIGLYFVLWGKSEEKKTRSLQDPEMARHLLREDGAAAAGKDQQVTTTTVLA >SECCEUnv1G0555030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:295846531:295848330:-1 gene:SECCEUnv1G0555030 transcript:SECCEUnv1G0555030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAGGHGGGRVPSTQFAKHVVAGRWFMFFASILIMAAAGGTYIFAIYSKAIKSSLGYDQQTLNTLSFFKDVGANVGILPGLINEVTPPWVVLACGAAMNLLGYLMIYLSITGRTARPPVWLMCFYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAIYGTDNDGADLVLLMAWLPAAISLVFIPTIRIMPRGAPAAAASSSRERKAFFYFLYASIVLAVYLLVMNVVELEVLKFPRPAYYVTATVLLLLIFFPIVIVVQQELKTYLEPPLPTQSHSQSVVPTTKTTIIDEGEATVTPAATTCFQDVFRPPARGEDYTILQALFSVDMLVLFVATICGVGGTLTAIDNLGQIGQSLGYPQRSVTTFVSLVSIWNYAGRVVAGFASEYVLARYKVPRPLVLTVVLLLACAGHLLIAVGVNNGLYAASVILGFCFGAQWPLLFAIISELFGLKYYSTLYNFGAVASPVGSYILNVRIAGRRYDEEAIRQGGRRGKDLTCIGVRCFRESFYIIAGVTLLGALVSLLLAWRTRNFYRGDLYGKFKADQLAMGPVPAREERPEEATTKDAVATTNGSKIGAAH >SECCE4Rv1G0225310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:91073619:91074839:1 gene:SECCE4Rv1G0225310 transcript:SECCE4Rv1G0225310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIVQLQRRRTEAAAAASASAAAPARSILPDRGERMDLSVPRGEFPIPMHAAASPYGGIGGGGVAVADHAMELHHDHANHNGQSQSQAQDMPSPPAAVSEDSSGKKRAAAIAGGGGGPAVKYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKELDDFDGDSCASHGYGYGHHAVRRLLGPAVPHHHKSSGGLLVTADHYGAYAAARALPPPPPPPLGHHHQIIMPLNMIQTSESDEMDGSGGGGIMGDGRGGLASGGGGGGSSSSKKRFRTKFTAEQKGRMLEFAENVGWRLQKLDDAMVQHFCQEIGVKRRVLKVWMHNNKHNLATRLPQASPPQPQPQPQSMPLAMSMPMPLGMSMPVQVPPSQPGPSGHRGPSSPHAHGELKLD >SECCE1Rv1G0005220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:24679954:24681465:-1 gene:SECCE1Rv1G0005220 transcript:SECCE1Rv1G0005220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRRVRPRRTCEEDRLSALPDGLIRRILSRLDTRSALSTAVLARRWARGPRELPAYDFRVSDILPPQYERTVALRRRNLPRDTALAKVLDGLMAGCEVTTMRAFVDGITGFLDADGGAASRCVKTLRLEFFQTQDGGSIVDRLIADGVGAWGVEDLEVVVRPATHAPSPAYSFPHGRLKDGGRSRLRSLTLGNCTVPPKLHSYDALTTLVLRDMPASTPVAVYERVLSECKRLQVLHLTSCRCAEDRLVVSSGIRELVVDACSFMVIELRDLPMLARLACLTNTVELVFGSVPCLTHTNLSFYVEEDTLVLPPRPHHHDELNHFLLGVSPTMANLIIRFTGSKRWIGSKELDKPLVHLKRLLIADLPSNWDVSWTRTFLMDAPSLEVLHIHVAHSEEAPQSFGIIWSMGSHVLRHPHMKELVLIGFTLTQRQMQLLKYLVSTCTSLQRLVLLKDGHVRYNGLWDWDIVGGQQECQWTDHDKRALRRMIKSGPRPLVQLILA >SECCE1Rv1G0026940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:376284712:376286829:-1 gene:SECCE1Rv1G0026940 transcript:SECCE1Rv1G0026940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSNIGMMDGAYFVGRNEILAWINTTLHLSLAKVEEAASGAVACQLMDAAHPGAVPMHKVNFDAKNEYDMIQNYKVLQDVFNKLKITKHIEVNKLIKGRPLDNLEFMQWMKRYCDSVNGGSMTSYNASERRESSKGGKDTNRRTSGTSQAAAKSASAPHKAQPPSHAAKRANGHASNAPQRCAKPPPAAAPAYDAQMTELKLLVDSAEKERDFYFSKLRDVEILCQSPEVEHLPIVKAIQKILYASEDDPSTVAEAQAEMVAQQNQMQQQPMLSPILEASEAPSITPKDSSEESLRQEAAAAHKRKSISDLEEFEMGSSSRMRLSDVSDVQLCGSPLMSFT >SECCE3Rv1G0204240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:890680908:890682465:1 gene:SECCE3Rv1G0204240 transcript:SECCE3Rv1G0204240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQELNLPPGFRFHPTDEELVTHYLCRRCAGAPIAVPIITEIDLYKFDPWQLPKMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGTPKPLAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGGMEKPASVDRKPATMGGYGGPPGAMVSSPQEQKPVMGMSGNGGGGVQPFPDFAAYYDRPSDSMPRLHADSSCSEQVLSPDFPGERGGGEVQSQPKISEWERSFASGGDPVNPAAGSMLEPNGGFGGDPLLQDILMYWGKPF >SECCE5Rv1G0375910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:870067371:870068321:1 gene:SECCE5Rv1G0375910 transcript:SECCE5Rv1G0375910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQVALALLLFLVVASTSPLALAHGDPDYGGGVKKSEAVGGYIAGAEKKPEAVSVSAEKKPEVVSASAETKPEVIAGYAGAEKKPDVAPNNVDTEKKPVSASGYAGMEKKPKGATKAAEEKKSEVASEKKSKRKSEPSQPSTIEKKPKTKNEKKSKGKNDASGYTGAEKNPKEKVDTPKKEKPKEEVRNIIPNTYAKPKEEPKKEEPKKEEIAASSRDAYSEPKKEEPKKEELVAAEAKKEESKKKEPKVPEVDTTANYAAPKKAQPETTATVTADSYTAPKNAQAETPAASTADTYTGPKTAHMAAASTTGGYA >SECCE3Rv1G0194750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:785244927:785250242:1 gene:SECCE3Rv1G0194750 transcript:SECCE3Rv1G0194750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFPRMHHQLKPPEAATLPRHLLEDHVVSLVRQCRSLRALRGAHARLLRLRLPRLTYAFALSKLLASCAASATTPAAASYARSLFDQIPEPTAFCYNSLVRALATPGNPAADAVLLYRRMLRAGSPPPNSFTLAFALKACAAAPALGEGRQLHSQALRQGLEPSPYVQTGLLNLYARCEEVALARSVFDGMAEDRNLVAWSSMISGYSRVGMVNEALDLFRDMQAAGVDPDEVAMVSVISACAKAGALDLGRWVHAFIDRKGITVDLELSTALIDMYAKCGLIERARLVFDAMVERDTKAWSAMIVGLAMHGLAEDALGLFSRMLQLKIRPNNVTFIGVLSACAHNGLVDDGRRYWSTMQEMGIKASMENYGCMVDLLCRSGLLDEAYSFVTGMPILPNSVIWRNLLVASKSSNRNDIVGLASKKLFELEPRNPENYVLLSNLYALNSQWDRVRYMRKKMKDNNVTVVAGCSSIEINGYLHKFVVSDGSHPEIKEIRVVLREIADRVLQSGHKPWTAAVLHDVGEEEKEIALCEHSERLAIAYGLLKTKAPHVIRVVKNLRFCPDCHEVAKIISKSYGREIIVRDRVRFHRFIGGSCSCKDFW >SECCE3Rv1G0208690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:934296482:934296964:-1 gene:SECCE3Rv1G0208690 transcript:SECCE3Rv1G0208690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKGARKSLVSRTLERCRSGLNSGGRSSAAVAPGCFSVYVGPERERFVVRADRANHPLFRRLLDDAEQEYGYAAQGPLALPCAVDAFLDVLWHMDHDVQDDDDDDGEAAVAPSTPICGLQRAGSGSIKVRPAGYRVLSPAKSTPASFFFSQTAAGGRR >SECCE1Rv1G0014110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:110100992:110106964:-1 gene:SECCE1Rv1G0014110 transcript:SECCE1Rv1G0014110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGQDRKTIDLEDGWAFMQRGITKLINILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEEYIRATVLPSLKEKHDEFMLRELVQRWSNHKVMVRWLSRFFHYLDRYFITRRSLTALRDVGLICFRDLIFQEIKGKVKDAVIALIDQEREGEQIDRALLKNVLDIFVEIGLGNMDCYENDFEDFLLKDTTDYYSVKAQSWIVEDSCPDYMIKAEECLKREKERVGHYLHINSEPKLLEKVQNELLASYATQLLEKEHSGCFALLRDDKVEDLSRMYRLFSKITRGLEPISNMFKTHVTNEGTALVKQAEDSANNKKPEKKEMVGMQEQVFVWKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVADHPESNPGVDLAVTVLTTGFWPTYKTFDINLPSEMVKCVEVFKEFYATRTKHRKLTWIYSLGTCNISAKFDAKTIELIVTTYQAALLLLFNGSTKLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILSKEPAGRTISPNDSFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMAHTQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKDNANTYRYLA >SECCE7Rv1G0498930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:648495466:648496497:1 gene:SECCE7Rv1G0498930 transcript:SECCE7Rv1G0498930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSTNSFKKYADANPQTSAADMEAGGEGVANLDQFFSDVEAVKEDLRGFETLHKRLQSTNEETKTAHDARAIKALRSRMDGDVGQVLKRAKGVKAKLEALERDNADSRKAPGCGPGSSTDRTRTSVVAGLGKKLKDVMDDFQGLRARMAAEYKETVARRYYTITGEHAEESTIDSLISSGESESFLQKAIQDQGRGQVMDTISEIQERHDAVKDIEKSLMDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELEQAHEIQKDTRKWMCFAVLGGIALVVVLVTPVLINLHILTLR >SECCE3Rv1G0185880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679109977:679113011:1 gene:SECCE3Rv1G0185880 transcript:SECCE3Rv1G0185880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRGGSPPAERRRGIRRLLLPRGEASSSSSSSVPLPPPAAPEVGRRKGFASAALRGLGCTSAAASQAYAPGAGSAAAAAVRSSADWHGRRKKGKDKRKERGGGGGGGGLVGGGIGGDVWCAPGIPFAAEASSVDCVVARHQMLGRGRGGDAERPHRERPCLSRRASMQEQMSSSFMESPPPPLPHMDGPFFGADLLPSARLRRMRGYRPSPGGLEEEIMMFQTRVLLGGMNMYDRYQDWRLDVDNMTYEELLELGERIGHVNTGLREDEIVRNLRKVKPDSSFRFPTEVEKKCSICQEEFEANDEMGRLGCGHSYHVYCIKQWLSQKNVCPVCKTAVTKT >SECCE6Rv1G0438650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:791305180:791306579:-1 gene:SECCE6Rv1G0438650 transcript:SECCE6Rv1G0438650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 67 [Source:Projected from Arabidopsis thaliana (AT3G17060) UniProtKB/Swiss-Prot;Acc:Q9LSP1] MMAQPSLLLLLAAAVVLSLSLCDAHNKLAKKSNDVVNGPLLTSKIGAKRTLIVGPNDEFKTIQSAIDAVPQGNSEWVVVHLRAGVYTEKVVIPETKPFIFVRGNGKGRTSISYESASPHNTESATFAVHADNVIVFGISFRNAARAGLPNNPEIRTVATMVSGDKVAFYHCAFYSPHHTLFDSVGRHYYESCYIQGNIDFIFGGGQSIFQCAEIFVKPDRRTPILGSIAAQDRKEESGSGGFVFLKGKVYGVGEVYLGRANEAYSRVVFADTYLSKTVNPAGWTNYNFSGSTEHVMLAEFNCTGPGADASQRVPWSRRLDQAEAAKFLTVDFINGKDWLPAFYY >SECCE4Rv1G0267070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734396838:734398268:-1 gene:SECCE4Rv1G0267070 transcript:SECCE4Rv1G0267070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRVRVLDTTHVRPELPGHAATIKLSPFDTLFLALPPIQRVFFYDDEGAPSLPPFPAIVRSLQASLAATLPVFAPLAGRLAACPDGDLVVDCSPDALCHHGVRFVQAEYSGDAADMRRLARDAEHDTEAFVQLVPELEVGRLPALLLAVQVTRPALSAGDDLGGPGAVVVGVSMHHAVADGQSLFQFMRAWAAASREGSPAAAGLVPPPTFDRAVIMRHPKAEAAARNFARLCAPDLPTVNTMPELDWTRQSRRTYQLDSGQIQSLKRRIVQQRQAAGTDDDNQSPPSTYVAVASLLWTSMARAKHPDHAANAGADDDDAYLLFPADCRRRMRPPLDPGFFGNCVKLCFARATASKLMCRDDDDGALAHAAGALRRAIREQVEEKDPLGDADRWAETYQGIPPERRSQQGSSHRFMAYEVDFGWGQPSRAEIVSMYSPEVAMLIGGPHGAVQVSVALGRDLIDGFEACFLSLLSP >SECCE5Rv1G0367850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:824625498:824632130:1 gene:SECCE5Rv1G0367850 transcript:SECCE5Rv1G0367850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTTRNRALPHGNDNNDDATAPPSPPETVYYEGCPGCAMERKKENSTGTPYKEFFYVGVTTLASALPISSLFPFLYFMIQDMHVAKNEQDIGVYAGLLGASYMIGRCFASLFWGVVADRIGRKPIIAFSMLSVVIFNTLFGLSVKYWMAITTRLLLGSLNGMLAPIKAYSVEVCRPEHHALGLSVVSTGWGIGLVVGPAIGGFLAQPAKQYPNLFSEKSLFGRFPYLLPCLFISLIAFAVLISCIWLPETLHMHNNLKREVEMSSDSRAAPHREVPHPERKSLYKNWPLMSSITTYCVFTLHDTAYSEIFSLWAVSDKKYGGLSFSSKDVGQVLAASGAGLLLYQIFVYRHVHKYLGSIISSRIAAALSIPVLATYPFMTHLSGTRLGLAIYFATIMKGVFATTILTGTCILQNSAVSQNQRGAANGISTTAMSLFKAIAPAGAGVLFSWAQKRQHAAFFPGDQMIFLILNIVEVIGLVLTFKPFLMVPKQYDWK >SECCE5Rv1G0302520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:39694577:39699404:-1 gene:SECCE5Rv1G0302520 transcript:SECCE5Rv1G0302520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHLSLRLTLFGSRVVHITMSPLCYHGKPRPLLVLLAVTLTLLAGAATADDVSSGTAPFYPSAEAAAAAHCDGTLYPELCLSTLADIPDLHKKPLPDVICAAVNRTEAEVTTMSANCSAYLRQRSLTARDHLAVMDCMELLDTTMDELVATTADLQSPSAARRPTMEHAVTVLSAAITNQQTCLEGFSYQKGGEVRRYMEPGIRHIAKMVSNSLAMAKKMPGAGAGRPTPSSPSTEETESSLQVQGRQPFTGYGQVVKGGFPRWVRPGDRRLLQAPASGIKANAVVAKDGSGGFTTVSAAVAAAPNNSQSRYVIYIKAGAYMENVEVGKSHKNLMFMGDGMGKTVIKASLNVVDGSTTFRSATVAVVGNNFLARDLTIENAAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRECDIYGTIDFVFGNSAAVLQSCNLYARRPLPNQSNIYTAQGRTDPNQNTGISVQKCKVAAASDLAAVQSSFRTYLGRPWKQYSRTVFIQSELDSVVDPAGWLAWDGTFALDTLYYAEYQNTGPGAGTSARVSWMGYRGIISAAEASTFTVGSFIDGDVWLAGTSVPFSAGL >SECCE2Rv1G0126020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844445430:844447576:1 gene:SECCE2Rv1G0126020 transcript:SECCE2Rv1G0126020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVGRKTACVTGGSGYIASALIKVLLEKGYAVKTTVRDPDDMEKNSHLKDMQTLGPLEIIHAQLDEEGSFDNAVSGCDYVFLVAAPTNLGSADPERDLIEAGVQGTLNALRSCVRAGTVKRVILTSSDAAVSRRPLHGGGHVLDEGSWSDVEYLRSNKPPTWGYAVSKVLLEKAANEFAEENGISLVTMLPVYTLGASPDSKARTSVPITLSLLSGDETQLNILLGLQAVTDDSMAICHVEDLCRAKVFVAENESSAGRYICCSNNTTIVQLARLLAEKYPQYNVKPERFDGYPEEPRVCLSSEKLTREGFAFKYNDLSEIFDDLVEYGRTTGILPY >SECCE5Rv1G0355450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731751202:731754265:-1 gene:SECCE5Rv1G0355450 transcript:SECCE5Rv1G0355450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLISAAAGDLVSRFISFLAQSYGARTSEEEDCTRLERILLRMHTVVEEAEGRHRHITNRGMLQQLKALIQGMYLGYYMLDRLRVQSLGEESAEDDEVSHRSQSFAVSTFNTAKRLRLAVAASITKNTPVAFGAGSTRKLKSVLEKFVMLLGSCPRQPYDTYLHMDKCMFGRHIEREQLLNFLLPPHNGTNFGILPIIGPCRVGKKTLVQHACRDERVRDRFSRMLFYKGDDPHIGEFAVNCKEDSGKYLFVVDLSWDVNEAAWENFRSCLQKMPSAGSKTVVIGRTDQVANMGTAQPIRFKSLPQEEYWYFFKALAFGSMDPDENPKLASLGMQLATHLNGSFLGANIIGGVLRANPDTKFWCNMLLRIRELVRKHGSFGIHPEDPLERNIPVNFTKSETGPEQSELPKLTWQQLLTGAEIPDDEDKFDVLAWRSQIPPYRDYIVTFEKQKPRRKVSRRNHLAPRKTSA >SECCE1Rv1G0038660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:541966126:541966443:1 gene:SECCE1Rv1G0038660 transcript:SECCE1Rv1G0038660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKLAAALALFAVCAALAATPATAYPPESCATQGSYFINCLRRGFGERCCAMVENPRCFCQVEREAEIRCVPGRSCPSRGIAKVVKVAEMHLSCMRNQKCKRA >SECCE6Rv1G0449890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:865083270:865084335:1 gene:SECCE6Rv1G0449890 transcript:SECCE6Rv1G0449890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQPAVQTTVLRVTIHCHGCKKKVRKVLKSMEGVQDVKVDAQQHKVTVTGTVDADTLVKRLYKSGKHALPWQHTPAAPASSPEAPAPATPAEDGTTKDAAAADKKPVEPVKADSSDKKPPEKETAPEKKPETEKEAEPEKEAEKEEAKPSDEAKQDGGESAGTEPEAKDTEPASESAASTKEAGDDEGGDKTKQSKPKDAPAPDRSLSPTPAPAHAHQEFNPYSAPQPVMSYHMAQPRASVSYYAPQPEQGYSMRQQPPQPQQAYSQQAQPMQQWSPSYLYMTYPNPSPESHYQDYYSPPGAYAPPLQDSYRLFDDENPNSCSIM >SECCE3Rv1G0162640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:134953793:134959426:1 gene:SECCE3Rv1G0162640 transcript:SECCE3Rv1G0162640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Orthologue of the Arabidopsis GIGANTEA, Regulation of circadian rhythm and flowering time, Photoperiodic control of flowering, Osmotic stress respons [Source: Projected from Oryza sativa (Os01g0182600)] MSVSNGKWIDGLQFSSLFWPPPHDAQQKQAQILAYVEYFGQFTSDSEQFPEDVAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLSYDSHGSPFNSFISLFTQSSEKEYSEQWALACGEILRVLTHYNRPIFKVADCNNTSGQATTSCSAQEKANYSPGNEPERKPLRPLSPWITDILLTAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGAGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGMRLPKNWLHLHFLRAIGTAMSMRAGIAADTAAALLFRILSQPTLLFPPLRHAEGVEVQHEPLGGYVSSYKRQLEVPASETTIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLNSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSESSKRPRSQSKNLAVAELRTMIHSLFVESCASMNLASRLLFVVLTVCVSHQALPGGSKRPTGSENHSSEEATEDPRLTNGRNRAKKKQGPVGTFDSYVLAAVCALSCELQLFPILCKSATNSNVKDSIKILKPGKSNGISNELQNSISSAILHTRRILGILEALFSLKPSSVGTSWNYSSNEIVAAAMVAAHVSELFRRSRPCLNALSSLKRCKWDAEISSRASSLYHLIDLHGKTVSSIVNKAEPLEAHLTFTSVKRDGQQHIEENSSSSSGSGNLEKKNASASHMKNGFSRPLLKCSEEARRNGNVASTSGKVPATLQAEASDLANFLTMDRNGGYRGSQTLLRSVISEKQELCFSVVSLLWHKLIASPETQMSAESTSAHQGWRKVVDALCDVVSASPAKASTAIVLQAEKDLQPWIARDDEEGQKMWRVNQRIVKLIAELMRNHDSPEALIILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAIHLIVEWGDPGVAVADGLSNLLKCRLSPTIRCLSHASAHVRALSMSVLRDILNSGPLGSTKIIQGEQRNGIQSPNYQCAAANMVNWQADVERCIDWEARSRRATGMTLAFLTAAANELGCPLPC >SECCE6Rv1G0451950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874429357:874431283:-1 gene:SECCE6Rv1G0451950 transcript:SECCE6Rv1G0451950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYPLAVAVRCHCPPLLLPRRAPPPPAATPLRRRPLQLRPLTSSPGLRTRGRRHAVDPEDDGFLTLDLEDFEGFADGEAEGDGPSPWEGAVVYRRDAAAQHLEYATTLERLGLADLSSPHSRARAAAMGIMPPSKPRRGAGDAAAAATTPVLVSVDVTRRRGRLRLDGILRTVITLGCYRCAEPAAEGVFANFSLLLTEDPVEEPDVVDLGTIYEEDRTKFPSITGSEDENDEDIDWDDRLHFPAGEKEIDISKNLRDIIHLEITLDAFCSSTCKGLCLVCGANLNTSSCSCSTEEPQAKDARRPGTLKDLLKPMQRRL >SECCE7Rv1G0476920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:212708584:212710137:1 gene:SECCE7Rv1G0476920 transcript:SECCE7Rv1G0476920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTQQKCKVCTKTVYPMDQLSTDGAVFHRACFKCHHCKSTLSFSSYSSFEGVPYCKPHFAQLFKETGSYNKSFQSQSPAKSATEKLTPELTRSPSKAAGMFSGTQDKCATCGKTAYPLEKVTVEEKSYHKSCFKCSHGGCALSPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCATVKRAAEAQTAQAAAQTAQAAAESS >SECCE6Rv1G0412730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:616677764:616681333:1 gene:SECCE6Rv1G0412730 transcript:SECCE6Rv1G0412730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DCL homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G45230) UniProtKB/Swiss-Prot;Acc:Q9C642] MQFPPSPARPMAAAASAPAVSCLRLLLLPGFSASLLASRARARARGVAVRSGAAPPDPAAMLRRPAAATTAAEEREADADASLGSPVDDEPPEEGRRRGPEREWVDWEDLILEDTVPLVGFVRMILHSGKYSSGERLTPEHEKAILERLLPYHPQYEKKIGCGIDYITLGLHPEFENSRCLFIVRTDGEQVDFSFWKCIKGLIRQKYPLYADSFILRHFRRRQDY >SECCE5Rv1G0324470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:447350211:447352565:-1 gene:SECCE5Rv1G0324470 transcript:SECCE5Rv1G0324470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSTTATTTLTHNSAMASMVSILLRCSLLLLLLVQSTHSSVTPKPKNAIAEEHKRPHPSISSTYIVLTNHLAKPSRFDTLERWYSSMVGKNSNRIRYTYGTVMHGFAARLTDGEAQRMATVPGVSRVYKDRLYHTQTTRSPWFMGLHDDFGAWPDAEFGDGIVIGFVDTGIWPESASFSDTGLSPVKSTWRGKCVDAPGFNASLCNNKLVGAKSFVTEHELDAGGLTDSSPRDIEGHGTHVASTAAGSEVPSADLFQFAGGRASGVARRARIAMYKACTRDGCSLSAITAAIDAAVSDGVDLISMSLGGPVEGFYDDLVAVATFGAERRGVFVVMSGGNDGPKASSITNVAPWMTTVGAATTDRVFPATLRLGNGVVLTGQSLYDIPFSQAGTIPLVSSECGEYDHLTPDRVMGKVVVCSKRVGAWAGFDVERAGGAGMISADSRIRFGDAVKAQPFNLPGLQLSSTGGKKLADYMSSVAYPVASFNFTCNTVTGENRAPMVAGFSSRGPNRIVPEILKPDVIAPGVNILAAWSGAASPSGSEMDPRRVEYNIISGTSMACPHVAGVAALIKKRHGDWTPAMIRSALVTTASPLDKNGRDIVDSGSAVGAAVMGATPLAAGAGFVLPRLAMDPGLVYDAGTQDYVDFLCTLNYTVEQMWKFVPELSKCDRTIPGGVANLNHPSFVVVFDGRTRVRTLTRTVTMVSAHPESYNVTVAAPDGVKVTVTPATLEFRWPKEKRSYSVQFSSEAGAKVRPAGTWDFGHIAWENREHRVRSPVAFKWDN >SECCE1Rv1G0028280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:396823155:396825862:1 gene:SECCE1Rv1G0028280 transcript:SECCE1Rv1G0028280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase 31 [Source:Projected from Arabidopsis thaliana (AT3G29090) UniProtKB/Swiss-Prot;Acc:Q9LVQ0] MAQPHRRVLRVAPPGSAGGGGDGEAFPTVQAAVDAVPLGNRERTIIRLAPGVYREPVYVPKTKNFITLAGASAEATVISWDNTATRIKHAQTSRVIGTGTFGCGTVIVEGEDFIAENITFQNSAPQGSGQAVAVRVTADKCAFYGCRFLGWQDTLYLHYGKQYLRDCYIEGNCDFIFGNSIALLEHCHIHCKSAGFITAHSRKSSSESTGYVFLRCIITGNGEAGYIFLGRPWGPFGRVVFAHTFMDRCVKATGWHNWDKSENERTACFYEYRCSGPGSRSSSRVSWCRELLDLEAEQFLTHSFVDPDLDRPWLLQMMAIKIPASA >SECCE6Rv1G0426140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:711869622:711870776:-1 gene:SECCE6Rv1G0426140 transcript:SECCE6Rv1G0426140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKKQRATAPHHRLPDEIVVWEILVRLPPKSLLRCRAVCRAWRRTTSTRDFLLTHHAHQPTLPIVSGYSYGGPYCHRDIITFDHRAADAQLQHVAQLDVERFCRLVASCDGLLLLSCSTIDASCLYIYNPTTRQYASLPLLRERDFMVLGMYQHRSTGEYRILLIYGKEISVGPVIVRKHDTCYIFTLGSIQPPRNIGRPPEAEQVWLRGGIALMFRGSLHWHVKQRGTESIMIVVFDTTTESFKQMHVPVVFPHAKLFEMDGVLGMFSRNDVGTIINIWELQDYENQVWTFKCKIELPVNEIKVLCGKHDNYWYAMVMPGDGELLVLVQFAGWLLQVDMDGKLVASFHQQEVIATHLQLKQTLVPHTFFPDGYVVNALPQV >SECCE7Rv1G0525930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893363159:893365352:-1 gene:SECCE7Rv1G0525930 transcript:SECCE7Rv1G0525930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMETNIGQALAAVLTLLVTMRALWYLLWRPYAVARWFGRQGIRGPPYRFLVGSLPECQRMVVAGRAKDLDASSHDCVTTVQPFFRKWASLYGKTFLYWLGPTPALCCTDMELVKKVLTDRTDLFQKDYLNPSLDPVLGNGIVFANGDDWKRRRKFIHPAFNQGKIKAMSAITLECTQQTMERWRAQIQEGSRQHAEIDMSHDSDEIAMSVIARVMLGKNYKEAWDVLVAGKEQLKLATYAFADPPVTGFKYLPTRRNRRTWQLDKLVRSKISRIIEARLATSVYGDDLLGQMLQLQACSKAETLSTEEMVGECRTFFAAGYDTSASLITWAMFLLASYPRWQEMVREEVVREYPAHELPLVDSPGKLKLLNMLLLETLRLYGPIAFLQRKTSSDTTLAQMKVPKGTMITIPLVMLHRDKDVWGPDADEFNPMRFQNGFSKTAKHPHALLAFSCGPRVCAGQNFAMVEVQIVIATILKSFSFTLSPTYVHKPSNFITLTPRYGLPLIVRKLQQAV >SECCE4Rv1G0265060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724246749:724249381:1 gene:SECCE4Rv1G0265060 transcript:SECCE4Rv1G0265060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSAAALVVAVAVALAAVLLLLAAPAAEAAGQKKPATAARREDVPYIRCQVCERIAREISAQVAAKQQLLPPSKKVPEIEIIDIAENVCNLKKQEADWMLRIDIVEKGDKLELVDQDEEGHCNSECKTIERACQEVMGYADTDVAEFVYTNKPSVDQLIKFVCKDITKACAADPPPVPKDRIPGEPFAAKPSKDAEMEKIMRSMEGMPGAPNMKMYSRDDLMKNNFGVEGDEDDEDDDEDEDSLPKNLGNILKNKNKGPQKKDLKQEVVKQIKDTGKKLKGQVSKVTKVVKNWWKGKKKPAKSSKSEL >SECCE1Rv1G0039410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:548035883:548037562:-1 gene:SECCE1Rv1G0039410 transcript:SECCE1Rv1G0039410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRIGKESLKAGDHIYSWRAAWVYAHHGIYVGDDKVIHFTRGRDQEVGTGTVIDYLLVSSGPNRSTTPCLVCSSEEATTAAETNGVTSSCLSCFLAGGALYRFEYDVNPALFLAKVRGGTCTLAATDLDEVVVRRAKYLLTNGFRCYSLFKNNCEDFAIYCKTGLLVAEQGNVGLGQSGQAVSIIGGPLAAVVSTPFRLVTTNIYGVAVMAVGVYCVSRYAGDIGNRRDVLKVEVEDLTAGLASGRIRPANISQLATPGQVQVPAVTTLVAA >SECCE6Rv1G0416200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:647422225:647423194:1 gene:SECCE6Rv1G0416200 transcript:SECCE6Rv1G0416200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTHYVPGRISENRAGSTPETLQVFSIKLTEIAGCLAWPLSVYGVIAVRDIMDHNRNLLFSCDRSEAQELKQDDSFLRLIGPSRAIVLTDIVDIEIQLKMKGPTMSQDKALISSVCNYGGGGLGVSTLCIDNCLCTLELCLQRVKRTVQATILSVQVVKCGSWPFEYGGRVACSLASRETVVTDSGVTRAINHPSSSQIVMLESKDEARLKGLDGYIYLLRQVVSVEIEGVLDIAIEAYSKSGDIGAQGRICFAPQFCNISQDKFAIADVEVMITIAWSLVPTSKREIVAQRQFV >SECCE3Rv1G0186870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:691981006:691981797:1 gene:SECCE3Rv1G0186870 transcript:SECCE3Rv1G0186870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPTEAGAAPCYAGRKRPHELTLAVYDPAAAEQRVNAAGLSLSGALVPFLPPPVDAAPIKAVPLCAVAPRAEPAWIRPYFDLRLDLTVHFIDEKVVTATDLDPQQNRFRLPTAGVLRSLRPILSPEELDAASIPREGANAAPRLPRRPPPPPTQEEELQQGPIKRKKRQGKKHGGLPVVVCNVHAGTKALQLTQWESSHGNIIKGEGYLDFINRCCFKETDVVEIWAFKERHFHLFGVDMCRASPLYVVLAKKEQRPAASMG >SECCE3Rv1G0194550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:782335556:782338730:-1 gene:SECCE3Rv1G0194550 transcript:SECCE3Rv1G0194550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQSRKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGKRSGVRYVTGGLKVYYVPWRPFLMQNTLPTLFMTFPIIRTILIREKISVVHGHQAFSTMCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPASNRLSCDEIIIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPEDMVRAVRQAIDILPGIDPQIMHRRMKKLYSWDDVAKRTEIVYDRAMQSSNTNLLDRLPRYLTCGAWAGKLFCLVMVINYLVWCLLEFLQPAEGIEEVPDIGSVHIHLDSVDDQCEAQGN >SECCE5Rv1G0354910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727625527:727626066:1 gene:SECCE5Rv1G0354910 transcript:SECCE5Rv1G0354910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSYDAAADGPATARVVLPSGELREYSQPATAALALEEVGHQEWFLCDADAMGFEGSVAAVASGEALRPGQIYFVLPSDMLRRRLAPEEVASLAVKASAALVKAATASSARGRRRRGSVAPLVFAPSEEDHSAEDTFTLATFAVKPAVAQKRRVAYRGGRSPPRFSPDLTAISESE >SECCE6Rv1G0397530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:395118187:395121292:-1 gene:SECCE6Rv1G0397530 transcript:SECCE6Rv1G0397530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G19520) TAIR;Acc:AT1G19520] MRALLSLSKLARRLPTSLAATRVAAPLLQRHLHADSSSPSQAPPPFASRILQSEESPNPSTDLEHAQPAPDPVLDEFLARLVTALRPTLAAAFPTHTRPVLDEMLRLIAEAVLDRLSGADPGPDTVELSDDLWAAVWEVSASVREAMRRDQVRADLRNYLHSDDVKEMTRFAVDVGIRGAMLRELRFKWAREKLEEVEFYRGLDVMRQQADAADSPAAPPPARLTALPQRKGEVKFKISGLDLSDPKWAEVAERAAEAEAHFVPEEAKAVDGKAKKAEEQLLAVEPRKGNPVPAMEEWKEELRPKRVDWMALLERVKARNVELYLKVAEILLDEDSFAATIRDYSKLIDLHSKATQVQDAERILGKMKEKDIAPDILTSITLVHMFCKSGNLEQAMRAFDFIQKEGLQPDTKLFTSMISACINAGDPKQAEKLVKKMDELSMKPSRELYMDVMRAYAERNLVDGAQTMKTRMSFAGIEPPLECFTLFIEAYGRAGNPDHAYESFEQMRKNGHEPDDRCLAGMINALMKKNQLDQALKLLLSLEKEGVKPGVKTNLVLLDWLSTLQLVQEAEQLVQKIRKAGEEPLEIHVYLADMYAKSRQEEKARKSLKILEEKKRLLKADQFERIIRGLQVGGFSEDASKYFKMMRSRGFVPSGTVEAGVTGVGRPAGR >SECCE2Rv1G0100630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:545433082:545435334:1 gene:SECCE2Rv1G0100630 transcript:SECCE2Rv1G0100630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51300) UniProtKB/Swiss-Prot;Acc:Q9LU44] MASAETLARSPSREPSSDPPRDAPRDASSEPHHNGAANAAGDGDSSSRRRRRSRWEQSNDESGANSGGEGGAGGRKRKSRWAEEEPRPTIALPDFMKDFAAEMDPEVHNLNSRLLEISRLLQSGLPLDDRPEGARSPSPEPIYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPADYRPPKLHKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDLKPDPSENEDLHVLVEADTQEALEAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNRTTTFKSEVQCKICGDGGHPTIDCPVKGTSGKKMDDEYQNFLAELGGSAPESMNKSGGPMLAITGGGGGGGGGSGSNSPWSAGNGAATTGANGLKKDYDETNLYIGYLPPMFDDSGLINLFSQFGEIVMAKVIKDRNTGQSKGYGFVKYSDVSQANAAIAAMSGYHLEGRTIAVRVAGKPPQPAAPSGPPAAPTPQMYHSADPSAGGYNSQPYMGGHPPPPAPPGSYAPVPWGQPPPYASYPPPPPPGMYNPAPGQTAPHSYGMQYPPPPAPVPPPGTTSNDGAQNYPPGVTPPSSGAPTQPVPAPAYGTSGAQNMPHMYPPPPYSYAPYYPSAPPVQPPPPPPPPPASVDPSQSIATAPWATHNAPPPPPPPASVDSSQSIATAPWATHNAPPPPPLPSSNDHPTPPYGADAEYDKFMSEMK >SECCE5Rv1G0362820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:789315720:789317610:1 gene:SECCE5Rv1G0362820 transcript:SECCE5Rv1G0362820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKKMIYGFSISLILINLASIMERADENLLPAVYKEVSAAFDAGPTDLGYLTFIMNFLKSIASPLAGVLALQYDRPTILAVGTVFWALSTGAVGVSQYFQQVAFWRGVNGVGLAIVIPSLQSFIADSYREGTRGAGFGLLSLIGSIGGIGGSIVATVMAGRDYWGFPGWRFAFIVVAFASLLIGLLVYFYTVDPRKISPGNFGDDDTHERSRLVGNSVFPPLSIWKDSWITARSVMKVRTFQIIVLQGIVGSLPWTAVVFFTMWFELIGFDNRSSAGLNSLFAIGCASGSFLGGVIADRVSRRYPDSGRIMCAQFSAFMGIPFTWILLTVIPQSVDYWYSYAVTLFLMGLTISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFSSLAAPAVGMVTEKIYGYNAKTVNLANGSVAGAYALSRGLLTMMIVPFGLCCLFYTPLYFVFKRDRENARLAASAKDLELM >SECCE6Rv1G0446470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:844201033:844201398:-1 gene:SECCE6Rv1G0446470 transcript:SECCE6Rv1G0446470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTASMLGLGLVGIAAASHSAEVDNDKTAVTHYVANGGCLNEFRAAMRACDRYEPNSAKRRVVDVEACVGATKALRECFSRNPDYFEHQYIKRLDSGLDQDPDWQEDVGKFRWWSGMRRS >SECCE2Rv1G0123110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:821337153:821338575:1 gene:SECCE2Rv1G0123110 transcript:SECCE2Rv1G0123110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASAGNNAGSTSNAEVQIQIPAGPPKADPGAPSKNSGAKNWRWWLMVSVDVFFVVAGQTSATLLGRYYYHQGGSSKWISTFVQTAGFPVLFLALLCFPKSSDGGGANGDAPVGKVAVIYVVLGLVIAADDMMYASGLKYLPVSTYSLICASQLAFNVVFSYVLNSQKLTGLIFNAVILLTLSDALLGVNHDETEDMSGMPRGKYLMGFLLTLGASGTYSLILSLMQLTFENVIKKHTYTAVLNMQIYTALVATVASMVGLFASGEWRMMTEEMDTFRSGQFSYFMTLVWTAVSWQVTSVGVVGLVFEVSSLFSNVISTVSLPIVPLFAVLIFHDTMDGIKIIAMLIAAWGFVSYLMQHFIDDKKARKAAAGG >SECCE3Rv1G0154170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59260290:59263019:-1 gene:SECCE3Rv1G0154170 transcript:SECCE3Rv1G0154170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNDMEIVLSIMKKEGYNDIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRQWKANVAGGRSKSLRPPKIAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGHKGINTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSVVREVGELWDAGVKEVMLLGQNVNSYNDTSEVEELEPGKNWQLSEGFSSRCKVKNMGLRFADLLDQLSLEYPEMRFRFTSPHPKDFPDELLYLMRDRHNICKLIHLPAQSGSTEVLERMKRGYTREAYLELVQKIRNVIPDVGLSSDFISGFCGETEDDHADTLSLVRAVGYDMAYMFAYSMREKTHAHRNYEDDVPNEVKQRRLAELIDTFRETTRKIYDSQIGTTQVVLVEGPNKRAPETELFGKTDRGHRVSFTSLPVPHTSEGDVARKPVVGDFVEVKILRSSTASLSGEPIARTSLGMYCKNHASDAVVGA >SECCE7Rv1G0497240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:621964147:621967367:1 gene:SECCE7Rv1G0497240 transcript:SECCE7Rv1G0497240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAPPAPIRALAFHRRLPLLRPHHPTLLPHSPGPPPPPRPCPAPTRSLMAFSAETAGEEAEEEALPGAAGFGGGGEVSSEEWQRWGTSSPLPTVVASVVRQLLEMESVAGEKMRFGGVGSKLKGDFKDVEDRKHRAVYETLADSDQKLQYYSARQIGCRLLGSRGYLCQKCWLPMEDCMCSKLAPCNLWRGIRFWLYMHPKDFLRQNNTGKLLWQVFGIQAASLCLFGIQEHEDIMWDAFQRSGKEKVSFLYPNKSATPKSVNDLKFDDFTMNAGLREGGVQHEPLNLVLLDGTWSNSAALYRRLKERWTAIWGEEDIPCISLSMLSASVMHKLRPQPAWDRTCTAAAAAGLLSELHMRPELSVFKLEEQAEAVECSLDILLDALTMRRVRLGRSITRKQRHRRDCI >SECCE5Rv1G0360240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:768249119:768249828:1 gene:SECCE5Rv1G0360240 transcript:SECCE5Rv1G0360240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RTE1-HOMOLOG [Source:Projected from Arabidopsis thaliana (AT3G51040) UniProtKB/Swiss-Prot;Acc:Q9SD42] MEAERSQLGPIDPRRARFPCCIVWTPIPFITWLVPFVGHIGICREDGVILDFAGPNFVSVDNFAFGAVTRYIQLNGDECYKLLGTGAEATWDGALRKGVQEFQNRNYNLFTCNCHSFVANNLNRLFYAGHDKWNVVSLAAVMFLRGRWVSAGAAAKTLAPFVVVLALGTLLGGTTFLLGLLAFAAAMTGWFLVGTYCIKGLVEL >SECCE7Rv1G0498010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:634380519:634382145:1 gene:SECCE7Rv1G0498010 transcript:SECCE7Rv1G0498010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSMKCMLFTSAVAIVLALFPVASVGAGLKVGFYSKSCPSAENLVQQAVAAAFKNNTGVAAGLIRLHFHDCFVKGCDGSVLIDSTANNTAEKDAPPNNPSLRGFEVIDAAKKAIEAKCPKTVSCADILAFAARDSVALAGNVTYKVPAGRRDGRISTNASALSNLPSPLSTASELVGNFTLKNLTAEDMVVLSGAHTIGVSRCSSFTNRLYAFSNTSQVDPTISSAYASLLKSICPANSSQFFPTTTTDMDIITPTVLDNKYYVGLTNNLGMFTSDQALLTNSTLKASVDEFVKSEKRWKSKFVKSMVKMGDIEVLTGTQGEIRLSCRVINKGSTGLEIANGPDSGEFTEIATS >SECCE5Rv1G0363610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:794876684:794891976:-1 gene:SECCE5Rv1G0363610 transcript:SECCE5Rv1G0363610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKEFSFPAEEERVLRLWSELDAFREQLRRTEGGEEFVFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVARRFGWDCHGLPVEHEIDKLLGIKTRQDVLDKGIGVYNEACRGIVTKYVAEWEAVVTRVGRWIDFKAGYKTMDINFMESVWWVFAQLWHKGLVYKGFKVMPYSTGCRTALSNFEAGLDYRTVSDPAVMVSFPIVGDADNASLVAWTTTPWTLPSNLALCVNANLVYVKVKDKSNGTVYIVAESRLGQLPVKAKASGKKQTASKGSMTEAVQGGLDNESHELLAKIPGSSLVGLKYTPLFNFFLELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEASGLVVAVDDDGCFIDKISEFRGRPVKKADKDIISAVKDKGRLVSKGSIEHSYPYCWRSETPLIYRAVPSWFIKVEKIRDQLLECNKETYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPIWISQDGEEIVVMDSIEKLEKLSGVKVNDLHRHHVDDITIPSSRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFENNFPGDFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPAPTEVIDEYGADALRLYLVNSPVVRAESLRFKRSGVFGVVKDVFLPWYNAYRFLVQNAKRFEVEGLGAFSPIDQTSLLKSSNVLDHWIKSATESLVSFVRQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCKISLSTLYHALVTTCVAMAPFTPFFTEVLYQNLRKVSSKSEQSIHFCSFPSTTGERDERVEQSVSRMMTIIDLARNIRERHSKALKTPLKEMVVVHPDNEFLEDITGKLKEYVMEEMNVKTVTTCSDPMKYSVSRAEPNFSVLGKRLGKDMGKVSTEVKKMTQDQILAFERSGKISFLGHCLRVDDIKVVRRFKRPANMSDKEMDAAGDGDVLVILDLTADQSLFEAGVAREVVNKIQKLRKIAQLEPTDLVDVYYKSEDDTNTLQEILQSQDQYIRDVLGNSLIPKAMAPSDVVFMCEESHDVHDMSFVIYIARCIPVVTPDLLPHASGNSDHVDALRAYLLSRSLARLKSEFQAGNGKITVNCIEGYPPIDLQLGKHVLLSCK >SECCE5Rv1G0309830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:160424939:160425439:-1 gene:SECCE5Rv1G0309830 transcript:SECCE5Rv1G0309830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGCVVAEECTLAVSTERMWKAAFSGEDTTILPKACPGFIDAVDVEGDGGPGSVSTMTLSPAAAELVGSGVTRSRMMARDNAARVIKMEVLEGSKVSGQLKSQVVELKLEAAGEGACMVKLRVEYEKLDGGGALSAEDEATLAAGYLDLFKMVEAYLVAHPAEYA >SECCE3Rv1G0210800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:945809911:945811986:1 gene:SECCE3Rv1G0210800 transcript:SECCE3Rv1G0210800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSMAATMFCAVVLAALAAAAGSEAAVVEHTFVVHEMNQTHLCNTTKIYVVNGQLPGPTIDITDGDTVVVHVVNRLPHGLTIHWHGVRQMRSCWSDGAGFVTECPIPPGGEHVYRFNVTGQVGTLWWHAHVTCLRATVAGAFIIRPKGGRYPFPTPAKDVPIIIGEWWQLDLVELDRRMHDGNFDDNPLSATINGKLGDLSNCSGKPEESFVLDVVHGKTYLLRIVNTALFSEYYFKVAGHTFTVVGADGYYLTPYKTDMVTVAPGEAIDVLMAADAPPAHYHMVALANQPPEPDPQIPGFVSRGLVRYAGSSHNNNGLPVPTPLMPSQHNTMPSYYFHSNLTGLAHPDRHRVPMHVDERLFFTLGLGSICRGTNKTCQRGRSPETIVVATMNNVSFRHPTNASLLERYYDGRTSGLYTEDLPDHPPRPYNYTDRALIPPGPLEKALEPTFKATKLRRFRYNTSVEIIFQSTALLQSDSNPMHLHGYDFFVLATGLGNYNPKTDPKKFNYHNPQLRNTVQVPRTGWAAVRFVTDNPGMWYLHCHFEFHIIMGMATAFIVENGPTPETSLPPPPPEFKRCGANGLTQP >SECCE7Rv1G0515830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:841601679:841604601:1 gene:SECCE7Rv1G0515830 transcript:SECCE7Rv1G0515830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPASAYVALLLLSCLAAAAGNDRDALLAFKAGVTSDPTGALRSWNNGTGFCRWAGVNCSAAGRVTTLDVGSRRLAGTLSPAVGELNHLEVLNLTDNAFTGAIPASLGRLGRMYFLSLCDNAFAGEIPAALRGLGNLTTAYLNGNNLTGAAWLGAMPALAVLRLAKNKLSGRIPPSLANLKTIENLDLAKNLLQGEIPVGLARLPNLQFFTVYQNRLSGEIPPGFFNMSSLQGLSLTNNAFRGQLPPDAGTHLPNLMYLFLGGNNLTGPIPATLANATQLQFLSLANNSKLDLSQNDLSGDMPAELENMSSLVELDVSGNNLVGNVPQRGVFANATGFKMAGNSALCGGAPQLRLPPCRTLADSTKGSHMFLKIALPIIGAALCIAVLFTVVLWRRKRKSRTTSTAPRSVLNGNYYPRVSYAELANATDGFAEANLVGAGKYGSVYRGTLALKTKGNLAHEAMAVAVKVLDIRQAGACKTFLSECETLRSARHRNLIGIVTCCASVDAAGGEFRALVFDFMPNSSLDRWLHPGPADARKLGGLSLVQRLGIAVDIADALSYLHNSCNPPIVHCDLKPGNVLLGDDMTARIGDFGLAKLLLLDAGGEVTESTIGIRGTIGYVAPEYGMTGSVSTAGDAYSYGVTLLEILIGKAPTDGGLGDGTTLPEFVAAAFPEMIEQESEVRVTARDCVVAAVRVALSCCRRAPYERMGMKEAAAEMHLIRDACLRACGAKKPVV >SECCE6Rv1G0381530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:33323037:33324299:-1 gene:SECCE6Rv1G0381530 transcript:SECCE6Rv1G0381530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMAIFGQAPDRVVEGFSDEFLEEFLTLLRRAHRSSRVAATVIYNEFIADRHHVHMNSTRWATLTEFVKFLGREGHAKVEDTPKGWFITYIDRDSEQAIKARLKRKRVKSDLAEDERQELMIARQIERAQKAQANGEEDDDDAGADDDLGSDDDDEYSGSDEDDQEEQQEDGKETNKPTGKIAIALQRAAPPPKINPFDDKPKMKFGFEEEEEEVPNKKAKVAGKATDTRRSAIDDLMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYYKQKGLVKRVIDKYVGEIEMLESKHVLRVDQDELETVLPQIGGLVRIVNGAYRGSNARLLSVDTERFSAKLQVEKGLYDGKVLKAIEYEDICKVAQ >SECCE7Rv1G0460240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:30675423:30677402:-1 gene:SECCE7Rv1G0460240 transcript:SECCE7Rv1G0460240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSDAMQWWDKGKLRILVLCSLSIHLFLSFSSWVRIWPKLRRLRVVVWMAHIGGDALAVYALATLFNRQRQRTADEGSDALELIWVPFLLIHLGGTEMSAYSLEDNELWKRHALTLVSQVAVALYIFCKWWSGQKKLLQAAVLLFVVGILKFTQKPWALKRASFNTMASKSLSTPRDEGSSLPPCWTWCTSDCMDFVSAKSKKEDQRNLAIEDYVIEAKVLVQQRAEVESERDQKKEIAKKFPRAMDYTSMMLVDLSTRYSLRLDYLQYFLKLDDKNGHNVLRMWIMNTFSALYTKKGSAQTCLGCCSGLLLPFLALASLVLFAKSNKDGYNENDITVSYIMFSCTVVMQFGPFLLMPCTIWGVVSITTWQDMVSQHNLMSFCARKKKPTTLMKVVVFKCAREYINKHWYTWQEHAALRIEGLIRQHVEDGWKGYIRDPTTYRRFNNLRGEQALSRQPQLQETLGWSLDLPFDEIVLVWHIATDICFHLLDTSSQVRSRDTIQCARQISNYMIHLLFMCPEMLMPGTRQDLFTIACDNIEHIPTQQNDPASIARGILLMEHGEVKGAVLPNAHKLAKELMGHHDEEERWTVIQGVWVEMLCYSASRCRGYLHAKSLGEGGEFLTNVWFLWSLMGMEILGDKIHVPSQPLKEVTTGAPV >SECCE5Rv1G0312070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:219430531:219439099:-1 gene:SECCE5Rv1G0312070 transcript:SECCE5Rv1G0312070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSPSRRRSPSRESAHRRVNDFGNALPAKPKDDELTLFADMQKTEIENFLLEPSEDFDESISKLSFFPDVKLGINVPTRGESHDLLKVDGDKNDYEWLLTPPETPLFRSLDDEEERPVGQASRGRAQSKAMQILRPSTMDNAQRSSRSSASTNRLSLSPRSMARTKSPISASRASPPLSVQPPTPTRRPSTPPAAKILTLPQRSASPVSRRMSTGSSGSALNGTRGASPVKANHRSSSPKPQGWQSNDPAFSYNAPPNLRTSLPDRSVSRSRGGSPTSSSGLDTGSRGRRQSMSPTPTRRASSSHSIERDRLSTHSKASATSSGDDDLDSMQSISIGYSSSPAVKKSLAVMKTRSIASSKKLSKNFSPISAPKWSFDSAVWLMDHRKGPQDRFRPLLSSVPATTFGAGKINNVHKPMFSHNSSMTTSSNASSEHGATFGHYVESDQEQQDLIGEWEADDGLLVHEDIFMFDKLDELNEETSYNKSTNDVEDSPIQVKYVKSDKHDFDMGRWAANQTAYDGADSSQVGHGEMATCSRCGMSFIVMDLDGKGDSCEECSSKVGGFSADRMLWTSEAHQHDNKIVNSGSYVQSEPSTAPDSVEYSKHASLGHQTVNNEPLADCTEKCPPGQSMVDTVEDMLLGQEVVNHEENMRPYHVSDSLLENEDDILFSRSSVQTEPTSVEHGPYGGQMDSCNHGLPPCLNESDCQHNEAVSETAFGDNSHQLGSNIHPFPKVESAEGAGISVLLHQKSSSNKWPVMEGRALAATNIVCSEPYYTRDGINMMKRSFGRDSSSASSIDLGSSRQSDAHFERHSSSKKGDFEKAQLSSTMSHQSIASVSDMSVSDSSASLCHQSDAIEDTCSRIDTLESSASRTVVSTGEDGSSKDALSNALECLSTARPIVNDDIPVDLNSSSFDRLSETEDVISMGRMAHNDHSSTNMCLPEMEEPINVQESSAAEGSCMLKTNEDTSDTGQCCLAGTPEYPSEENLDNLIMQSEAVQDSIEEHILDDCCVSAISEEDMLVSRTGTSIVELPNDEKSPQAVDGSRKQIQRCFTLEEATDTILLCSSIVHDLAYKAATIALEHEQESERPRPTVTIVGKSIPDEDGFLKLPHRRAPNRKVKRKRLEGETTTITETAEKESVVEDPSPIRSASGITRASDNMKPPKLESKCNCVIM >SECCE7Rv1G0471290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:129065251:129066541:1 gene:SECCE7Rv1G0471290 transcript:SECCE7Rv1G0471290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRWCCCCFGGGGGGAGRSGSVADDGLLWDVGLKAHASGEYSVAVAQANEALEDQAQVLVSPAATLVGVYDGHGGPDAARFVNARLFSLIQEFASQSGGLSAQVIKRAFGATEEEFMGMVERSWPSQPRLMSVGSCCLVGAIEDGTLYVANLGDSRAVLGRLASTGGKKRRAVVAERLSRDHNVADEEVRREVAEAHPDDPHIVMSSHGVWRIKGIIQVSRSIGDAYLKRPDLCSPAVMQSLCPFPLRRPVMSAVPSVTSRRLRPGDQFIIFASDGLWEQLSDEAAVGIVSRSPRKGVAMRLVRAAQLEAARKKDIKYESIAAIEKGRRRRFHDDITVVVLFLDSRCEGTPQPTAGAGGIDGTRAPVDVFSLGPDDHGDDPTRPVLLR >SECCE2Rv1G0077990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:103334644:103347540:-1 gene:SECCE2Rv1G0077990 transcript:SECCE2Rv1G0077990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MSLSSSLSHSPRRFLPLLLPAPPFAMPPRRDHGKQTQQRWKPKATPAPAPAPSSAAVERMTLAPSTAGAAQVWVPRGYATSAPSSSSSAVTAAEQGGPSDKLSRLVKGAAEFSVDNNTFTEAQIRATFYPKFENEKSDQETRTRMIEIVSQGLATIEVTQKHSGSLFMYAGHRGGAYAKNSFGNIFTAVGVFVLGRLFREAWGSKAPKMQAEFNYFLEKNRICISMELVTAVLGDHGQRPKDDYAVVTAVTQLGHGKPQFYSTPEVISFCRKWRLPTNHVWLFSTRKSATSFFAAYDALCEEGTATPVCKALDEIADISVPGSKDHVIVQGEILEGLVARIVSRESSVQMEEVLRNFPIPSLDGGDSDLGPSLRDICAANRTDEKQQIKALLENVGSSMCPDHHDWFGYSGLEAQSRNADKSVVTHFLQAHPTDYATKKLQEMIGLMKRKNFSASFKCYWNYQKVDSLSNDNLCYKMVIHVYSDSVFRRYQQEMRKNQELWPLYRGFFVDVNLFKANNKKAAELSKDSNTLLRNINGALDSSMSSKDGLADEDSNLMVKLKFLTYKIRTFLIRNGLSTLFKDGPSAYRTYYLRQMKIWGTSASKQKELTKMLDEWAVYIRRKYQNKQLPSSTYLSEAEPFLEQYAKRSPANQALIGAAGDLVQTENFLAILDAQRDEEGDLQPERGTAPSSPTSTSLDVVSKTEGLIVFFPGIPGCAKSALCEQILNTPGGLGDNRPLHSLMGDRTKGRYWQKVADERKKKPFRITLADKNAPNEEVWRQIEDMCGMTKAAAVPVIPDSEGTDSNPFSLEALAVFMFRVLQRVNHPGNLDKASPNAGYILLMFYNLYDGKCRREFESELYERFGSLVKMPLLKPERAPLPGDVKTILDEGMSLFRLHQSRHGRVEPSKGSYAHEWAQWEKRLRVVLSRNANYLTSIQVPFDVAVKEVLEQLKAVAKGDVKTPDTAKRRFGNIVFAAVTVPQADILSLLRKLGENDGDVNNFLNGIKVEDNLSKAHVTLAHKRAHGVAAVASYGVYQNQEVPVSFNAFLYTDKMAALEAQLGTVNGEKIDSKNDWPHVTLWTAPGVAPKEANMLPQLFSSGQAKRVLIDPPITISGVLDFY >SECCE7Rv1G0520870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:872234437:872238190:-1 gene:SECCE7Rv1G0520870 transcript:SECCE7Rv1G0520870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLALTCRAAVLVLLFLPFGVSDDRLVPGKPLSAGNTIISDGGAFALGFFSPTNSTSTPAKLYLGIWYNDIPELTVVWVANRETSVINNSSSSPVLSLTNTSNLVISNGDGSGRVLWTTTNLSAAPGSSTPVAVLLNTGNLVIRSSNGTTLWQSFDHHTDTYLPGMKLRVKYNTRDGRERLVSWKDPSDPSPGRFSYGVDPTTCLQMFLWDGARPVFRSAPWTGYLVISERRYQQVNASMEVIIYHAIVDNDEEIYVTYSLSDGAPHTRYVLTYSGEFQIQSWNNRFLAWEIFGNSSSLKCNLYGYCGPYGYCDLTIAPAPTCKCLDGFEPASMEEWTSGRFSKGCRRKEALDECGGVFLALPGMKPPDKFTLIGGDKSTFQECAAECNRNCSCVAYAYANLSSSRSGGNVTRCLVWAGELIDTAKYGPEIGSDTLYLRTAGLDVANGKRTKSNAGRIALPVLATVLVLLCISLAWLKXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPHPPLKNRIFHLSLQLIFLLLYTIKKWRKHKRISLDGMTTSYEPDEGNPHHDHEFPFVRFEEIAIATHNFSETCKIGHGGFGKVYKGMLGGQEVAIKRLSKDSQQGTKEFRNEVILIAKLQHRNLVQLLGCCADRDEKLLIYEYMPNKSLDATLFDDSRKLLLDWSTRFNIIKGIARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQNANTQRVVGTYGYMAPEYAMEGLFSTKSDVYSFGVLLLEVVTGIRRNSNSQTMGFPSLIVYSWDMWKEGRAEELLDSSIRDACSLDEVVLCIHLALLCVEENPDDRPLMSYVVFVLENGSTTLPDPNRPAYFARRRIEMERIREDIQTSANSFTLTEIEGR >SECCE6Rv1G0432090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749589823:749592725:1 gene:SECCE6Rv1G0432090 transcript:SECCE6Rv1G0432090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGTKPSTMRAIQYSGYGGGAAALKYVDIPVPSPKKDEVLIKIEAASINPADWNIQKGLLRPFVPKFPFIPVTDIAGEVVEVGPAVKELKVGDKVVSKLVFWKSGGLAEYVVASESITVACPTGISAADAAGLPLAGFTALQALRAIGTKFDGTGSGSNILITAASGGIGTYAVQLAKLGNHNVTATCGARNLEVVANIGADEVLDYKTPEGASLKNSSGKKYDYVVNITSDGKWSAFKPALNCPGKVVDIASNFWNFVASILTFFSKKKLSIMIASMEKEDLRFLLDLMKEGKLKTVIDSRHPFEKATDAWEKSMSGHATGKVIVEM >SECCE5Rv1G0336550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:584832944:584834243:1 gene:SECCE5Rv1G0336550 transcript:SECCE5Rv1G0336550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKLTLKTEEDSNPRDKYITWSDEATRFMLDWYIDLHKDKPATFKFKKQHHLQCADALNGKFSLGVTQTQVDRHYHQCKEKLGWVRRAMANSGNGLDRTTFTFTLSESEKQSLNKAAVNYLTRPIRLFHQLEELFSDQSHADGSLAVDHTTVNVDDGSDDSEDVRELEGNLIPVDSDEADSDTIDRRSPKVDLDGNPFNKKRKCVSSSRSKKPTKGKANKKGKVSNDDMVTSIKKLAESLASPIVSVQPMPPADPYANLWKRINALTIPAKDKLEIVAYLSKAYQDIFHSYLNYADDTILREWVISYFEPQFHEGGNDGFAAAH >SECCE2Rv1G0112400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:708913023:708913526:1 gene:SECCE2Rv1G0112400 transcript:SECCE2Rv1G0112400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARFSMCIFIPDALDGLPGLVDMIASQPADFLEKHMPEEKVDVREFRVPKFKLSFHGSVVAILKKLGLQLAFSDQADLSDMLEDGESGLPLVLNDVIHKAVIEVNEEGTVAAAVSIDDMLFGCSFMPPPPPRVDFVADHPFAYLIVEETTGAVVFAGHVLYPSIES >SECCE6Rv1G0412020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:612287900:612288223:-1 gene:SECCE6Rv1G0412020 transcript:SECCE6Rv1G0412020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPMVIASAGLGMLAGVAMANRATGHGLPAASRWDARPRCSTCSGTGREECLCSRWSDGDVGCGTCSGSGRKRCRSCGGSGTGRQLPVRLIAQQQKLPTAPGRRGD >SECCE7Rv1G0461010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:34748509:34752622:1 gene:SECCE7Rv1G0461010 transcript:SECCE7Rv1G0461010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRRRVLPPALPDDLVEEILLRLPPDDPPCLLRASLVCKTWTGIVSHTAFRRRLHELHRHRAAPVLGFLHDWDDERIPRFIPTTASSFTLAAPEWRSWRPIDCRHGRALFLSKCSGARELLVWEPITGAQQRVQVPAAASESDMPAAAVFCAADGCNHHKCLGGPFCVVFLFGVIFFTDDSDEEQYVTSACVYSSETGAWGELTSLPGEFGNFDYFSSVLVRRSLLYFMSDAKSIQEYDLARHSLAVFSHPNGGDFGDGVRINLMLAEDGGLGVSEGLVQHLKLWSREVGDGADHRWVLNRVINLKILLPNDALVDATSSVQVLGYAEEANVIFINTVAGLFSIELQSERARRVCDYHDVCNLIPVVSFYTPHSPLERAQALFNKGCKAVEERDFTNAINCFRQALKIRVQHYGELAPECASTFYRYGHALLCKAPQVANPSKCASNEESGKITPTTNKDDAGSSEASGSSVEHVPPARKSDSEEGANLNGKDLEDANTTGDGDDSGLDLAWKMLDTARAIVAKSPDKTMEKVNIFCALAQVSKKTGDRDNTIGYYLKALAMLEHLVRPDHPRIIKINVHICVAFELASKVGDAIQYCAKAISVCKSRIQNLKHAKEALLADKEVCTSAAEGRSGKFTPEEEIAFLTRFLALLRKKLENLELAMSAPKPLHG >SECCE7Rv1G0503330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:710080171:710082289:1 gene:SECCE7Rv1G0503330 transcript:SECCE7Rv1G0503330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFVVLVAEAAVATVLLFKTPLRKLAMLGLDRLKRGRRAPVAVKTVAGVVITLLASTLYSMAEINARAGDPEGGGGAALSPTDQVLFSRHLLEASLMGYTLFLALVIDRLHQYIRELRGLKKNVEAISKQNKTLEEGKHGRSKEIAKYQEEVATLNEEMKKLKLQVQEKTEEVHVAEDKALAIQKQSESLLLEYDRLLEDNQHLREQLQSIDLRLSNPS >SECCE5Rv1G0297290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:1049456:1055567:-1 gene:SECCE5Rv1G0297290 transcript:SECCE5Rv1G0297290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLGKKARKFAHKHLQGGAKRSRKLRSQFNRRTRKDGKGREDDNRLDGDGEETRRSDPAMNMNDDVTTLANCLEFPEDENELDGDLSDSDGYLSEDPECVYYSDCDDDSVMKECIVQDDLDEQNNEMRLAVKKQKKKLMKLLDKDPKFANFLEKWQLELVNYESKEDSDEEDEMDSVDNGVDSGDKDPPSDKILTSKTISEWCQLVLDEPKAPALRNLLNAFRDACQFGLNSNSLSMQRLQSTEVFYQIISFVLSKADNIFRALLEISDDDKGKLMNLRNGKKWQEIEPLIKSYLRNSLDLISQLTDNQILTYVLTRLRTSAVYFSAYPSTSRRLLKILVRLWASGDQNLSLSSFLMIREVASLLPDCLDFCLTKAYNTYLSSSKLVDNRNIEHTDFILNCLVELYSLDIQKSSERAAISVGQLSAILRQASKTKGKEDLLKIDNWQYINCINLWVRFICVNYKDCHNVHPLFSSVVQIIRGVAHLLPGMRYLPLRLKLAHMLNELSNCSQMFFPVPSLIFGSLEFRETPPKEQTEKGKTHFSSLLKVPRNMLKSRDFQEQCVLSAIEVLSAHFFQWSYHVSFPEVATIPLILLKRLQDQTTIESLRRPLKRMIDQVSENRDFVQRKREVVSFSPNDALSVESFLQEEEMNGNASFTQFYASVAKSRQSRGRKLV >SECCE2Rv1G0125250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:839909589:839911654:1 gene:SECCE2Rv1G0125250 transcript:SECCE2Rv1G0125250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAADYYSLLQSCMGCFRQGRSIHHRLVASASPPDLHLSTKLVLFYAKHGDVAAARRVFDGMPHGSVVSWTAMVSGYSRNGRPREALELFALMRASGDARPNQFTYGSVACACAAAGCARSGEQVHACAAKGRFAGDMFVQSALMDMYLRCGSVEDARRMFGAMERKDVVSWNALLRGFVERGHCSDALGLLSSMLREEMLPDHFTFGSALKACGAVSVLTNTELIHTCIIKLGYWGEKVVIGSLIDAYAKCRSMRSARVIYDSICEPDLVSSTALISGYSMDNNHTEDAMELFCKIYRRGLRIDGVLLSSLLGLCANVSCLRFGTQIHAYMCKKQPMGDVALDNALVDMYAKAGEFSDARHAFDEMPCRNVVSWTSLITACGKNGFGEDAVSLFERMLEDGVKPNDVTFLSLLSACGHSGLMSKGMEFFNSMMSKYGIDPRAEHYSSAIDLLGRGGQLEDAWKLVQNVDKPNSSILGAMLGACKTHGNMPLGETAAKNLFSEGAESSVHYTVLANMYAESNLWEDAQSTRKLMAETSGGKEAGCSVL >SECCE6Rv1G0406660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:548972223:548972870:1 gene:SECCE6Rv1G0406660 transcript:SECCE6Rv1G0406660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDDAGMIPSSPSADTSSSSSDIDTESTCSFFRDRSTTLGTLMGVSLADGEDRPPETQQAPDEQERPRAPAPGEEGWTWRRRWRPRRGASWWRLCRDDVGGTTSLAHFLHMERQLSGDGGEVTLPLFEDGRVLPSSSATPAAAGEDQGGKWKLRRSAQGSLSLPRLPVLLTGICSGGA >SECCE5Rv1G0330270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:526957243:526958583:1 gene:SECCE5Rv1G0330270 transcript:SECCE5Rv1G0330270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGRDPAGARGARAIASPDAVSFYCHTCAASLELPAPSSPFHFTLCPRCRRDYLDDSPEPHQAPLPPPPPPLCAVPWPPDPFTSSTPPPPPPIPCVSSPSSSSGTLVSPRTGPSSSSTRPPATSSGSAASPAAPMSSYCPERSAACREFRLLCSHEERRRSSPAVSPLSSPYSTACSSPLLASPPPPPPPPFHHDELLPESFPLTLRSSTAEEATSPPHDLLPPPPPPWLPLASADRWDEFLFNPSDSDDDSPPQPPPPPDISTYHAMFEMPLQVQRGGPQAAAASIAANDTVFEFDAPLQRGGSQAAPPESIAALPTVTVTDAGRDCVVCTDPLPPSAPARRLPCGHLYHSHCIVRWLSENNSCPICRRSIPPITSATSDIASSSSSSQPPGGRRRRSLPVPGGRRIRRICSRLLRNMEIGRDRQTSSSGGDRQTNGSGGDVHV >SECCE5Rv1G0342000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:629858420:629862747:-1 gene:SECCE5Rv1G0342000 transcript:SECCE5Rv1G0342000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGWLKKKKGSGSERRGSSASAPATTMTTMTTTTTTTGSAVSTSRSDDSGAGRPTSKSTGSASSHSQPSISSLYEERGHGQLRAFDYDELRSATNDFGRAQKLGEGGFGGVYKGFVRPLDPRADRIAVAVKRLNTRGLQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDGERGAQRLLVYEYMPNKSLEDHLFSRIHPALSWNRRLQIILGAAVGLAYLHEGLEIQVIYRDFKASNVLLDKDFQAKLSDFGLAREGPTEGNTHVSTAAVGTHGYAAPEYIEKGHLTAKSDVWSFGVVLYEILTGRRSLDRNRPQGEQKLMEWVAQFPPDSRNFRMIMDPKLRGEYSSKAAREIAKLAQSCLLKNPKERPAMSEVVEVLRRAVQTEQASVDKAPSAGNGKRVNVAPPSRR >SECCE6Rv1G0408610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:575030267:575040034:1 gene:SECCE6Rv1G0408610 transcript:SECCE6Rv1G0408610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MHCLAPHFLLLLPLPTAPRHAPPQTPPPTLLLPCRPAQAQAHAAPLHRPSARSRSRAAAPVSDDDDDEEADEEEDDDELDIRDADYDEDDDGEGDEELEEESGGEDEEEGAAAAEDSRQESAERRQQSEQYKSQQVAKLVAEVREFGDDIIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFREFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMSASDGRLFEVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSSKRPVPLTWHFSKKFALLPLLDGKGKKMNRKLRMSHVQSISSPKSEFYYVKGKRKVRTNKSEQGNRSPLDISKQVQLSKHEVTNMRRSQVPLIRETLSQLWENDMLPAIWFIFSRRGCDAAVEYIEDCRLLHDCEASEVELELRRFRMQYPDAVRENAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRIDAGRQLLTPNNLFQMAGRAGRRGIDTVGHTVLVQTTNEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHKQKESGDVKAKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIQKEIQHLSSEITDEFIDRKCRKELSEEDYAEISLLQNRLKEEKKIRNELKKRMELERMAAWKTQLEEFESGHLPFMCLQYKDKDSVHHTIPAVFIGSLSSFDDQKIASMLEDDSIGPGKQEIDSEGQLHYPSYYVALSSDNSWYLFTEKWIKTVYQTGLPAVPSVEGGPLPRETLKQLLLREDMMWDKIAKSEHGFLLCMDGSLDTWSWSLNVPVLNSLSEDDKVERFSQEHQAAVESHKQQRRKVSQLKKTIRSTKGFREFQKIIDMRNFTKEKIERLEARSRRLTRRIMQIEPTGWKEFLQISNVIQEARVLDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAVCGSLVSEGIKLRPWKNSSFVYEPSSVVVGVINYLEEQRNSLLDLQEKHGVKIPCEIDTQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTMDLLAQIPKLPDIDPALQKNAQIACSVMDRVPLSELAG >SECCE4Rv1G0242760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:489185265:489190551:1 gene:SECCE4Rv1G0242760 transcript:SECCE4Rv1G0242760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATAASPLHDSRTFALQQSELDGRFADAEEKPVVPSEKPAEVEVEEDEDDDEDDDEEYWSYHDVGEALDWLDAAEGPDGSTRLSSTFSAAGSAAAARRPNAHGGMLARTLQPLSNRTQKLASHVRAAPLEEWEGRMNVGMSNSVTTAIRDSIRDTAIGKTRNTGKADRATVEQAIDPRTRMVLFKMLNRGVFNNINGCISTGKEANVYHATKTDGSELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVRAEGIRCPKPLLLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRETYFEIVTTMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALDFLKEDCLHVNDFFEKRGIPVMTVTELFNFVVDQNIADEDVDDYLEKVQQKILENGDTAADDDEIAPTVLVQTLDYVKQCEADIVSMSMMQRPSVGYEPTADKLYDQPLLGFVRAKNEPIENQEARPAQNSQEEPLDLQTKCSLENKEEDESGDSESCSSSDEDGSWHESTKLGPEERKAARKENKKKVKEEKRETRKTKIPKADKKKRKKMAKAKCKR >SECCE5Rv1G0326680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:483458703:483461966:-1 gene:SECCE5Rv1G0326680 transcript:SECCE5Rv1G0326680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPQHQHQHRTAMRRDGERDHRRAAAHRTERAADETAHWRAADPPPRRTERAAEVPAHRKERAGETAATTRRAAEMPARRTERKKSLESLLDAADVRGKRGGPVPVGEKITTFPGQGLEFKNLSYSVIKKQKKDGVKIRKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDAIAGRIAKGSLEGSVSIDGRPVTTSYMKQISSYVMQDDQLFPMLTVLETLTFAAEVRLPPSLSRAEKLKRVWELIEQLGLQTTAHTYIGDEGVRGVSGGERRRVSIGTDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKDIAKGGSIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGNPTTLPTYLAGFGRPVPEGENSMEYLLDVIKEYDESTLGLEPLVAYQRDGSKPTEAAKTPVPRTPRTPYQKSVQFRQMQLKSNQFSLASATPHANPFSNFESYNIDDEEGDFDNSLERKTQTPLHTVTSGYHPRLASQFYKDFSVWVYNGVAGTPQRRPTWTPARTPARTPMSSYQRSRVNTPHRSIPPSPQEPVFKLEEPDYEEHDIEPLDAPEDGPKFANPWLREVAVLSWRTALNVVRTPELFLSREIVLTVMALILSTLFHRLSGSDFLTINRILNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASTYVISSLIVYLPFFAIQGFTFAVITKFMLHLNSSLLYFWIVLFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRNKIPIFWRWLHYISAIKYPFEALLVNEFKGSHCYTGTLNQLSPGPLGEIKHSGLHDQLNPNFTTCPLIGQDVLTSLDITMDSIWVDVAILLAWGVLYRLFFYVVLRFYSKNERK >SECCE6Rv1G0398380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:420391456:420394526:1 gene:SECCE6Rv1G0398380 transcript:SECCE6Rv1G0398380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRSMKLSTLVLTALLLLLYGAGYAHCSDNSTDRQALLDFKEAIKVDPTDALGSWNESIDHCMWSGVNCSTRHPGRVTVLYLSNLELAGQITPSLGNLTFLRELTLESNRLSGQLPPFDRLTKLEQLALDFNSLEGSIPYALTNCTKLQVLGLASNRLVGSIPQNIGYLSSVRIMSFAGNKLTGKIPSTFSNITQLMVISLADNQLDGSIPEEIGQLPKMVQLLMGGNALSGRVPTALFNLSYLEALDLSINMLSGTLPSDVGSPNLKFLFLGENKLEGHIPDSLGNASFLERIDLSSNSFTGQIPSYLGKLHNLNVLKLGKNKLEARDNQSWEFLGALSQCPLEIFSLYGNQLHGLLPNSIGNLSNSLQRLNLGQNNLFGTVPSTIGKYSNLTGLTLSYNNFTGTIDKWIGSLKNLEELGLEGNSFFGPVPYSLGNLTKLTSLYFSRNQFDGVMPSSLGNCARLTLLDISYNNIEGSIPLQVSNLEQLIELYLSSNKLTGKIPNNLDQCHNLATIQMGQNMLIGEIPTSLGNLKSLNMLNLTHNNLSGNIPFKLSDLQQLRMLDLSYNHLQGEIPRNGVFENASAVSLDGNWGLCGGAPNLHLGSCHVGSLKSRRQYYLIKILIPVFGFMSLAILIYFVLTEKKRRKNTSQLPFGKEFLKVSHKDLEEATENFSESNLIGKGSYGSVYKGKLGHNKMEVAVKVFDLGMHGAEKSFFAECEAVRNIQHRNLLPIITACSTADTTGNAFKALVYEFMPNGNLETWLHHNGDGKDRKPLGFTKRISIVLNIVDVLDYLHYDIGTPIIHCDLKPSNILLDHDMVAYLGDFGIARFFHDSRLTSRGESSSIGLMGTIGYIPPEYAGGGRPSTCCDAYSFGVLLLEMFTGKRPTDSMFDNGVNIINFVQKNFPDQLLDVIDIPLQEECKAYTAPGKMVTENVVYQCLLSLVQVALSCTREIPSERMNMREAGTVLREISASHLAGKDKYAS >SECCE2Rv1G0135850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:909187079:909188536:1 gene:SECCE2Rv1G0135850 transcript:SECCE2Rv1G0135850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVPVTSMGITDQDLESEESLWDLYERWCAFNEVARDPDEKSMRFSIFKQNVRFIHENNRGDARSKLGLNIFADRTHAELPNGEADCTSAGHLPDHFDYGCSTAETAETEAQTNTAYMPHTAITNWDLPDRVDWRDHNAVTSVKNQGQYCGSCWAFVAAGAVEGITAIKTGELEDLSPQMLVDCDRANLGCTCGEPWRALDFIKKKRIATDRAYPYDGIARRCHMRSDGLSRFASIHGFHVVDSSERALMAAVAVQPVVVHIGLDIYFHYYSEDMGVYTGPCNKTITHAVLVVGYGTDAFQRRFWILKNSWGTKWGHGGYMYMARDEGGPQGLCAILAFPIVPVWRPNKISANPTDIPKRA >SECCE7Rv1G0507820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:765944155:765945657:1 gene:SECCE7Rv1G0507820 transcript:SECCE7Rv1G0507820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILDWRSVGSLIATVMVFRTAMRDFIPPEAEQWLRRLLARLAAAFRAPTATILIDEADGASSGATNDLYDAAQLYLGSRCLAAAPAVRLYKPRQSERAVASLPDAHTADDTFQGVRVKWTSTARPVERGAGHNPYNVFGSRGGGAGGDHRSLELQFSRQHRDFVHETYIPHIIDEATRMRLKSRERRLYTNRAAAPGDDHHRLWTSHTFSHPSTFDTLAVDPALREEIRADLLRFAARREHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVVVVEDIDCSLDLSDRKKNSGGADEDNTQLAMLSPAAAAAMAAIGRESISLSGVLNFVDGLWSSCVGERLMIFTTNHPERLDPALLRPGRMDRKIELGYCTPAALRVLAKNYLGVGEDPEDEPDAVVDDLMAEAEGLLAADVRITPADIGEVFMGCDGAGASAALRRLVGELRGRRDTPAADTVQSGARAEEKME >SECCE4Rv1G0231460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:184676950:184678986:-1 gene:SECCE4Rv1G0231460 transcript:SECCE4Rv1G0231460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRIASSPAAVAAASQLSAPGPAHGSARLPPLAKGPSTVCRAAGKGNKEEVLLSGVMFQPFEELKGELSLVPQAEGQSLARQKFVDECEAAINEQINVEYNASYAYHSLYAYFDRDNVALKGFAKFFKESSDEERGHAEMLMEYQNRRGGRVRLQSIVTPLTEFDHSEKGDALYAMELALALEKLVNEKLHNLHTVATRCNDPQLTDFVESQFLQEQVDAVKKISEFVTQLRRIGKGHGVWHFDRMLLEEEA >SECCE5Rv1G0303090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:44511451:44515180:-1 gene:SECCE5Rv1G0303090 transcript:SECCE5Rv1G0303090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTSALQNLHITSHEEDPGPVAVSEGDQEGIDDHDDDGSLEEPHVTLYVAEEPKEASDWHLLLPQHFPDKAGGAPAWLDPVDLPSGESTRCGFCGDPLRFVLQLQAIIEWKVTAYHRAFFVFMCPSMSCLMRDQHEQGKDRARSLRSVKVFRCQLPKNNPFYPVEEPQPEDCVDPERCVVTQCAGGPRARLCDWCGTWKGEKLCSHCHKARYCSKKHKELHWHASHKNDCCQTLGSFDDSNLPGARKVFAGISWPEYLIFDKKETPYCGGNDSEQLVEEEDEPDDITQLLMDQFEADDDKTCWASFTDRIKGTQVLRYCGEENAEPLWAESTGSLKSADIPSCIYCNGPLGYEFQVMPQLLDYFNVESERDPLDWATIIVYTCRDSCDNSISYKEEFVWVQLSTDTISRYRATSTPAGL >SECCE1Rv1G0043010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:587973410:587974456:-1 gene:SECCE1Rv1G0043010 transcript:SECCE1Rv1G0043010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVAEEKLESSCPGDAVTARNDAANLTELITSLPVEKRLVPPSGDMQRRQYRGYWFPEWHLSALAAAHDHFEPKPTDIFLVSCPKSGTTWLKSLAFATVHRDVHPPSSREHPLLHENPHGCVKFIHTIYRQPVDVVQGVLEAYPSPRIFGTHFPLSLLPERINGDGCRIVYICRDPKDVVVSWWWFMRTYLPNAEQVRFEEVFDLFCEGRTGGGPYWRHALEHWEESQRRPDKVLFLRYEEMLRDPQCSLRRLAEFLGCAFSEAEEKVGVLDAILELCSLDKLKKLEVNQSGNKIMDEPMMNHSFFRKGVSGDWINHMTPEMAARLDAIVEQALQGTGFDFGISMPQ >SECCE6Rv1G0410870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:603711152:603715250:1 gene:SECCE6Rv1G0410870 transcript:SECCE6Rv1G0410870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQPSVDVEGELLAHLNAEADIPDSRSFASSLGVPHKDVEDVIKKLCAFRIIQSEEITRETWVPTDEAKRYAAGGSPEVHLVAAIPPEGASRLALKEQLGRDVFDIAVKAAAKNKWIGFEQGNKDLVLRTVEDPRDDLQELLKRLENGEEELQEQLNKRPEKGEVVADQIKDLKRRQLATKIKTIWYSLKKGPEFVVKRKTLATDVTRENLKSGDWKDLEFKDYNYGAQGQPIAIGYSQPLLEVREAIQNIFLEMGFSEMPTNMFVESSFWNFDALFQPQQHPARDSHDTFFLKAPATTIQLPDDYLEKVKQVHQSGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSRLGMTELRFKPAYNPYTEPSMEIFGYHKDLKKWVEIGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMIINGIDNIRNLFGPKVNFKELKDRRICRVGL >SECCEUnv1G0547150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:166831940:166832296:-1 gene:SECCEUnv1G0547150 transcript:SECCEUnv1G0547150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSSTTLRSSIQPHLSSICSCTMPPPLVVNDAVDTTPHHLATSTPSPLLELLGAHHPQHLSPEQQFLPRRRLHGGYDAQDAAAAQSRLNFGLSSGWGLGVDSGDLGFASRKGNGVK >SECCE1Rv1G0060190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709406262:709416196:1 gene:SECCE1Rv1G0060190 transcript:SECCE1Rv1G0060190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFALGLTKTAVEGTLSKVQLAIDEDNKLRVTAKQDLRYITAEFQIMQSFLKVVNKERANNEVVKTWVKQLRDLAFDVEDCVEFVVHLENNSPLTWLWRLVPFCMAPPRSWDLDKAVAELKELKARVEDVSQRNTRYNLINDSGSQAKTTMLTDQSSMATTYPSTFHMLTEVWEAAGKRDSLSDLQKLIMGEGSNLQTISVWGSTAAGLGTMSIFSMMYADPEICGAFKRRAWVKLMHPFKPDKFLRSLLTQFYVSSHQENIDVDHLTKAELMQQVKAHKYLIILEEVYSVVDWDAIRVCLPDYENGSRIIVSTKQLRTALFCTGGIYQVSELRRFSDDQSLCAFSKKVGRQSGMRDLMWQIRCRGMISVWGLSDDKSTLINEVYTSIVYKSKQFDGVEFQRHSWVDVPAPFNLEVFSRRLLVSFRSEDLQAEEIAVVGMMEEPGLTQECCKFLCENDCLVIINDLQSTHDWDLIKSAFLSKPIKGCILVITSESSVATHCAEEENGVLNIEDLKTDIMLRPLIKGCQKYGIGGKEATNRGRLFSIRLGETREWFAKFGHMYQEEVHDLLLRLRSPAVSWLWGPAGAGKSTLARIVYYHAMLRFGASRERDYQESAVYREEKIAMFSWVDVPHPFNLKDFSWRLLLDFHSDDLRAKKIVAVGMMEDQDPIQECSKFLREDKCVIVIDGLQSTDDWDLIKAALLSDQVRSHIIVITNLASVATRCADAGDRVIKINCLEADVVHGPPIKGCASRVFSNRSAEARDLINTFRLVGRQNGSASDLRDSLDSSGPSVTSLWGIAGVGKSAVVRSLYCHLMLGLTQYFKFGSGFSFNNDRTEFTMCSWADVCHPFNLTGLSWKLLLDFHSDDLQAKEKAAIGIMEGHDPVKQCRRIMCEHRSLVVIDGLRSREDWDLIKAAFLPDSTNACIIVITNEASVATHCVDNKENQVVNVKGLQDDAALGLFKKIIWDGKELIPEELELSKVTIHRCGGIPKVIATIGQLLARKIANGGYYWGMSISATNLMKGINDGFMGKLETDQGFHNLRDLFSWMESYFDGCSDSLKPCIFYLTIFPPDHNIRYRRLLRRWIAESYCRDTYSGTAEENGKRLFLELVNLSIIQQCQKAMKYLYKVNGFFHEYIISRQMEDNLVFILDGHCSLNSQHAGQHLTIRRGWDRDIAVFRSMDFSRLRSLTVFGKWMPFFLSSNMSLLRVLDVADTDILDADLEQIGKVLPRLKFLSLRGCKEISHLPESFGALRQLQTLDVRHTPIVMLPLCIIKLQKLQYIRAGAIISSEEDNDSVASAPTTNVDRTSMPLESSNHIITTLLETTEEVQTSTPLSRSCQPRSLVSSLLSKLRSRRLDNDGVEVPVGIGSLAALHTIGVFNANIRNGKAILKEIKNLTQLRKLGVSGINRRNIQELCNFISGHGHLESLSVRLDKDKQGLFACFDDMISQPPKTLKSLKLYGHVNKLPIWIKQLDNLKKLDLELTILLQEDMHFLGELPYIYFLRRLCVKPIQDAELHFSTLGDANFRRLQVLEIDCTSKLQITFATNICMRVEVLKIHCSGRASLRISGLEYLSGLDEVWLKGSYGDELKQELQQQLSEHKRKPVLKLAQRRSS >SECCE3Rv1G0187830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:705672436:705673064:1 gene:SECCE3Rv1G0187830 transcript:SECCE3Rv1G0187830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAVSQALPVHQEDEDLFETCSSFSCDSDDEARFSDGEDQLVPAAQPVRRLNPDSVYDLSSMKSELPLKKGLSRYYDGKSQSFACMSEVRCLEDLPKKSPYKKIKSCRSNIDLDGNQTACPVSAPNTSSKGIGKQNSASSCANLMMARTGSANMLYRPPAIPVNKSACHQ >SECCE6Rv1G0382730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:47170303:47172090:-1 gene:SECCE6Rv1G0382730 transcript:SECCE6Rv1G0382730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleus-encoded chloroplast protein, Chloroplast development, Biogenesis of chloroplast ATP synthas [Source: Projected from Oryza sativa (Os02g0152900)] MIPLATMSAPSSLLLRPAARHRTGGGPGQSWGEASISGSQSRRNKLSNSICVKANITCCANQTQTAKRKSFSGPTSPPSGSVKEKVKPRLDDGGVGFPPFRFGGGGGGGGGGGSSSSGGFILFVIVLLLDYLREFERNLQNGPRRGSDYDSGLAAQ >SECCE2Rv1G0075100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:82905483:82907633:1 gene:SECCE2Rv1G0075100 transcript:SECCE2Rv1G0075100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 1 [Source:Projected from Arabidopsis thaliana (AT1G08830) UniProtKB/Swiss-Prot;Acc:P24704] MVKAVAVLTGSEGVKGTIFFAQEGDGPTTVTGSVTGLKEGLHGFHVHALGDTTNGCMSTGPHFNPAGHVHGAPEDEIRHAGDLGNVTAGADGVANINVTDCHIPLTGPHSIIGRAVVVHGDADDLGKGGHELSKSTGNAGARVACGIIGLQG >SECCE2Rv1G0102130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:573507633:573510859:-1 gene:SECCE2Rv1G0102130 transcript:SECCE2Rv1G0102130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRAPPQLAGGTSLGRGYRLCLASSGVPKSKVLSVSCAMKSYKLSDLSDAEVSGLKARPRIDFTSIFSTVNPIVEDVRVRGDAAVKDYTEKFDKVTLDNAVVRVSDLPDAELDPAVKEAFDVAYDNIYAFHVSQKSPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTASCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLGAIEAEVSKQCDALPRGDFASKALGHSFTVFARDMVEALSFSNMYAPEHLIINVKDAEQWEELIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRRLGPYVAKMAEVEGLEAHKRAVTLRLQEIEATVTV >SECCE2Rv1G0081760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:144351486:144352739:-1 gene:SECCE2Rv1G0081760 transcript:SECCE2Rv1G0081760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPTSKPRQSGCGLAELAARLTKRLANENRSANLVFSPLSIYAAVALLAPGARGGTLDEVLRLLGAPSRDELEESISRLADDALQDLSGSGGPSVAFACGVWSDKRRPLKPAYREAVAGAYRAEARALDLWEDPAGAAQHVNAWVAEATKNLINDVVSPRTFGRNTDVVLASAMYFKGRWTQPFDERDTKDRPFHRRDGTTVDVPFMRNSVRHLVAVHDGFKVLTLPYNMPQRGYTSCERTSFKPPTRYSMCIFLPDAHDGLRGLVDEIASRPGFVHDHLPATSVKVGDFGVPKFKLDYSSSFVGVLKQLGLVLPFGMGSDLSDMVEYDDIGLPLVVQDIVHKAVIEVNEEGAEAAAVTMMSAEFGCAPKMMPEPRVDFVADHPFAYFIVEEASGAIMFAGHVVDPSNGNDPVQTV >SECCE3Rv1G0169980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:244721366:244724650:-1 gene:SECCE3Rv1G0169980 transcript:SECCE3Rv1G0169980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTEGPKSHARRDLLLKIQSDAQTCWEESKVFQAEPGNGPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFAFHCTGMPIKASADKLAREIQQYGNPPVFPAAEDDSSAQVADDSQADQPALVPGQFKSKKSKAAAKTGMQKFQWEIMKGFDLSDEKIAKFQDPSHWLTYFPPLAKEDLKDFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMMVIPPFPPKLKALEGKNVYLAAATLRPETMYGQTNCWVLPDGNYGAFEINETDVFIVTARSALNLAYQHLSRVPEKPTCLAELTGNDLIGLPLKSPLSFNEIIYALPMLTILTDKGTGIVTSVPSDSPDDYMALQDLITKPALRQKYGVQDEWVLPFNIIPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGVMIAGEFDGRKVQEAKPLIKNKLLGEGSAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVKCLENMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHHLQNGNMYGEEISSIKPEEMTDEVWEYVFCNGAAPNSSISPALLSKMKQEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFLTLKEAILEYSSDATRFALADAGDGMDDANFVTETANAAILRLTKEIAWMEEVIAAESSLRGGPPSTYADHVFANEINIAVKETEKSYNAFMFRDALKSGFYDLQLARDEYRLSCGAAGMNRGLLGRFMDIQTRLITPICPHYAEHVWQKILKKEGFAIKAGWPVAGTPDPTLRSANKYLQDSIVLMRKLLQKQESGSKKPKKGGAPPPSAENKLTVGLIYVNEHYDGWKEQCLRVLQSNFDSQARSFAPDEEINEALRNCFIDRETNFKQVQKLCMPFIRFKKDEARTVGPQALNLKLPFGEINVLEENLELIRRQLGLEHVEVLSAFDEAARAKAGKHASLLDKNPPSPGEPVSIFMSKQEFEAQN >SECCE4Rv1G0269430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:748929797:748931892:-1 gene:SECCE4Rv1G0269430 transcript:SECCE4Rv1G0269430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHLNFISQGGALNYAPAVAVPSADPVPSKVYSITTTNGNGGVSIRSDKVTGKSSADGTANSSAGRSSNLSLDALAKAKKALQLKKELSEKLKRLPVIPSLDAVKRAQELAAVPQRPAKAPVLRLDAQGREIDEHGNVISMTKPSNLSTLKVNINKQKKDAFQIIKLDLKSLAKSTFHFDERMGVNPSKLLRPKKPGFQFIAEGKLSRQAELQRIKNQFGEAQGKELKVKQAQLAKAKVEANMNPNLIEIAPGGRPPKQKREEEIPDIEPWDSKILISATYEDISLEKLNMDKITIYVQHPEPLEPPAKPMTPPPQPLKLTNNEQKKYRTQRRLTTEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGAQATQDPTRMEMEIRAAAAEREQAHVDRNIARKLTPSERREKKERKLFDDPDTLDYLTVCVYRIRDLSHSQTRFKVDVNARDNRLTGAAVTTDGISVVVVEGGVKSIKRYNNLMLHRIDWAAAACDAHNDADEEPDKPVNSCALVWQGSVVKPAFPRWLGVRKCQSEAAAKKVFLDAKVAHYWDLAVNFLSSNLC >SECCE7Rv1G0456900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:13522761:13524389:1 gene:SECCE7Rv1G0456900 transcript:SECCE7Rv1G0456900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVVAEATARQVAAFVLGAAAALTVVMLVQYRAPAAGLSRARTPGHFSGGQHHRPNGTTARAVVHQAPPVARSVDSGDDHHRPANASTITKPNSTSTHAAPSHLSSTHRHRQKGAKREAEEFRGLAAVVARAAMDDRTVIITCVNQAWATPGSLLDLFLESFRIGDGTARLLPHVLVVAMDSGAYARCLAVHRHCYHYTIPGLNIDFAALKYFLSKDYLELVWSKLKLQRRILELGYGFLFTDVDIMWLRDPFKHVTAYADMTVSSDVYFGDPDNLDNFPNTGFFHVKPNARTIAMTKLWHRARGKYPGANEQPVFNMMKKRMVKKLGLRVRYLDPVYVGGFCRYGKDLGKIVTMHANCCVGIDNKIRDLKGVLDDWKNYTKLPHWEKHRAKWTVPGACIRAEKQA >SECCE5Rv1G0356720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741820586:741821071:-1 gene:SECCE5Rv1G0356720 transcript:SECCE5Rv1G0356720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNASLLPMVMACVLLFISSCHAARHLADTTPAAVPPSAAAIPGLPAVPTMPTLPPMPAVPTVPAVTVPAMPTVPAVTVPQVTLPPMPAVPSVPKVTMPPMPAIVMPKVTMAPMPTVVVPKVTVPPMPAVPSMPKVTLPPMPSIPTVNVPMPFLAPPPSA >SECCE7Rv1G0462570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:46987438:46988940:-1 gene:SECCE7Rv1G0462570 transcript:SECCE7Rv1G0462570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAPLDSPVLTTVLAFLLVVITLLVSTRRGSNALRLRLPPSPRGLPVVGHLHLLGTLPHRSLRSLAASHGPVMHLRLGRVPTVVASSAAAAEEAMKTRDLDFAGRPRLLMVDRFYYGTGGIGFAPYGDHWRQARRVCATHMLSVRRVASLGRVRAQEAAALVDRVRRAGVVNLSDSLAVYSYAVISRCTLGDAGCGVEGGGARLRKAFGEMEELLGTVPMGETVPWLGWVDTVTGLERRARRVFEEMDGLLERVIADHRQRRRAAAATGDEEDFVDVMLDAGELDTDSTKSIILDVLAAATDSTFALLEWAMAELINHPHEMSKLQAEVRAAAGAVVTEAHLPRLPYLKAVINETLRLHPPSPLLLPRETLEDTRLLGHDVPAGTRVLINAWAIGRDPATWGPRAEEFAPERFLGYAGQDFSFLPFGAGRRGCPGVEFAMLSNELALASLVHGFDWELPGGRTPPVDTTELYGLSVCLKAPLLLVAKPWSSMDMDGVE >SECCE5Rv1G0325170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:461102301:461103332:-1 gene:SECCE5Rv1G0325170 transcript:SECCE5Rv1G0325170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIKAARRGAAATAPTDLLVCFPGRQHLALMPKSMCSPSRATLDKAVAARRRQLQLPAAPATRYGGSGTGAGGGRGSTSSPLFRGSKAKHTVGDDEPQSPKVTCAGQIKVGRPKKPRPVAEKHGPKGDGGSGSRWVTVAEEIERLQEQRKKASWLEAVGIRRDALPFLGGALWSLRHKVRCFGSSLPAAVDSSTDDDDDDVGERERESAAVGGSVAASVFSKWLMVLERSHESHEQDDNDDELDQEDGRPSNEAGDDCSKAPPVPPPNALLLMRCRSAPAKGLATKGAEAAGEEKGGVEEKRDELVFMRTAPDFLKLSIDIAKETWVVGGVDPLARSRSWKR >SECCE5Rv1G0352280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:708298976:708299434:-1 gene:SECCE5Rv1G0352280 transcript:SECCE5Rv1G0352280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPVEEEPAAEKAEKAPAGKKPKAEKRLPSAGKTSAKDGDKKGKKKSKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE1Rv1G0016280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:136478462:136482965:1 gene:SECCE1Rv1G0016280 transcript:SECCE1Rv1G0016280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEQKGETSSGMYTYKHRGDKGVDIHEIFVKKSRTRVLLSYCGLILLLAIVCRSLLGKEKLCLESVWSVTFGILVAKCLQYKPVKKESVVIMPSFGVQLEIHFWSGRVDRHFVPIGKILKPLLNECVTPVTCYWSLALLLRDEEELKLVFQKFRPPVKMLVPIWRALCAFTDSECTSRRSAVSKPNRSEA >SECCE1Rv1G0039700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:551885713:551894043:1 gene:SECCE1Rv1G0039700 transcript:SECCE1Rv1G0039700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRTKGAWSLLLVLFLGQLVAFSMAACSFASSFIANLGVDAPLAQSFCTHLLLTLVYVPILLHRRQKLRIPWYWYLVLAFVDVQGNYLVTEAYQYSSITSVTLLDCWTVVWVIILTWYALGTRYSFWQFLGAGTCVAGLALVLLSDAKTPDAQDPNKIALLGDALVIAGTVCYAFSNVGEEYCVKKNDRVEVVAMLGLFGVLVSTIQILIFERKSVQAIVWSPTMISLFAGYGIAGFTFYTITPFVLKMSGATLLNLSLLTSDMWAVAIRVFFYQQQINWLYYLAFAVVAIGLIIYSLNESSSADGTSTSTEAAAHYQQLPSEDNSTGSGSNLDRKERKQPEAHIC >SECCE5Rv1G0322440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:420833863:420834444:1 gene:SECCE5Rv1G0322440 transcript:SECCE5Rv1G0322440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPADASSSSEAVVGEKNNKMITLISSDGTSFEVTEEAAMMSQTIKHMIEDCCANDGIPLPNVPAKILSKVIQYCDKHVANADADANPDANADADADADDSTNNASAGEKGDLKSFDASFVTVDQATLFEIILAANFLSINGLMDLACQKAADNIKDKSVEEVRQIFNITNDFTKEEEEAVRKDNAWAFNE >SECCE7Rv1G0525360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:889483914:889485350:1 gene:SECCE7Rv1G0525360 transcript:SECCE7Rv1G0525360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVFLAVLFCVAILLRRRTRRSRAQTTLHKIVDTAAAHRALNEEANAFSNRPAAIFPVVLATGLHGERNENITTVKYGPHWRALRCNLMAKSLHPSRLASLAPMQREASQALVADLSAQLVGDAAGEVLVARRHINAAVFGLVARLCFGDGIDGRDVGAMELVIQDFIISMGELNPVFDGTMLCKLMNWRRLGRLFAFLGRQTKLFLPLINDRRLPGSRACTAGGGVEPYVDSLLDLRVPDDKQGKDARRDLRDDELVSLVSEFLGAGSGSVVASLEWTLAHLVDQPDVQDKLRREIIGDGISNGGVVSTKSLRGMPYLHAVVMESLRMHPPVPFILRGVHGEGAAAVGATMAAVPADGLRVQFVVGDIGRDSKTWTDPDEFRPERFLAGGEAEGIGPTPGPKEIRMMPFGAAHRHCPGSSQAMVHIKFFLAALVREFEWAPPADDCSVDLTELDGFFKVMKKPLSARVTRRTQVV >SECCE4Rv1G0279020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811865282:811867680:1 gene:SECCE4Rv1G0279020 transcript:SECCE4Rv1G0279020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTPTEPTMEISLDGSSEPTMDVPMVHRSCPPRGSGVSTDFKVTCDFGGTVENARVNVGKLYLRQIFAGCNANQSDVIQPNAATGLGKTVVNNWGIYDGPCSEAKLVAKTHGMHTLAGKWSNWFTLVFVAGRFKGSTLQVMGANDDDEENEWAIVGGTGEFAMARGVINKRAHSFIGNTITQELTIEFFCRMKEGVVCPPTKIAPPTEIAPPTEITAPTKIHAPIKQGPWGGMTGGKLHEMGGKSRRLESVTIYHHGVVEGLQFSYVDEEGQIHTTDTWGVNRGLFTNEIKFGPSEFVKQITGAGTLGSWLTQLKIITNTNTYGPFGTIPSQPFNYTVPENATVVGFFAEILNVFVTRIGVYTIPK >SECCE3Rv1G0170860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:258639751:258641766:-1 gene:SECCE3Rv1G0170860 transcript:SECCE3Rv1G0170860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMWTGSMLLLVLVLAAVAAAEPASTLEGPSRPVTVPLRKDRGHAVDLPDTDPRVQRRVTGWAPEQIAVALSAAPTSAWVSWITGDFQMGGAVKPLDPGTVGSVVRYGLAADSLVREATGDVLVYSQLYPFEGLQNYTSGIIHHVRLQGLEPGTKYYYQCGDPAIPGAMSAVHAFRTMPAVGPRSYPGRIAVVGDLGLTYNTTSTVEHMASNQPDLVLLLGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSSTPMMVVEGNHEIEQQIGNKTFAAYSARFASPSKESESFSPFYYSFDAGGIHFIMLAAYADYSKSGEQYRWLEKDLAKVDRSVTPWLVAGWHAPWYSTYKAHYREAECMRVAMEELLYSYGLDIVFTGHVHAYERSNRVFNYTLDPCGAVHISVGDGGNREKMATTHADDPGHCPEPLSTPDAFMGGFCAFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWKWHRNQDLYQGAVGDEIFIVREPERCLLKSSIAAYF >SECCE5Rv1G0357070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:743891663:743893093:1 gene:SECCE5Rv1G0357070 transcript:SECCE5Rv1G0357070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPAALGLVVLLLLVLNPNAAEARPAPAGGHQKKSSSNTFFVFGDDFADNGNLPLTDPVTEMSRQWAYPYGSGYVDAHGFPRPNTPSGRFSNYKIQPDFIATMLGLEEAPPAHALTAEKTCDPSGMTFAYGGAGVLDSTSHEVQTLAKQVDTFGKMVKDETISETQLSHSVALVAISGQDYASTSVIGLSSPSDINAYIGKVTKEIATNVEKLLKLGVKKVLVNNLHPIGCMPSQTQTNNYTTCDIFGNLGASIHNDNLKQVMAAKKNVHIVDLYTAFTNIVDHAPGKGSELSKQFKRKLSPCCESLDPKGYCGQQDESSSELLYTVCDKSDKLFYWDDMHPTHAGWEAVMKQLEKPLREFVDQH >SECCE5Rv1G0325410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:465425403:465430020:1 gene:SECCE5Rv1G0325410 transcript:SECCE5Rv1G0325410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVELFTRSYSSSTPVDWEAEAYPAYGDYAVLPILVAFFPALRFLLDRFVFEILARRLIFGKGYDKLAETDERRKKINKFKESAWKFVYFLSAEVLSLSVTYNEPWFTNTRYFWVGPGEQLWPDQKMKLKLKAVYMYAAGFYTYSIFALLFWETRRKDFGVSMSHHVATVVLIVMSYICRLSRAGSVILAVHDASDIFLEIGKMAKYSSCEGLAVVAFLLFVASWILLRLIIFPFWILRSTSYEVAVILDKEKKEFYSSVYYYLFNSLLFSLLVLHIYWWVLIYRMLVKQIQSRGRVGDDVRSDSEGEEDHED >SECCE5Rv1G0353490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:717613785:717616318:-1 gene:SECCE5Rv1G0353490 transcript:SECCE5Rv1G0353490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLLEGAMALAVTPDAANSSGSGAAPGSGPLWWLSDCHGAWYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVALLNLLWCFLQVWQCMPDRAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNEASGYESLGRTFVISGAVVSADVLLKTIYVFGFGVPLFIDADQGTGGKWGLWILHKLVLTGVYGLIVFMHHSRWKDRLPAKPAYYQYVCAMLALNGLSLFGCFLVACGAGFGLWLYNLTAVCYHALYLPLLYVTFLADFFQEEDMLLENVYYSEMKDAGFFDADWD >SECCE5Rv1G0331540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:539403765:539406773:1 gene:SECCE5Rv1G0331540 transcript:SECCE5Rv1G0331540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGGSGCVAKAAAVAVLVLCMARWSAAGMGVNWGTQLSHPLPASTVVRLLKDNGFDRVKLFDAEDGILGALKGSGIQVMVGIPNDMLSDLAGSTKAAERWVTANVSKHINDGVDIRLVAVGNEPFLQTFNGTYLNTTFPAMQNIQAALMAAGLGSQVKVTVALNADVYQSSSGKPSDGDFRADIHGLMLNIVQFLASSGAPFVANVYPFISLYADPNFPLDYAFFQGSTSPVVDGGVTYENTFDANHDTLVAALRRNGFPNVSVVVGEVGWPTDGDANANPAYARLFNQGLLDHVASGKGTPLRPGAPVDAYLFSLVDEDRKSIQPGNFERHWGIFFYDGKPKYQLSLRGNGGGMLVPARGVEYLQRRWCVLKPDADLADQKVGDSVSYACGSADCTSLGYKTSCGGLDAKGNVSYAFNSYYQTEDQDERACDFRGLATTTTVDPSTGTCRFIIGIAPTSTATRNAAARTAAILFALLLAGVF >SECCE6Rv1G0407400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:556664127:556664999:1 gene:SECCE6Rv1G0407400 transcript:SECCE6Rv1G0407400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFQSIPGLAGRLFGGAAAADIRRVQGPASRCGVFSQAASAQPEAAVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKAKRSSSSASAPSTPAATEAKSQRRGASASSSSRSNSGSGSASPTAAAEETTTTTDPPPPTPSSNSNSHTIAFASRSTNYPFAADVPPPAPIFADQAAALASLFAPPPPPPLPVFNFASEPKMEEAIGSLLLPGQEPAQEPEEPTCTSTVGDMAPFMSLDAGIFELGDASPADYWNGGSCWTDVQDPSVYLS >SECCE2Rv1G0122390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:815462900:815463340:1 gene:SECCE2Rv1G0122390 transcript:SECCE2Rv1G0122390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAGGDGKEPINEQVVANTYANMRTEMNQLYTKITELEMEVSEHSLVIGAIEPLDPTRRCYRMIGGVLVERTIKEVLPAVNRNKEGLEEVVARMKEALERKKKEITEFELKYKIRIRKGDNSAEEEGTMKEASAQGVLVGPAGQ >SECCE5Rv1G0301340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:30082367:30086754:1 gene:SECCE5Rv1G0301340 transcript:SECCE5Rv1G0301340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAALVFAGKSVATSAISFLVNKAFSYIDEYCKSENMDELKNRLLRAMPHIQAVFDVVNPELVREQSSGLDAWLWQLRDAVEAAEDAIDELEYYELEEKAKDQKVSDWGSPLGKMKHKFVRSLGPAVNKTIKKISHRDTLKRLMKSVDDLDKAAIGVSDFLKLTDHLSGGSSTSSQQLMDNDRQTSSTLSATIFVGREKEKEQIIGWLANTSDELGETMVIKTNSIPIISVIGHGGMGKTTLAHSICNQDEVVKHFKVIWITVSTSFDATSVTSKILESITRVKPSSDHLEPLQQDLKEKLKSTIFLLVLDDVWEDKKINEWEKLFAPLKKLNTTGSKILLTTRMQSVADMAAKVMGVERDKFLTLQGLEDDKNLKLFSHHAFSGLNPRDYACLKLIGEQIAKKLRGCPLVTKVVAEHLQSNITHEYWRRFLHQGLEHFKGTEKDIMQVLRLSYYHLPTELQICFRYCCLFPQDYIFEKKLLVQMWIGSGLIASGIQSLEDTAERFLAQLTRKSFFDKIPTWAQREFYVMHDLMHDLASNVSTGECARIVDPVQLQDEKYTVRHLCIVNIHSFSAEEIKKISHFKNLRTIIIDDIPPHENDTLCALRMIVETSKSLRLSHAQLWNTSRFVVNFGNLKHLRYICVSLIPQDKICGVAKLYHLMVLHHGSFGTKIDEARYLGNLERLRYVSYGVHGFGNFPISRLTSLRELHGYQVEERTCNKISAVGSLRDLRRLGLQGLENVKSWEEAKNAKLKEKQYLNSLFMEWSTPDQIMTDNLILDHLEPHVNIKELQIQGYPGLKIPSWIENCSVRNLISLKLISCMNWDYIPSLGQLVLLELLMLDNLPNLRQIGRSSDMSKSSSMELLLPQSLNTLKVSKCQKLRELPLLPPSLISLTIHDVWLTKFPIIGKVLSESIESESSKLIDVTVTNCPHLTSLEGSLLEQKLYMETLRVLIIIDCKHLESASIPFEEMKQLKELAVTQCPKLRTMRDAKDKLVPSSLRELAIGGCGDLELPLLGSLQLLTNLSGLELQNCSSLLSLPSADVFKSFRSLQNIRVTRCENLSSLGGLGTLSSLQQLAISKCSKLTEAGSSLLSGSGDAEEHLVEPSNSLRISFLILDLPSLLLHEPLKSLCHTENVCINGCSETKSLPERWLLQNRSSLRYLRIEKAESLESLPPSMQDLCSLKSLHLDGALLLRSLPYLPSSLKNLNITECHPDLEKKITKHGSPEWNKIAHIPDVQIGGWHFLMGNKFSREAYQKLSYIGRN >SECCE5Rv1G0333930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:562942680:562950690:1 gene:SECCE5Rv1G0333930 transcript:SECCE5Rv1G0333930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASLFFLRLVVPLVLLLLPLSRSASVVTHLPGFHGRLPFHLETGYVNVDEETGTELFYYFVESERSPDTDPVLLWLTGGPRCSSLIFFEVGPMKFVLAPYNGSLPQMAYNPYSWSKMASIILLDSPVGTGFSYARDQEGYRDVGDFLFSMHVVTFLNKWFIDHPHYQSNPFFVGGSSYAGMMTPIIAQHISQEIDHGKQPRINLKGYLVGNPITGSDYDRNFRAQYAHGVGIISDQLYEAAMGNCKGSYIRPRNKLCDMVLNTIEDLISEIDERYIVGLKCVWDLLRHRFMSEEYPQLSELSPEQPTVNCFAYRYYLSNIWANDDSTRDALAVKHGTIGEFKRCRKSMPYSFDVSSSIEYHFNLTSRGYRALVFSGDHDLVIPFLGTHAWIRSFNFSIVDEWRAWHLGGQAGGFTITYANHLTFATLKGGGHSAIEYRPRESLAMAQRWLDNKSL >SECCE6Rv1G0381380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:32491312:32491644:1 gene:SECCE6Rv1G0381380 transcript:SECCE6Rv1G0381380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDPPVPEGEEPKSVVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVVVHVRELEQKLERSELQAEVMQEELVAMKMKAEESEAARDKELELLRKKSQEQEE >SECCE5Rv1G0369470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:835316449:835319413:1 gene:SECCE5Rv1G0369470 transcript:SECCE5Rv1G0369470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQARTRSEEQPMLSSDLGERPEVVLNIDGNGNGPGAHVVGAAGKPTPTASRTLSTTQASSPSLSPKNNAVEVGEDPPTRITGNFLRKQKAAGAERKLDLDLDQLEMDDIGRSSHSHPFSSNSREREAPRVSFKDRKSSSSSSSGSDSDTGDVGKPAGDDGIRNTSASTPAGPRPLLRAKTRSRLMDPPPQSPAAVDEDRKKSSALRPPKSGQRRKSGPVEEEEEEEDPFIDDDIPDDFNRGKLGVLTILQWLSLVLIIGALVCSLTIRPLSRKKLWELHLWKWELLVLVLICGRLVSGWAIRIAVLCVERNFVLRKRVLYFVYGVRGAVQNALWLGMVLASWHFLFDEGTNTAVLPYVTKVLLCLLVATLVRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIDTLSGPQLVDEDYVIAEVRELQRAGADIPKELHPALPTKNLTGQRSTRVSGLISKGTGINPLSKEKKRREADEGITIDKLHRLNQRNVSAWNMKKLVKIVRFETLATMDEQIQQATGEGAESGTHIRSEYEAQLAAKKIFHNVAKPGSKHIYLADLMRFMKQEEAIKAMHLFEGAQEHCRVGKKSMKNWVVNAFRERKALALTLNDTKTAVNKLNQMANVVVGLIVSVLWLLILGIATTQFFVFLSSQLLVAVFVFGNTLKTIFEAIIFLFVTHPFDVGDRCEIEEVQVIVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIFNFYRSPDMGEGIDFSVHVATPLEKLALMKERILRYINSKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNFHDMGMRFVRRELVLKEMIRVLKDLDIEYRMLPLDVNVRNAPPLQSTRMPTTWSYS >SECCEUnv1G0537190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67780105:67780329:-1 gene:SECCEUnv1G0537190 transcript:SECCEUnv1G0537190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCCGPSDVKVLPKNTSCLSSASSASSSPSKDSSDSGKKKQQQGVKKEKKKSNLDQAAMATPRFPFHSRPGLM >SECCE7Rv1G0524550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886429643:886431534:1 gene:SECCE7Rv1G0524550 transcript:SECCE7Rv1G0524550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGWLSLYFIGISTVLALWFLVFFGGKRNRKKKQLPPGPWTLPIIGSLHHLVGDLPHRRMLKMSAQHGPLMHLRLGEVPTVIVSGAEAATLVMKTNDLDFSSRPRSPSQDIIGCGGMGIIFGPYGDRWRQMRKICIVELLSSRQVRRMEGIKANEIGNLLHSMATAGATVNFSMKMATLSSDVVTRAVFGGKFTRQDEYLHELSKVNELLGSFCLVDLFPSSRLVRLLSNGERLVRRSYGRIQHIITEIIEGRKAARASGGHAHSTNDEDLLDVLLRLQEEDSLTFPLTTEIIGVVIFDIFGAATETTGTAVEWAMSELLNNPEEMAKAQQEVREVLGKGRHVIKSTDLSELRYMRMVIKEVLRLHPPAPLLVPREMREDCEIMGYNMPKGTNIFVNVFAISRDPKYWDNPEEFKPERFENSNVEYYGTHFEFTPFGAGRRQCPGILFAMSTVEITLANLLYHFDWVLPGGSSAESVDMSEKFGVSVRRKFDLQLIAIPYKRSKAISR >SECCE7Rv1G0455000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5208999:5210525:-1 gene:SECCE7Rv1G0455000 transcript:SECCE7Rv1G0455000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLPKTSSMASSPEGAAVVDAYKKALATAATVSAYAMLARGMARELLPDELRAAVRWAAAFVRSRYSAPAKERHTIVIRRVLGGLGLGGGYNENDLFDAALTYLATKIDPQSMSRLCLARTRMKEPGGSASWSTLLSMENGGSTTDTFQGVEFRWTSIESGGDDGKSRAQESLELSFDAEHTETALHKYVPFIMSAAEDLRLRDRALKIFLNESSSWRGINHHHPATFDTLAMDPSMKQAVIADLDRFLKRKDYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSGVYDNSCLQRLLMDMPNKSILVIEDIDCSFDTMSRDDHNRKLPRQPTDTYTDTDEDDGGEYYDDDVRGARGYFPGRERKITLSGLLNVIDGLWSTTGEECVIVFTTNYMDRLDPALLRPGRMDMHVYMGHCGWEAFRTLARNYHLLDDHALFPEIQELLAAVEATPAEVSEMLLRSEDVDVALGMLMEFLRERRSKTVVINHKQNGGITG >SECCE6Rv1G0399500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:440883180:440886851:-1 gene:SECCE6Rv1G0399500 transcript:SECCE6Rv1G0399500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 61 kDa protein homolog [Source: Projected from Oryza sativa (Os02g0633400)] MYNGGDHYDGNGSGAANANSLFSGGGFMPSQTTNTPEGSGGITKTRGAQTLLPLTVKQIMDASQTNDDKSSFAVNGTEVSTVRLVGRMMNKAERMADVRFILDDGTGRIEVNRWENETSDTTEMGLVKDGDYVIVNGGLKSFQGKRHIVAYSVRLVTNYNDITHHFLYCIYVHLDLAKAKKSGTQPQVTANASTWNQAPPPNNQAPTLSASGNAGGQELTDMVMNVFHDPVLVNLEHGVSIAQVASRLNISDALARSTVTHLIDVGNLYPTIDDNHYKSTLNG >SECCE4Rv1G0226420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:109826352:109833951:-1 gene:SECCE4Rv1G0226420 transcript:SECCE4Rv1G0226420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDHRTIKVARDEDLRSQIGSGGFYFDLVDFDRVRAFQVPVDTTVILFMEELAKELGTPVKFQRLWLCQRRQNGTRRPSRPLNSKEKKLSIGRVFSADVKLFLEVLNPCSPRNLNREYLLVFLKCYDPEQTQLRYIGTLFVSCSSRPLDILPKLRSLAGFCADEEMELYEEIKFEPNVMCEALDINHTFTVNQIENGDIICFQKRPKSCNQHLYPSVNLFLEHVHKLTKEGRKICALEEEIVEFRRLSDLNIAAKLECTQLRHERDNAMRQVDEFRGQNDLVRLQIEEAKKECNQLRHERDNAMRQVDEFRGQRDLVRLQIEEGKKECNQLRHERDNAVRQIDALLNQNTAGRIQIEEAKMECSQLKHERDNAVGQVDELRDRNSQLILEFRFTCLEQATEHFKDLCKIGDTEYGCVYKGIINNTTVAIKLSKSESLFQQEVSVLRQGGRHANILTFVGMCSEASALVYEWLPKGNLEDHIVRADDTPPLSWHIRTQIIGEVCCALLFLHSHKANASVHGDLRPCNILIGASYRSKLYNFGMSSLYLQPGSCPPNLTARLPYMDPEFLTIGDLTPLSDVYSLGVIILRLLTGMPPLAIAKKVREAFHSDNLHLLIDKSAGDWPYTQAKQLALLGLRCVEMTREKRPHLTEVWTVVEALLRKPPAPSCPAHFICPILQEIMNDPQMASDGFTYEAEAIRRWLDGGSNRSPMTNLALANRVLIPNRALRSSIQEYLQSSVAEAVRSLNLNI >SECCE1Rv1G0026550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:372032833:372033402:1 gene:SECCE1Rv1G0026550 transcript:SECCE1Rv1G0026550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFRALTTAAVFLLVALSTSHIASSLRPGLGVCRASGYLPGKSGNCEKSNDPDCCEDGKRYPQYHCSPPVTATTKAVLTLNSFEKGKDGGGPSECDNSYHSDKELVVALSTGWFKNMARCGHRIKITANDKSVYAKVVDECDSVYGCDADHNYEPPCDNNIVDASPAVWNALGLDQNVGMEDITWSEE >SECCE7Rv1G0511750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804913701:804914503:1 gene:SECCE7Rv1G0511750 transcript:SECCE7Rv1G0511750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVLLLAGALLALACSHGATASDPSLLQDFCVADKMSPVRVNGLACKDAKEVTVEDFYFSGLHVAGNTTNKQGSAVTAVNVAQIGGLNTMGVSLVRIDYAPFGLNPPHTHPRSTEILTVLEGCLHVGFVTSNPENKHFEKVLNKGDVFVFPKGLVHYQYNNGTTHAVVMAALSSQNPGVITIANAVFGAEPSIPDGVTTKAFQVEKGTVDWIQAQF >SECCE1Rv1G0011990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:85036063:85036437:1 gene:SECCE1Rv1G0011990 transcript:SECCE1Rv1G0011990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKSTTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEELAHLMALFRAKVV >SECCE2Rv1G0113080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:719060021:719062010:-1 gene:SECCE2Rv1G0113080 transcript:SECCE2Rv1G0113080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKKLAVEAAKEEVASDVSLKDLSRRLDDFAKERDWEQHHSPRNLLLAMVGEVGELSELFMWKGEVQKGLPGWEEREKEHLGEELSDVLLYLIRLSDMCGVDLGDAALKKIVKNAVKYPAPSKSA >SECCE4Rv1G0274060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:782101516:782102729:1 gene:SECCE4Rv1G0274060 transcript:SECCE4Rv1G0274060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAAGDAKLLIQSLSKAYAATPTNLKIIDLYVVFAVATALVQVVYMGIVGSFPFNSFLSGVLSCIGTAVLGVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >SECCE5Rv1G0316730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:328928132:328930270:1 gene:SECCE5Rv1G0316730 transcript:SECCE5Rv1G0316730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPTRPCKSQAPAAAAAVAAISSLRLSSSLALIPPPYHDDNPFASLLTSDPPPPDPLRQVLATGDVHGALRGLPGLARKLFRWAEGTPHGFPRSASAFAAVLVPLVEARHNSAAYPVSLRAIQAGLLLPLISLLLTYPLSPSSHHLLNLLLRMSTKFVPECQAQDLAPTRCSTQCLSAFQEMVRQGVAPYVKVCNCVLSVLCDAARWDDMRAVYSDMLQLGVEPSIVTYNTLLDSFCKAGRIDQAVMLLKDMEAQVAGCLPNDVTYNVVISGLARNGELDKAAQLVDRMRLSKQASAFTYNPLISGLLARGFVEKAEALQQEMQNDGIVPTVVTYNTLIHGLFKRGNVEAAELKFLEMRSMGLQPDLITYNSLINGHCKACNLKQALWLLGDLRRAGLAPTVMTYNILIDGYCRLGNLGGAMRFKEEMRAECCLPDVCTYTILMNGSCKAKNIAMVRVFFDEMLSKGLKPDCFAYNTRISAELTLGAISDAVQLREEMMPSGISSDTVTYNILIDGLCKAGSLKDAYVLWMKMVSDGLQLDCVTYTCLIHAHCKNGLLRKANNIFRGMVASGLSPSAVTYTIFIHTYCRVGNLDSAYGWFRKMLEEGVEPNEVTYNVLMNALCRIGRTELAYQYFHEMLERGLVPNKYTYTLLIDGNCKKGNWAEAVRLYCEMHQKGIHPDHCTHNALFKGFGEDHMHDTIQYLENVVLGA >SECCE2Rv1G0086570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:214113202:214113963:1 gene:SECCE2Rv1G0086570 transcript:SECCE2Rv1G0086570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAREMQEMDEFVLVPHAADLWSYQLDDDDDDHEARFLAGNDEKTIDVNHFASELTPNAAVQCATVEPPAPSGSDSESEDSVADEEEAVDGLLEKALDADEDGEVAKVSARRDARLEQGMSVPRLEHAPAMISFGGSYGGHLF >SECCE3Rv1G0189630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:728039530:728044286:-1 gene:SECCE3Rv1G0189630 transcript:SECCE3Rv1G0189630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNAADEPGKAAAVSVCRDLWHACAGPVVALPRRGSAVVYLPQAHLAAAGGGGDVPVALPPHVACRVVDVELCADPATDEVYARLALVAEGEVFEKNMGGGRFEGEDDMEDGDGERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYQQIRPSQELVAKDLHGAKWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELRLGVRRAIQLKNEALLTAFSSNSSKIHTLSAVANSLKHRSVFHICYNPRAAASEFIVPYWKFLKSLNRPFCIGMRFKIQYGSEDVNERRSGMITGINEVDPIRWTGSKWKSLLVRWEDGTDCNSQNRLSPWEIEIVGGSVSIAQSLSASSSKRTKLCPQGNLDVPTLYGNGRPDSVGADKLPRVLQGQELMGSGTHRVTCPPQPGGATEFRRSDGTGFLADTRSCMLSGPASRLPPQSPYFAYQPVGFGESVGFPEVLQGQEVCQTVPLFQGMVSDTCSAKGRYGMYNYMPTSSAMNGGFSSAAQGYPLSLSTPPPAEASSPSPAAVPPQLWLPSKNNEEAGNGSQPNPFGIRKAPGDGAAKLGSDGRKVARTGCMLFGFSLTEKMLPTEEDCAKEGNHEADCQNPRMLDLFGYNRATPGALHALCAAPLGI >SECCE1Rv1G0037930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:532443367:532446866:-1 gene:SECCE1Rv1G0037930 transcript:SECCE1Rv1G0037930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMGLKRPAAAAATATQTITLPPQGVAVRDAVRGAVRDAELPPRAPAMSAPAPAAAVDGVLCFEEVEGRRWSYVVEGAASSAAKTGRVSARARGGSAAPVGATFRAVPLQSPLPPAEEIMSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMSVFTTRALLNSVGVSQSRATSGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLMMELGAGIELATAAFPQLFLPLACMANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGMSILMSKRNPSLVASFAVLSCGYLLSSYQEVRSVVLNTLNTARFTVAVDSFIKTGHVPSLKEGNLEETIFNPPWRHQPVAIGSRFGEAFQEPASFVATRPLFEDERYIVTYNPAKDKVYALLKDQAKQDDILKAAFHAHVLLHFINASHANLKARKRMNSDQGSNHYVNPNPLNMDFLAHIEESCKIVTSSYGVFKRKAREQGWIMSDSLLNPGRARLCGVAPQ >SECCE1Rv1G0051250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:651894352:651894663:-1 gene:SECCE1Rv1G0051250 transcript:SECCE1Rv1G0051250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE5Rv1G0323620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:438121660:438124581:-1 gene:SECCE5Rv1G0323620 transcript:SECCE5Rv1G0323620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLADNVAKHWKANETEAVETAKEWTRVYANDA >SECCE1Rv1G0003300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13433880:13436433:1 gene:SECCE1Rv1G0003300 transcript:SECCE1Rv1G0003300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDQAAAIASSLPYLAAAAAGTSTSASGSLRRPRPCCSFPLRRPPPIYLTCAAFPYTPPPCAATAWPAPAGDGQHLFRGPPQPRCSNVEAVGDVTAAVPDRYTEDTPSSSGYANGHMATASSQEDHSQGSTDKANDRATANVNQKMVKISDKLIGVFMVDKPTPTDWRKLLAFSREWDNIRPHFFKRCQERADAETNPEMKHGLLRLARKLKEVDEDVQRHNELFELVKSTPSDKIGDVVAKRRKDFTVEFFNHLYYVAESYQDDPAKQKELATLGNICVDALQAHDDMSGSLQALNVAELKLKDILNSPTVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSDAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPAERLSALNDAFTPGPELQGENVDTLFTSPEVLHTWASAIIDAYYSSREGTLLGQARDLMNPKIIKRVEDLVKTIKDQYL >SECCE2Rv1G0107590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:650664141:650665681:-1 gene:SECCE2Rv1G0107590 transcript:SECCE2Rv1G0107590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADYLKKLEEELKNRESIMQAREESLKRHDEEKGKTVLIPSPAPSSYAASIKTYVPITLDLQDSNYVKWRELFLVALGRYGLSNHIIGDAAATPSDTLPTSDWGRDDYIVLSWIYGSISLELFGIIMAPGSSARQIWDAIANLFHDNKKSRALALDAEFRNTPQGDMMISEYCAKLKNLSDALADVGQTVSDETLVLTLLCGLNEQYAHLCTFLPFQASFPCFLQTRSALVLEEAQKKTDAKNATAMALWASGNNILPSAGGERAPSGGTARGSSISFNSNGQGYRGGGGSGGRGRGRGGRGRGRSEPWMFNPWTGMPTRAGHAQHPAPSQPQPWQQPRAWRAPAPGLLGPRPTSTSQAYAAYAPAAGYTNTQLHQAAPPLNFQQQQQQLDPVLVTALNNMHFPNNEWYMDSGASSHMTSDPGSSIQDRDSSMQ >SECCE5Rv1G0363430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:793043112:793043593:-1 gene:SECCE5Rv1G0363430 transcript:SECCE5Rv1G0363430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLVAILVLQTVMVMGILSRSLATNDNFPKCCNNCNSWSGYQFCDDVGPRCRRGCANCQVVQTSPVKTFRCGDGRPVFDGHGTPTPCPPPCKKH >SECCE2Rv1G0123090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:821274645:821275181:1 gene:SECCE2Rv1G0123090 transcript:SECCE2Rv1G0123090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPWQALPQLAVVLLFLLVSSSAASTLDDTCKSVSASNRDVGYDYCVKFFKACKGSATADKRGLAVIATKIIRAAAVSTGRRIATIKASHGGDRRMQGPLADCDELYSSAVDQLDAAATGIASGKFQDALTNLSAAADAPQTCEEGFRELGVSSPLAADDLEFSRECSIALAVTNTL >SECCE6Rv1G0380100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:19444617:19447757:1 gene:SECCE6Rv1G0380100 transcript:SECCE6Rv1G0380100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMQGRAQMWMLLWLAFCLLEHSHSLVFPFPMPFFAPSYTNQQDVDAVNELYASLGSPDLRGWAASGGDPCEEAWQGVQCLGPNITEIVLKDAGLEGKLSEALGKLTAITRLDLSSNNLAGELPESMAMLKSLSALHVQNNRLTGTLDVLRDLPLKDLNVENNQFAGSIPEKMLSIPKFLRNGNHLIVPSPGSSPTPAMSSPSPAAHPHITVIPAVTPQDTTHGGGLERHANKVSPAKAAGFSILAASLLTIAVIVAMFATSRRRQEMSTREGHLRAIVRSVAIWTRKPPKLGAPAYPVKQHSTVAANDIVGSTLGDCAKVAGLSAHTPLKNYSMSSIVSDKNVQWGSEEGKSSRGSFKFFTVASLQQCTNSFSDENFLRETRFGKIYLAERPECKFAVLKLRDTATKMAADVFLENVQTIAELRHPNIEELVGCCVEHGQRLLVYKHFSEHTLDDMIHCSSSDAADPGNKFLWEARIAVALEAAKALEYLHDGAGGQEGHVGDVPVVHGHFRPEHVLVDGEARVRVSGCGLAPFAPPSVSGTTTDWHDDALSYVSPPEEAATTEAATCRDVYCFGVVMLQLLTGRRPYDNVRPRGERLLVPWAVARLHDLSALRRMADPRLRGTPVPVRSLSRFADIISRCVQREAEFRPAMAEVVQDLMGAATAEYELVSTACSD >SECCE3Rv1G0207790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:924392863:924393969:1 gene:SECCE3Rv1G0207790 transcript:SECCE3Rv1G0207790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPRKQHGGGIHSASFLLGALLPTLLLFFLASDRVGERLASISTYTYTLGNNNNNNGSSSAHHDANLTDTDTDMEERVFPGLAELLPRVATDDGTVIITSVNEAWSRPGSLLDLFRAGFKEGEGIAHLLNHTLIVAVDPGALAHCEAVHPHCYLLEVTSANVSSANRFMTKSYLELVWAKLELQQCVLQLGYSYLFTDVDIMWLRNPFRHISLYADMAVSTDRFNGDAEALTNAPNTGFYYVRSTNRTVEMVRRWRAARSRFPPTEHDQAVFDQIKAELAGGELRIRFVFLETALFDGFCELHQGEMDRVCTMHANCCVGLENKVHDLTNMAADWKNYTSLAPPERSGSGRRWTAAAQCEESMRQL >SECCE3Rv1G0148640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19449001:19449435:1 gene:SECCE3Rv1G0148640 transcript:SECCE3Rv1G0148640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTLQMKPRARSLWLLVRRLLCRGSKLHRPPAGAGDQQGDGCGERRSLLGRSGSLEDLLGPDVAGAVRRSARKDVQVVQHALLPERQRQHHADVAEAPPAEEQPLAASAAAVQQYRRFMFGGFRRRLMMRRQWRPMLVAIPE >SECCE5Rv1G0366870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:816585842:816586537:-1 gene:SECCE5Rv1G0366870 transcript:SECCE5Rv1G0366870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTPRGGGGAGTVKPKKRKKAGAGGFMFGCGGYKSVAVVADNLSTMALPKSSSSSSSTAATAKPAPAARPKAPACHDAAGAPSVDALLGQLRELERGVRALGVRAREEDRAQACPCTARPPRHRRGGGRGRLEEESVAVVTETEDPLGEFRRSMAEMVVENGITGGAELRELLQRFLSLNSARHHHLILRAFADVWEELFAGSGRGRDPKQKQKRPVVSPAGHSARASS >SECCE2Rv1G0122690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:818196710:818197109:-1 gene:SECCE2Rv1G0122690 transcript:SECCE2Rv1G0122690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKVPSLLCLLLLMPLLLVPAKCSETCTYECYTYSTLLCKKHKCVEACHKEGHTSGFCYLFPKICYCQSEC >SECCEUnv1G0537900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:70400942:70402456:-1 gene:SECCEUnv1G0537900 transcript:SECCEUnv1G0537900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVASSTNRSRGQAACSVDRLSSLPDPLLHLVMSFLPMPEVVRTSLLSPRWHYLWASVPFIHLDYKDFVNGGDDLKKRKFDQNRLQKFGDQLLLLRDGTLPLDEARIFIRSGPIAEKCCTWIRHAVRHKARLLHVYGLSVGEVIFFDNRLMIPSQHLKRIRLQMVTLDSISFRMLNFDFPALEHLQLEDCNVWPMQKISSRSLKTIHISFCDFEEGHEICAPNLNHLSILDSTFGGILVTRDLNSLVAVSIRLDNQDEILDHRIFDGLSQVTTLELHAPLPEPTLERSLQTCPVFGNLTSLVLGEWCMASHFAPLLLILRRSPKLKYLTLKHRTERRGECKVTVRNPSPREQSSSGGYPSIKRTVIYCSRDDPGVSALVKVLLPIVIPGGDISIKGH >SECCE7Rv1G0516670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:846937715:846939603:-1 gene:SECCE7Rv1G0516670 transcript:SECCE7Rv1G0516670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKDIGGAIGLMAAALVLLGTWPVVLAVLERRGRLPQHTYLDYSITNFLAAVLIALTFGQIGGDTPETPNFLTQLTHPQDYWPSIMFALAGGVVITLGTVATQYGWAYVGLSVTEVMASSLKVVIGTTLNYFLDGRINRAEVLFPGVGCFLIAACLGSLVHSSNAADNQEKLSNSRNYSSGNAAKEDLTQHLLQEEEEPKDCEEAKPAVPNKAVEKAEAGTADFLIDLEDKRSIKVLGSNTLVGLAIVTFAGVCYSLFAPAFNLATNDQWHTLRDGVPHLVVYTAYFYFCLSSLVVGVVLNVWCLYRPVAGVPGSTLRAYVADREGRGLALLAGLVSGLGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTYTLLGSMLFMFVVAMAVLMASSAHRKPL >SECCE1Rv1G0033850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:472265425:472268094:1 gene:SECCE1Rv1G0033850 transcript:SECCE1Rv1G0033850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRCALLLLAACVALVPAAWAQGSTAPAPSGAPFVPRDDILLDCGATGKGNDTDGREWRGDAGSKYAPPNLASADAGAQDPSVPQVPYLTARVSAAAFTYSFPLGPGRKFLRLHFYPANYSNRDAADALFSVSVPAAKVTLLSNFSAYQTTTALNFAYIVREFSVNVTGPTLDLTFTPEKGHPKAYAFINGIEVVSSPDLFDLATPLFVTGDGNNQPFPMDPGAALQTMYRLNVGGQAISPSKDSGGARSWDDDTPYIYGAGAGVTYPNDPNVTITYPDNVPGYVAPSDVYATARSMGPDKDVNLAYNLTWIVQVDAGFTYLVRLHFCEIQSPITLPNQRVFNIYLNNQTAVEGADVIQWVDPLSTGTPLYKDYVVSTVGSGIMDFWVALHPNTGSKPQYYDAILNGMEVFKLQLSNGSLAGPNPVPSADPPAHTGQGKKNSLVGPIAGGVIGGLAVLALGYCCFICKRRRKAAKDTGMSDGHSGWLPLSLYGNSHTSSSAKSHGTGSIASSLPSNLCRHFSFAEIKAATKNFDESRILGVGGFGKVYQGEIDGGTVKVAIKRGNPLSEQGIHEFQTEIEMLSKLRHRHLVSLIGYCEDKNEMILVYDHMAHGTLREHLYKTQNAPLSWRQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPYLKGKIVPQCFKKFAETAEKCVADNGIERPSMGDVLWNLEFALQMQESAEESGSFGCGMSDEGTPLVMAGKKDPNDPSIDSSTTTTTTTSLSMGDQSVASIDSDGLTPSAVFSQIMNPKGR >SECCE3Rv1G0187040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:693492348:693495273:1 gene:SECCE3Rv1G0187040 transcript:SECCE3Rv1G0187040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MVFGQVVIGPPGSGKTTYCNGMSQFLSLVGRKVAVINLDPANDALPYECAINIEDLIKLSDVMSEHSLGPNGGLVYCMDYLEMNIDWLEEKLKPLIEDHYLLFDFPGQVELFSLHTNARNIINKLIKKLNLRLTAVHLVDAHLCCDPGKYVSALLLSLSTMLHLELPHINVLSKIDLIENYGNLAFNLDFYTDVQDLSYLQYHLDQDPRSAKYRKLTKELCDVIDEFSLVNFTTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSAVEFSKIAAAPLDWDYYRYPLLPLVPTYSNKHLSCPITYMLFNVVEVHYLGHLLLALWCVHAY >SECCE7Rv1G0475030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:181237556:181241250:1 gene:SECCE7Rv1G0475030 transcript:SECCE7Rv1G0475030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASDGIADEKAPGTIGVGRYEEMEQDGASSTAKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISILYVEYRTRKEREKADFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAVASLLHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEVMHAMWRPQKFKAIYLMATLYVLTLTLPSAASVYWAFGDELLTHSNALSLLPRTPFRDAAVVLMLVHQFITFGFACTPLYFVWEKLIGFHDCRSLCKRAAARLPVVVPIWFLAIVFPFFGPINSAVGSLLVSFTVYIIPALAHMVTYRSAHARENAVEQPPRFVGRWTGTYVINAFVVVWVLVVGFGFGGWASITNFVRQIDTFGLFTKCYQCPTPPIPDASWPFPGGLHNLTMLPPAPAPSPAHFFRHHRHQA >SECCE5Rv1G0335090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:570346107:570349335:-1 gene:SECCE5Rv1G0335090 transcript:SECCE5Rv1G0335090.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPPPRWPPGFRFSPTDEELVLFFLKRRIAAGRPTPYIADVDVYKSHPSHLPERSALRTGDKQWFFCSRLDRKYPNGSRASRTTADGYWKATGKDRSICNAGRAVGNKKTLVYHHGRAPRGERTDWVMHEYTILADALPPPARGRESYALYKLFEKSGVGPKNGEQYGAPFREEDWLDDDDDCELPADPIPIAVSVPRAAVTVDEQIGDVLTVVDQIGDVLTVVDQIGDVTVDKQIGFMTVDDQIGDLELFLLQNGDDQGNSQPQSDFSTPVSSQAPHHHGHPQGRPSDNGNTSEVADATTSSGAMLGVENTCTELPLGDLEGLLMEISDDQRATKSFEEFSDFVPQLQLQQDDHEAWPNANMEEISVADYATSSGVVDASGCTGTELPYGDLEELLLQLENDQGNVEPLASFSTPVPHHEFRQVGSGDFHGGHGATFSSVDPSSAVQENRDLDPRPEPSNQITQSALSNMPLNWETDCTEETSALRSVSGLASYDSQDADEEFLEINDFLDPEDVGQQSMNCTATEHLISASNGMFDSLEFADASMFLPGSFDTAGVVTENQFGYLGDSGSQNQGFQYTSESWTHNQVALNVRNHMNHNHVVFSHASGTANFHTVNEQPHNQNTADPQSWFNSAVSALLDAVPANPALAAENNVLNRTLQRISSFRSEQAPKEEASAPVIQVRRRGAGLISVSLLVLLAAILWTFATGTGYAIKFCKELWKSSST >SECCE5Rv1G0302940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:43226983:43228508:1 gene:SECCE5Rv1G0302940 transcript:SECCE5Rv1G0302940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPQVDVDLGKLSYEIFSFLESKFLYGGGGPGGGVCSLPGTPGRGPVGGGGARVRVLAIDGCGPGPGDALLAAAALARLEAALRAKAGDPDARVADFFDAAAGAGAGGVLAAMLFVRGDDGRPKYTAADALAFVAASLGKGGWGGGGGGWRGRWAALFRRGERSSDKSSLSASSPSSSLRRVFGDATLRDTVAPLLVPCYDLATGAPFLFSRADAVESDSFDFRLRDVCAATCAGGSAAAAVRSVDGRTAIAAASGGVAAMGNPAAAAITHVLHNKQEFPLAAGVDDLLVVSIGSGSSSGGTASGSATPSAGWRTPIPPRSPSPAEMVRLTAEGVADMVDQAVAMAFGHTCGRNYVRIQAAAPAHSIKALRSLEAKKVVAIADGMLTQRNVEAELFRGRRLSEKSNREKLDAFATELVKEHDRRRDSPPGLLPNVAIKQVSPTPPRLSSATTSSAATTGRTASTMPSPASTQDSRH >SECCE3Rv1G0148410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19072071:19072526:-1 gene:SECCE3Rv1G0148410 transcript:SECCE3Rv1G0148410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRSNVFDPFADLWADPFDTFRSIVPAISGGSNGTAAFANARVDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKKDKWHRVERSSGKFVRRFRLPEDAKVEEVKAGLENGVLTVTVPKAQVKKPEVKAIEISG >SECCE1Rv1G0041120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568621731:568628331:-1 gene:SECCE1Rv1G0041120 transcript:SECCE1Rv1G0041120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate phosphatase PAH1 [Source:Projected from Arabidopsis thaliana (AT3G09560) UniProtKB/Swiss-Prot;Acc:Q9SF47] MDVVGMVGRGVGRVLSQGMYSVATPFHPFGGAVDIIVVEQPDGSYRSTPWYVRFGKFQGVLKRNEKVVTIAVNGVDASFHMLLDNSGQAYFMRELVPGGEDSETAAEEATSEPETPLRSKSDGELYSQLVGPELNGDQEEQNGDEFDSYGYSKLEEAEDLANQAGGGNSEMLLVSVNGCVLTAPISSTEENMENVQLSDPQFHLGPGESSSGDLSRGGEVWESGILDGLYISQEKLKFDSEHPSEALEELREVSILKDGSHGIPVIEHESLHVSVNEGQTCVALTNTLDVSVNNDDAYQPLTNEDKTCDITLVRSNCVALTNEDEVQSVSRSENNGLNYQPLVVEDGGHEVSGNNGEGYQPFPNKDEALDVSVNNDDAYQPLTNEDETCDIPLVQSNEACKSASMAGRVCDANNEVIEDEVKGLSQSGNNGPDYQTLNVEDEANDISGSNTEVDTILPNKEESLDILENNDEGSQPLTNEDKTSDIPLVQNSEACKSPSKAGKVCDATNEIIEDEVQGLSQSGNNGPNYQTLNVEDEANDISGSNAEVVPLLPNKEDSLDILENNDEGSQPLSNEDEACDIPLVQNDEACESPSKAGGICEESNENIQASFSRYDTFRSCLDLTSQDDGDSGTELFSPEFDHQRDPELSLSIRSDIDIDLGEDGSETAHCDQSNQLKHIEEVDVSSVTSDDNITRSEDCSPVYGKAADLSCEGGSDDSSKDTAPSNIGACKSDRLRMSPSSDRDKLGSIPENPTAEEEINKEEHSQLQKGLGFEISLCGHLLRPGMGQTSADEVFQQHLVPEEDFKLSGPSIIKNANLIVKIGCNYFTWSKVSHVVLGKAVFGPDFCVEPIDAIPVEHQETPSSRDDSLGMSPSRRWRLWPIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQAPANNKDQSPRKQFVRTLIPTSEQVASLNLKEGQNIVTFSFCTRVFGKQQVDAHIYVWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFSAIKENGYQLIFLSARAIVQAYLTKNFLFNLKQDGKVMPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELTYKKMGISKGKIFIINPKGEVAINSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNSWNYWKVPLPDIDL >SECCE5Rv1G0329590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:518947790:518952922:1 gene:SECCE5Rv1G0329590 transcript:SECCE5Rv1G0329590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1-1 [Source:Projected from Arabidopsis thaliana (AT1G15880) UniProtKB/Swiss-Prot;Acc:Q9LMP7] MEASSWDALRKQARRLEAQLDDQMIAYRKLVSMKSDGSENDIETDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRVKQQHASLLDLRDFDRAKFDVEESGDSEQALLREQAAISRNSGQVDTVISQAQATLGALMSQRSTFGGITTKISNVSSRIPTINHILASIRRKKSMDTIILSLVASVCAFLMFIYWLSK >SECCE6Rv1G0380860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:26635472:26636062:1 gene:SECCE6Rv1G0380860 transcript:SECCE6Rv1G0380860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASMPRVLFLVALTLAMATTTAAARSRQVHLRFYMHDITGGPGQTAVQLVKGPGPAHPFMPGAHFGDTTVIDDALTEGPSAASRAVGRAQGSYMLAGLKEPVLMVSMTVALTGGPYNGSTIAVVGRDDVSAAVRELVIAGGTGAFRKATGHVLWRTARMESRDHMVLELDVYATVPAAVAAPNDWQRQAGVLNIL >SECCE3Rv1G0152130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:44406947:44408542:-1 gene:SECCE3Rv1G0152130 transcript:SECCE3Rv1G0152130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSANYHPVASGTQDATTAFYSMAPEGNSTATGGQRRRVLVFPLPYQGHINPMFQVACLLHARGFAVTVFHALSNAPDPSRHPAYDFVPVPDDASRSAGGPDTAKVTGVEHIFAVNSSCEAPFRERLAALLAAPGGRDDVACLVADAHLLTLMDVAREQGVPTLALRTGSAACFRNFMAYPMLCDKGYLPAQESQLDAPVRELPPYRVQDLMAFGRSRHQHDLTRKLMSRAVEAVRTSAGFILNTFHALETDDLATTRQDLAVPVFDIGPLHKISPEVSSSLLPQDRACLQWLDAQATASVLYISFGSLASMSSTDLAETAWGIANSGQPFLWVLRSDLVRGVTQVSLPDGFDAATRGRGVVVGWAPQEEVLAHGAVGGFWTHCGWNSTLEGVCAGVPMLCRPYFGDQMGNARYVEHVWRAGLVLDGELERGRVEGAIRRVMQSTEGDEMRGRAQELRSRAAEAITETGSSRLNVDKLVNHILSL >SECCEUnv1G0558780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334266171:334266512:1 gene:SECCEUnv1G0558780 transcript:SECCEUnv1G0558780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKKNRREKKNPREAKVTFEGLVTEALPNGMFRVRLENDTIILGYISGKIRSSSIRILMGDRVKIEVSRYDSSKGRIIYRLPHKDSKRIEDSKDSEDLKDSEDLKNTKDSKD >SECCE7Rv1G0517630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:855095356:855095766:-1 gene:SECCE7Rv1G0517630 transcript:SECCE7Rv1G0517630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGHIDMSPSHKQETTVYAILVLSAAVESMDTTSNMLTYQLQKNESISVQERTFYGACLTDYVAALNSLYHSHDVMLPNYFFKGISDDYLSALANLNSCRDRFIGPVMFTSPVYPMVLADRNKAVVAYSIGKLLL >SECCE5Rv1G0348210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673038122:673038622:-1 gene:SECCE5Rv1G0348210 transcript:SECCE5Rv1G0348210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQHGHATDKVEEYGQPVAGHGGVTGGPTGTHGAATAATAGKTDGVLRRSGSSSSSSSEDDGVGGRRKKGMKEKIKEKLPGGAHKDATAGQQHTAVAGEYAGTHGTAGTHGTEATGEKKGVMDKIKEKLPGGQH >SECCE5Rv1G0360960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:776535059:776536096:1 gene:SECCE5Rv1G0360960 transcript:SECCE5Rv1G0360960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPRLVVLLLLVFAAPVAQPTPYSDNLQDACNKTLFPKVCIQSLTTNPESRTADARRLAELSVYVAKEVGTTVAAFAHHELSGVKEDTLFKCLDGCSDDIEETVAHLSALTREPTNAKFCEIKSWLSATLGGSNTCEESCKDAPISDVKNAAVTKSLEFEKLLRVTLDLITEASGSMSAAGVAVAPTAWDGSASGSYGASAPDSYGASAPESSSGSASAPASYGSSASASEAPSTDASAPSSAPTSVSSSADAPGSYSSSAPGSKATSADASAPSSAPTSDAPSADAPASSYGAASGPAADAPSSSPSDADAPSSGAADAPSYGDAGAASPSGASAPEADSTA >SECCEUnv1G0558260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:331218388:331222271:1 gene:SECCEUnv1G0558260 transcript:SECCEUnv1G0558260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLFEELAGDAVRELLRAVQGTFLCRSTAERLRRNVEPLLPLVQQNGRHALRSNAELGELAVQLREALDLARRAAAAPRWNVYRTAQLARRMEAADKGIERWLARHAPAHVLDGVRRLRDEAEARIGRLERRVEEVAAMQAAPTIPPAMSLPVALPPPPSKGMAMAVEVAPPAKGMGMPMDFDLPCEEESKGGGLVGSGVKVGKEKVKEMVMSSGGGWEVVGICGMGGSGKTTLAMEIYKDQKIQGYFNNRVFFETVSQSANLETIKMKLWEQISSNIVLGAYNQIPEWQLKLGPRDRGPVLVILDDVWSLSQLEELVFKFPGCKTLVVSRLKFPTLVSRSYEMKLLGEEEALSVFCSAAFNQESVPQTADKKLVKQVAAECRGLPLALKVIGASLRDQPPMIWLSAKNRLSRGESISDSHETKLLERMAASVECLSGKVRECFLDLGCFPEDKKIPLDVLINIWMEIHDLDKPDAFAILMELSNKNLLTLVNDAHNKAGDLYSNYHDYSVTQHDVLRDLALHMSGRDSLNKRMRLVMPRREESLPRDWQRNKDLPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSVYYLPPFIATMQNLKALVLINYGTTSAALDNLSAFTTLSDLRSLWLEKITLPPLPKSTIPLKNLRKISLVLCELNNSLRGSTMDLSMTFPRLSNLTIDHCVDLKELPASVCEISSLESISLSNCHDLTELPYELGKLHCLSILRVYACPALWKLPPSVCSLKRLKYLDISQCINLTDLPEELGHLTNLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETAMLWREAEQVIPDLRVQVAEECYNLDWLVD >SECCE3Rv1G0207310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:919743027:919743583:-1 gene:SECCE3Rv1G0207310 transcript:SECCE3Rv1G0207310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMIRTDDAAATSHVDAPVPVATRDHGEAADVVGKGAASAEEQAQAAARRDVFLLAGIRKLIKSFRSLSHIFELYKDEEEEDDDIQIGFPTDVEHVAHIGLDGSSSVASLRGMDGARELLSLSTNISLQQFEFAMASISAHDERSAAIAASP >SECCEUnv1G0548940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:195051744:195053642:-1 gene:SECCEUnv1G0548940 transcript:SECCEUnv1G0548940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSIIITTTRINNVAESCRSSFNGDIYSIRPLNAVHSKELFQRRLFNTIEDFPAHLGNVSDEILKKCDGLPLAIIAVSGLLANRERMEDTWNHVKDSIGRALERNPSVEGMMKILSLSYFDLPPHLKSCLLYVSVFPEDSVIGRNSLIWRWICEGLIHKEDKYTIQEIGERCFNELLNRSLIQPVKTNIYGKVKSCRIHDTILDFIISKSSEENFVTLVGVPLLANRAQSKVVRRLSLQVSKQQNSVIPTTGLVLCHVRSLNVFGDSLIIPCLGEFKHLRVLDLKHCHQLEKHQLDNIVRLFQLRYLNLRSTKISELPEQIGHLGCLEILDLRQTCLEELPASIVNLRKLLHLLVDSDVKFPSGIESMQALETLKYVSIFMQPYDFLWGLGQLKSLRNLCFKVRSTNTKDTREVGEERSKAIISSLCKLGTQNLCSLMIWDQLNLLQDPLCLLTFKKLINCSLAAPQVPIWMRSLRNIQHLRLQVDGVKQDDLCMLGTLPTLVILHLKEVTKPKEKLTISGEVGFQFLRTFIYDANSHPVDLMFAAGSMPKLEKLEIICWPILEADSMDFGIENLPCLCTVKCEVNRRDAIVGAIKTAIERAVRTHPNHPTLIFEQYIHPGGFFFGRPC >SECCE6Rv1G0400980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:460551301:460560024:-1 gene:SECCE6Rv1G0400980 transcript:SECCE6Rv1G0400980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSMVYYGNTSIGEVEVWPKGDTNLGAAAWAREIRVDRLSPPSERCLPLAVMHTVAVGARCLVMESRPPKAADEPPPPLVAMHAACLRDNKTAVVPLGEEELHLVAMTSRRNLTNHACFWGYKVPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTTRSFEDRIDSLQRKLSNETDPQRMNGMLAEIKRYQDDKSILKQYIEGDQVYDDGKMYKVQPEIVPPLSDNHQSLTRPVIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLSDRMVCVKSGLKKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDEGLLPRITSVLYEDEIQDISSAPDVGNYLISEDDNVAVVNGNKDSLAFDGMADAEVERRMKEASGSGSTLNPTMANLVMPVAPSQSFIPSSVAPFAPPLGIMPLSNNQVPPPPFTQPVVQPGLLDPLQASPGREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPAVPPAQVSVPPVQSHGNWFPVEDGMNSSNLNRGSAGFPSESDTMHYDKKQPPHPSYFHGGDNNPVSSDRFSYQSQRFPSQVTHTEDHCMLQNNVPPRYRSFPGQRNNLIESGQSYARNIGTSVGILEEIALKSGSKVEYRSTLCDSADLQFSIEVWMVGEKVGEGIGSTRKEAQRQAAEISLRNLANKYLLSDPNKMTDVNEDGFGSNPNFFGYSENTRNNMLPVASTSEDSRFTKTGENNSRITGGSIAALKQLCTVEGYNLVFQARPSPLDGSGGKETYAQVEVGGQTLGKGVGITWEEAKLQAADEALGTLRSMLGQLAQKRSGSPRSLAPNYNKRFKSDFPRAVQRPPYGRYSRIEGHVP >SECCE3Rv1G0188140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:710242423:710249186:-1 gene:SECCE3Rv1G0188140 transcript:SECCE3Rv1G0188140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGASGGHPRWGSAGTTPRSLSTGSSPRGSEPASEDGEELVEVTLDLQDDDTIVLRSVEPAAAAASGSGALRLPLPARGELADGGGCGASSSSSRSPSMRRTSSHRLLQLSQELMAGARHFSHDLTKRFSRSHSRDEAHHQQQPSSGIESALAARAARRQRAQLDRTRSGAHRALRGLRFISSNKASNAWREVQANFDRLARDGYLSRSDFAECIGMTESKEFAVELFDTLSRRRQMKVDTISKEELREIWQQITDNSFDSRLQIFFDMVDKNADGRIGEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEELGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLALRKKGSIRKIGNSLIYYLEDNWKRLWVLALWIGIMAGLFTWKFIQYRERYVFSVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARVLPIDDNINFHKTIAAAIVVGVILHAGNHLVCDFPRLIRSSEEMYAPLGIYFGETKPTYLALMKGVEGVTGIIMVVCMIIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFAIVYVALIVHGQCVYLIRVWYRKSTWMYLAVPVCLYLGERILRFFRSGSYAVRLLKVAIYPGNVLTLQMTKPANFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDEYLSIHVRQLGDWTRELKRVFSAACEPPVSGKSGLLRADETTKKTLPKLLIDGPYGSPAQDYGKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDTSMDLYPPVGRNKPHVDLGTLMRVTTRPKKVLKTTNAYFYWVTREQGSFDWFKGVMNEIAEMDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGLDVVSGTKVRTHFARPNWKKVLAKIASKHPYAKIGVFYCGAPVLAQELAKLCHEFNGKCTTKFEFHKEYF >SECCE5Rv1G0352730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711294328:711294669:1 gene:SECCE5Rv1G0352730 transcript:SECCE5Rv1G0352730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEDFRAYHDIASLTKNMVEFERHVMFPAVYRLIELALLLPVATATVERAFSSMKIIKTKLRSKMSDGWLNDLMVCHIEREIFKSIDLGKIKEDFRKEGRALPLPGSSRRH >SECCE6Rv1G0409770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:591605139:591605408:-1 gene:SECCE6Rv1G0409770 transcript:SECCE6Rv1G0409770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGRRVVAALIVVLLLTTVSSASAAAAGVDSATTAPAKARRLPPTLPTEPFPVPKPPSSSSWKAVKSSLPPRHRLPTEPPSEKRTR >SECCE2Rv1G0120310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:798115921:798119954:-1 gene:SECCE2Rv1G0120310 transcript:SECCE2Rv1G0120310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPVSEATTDHTMLPTTATASTPPPPPASPPGEPHAALFLALGYMRLPELLACWRVCRLLGEAVAGDPLLWRRLAVEPPLSGRMTDQVLLKLTARAEGTLRSLRLFGCVHVSDAGLLRVLEHNPRVTELYVPACTGLTGDGVVKIVHLLHEHKGNLSRLRLDGISRMSKHHLDIIMPLMCKDNPQAQQVGSPLFYNHRAREALNTSDERTIDVDVCAVCANVRLVFDCTRDDCRKVRDSLWRCRGCYFCFPRCEKCGGCISPEDLIEADLACSDLMCLDCWLTVPKCSTCNRPYCQRHENLMVSLSMPGQFSCQRCKELDASDESQEDDY >SECCE1Rv1G0028340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:397802946:397803530:-1 gene:SECCE1Rv1G0028340 transcript:SECCE1Rv1G0028340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRMFPSGSNGPEDHASGRRKYNPYQDLNMPYNYKNLYDLPTSPEFLFEEESAVQRRSWGENLTYYTGIGYLSGAVGGAALGLRDAAAGAEHGETAKIRANRLLNACGSSGRRYGNRLGVIGLMYAGMESGMVAARDQDDWINSVAAGLGTGALFRAANGPRSAAVAGAVGGVLAAAALAGKQLAKRYVQAI >SECCE4Rv1G0290190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:870858306:870860395:-1 gene:SECCE4Rv1G0290190 transcript:SECCE4Rv1G0290190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISRFPPVSSYDPSARERRTAASDLDGTLLASSSAFPYYFLVALEAGGYLRALALLLLAPFILLLYTAFSEPAAIGLLVFATFAGLRVRDVEAVARGVLPRHYAAGVRADSWEVFRGCGAGRRVVVTASPAVMVAPFVREFLGAEVAGTELGTCCGRFTGLISGGVLVARRKREVVERLFAGGDMPDVGLGDRESDHDFMAICKEAYMVPTDKRAPRAAPDALLSHVVFHDGRLVRRPDPAHALFALAYLPVGLALAVLRVLISLPVPPHLVRHTYRLTGIRLAVRGTPPPARHEGSPGSLLVCNHRTALDPIIVAVALGRPVTCVTYSVSRLSTAISPIPAVALARDRGADAARITELLASGRDVVVCPEGTTCRELCLLRFSALFAELTDRIVPVALEAAQSTYYGSTARGWKAMDPWFFYMNPRPGYKVTFLPALRPEETCGGGGRSAVDVANHVQAVIGKELGYRCTTLTRKDKYMKLAGNDGTVAAAGDDSKKLA >SECCEUnv1G0553240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:266467366:266471068:1 gene:SECCEUnv1G0553240 transcript:SECCEUnv1G0553240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMGYRPYDSSGTDDDLPSSQNRGPRGRSFSGNGRASAGPFPYARPHNDLESQVHLVEQEAYTGVLRAFKVQSDALSWEKESLISELRKELRVSDEEHRELLNKVNEDGAIRGMRELRQGGGTPSGLHRGSRVLHDGEPGPTAKRQRPSHLMPSHSSGLQSPVMSSHSVPSSSKWGPSSASRGKRAKSTTPLALPSMDPTSLISRKVFTRWPDDNNYYEATITRYNPATGEHALVYDMGKTTESWESVRLCDMAPEDIRWERDDQVISNRDGWGPSGPLLNRNQSNNGRGRLSQNEHPNKYGPPQNGINRNIGEIDVPNTQSVVIEVERVLSNPSMREIEKAKKLLTDQEQSLLDAIASLDDASDSESEDKAMEARMGSAGDHTGRNGIAC >SECCE2Rv1G0081390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:139199382:139202217:1 gene:SECCE2Rv1G0081390 transcript:SECCE2Rv1G0081390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHAAFAAEEAVTAVAAPAQPGRHFASFPPRRARDCRKAALGRMDLATSGVLMAGSLLDSMKASSPRHAMSPAGADHEEWTEKHPSALEWFEGVLAAAEGKQIVMFLDYDGTLSPIVADPDSAVMTEEMRDAVRGVAQHFPTAIVSGRCRDKVFNFVKLEELYYAGSHGMDIRGPTKVSNHKAKADEVLCQPATEFLPVIQEVYETLTVKMESIPGAMVENNKFCLSVHFRCVEEAEWDALGREVRAVLDVYPKLCLTKGRKVLEIRPSIKWDKGNALEFLLKSLGYAGRGDVFPIYIGDDRTDEDAFKVLRNMGQGIGILVTKFPKETSASYSLREPAEVKEFLRKLVKSNGTKKG >SECCE2Rv1G0104470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:606918262:606919647:-1 gene:SECCE2Rv1G0104470 transcript:SECCE2Rv1G0104470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTKHRITTTSQQQQPGAAMGGGVDSHGHRPARPALRHAKLKMLFVVIATNLVSVYLFSGASLSLNMPASAPSIHLWDSTALLRDLNATRDALSLARAELAFLRGQCGTSSLLLESVLAKLGAVHGDTPAVQDFNGWPEEPTGELKMAIKPHRLPLGYSVNFGTDELFPGLGFACRNFQEELTQYMTYNASAECPDDEALALQLMLKGCEPLPRRRCRPRSPARYVEPKPLPASLWSIPADTTVNWSPYTCKNYTCLVDRAHSRGGSYDCKDCFDLAGKERRRWLTDNGGPGFSIDGVLGSRPPGTVRIGLDIGGGTGTFAARMRERNVTVVTTTLDLDAPFNRFVASRGLVPLHISLVQRLPFADGVLDIVHSMHVLTNSVPAAVLEFAFFDIYRVLRPGGVFWLDHFFCLGPQLNATYVPILDRVGFHRLRWKASPKLDLGAERNEWYVSALLEKPMT >SECCEUnv1G0530260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:12038580:12039702:1 gene:SECCEUnv1G0530260 transcript:SECCEUnv1G0530260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRARGSIDISLNINPAFSMDSMSDARATPVMMPRRSPSVKIVPIASDDENEKPPSPDPRRAAVVHLVKKVLAEFLGTFLLVFIVLSAAIMNETHGGALGLLGMAATAGAAGVVIVASLFHVSGSHLNPSVSLAMAAFGYLPWAHLAPYASAQFLGAVSASFVAKAIYHPGPAVNLGAVVTTVPSIGTLEAFSVEFIITFILLFAIIAVATDPKAVKELVAVAAGAALMMNVLIAAESTGASMNPARTLGTAIATGTYTKIWVYMVAPPLGAIAGTGAYIALKH >SECCE6Rv1G0391010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:203345185:203349057:1 gene:SECCE6Rv1G0391010 transcript:SECCE6Rv1G0391010.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAERGGGALPLAVRELIAGGVAGGVAKSAVAPLERVKILLQTRRAEFRGSGLVGSFRTIYRTEGPLGFYRGNGASIARIVPYAALHYMAYEEYRRWIILGFPNVEQGPVLDLVAGSIAGGTAVVSTYPLDLVRTKLAYQVKGAVNLSLRESKPSEQVYKGILDCVKTIYRQNGLKGLYHGMAPSLYGIFPYSGLKFYFYEKMKTHVPEEHRKDIIPKLVCGSVAGLLGQTITYPLDVVRRQMQVQAFSSSNIVKGKGTFGSLVMIAKHQGWKQLFSGLSINYLKVVPSVAIGFTVYDSMKDWFNVPSREQAAVVVPVLSEDGSNAAPVHSS >SECCE2Rv1G0087370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:224577201:224578205:1 gene:SECCE2Rv1G0087370 transcript:SECCE2Rv1G0087370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase-inhibiting protein, Inhibitor of fungal polygalacturonase, Regulation of floral organ numbe [Source: Projected from Oryza sativa (Os07g0568700)] MASTASFLPLLALLLVATAHCSPLPQRCPQADRQALLRVKQALGSPATLKTWSPALADCCAWDHLTCDESGRVNNVFIDGADDVHGQIPSALAGLTALMSLSLFRLPGLQGSIPVCLTSLSKLEFLTISHTNVSGSIPESLARLHSLDSVDLSNNKLTGCIPNSFADMPNLRSLDLRRNQLTGRIPASLVQGQFRSLVLSYNQLTGPIPRDDAQDEINTVDLSHNQLSGDASFLFSEGRPIGKVDLSYNNLDFDLSKLKFPKELTYLDLSHNRIRGTVPRSLEALSTLQTLDLSYNNLCGPLPKLHGVMRHGCKPYEHNLCHRGAPLENSCHQL >SECCE4Rv1G0217580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:17376474:17381523:1 gene:SECCE4Rv1G0217580 transcript:SECCE4Rv1G0217580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter like protein [Source:Projected from Arabidopsis thaliana (AT2G40090) UniProtKB/TrEMBL;Acc:Q67ZT0] MWRRAATAALALGSGAGAHAVATSEDPARTLKICRHLPPRLLRDSVAAATIAFDYQYSLWGLQPETPAWQSAKHDAHLRSATRLQELCFRNGGIYIKLGQHIAQLEYVLPQEYVQTMRESMLKRCPVSSYEDVRGVFKKEIGELPETVFAEFDPVPIASASLAQVHAATTHDGKKVAVKVQHDHLLDTSVIDIATVDLVVNALNYIFPAFDYRWLVDEIRESAPKELDFLCEAQNSERCLVNFRKLSPHIANSIYTPKVYWNLSTSRILTMEYIDAKEVTDVKGIKDLGIHPVDVSNLVNKAFAEMIFKHGFVHCDPHAANMMIRPVPQDSKKWFGSKRPQLVLLDHGLYKELDHATRINYACLWKALVRADENGIKEYSIKLGAGEDLHALFAGVLTMRPWKSVIDPSFGHLVLDGNNTDRSEMQMYANLYFPQISELLRRLPNVILLLLKTNDCLRAVNHALVGGSTPESFEIVARVSSEAVFEAKRKEKRFFLYRFIIWLEELLNELHLFRMKLWLHYQQSRRILAG >SECCE6Rv1G0388530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:138781163:138782353:1 gene:SECCE6Rv1G0388530 transcript:SECCE6Rv1G0388530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVETFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFVSNDVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEFMPLSHVLATKLGARLTEVRKNATCPWLRPDGKTQVTVEYHNDNGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDENTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVASGIARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILEIVKENFDFRPGMIIINLDLKRGGKGRYLKTAAYGHFGREGADFTWEVVKPLKWEKPSA >SECCE5Rv1G0334090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:563716504:563718796:-1 gene:SECCE5Rv1G0334090 transcript:SECCE5Rv1G0334090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAAEASDHAAPSRVPPPATRQEVQAAVAKAVELRALHAALRQRAAPNAGARASASRSPATIRLPPAASPARSRTAAAADEDYPVFTPTYEEEPMAMAAGLNDICNDNRSRSENWGGITLDRGGVGDDEAAYSDYDDCINGFSSSNSDFHYAPSSSENHLRSRGVNRIHPAFLQSAPLAGRFPASAGRAAEFKVPPSRGGAFRPATIGRDHGGSDAEALRFLSSSSRVPFSSGNQPPPSAHSRAKQRGSQILSWLFAKAKKKAKPETTPPAAAVIERGNMSQLLKEWGLLSLDSLRKELAEANAHRDAAQEDAAEMRSSLGELTTKMMSLEAYCSELKKALRQATDHNGGTDTQSHSRRSSSRSIGASRELPGNGMPVSHEAMVEGFLQIASEARLSVKQLCKALIQQAEEPDNGLSEKLNQLLRPHQLAIAGRHCSKAVLYHLEAVMNQTLYQDFENPSFQRNGAARHLDPGQDRRESFASFVALRNLSWSEVLRKGTRYYSEDLSRFCDQKMSCVVAALSWSWPWPEQLLQCFFVATKCVWLLHLLAFSFAPPLPILRVEEGRAFDQAYMEDILPDRRQVQDPLRVKIMVMPGFYVQDRVLKCKVLTTKQLDQ >SECCE6Rv1G0441020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:808593396:808595639:1 gene:SECCE6Rv1G0441020 transcript:SECCE6Rv1G0441020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAGCSDGSIPISEPRRRAAAPARAPNLRFPVTPPPSRATSKLPPTSHDDAAGREIPVAVALLLAAAAANAAIPPTAIAASGGSMGGRSSSGSSSSSSRSSSSSSSSRSSSSSSRSSSSSSSSRSSSSSSSRPSWYDSSATHESVGTASAPPVAAADVDPALLVQAWLMLIGLILLALAVWHYNRPRRTVVKLQVALLGLAKPFQKELNEIAEKVEASNQRWYKFILTVGADSWEERFDKISLEERSKFDEETLYNLDGIKRKKEYSKKPDGFNNEYIVVTILVAADGALKFPEIKRPADLVAVLEKLNSIPAREIQGVNVLWTPQEENDVLSEERLLADYRNLKPLSDY >SECCE2Rv1G0091040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:304528277:304529762:-1 gene:SECCE2Rv1G0091040 transcript:SECCE2Rv1G0091040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKFVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRITRPTRPDRARRLGFKAKQGYVVYRIRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRRLGGLRVLNSYWVNEDSTYKYFEVILVDVAHSAVRNDPRINWLCKPVHKHRELRGLTSAGKKFRGLRGKGHRHHKNRPSRRATWKRNQTVSLRRYR >SECCE2Rv1G0070090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:33301399:33306064:1 gene:SECCE2Rv1G0070090 transcript:SECCE2Rv1G0070090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDTLKGGPKGRRNHPISETPFEAFYDGSWHGVNCIRVRDGGLFVKFIYSGSTVEHNVDGNHLRLRSRRATYFDCSHVLKPGVDICVQSSHQLQGSATGEMKSSMLPCHDARLITIKRDHIEDQCLCLFVIMLYKNQCPDNTENGQVISDRREQLMTLNNIFLLQKLQPKELHEGSVQWSCAEDCLSRNRSKLLSARFSQEIAHLVVLSILNGMEFSIKLVEGNIIYRIIKGGRARCNLDSMRTPPGFGNSMEIVSFQLRNEALCPIIRNIPVTHPKKHNLTEDMRFTVKTELDGQLEDRRFTVKTELDGLLNFLSYEHVDLRRSKRRKTQAEPFTSYDTPNFGRDRWKRRDASSTKPGNSQRASHQDSPVKVESTYAEVPVNITRKQTSASAFVVKENPNSAQGKHKSTARITPVKEKPSSVEVKEESTVEERSPGPHVPRTPAKSKEKNLGPPLSVRRKSFTSSRSLNGNSEPAFGRKRKERMCDREYKKMMKQCIGNIQSEMERDFEFNLGVPMMNYGQVFYGEVNFTWPASSADNDSQEEKDGLEEFWKEMDHSLTTLALEKNQDSHSEAVHEATAGLGKSEENPCEHDCMLDEGLGLVCRLCNVVCVEIKHIFPQMANGNGYNKERPGCRNFDHDDLVLDPSLLAILGPEFSDLRGSGNLWSLIPDLEPKLLPHQRNAFEFIWKNLAGSLQLEEMNNPTASTGGCVVARTPGSGKTLLLISFLVSYLKVHPRSRPLVLTPKSAIHTWMREFEKWGISLPLRVLHQVDKRGKPMGPIDPRLQAILSNFRRPNWKNVCLVDRLDKLCKWHEQPSVLLMTYSSFLRLAKEDSRMPQRAFMAQVLINNPGLLILDEGHNPRSNKSKLRRVLMKVKTEFRILMSGTVFQNNFEEYFNTLSLARPRFVDDVMTALVPERKKETRGRRAKHREAVARRIFVDRVGQMIESSDNWDRAGGISLLNKLTCGFIDSFEGSKLRSLPGIHVYTLFMKPTEIQEDILSKISMATCGGRYLLEVELLITIASIHPWLIKTTKSSSTYFTPEELHKVDRYKRDFAVGCKAKFVIDLLHKSSYRGERVLIFCHNVSPISFLVELIESVFGWRLGEEVLVLQGDQELPVRSDVMDKFNTDSQGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTRQAIARAFRPGQERTVYVYLLVASGTWEEDKYSRNRRKAWMAKMVFHGRYFDDPLRNSVTAIDDGLLKELADEDKTKTFHMIVKQD >SECCE6Rv1G0429810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737886755:737888305:-1 gene:SECCE6Rv1G0429810 transcript:SECCE6Rv1G0429810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTEALPDDALAAILGHLQPHDLAASRCVRRAWRAVVDARRLLLPHLLPHSVEGIFANYNDHHRPQFLTRPSTQHPRVDYGNLHFLPDYTEGHRKIADHCNGLLLYGDTREFFVVNPATRRWERLPGAHDDGECREAYLTFDPAASPHYEVFLIPPKDLGLFDVTDCKLAKVQPEQLAEENFTISSGYSSKPPCSTEVPQHSAEWPPPVLTLDVFSSSTGEWQERSFVREGSATRRVVNVRSSLLFTTRYSAYWHGALHVLLCHGTFVTRLSSSNGKYQVIETPIDANERKVGQHYLGSSETGVYFANLHDRIRIWRLSESNGEVEWVLRNSIGLVEPSANIYSLNPKGCRPWIFDNDHDLDKYGNNKKLEKVIFDWNWDDDNIIDEDERRPGYCPLLGCELLGFHPYKEIAFFLMERFEAVAYHFDSSKVQYIGYMRPTIDSMVLLVDESFIYTPCMIGDLREKSKIIRRN >SECCE6Rv1G0441590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811503595:811506149:-1 gene:SECCE6Rv1G0441590 transcript:SECCE6Rv1G0441590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLSPASPLPRSSSSALHPRSRGAGVAAAAAGCAPPSRRRAVSCSCAPDSCSRGLERRHLVLSGLASSFAIVLPISESCAAATEVDDDGVKMAMLVDETNAYSFLYPVQLPGKKTSFRWVESRKSERYSSAAPLSPDARQRIVSERLDMINNAVISVSIGPPSSRFLPSKDKTTWAAKNVADCVLSDKSSLKVTTSQKMAESSVLDAHTAEVDGEPYWYYEYIVRKSPTKSAPEPNLFRHNVACTAERDGFLYSLNASTLSKQWESMGPLLQKSVASFHLLPPTEKYVPPYQDPWRFW >SECCE2Rv1G0075610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:84999477:85000565:-1 gene:SECCE2Rv1G0075610 transcript:SECCE2Rv1G0075610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSSIAMLLLLSCSLTAATAPAGTIERVSKQQILASIPPGGHASPPVLFLTSPSGKYAAYFVRTHTVPGAGGLGADFCYVEVMMAGGEGEGGGSAAHASAWESECRPVSTVNTCTLLFSWHGLEVFDGAEEVWHGETNTDGTNFFQTLELVDDGDMRVRDKDGELAWRASDEPRHAQHCGAPGSPGLAAALPPFAEPIGAHSSNLPFGQVEGGNGHAAELPQAAGLGDGVAPGAGAFAGVGGVAPEAGGLGDGYGYGIAPTAGGGGGVAAGAGAGPFGDGYGIAPGAGTGAFDGTGDMGGQGEAGTAAGAAGGVAGAAAFGSQPLVDNSPYDSGAYKGSRGARLAAIGTVVFVGAIAVGF >SECCE1Rv1G0054430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:674924970:674926310:-1 gene:SECCE1Rv1G0054430 transcript:SECCE1Rv1G0054430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPQAAAGGIRLNVGGQVFETTAGTLTGAGEGTMLGAMLEPCWNTGATGGVPEYFIDRDPACFASLLNMLRTGELHVPAGVPERMLFQEASYYGLLDRVRAARIGELDLDRARLAASVPPGQAPVDSHAVRAAPDGGCCVTHGPIVRVYNWMLEERRPVCLTPVEPVLDAAYLSDSTLLVAGRGMAAFSVLTGDLSHHFRLEHDGKKMAPLFNAGALAFDQQANVFASCNSWGITQEIGYHGIGVWDCITGEQTSSFFGQKYVNVLNDASKLQWLASTNALMAVKASSPKDACSSPSITLVDFRNMSVVWSWSGGPRRMNERRVADAVVMDDERSVRLISQYHDLGFLDIRCQSSVQAPWTYQSKVTTPMCYPKLAVHGGLLLASKDDAISVYGGPNHDHLRLALRGSQGGGAIADFSVGGDRLFAVHHEDNVLDVWETLPPPIA >SECCE1Rv1G0014530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:115092463:115096237:-1 gene:SECCE1Rv1G0014530 transcript:SECCE1Rv1G0014530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAAAAAAAVTLAGKGAVLTPAAVYALSVGLAGPVIDASALQRLSSRAPSPQETPGSLRDLDLAPHESRAAAAVLLNKLLLTANDSSSALVTAATANALAGSLELAAALPPNTRDEAAVAAASAPVAVAFAALIDCCATPLARVADAVAALSCEAARGDATAFDVPASGDGLSDKDEADVGADIKMLLLGSKLVGNGGGASAAMFAKVPALNGALRESVRALHKKVRVELNAPVKLGKRDASGMGEGKEEALVVLATQLARSLNAMCKQSVARARFCAGSIAEAELREKLAGGVNVDDLKGMLDKVMVDSDAVSVLRGVYNYLLKFRDFLAWEAAVAMAVIEMDSSIEKPQAGGKNEAGSSVEKAQSGGEKVKGDRKSKKKTLGKGTSAVLALLREHATDGKAVPCVNSALIADWGIELSLLFDPKCPKLESLVEKIKEIVESNEVGRLPKIPKGTRDFGREQMAIRERAFSIITSVFKMHGGVALDTPVFELRETLMGKYGEDSKLVYDLADQGGELCSLRYDLTVPFARYVAMNNISAIKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYEPMEPDFEVVKVLTELLDKLDIGMYEIKLNHRKLLDGMLEICGVPAEKFRTVCSSIDKLDKLTFEEVKKELVEEKGVSNETAENIGSLVKTRGPPLEVLLELRKEGSKFMENEGSVVALNELEILFKALEKANALDRISFDLSLARGLDYYTGVIYEAVFKGVTQVGSIAAGGRYDKLVGMFSNKQVPAVGVSLGIERVFAIMEQQEKEKNQVIRATETEVLVSILGKDLILAAELVNELWSAGIKAEFKLTTRVQNHIKYATQTGIPWMVLVGESELRDGKVKLKDIRANQEEEVPRKDFVEVLKQRLSNP >SECCE4Rv1G0287120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853146099:853152434:1 gene:SECCE4Rv1G0287120 transcript:SECCE4Rv1G0287120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYVQNMLTEMVKEEVHMLLGVGDEIEKMDVKLGDLKNFLADADRRNITNKSVQGWVAQLKRAMYEAADILDLCQLKAMERGPSTKDVGCFNPLLFCMRNPSHAHDIGTRIKALNKRLDTIKERSAAFSFINLSSYEDRSSKVRGSHSGDTRHETSGEFDRSGVVGEKIEQDGKKLVEIMLSAKEVTTNIMVVAIVGVGGIGKTTLAQKVFSDEALNAEFEKTIWLSINKDFDKVELLRTIITQAGGVHGHEKVLAVLQPILATTLGGKKLFLVLDDVWNHESWDDVLKTPLANVVARGSRVLVTTRDETIARRMKAVVPYHHVDKLEDEDAWSLLKKQIISSGTDEREIDMLKDVGVQIVAKCDGLPLAVKVMGGLLCQKDKKHREWEMVLDNSIWSISGMPEELNHAIYLSYEDLPSCAKQCFLHYSLLPKTAVFLRNVIIGMWISEGFFHGTSDDLEELGIKYYQELILRNLIEPDTNYIDQHVCNMHDVVRSFAQFMARDEALAAHSGETNIASKLSAHEFLRLSLESKASGSDWLDWSSLQAQKALRALISVGYINIKPGDSLVHFPCLRTLHIDSADVVVLLESLHKLKHLRYLSLQNTDLSSLPDSIGKMKFLQYISLRGCKEFVELPHSIVKLEHLRYLDFREANINGIPRGFSALTNLRIVRGFPAQENDEFCSLEELGPLSRLRDLGIDGLENVTASLSTTNAKLGEKVHLTRLTLNCGSKLGDDGLIKEDEKQQIEKVFDVLCPPPRLDHLEINGYLGRRLPRWMMTSSVVPLNSLRILFIIDLVYCTQLPDGLCQLPYLEFIQIERAPAIRRVGPEFLQSYHHHSSHPSHMVAAFPRLDKMQLIGMVEWEEWEWEEQVQAFPVLQHLLLAQCKLRCLPPGLASQARALKKLSIQQVKGLISLENLPSLVELELLRNTDLEGITNLPRLQKLTVEGCPKLKALDGVPALERLILTDEDMEALPEYMGGINPRHLVLYCSLTLLASIATGQSGPEWDKFSHVEHVKAYAHEGGNPRKWYVLYTAYLYNLETNVSRSFMSGGTLALFEDAQRFESLFKMTRKTFSYICSLVMGPSMEDMNSYTFVDGRVMSIEDRVAVALRRLQSSEPTESIASSVGVNESTIMFVIERFGAAVCGRAMRHEYWPESSTMDKIKSMFGKIHNMHNCCGVICTTHFPFGSNCDHEKKQSTQMQVVVDPRMRFMNIFVPTGSTNQSSLLQENIIFKGCEQGDWLNGSKLKVASDGSEVGEYIIGDEGYPLLPWLLTPYQEEDLSVSKTEFNRRHSATTTCALKAMARFKDTWKYLQEETLCPVNPDTLGNIIHACCLLHNIVIDMEDGAAMPRPEAEQWNYCEEVRLLENEDAVWARDMLSQYFLTTMSSESGVGTVDAEEDDEVAATCSGDENKEQEVETTAAEEERQC >SECCE2Rv1G0140540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931562842:931563456:1 gene:SECCE2Rv1G0140540 transcript:SECCE2Rv1G0140540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNDTKLADAENPGAMCRDSDSDVDLAGRANWLRAAVLGANDGLVSTASLMLGVGAVKHEVRAMVISGFAGLLAGACSMAIGEFVSVCSQRDVEIAQLDRDGKRGGDEERALPSPVQAAAASALAFSVGALLPLLAAGFIVGYKLRVAVVVAVATLALAAFGVVGAVLGRAPVVRSSARVVVGGLAAMGLTFGLMRLFRASGI >SECCE4Rv1G0275460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:793483905:793486094:-1 gene:SECCE4Rv1G0275460 transcript:SECCE4Rv1G0275460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTDGEGADAGGGDPMCVDENAAVVAGMGADQCEGARLQDSGAAVGVEGAREEQVPVSHPGGGMRVRGATVEEAESEEAPTVQGSKEGAEELLLKVVYSEEEAYKLYCDYGHRTGFSVRKGKQSYFTGTKRIRTKDYFCSKEGIKEAEKLTDENFNDPHTRTNCRAMVRFKANSQGEWRVIRLVSDHNHNLVRPEERHLLRSAKSLIAGRSCSAADAVLYGGYQLGGAPSQMAASTSVANNAETPRQDLLPGFSSITRTSAVGTGELQSIVSHLKSRANVDGMFYWDVQLDRAGRMSNFFWRDGRSRMDYDCFGDVVVFDSTYRLNKQNYIVAPFVGVNHHWQTTMYGCALLAEESMSSFTWLFKSFLEAMGNRQPRSIFTNQDQVMSNAIEEVFPNTCHRIAHWHIQKNAASRLGALNASKAFNKMLTKCMQGCDSEAEFEGTWAAMLREFKLQDNKWLSKLYKLKQKWCGAMNKCTFDGGVENEPQCDSLSSIFTCIVDKSTSLSTIVAAVDKLTEDWREKEFDEDVRCCQRPLSCIIKHSDILNHAAKVYTHRIYKLFETYFLDGCGATKFKALPCEESDTYRFEMTMQGRGSRVCTVHLNMSTMQLTCSCSQFETMGLLCPHTLKALSIKNVGKIPEIYILKRWTRDAKQWVFNPKQYGSSYQECMDDEAGYCNHAMRYAYDLVMKSEGQEELRRSLWEALESGEKELEKYLENGTQYAQSYAT >SECCE2Rv1G0068260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22583563:22591394:1 gene:SECCE2Rv1G0068260 transcript:SECCE2Rv1G0068260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAPPAPPHHAQDDGAVDDWARDDAEPSDRHAAPAEETPEAAAPAPPAEGVNDIQSSLQSLELKTNAAVHEDVQMVADEEEEAKRHINLVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERLKGKTVEVGRAHFETENTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVAKLVVVINKMDEPTVQWSKERYDEIEGKMIPFLRSSGYNVKKDVQFLPISGLCGANMKTRMDKSICSWWNGPCLFEILDKIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKLESGTIREGDSLLVMPNKTHVKVTGINLDEKKVRRAGPNENVRVKVSGIEEEDIMAGFILSSVANPIGAFTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVDLIEEIDMKKAKVTDPKKKKTKRKPLFVKNGAVVVCRVQVTNLICIEKFSDYPQLGRFTLRTEGKTIAVGKVVDVPPVGKATFSA >SECCE5Rv1G0367970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:825323710:825326378:-1 gene:SECCE5Rv1G0367970 transcript:SECCE5Rv1G0367970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPADPAGAGDPSFGGWSLWSMQKNRGLSSPAPTVNNEGYSVWTSVDGEWRPRSPIPADPLATEYYHDITEFYLEAARRLPIADIPALACCISYCGLAVGLADPVTNILLTTINAFAKKPPGPGFFFVETKPGDALEQTKKRITFAVGAGNSRAGLVMFMLCYFRHMTEAQAKKFLDMAGHDLPLAILLAEAGCRGRQVPLQPDGARIKTALQHAACRAGRTSHTDDLVRLMTWRYPRHLLDPVLDDLRRGKQLSADCVYKICDLLRCSWPPPPTPAPTPGFYRDDSGNVTTIIKVREGVFATTTISRDLVTTTTITSTCPSHGDDYTGGDDDNVNYLSTVLAIERSSRVNNTWREDPDFLPLLKMSLLRTIHGFYIDALGILPSHALREHHLVSAVLTAGHCYGPMDPVSNIILNSIWYDAAFPVPEKICNRVGAADILDARSMSRVESRSLDGFVALVCYTYSISEQQAVILLCEHRFNLPADLLQQGSKNILSNLASASLVAKHPQPAAFEEFLNSLNPSKLDCLRSLIVSDRSSGYVLSDDTLNRLKKMVSKETADNAAATVQSTAPRLGQANLYRVSERRSTFKSEQAYVRTRLENLLLEYGRDKGHTYKLGIICGVTTARYSFRNCIHTYCFHVNFLARSDVSNDATRAFCSWELFFAEFWDRADERIEQQSTNLPFCCPVRDFIDGYSIRCIVCDHTSCMIAHPSSGNYFTGSTRPGFPVHRRWGSDLGGMLELDFIYFDRRNSESAKTLLKEFPFVP >SECCE3Rv1G0160510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:105117754:105119512:-1 gene:SECCE3Rv1G0160510 transcript:SECCE3Rv1G0160510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATSRGGPQEDQAGEAGVDNDGAAEGGKGTWRHAAFHVTTTIATPAAYAPLPYALASLGWPLGVCSLVIGTLVTWCSSLAVASLWQWNGDKHTNYRLLAQSIFGPWGYWYVSFFQQVASVGNNIAIQIAAGSSLKAVYKHYYAADHGAMTLQHFILLFGALELFLSQLPDIHSLRWVNATCTASTIGFAGTAIGVTIYDGYRMDRNDVGYSLQGSTATKVFRGFNALGTIAFSFGDAMLPEIQSTVREPATTNMYKGVSTAYTLIVVSYWTLAFSGYWAFGSGVQPYILSSLTVPRWAIVMANIFAVIQITGCFQIYCRPTYAHFEESIQAKKTAYRAWLWRLMYTSAYMAAITLVAAAMPFFGDFVSVCGAVGFTPLDFVLPALAFLKAGKLPQNPGLRRTVKALCCTVAILFSVIGVLACIGAIRAIALDVKTYKFFHDM >SECCE4Rv1G0292340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882077722:882078094:-1 gene:SECCE4Rv1G0292340 transcript:SECCE4Rv1G0292340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKIATAAASIFILAILLSCSASGLGCKHRARVAICRPAVCMEQCKLEGYQDGYCSQESLVCCCFNVTKHHVGKIIDSTNYEGSGADK >SECCE5Rv1G0310820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:179244014:179248107:1 gene:SECCE5Rv1G0310820 transcript:SECCE5Rv1G0310820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MSGGGCSIRAIWILTPNDAVAFSRRFAVVEKRWRVAWEAEGAGKDAEMPLPADYEVAAAFANRRRREGTARGSGIRTSLSSVGSDSWVDDPITRHIISLQIDKEERGGFMLWPVVLQKRGSYYVLVLPLVDPQSFKAFESLLKRPDCGSSAKESGNLSSILLNLPCITGAFMVANVIGDIITGDVAEPEVIVSSGPSVGGLLDSLTGSIGITARSKPIAAPVAAPVASVSSPVGAAQSDSLKGGIRPFDKELLRSFIIGAMPFGTPQDLNYGNVSSIRTTGYSADPLPTDQKQPAWKPYLYKGRQRTLFSSLETINAALYDRDDVPDFLSVSGQLTCRAELEGLPDVSLPLTGLKAARVEVSSFHHCVQASDPTGDKQSLLFQPPLGNFVLMHYQAPCNINPPVKGFYQLSMVSENEGAFLFKLRLMEGYKSPFLMEFCMVTMPFPRRRVASYDGNPSVGTVSMTEHLIEWRIVSSGRGLSGRSIEATFSGTVRFHPRTTHRVNSSFRSGATTAFDEDSDCEQDNTKNGANLDDYLMEKMNKDLQAVDLEEPLSWQAYNYAKVSFKIVGGTLSGLIIDPRSVTIYPSVKAPVEYSMQASSGDYILWNTLGKCPSAALPKEL >SECCE4Rv1G0285310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843727975:843731497:1 gene:SECCE4Rv1G0285310 transcript:SECCE4Rv1G0285310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSRSRLLPLPALLLVLAGAAAIVGEQDEQQPITHPGCPDKCGDMSIPFPFGLMPGCFREGFQVTCDHSFDPPRAFLAGSDPDTTTNLITLTERDESAVSDDPDLAYSYSNTSSWAVELMDVSIDRSEARAYGPFTSRCSTSIAHSKLKEQAMTLEGPFAASETLNVVVGVGWKVGVTDGSSSSTFACRSELAGGHLEHARNGSCAGRGCCEAALSSERSYGTVPGVSAENNTLWRTSPCSYAMVIEKSHYTFSTTDLYGDKVLPKKFPKGVPVVLDFAIVGDAACPGKGQRPPPAYACVSNNSYCVNATVGHSSYELSYVCKCSEHYEGNPYIANGCRDIDECKSPDLYYCSSKGICMNRLNGYDCPCKAGMKGDGKLGHCAEKFPLVAKAIVGTICIIFIIVVMSFLLLLRKEKKKTKDFYEKNGGPTLEKAKIIKLFKKDELKPYLQKSNFIGNGGFGAVYKGSVGNELVAIKKTISGSLLENEQFANEVIIQSQVIHKNIVRLIGCCLEVDTPLLVYEFLSNGSLHDILHNDDKKPLNLDRRLSIAAESADGLAYMHSKTNTKILHGDVKPANILLDDRFVAKIADFGISRLIARDKQHTGKVIGDMSYMDPVYLQSGLLTEKSDVYSFGVVLLELISRKKATHSDNNSLVSSFLEAHKRERASDLFDNEIAIGEDLEILQSLAGMAVECLGLDVDKRPDMTDIAHHLLSLTKSRKL >SECCE3Rv1G0203900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:886231298:886234389:-1 gene:SECCE3Rv1G0203900 transcript:SECCE3Rv1G0203900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAELEVDALEYTPTWIVAGVCSLIVVVSLAAERCLHYLGKTLKRKHQKALFEALLKVKEELMLLGFISLLMTVSQDVIQRTCIPPSWTNYLLPCKRMEDRTVAALGGRRLLPKSVPRSDHCKNKGKVPLLSLEALHQLHIFIFVLAITHVIFSVLTMVLGGAKIRQWKHWEAEIHKNDVGNGPKKLTNVQQFEFIREHFNGVGKESTMLSWMHSFVKQFYASVTKSDYTTMRLGFIMTHCRGNPKFGFHRYMVRALEADFKKVVGIRWYLWIFVVIFMLLNVNGWHTYFWISFLPLILLLAVGTKLEHVIAQLAHDVAEKHSAIEGDLVVNPSDAHFWCGKPRVILYLIHFILFQNAFEIALFFWILTTYGFNSCIMDHVPFIVPRLIIGVIVQLLCSYSTLPLYAIVAQMGTFFKKEIFEEHIQQGLVGWAQKARMRTETSKDAAAAAAAAARPAQHGPSSRLEMLQRAAAFMQCRRALPR >SECCE6Rv1G0429350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:734684268:734684828:-1 gene:SECCE6Rv1G0429350 transcript:SECCE6Rv1G0429350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALAALSVQPRLGGSASALGRPAVRVSARPPRRRRSMVVRAGGPPSTNVLILAFVLPLSLFVGTLVAAARVADDLDERFLREMEINKAILEENEASSEEAADDGAEFVVDGDEAPLPAAEKEQVLVTAAGSGTSTRARNRPKREVY >SECCE7Rv1G0456850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:13053012:13054599:1 gene:SECCE7Rv1G0456850 transcript:SECCE7Rv1G0456850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVVVAEATARQVASFVLGAAAALTVVMLVQYRAPAAGLSRARTPGHFSGLRSSSDEHHHRRNGTTVRAVVHHQAPPVDDHHRPANATTITKPNSTSTAAALIHLPSVHLNEEKGGKEELAEFRGLAAAVARAATDDQTVIITCVNQAWAAPGSLLDLFLESFRIGDGTARLLPHVLVVAMDAGAHARCLAVHQHCYHYTIPGLNIDFAAHKYFLSKDYLELVWSKLKLQRRILELGYGFLFSDVDIVWLRDPFKHVTAYADMTVSSDVYFGDPDNLGNYPNTGFFHVKPNVRTITMTKLWHDGRGKYPGANEQPVFNMMKKQMVAELGLRVQYLNPAYVGGFCSYGKDLGKIVTMHANRCVGIGNKIRDLKGVLDDWRNYTRMPPWERHRAKWTVPGACIRAEKQV >SECCE7Rv1G0494750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:588605832:588606455:1 gene:SECCE7Rv1G0494750 transcript:SECCE7Rv1G0494750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAITEMSAEAKRAGFESGTAADPGNGELWRRFVRFELEEGGGIEAARAVYERLLAALPDGETYMWDWFWREREFGDVDSQRRALQRWARWAQAQGGGFLRSKSGWSTYLEFEINNGGGVERVRAVGEALLATFPMDPHAYAIYIKALAALSRHVEAEALARRGVKELSAFCRGHDEFIWRFMAVYLKRLRERRSTAWDDDMFNE >SECCE6Rv1G0385920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:87293643:87296235:-1 gene:SECCE6Rv1G0385920 transcript:SECCE6Rv1G0385920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDLRFHLHPAAFSSVHPSNSSTKPHRHCPPQRIHAAGRRCLPRAKSSNGHPQIGASFSDGVLDAKPSGAGGPAPEQGEHTVSITVVGASGDLAKKKIFPALFALFYEDWLPEHFTIFGYARSKMSDEELRNMISMTLTCRIDQRANCSEKMDKFLKRCFYQSGQYNSEEGFLELDRKLTEKEAGKLPNRLFYLSIPPNIFVDVIRSASRTASLPSGSGWTRFIVEKPFGRDSESSAELTRSLKMYLEEEQIFRIDHYLGKELVENLSVLRFSNLVFQPLWSRDYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLAAEDIRNEKVKVLRSMRKLKLEDVVVGQYKGHTRGGKSFPAYVDDPMVPNDSVTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYRGNVGTDLDMATNELVLRVQPDEAIYLKINNKVPGLGMRLDSGNLNLFYSERYQREIPDAYERLLLDAMEGERRLFIRSDELDAAWAIFTPVLRELEEKRVAPELYPYGSRGPVGAHYLAANYNVRWGDISSDGSF >SECCE6Rv1G0451860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873891336:873892223:-1 gene:SECCE6Rv1G0451860 transcript:SECCE6Rv1G0451860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCDRIRGPWSPEEDAALRRLVERHGARNWTAIGRGVPGRSGKSCRLRWCNQLSPGVERRPFTAEEDAAIARAHARLGNRWAAIARLLRGRTDNAVKNHWNCSLKRRLGDLGADGVAEGEQEERPCKRASVTPESTSGSGSGSDDRSDLSHGGAFGLGQVYRPVARAGGFEPADCAMSQRHEEEEQDDPFTSLSLSLPGTDAHGFHHDTSHSHFHQPSPSPSPPPAPASATAPPASAYPFSPAFAAAMQEMIHDEVRRYISGVSCGANLPSMPQLVDGVMRAAAERAGRVSRMQ >SECCE4Rv1G0270100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:752568263:752569619:1 gene:SECCE4Rv1G0270100 transcript:SECCE4Rv1G0270100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLFEDIFNVTRIDPDGKKFDRVNRIEAKSEQLEMYMQLDVATDVYPMHVGDKFTMVLAPTLNLDGTPDTGFYTQAGRKTLADKYDYVMHGKLYKISEDNSSKKEATKVEIYASFGGLLMLLKGDPSSAANLELDQRLFLLIRKV >SECCE5Rv1G0373000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:855810586:855811794:1 gene:SECCE5Rv1G0373000 transcript:SECCE5Rv1G0373000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADKGEVFERNKKSRLDSHPGATAGTACRLTDDLILEILSRIPARSLHRFKCVSVSWRDLIADPANRKKLPQTLAGFLYTTTVGWKRHHFASVSCGAAPFDPSLPYLQPNKYKDMAQVDACNGLLLYLCSSKNMVNPWAWAEDDFRFVVCNPATGRWLELPPQPQAPADRFRYNCMASLAFDPAVSSHFHVFCFEETTTETYMTGVNIYSSRTGAWSCRDDGIIEKVALFFYSKGFFVGGMMYVIGNLNGISNERVLLGIDMQGKVRKTISMPYGRRFGTIGSSQGCLLYAVSSIDDNNKILVSEIELWYLKDCDSEELVLKHTASIDELMSMTGEKYGVVGIHPDCDTVFLVSHGGDTLVPYDMQHQKVGCVLDLEKNTQRFLPYVPLFSESLADEDGR >SECCE1Rv1G0049070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:638893070:638894590:1 gene:SECCE1Rv1G0049070 transcript:SECCE1Rv1G0049070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALPVAKRAPDWQEMDLISSLPGEILSIIISLLPIDSAVRTTSLSRRWRPLWRSSPLNLDISHIHGGGSARAAAVSKILADHPGPARRFLTACLQITDPDGWLTSPALRDLQEIDFWLPRYEIPMPLSILRFATTLRVARFGNCRFFEHDAPPSFNFPCLEKLTMRFIFVREDTLHGLLDGCPVLESLLLDRCHGFFYLLINSPTLKRIGANGGRHGCQLVIENAPRLEKLITTDLHSESTIRLIKAPKLEIFGFMTDDFTKLKLGYEIPQGMVAGKLKALMRSVKVLHLTSSGPNLDAAIVLLKKFPCLEKLYITSCPGNDMENVHHLQPQDPIECLDHLREVELKSYVGSRSEVNFAKFFLLNGKVLESMRFGVRDQYTEEWVADQHNQLHADSRPSPNAQLEFTAADGGNLLTIFYS >SECCE2Rv1G0102390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:576920180:576924882:1 gene:SECCE2Rv1G0102390 transcript:SECCE2Rv1G0102390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLPCFTQLTPPSPGWRNEAGSLGAASGRALVRSVPFSGHSRFRCRATLRSANSFQKKDSFLDLHPEVSLLGGEQNDEAINPRKTSSGGSTLEGLGVPPSQDDYNAAKIKVVGVGGGGSNAVNRMIEYSMNGVEFWIVNTDVQAIRMSPVHSQNRLQIGQELTRGLGAGGNPDIGMNAAKESCQSIEEALNGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGISALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILWQGIRGISDIITVPGLVNVDFADVRAIMQNAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLFEVNAAAEVIYDLVDPNANLIFGSVIDPSLNGQVSITLIATGFKRQDEAEGHTAKGGQQMQGDNGRHPSSTGGSKVEIPEFLRRRGPSRFPRI >SECCE3Rv1G0165390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:173618353:173620696:1 gene:SECCE3Rv1G0165390 transcript:SECCE3Rv1G0165390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLDSDYIGSLSLMDGFDMGIAFDGFGENMKKFMELPIKYLDSAHDKAVELVEDMHALIYAHSPDDKFPDKDNGTIMDPSCNGTITGSPSTCVKMQQVNPNEESSGSPSSLVTAEDRSLGSAASDVHETESVSSTSPAMCSSEDSISLERTADTKEKVTFCNSEDLSDNWTPENHNSLGGSVTSEEEIIVWNRWNSVTPRQSPEQATVVQDYVSEEANGNKIIEQLELHGSGHSESSAFDGAILFGKGNGEEQSELFSANSHEESTKHEVFTGADDPNMSTDETTDFVNVDLRDGQEQMKYNRAEASSVPTPKNTSFKKVLMRSLSNKLRWSKKDMNVHQAVPFRPQKSVDVCYEVVCSSDGLEDDWELL >SECCE7Rv1G0470350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:119231159:119231995:-1 gene:SECCE7Rv1G0470350 transcript:SECCE7Rv1G0470350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLFHSCRSPSAAAVVTPATNLLAKHHSPAFTPPAGGCCPRGLTHRRPLPPEACSARGRAADYAADDLPPARGTPAYRWLKSSHWHVIEAATDGGDTPRLKIDARRRLRRSRRRRRLHRKAAIESLSSGDSGWFSSDEEEPSAYSSRSVEAEATLVTSTTTETSSGVSGSSGAVAAAAEAQAEAVVAGSFAVVKRSDDPRADFRRSMAEMVVGRGIYDADGLERLLRCFLALNDQRHRRDIVDAFGDVWEAVFSSPLPHGAVTSEPAASCRAASRQ >SECCE7Rv1G0498240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:636896263:636898963:1 gene:SECCE7Rv1G0498240 transcript:SECCE7Rv1G0498240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAAAAACALGAALLGMLAVSVSGQSPAPLAAAAAGEGGNSRFTCTDTEKKRPGCTGICPDRCPQSCIALCPSCQTYCPDQVQPVRPPLFVFGDGFLDVGNNNYIEPNEVGDPWRANHSYYGIDFPKSEPTGRYSNGFNMADFIAKAMGFEMSPPAYMSLNSPAKIDAGFTGVNYASATAGIWRDSDDGLNIPLMDQIKYFATTIEKMEANRSRQELSKMLSSSLFLISVGASDLYYMYNIMTTPEPDNKTDVPHLISSYGDSITALYNLGARKFGIINAPTLCTPVVTYTCGDLMTSLPKDFNNGIKPLMAGLASNLDGLRYSIADFYALSDAVNTNPSAYGFVNTGASCCEGPCAPNYKQPCSNRMEYWYWDQENPTEQAAKLATTTFLNGTTQFTTPVNFKTLINQK >SECCE1Rv1G0055940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:684247810:684249174:-1 gene:SECCE1Rv1G0055940 transcript:SECCE1Rv1G0055940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQRRRARRGVHRLAPYRIGWLPRRRQGGDDLIDHDNGTLLPDDALSAVFARCSGAADVVRCASTCRRWGRVVAEDAAVLSRCSSLSLPPLPRLFLGFFHREDGAAGRVTARNRATVSQPGPRFVPTRSGARLLGFPSASLGALPDAFQHVVGHGFFEHSRPVASRNGRLVLELRHEGRAAGLELCVCNPMTGHMALLPPLSGDDMPGTYACALFAGDDDGGQGPSPLPATFFRLLIVYNRGGFTALRTYSSDRGRWSAEARRPGRRIKSKEMRELGQAIVLRGVAYWPLQRTALAVRIDTPEPREAPMPQAGLPAYTEQSTRLLGVTADERLCHITSRVGVDELRMRVYLFDPNTGDCGEWEFRPGFFMPPQAEFKLRSSSYLAVNLRWFCERSGVVLFTLGQGSSRHGTFAFNIRTREVDEIVAGVRCDSWRDFVGYEMDGAAYLASLVCH >SECCE3Rv1G0169650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:238712013:238717227:-1 gene:SECCE3Rv1G0169650 transcript:SECCE3Rv1G0169650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVATLQSPNPAVFSLGDHHHVDVGFPEATVEEDDFLLDYIDFSTCDMPFFHVDDGDDILPDLEVDPTELLAEFADEPATVLSPAPDGCETHHGDEAKTAVETEPPAGMDMELPEGKGETKGVLSSSEEKDVKQQHDNKNNNNIVGDEVCSAVTTDDSSAAVGSENSKSSASAEGHSKMTSKPALAAAATKSSHGRRKVKVDWTPELHRRFVQAVEQLGLDKAVPSRILELMGNEYRLTRHNIASHLQKYRSHRKHLMAREAEAASWTQKRQMYAAAGGPRKDAAAGGGPWVVPTVGFPPPGAMPHPGMAHHPGQPPPFCRPLHVWGHPTGVDAQLPLSPPSMLPVWPRHLAPPPAWAHQPPVDPVYWHQQYNAARKWGPQAVTQCVPPPMPPAAMMQRFAAPPMPGMVPHPMYRPIPPPPSPVPQSNKVAGLQLQLDAHPSKESIDAAIGDVLVKPWLPLPLGLKPPSLDSVMSELHKQGIPKVPPAATTNCDGAA >SECCE5Rv1G0299630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19209401:19209877:1 gene:SECCE5Rv1G0299630 transcript:SECCE5Rv1G0299630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTVLLIGASMAALLVISLFTFLCSNRRHAHRASPSPSQRSVVDLELGHGCAAAGIDEAVLAAYPTTVYSSAARRRDEGHMAAAEASADGDQPPGDTDTHTACAVCLAEYADGDELRRLPGCKHAFHRLCVDEWLRRRPSCPLCRTSPPAAATDGS >SECCE6Rv1G0378340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:7442810:7445715:-1 gene:SECCE6Rv1G0378340 transcript:SECCE6Rv1G0378340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTAIAAAARRRISFAPARFAHELAQAQAQPAVPVRDGLEPSWVPLYRKISKLSHGRQPGMAAAEMTKYLRNRRPLSEYQVVAYIRKLRKFKRHACALELMDWMEARGAKLTPGHQALRLDLVSKVHGIQAAEEYFWSLPDILKSRKAYSCLLNCYGEHGMAFKGLKFYEKMKAKNIVPNTLVYNSLMALYKKAGQPEKIPSTFEEMRERGITANSFTYFTLVESYVTMNDLEAAEKVLEELQKVAPVHWSLYTLMANSYIKLELCGKAEVALKKAEEVMNKDELSSWYFLLSIYARCRNATEVKRIWGSLKSRFKKCLNRSYLVMLQALSTVDDFESLQQIFQEWESSHDQYDMRITNVMIKAYLDKGMIDEAEAIRQRTMAKGRCDDRTIYIFAEFYLEKSNVTAALEILRDAKKMVTAHKWVPSKKLTSRFLKHYEESNDVDGVESFCECLRNLECLDTEAYEGMMRTYIAAGRTNPSIAQRIKDDGIHVGPETTKLLERVSGN >SECCE3Rv1G0183580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:651977126:651993992:1 gene:SECCE3Rv1G0183580 transcript:SECCE3Rv1G0183580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSVAAEWDLLSDRFYRRITIYSPLPWSSPATTASSSGGGSSGVGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLFSSSGHPLASSPWTPHLPRLHSLAFSSSLNLLALLSDGSLLRFRLPDLNPITSSSPVPLLPPASGGVADAVFWGGGVAILTEDNRVVVTTDIEVDDPHPRELADPGVAEDEQVLCMAVVEPQFVMSGSPEVLLAVGDRVVAVDEDGVQVLGEALEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSRIIFEYECDSALPPDQIAWCGLDSVLLYWSEVLLMVGPNGDPVQYNYDEPVMLIPECDGVRILSNSSMEFLHRVPDSTTLIFGIGSMSPAALLYDARDHYDKQSAKAYDNYQLISSSLPEAIEACIDAAGYEFDVSRQHTLLRAATYGLAFCSRFPHGRFQEMCKILRVLNAVRDPEIGMPLTVKQYKLLTATVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKITASAAIPDVVLLEGLLDKLRLCKGISYAAVAAHADNSGRRKLAAMLVDHESQSSKQIPLLLSIDEQDKALQKSIESGDTDLVYLVLFHIWQKISVEKSAPLDFFGVINARPLARDLFIAYARHSKHEALKDFFLSTGRLQDAGFLLLKESRELERNPVASKGSPLHGPQVRLVEQAHRLFAETKEHLFESKSAEEHAKLLRVQHQLEVSTKQAIFVGSSVSDTIKTCIVMGNERAAVKVKSEFKVPDKRWYWLKSCALATVGNWDALETFSREKRPPGGYKPFVEACIDAGHKTEALKYIPKLTDPGERSEAYARLNMTEEAQKAASEANNGDELFGRLKSTLAQNTLIDTLRDRLSFQGTY >SECCE7Rv1G0462440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45972710:45975355:-1 gene:SECCE7Rv1G0462440 transcript:SECCE7Rv1G0462440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDKSRRLSGSRDFRQRLVLATLTSTPITIKDIRAGEGGLRPHEMSLLRLLDKVSDRHVIDVNDTGTKVGYKPGVIVGGKDLEHDCGVHRGIGYFIEPLLLLGLFARSPLSVRLKGITNHTKDPSVDTFRMVTLHMLKHFGVPLEGLELKIESRGAPPHGGGEVLLRVPNINSTLKAVNWVDEGMVKRIRGVTFSTNVSPQIVTRIFYAARGLFNKFIPDVHIFTDHRAVSSGGCGLSAGYGVSVVAETTTGCLISADATVSNPNVDEMSEQSEKPEVMSPEDLGEQVASMLLEEVAQGGVVDSTHQGLLFMLCALCPPDVSKVRVGQLTPRAIESLRNIKEFLDVKFIIKPDPNSNTVTLKCVGAGVRNLARKIS >SECCE4Rv1G0256300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:655375435:655376941:-1 gene:SECCE4Rv1G0256300 transcript:SECCE4Rv1G0256300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPPHIAVVAFPFSSHAAVLFSFARALAAAAPPGTSLSFLTTADNAAQLGKAGALPVNLRFVEVPDGVPPGETAWLPPPRRMELFMAAAEAGGVRDGLEAARASAGGARVSCVVGDAFVWMAAEAAAASGAPWVAVWTAASCALLAHLRTDALRLDVGDQAASRADELLTAHAGLGGYRVRDLPDGVISGDFNYVISLLLHRMAQRLPKAATAVALNTFPGLDPPELIAGLAAELPNCLSLGPYHLLPGAEPATDTNEAPADPHGCLAWLDRRPARSVAYVSFGTIASPRPDELQELAAGLEASGSPFLWSLREESWPLLPPGFLERAPGLVVPWAPQVGVLRHAAVGAFVTHAGWASVLEGVSSGVPMACRPFFGDQTMNARSVASVWGFGTAFDGPMTRGGVANAVATLLRGDDGERMRAKAQELQAMVGKAFQPDGGCRRNFDEFVKIVCRV >SECCE1Rv1G0021540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:269582591:269583289:1 gene:SECCE1Rv1G0021540 transcript:SECCE1Rv1G0021540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSYTALRGGLGLVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIITEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >SECCE1Rv1G0024390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:324546826:324557570:-1 gene:SECCE1Rv1G0024390 transcript:SECCE1Rv1G0024390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEGEREGEAATGHPLLRVLRRDKYSHGLRPAQMEALRAMCGALIPSLPPEEALDGRRDTPRDKDLDRFYLASGADSIIPDEVAELAFTRCVWEAGVLVHVTLWLLCTRLGTLALCGRLCVSGEFPYVRRFADMPVGRREEALRRWTRARWLIPVKIAFAIVKSLCLNVFYTKVNENSENPCWKAIGFSVPNVKEQRKKHPTEATALRPLDNGVVEARELDDATLLRSLTDKGLAVKPATSGDYHAVECDVVIVGSGCGGGVAAAVLASAGHKVLVIEKGDYFTPDDYSSVEGPSMERLYEQGGIFCTSNVTTVLFAGTTVGGGSAVNWSASIRTPREVLQEWLRDHGLPVFGSAGYVQAMDAVCARLAVTDGCREEGFQNKVVRRGCEALGLPVDRVPRNSSEGHYCGSCYLGCPTGDKRGTDTTWLVDAVTHGAVILAGCKAERFILESNTGGRGKNGRSNKCVGLVATCLSNGITKKLRIEAKVSISACGALMTPPLLRNSGLRNRHVGRNLHLHPVSMAWGYFPDTNQEPQQLTGKCYEGGIITSMHRVTERTIIETPALGPGAFASLVPWESGRDMKERMRRYARTAHAFALVRDRAAGTVHGEGRVHYNPSRGDIEELRDGLRRALRIMVAAGAAEVGTHRSDGLRLRCKGVQDKDLESFLDEVTVARGPMQLGTDTWALLCSAHQMGSCRMGSSPEEGAVDGRGESWEVEGLYVCDGSLLPTAVGVNPMITIQSLAYCLSMDIAESLA >SECCE7Rv1G0454590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:3231249:3236904:1 gene:SECCE7Rv1G0454590 transcript:SECCE7Rv1G0454590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVAAVAASTVTTAVAPPGVAGAGAGAPPPFLMKTYDMVDDPATDAVVSWGPANNSFIVWNTPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDKWEFANEGFLRGQKHLLKTINRRKPSHANNQVQVPQQQQHQQHQQQPQLQNAPMPSCVEVGKFGMEEEIEMLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLHGMEQRQQQMMSFLAKAMQSPGFLAQFVQQNENSKRRIVAANKKRRLPKQDDGLNSESALLDGQIIKYQPMINEAAKAMLRKILQQDTSPHRFESMGNSDNLLLENCMPSAQTFDSSSSTRNSAVTLAEVPGNSGMPYMPASSGLSAICSSSTPPEMQRPVLDTNSSTQLPTQLPNMSAASSIPKAMTPGLSDISIPGFPDLHDLITEDGINIPGENYAMPGPECIFPLPDEGSDDSVPMDPIDTDETDDTQKLPGIIDSFWEQFLCASPLSIDNDEVDSGLLDTREVQEENGWTRTENLANLTEQMGLLSSNHRG >SECCE7Rv1G0463450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:53471567:53473132:1 gene:SECCE7Rv1G0463450 transcript:SECCE7Rv1G0463450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQLNVLKALDVAKTQLYHFKAVVIAGMGFFTDAYDLFCIALVTKLLGRIYYTDPALNEPGHLPANVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHEAKGVMGTLCFFRFWLGFGVGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGFGILFGTIVTIIVSSAFRHAFPAPPFYIDAAASIGPEADYVWRIIVMFGTIPAALTYYWRMKMPETARYTALIAGNTKQATSDMSKVLNKEISEESAQGERATGDTWGLFSRQFMKRHGVHLLATTSTWFLLDVAFYSQNLFQKDIFTKIGWIPPAKTMNALEELYRIARAQALIALCGTVPGYWFTVAFIDIIGRFWIQLMGFTMMTIFMLAIAIPYDYLVKPGHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAATGKAGAIIGAFGFLYASQDQKKPETGYSRGIGMRNALFVLAGTNFLGLLFSLLVPESKGKSLEELSKENVGDDGIEA >SECCE6Rv1G0403050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:495677292:495681070:1 gene:SECCE6Rv1G0403050 transcript:SECCE6Rv1G0403050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSPRGEPLLDSAPLLGGGGRRRGALRRPSLRGTARLLRQGGRRAMREPSLLVRETAAEQLEERQADWAYSRPVVALDLLWNLAFILTTAVVLVLSSDESPSVPLRIWIAGYAVQCVVHMVCVAMEYRVRHGQRDGSSMAADEERGTDGSSSSMDDAGESSPHGRIGDFVSVAKHLESANTMFSFIWWIIGFYWVSAGGQGLTHDAPLLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPKYKFRRVDEPEKQSVTMNGSSGGIMIECGTNQPIEKVLAAEDAECCICLSVYDDGAELRELPCGHHFHCACIDKWLHINATCPLCKLNVRKHSSSSGSEEV >SECCE5Rv1G0355900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:735161607:735165301:1 gene:SECCE5Rv1G0355900 transcript:SECCE5Rv1G0355900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCVGVGPNGAGRSGFLASVAIWRAKPAEQAPAPDALPASTSEKKAPEPVTIPADEHSSSHGARSSDPPPPKPAETQTQRQMSMAPTAKKPVPKVKRVQSAGLQADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGKEYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHSSVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLTRVIVGVIEACHSLGVMHRDLKPENFLFINNQEDSPLKAIDFGLSIFFTPGQMFTDVVGSPYYVAPEVLLKNYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGELDFTTEPWPSISESAKDLVRKMLNRDPKKRLTAHEALCHPWVCVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDSDNSGHITLEELKTGLRRVGATLMDSEIDALMEAADIDNSGTIDYGEFIAATMHMNKVDKEDKLFAAFQYFDKDGSGYITQDELQKACEEFGIGDTRIEDIIGDVDKDNDGKIDYNEFVEMMQKGNNPLGRKGQQSNVNFGLGDALKLR >SECCE5Rv1G0306540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:95883058:95883780:1 gene:SECCE5Rv1G0306540 transcript:SECCE5Rv1G0306540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVLEAAAMVPSPPSKEMAASSSGSEEVSALLGQAEGWSKRKRSRRQRALAPSEEEYLALCLVMLAHGQRDGAPAAAPEQPHGCSVCGKVFASYQALGGHKASHRKPTAAPAGAEDQKPQLPVPAAASSSGSGEAAVGARGGKVHECNVCRKTFPTGQALGGHKRCHYDGTIGSAAAGPMQKLAAKAAAASTTAASRGFDLNLPALPDIPERCAVTEDGEEVLSPVSFKKPRLMLTA >SECCE1Rv1G0011790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:82475153:82476344:-1 gene:SECCE1Rv1G0011790 transcript:SECCE1Rv1G0011790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMLRGLVILAVVVVALQCCELPRRTDGRCVLFNFGDSNSDTGSLPAAYGFYLGPPAGRRFFNRTTGRWSDGRLYIDFIAENLGIRYLSPYLESSGSNFTDGVNFAVAGAAAASNQSAIPFTMATQVNQFLHFKNRTREIRPLGQGSMLPEEDFRGAVYSIDVGQNDITLAFLANLTLPEIVADGGPLAAVAAKVEEAIRALYGSGARKFWVYNTGPIGCLPQTLALRQRPGDELDPAGCLARYNAAARALNAGLAAACRRLADEYCGSATVVCTDMYAVKYDLFANHDQYGIERPLMACCGHGGPPYNYVNLKTCGQPTATACPEGERHVSWDGVHYTEDANAIVASKILSGDFSQPRTKLQALCK >SECCE5Rv1G0349240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682583911:682586457:1 gene:SECCE5Rv1G0349240 transcript:SECCE5Rv1G0349240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAASFLSSLLGGGGGGDGPSGEPAATVASILIYPIKSCRGVSVPHAPVTSTGFRWDRQWLVVNDKGRALTQRVEPKMALVEVELPPAAFDEDWQPTPDSCLVIRAPGMDTLKVPLAAEHATLDDVSVWEWSGSAYDEGAEAAEWLSAYFGKPSRLVRFKEETEIRPTNAEYAQGYKLTFTDCFPFLIASQGSLDALNEILKEPVPINRFRPNILVDGCHPYAEDLWKNIKISKLTFDGVKLCDRCKVPTVNQENGMLGTEPTETMLTFRSGEVLRPSHKNKQKVYFGQNLVCKESVSAKGKGRIVKVGDPVYVLQTYPSCDEVPA >SECCE4Rv1G0232280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:194821497:194823921:-1 gene:SECCE4Rv1G0232280 transcript:SECCE4Rv1G0232280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRPQAVLCRVSPANLSPAAWAGGGASYHGSSPEGGKGKAAPLQARGMVDRFRLLARGGDGGNGCISQRRSRSDRQGRPDGGDGGTGGNVILECSRSVWDFSNLQHHTKAVRGGNGLSKKQIGTRGPDKVAQVPVGTVIHLVRGERPSFTVNKPTRSLDPWDIPDAVDDSADSSNQKNKDGINGNEAERESSNQWEKQTYPSACSKTRFSNAEDSDASSTQHQVELDENDQFDEDDEEFWEDEDETEEEALDADEEREEDDIQYSVAEMTKPGQRLIVAQGGEGGLGNASIGRDVGLSKGNRQEEVARLSTGQPGTESFLVLELKSIADVGLVGLPNAGKSTLLSALSRARPEIADYAFTTLRPNIGSLTYDDYLSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLSYVLDLAATLNGRKGIPPWEQLRDLVVELEHYQEGMTKRPSLIVANKIDEEGAEAMYEELKRRVQGVPIFPVCAILQEGVPDLRVGLRDLMDASDPQGVDLSKIIVD >SECCE7Rv1G0469270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:107447382:107448903:-1 gene:SECCE7Rv1G0469270 transcript:SECCE7Rv1G0469270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQQDAAEDVVIVGAGLAGLGVALGLHRKGVRSVVLESSPALRTSGFAFMTWTNAFRALDALGVGDKMRSHHLQVQGVRVMSPTTGEVVRELDLRVQGKLGPHEARCVQRNVLLQALEEELPTGTIRYSSRIVSIDDGEDAKILHLADGSTLRAKVLIGCDGINSVVAKWLGLARPLDSGRRATRGHAKYPDGHGFPPKFTQFSGSGFRAGLVPCGDTDVYWFLTWSPSPTPDGKEDVDESPAEIKQFVLAKLRSIKAPAEVLEAVERSEMNDVLVAPLRYRPPLSLLFGSMSKGNVCVAGDALHPTTPDLAQGACIALEDAVVLARCLGDAMAGDGDRTTETVEAALCRYAGIRRWRSAQVIAASYMVGLVQQSEHAVVRFARDRLLSGVLAKGLLMMPDYDCGTL >SECCE3Rv1G0155810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69411071:69414443:-1 gene:SECCE3Rv1G0155810 transcript:SECCE3Rv1G0155810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGPGQMAQLLHPQDSGFYGRKEMGGRWSFLELFGLRRRLRSTKKMISDKKHGSRLRGCYVPFKDEDSGVTDEQRAVIDEYKNTKVKNKQKGSKKSSGKSGLKSLFSRKLHGKEDQKEKMLPVGPKLLRTLSIHYLENNDYVLNSQSAPNGDVSSHGAKLSLPNGTSANLQQDTLSNLDGSGTDHVKRKSHRSISMDGVLHKVPYGQRVSGDNIRPELPRSASATYDRDGLKPYTGIAARRQGNSSGFRRSRSLSESLESYSHLLDSISSSESKRVLTSSKSTRDHPLDGPGAATALQRTSNSQLRSKSVVRLAEFLVIPEDTLAAEVPEEIAGDVKLAVDEVSCSEVADGSENPVSLEELLRDKKCDVVESSTEADLCIAPLASEVVDVSEEEAATCDDDLEVLSPAQVKPCTDPSASEVDIPEEDATSGDNDLIHSSSEADPCTLLGLLQSEDIDTAEQQVTPSDDQIQSCTAAQPSEDIDIAEEQATISEDNQIHSFDALKSVKGTSCVPDPSRDTEDELNLCCEQETESPTSVLDVAFSDHPAASSEKNHTMLDDSSLVEEETAHSNEVHDHSVVGTDDDLDSSTSVKPPTSSSTEENMLLQENNLSDLNITLQEAADDPKNEAELAYVKDIFNKSSFRDEALFDGWCSQNRAALQEDDCQHYDAAAAAIDFTDMSADELLLFDLTNEALLDVYKDYAAGTSRQPRFSAFQRPKPVGERALRELRSRVGRRLDERPQPGVEVEVDALLSSDLAEAERWSNFRREADQVASLVADSVLDRLVTELALQLAKF >SECCE3Rv1G0196240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:806922275:806923991:-1 gene:SECCE3Rv1G0196240 transcript:SECCE3Rv1G0196240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDKDQLQRPRTSAQARTSPMAYPLLLLVLLLLASPAISSTKRTQQPKFPAIFYLGDSIFDTGNNNHIPTLGLANHRPYGRDFPGSRPTGRFSNGRLVPDLLNERLQLKEFSPPFLDRSLSDKDIMTGVNFASAGSGFDDRTSRLSNTMSLSAQVDLFREEYLPRLRSIAGDKEAARIIASSLMFINSGTNDFTHYYRSSKRMGIGEYQDTVLQLVRAHVKELYNLGGRQFILAGLPPFGCIPLQITLSADLERGCVDEQNSEAQVYNSKLHKLIPTLQGSLRGSKIVYLNAYEALTVILQGTNKYGFTETTLGCCGTGLTEVGILCNRFTPICRNASSYVFYDAVHPTERVYRIATDYIVKNVIPQF >SECCE4Rv1G0214820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:1854384:1855732:-1 gene:SECCE4Rv1G0214820 transcript:SECCE4Rv1G0214820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFRLAMSLAPPPCPPPLLRLRAPRRDAALSAAGPSLPLSGCLATGRGPSSGRAYCLFSGAGAGGRRKQEQARGGLEGALDRNKTGFGTWGVETDTRRRRDPRGAPGPAAGGGGRGRPAGGGGGGGGGWFRWFSSGGFWDAAKQTVLTILGIIAAVFLIANFNVLLGAAVYPLLIVLRQIRRAITFAAYCASRAMSAPASRPKPTPVDSAEVVAAAPVKERARMSAKERVVAKWRSD >SECCE4Rv1G0229970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:156661458:156663463:-1 gene:SECCE4Rv1G0229970 transcript:SECCE4Rv1G0229970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAGAELDLHERTPSSSSIHVRPSGALLSMQASSSSMERTNQWVSSQDVPTDLVVRVAGATFPLHKAVMVPKCGYIRKAVAVATRPDPATTVEIELNGLPGGADAFEKAARYCYGANFEISARNAAPLLCAASFLDMQPADGGLARRVEEFIAQAGLRTLPNAIAVLRSCEGPLLGAAEELGVTRRAADAVALRICNEVLFPTRSPPEWWASELAALSPASFHRVFTALRCRRAGPEVLVAAATAYAELLLADGKASDHRVLLESVVAVLPSADDAPLPAAFLCRLLHDAVTTEASAKTCRDLELRLAAVLDQATAGDLLALALDGAGERVSNTESLRRVIAAFVERESGAGRNRRASLSGAVALGAGALQKVAKMVDEVAAEIATDESLPISKFVGVAGAVPKDARATHDCLYRAVDIYLKAHPELDEIEREKVCSVMDTLKLSYRARLHASQNKRLPLQAVLSALYYDQLKLRSAGVGEDDEDNDARSEAGSARMQAKADAALARENEALRSELARMRAHMSSGVQQSKGSGSRTAATATVAGKKAGFFGSMSRTLSRLNPFRAGGGWSKDTSSIRDSRGGAMNVVKPKRRRSSIS >SECCE3Rv1G0161840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:123119865:123128696:-1 gene:SECCE3Rv1G0161840 transcript:SECCE3Rv1G0161840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPLPGRTLVDLDGDALAHCARHLGARDVASLAMACRPLLAAAYCDAVWYRLYREQWPFQQVPRGAVGIRELYIRRHTEVHQMKFDDPLSSIYYLDPMEPTPSHVMLDRNGVWFSQGPVAKRLRLGILDMELVETYRSHSARITCMRLFPLIDTPLNRSDAHRNEKALVTSSTDRTVRLCWKGQSRCYKGHSGPVTAVADKLLDDGEFKILATGGEDCTIRLWSMNTRAKKHPLISTLHGHEKTMSLLSVAWHKSSLLVSSSKDTKVKVWDTMAPPSSVSSSCVGGTHLNSSGPPIAIKCYQSLCYIAAGSEVTAVDLRTMKKASVLELRNQRILSCEMLPSEWLICTGIKDKALLWDIRKSQELKHTVAELHSDGPVTLLHLDPYKVVMGAPWDGQVHVWETRTGHLVNRLSCDEPVKSGGRSTVSAMAVDGCRIVTAGSSSTGGSLLHYQDFLRSSVPVALPGKEVSKFWGSQQYDDED >SECCEUnv1G0528980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6252385:6253245:1 gene:SECCEUnv1G0528980 transcript:SECCEUnv1G0528980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWDEGRLAEAPPASGLAGNQNHQNQQQLEDAMAAPKVDGESSHSGGGSGQEQDDEPKEGAVVVPANRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVAESIAHFSRRRQRGVCVLSGAGTVADVALRQPSAPGAVVALRGRFEILSLTGTFLPGPSPPGSTGLTVYLAGGQGQVVGGSVVGALTAAGPVMVIASTFANATYERLPLDDAEEDHQMEAARRHGAPGSGVPLPPMMAGDPSAGGMPMYGVPPNLMPGGGGHAAPEWAAHARPPY >SECCE1Rv1G0033650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:469710095:469710406:1 gene:SECCE1Rv1G0033650 transcript:SECCE1Rv1G0033650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCGCGSNCNCGKMYPDLEEKSGATVQATTIVLGIGPDKVQVEGADESGEASHGCSCGASCKCNPCNC >SECCE2Rv1G0138530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:922039183:922041150:1 gene:SECCE2Rv1G0138530 transcript:SECCE2Rv1G0138530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPPKRNVGHGGEDGISALPDHLLLDILERLDLREAVRAGALSTRWRHLPSHLSRVHLDAAHFRGATSLQVMDAFTGAARALLTRVPPAAGVCGSSALKVLVLSFYTPSPHLNSIGRLVEDIVSLGKTQCLEFCISPPGTDPLGINIEIGHEFMPFSRAYPVAFSWLTRLTLQDHAFGHSDITDLISICGRLRHLSLIFCRLLDLHSTLKIDVPCSELQKLEFIGLLCTRIELVSVPKLRQVECYRWPLENPPVCFGYVPELRDLLLSSKAKAWQEPFALSEFLSTGAGARNLSTLTLCFGYQMIWIQPEPPKQLIAIFRNLTSVLLLRIFSECDLTWTLFILEAAPALHEMAISRHSCIKTPEDSAEKTNVVWEPSKDLKHLNLKMLVIIGCEDEDKVTNFIRLVMQRAVGLLEIKLRGENPCMYCNATNLERSKAQKAGMRRIMEQLTHGSTSSVEIISC >SECCE7Rv1G0522370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:877421893:877423554:1 gene:SECCE7Rv1G0522370 transcript:SECCE7Rv1G0522370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADVARNIVGIIGNVISFGLFLAPVPTFWRIYKAKDVEEFKPDPYLATLMNCLLWFFYGLPIVHPNSTLVLTINGIGLVIEGAYIIIFMIYAAKNTRLKMLGVLALEAAFMAAVVTGVLLGAHTHEKRSMIVGILCVIFGSIMYASPLTIMGKVVRTKSVEYMPFFLSLVNFLNGCCWTGYALIKFDIYITIPNGLGAIFGLIQLILYFYYYRSTPKKGKNVELPTVLTKNSVTSGNVSVAIEK >SECCE7Rv1G0474560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:172993252:172995106:1 gene:SECCE7Rv1G0474560 transcript:SECCE7Rv1G0474560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDRTAAGMPRIGMGTAVQGPKPDPIRRAVLRAIEIGYRHFDTAAHYETEAPIGEAAAEAVRSGAVASRDDLFITSKLWCSDAHRDRVVPALRQTLRNLQMEYVDLYLVHWPVSMKPGRFKAPFTAEDFVPFDMRAVWEAMEECHRLGLAKAIGVANFSCKKLDTLLSFATIPPTVNQVEVNPVWQQRKLREFCRGKGIQLCAYSPLGAKGTHWGSDAVMDTGVLQEIAASRGKSVAQVCLRWVYEQGDCLIVKSFDEARMRENLDVDGWELTEEERRRIAEIPQRKINLGKRYVSEHGPYKSLEELWDGEI >SECCE3Rv1G0197100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:815560555:815561706:-1 gene:SECCE3Rv1G0197100 transcript:SECCE3Rv1G0197100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSSSAPSYGDAYMQPALAAVPTQIPRPAAGGYFDGGNVGGAFPHFAAASSPPSSYSSSLQSSYYNNIHRSISAHSLPLPLHIQLSDNLNGGGAFFSSSSTSPHQQLSLPPMSSSPSSSCGDLYDFSSSACTVRRVFSTGDLQGMNGSSPVQSGDGCGQDAGGGPFSQKVGRYSAEERKERVERYRLKRHQRNFTKKITYACRKSLADSRPRVKGRFARNGEAEAETDDREASDNSYDYCGYSEPSNQSTGNSRYHGQQHIKDDSVCNGAAAAAFAGAGDNGDWWWRAPGAEGPRQVGFDVDEELWATLGDMLSVNLAS >SECCE2Rv1G0128460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:861075182:861079370:-1 gene:SECCE2Rv1G0128460 transcript:SECCE2Rv1G0128460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHGDEIDGPVSFRRSSASMKKNMPTPTCSSGNAVKPNSLAQQCQNSKLKRLRVEDVLVSDTDSDDEKPIASRMKVCERKSKRIDRKGAMPDGDSDDERPIALRKNVHERKLKMINAGGANADGDPDQDSDDEKPLAARLGINNAAPKTGCNVSEDDSEDDKPLTARFSRVTGGASRNSVKRTGGRSDQTVSALNKKARPSEASDSSSVESEPKDEPGHDGRKKWSTLVHNGVVFPPPYKPHGVKMLYHGQPVDLTPEQEEVATMFAVMKDTEYASKETFINNFFTDWRKILGKTHIITKFELCDFTPIYEWHLREKDKKKQMTSEEKKALQEEKSKQEKFMWALVDGVKEKVGNFRVEPPGLFRGRGEHPKMGRLKRRIRPSDITINIGEEAPVPVCPIPEESWKAVKHDNTVTWLACWNDPINEKDIKYVFLAASSSLKGQSDKEKYEKARKLKDYIRSIRENYTKDFRSKDQRKKQIAVATYLIDKLALRAGNEKDEDESDTVGCCTLKVENVTCLPPNKLQFDFLGKDSIRYYNTVEVELLVYEAIKEFCAGKNKGGHVFDKLDTTKLNGHLKDLMPGLTAKVFRTYNASITLDAILNKETTDGTVDEKVKVYQRANKEVAIICNHQRSVPKSHDSQMNKLNEKIDELTAQRDQLNVELDKAKKLLSKKAKKRKPVGSDGDAKQRRKLTPEMLQKKLSQVETMIVETDNLKSNKEDLKTVALGTSKINYLDPRITVAWCKTHEVPIDGDKIFTKTILEKFAWAMDVDPEFRF >SECCE1Rv1G0005380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:25768215:25775699:-1 gene:SECCE1Rv1G0005380 transcript:SECCE1Rv1G0005380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTTTSQLMARVEYYRTLFLAVAAALLLPRPVAGAAAIGMPGCETSCGNMSVPYPFGLGPSSCYHSPGFNLTCDRSSSPPRLLLGDGTLQVAQDIHPGMPMFTVVYTGDIRTYGGGRGRLGGLVKGGPCALVPSWNHLILLGCNVRATLRSGNVTISSCSSLCEEGAASGMASLFGDDDVECSGIGCCQAPIVGTDRVTSYDVELQHLGWNRSDDQQWPARVFVAKIGWFATRSVWQQLSTGGAASIQVTLSLAWAVFDDHRTGPPASGSDCPGDAARRVCKSDHTDCTTGPTGGYHCDCKSGYGGNPYITNGCQDMNECEKPELQIYRCYGHCTNTNGSYRCRCPHGTNGDPYTPGGCYSSLADGCSTTCGNMSVPYPFGHGPPNCYLPGFNLTCDTSHHPPRLMLGNLQVLDISIRNNTLRAISTDLVLVSAGLGRHINNFRSTGDGDEAPYSLSTSNELILMGCSVQAGLSTRSGNPSILSGCSSICSSHENGSYVDAIVASASDDDDPYCYGMGCCQVRISMSRDGMPSEFWIDRIEGNIAWGETLPHAYAFIAEEGWFRKRRVSRQLLQRRSAISPWLDLEVPIVLDWEVLQLQHAGLRASLSATQYLKCPGICRSKNSHCKPRIRGYSCHCSKGFDGNPYLVNGCNGGHKYFKGMSIVIGVAAGFGIVLLVLLAFVISTKLKHRRAQIMKQNFFKKNRGQLLQQLLSQKSDIAERMIISLEELEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKPKKVIQKEIDEFINEVAILSQINHKNVVKLYGCCLETEVPLLVYEFISNGTLHDHLHGNGPTSLAWDDRLRIAIETAKSIAYLHSTASTPIIHRDIKSVNILLDDTLTAKVADFGASRYVPVDKSGVTTMVQGTIGYMDPMYFYTGRLTDKSDVYGFGVMLVELLTRKKPFSYLSSEGDGLVAHFSTLFAEHKLSQILDPQVIEEGGEEVEEVARVAVSCIKLTGEDRPSMRQVELTLEGLCASEDHSSDKAASKRLDKKDIVEVRTSEEGLTRQYSMEEDFILSARYPR >SECCE5Rv1G0362220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:784381742:784383792:1 gene:SECCE5Rv1G0362220 transcript:SECCE5Rv1G0362220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTGALHLASGLGGMIGKEQVKSSVEQYEKYHDLHGGEAKSRASNYADVANKYYDLVTSFYEYGWGESFHFANRWEGETLRESIKRYEHYIALQLGLTEGMKVLDVGCGIGGPLREIARFSSAWVTGLNNNEYQITRGKELIDVAGLSKRCNFVKGDFMHMPFPDDTFDAAYAIEATCHAPDAVGCYMEIHRVLRPGQPMAFYEWCMTERYDPGNPRHAAAKAEIELGNGLTDIRTTTQCLQAVKDAGFEVICAKDVAEDSAVPWYQPLDPDAGASWTSANGFRLSRVGRLVTRAMVKAMERLGLAPEGSVRVSGLMETAGEGLVKGGREGIFTPMFFVLARKKPNVTGTESV >SECCE4Rv1G0260650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:697581929:697582375:-1 gene:SECCE4Rv1G0260650 transcript:SECCE4Rv1G0260650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGAREQQPWRYSCSFAWPPRSYTCSFCKREFRSAQALGGHMNVHRRDRARLRHGSPPPPPPPQFPAAAAAGSPNPRRAAAAAIPNLNYPPPPPPQPFLYNELSSVAAASTGALELSLELGLEAGVQSCTREEDDGLDLELRLGCS >SECCE2Rv1G0078200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:106276612:106277967:1 gene:SECCE2Rv1G0078200 transcript:SECCE2Rv1G0078200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLFNSLAAPDPASPPPETEAATGSSSSSPPADSGDGGGWGGFGGLLKTLTSQSETVLDAYRRDLAEFSTGLRRETDALREAAAQAARDLPSSALALDGLADIVAQGKGAIAQVAATASAAAAPSPAAPSDAGGADSEPSPASGHLRYYSRFEAQLRALQSDPATFTADPEDAEDFAAWGSGFSVQERQDEIEALCYESDAVEGMLDRLVPDAVEGEVFWARYFYRVHKLKQQEDARAKLVKRVIAQDEDEDLSWEVDDEVEEEEPAKEEAIKQAPIKEEPKPEVEERGNDKLEEGGRVNAVEEAGALDKEQKNADSPQPEVFGSSMVVVDKEEKEDAPKLNVEESSDKKTAAEEPHSSTGDAAAKEGTKHETSDSSKDSDYSMVSRQRTATEEDLEWDEIEDLGEHEEKKGSAHGSSSAPKEELRKRLSVAEDDEDLSWDIEDDDDDKA >SECCE1Rv1G0000410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1483723:1486039:-1 gene:SECCE1Rv1G0000410 transcript:SECCE1Rv1G0000410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLAKIDMEWFQEPPTWLLVASVILVLLQRWRGKAPPLPPGPYSLPIVGNIFMMDQLTHRGFAALAKQYGGLLHLRLGKVHTFAVSTPEYAQQVLQGQDGAFSHRPATIATTYLTYGRADMVFAHYGPFWRQMRKLCVMKLFSRRRPGTWLAVRDESAALVRAVARRSGESVNLGDLIFNLSKNVTFRAAFGAEAAGDGDGRKQDEFIAIMQEFSKLFGAFSISDFIPWLSWADPQDINVRLCAARAALDEFIDKIIDEHIKRGKNPDDMDADMVDGMLAFLPEAKPEKAAGDDLQNTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMAEMIHNPDDLLQLQQELAETVGLDRNVDESDLNKLPFLKCVIKETLRLHPPIPPLHRENAEDCVVGGYSVPRGSSVNINVFAMGRDAKVWKDADTFRPSRFMAGEGEAAGVDFNGGCFEFLPFGSGRRSCPGMALGLYSLELVIAQLAHGFNWVLPDGKKSSELDMGDIFGLTAPRAARLWVVPTPRLTCPLVVDVDAACRT >SECCEUnv1G0567470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:415758360:415759088:-1 gene:SECCEUnv1G0567470 transcript:SECCEUnv1G0567470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLAEMAKEEVAMLIGVSDGIKDLSIKLGDLKNFLVDADRRNITDESVRGWVGELKRAMYLATDIVDLCQLKAMEQGQTKDKGCLNPLLFCMRNPLHAHDIGTRIKLLNQNLDDICKRGNSFNFIKLEAYQEQKTTLSPATDRKTDSLIERSGVVGEKIEEDTRALVEVLTREVVGDKSGRLIVVAIVGIGGIGKTTLGKKVFNDEAIEGKFTKKIWLSITQDFTDVEL >SECCE4Rv1G0294130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:888818894:888821956:1 gene:SECCE4Rv1G0294130 transcript:SECCE4Rv1G0294130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDALERLAYVDDLDPHTKSWKSTLRELSYDIEDIIDEFMQNIGGKDKNSGFVRKTIRRLKTLRARHQISGQIGEIKKRVLEASDRNKRYALDKIIPPSSNVVSIDPRVKALYEKAANLVGMEGPKNELVDWLIDEEKQLKVVSIVGFGGLGKTTLANEVYRRLKGEFDIGAFVPVSQKPNIPHLLHSLLSQLGVEPSIHARESHLIDKLREVLKSKRYLIIIDDLWDVTSWENIKCAFTENDLGSRVIVTTRSKRVAMACCPPSRDHICRDHILQMKSLSNEDSRRLFFGRIFGSEDACPHQLRDVSFEILKKCDGLPLAIISIAGLLASEEILNLSYKDLPCHLKTCLLYLGMYPEDYTIKRSDLERQWMAEGFVSQENGQDMEKIARNYFNELVNRSLVQPVRFDGSGSVTQYKVHDMMLDLILSKCAEENFLTVVDGSQDNTTQKYKVRRLSIRLNGAANATLLLGKISLSQVRSVMIFGWSNIIPPLSNFKFLRVLFVEDYRTTDLTGMSELYQLRYIQIDGTRDYVYLPTQIGGLQQLETFDIRRCACVPSNVVHLPRLLHLRIEHDRGLPDGIGKMKYLRSLDVFNLSVNSLNNFIGLGELTNIRDLTLTGNMVRHKDVLCSFLRKLCSLEFLYICTEGCMDGLSPPCSLQILATSWLPWGCWFSRVPNWMRELHNLRVLRFKVDELLTEDVDIVGELPSLTDLILYVRRHRKRMIAINGTGAFPVLKRFGLTISSPSCLTFQSGAMPMLQGLYLTFGIKGWKQNGAQPAGIEHLPALEEVSAEILYNGTTESEKCSAESAFRNAVNTHPNNPRIVSLLL >SECCE1Rv1G0024610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:329163368:329163724:1 gene:SECCE1Rv1G0024610 transcript:SECCE1Rv1G0024610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFAPICIYLVISPLVSLIPLGVPFPFASNSSTYPEKLSAYECGSDPSGDARSRFDIRFYPVPILFIIPDPEVTFSFPWAVPPNKIDLFGSWSMMAFLLILTIGSLYEWKRGASDRE >SECCE1Rv1G0031480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:443150683:443153581:-1 gene:SECCE1Rv1G0031480 transcript:SECCE1Rv1G0031480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRAAASLAAPPAHLRPRRRAISMVRATVSPPPALDSRRRPQNVSGEFFVDHRCIDCATCRWMAPEVFKRVEDQSAVAAQPTSEEIRTKALQALLSCPTGSIHTDKPTEDILQVQNTFPLPISDDLPGVYLCGYHSENSYGATSYLVVHPEGNIMVDSPRYTPKLANQLEKLGGARYMFLTHIDDVADHRKWAERLKCERIIHSGDVEDITADVEWKLTGNGPWNIGTDFELIHTPGHTEGSVCLLYKPLKALFTGDHVAKSEESDELNLFLMYSKQSVDVQLDSIRKLLDVDFEWFLPGHGYRIRYEDEHAKNSAIEALLADYTN >SECCE5Rv1G0312040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:216243892:216249996:-1 gene:SECCE5Rv1G0312040 transcript:SECCE5Rv1G0312040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIALLLDLAARAPRSTASLHSHAAFSAAAAAAAAAALSASGVPLSARPFFGFPGFTVAHCDAGATYGSNDSPDLVNDLNDKIHGLIHDLQLPIKEYPLELKPLLYAFSFKHLSMTTIRAFLLYYLPLLEPRPPTDDDDDDDLLQDDSERPPVDLVTPFHNSLKQIARETSVVTTRRVFERIAVRHVSQRTAWKLLKDAAKSSKRKAMRGMSIPEYTYCVARTTFRAHALGVAATWVVQSIVQVYKCFIRQPDNDEELFDEKEKLRLFGRRIYSVTIKCGFSLVFASIGAGLGVLLHPVHGQWIGCILGDFAGPVVAILIFEKLQFPLEG >SECCE1Rv1G0060470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710354368:710356062:1 gene:SECCE1Rv1G0060470 transcript:SECCE1Rv1G0060470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLFSLLLRLLAVQAASHGSVGNGNDDDDSALMASCLAAAGVRNVTTRRSPAYAAALAFSVQNLRFVAACAHHGPVAVVVPASLAELRAAVLCAREAGLVVRLRSGGHSYEGLSYTTDDAGGFVIVDLMALDRVRVDAGTRTAWVQSGATLGQVYHAVAASSKTLAFSAGSCPTVGSGGHIAGGGFGLLSRKYGLAADNVVDAVLVDADGRVLDRDGMGEEVFWAIRGGGGGTWGAVYAWRVKLSPVPERVTVFVVNRPGTVESVARLVSTWQHVAPWLPDEFYLSAFVGAGLPESDGSGISVTFKGFYLGRSQQALEILSARFPEIGLADLNPREMSWIDSVVFFSGMPEGSSTSDLTDRVLHDKNYFKAKSDFVRRPTPIGELEGAISFLSKQPKAYVILDPYGGAMDRIAADDLPFPHRKGNIHGIQHLIAWTADDDDRREEYMDWLRRFYDFMGAYVSNAPRTAYINYLDLDLGTNNNNPSPHPVLRDDNEDGGISPFNSEVEAARTWGERYFLSNYDRLVRAKTTIDPENVFRNAQSIPPLFVGALQMTRRIAHDI >SECCE7Rv1G0520250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:868105956:868110765:1 gene:SECCE7Rv1G0520250 transcript:SECCE7Rv1G0520250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAKRRPPTPSDPSSSSEEELLLPLGVEAEDDDEDYELILLPVGAEVEVRSDDPGFAGSFYEATVAGHLLSGGGRGRYTVAYTTLLADDGDDEPLTETAAAANVRPRPPPYDAAREFAVHEMVEAFHNDGWWAGVVSSVVPQAVMAGDRRAPRAYRVTFPTSRETLEFQEADLRPHRVFEDGRWVPAAEVDNVSPLFGEGNQVEVSGKSFSASWSPATVLKVIGATNFLVQYMHTENDGELATEIVDSQDIRPARAITRMDSKYRFSPSSHVEVLHEDSWWPGVIVNVLGSGINKRYMVKLKNHETDMEDVQPVDVLTVENTQLRSRFDWDGKKWVRCVKELSHQNYVHEMHPFQKSSNEPRLTSRKRLVSALYDDSDKIGNEPDSRRDKKLKNEDVMSGQISPLPLSICNENNEITHNQGNAVLALRSELSLPSLTPMVAFNQLSSSSLDPSCHPEQTSSQMTIIPYTPQSQQLRASLFGALQAAKAIDEESDIIAVSEHLEELPKDVTAGCRILPKINMASCIDIRGSRGGSGVDDLRQVCYVRDTSVEQGGELCQRYLVMADSAKVPLLPSAETCEANVHDNQLPKGNTAAAVECLTGYVAPTEDLSLTPVATLDGVVLNLLPLDGDLEVNITQDMDEENDQENVVGLVRNGNQNEYTSADCPFSATSLAALKDDMIIMESPTNKFSCSQSIEMSTVTRLSSVGMSNYSVTEPFDDSLAIANGVECTPVSRYVASRTNNSLCPLSPESVAVHETTMGTTGRLSGSLAIEHLPFEKTSPMWAHVEALEIFRKAPQRPHFRQFEEYCPELREGMALGLMHSFANLAESINMLDVQGDKELLEQKMKSLALLEENGFDVTYLRTRVETLLATDNSRIVKLEEKIAHIETYDQELSTQVRALAMTVHHLELHAYLMRNMMRSAITRMMSNAVKISRLRAEANDLERSYGSNAVPR >SECCE1Rv1G0036480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:510303966:510308636:1 gene:SECCE1Rv1G0036480 transcript:SECCE1Rv1G0036480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQCCADPPTLNPAGGEGRVVDSLGGIAAYVAGVRESKAAVVLVADIFGFEAPILRKIADKVASSGYFVVVPDFLHGDPFVPENADRPIQVWIKEHPLGKAFEEAKPVIAALKEQGVSTVGAAGYCWGAKVVAELAKANEIQAAVMSHPSAVTVDDIKEVKCPIAVLGAENDKTSPPELVKQFEQVLSSNTGIAHFVKIFPGVSHGWAVRYKSEDAGAVKSAEEALSDMIDWFNKNLK >SECCE2Rv1G0132910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894481810:894484140:1 gene:SECCE2Rv1G0132910 transcript:SECCE2Rv1G0132910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLSSIFTSNATSSFRAPSPQPRAPLAALAAATERVCAGTLRPEEAHHLFDELLSQAAPVPERGLNNFFAALARAPPSAACSYGPGLAIALFNRMSPGAGARVVSPTLCTYSILMDCCCRAGRPDLVVAFFGRLLRLGLRLNDISFSNLLKGLCQAKRTNEALDMLLHGMPELDCAPDVFSFNIVINGCFKEGEVDKACNLFHEMPQLGVQPDVVTYNSIIDALSKSGAMDKAEVLLRQMVDQGIEPNIWTYTSLIHGYSTSGQWKAAVRVFKEMVSLGVLPNAFTWNSFMDSLCKHRRTKEARDIFDSMAAKGQKPNIVSYSIMLNGYAKEGYFEDMTGLFNSMLRNGIVPDHHVFNILIKAYAKRGLMDEAMHMFEVMREQGVNPGVFDYLVIMDSLCKMGRMDAALHKFNQMVNQGVSPDKAVYRCLVLGSCSHGDYVKAKELISEAVNRGLCSNSVFFYPVINDLCKEGKVKEAQDMFDFIVGIGQRLDVIMYNSLMDGYCLVGKVEEALRVLDAMKSAGLQPTAVTYGTLLNGYCKIGRIADGLSLFREMSLSGVKPTTIMYNIILDRLFRSGRTVSAKEKFRTMSESGIPVGVDTYNIVLSGLCKNNCTGEVIELFKKLRAMNVKIDVITLNIMISAMFKTRRIEEAKDLFATIPATGLVPSVVTYSLMMTNFVKEGLLAEADDIFLTMEKASCAPDSRLLNHVVRVLLEKGAVVRAATYLAKLDAKQLSLEVSTISLIVSLFSMKGKLREHVKLLPVKYQPPEISD >SECCE6Rv1G0405530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:532645626:532647554:-1 gene:SECCE6Rv1G0405530 transcript:SECCE6Rv1G0405530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carotenoid cleavage dioxygenase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19170) UniProtKB/Swiss-Prot;Acc:O49675] MEGALVTSNFSMSDLHPAKANAAHAPRSRRLSYISPAASSAAAPNPSHRRRKSTSPPPPSAAATATATVLTSPPKPAEQQEHLADKSVNNATGTRVATARTSRASDAPRQAARPSRPRRRPTASLQAAFCNALEEAINTFVDPPVLRPSVDPRHVLSANFAPVDELPPTPCPVVRGVIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLPTAPGSDPVLCSRYVQTYKYLVERNAGEPVLPNVFSGFHGLAGMARGAVTAARVLTGQMNPMEGVGLANTSLAVFGGRLYALGESDLPYAVRVDPATGEVTTLGRCDFGGRLSMGMTAHPKKDPVTGELFAFRYGPMPPFVTYFRFDPAGNKGADVPIFSVKQPSFLHDFAVTENYAIFPEIQIVMNPMGMVVGGGSPVGADPGMVPRLGVIPKYAADESEMRWFEVPGFNMMHSVNAWEEAGGEEIVMVAPNILSIEHTLERMELIHASVEMVRINLRTGNVTRTPLAAANLDFGVINPGCLGRRNRYGYFGVGDPMPKIGGVAKLDFDRAGRGDCTVARRDFGPGCFAGEPFFVPDDVEGSGNEDDGYVVCYVHDEGTGDNRFVVMDARSPDLDIVAEVQLPSRVPYGFHGLFVTQAELRSQHQ >SECCE2Rv1G0125320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:840251666:840252254:1 gene:SECCE2Rv1G0125320 transcript:SECCE2Rv1G0125320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIDSSVAAPRLFRAGVMDWHTLAPKLAPHIVASAHPVEGEGGIGSVRQFNFTSAMPFSLMKERLEFLDAEKRECKSTLVEGGGIGVAIETATSHIKVEPAVNGGSIVKVDSTYKLLPGVEVKDEIAKAKDSVTAIFKAAEAYLIANPDAYN >SECCE1Rv1G0007170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39044810:39048062:-1 gene:SECCE1Rv1G0007170 transcript:SECCE1Rv1G0007170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSEDPATAAAAAEPAGAGTISKVLVFIAMQTEALPLVTRFQLVEAAADESIFPKGAPWTRYHGDYKGLHIDLVSPGKDPLLGVDSVGTVSAALVTYASIQLLKPDLIINAGTAGGFKARGAGIGDVFLASDVAFHDRRIPIPVFDSYGIGARKTFATPNIVKELNLKVGKLSTGDSLDMSPHDETAILSNEATVKDMEGAAVAYVADLFSTPAIFVKAVTDIVDGEKPTAEEFLQNLISVTMALDQAVMQVVDFISGKCISDL >SECCE7Rv1G0457740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18647145:18649658:-1 gene:SECCE7Rv1G0457740 transcript:SECCE7Rv1G0457740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRFPKKPSKSSGDKDPIGRSGASVSNPPRGAERASGHTPVISSSGLSYGSGGQQHPVNGNNPRGNNGNSSAFELLPGFKDVPNAEKNNLFVKKLNLCCVTFDFSDPTKSMKEKEVKRQTLLELVDYVASANQKFPEIVMQETTRMVSANLFRTLTTPPRENNISAYDLDEDEPVMDPAWSHLQIVYELFLRFIQSPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKMILHRVYGKFMVHRPVIRKAINNTFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLIRALIPLHKPKCIAMYHQQLSYCITQFVEKDCKLSDTVIRGLLKYWPITNSTKEVMFLGELEEILEATQPAEFQKCMVPLFRQIARCLNSAHFQVAERSLFLWNNDHIESLIKQNSKVILPIIFPALERNSNGHWNPAVQSLTLNVRKLFSDHDAGLYTECLRKYEEAKAKEKENKLKQEATWKRLEEIASSRATSGEAVLVHRTLPRQSSAV >SECCE6Rv1G0432830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:754727802:754731427:1 gene:SECCE6Rv1G0432830 transcript:SECCE6Rv1G0432830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRISNRNFLANATDNRPLILISTQEIKVDASFRLSLFTLLNSTTQWTAISLRRFTTSSLGVNTFAKLYSLAQCAPDLSANDCAACLQPYYQYAIQFMDGREGGRVLGTWCIMRYETYLFFNGKPMVSITNLAYAVPVINNPPPGSTPVTVYPQPQQPAAGAPPPEAQTTVQQHQGRSSRKRALRIISVVAPLSLILLCFICSVVWMRRQRKGKVNLNNQAATNRPEEDALVWRLEEKSSEFTLFDFSEILHATHNFSKENLLGRGGFGPVYKGQLPDEMQIAVKRLASHSGQGFTEFKNEVELIAKLQHNNLVKLLGCCIQGEEKVLVYEYLQNKSLDFFIFDANRTILVDWKKRCVIIEGIAQGLLYLHKHSRLRIIHRDLKASNILLDQDMNPKISDFGLAKIFSSNDTEGSTKRVVGTYGYMAPEYASEGIYSIKSDVFSFGVLLLEILSGKRNSGFHQYGDFLNLLGYSWQLWEGGAWLKLLDVSIVKEIHTTEARRYINIALMCVQESADDRPTMSDVVAMLSSESVVLPEPNHPAYFNLRVSKVHESASVVVPCSNNDVTITEEPDGR >SECCE2Rv1G0114200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:732084141:732084428:-1 gene:SECCE2Rv1G0114200 transcript:SECCE2Rv1G0114200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFIAVPMEFRLRNNTSCSWKVTVKLMNGRVTLDQGCASYAAVHQIKIGFMVTFKLLTPDTLKVIIFDDDGIEVVNKCGKHDKAFAAKD >SECCE7Rv1G0525690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:892102655:892105481:1 gene:SECCE7Rv1G0525690 transcript:SECCE7Rv1G0525690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVVAAFFVFAALAAASSGDDAALIEHTFVVSQFTLNRLCNDTLVTVVNGQFPGPAIEVKEGDSVAVHVINKSPYGLTIHWHGVKQQLNCWADGAGMITQCPIQPNNNFTYRFDVAGQEGTLWWHAHVGSLRASIHGALIIRPRSGASSYPFPRPDKEIPIVIGEWWDMDLDQLDKNLRNGHLFDMPRAATINGKPGDLYNCSGTVKDSNILNVEHGKTYLLRIVNAALNSEYYLKIAEHKFTVVAADANYVKPYTTDVIAIAPGETVDALLVADAHPAGRYYIVAKANQPPKPATQIPIFISRGIVQYGDGPRKVEEEKALSDSTTSLIMAPEMPDVHDAATSFYFHGNLTSLLLRPVPGNAHEHLFYALDASFVCRKGESSCNNRTNMMGMVNNVSFQLPTTIPLLQAHYHGNVSSIGTLRELPDRAPRMFNYSNTLEPTSKATSVRRLRYNTTVEIVFQSPVLADTYSNPMHLHGHDMFVLAQGVGRYDAETDVATYNLVDPPVRNTVHVPLFGWAAVRFVTNNPGVWFLHCHFGHHSSSGMAAAIVVENGPTLDSTLPPPPKDFRSCNNYNSRVAYE >SECCE7Rv1G0457640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18244482:18247645:1 gene:SECCE7Rv1G0457640 transcript:SECCE7Rv1G0457640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASLKPPPSLAALSPSARGPLPAASFPPLLPSRGRVRLSAAASEGATVSQEDAASVSAAFEEARLAQFAADWKAVRADKEQGKILTLPVLRSNTGGLILKYNSMQGFVPNPLLSPAHWCKDPKRPIQDVTKDLVGSSVSVKVVEANEAEKKLVFSEKDASWSMYSSQVKIGGIYDGIVGSVFHYGAFVHLRFPDGKYHLTGLVHISEVSWDLVQDVQDFLSEGDIVKVIVVNVDAEKSRIGLSIRQLEEDPLLETLDKIIPLEPDLSPDAETTSSPPEIELLPGLDGICNELLQEDGITDVRFGRQALEKRVVSQDLELWLSSVPAKDNQYKLLARAGRQIQEVYLTTSLDQEGVKKAVQRVLGRVP >SECCE3Rv1G0179260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:533806847:533807788:1 gene:SECCE3Rv1G0179260 transcript:SECCE3Rv1G0179260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSVPQTSSESAAHKMGFFRVPDLLVKLSTKCLIELDAVCSPTSPLDLKLFTGLATKSPKSPFLDAGAASQNQKILLGDRVGLGLVDSLSDDNNPTPLSSRKVLLGSEMRITDNLTRKNSSTAPNQAGLLEQKDENMSDGLNGSIMSLDDIVNSEDYTCVVTRGPNPRTTHIFGDRVFEFQAEQLMPDAGGCDQSLAPHLNGDTMSFCCFCGEKLKEGKDIYIYRGDKAFCSMECRENFMEDEMEGEPSIDHSDPSGPSFDNCRIFQLIQ >SECCE4Rv1G0284570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:840328235:840329291:1 gene:SECCE4Rv1G0284570 transcript:SECCE4Rv1G0284570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHPLVSYHELARATDSFSESNLLGVGSYGKVFKAQLSNGMIVAVKVLNMHLEQAMRSFDAECNVLRMVRHRNLMKILNTCSNLDFRALLLEYMPNGSLEALLHNSESTRNLSFLERLGIMLDVSLGMEYLHHEQEQVVLHCDLKPSNVLFDDDMTAHVADFGIARLLLGDDTSMISASMPGTVGYMAPEYGTLGKASRKSDIFSYGIMLLEVFTGRRPTDGIFAGGLTLRKWVVEAFPTELVRVVHDQLSQASSSSCCFESFLTPVFELGLRCSSDSPDQRMTMSDVVITLNKIKVEYTKRQSATRA >SECCE5Rv1G0361160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:777979096:777980408:1 gene:SECCE5Rv1G0361160 transcript:SECCE5Rv1G0361160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPVEDSFLRLSPELVEEVLLRLPPDEPACLVRASAVRKPWRRVLANEGFRRRYREFHGAPPVLGVVQEDASFLPITALPAQSVRHSWAKVKALDCRHGRYVFESLIRYLGEGEPVYITIADPLTGHERSLDTPVDDGVLWFSAAVLCAAQGCDHHGCQGGHFRVVIVTTNHQKKVTSGWMYSSETRVWSELTSVHHPDITKYTYNRGSPSVLVGDALYFDLDGIIKCQLGTLNLSMFERPTDAEGRLMTVEDGGLGFAAMVDVRNLTIWSVQTGPEGAMGWAELRVIDLTTLLPDKALSVPAPEDGISGIVEGTQIIFVSTCDGTYMVDLKSRRVRKVSDPGRKVFPYMRFYIPAMEAASMGQGH >SECCE7Rv1G0500740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:673164324:673172617:1 gene:SECCE7Rv1G0500740 transcript:SECCE7Rv1G0500740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEEAGSGGHLVPLLDVHGGGGLDASLLRRLYVGHFLARWGARMWEFSVGLYMIRIWPGSLLLAAVYGVVEASSVVLFGPMVGTLVERLTYLQVLGLWLLVQSLSFITAGVSVTGLLIYDKLKDTSFPVFLALVVVTNLSGALAALSTLAGTILIEREWVVVICGGHPPAVLTKTNSMIRRIDLSCKLLAPVLSGFIISFVSTQASAVALALWNVASVWLQYGLFVSVYNGVPALGGDVQLRRESTAAALVVAPVDEEVQVCGQQDASDWRAGVTERLSILPCWESWAVYMRQEGMLPGVALAILYFSVLSFGTLMTATLDWKGIPAYVISLARGFSAVVGIAATLLYPVVHSWVSTLRTGLWSVWMQWCCLLLCVGSIWVSDGVASAWVLMAGVAASRLGLWMFDLAVMQLMQDSVPDSDRCVVGGVQNSLQSMFDLLTYIMGIIVSDPKDFSELIVLSFLLVTCAALMYTLHVYRVRKHLLHLDKILAKMGWCATLQWWPGHVVN >SECCE7Rv1G0467940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:93639405:93643136:1 gene:SECCE7Rv1G0467940 transcript:SECCE7Rv1G0467940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGLAQPAPSPEAAAAAGAVHVALKIPSGGGPYARAKHYQLVEKDLDASIAWFWKAIETGDKVDSALKDMAVVMKQRGYLDDAVDAIRSLRHLCPGKQSQESLDNILLDLYKASGRTKEEIELLKHKLRRIYHGQAFPAGKATKRARSHGRKIHVSVQQETSRVLGNLAWAYMQQRNFMAAEAVYRKAQMVEPDANKACNLALCLMEQGRLGDAEGVLADVVAGAFRDGREREHGGGKVVRKAEELLERIRAETGGGEKETGEEDGAEADEMAELLDMVARQWAAPYRKSHRRLPVFEEITPFGREQMAC >SECCEUnv1G0557850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:327606398:327608467:1 gene:SECCEUnv1G0557850 transcript:SECCEUnv1G0557850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSMAATMFCAVVLAALAAAAGGDAAVVEHTFVVHEMNQTHLCNTTKIYVVNGQLPGPTIDITDGDTVVVHVVNRLPHGLTIHWHGVRQMRSCWSDGAGFVTECPIPPGGEHVYRFNVTGQVGTLWWHAHVTCLRATVAGAFIIRPKGGRYPFPTPAKDVPIIIGEWWQLDLVELDRRMHDGNFDDNPLSATINGKLGDLSNCSGKPEESFVLDVVRGKTYLLRIVNTALFSEYYFKVAGHTFTVVGADGYYLTPYKTDMVTVAPGEAIDVLMAADAPPAHYHMVALANQPPEPDPQIPGFVSRGLVRYAGSSHNNNGLPVPTPLMPSQHNTMPSYYFHSNLTGLAHPDRHRVPMHVDERLFFTLGLGSICRGTNKTCQRGRSPETIVVATMNNVSFRHPTNASLLERYYDGRTSGLYTEDLPDHPPRPYNYTDRALIPPGPLEKALEPTFKATKLRRFRYNTSVEIIFQSTALLQSDSNPMHLHGYDFFVLATGLGNYNPKTDPKKFNYHNPQLRNTVQVPRTGWAAVRFVTDNPGMWYLHCHFEFHIIMGMATAFIVENGPTPETSLPPPPPEFKRCGANGLTQP >SECCE3Rv1G0158260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:86592293:86592496:1 gene:SECCE3Rv1G0158260 transcript:SECCE3Rv1G0158260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKSSWPEVVGWPAAQAVTQVNNDRPDVAIEVLPSGTSVSPGFSSKRVRVFFDGTGSVAATPQVG >SECCE4Rv1G0244470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:515648850:515654016:-1 gene:SECCE4Rv1G0244470 transcript:SECCE4Rv1G0244470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPQLKPSSLQSHHHTIASRRILPSSTCSSSLPSPSLSAGRRAGAVRGVGQLTVRCASVGPSEVVPASSSIGGGGASSDMAGKGGASVRVKAVATVKVTVGGFLDGLRPSRTMDDVKDLIGRSMELELVSAELDAKTGKEKQTIKSYAHKVADNDLHVVTYEADFNVPAGFGPVGAVLVANEHGTEMFLEDVKVVTAGGSNSTSDVIRCDSWLPPKSGDAKRVFFANKPYLPSQTPAGLQSYRKKDLAKKRGDGTGQRKATDRVYDYDVYNDLGSGEELGAAGARPVLGGNKQFPYPRRCRTGRPRSTKDPQSETRIGDVYAMLPSAFSEEKNVQFSVKTLQSVLHAAVPAVQSTLIDPNQGFPSFFVIDKLFEDGVELPRAEELGFLRAAVPRLLEFLRDGPGDKVLLFDAPANVQKDKFAWLRDEEFARETLAGINPYAIELVKEFPLKSKLDPAVYGPAESAITAELLEAQMGHTMTVAEAVKSKRLFMLDFHDLFLPYVHKIRALQHTTMYGSRTILFLTDDGTLRLLAIELTRPASATMPQWRQVFTSSTDTTKSWLWRMAKSHVRAHDAGHHELVTHWLRTHCAVEPYIIAANRQLSEMHPVYQLLRPHFRYTMRINALARSALINGGGIIELTFSPQRYAMELSSVAYDKLWRFDMEALPADLVRRGMAEEDPTAEHGLKLAIKDYPFANDGLLIWDAIKGWVQAYVSSYYPSAASVTGDAELQAFWTEVRTEGHGDKKDAPWWPKLDTPESLTHTLTTIIWVAAAHHAAVNFGQYDFGGYFPNRPSIARTNMPVEEPVDAVALEKFLDNPDQALRECFPSQVQATLVMAVLDVLSSHSPDEEYLGGMETAPWGDDTAVRAAYLRFNEQLKEVEGIIDGRNKNRKLKNRCGAGIVPYQLMKPFSQPGVTGKGIPNSTSI >SECCE3Rv1G0186770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:690252967:690254879:-1 gene:SECCE3Rv1G0186770 transcript:SECCE3Rv1G0186770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEASSSSLPALSSAYQPLPSLYLGFLAIWAASGISWAFSSWRNRHFQANNLQWILALVPLIKALQMALSFLFWYSCVHLHTCSLWMSFGVYVTGILFQTASFVSFMLISHGYCIMYERLSIRERRTTAGLGCLLYLSLIGYKAAVPYFTGFLLMNYFASFYIIFRRTSQCLLVLREQLNFVEEEDIHSLHGTLNTKYTMFKRFQGTMQVAAVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMYIGWNFRIPEASLHLPVMPLMKSNWEITMPPIYSVEMDAADFRGLVSDQWHVGVRTSHADACYSSQPLLVLVQNPSPKVSRAAVASGLC >SECCE4Rv1G0247950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:565017193:565019882:1 gene:SECCE4Rv1G0247950 transcript:SECCE4Rv1G0247950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAAQPTHHSSLDETEDLPQPQPNANSNSQCCWQRWFHADQDPGTESYELMRDLSKYILLLATLVATVTYAAGFNPPGGVWQETVDASDQLAGDSIIRTTSYGRYLVFFYCNATAFASSLVIIVLVLFLALMKKQLLKLKPLQAVMVVDLLSVMGAYAAGTCRDKTTTIYSSVLVVVVIAYLTYQMVLASWSPDKKNRHGSATAAVDKKRLRKALMLLATFAVSVTYVAGMSTPGGFWDNTENGHRPGDAILKDSHGKRLTVFLCFNTMAFVASLLIIVVLLDRKTRENEAYGCIAVALISLVGAYTAGSCRETDTTIYVSSLVGAVLLFMALLQGIVYLKGDVAAAGEDRSTSCFRTTLTNVQAKVAGWLQSPAETSQGRDAAQQARSLVLLLATLAATITYQAGLDPPGGVWPDNGNGHMAGDPILLTVNAGRYKAFYYCNSVAFVASLVAIILVQSKVMLETHVLEAAMVLDLFGLIGAYAAGSSRDLSTSIYAMALAGAVLVYVVIHVVFFTLNHGDPTPEEIKSVDKRRKRLLLFAVLAATITYQAGLTPPGGFRLQDDGSSGHQAGDPVLFYNFPRRYTAFFYCNTVSFMLSIALIILLVNPHLYRPAIRSYALSVCTGAGMFGLMGAYAAGSTQHLKTSIYIFVLGAVVLFVIAVLLLVFLDNRKANVTSSQPKPQNDDDEERKTKQAKRKYLMLLGILVASVTYQAGLDPPGGAWQHSGSGYDAGNPIMHDNQRHRYLAFFYSNSTSFVASIVVIIILLLEWKDGKKWSLKVMNTTIVLDLLALLVAYAAGSSRGWKTSVYVVALVIAVLTYVAIHIVLAISCCHSPQEEEHGVIAAQATRVAPISV >SECCE2Rv1G0106100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:629660624:629661481:-1 gene:SECCE2Rv1G0106100 transcript:SECCE2Rv1G0106100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGPAAVSLLTNIAKAAAGLGAAASLLSASLYTVDGGERAVVFDRFRGVLPDTVGEGTHFIVPWLQKPYIFDIRTRPHNFSSNSGTKDLQMVNLTLRLLSRPDVVNLPTIFTSLGLEYDDKVLPSIGNEVLKAVVAQFNADQLLTDRPHVSALVRDSLIKRAREFNIILDDVAITHLSYGADFSQAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESESARLISEATAIAGTGLIELRRIEAAKEIAAELARSPNVAYIPSGDNGNMLLGLNAAGFGGR >SECCE5Rv1G0305540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:73874908:73876694:-1 gene:SECCE5Rv1G0305540 transcript:SECCE5Rv1G0305540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPAPSAALGGLRAPPPFAAPRRRIPSPSTSPLGAARPLAAMMGSPLTAAVVLGRMTAHPSASARACCELSQGT >SECCE5Rv1G0356900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742695332:742697064:1 gene:SECCE5Rv1G0356900 transcript:SECCE5Rv1G0356900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSEHSESTAEKLMGNIMDTIADKLPKQKSDNIMTNIMDTISDKLPKQRSGRFDPGSVADVKNKMFGRQRSLHGVLGGGKSADVLLWRNKKISSSVLGLATAIWVFFEWLDYHFLTIISFALVLGMVVQFVWSNFSRSSDVPRVKLPEDLFVNIAVAIGAQVNKFLGFLQDVSCERNLKHFVLAIVGLWSASVAGSWFNFLTVIYIGFVCAHTLPVLYEKYEDQVDDFLYSILGLLRDQYQKLDSGVLSRMPTRRNKKSE >SECCE6Rv1G0433860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:762124519:762128981:-1 gene:SECCE6Rv1G0433860 transcript:SECCE6Rv1G0433860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRGRSGSRSSSGSSSRSASSGSSRSRSRSRSLSSSSSSPARSRGRSPPAAKRSSPGAKKGRSPSPPLKKGSPSRKGHSSSPPPKKASSPRKASPPPQSVVLHVDHLSRNVNEAHLKEIFGNFGEVVNVELSMDKFVNLPRGYGYIEFKKRTDAEKALLYMDGGQIDGNVVKLKFTLPPRQRASSPLKPPPPPPKRDAPQNDKVAISAEKDAQQRPGGSASPRKKPPSPPRKRSPPNRRAESPRRPPNPSPRRRADSPTRRRPDLSPVRRGDRRPGSPIRRRSPSPRRHRSPMRRGRGSLSPRRRSPGPPRRSPGPPRRRSPPPRRLRSPPRRPPPPPPRRHSRSPPPRRPLHSRSRSISPRRGRGPPLRRGRSDSSYSASPSPPRKGPRRVSRSRSPRRPPRGRSGSSDSGSSSSSPTPRRR >SECCE1Rv1G0008530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:47477905:47478435:1 gene:SECCE1Rv1G0008530 transcript:SECCE1Rv1G0008530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRVGTVSLVLLLLIVVSVCAAGGRGLAEEKIQKEHHDAAHKEGTTAPGSHPRNLMVKTNDYGRYDPTPAFSRPRFKPIPH >SECCE5Rv1G0297960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:7080430:7081551:-1 gene:SECCE5Rv1G0297960 transcript:SECCE5Rv1G0297960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALHQDVLARILLLLSCVADRVRASAVNRHWRRVALQNPSPLPWRLNPSTARTEIYRIFGGFAVPRPLLSDEGRGPRFCGSGPGGWFAVSSQDPWCGHALRNLRTGQRVALPDRVRIQLESGAITCPMVIRAAVMSAAPLSGACVVAAITSSQTNLAFWRPGMDRWLSAPVGTGPARRSAEDITYHDGWFCAVDADDNLVCYKPEVAPAGDGDDAPSLTIRHHDYEIHGRRTAATGEIVSRYLLPSASGGDLLMVKRYIARGGSGTRRFKVFRLQEGLPASWRAYKMTRQVLFVGRASSKAFDTGHDGNPGYIYFLDDVYPGGPLRVVQQEEYPCADAGGWRYSAPHEIQRCLPWSPPSDTSPSIWYHQ >SECCE1Rv1G0039310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:547300863:547301273:-1 gene:SECCE1Rv1G0039310 transcript:SECCE1Rv1G0039310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE4Rv1G0223270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:67848018:67851839:1 gene:SECCE4Rv1G0223270 transcript:SECCE4Rv1G0223270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLHSSLGILLPALLLVLASSPPAAPLSPDGLALLAFKSAVTDDPTSALSAWSANDTDPCSWPGVSCVNTSSSETRVAGVAVAGKNLSGHLPPELGSLTLLRRLNLHGNRLSGAVPPALSNATSLRSVFLYDNNLTGAFPASLCDLPRLQNLDLSKNSLAGPLPAALGRCRQLQRLLLSNNGFSGHIPAAALPQMESLQLLDLSSNSLTGVIPPELGKLQALAGTLNISRNHLSGAVPPELGRLPATVTLDLRFNNLSGEIPQSGSLASQGPTAFLNNPGLCGFPLQVPCRAAPPSASSTPPPTTTGSGGSARGPSQPMKTSLIVLISVADAAGVALIGVIVVYIYWKLRDRRRDGDDDDEEEGRGLFFCPCMRANTYGDSSEGSDAGDGEKKCGGGGGGGGGGGEDGELVAIDKGFRMELDELLRSSAYVLGKGGKGIVYKVVVGNGTTPVAVRRLGGGTAAPERYKEFAAEAGAIGRVRHANVVRLRAYYWSPDEKLVVTDFINNGNLATALRGRSGQPSLSWSLRLRIAKGAARGLAHLHECSPRRYVHGEVKPSNILLDADYNALVSDFGLARLLTIAGCTDSAGAGAGGIMGGALPYVKPPAPDRPNAYRAPEARVPGSRPSQKSDVYSFGVLLLELLTGRSPEQASPSGSSASFSGSGAGAAEGQQQAPEIVRWVRKGFEDARPLSELADEAVLRDAGARKEVVAAFHVALGCVEADLERRPRMKAVSDSLDKIGS >SECCE5Rv1G0346120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660402044:660402469:1 gene:SECCE5Rv1G0346120 transcript:SECCE5Rv1G0346120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKRLAQLAKKWQRVEALGRKRLTVSAKEDQDFCSSVPAKGHCVMYTADGRRFEVPLAHLSTTVFGELLRMSQEEFGFANEGKITLPCDAGVMEYVMCLLRRNAPAEVKSALLSSMVMSCHYTGWAMPIVGASQQICCL >SECCE1Rv1G0050960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:650628937:650632850:1 gene:SECCE1Rv1G0050960 transcript:SECCE1Rv1G0050960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWLKSVLFGKKTSRSGSAKTKDLSKAGGNRGYVAAGKEPGFSESSPVISEPVLVTPRNNDAVPEAGKGENSSSQGEAAAQPEVNYDLEKQSTVGSDVLSNDPERLKEEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAASTLRGTWLIVKFQAIVRGRNVRLSSDAVQFSWKLAEQKSVGTKPDAWRERLASNAFARKLLASPILVEALHFQYDERDPNSAFNWLERWTISRVWKPVYQTKRNAIADAKPQTKRASYAMETESGKLKRNARKSSAMSIEPTPPTNMPLETEKTRRNPRKFTSTPADSVPDGQLTELEKVKRSLRKVTNSMAEASKVSSPATDTPDHPEIQCEKPQRTAQEVPVYPEVQEPHHDDLLENAKVDIFVPDLKPEVEVTPYAVTTEEKLDEPTVVATAAEAMPLQDIDNEENALVNDAEQRSREEPLSAESLKGGNRRSSFSTKPEYPENGSKNSPAVPSYMAATKSAKAKLRGQISPRLSADSAEKTVYTRRHSLPSPANGKQNSHSPRTQRPIHPGTKEGAKVDKSMLSSRDAAERPMKAEWRR >SECCE4Rv1G0245190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:525687265:525690062:-1 gene:SECCE4Rv1G0245190 transcript:SECCE4Rv1G0245190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYDGGLAGVYPEEEDDRLADLAGDSDDEDDEYVQSISKASEDTWATDVLKGKDIQGIPWERLTITRDEYRKSRLEGYTNFENIPNSGQLSEKVCTPVEKGQLYYEFAHNTRSVRPTIFHFQLRNLVWATTRHDVYLMSHMSVLHWSPLTSEKHEVIDLQGHVAPCEKHEGNFYEGFYRTHVSTLAVKNNLLVVGGFHGELICKFLDREGISYCSKSTHDDNGITNCLEIFEKPSGSVHFLASNNDCGLRDFDMEKFQMCNHFHFDWAVNHTSLSPDGKIIAVVGDNPDGVLVDASSGKMIHELSGHLDYSFASAWNPDGRTFATGNQDKTCRIWDARNLSKSVAVLGGNMGAIRSIRYTSDGKFLAMAEAADFIHIFDVGSGYDRKQELDFFGEVAGISFSPDTEALFVSVHDRNYSSLLQYSRRRFYGYLDSAL >SECCE4Rv1G0225030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:87087001:87096561:-1 gene:SECCE4Rv1G0225030 transcript:SECCE4Rv1G0225030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTDRPSSPPQPASPGVGAVALSSAIGELLRFVLSSHVAAPDPALPLSISYCSRLLEDDLCDKLATELAGCAEEGRIPRPPVVAGAVGTSAEENVSRKREGEWEAVLREKGAELKRIYDAVEFVLHVQEPYFTQLSAGSKNVEGRLAAGNYNRITQGSLLLFNKCLVLEVEAVRKYSSFSEMLQTETISNVLPGISSIEEGVKVYRKFYTEEKENSYGVLAISVSKPQIQPYITMTELLAGLGYDGLGRLLGLANTSGTVPDGLPPPKSMLISSCMKLHKPTVKSCSLTDAARALAKHVHRSRDGWWGCLHGSDPKKNQLSSEVIDRLLRECCWINIHLTQPNRPVFEIRVHEGYGARWSHDGLKFIGFLEPYTPDGFLNGWKH >SECCE4Rv1G0242250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:471751695:471769031:-1 gene:SECCE4Rv1G0242250 transcript:SECCE4Rv1G0242250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSHILAARHLLRGSRFQPSTVAAASSVFRRLDGTNGPPVPKPLRNPHLGEPGPNCRVFPGRGAPFAHLNCLLPDSTYPPHCARPLRDLRGHAFSTAANAVTVGKPADDNVHKDAPKKDIDDQIADAQILRNLWKYLMLNDSPDFRFRLVLSLGLLVGAKVINVQVPFLFKLAIDWLAALGGAEASLASFTDANATMLALFASPAAVLIGYGIARSGVSACTELRNALFSKVTARAIRSVSRTVFFHLHELDLRYHLSRQTGALNRIIDRGSRAINYILTVMVFNVVPTILEIGMVSSILAYQFGSTFAWITSVSVATYIAFTLAITQWRTKFRTAMNQADNASSTVAVDSLLNYETVKYFNNEQFEVKKYDKYLKSYEDAALKTQSSLAYLNFGQSVIFSSALSTAMVLSSYGIMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIKDEPHAQPLQFKGGCIEFENVHFGYVPERKILDGASFTVPAGNSVAIVGTSGSGKSTILRLLFRFFDSTSGSIRIDGQDIQGVTLESLRKSLGVVPQDTVLFNDTIKHNIQYGRLSATDEEVYDAARRASIHDTIMNFPDKYDTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLMSLSVDRTSIFIAHRLTTAMQCDEIIVLENGTIVEQGPHDFLLSKGGRYAELWSQQNNSEASDASDVSLEV >SECCE7Rv1G0499030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:649904390:649917543:1 gene:SECCE7Rv1G0499030 transcript:SECCE7Rv1G0499030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGAAAAAAGGGGASRPPSFASQTNALLRKNLIFQKRNRKATIRLIIVPIYLCVLLSVLQRVINNLLDKPKYKCGCMCVDVNGTGPCQNVCGIQYSTLDQAGSCPIPNPPEWPVLLQVPRLEYRAIQDSSESCRKSQSCPAAIPFTGANETLSTTVMQNMFTDSPLSNLSDNASISGLLLGTDMPGTSTGFIEPAFISDVPIYVLQSECKFRDSVTLRTTIDAINVQKEIKCVQGLPFWRNSSRTINEETFKGYRKGKIGEGISEVAMAYDFQDSNEKRFNVLALYNSTYQNISYVPMPFGLLRVSRSLNAVSNAYLQFLQGQGSGIKMLLEFTKEMPKQATRLTIDFSSLIGPLFFEWVVALLFPVMLTYLVYEKQHKLRTMMKMHGLGNGPYWIIYYAYFLILSTVYLVLFVIFGSLIGLNFFKTNDYSIQFVFFFSFINLQIVLSFLAATFFSKVNTAQAIAYLYIFGSGLMAGSLIRNFLEGGKFPRHWITVLEIIPAFSLYRGLYELSQYAIRASETGNPGMRWSDLNDRTNGMRDVLIIIIVEWLVLLPVAYYFDYAASVGNSSGLLSIIKRLLRKNPTWRRIAVNEVADNDVHVEMEKLDIIKERETVDQVLKQRNSGYAVVCDDLKKVYHGKDGNPDKYAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGFSKPTSGNAFVQDFSIHTDMENIYNSMGVCPQNDMLWEMLTGREHLQFYGRLKSLSGSALDLAVEESLRSVNLLLGGAAEKQVRKYSGGMKRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWTAVKQAKQDRAIILTTHSMEEAEVLCDRLCIMVDGRLQCIGRPKELIARYGGYYVLTMTTSSEFEREVEDLALKLSPDARKVYHLSGTQKYELSKQQVRIADVFMAVENLKRRVEVQAWGLADTTMEDVFVKVATGAQSSDELS >SECCE3Rv1G0181780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:618022424:618023017:1 gene:SECCE3Rv1G0181780 transcript:SECCE3Rv1G0181780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPYRFPALCEEEPTRRSARQSCGTCGASAVANCVALCCCPCAVVSCFTLALVKAPYVAGRRWVRRAKTRRISRGVLGKTRRVRDLDDQLYQVEGLGGSGAAARASKEEDWGGLGGRAAASGWWENTNDVNLLGDGRMRVSVTEKAWMEMYDVGHWGFGRLSFSLAREAAPAPAVQVVRDDPEPEEDGTVAPGR >SECCE5Rv1G0299940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:20803303:20807620:-1 gene:SECCE5Rv1G0299940 transcript:SECCE5Rv1G0299940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGVERSDNKRSRSGRDDPLALPEKENVIVWTDEASLPDPDAWILSDADLAKRERDEQLAPPPVKIPTLDYFKPPTRFHTAEVFAVRDSSEAALSAARFLLGVSSSLDGEPLRRCSGFWVDWDEEKKTGLVLTTARLIRTKDSPDSGWSGGEEYAPKADLTVHLLNGTSAKGDLVYYQPHYGIAFLNVKVDQPIKLPSFRGEDVKFAQDVFRLGRDDSLNLRITYARAEYKNPNMYRRYHNAHFRSPDDHGDDNEYDNGGLVIDLNENVVGMVNLPKRFGSFIPSSIFLNCLDSWKKYGCIARPHLGMMFHAIKLLEPAHVDMLWRMYNIDHGLIVQEVSKGSNAEMLGIKKGDVIESINGKPVSTTIELENTLMITCKGPSGAEVHISVVVFHTLKKQRSTVQWTAELSELGEVLTS >SECCE2Rv1G0112990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:718162513:718163940:1 gene:SECCE2Rv1G0112990 transcript:SECCE2Rv1G0112990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEKSTEGEGEVEGKGEGSQRVEAFLDILGRVTTGEVEAALTSCGVAPTAEAAEQVLKSTTCYTRPKSAVRFFRWARASVELTPLAWNLLVDILGKAAMFDPMWDAVLSMSQEGGGLLSVATFASMFASYCARGNFKEAAMAFDVMERHGVTPDAVALNSLLAAMCRRDGGGQAAWELFERYKTKVAPDADTFAILLEAWEKEGNVPRAKSTFGEMIVRVGWDASNMPAYDAFLSTLVRGDQFTEAFKFLQVMRTKGCLPGLKFFANAIDLVVRKGDHANAVAIWQIMVSDAGLVPNLPMYNAVIGLCSSVGNTDYAFQMLDEMPLNGVFADSVTYNAILEGLIKQRKARETEGFLAEMSKNEQLPSASNCAAAISLFSQEFNPSAAVDVWHCIVEHKITPAEESAKELIAGLLDFSRFAEVKKYTDEMLDMGIELPQSTIEKMKRAFYKAERHHTYDQIARRLKRRQQHADG >SECCE7Rv1G0482940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:316151465:316158177:1 gene:SECCE7Rv1G0482940 transcript:SECCE7Rv1G0482940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAARRSGCVLGKLTQTLRPAATAARSYSATPKEMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKITKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPIKAEVLDSSFSVPIGKAKIEREGKDVTITAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRAAINTSVRKTNRLVTVEEGFPQHGVGAEICMSVVEDSFEYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRSSSMAATA >SECCE5Rv1G0337980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:595521905:595524391:1 gene:SECCE5Rv1G0337980 transcript:SECCE5Rv1G0337980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQPEQLLPEAQIDDGSAASLAMGKGSICHQEDNSSDGIEGEYAGPELPEDMWCHIHSLMTLRDAARAACLSHAFLRSWRCHPNLIFDQGILFPLRHVLASTVNHIFENHSGIGVKTLKLDFSCYHEPKDYSYLHRWLRIAVTPGTEKLAVLSASDVAFNFPCSILSDGNGSSIRHFHLVDCGFHPTVSLVCMRSLTVLHFDRVAVTGDELGYLFSSCVALEQLKLRRCSKITCLKIPFEMQRLSYLQVSVCHKLRMIKNEAPNICSFDFLGDRIEISLGDSLRLKNLDVLCNNVLRYAREEFPSSAPNLETLSIFSRHEVADTGMAFAPSKFLHLKYLSISIAVAYDFFSLVYFLDAAPSLETFKLHVLIGLRPADELILQDDPSSLRRMPRYRHGKLRSVKISRFYSSKSMVKLVSHILENSVSLECLTLDTTDCSFRCSGDRSTRCSDLFRPWEADKAVLVIEKYIKGKVPSTVKLDVVEPCNRCHVILLDGGGWIEA >SECCE3Rv1G0213900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963217971:963218642:-1 gene:SECCE3Rv1G0213900 transcript:SECCE3Rv1G0213900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVLSSGHPQSLRIIQHHHQHDISLCPRRSSINAHPPSRTRSHSSSSFRRGAVVPVRAVADDGGESSSGKDDDDEEKRRKDDEASASASASSRRNREELERLVGAPGDDGFSGLDLATLIRKRYGRSYDVTLIRKQFMGRNLLAMNVMWKYREQRSFPLTEEEYLLRLDGVATTLRSWGAVAHVRNSLETTKDRPRIGKAVSIFIDVDSAGGGKRSDDWIYK >SECCE7Rv1G0506880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:755104776:755105822:-1 gene:SECCE7Rv1G0506880 transcript:SECCE7Rv1G0506880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDALLASSQQAKLPLALFTFESNKKKQLLFDPSTKKIRGIISTAFADATCVFENGGWLLMLQHKEDGFQEQQEQTIFLVHASTGRRLELPACPSVIDGLFVFYVGSSEVPLVVVCIETISGVPTVHVACPGDIYWSVYKNIEDGSHLLLDPHRRIKCTLIIDVVLLGKQAVCIDYHGKILIFDVTEMSWRTALSKGWNERDAHFLVASGEKAVLISCRRFRGRFCDFKFFKLDAEALEWSPLDDTELDGCSWFLYRGRSILAREEGKRKVYTFYPNQWGGSTLIDADSSRKRKVAHMKSLSCREKSITNIFVHDLEDGVVRKVLPASIVTEERHWVGSSVFGGPFQ >SECCE6Rv1G0432680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:753769679:753772122:-1 gene:SECCE6Rv1G0432680 transcript:SECCE6Rv1G0432680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C2 [Source:Projected from Arabidopsis thaliana (AT2G26870) UniProtKB/Swiss-Prot;Acc:O81020] MAVPTPQRRLLALLLVLASSGAVARAGPIKTVVVVVMENRSFDHMLGWMKRLNPAIDGVTGAEWNPANASDPAAGPRVYFGDGAQFVDPDPGHSYQEIRQQIFGSDDASGPPRMNGFVQQARSIGGGNMTEAVMNGFAPDSVAVYRELVAQFAVCDRWFASVPSSTQPNRLFVHSGTSGGATSNNPELLARGYPQRTIFDNVHDAGLSFGVYFQDVPAVLFYRNLRKLKYILNFHPFHNAFRDHARRGSLPNYAVIEQHYMDSKDHPANDDHPSHDVYQGQMFVKEIYETLRASPQWNETLMVVTYDEHGGFFDHVPTPVDGVPSPDDIVGPPPYNFTFNRLGVRVPAILISPWIEKGTVVHGPNGSPTPTSQYEHSSIPATVKKLFNLPQDFLTKRDAWAGTFEGVVQTRTEPRTDCPEQLPMPTRIRQTEANDEAKLSSFQQEIVQLAAVLNGDHQLSSLQERIRERMNVREGTSYMRSAVRRFFEAGMSAKRMGLADDEQIVKMRPSLTTRTSSSVQDDRP >SECCE4Rv1G0234100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:266524701:266533797:1 gene:SECCE4Rv1G0234100 transcript:SECCE4Rv1G0234100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQDMKDEFGNISRHGLRSSRSHRAATGAGAPRVASVGLPDALEGTYWAQLPPELLREVLVRIEDSQGCWPSRRDVVACAGVCRAWRGIMKEVVRVPEASARLTFPISLKQPGPKDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTYTDYLIYLDMGDMSEGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSACVIGLNQVSPRLPAGSYPVSHISYELNVLGSRGPRRMNCVMSTIPASAVEGGKAPTQTEFPLGNLDSFPSIPFFRSKSARIDSAHGQAQDEEKLMLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGAAGQEHDKVILQFGKIGKDLFTMDYRYPISAFQSFAICLSSFDTKIACE >SECCEUnv1G0529110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6469819:6475068:-1 gene:SECCEUnv1G0529110 transcript:SECCEUnv1G0529110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPLPSPSRALWRWGGGTRRSRILSGWQRGSTAPSGCSRRDDDPGVFLTWEDVCVTVAGGGQPVSLLSGISGHAGPGEVLAIMGPSGCGKTTLLDTLAGRLGPGVTETGLILINGRREKLAFGTSAYVTQDNVLMSTMSVREAVYYSAQLQLPGTMTAAEKRAHAELVIQEMGLGDAMDTRIGGRMSKGISGGQRKRLTICIEMLTRPRLLFLDEPTSGLDSAASYHVMTHIGRIAAGEGMTVVAAVHQPSGDVFDLFHGLCLLAYGRTVFFGAASDATQFFNQSGFPCPRLRNPSDHFLRIINKDFDEEIVETSQARRKTAAEAIDILTNAYQSPAYSEKAMNRIAEMKGIGGPPLIKREQASFSTKLFGLTRRSFVNMHRDIAYYWMRFGVYLGFGICLGTIFYQVGHSNSPIKSRCDAIMYTTAYLTFMAIGGFPSFLEDVKVFRRERLSGHYGVAEFVISNTLSATPYLVVISVIPGAVLYYLTGLTKGADRFAYFVTNLCMCTLLVESMMMIIAVIVPDYLMGIIVGAGVQGVLMLNGGFFRLPNDLPKPVWKYPCYYISFHKYAVQGLYKNEFIGQTFPIDQQAETISGLEVLQDKLQVEMGYSKWVNIAILCGMMVVYRVMFFAIVKIAEEVRAKQRVMRWKWCK >SECCE3Rv1G0204430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:894687784:894689409:1 gene:SECCE3Rv1G0204430 transcript:SECCE3Rv1G0204430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVVATYYYPPMEVAAAELGHTAGSSKLDDDGRNKRTGTMWTASSHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSLVTYFTSSLLADCYRSGDQSTGKRNYTYMDAVNANLSGVKVQICGMLQYANIVGVAIGYTIAASISMLAIKRANCFHGNGHADPCKVSSVPYMIIFGVAQIFFSQIPDFDQISWLSMLAAAMSFTYSSIGLGLGIVQVIANGGMKGSLTGISIGTVTPMQKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESTVMKRATLVSVAVTTVFYMLCGCMGYAAFGDAAPGNLLTGFGFYEPFWLLDVANVAIVIHLVGAYQVYCQPLFAFVEKWAAKRWPESTYITGEVEIPLFRTYKVNMFRATWRTAFVVATTVVSMMLPFFNDVVGFLGALGFWPLTVFFPIEMYVVQKKVPKWSTRWVCLQMLSVGCLAISLAAAAGSIAGIKTDLKVYHPFKT >SECCE6Rv1G0429820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737895316:737901214:-1 gene:SECCE6Rv1G0429820 transcript:SECCE6Rv1G0429820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein recA homolog 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10140) UniProtKB/Swiss-Prot;Acc:Q8RY99] MGVLSSPPALLRRAAALVSGAGRRYSTPYVPSHLRRLPLQSGRSGAYCRFWAQGCFLSTTADMQLDYESEPPLDDAKSLEKVATLNGAVSQLASDFDRDSNLCLERFSRTRRASVISTGSLKLDLALGIGGLPKGRMVEIFGKESSGKTTLALHVIKEAQKNGGNCAYIDAENAFNTSFAEEIGVDIDKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPKCELEGEIYMKFKDVQSRLMTQALRKIQYTLSRSETLIIFVNQVRTKRSSDPSSGLYKEVTCGGNALGFYSAIRMRTSRRKLQYSKDEATGISIAVQIIKNKLVPAALKEAGLNIGFGKGICHESEILEMASSHGVIVKEGSGYWINGKFLPGKEEAEKFLLENDVVADDICSTMRRQLFET >SECCE3Rv1G0204650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:896042904:896046509:-1 gene:SECCE3Rv1G0204650 transcript:SECCE3Rv1G0204650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRAPPTSLRLATPPATASFRPAALRTSFLNGSVSLRAVQVRQPNVNRFKCNAIRSNLFDRLTRVIRSYANAILSSFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQADADWYRRAQLALQKGEEDLAREALKRRKSYAENASSLKAQLDQQKSVVENLVSNTRLLESKIAEAKQKKDTLKARAQSAKTATKVSEMLGNVNTSGALSAFEKMEEKVMTMESQAEALGQLGADDLEGKFAMLETTSVDDDLAQMRKEMSGSSLKGELPPGRTTAGSKSGSPFKDMEIEKELSELWKKAKEY >SECCE6Rv1G0382590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:44669378:44673740:-1 gene:SECCE6Rv1G0382590 transcript:SECCE6Rv1G0382590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRQTTVHPVAAPPLQVELAGAAPPGLWMNSPQGSAGTIGGVGLRLLQAFFAAASIAIMASTEVFSSFSAFSYLVAAASLQCLWSLALAFVYIYAILVKRSLLNLRAMLIFSIGDWITGTLTLSAACASAGVTIVIDNDKKICAGNLCARFMTAVAMAFISWFALAPSFLFNFVLVVTTFASLLAP >SECCE6Rv1G0438380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:789147694:789149050:1 gene:SECCE6Rv1G0438380 transcript:SECCE6Rv1G0438380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTWWPDLPPELLREVSSRLHVPADFVRFHAVCKPWRDSHGPSTTTRAGQLLPWLLLAPSDNGDDFLKFRCVFSNTNYVVAPQSMSGGSATGMNWVEGADGTAVRYFTAFDPHGTTHHDPLAGGPPTHLPDENVLRRLEENPTGIIYNDGAVLLFSKHDSIDTSTAEFRAALLRPGDTVWMFIHRTLESPSDGEFCVAYHNGKIHVTVEDSLWHIVTTQSTAETISDDVLVPRPQSMPHLCDGYFCEHSYVLESRGELLWVSVHILMYYPDRGKNGVNDLVDALSMSMHTLEEVTKGTEKLMRLVSKNENLMWTKKDGRSLEDRVLFLGWPNSFVVDASRLGVSGGFAYFLYYDDQGGRPPHERHGVFRYNLVDNTTEFIEWLPQGWDSDMCMWLLPQLTIAPVHQHGVAAMTSRISNTLRLNCLVAM >SECCE6Rv1G0394810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:306436567:306460752:1 gene:SECCE6Rv1G0394810 transcript:SECCE6Rv1G0394810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSGALDRRSSARWRLLLLCAFSFGLGMLFTNRFWTAPNTNNHIMSQHRRQDQELQLVSEDCNTKRKHGGHKDIMGEVTRTHEAIQLLDKSISTLQMELAAKRSTLELARSGVPVTSETSQPRKKAFVVVGVNTAFSSRKRRDSVRETWMPQGEKLLQLEEQKGIVIRFTIGHSATSNSILDKAIDAEDAQHHDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFFVKVDDDVHVNLGMLATTLARHKAKPRTYIGCMKSGLVLADKNLKYHEPEAWKFGEDGNKYFRHATGQIYAVSKDLATYISINQPILHKYANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNICVASFDWSCSGICKSVERLKDVHARCGEGDSSVWSDLI >SECCE5Rv1G0349180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682249733:682252148:-1 gene:SECCE5Rv1G0349180 transcript:SECCE5Rv1G0349180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQAAALTALLLQLCFSATAVARVTGAAPGCPTICAGVSVPYPFGIREGCSLPGLNLTCDRSLGRERLLIGGAGGTLEVVEISLANSTVRVMDTAGAVKLTGSGNGTGLARLAANGQWGGLGADAFAGPFVVSGTRNRLVLTGCNQQATLLGEGGNVIVGCSAFCPVTDMFLSTISSEEVAPCAGVGCCETPIPIGRPSYSVQLAGLDLNQEMDQQLPIAVRIAETGWFEGNSAGLLNKSLPDSSSTTAIPVVLEWAVDSKRLLQPQDIATGCPQGQEAARSVCRSSLSTCLNVTNSYRTGYVCQCKRGYQGNPYLAGADGCQDVDECAMPDKFMCFGVCVNTPGAYQCRCPPGSRGNPQIKDGCVKSYLGLGVGIGIGCGAGLLLLVLAAFFVTRKLKQQRAKASKMIFFRQNRGHLLQQLVSQNADIAERMIIPLVELEKATNNFDKAREIGGGGHGTVYKGIMSDLHVVAIKKSKVAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEEPQPSLPWVERLRIATETARAFAYLHSAMSIPIVHRDIKSQNILLDGTLIAKVSDFGASRCIPIDQTADATAIQGTFGYLDPMYYYSGQLTEKSDVYSFGVLLMELLTRKKPCSYRSSEEKSLVSYFTTLLATDDLASLLDPQVVLEGGKMVEEVALLAAACVRMEGGQRPTMRQVEMTLENLRVPHENVVMSGMDAPSCAMIKGGSTEEVSRQYSQEEEYLLSSRYPR >SECCE6Rv1G0387850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:124580226:124581233:1 gene:SECCE6Rv1G0387850 transcript:SECCE6Rv1G0387850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGLLSGRQLLGRPLQSSISRSSSSRKSAFVVRASSSPPAKQNDNRQLWFASKQSLTYLDGTLPGDFGFDPLGLSDPEGTGGFIEPRWLAYGEIFNGRTAMMGVVGMIAPEALGKVGLVPPETAIPWFQAGAIPPAGTYQYWADPYTLFVFEMALIGFAEHRRLQDWYNPGSMGKQYFLGLEKYLGGSGDPAYPGGPIFNPLGFGTKSEKEMKELKLKEIKNGRLAMLAFLGMSLQAIFTGVGPFQNLLDHLADPVNNNILTSLKFH >SECCE2Rv1G0088480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:245780864:245781625:-1 gene:SECCE2Rv1G0088480 transcript:SECCE2Rv1G0088480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELDRVLMLRAAVLAVVTGFAAAGFISNDALQSLSHVAAGRSLLQAKKECPVTFEGANYTLITSKCKGPLYQPALCCAALTEFACPYDTYINDLATNCAATMFSLIHLYGKYPAGLFANTCKGDNLGLKCPEDVPQVQPGEEGKSSAAIATAAQAALVAASAALMSLLIVMS >SECCE6Rv1G0451800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873735425:873736494:1 gene:SECCE6Rv1G0451800 transcript:SECCE6Rv1G0451800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLQRTPAWFLWLAVLGAIYVAAFTSRLLVHLAHCLRRPRDLRRYYGAWAVVTGPTSGIGRSVALELARRGLNLVLVGLDTADLQEVSDAIRSRHPVQTRTVLFDLSLVSTPQGDEALRRLRDVVEGLDVGLLFNNAGVMNPSAAFLHEGDAEALMRMIRVNLWATTEVTAAVIPGMVARGRGAVVSMGSATSEAVSSFPLHAVYSGTKRYVARLSRCLAAEYGGRGIDVQCQAPMFVATAMIAGFSAAWRPAPLVPTADAYARAAVRWVGRGGPLCVPSLRHRLLWCLLAAVPGRVQDWACLREGLRQRKTSRRSSGGVRVPVAE >SECCE1Rv1G0058760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701844047:701844547:1 gene:SECCE1Rv1G0058760 transcript:SECCE1Rv1G0058760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGAGRQRHHGAVARPRRRFEAAGGEEEGDGEAATASPGCVCRSCAAVAVADCVALACCPCAVVSLLGLALVRAPLAVGRLLISARRRRRALLRGKRVRDVAAPAPSDDDGEKGAQKEAGVVETTAAGGDVGAGDDHEAELAWLEEMYRAGRWGFGRVSFSAKTP >SECCE2Rv1G0118500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:782935665:782939211:1 gene:SECCE2Rv1G0118500 transcript:SECCE2Rv1G0118500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDLLFNLRNLFYLGAYQSAINNSDVPGLDADAAAERDVIVFRSYIALGSYQLVISEIDSSAATSLQAVKLLALYLTGDKEGAISSLKEWLSDSAIGSNPVLRLIAGIIFMHEQDYNEALKHTHTGGTLDLHALNVQIFLKMHRSDYADKQLKIMQQTDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFEEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRYFSQLKLSHPDHVLVKTTTSAEDNFERALQAVA >SECCE5Rv1G0326280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:476089757:476090131:1 gene:SECCE5Rv1G0326280 transcript:SECCE5Rv1G0326280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDTPVPEGEEPKSAIEIVEEVLKTEVKQSTFLRNVGLQSSRNNSGKATAEVAAHVRDLEQKLERSELQAEVMQEELAAIKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFGAKAV >SECCE4Rv1G0294080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:888064337:888065782:-1 gene:SECCE4Rv1G0294080 transcript:SECCE4Rv1G0294080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLHAMDKAEEVLQQMFERRILPNTTTYTSLIHGYYSLGQCEEVDRIFKEMSRHGVQPDIVTYNTQMDYLCKSGRSAEARKIFDSMISLGQKPTLTTYSILLHGYAMEKSFDEMYCLIDLMVGNGIAPNHHVYNILIYAYAKEETVGEVMHIFTKMRRQGLNPNVASYGTVIDLLSRIGRMDDAISQFNQMITEGLAPGIKVFTPLISGFCTCGKWEKVPELFSEMLDRGICPDTVFFNTIMDHLCKEGRVMETQDLFDLMVHMGVKPDVCTYNTLIGGYLFDGKMDEVRKLLDNMVSIGLKPDVITYTILIDGYSKNGRIDDALVVSREMLSGKVKPCVITFNIMIGALLKCGRKAEAKDLFDGIWANGLVPDIITYSLMIQKLIEEGSLEESDDLFLSMEKNGCAANSCMLNAIVRSLLRKGEVPRAGTYLSKIDERRFTLEASTTSLLTALASGGKGQEYKALLPEKYHSFLEQGTD >SECCE3Rv1G0154350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59823251:59823639:1 gene:SECCE3Rv1G0154350 transcript:SECCE3Rv1G0154350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLKKNTSFLCFVATLMVVMATTLLLSSCDAHIEADETAAFPLPASCYSIFFPNCTDDKCKEFCGGAGKPPAPKAFCNDNSNCCCPVIQL >SECCE1Rv1G0032860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:460519503:460524027:1 gene:SECCE1Rv1G0032860 transcript:SECCE1Rv1G0032860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIAIINEDRCKPKKCRQECRKSCPVVKTGKHCIEVTPASKTAFISEELCIGCGICVKKCPFGAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGANGIGKSTALKVLCGKLKPNLGKFDNPPDWQEILTYFRGSELQNYFTRLLEDNLKAIIKRQDIESIPKAVQGNVGQLLDKLDQRGVKAQLCIDLELNQVLDRNVEDLSGGELQRFAIAGTAAQSAEIYMFDEPSVYLDVKQRLKAAQVIRSLLRANSYVIVVEHDLSVLDYMSDFICCSYGKPGAFGVVTLPFSVREGINVFLAGFVPTENLRFRDESLTFKIVEAQENAEEIETYQRYKYPTMSKTIGNFKLTVMEGEFTDSQIVVMLGENGTGKTTFIKMLAGRLKPDTVEGAEIEIPKFYVSHKPQQLATKFQGTVSQLLHQKIPDSCAHPQFRSDVIKPLQIEQLMDRQVTNLSGGERQRVALCLCLGKPADIYLIDEPSASLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADKVIVYEGRPSVDCTANAPQTLLSGMNKFLSQLDITFRRDPTNYRPRINKLDSTKDKEQKSVGSYYYL >SECCE4Rv1G0246170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:541801059:541802124:1 gene:SECCE4Rv1G0246170 transcript:SECCE4Rv1G0246170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGQPATFSYDGFVGDCVPAFMDAGDIGFGYGYDHHSHLIEEECLLGSHAHAHGWELPTGNLVAEAPGNVNAFGGHDLGWTSPAGRMSSSSSVLTFDTQGEDNCAAATWMDAADQLSYSPSTAAAASAPAGSFSFEGCGGNGPTAASPSHKRPRARAQAQQGTEQESTTLPKKQCGGDRKSAIKPTKTSATTSSAKDPQSDAAKTRRERIGERLRVLQELVPNGSKVDMVTMLDKAITYVKFMQLQLTVLETDAFWPAQGGEAPEISQVKAALDAIILSSSMQPRQWS >SECCE4Rv1G0218450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:22358106:22359204:1 gene:SECCE4Rv1G0218450 transcript:SECCE4Rv1G0218450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPNALLLAILGCICLCSSTVLSARELGDVSMVERHEQWMVKYNRVYKDSAEKAQRFEVFKDNVAFIESFNAGNHKFWLGVNQFTDLTNDEFRATKTNKGLKRSGGRAPTGFRYRNVSTDALPTVVDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKLSTGKLISLSEQELVDCDVHGVDQGCEGGEMDDAFMFIIKNGGLTTEANYPYTAQDGQCKTSIASNSVATIKSYEDVPANDESSLMKAVANQPVSVAVDGGDAIFQHYSGGVMTGSCGTDLDHGIAAIGYGMTSDGTKYWLLKNSWGTTWGENGYLRMEKDISDKGGMCGLAMQPSYPTE >SECCE1Rv1G0061360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:715092097:715094632:1 gene:SECCE1Rv1G0061360 transcript:SECCE1Rv1G0061360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMQQQEQRSPGGWEGATVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLNTHQKQMVLDNLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEISTFELKLARLNQQVFTCQIYVDKEGSRQQQMMGASMKHHKHYILPSTGYKRTQAVAHLGTETNQESQPRPYPSAKTLSWHLASDNSPSANGAHKPTFILDDTMPSNLASDGSHLLGKELLASPMRRPLQLNRNTKSDVTQKAGTKDQPGVKHISTFSSFDRPTGREIQKAPASTKSVLASLFIKHKSAKMKSISAR >SECCE2Rv1G0100430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:541304626:541316525:-1 gene:SECCE2Rv1G0100430 transcript:SECCE2Rv1G0100430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPPSTATLPHLRLPLPHSLRLLHRASRHPRILPAVAAASLPPPPPDALLPNQATGLVAASQANFMRVIVSSTAPGLEQHQGTDLLCVVRALLKKIRRRVLVGDRVLVGAVDWTDRRGMIEDVFERRSEVVDPPVANVDRLVVLFSLDQPQPELATLTRFLVEAESTGIPFVLAFNKVELVDDQIISYWRDRLKSWGYDPLFLSVDQRSGLSALEEMLEGQTTVVVGPSGVGKSSLINALRCNQNISEEDPIHQLLEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIVDGGFLADTPGFNQPSLMKVTKRGLAETFPEIRKMLEENESSGCLFNDCVHLGEHGCVVKGDWERYPYYLQLLDEIKIRESFQLRTFGTKREGDVRYKTGTMGVKQAEPRLQLKKHRRVSRKKLNQSILDEMDSDMDDLDDDYQFGVSRRTSKR >SECCE5Rv1G0347530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:667473130:667474674:1 gene:SECCE5Rv1G0347530 transcript:SECCE5Rv1G0347530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKVGSRAGDSRLAVKKSKAEKDPNKPKRPPSAFFVFMDTFRKEYKEKHPDVKQVSVIGKAGGEKWKSLSDAEKAPYTAKAEKLKAEYTKKIDAYNNPQAGEASGDSDKSKSEVNDDDSEGDE >SECCE4Rv1G0260620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:697547057:697554494:1 gene:SECCE4Rv1G0260620 transcript:SECCE4Rv1G0260620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H3K27 methyltransferase, Polycomb repressive complex2 (PRC2) key subunit, Enhancer of zeste [E(z)] genes, Long day repression of flowerin [Source: Projected from Oryza sativa (Os06g0275500)] MSEEERSETSRHVLRVIDSLKKKVTADRFTYIKNRIEDNKIKLSPVTQSTGNSSKIWQRNTSNSTDSNLLTSRQDDVLTSGPRIVVSPADEDGVSSDEESSYATSTVMLGENLAAKNVTRPIKLPEAPKIPPYTTWTFLDRNQRMTEDQSVLGRRRIYYDANCGEALICSDSEDEAVEDEEEKKEFKVSEDCLIRMTIEECGMSDAVLETLARCFDRAAGDIKARYEILNGEKTEGSLKKVPELNAKVEDLYRDKNLNAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTDKQPPWNSMDDGIPCGIHCYKLAPKPDATTVDSDMLDIEEPTHSSDNTRNQLSSNKKKQGSSGKKAKSQQSEGSSTQRVASESSDSEGHPTSTKSPQQSSCQSKVKISPKGGIRKSTNRRIAERILMSVKKGHKEVGPSDSNSGGCLWPRDMKLRSDTRNGHKDSVASPQQNSPSTRSSRKKDAPQMENSLASGEDRNDSTEETKNEHSATDGHDSSMIEDVEENICRQENKCRSWKVIERGLLMKGYEIFGRNSCLIARNLLCGMKTCSDVFQYMSYIENSSVPGTLSMGDCIVKGYIKGHELRVRSRFIRRRGRVRRLKYTWKSAGYHFIRKRITERKDQPCRQYNPCNCESSCGKQCPCLVNGTCCEKYCGCPKMCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGSLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNTVSKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEFVLDAFRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERIGSGEEIFYDYRYEPDRAPVWARKPDAPGAKDPGQPSSGRAKKLAH >SECCE1Rv1G0029120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:409458761:409460776:-1 gene:SECCE1Rv1G0029120 transcript:SECCE1Rv1G0029120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTNELMHRHVQAAAVPPLPTSFAATGGRGMAPQPGQGGRQRAGLPPTPPSGAGSHSLHVADACMQMQDDSAPRKAHRRSRSDVPFGYFPPPSPKTESGWGLPCAGGGGGGGGGGDELFNAFMSMEGMDGLNSSDGDSRGSSMPPANGADSSENESEDYGGVESQVFLWGEAGKKRNAAGEPAAAAARHARSLSMDSLMGKLSFSANGEPSKFSLEFGSGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEHKVQILQTEATTLSAQLTHLQRDSSGLATHNNELKFRLQAMEQQAQLRDALNEALTGEVQRLKQSETSERGGDAGSSSNLAQQMQLRCQNQMLEMHKQQQQQIPFYQLEQPEQNGESN >SECCE5Rv1G0304420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:58992326:58992805:1 gene:SECCE5Rv1G0304420 transcript:SECCE5Rv1G0304420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINIPEGKFYLGDVGYARRSGILPPFRKTRYHLKEFSGRNYPRIAHELFNLRHSSLRVTVERAFGALKNRFKILDQKPFHPYSTQVKLVLACCILHNWILEWGFDEHVHEEEEVEPDDVISPGHGVEAFDNDAWKNKKLEWAEAMWLNRGRCRI >SECCE3Rv1G0145720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8234656:8237966:-1 gene:SECCE3Rv1G0145720 transcript:SECCE3Rv1G0145720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSSSRRLCVASVLLVCLCMLLCKVHGGSSREQHLEEEINTPVPPPSCSARDSLDKDFHPNCWDQPTKTSTAKRQPSSYELYIVYLGEVKHDHPDHVVASHHDMLTTLLGSKEESMASVVYNYKHGFSGFAAMLTPEQAKQLAELPEVINVEKNKIHTTSTTRSWDFLGLNYQMPGTGSGLLKGSKYGEDVIIGVVDTGIWPESRSFSDEGYGPIPSRWKGKCQLGPDWGSNNCSRKIIGARFYTAGVPDVFVKMDTLSPRDHNGHGTHCASTAAGSPVEAASFNGLAEGLARGGAPRARIAVYKSGWGTDGIVGTAATLAAIDDAIHDGVDVLSISLVANENSFGALHAVQKGITVVYAGGNDGPLPQTVRNTAPWVITVAASKVDRSFPAVITLGNKQQMVGQSLYYQAKNSSGSSFVGLVFFVAKCTTDTLNGTDVRGAILVCPHGGTFQHASQYVLNDGGSGLIFAQHTTDILNVTTSDACESIACVLVDLNTAEKIGKYMVNPSSPVAKIEPARTITGKEIPGAKVAMFSSRGPSRDYADIIKPDIAAPGANILAAVGDFYKFNSGTSMAAPHVSGIVALLKAEHPEWSPAAIKSAIMTTARITDKRGMPILAEGLPRKTADPFDYGGGNINPSGAANPGLVYDIDPHDYNRFFGCTIVRRTNVSCDATMLPAYHLNLPSLVVPELRRPVTVWRTVTNVGEVDSVYHAEVQSPTGVMMEVEPSVLVFNATNKVQSFKVKLSPMWRLQGDYTFGSITWRQDQKTVRIPVAARMTIQDFYADVA >SECCE1Rv1G0037630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:528579791:528580683:-1 gene:SECCE1Rv1G0037630 transcript:SECCE1Rv1G0037630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSFPGSVITMASSAAAVGAASSGAAGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELNPYQREDAVNSLAYEADMRLRDPVYGCVGVISVLQHQLRQLQQDLTRARYELSKYQAAAAVAVSASVGCNGTPAMADFIGNTVPNCTQNFINISHSTAIGAGLGFGHDQFAAVQMLARSYEGEGAVARLGVNGGSGGSYDFGYTSAMGVGPVSGLGPLSGGPFLKPGTAGGDERHTAAQ >SECCE1Rv1G0015740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:128645480:128651510:-1 gene:SECCE1Rv1G0015740 transcript:SECCE1Rv1G0015740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPTGGGNGGGGGGLVKNMSLLRLQYYCVLGAVAGAVLFATLRYMPAAGSGAALSTTSALATAAAPAGARAGEHRKSKGMAPAPAKASAKVATKPKEVVVFNFGDSNSDTGGVAAIMGIRIAAPEGRAFFHHPTGRLSDGRVVLDFICETLNTHHLSPYMKPLGSDYSNGVNFAIAGATATPGDTPFSLDVQIDQFIFYRDRCNESITRDEPAPLNMLDFERALYTMDIGQNDITSILYLPYDEVLAKLPHFVAEIKKAIEILHKNGARKFWIHGTGALGCLPAKLAMPRANDGDLDEHGCIAKFNNAAKRFNTLLSEACDDLRLLLKKSSIIFVDMFAIKYDLVANHTKHGIEKPLMTCCGHGGPPYNYDPKKSCMGDSMDLCKLGEKFISWDGVHFTDAANSIVASMAISGEYSVPRMKLTSLVKPAKSKAS >SECCE1Rv1G0053680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:670671692:670672515:-1 gene:SECCE1Rv1G0053680 transcript:SECCE1Rv1G0053680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPTPASAMPAPSPASSSRSRDNRLLVGFDLPASWGYRRPMAFCKDPDSSPVAAAAAAAGDEAQRISSRSPPKAAPVPAAGDAKPAPAADEGAAAEESARKQYYQLRDRRGGRDGAEDAPEHRKLWNMDGGGSSSGGRISAGFSVELTKQEIEADFLAMTGKKPPRRYKRRPKAVQRQINSISPGEFLSEVNRDRYKVNEKGGF >SECCE4Rv1G0294680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:892352991:892353785:-1 gene:SECCE4Rv1G0294680 transcript:SECCE4Rv1G0294680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALFLGGNRLSGRIPTTLLNNSALELLDLNSNFLQMELPSNIGDTLPNLWALSLSDNMFEGQFPASLGNASFVSILYLASNNFTGQLPSSLGNLLHLTDLKLDENKFEAKDNQGWKFLDALSNCGSLQVLSLYDNQLYGPIPDSIGNLSTSLQGLGFDKNYLSGTVPEGIGNLTGLTVLLLNHNSLNGPLGAWVGNLKNLSALSLSDNNFTGLIPSSIGSLTQLTKLFLFRNNFEGPIPRSLGNLRSLLEMDLSSNSLKGHIT >SECCE5Rv1G0332410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:548251851:548253605:1 gene:SECCE5Rv1G0332410 transcript:SECCE5Rv1G0332410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTVPFFLTSTTLATAAKPQRQQLPAQPHPQPPSCGAQTPADSLAASYTARMRLNPHLALRLFDHLLRSGADPDPIAYALALARCARERAHPAAAQLHGHAAKRGAASHRRVRNGLIHTYSVCGRLYDARKVFDYGPEVDMIAWNCLLRGYAQGRDTGALQEFFARMPARDSVSWNTVIAWCVANGEHEEAVVVFREMLSSNECQPDRVTLVSVISAIAYLGALAQGLWAHAYVCRKAIEVDEKLSSALINMYSKCGFIEGAVYVFENSCALRSVDTWNAMLAGFTASGWSERALELFTRMESFGFVPNKITFNSLLNACSHGGFVEEGIGHFERMTNSYSIEPDIAHYGCMVDLFCRAGLFEKAEEMIQMMPMEPDAAVWKALVGACRTYNNFELGKKAGHRLIEAAPNDHAGYVLLSNIYALDGNWKGVHKVRKLMLDCGVQKVPGSSSIELDGVIHEFISGDKSHSRKRDVYEMLSEICQQLKIAGYAPDTSQVLLDIDDEDVKESSLALHSEKLALAFGLISTAPGTPIRIVKNLRVCGDCHNAIKLVSKIYGRCIIVRDANRFHRFREGSCSCGDYW >SECCE4Rv1G0252660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:617014205:617014724:1 gene:SECCE4Rv1G0252660 transcript:SECCE4Rv1G0252660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44620) UniProtKB/Swiss-Prot;Acc:P53665] MAAAALRPAILRHIRLSPAMAAPLAAATAAGRPLALAPWLARPMSSHDDHLTRDEVVGRVLDVLKCHPKVDPSKVTPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDLEADKITSLPLAIEYVANHPMAG >SECCE1Rv1G0045350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609464631:609465786:1 gene:SECCE1Rv1G0045350 transcript:SECCE1Rv1G0045350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAATMVALLLAAVAATCARAQLHDKFYSESCPSVEDVVRKEMVRALSLAPSLAGPLLRMHFHDCFVRSKGPFWTVPLGRRDGSVSISNETDALPPPTSNFTVLTQLFAAVNLDTKDLVVLSAGHTIGTSHCFSFSDRLYNFTGMENPSDIDPTLEPQYMMRLKSKCASLNDNTTLVEMDPGSFKTFDTDYFKLVSKRRGLFHSDGALLTDPFTRAYVQRHATGAFKDEFFADFAASMIKMGNANPLTGSQGEIRKKCNVVNH >SECCE4Rv1G0279390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:814666502:814668055:1 gene:SECCE4Rv1G0279390 transcript:SECCE4Rv1G0279390.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVSWWVGGFLGKYPEIMVSFACFLFLLFFRFRQRDGLPTNWPVVGALPAITVNAGRVHEWVTEFLRVAPGMSHVVRGPWGSPVDVLVTANPADVAHVFTANFGNYPKGEDFAAVFDVLGDGIFNADGESWAFQRRKAHALLSDPRFRAAVAASTAHKLGEGLVPLLDGLAATSSVVDLQDVFMRLTFDLTAMFVFGVDPGCLAAHFPRVPFAAAMDEAEAVLFYRHVTPVPWLRLQTYLNIGHERKMGKAQQVLDASIAEFISLRRERAATNADGTDDAADLLTLYLACQDEVGKDGKEFDRFLRDTTLNIMVAGRDTTSSALTWFFWLLSKHPDVETKILAELRENPTSGCQPTAIDLKRLVYLHAALSESLRLYPPVPFEHKAAARPDTLPSGPAVSRSRQLIVSFYSMGRMEAVWGKDCLEFRPERWLTETGRLRHEPSYKFVAFNVGPRTCIGRDLAFTQMKAVVAAVVPRFRVEVVAGATAIPKMSIILHMKDGLKVRVHKRQDDAVSTI >SECCE1Rv1G0023520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:306693900:306704721:1 gene:SECCE1Rv1G0023520 transcript:SECCE1Rv1G0023520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) UniProtKB/TrEMBL;Acc:F4J3M2] MATPSTSASTAASSAFPLTTAARFPRASTSGTRIPALAERRRTRRRRLPEGSGGDRSAAAGAVEKGLRLAFLEQLAERARAADALGVADTIYDMVAAGLSPGPRSFHGLVAAHALAGDAEGAMQALRRELSSGVRPLHETFVALVRVFAKKGLSTRAMEILAAMERYKYDIRKAWLVLVEELVRNHYLEDANTVFLKGAKGGLQGTDELYDLLIEEDCKAGDHSNALTVAYQMEAAGRMATTFHFNCLLSVQATCGIPEIAFSTYENMEYGGEDYMKPDTESYNWVIQAFTRATSHDRAPDVAELLGMMVEDYKRVQPNARTYALLVECFTKYCMVNEAIRHFRALRRIPGGTKVLYNAGNCGDPLSLYLRSLCLDGRADELLEALEAMADDNQTIAPRAMILNRKYRTLVSSWIEPLQEEADVGFDIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKLRCFEELKLYHRRLLITLRNEGPGILGDVSEDDVRRVVERLKKLVVGPKKNVVKPKAASKMVVAELKIELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDDEVVDEELDEMISRIKLEDGNTEFWKRRFLGETRNHLCEEDSKEDPDFDDELDEDDDDDDDDDSAKEADEDEIDDEVIDRTENQAGDDETKDKPAKGPNQHLQMIGVQLLKDLEKTTGSTKKLKKIPEIDDDEDWFPEDPIEAFKVMRETRMFDVADMYTTADAWGWTWERELKKKMPRRWSQEWEVELAIKIMNKVIELGGSPTIGDCAIILRAAMRAPVPSAFITILQTTHSLGHKFGSPLYDEVILLCLDLEEMDAAIAVVAEMETNGIKVLDETLDRVLAAKQIGNGNSALQPPAE >SECCE6Rv1G0444520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:828977727:828980431:1 gene:SECCE6Rv1G0444520 transcript:SECCE6Rv1G0444520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSRPRRSSTQEPDGTCDGADRISALPDELLLDVLARLPCAGAAARTRVLSRRWSGLWASLRQIVFRHVPFPSLEEALGRVPPPPPAVSLLEILVPRRGFPNPNEDSARVNSLLRAAARLAPVKLVFRLPAFLIGRYRLAVHLPRLDSATSIALEIPSPFSLHVPAGTEFPALETLSLSHGMVDFDTLLSCCSRLRTLHLSALFGNPDIRIHSPTLQELVVRCKSRMTQRVDIVAPMLKELTMSITSEEVSISVLAPMVQKVSWLCCYLGASIVFGLWSLNKLQLQSAEGQGELSSLRIYACPNPSFARDEADNFTQEIEKQMVAPFSVLELHLTTKGHAFGAFVIHLLGMDRVRCGTQRLKVILRKSAVKGGCPPLCPCEFRNWKSQIICLTALEELEFNGLEGGDHEFDLLKLILRCAPVLKRIILKLSEKASASNDGRAKIYNNFKACSSVECDVYDSSGSILGCFN >SECCEUnv1G0547350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:169641074:169641403:-1 gene:SECCEUnv1G0547350 transcript:SECCEUnv1G0547350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTRNAIVAVLLLVVMVAAVSTPAVTAEELCNVKCSKNCKGEMEVCSNKCYEYCKYQVSAVEYVKMATKTLKEAAAASPEEAVKLKHQAETYLASAKSLSDKAGTPP >SECCE4Rv1G0242860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:490749063:490751404:1 gene:SECCE4Rv1G0242860 transcript:SECCE4Rv1G0242860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSRDPSNPTKSAKACGKDLRVHFKNTRETAFALRKMALGKAKRYLEDVLAHKQAIPFRRYCRGVGRTAQVKNRQPNGQGRWPAKSAQFVLDLLKNAESNAEVKGLDVDNLYISHIQVNQAQKQRRRTYRAHGRINPYMSNPCHIELILSEKEEPVKKEADNVVAPRKAI >SECCE7Rv1G0526940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:899470102:899472771:-1 gene:SECCE7Rv1G0526940 transcript:SECCE7Rv1G0526940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFDRQDEEDDFRGVDESSRAEIKLVGREILQVLFGQRCLVIFHNGSNDMVNFSASGIPQGEYSDIKVLWTFRGRLRLIPRITEKVDDSHLFLYDTHSFQGWNFLLQIEAAEIARYTGKLVQAVEECCLYMLSLNSQGGSIMDYDWTSHASNYWMCDGIIQGGQGDEAWEVASALHQHINIDDYSSNVLPYFGHKLKTPLKRWIFSKDSSLVHPESTSFFLAAVASGSDPPLRPLPDDMFQQSDKLRVLKLCHCTFSFSSPPFRRCHKLRFLGLDSCQDLRPEEDEKQDMDFFKSLWVIDIHNTDWHLPSSPEIIKHMATNIREVHIKNGRFWHIIFALGQPQNLHKLRVIDPTCSLETGLPSLSGSTSLKTLVLDDCAGLEHVEGLPPSLESFSLDARPRKDDYKEAKISHISLAGCVRLSDFTLRGSLPNLEELDLSGTRVKTLDLTTQVVQVPCLQRFLLLGCEQLRAVLWPEKRMPKLSLLCIDTRGEGTRRLPPDSEKLKKGHCQVYVAMMDMKFIQILTQTSYIDMFWTMDTNRFSLNLCISASGQWCNKDKMGSAGNSGKILGQTLSKPLNPNQSYNDFAIDNITIDHGYNSAAQFQPSTCHVEIGEGISNTSMESTQGNKAIIFVMNRARSLHVHGNYSINTVIPECIMYVQDEKLQWSSLQQCRVERCPKIDTVFTTNYDNYIFEKLQNFWAADLLMVSSVWSRGNNVSFEDTRSFAKLQTIHLYSCPRLKFVLPLSWAAPGSYFPNLEILHIVSCGDLDKIFPVEPEFLTRIATDDRKGVLEFRELKHIYLHELYKLRHICEAKMFAPKLETMRVRGCWGLRRLPAVSQDIRPIVDCEKDWWENLEWDGLEASHDHSLYDPRHSSYYKKPLPRFSVLW >SECCE7Rv1G0484290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343505313:343505747:-1 gene:SECCE7Rv1G0484290 transcript:SECCE7Rv1G0484290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSKKLAQLSKKWQGISAIGRRRVTTTDKDVHPSCSSVAGKGHFVVYSSDGRRFEIPIAYLRTMIFEELLRMSQEEFGFTSEGRITLPCDTTMMEYVMCLLRREASEDVERALLSSIITTCHHPSRMMQAATGLHQQFAVRSS >SECCE2Rv1G0107210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:647281562:647292669:-1 gene:SECCE2Rv1G0107210 transcript:SECCE2Rv1G0107210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARAVEAAVLPLLLLVVATSAYGGAHGRPPISRRSFPKGFLFGTATSSYQYEGGAMEGGRGPSIWDTFTHQHPDKIADRSNGDVAVDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPDGTLRGGVNREGIKYYNNLIDELLSKGVQPFVTLFHWDSPQGLEDKYGGFLSPNIINDYKDYAEVCFREFGDRVKHWITFNEPWSFCVTGYERGEFAPGRCSPWEKANCSSGDSGREPYTAAHHQILAHATAARLYKQKYKAEQKGKIGISLVSNWFTPLSGSKSSAIATGRAIEFMLGWFLDPLARGDYPGSMKELVGNRLPQFTKKQSELVKGSFDFIGINYYTTNYAGSLPLSNGLRNSYSTDAQANLTGVRNGVPIGPQAASPWLYVYPKGFHCLLLYLKEKYRNPLVYITENGVDEANNKSLPLEEALKDDARIEYHHMHLDALLSAIRDGANVKGYFAWSLLDNFEWASGYTVRFGLHFVDYNHDRKRYPKHSAGWFKRFLKS >SECCE7Rv1G0485000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:354525724:354528851:1 gene:SECCE7Rv1G0485000 transcript:SECCE7Rv1G0485000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALRLPSLLSSRAAPTTVARATIRRNRTGSFTHRVAAQPDDTTASTSTSTTPAPPAGFTPPVPKRFEVKPGQSNNITGAALALPFRLGTGVFVLGYGVTLVDANEISPDQYALDFQGRKVKETSKVGQCPRPAKPIEIYEFEGCPFCRKVREMVSVLDLDVLYYPCPKGSPTFRPKVREMGGKKQFPYMVDPNTGVAMYESDDIINYLAKTYGDGSVPIMLKLGLLTTITAALALSGRSGKGSSYTPAKLPSQPIEIWAYEGSPFCKIARETLVELELPHLLHSCARGSPKRQDFFKKYGLFQAPYIEDPNTGVKMFESADIIDYLRATYTA >SECCE4Rv1G0220200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:36146940:36150710:-1 gene:SECCE4Rv1G0220200 transcript:SECCE4Rv1G0220200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAAELLYNMAPLALSGGGAEVGSCAPLLAELRQLWGEIGKSREERERMVHELEAECMRVYRRKVDEATGERALLHQTLAASEAEIAALTAALGAENSTQFKVNKWTVSLNERVSSATALLDELRAMRAERSKQFTDIRSEIDKISAEIAGRSHGQDASPRAGDGHDLTVRRLGEYRARLSTLQKEKSERLHKVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHEADPGKPTSISDATLTRLSQVVAMLTSEKTKRTAMLREAVVPLVELWDLMDSPVEERRGFQKAAAVLRPAKEEVQLSSGVLSMASIKKTEEEVERLTRLKAGRMKELVLKRRLELENICRSMHVEPDASTVPEKSIALIDSGLVNPSELMASIDDQIAKAKEEHQSRKDIMEKINKWLLACEEEKWLDDHNVDENRFSTGRTARLNLKRAEKARVIIMKIPAIVDNLMSRTLAWESERKKPFLYDGARLVAVLEEHKQARLRQDEERRRLREQKKLRTLFSEKETMPRLKRPSGSGGFSRTPEPSSMNRKRVDAGRLTCSAPSMRSSSSGSSCGGGGGRSSAELDRPRSSAAGAGRCGELLQGARRLSSASSSFNYVAVAKAGGSMSSSLASLS >SECCE5Rv1G0356050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:736674515:736678788:1 gene:SECCE5Rv1G0356050 transcript:SECCE5Rv1G0356050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDDVDDEAFLLAAEAAEAAAMASSKRPRLSTSPSPSPAASEGSYLSALKGSHSAAWKQQQETLTLAHKRPGGSKVPGVSPAGGVQITKGACFKCGDTSHWARECPQSLQASVGGGGGGIGGGVGGGIGGGGGGGGGYVDAGGEVEEKACPCGAGICLVLTSSTPRNPGRKFYRCPTRDNGGCNFFEWCDAPSPGPANARSNTCSQSDASIVNMPCSCGAGTCLILTTKAGVNVGRQFYRCPAQGGSSCGFFKWCDDQQQPRTVAPLQGASSPYQAGGTPTNQNMSKSSSGCFKCGQENHWAKDCPNQSSDPYSDKGGRTLSPATSSDGCFKCGKAGHWSRDCPVANSGGGGGGGGGGAVASHVKSSSTLGSWNSRRY >SECCE2Rv1G0135100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905408461:905410863:-1 gene:SECCE2Rv1G0135100 transcript:SECCE2Rv1G0135100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAMAPVFCFGLLLLITLHPHTLPQCHAATDTLSPSQELAGRDNLVSSNGRFALGFFQTGSNFSDGTPKWFLGIWFHTVPKFTPVWVANTENPIANLTVCKLVLSRDGNLAVHHLATTVWSTNANTTSNTTVATLLDNRNLVLSSGASNSSNVFWQSYDHPTDTVLQGGKIGWNKSTSLIRRLVSRKNAVDQTPGMYSYELSSRNGDTSIVSMFNSSKQYWSSGDWGGQYFRNIPESVGQKWLSLQFTSNKEEQYVQYAIEDPTVLSHGIMDVSGQMKVLLWFEGSSQDWQAVYTAPKSQCDVHATCGPFTVCNDVPFPSCSCMKGYSIRSPQDWELGDRSAGCARNTPLLYCNSNSSGVGGETDKFYPMTSVQLPADARNVATATTADECSLACLGSCSCTAYSYDQGACSVWHDKLLNVRQQGNSVLHLRLAAKEVPSSKTNMRGLIIGVAVGASTAALVFIFLVMIWMRKKKQYGDDVQSGMGIIAFRYTDLQSATKKFSEKLGAGSFGSVFKGSLSDSTAIAVKRLDGFRQGEKQFRAEVSSTGVVQHVNLVKLIGFCCQGDKRLLVYEYMPNGSLDHHLFQSNGMVLDWTTRYKIALGVARGLAYLHSSCRDCIIHCDIKPENILLDGSFTPKVADFGMAKLLGRDFSQVITTMRGTIGYLAPEWISGTAITSKVDVYSYGMVLLEIVSGSRKSSKQSSSQDGVHEGYFPVRVARSLVEGDVASLVDVKLLGEVNLEEVQRVCKVACWCIQDDEFDRPTMSEVVQFLECLSEVEIPPVPRLLQAIAGQPNQKIM >SECCE7Rv1G0483760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:327197028:327217692:-1 gene:SECCE7Rv1G0483760 transcript:SECCE7Rv1G0483760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MAHVSFKSKEVDSVPRWSEYLTGEESSPSASASWRTMGIDGPHPSSSGQRHLQMEPVVQLSKVAEGLLAKMYRLNSILDYPDPNTHTFSEAFWKAGVMPNFPKICITLSKKFPEHPNKLQLEKVDKFALDALNENAEGYMQNLEQWIMLLLDLLEFREQVLRLILDLSSTVITLLPHQNSLILHAFMDLICSFVRVNLFSDKIPRKMILQVYNILHVMLKGGRDCEFYHRLVQFVDSYDPPVKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLVLPLFRDEYILLHENYQHYVLPKVLESKRMAKSGRTKQKEADMEYNIAKQVEKMLTEVHEQALVACDAIHHERRILLKQEVGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVVWYFQHVGIASSKSTRGRTVDIDATDPTIGFILDGMGKLCCLVRKYIAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGENVPAITCDLTDLRKHWLSILMIVTSSRSSINIRHLEKATMSTGKEGLVSEGNAAYSWSRCVDELESQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACSFPECASAIIPEEVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAALRLNNITRVKAVPGLSAPGNESYPDNSSSVKMLEAAMQRLTSLCSVLNDMEPICVLNHVFVLREYMRDCIIGNFRRRFHSMIRTDNCLQRPSIIESLLRRHLSIIHLAEQHISMDLTEGIREVLLAESFTGLFSNLQVSERPVETNGGGSAIEIICSWYIENIVRDASRTGVVFDATHRCFRSSQPIGGGYLAESFTDKRELKALVRLFGGYGIDKMDKMLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLRQIIDIEALADFCIQAGQAITFRQLLVEAVGAVLEEKVPLIYSLLKGLTMQLPDEVPDKNEIIRLRRVASSVGVGDKHDAEWVHSILAESTTASDNSWILLPYLCSAFMVSNMWSSAVYDVNTGGFSNNLHCLARCVSAVVGGSEYTRMAKEQRINSLSNGHTDELQETELLSRASAESNIKSAMQLYVKLSAGIVLDSWNDSSRPHIVPKLIFLDQLCELSPYLPRSTLEAHIPYTILRSIYHQLYGASQMGSEPTEPSPRQSPLISLAHASPSMRPNRSDTTPRSHTYESGYHSSSGSQHDDGYEVDRRTGERQLRSMRRSGPLDYGASRKAKFVEGSSSGSHGAGSLQRFAVSRSGPLSYK >SECCE3Rv1G0183820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:655554506:655556965:1 gene:SECCE3Rv1G0183820 transcript:SECCE3Rv1G0183820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARFASLALLSLISHLLLLSCASAAAPSVLHTLGTGSSLSVEDHERPFLVSPDATFSCGFLQAGDNAFYFSVWFTAAKNRTAVWTANPGAPVNGRVSSISFSPEGQLALADANGTTVWNSKTGGNKHLTVSLRDTGNLLIADPSTGRAVWQSFDWPTDTLLPSQTLSKDKKLVAGYYALYYDNDNVLRLLYNGPQIASIYWPNPDHSVFDNGRTNYNSSRVGVLDDTGVFLSSDNLRVEASDLGAAGVKRRLTIEQDGNVRIYSMNAAGGWTVTWAALNQPCSAHGLCGKNALCEYQPSVRCSCAPGYEMVDRRDWRKGCKPTFSLPTGTTNCSEAPASERFTFVEVPATDFYGYDLGFNQSVTFEYCKSMCLKMCACAAFAYRLDGRGNCFPKGVLFNGYTSSAFPGSIYLKVRSDLNLNPTAPQLSVQATGLACNRNGSRTAIVPRYADTYGTPTRGTKWSYFFGFAAVLGFLEVLFVAAAWWFLSSQESMPSSLQAGYRLVMATQFRRFTYRELKNATGNFNEELGRGGSGVVYRGVLDKTTVVAVKELTNVVQGEEEFWAEMTVFGRINHINLVRIWGFCSEGKHKLLVYEYVENESLDRHLFGKDIGKSLAWSERFKIALGAARGLAYLHHECLEWVIHCDVKPENILLTRDLDAKIADFGLAKLSRRNATDNGDGADTGMQLSHMRGTTGYMAPEWALGLPVDAKVDVYSYGIVLLEIVIGSRISDQTTTDGAERLEMWQIAHALKQVVVSGDIMSLVDSRLNGQFNPRQAMEMVKISLSCMEERNNRPTMDDISKALTACDDEDEHPAYLS >SECCE2Rv1G0128780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:864937927:864945953:1 gene:SECCE2Rv1G0128780 transcript:SECCE2Rv1G0128780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGRGCCPPVDLLRSEAMQLVQVIIPAESARLAVSNLGDLGLLQFKDLNADKSPFQRAYAAQIKRCGEMARKLRFFKEQMSKAAILTSPTQFSGAPLEIGDLEIKLGEFEAELTEVNTNNRKLQRTYNELVEYNVLLEKTGEFFYSAQRSAAEQQREMVADQSGDSSLESPLLHQEMVIDPSKQVKLGSLIGLVPKQKAMAFERILYRATRGNMLLRQESVDESIIDPKSGEEAVKNSFVIFYSGERAKSKILKICDAFGANRYPFPEDLATQLHTIQEVSGKVSELKATVEIGLAHRDGILKNIASEYEQWSNLLKKEKAIYHTLNMFSLDVTKKCLVAEGWSPVFATSQVQDALHRATTGSNSQVGCIFQILNTQESPPTYFQTNKFTSSFQDIVDAYGIASYQEVNPGLFTIVTFPFLFAVMFGDWGHGICIFLSALYLIIREKKLASQKLDDIVEIMFGGRYVILMMSLFSIYTGLIYNEFFSVPFELFGKSAYACHDPSCGDATTEGLVRVGQTYPFGIDPVWHGSRSELPFLNSLKMKMSILLGIAQMNLGIVLSFFNAKYFKNNVNVWYQFVPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHIMIYMFLSPTDDIGENQLFPGQRIVQLVLLLLALVSVPWMLFPKPLFLKKQHEQRHQGQHYTMLQETDESVAQLGGQHENPHDHEEFEFSEVLVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGYNSVIILVVGVIVFLFATIFVLLSMETLSAFLHALRLHWVEFQGKFYEGGGYKFAPFAFTSILEEED >SECCE7Rv1G0463700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:56180522:56185350:1 gene:SECCE7Rv1G0463700 transcript:SECCE7Rv1G0463700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATLVGEAATEPVLAEGAHVVDEATAEQEVGVATPLVNGSVTDPEVTGGASLVSGSITETQVMSGVSLANEAVGGREFSMGVSLVSGASLADEAVAETQVSGGLCLVSEAATEAADVAIVSEVTTGAPLGNIGVAEQDIKMGASPVDESASEAATEVADLFTTPGPPLDNQGVAEPVIKLGASPVNECAAKTATEAADVVAALRVTTVASFGNKRVAEPDNKMEASPANESAAEMDFVHSGSLVTEAATHPGLPERVSLANGAATEREVSEAGSLVSEPAAEAADVVTTLEVTTGAPLDNKGTAEPEIKIGPSFANESTAEMDSVLLGSLVTEATTHPGLIGRVSLVTETGVTGGANLDTEVSIEPEDTGRPSLINESTELELTRGVSIATDAASEPEVTGQASACSGDSDAALNEPETLDCDLDSANVQIENAGESVATEVQPSRDGRGDVGSVNAKSTCPVTDKSLAFDEVIPQDDAPSVSCASGVIVRSVGQSGRTDVICYARRRGKRKLDMEETKTDQLEMGDGDIYGQCEEKATFDITVPCESAMSTAESADIKLADIKRGLVDNSASSKGKKRKGRFECDIDYCHMTFKKRAELSVHKKNMCTIKSCGKHFRSHKYLRRHQSIHNEEAPYKCPWEGCSMAFKSTWALADHFEVHTGEKPYKCRTPGCSKIYKYVSDFTRHRMRCKPQRESLISCNR >SECCE1Rv1G0044690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:604220463:604227262:-1 gene:SECCE1Rv1G0044690 transcript:SECCE1Rv1G0044690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTATIHRLLSSIREGTTAAPPVPPFPSVADAVAAFEPDASPELLCGRCGAAGGLLRGAQSAVCVYCGSPRRGEGDGIAFRGSAAYQWLLGSLRLDGSALVEFDGDSTDSNKSKETPKSGLVLSDLLDLKLTFPPENREISGSSTSNKQPSVACTLDLPGVNLDSFSIERKKEIAATAAAFPPTHTIVQEKHRRSHESSSSEMHITSKGFDSFRTKTGSQSTNQMEATTAFANWDAHFQSTGSESAVGDSKQPDLFKSASVAEPSNFPVSGTAIRPVLAGNQTNIGSEDLASALNVDNLSIQKAVPANEETNVRMVAGNNVAEFTGSSLDKNSVENSELSGRSDIGVSTDEAFDDWQEFTEGGNQGTLSNVGEHTERPLVSDSSQIKGADPLPIGSMESSNNVAESDDWQAFAQSSAQGDSVKLVEESSSGQGGDGLRNPVAELEHSLEAHAVDLWPAGNVKEHNSTEIVEQTDDSFDDWQDFTNPGQARVASFNEAGKLTEVSPVSHREIDVDSWFTENTRESSNTGLVNGNNIMLDDWQGFAGSDQTQQSSYNVGGELMDSSFEQLDGTGPVQLPANVSSNKTTNIVSTNMEDNTFDIWQDVAAPRHQQKNISNLGRETTGVSSVPAKEIDSMDLWLTKESNSCSKDVSGIHDSTDAWQDFASFGQAQGNMKIPVEGQFVKDPSGTEHVDLWSSSHTEQFKNLEQINANNDPFDDWQDFKNSPELETSLQGRPGAPLSDKPPVLTADMTGLEFGSFAQSAPSQRQIHNKQDSSNEANAAPPGEHERMGVMQQMGDVDALPATSHDSNSRPKSESGNANVEKLLSQMHDLSFMLKDELSVPAKSADHSES >SECCE4Rv1G0269360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:748509322:748510530:-1 gene:SECCE4Rv1G0269360 transcript:SECCE4Rv1G0269360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEISTEEQDLASYRREWECSYGAEFGPFEFYTSVRAMLFTCKPTPAHAGPETCLQIFSIEVTELRGDLRWPLEVYGLVATRDSVDHNRNVIFRRMREDSLVLTQQDPFLKLTGPSRAVLFAGPIDVEIQLKLKGRTTEREDEELISKVVTYGRDFSSDNSADAGSHHLARTTCSSSLCSLEVTAAPVAGAIEATVISAEVTQGRWPKTLGIRVVSGTASTDDDDQDIVLLDARDATFRVDLADGIIALTRHVVCVEEGGRLKLCIEAYSKRTGCMYEKSGVTELLPKRSSASIAVCKLAFCTVKFVVGWSCLVPKLGDLRRHGI >SECCE7Rv1G0509150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:777813941:777814441:1 gene:SECCE7Rv1G0509150 transcript:SECCE7Rv1G0509150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPARRPSAQPQPLTVDFEALSYISRLVEAFQAFDSDNDGFVTAPELRGLLASLGLDKSETEARDMLARADADRDGRLCVEEFLDVMNAGELGLGALGALLQAAVPALESFAGPDGALGADELARVLGLMGTASAEDCAEIIACMDGDGDGAISVEEFKLMADLL >SECCE5Rv1G0320450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:388792263:388793125:1 gene:SECCE5Rv1G0320450 transcript:SECCE5Rv1G0320450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQPSSPAAPPPPPPHTGAAGGGGNAAVAAAGVNAMHPAVMQLHHHHHTYATSCFMAPQGYLQQETAAAGALPVSGLEFAGKTSQQQEWMTQQQMVMENSNINNSVAAVGGSSTAAGGGMNNMTPPPWPCCRPLRTLELFPTKSTGGGLRDECSSSKSSSCSTSTN >SECCE3Rv1G0181320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:610595398:610601568:1 gene:SECCE3Rv1G0181320 transcript:SECCE3Rv1G0181320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASDLESKAKEAFVDDDFELAAELYTQAIEAGPATAELYADRAQAHIKLGSYTEAVADANKAIELDPSMHKAYLRKGSACIKLEEYQTAKAALEVGSSYASGDSRFTRLMKECDDRIAEEVSQVPVKNAAAAVASATSSGATTVATEAEDQDGANMENAQPTVEVPSKPKYRHDYYNTPTEVVLTIFAKGVPADSVVVDFGEQMLSVSIELPGEEPYHFQPRLFSKIIPDKCKYTVLSTKVEMRLAKAEPVTWTSLDYTGKPKAPQKINVPAESAQRPSYPSSKSKKDWDKLEAEVKKQEKDEKLDGDAALNKFFREIYSDADEDMRRAMMKSFVESNGTVLSTNWKDVGKKTVEGSPPDGMELKKWEY >SECCE1Rv1G0015500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:125394173:125399557:-1 gene:SECCE1Rv1G0015500 transcript:SECCE1Rv1G0015500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVSISASASASAIASGGARSKAAAGRRPGEIRFCGLRGDALACGSLRASHATAATRRAVLRAAASANGAARSGDGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSLGLQVSSTGYDRQAVADHANNLASKIRSNLTNSMKAMGVDILTGFGKIVGKQKVRYGKVGFPEKEITAKNIIIATGSVPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINTRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTSGLGLENINVVTQRGFIPVDERMQVTDADGNVVPNLFCIGDANGKLMLAHAASAQGISVVEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADNEGFEVSVVKTSFKANTKALAENEGDGIAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRLQELKLAVHAHPTLSEVLDELFKAAKVNSGVSHSVNEPVAA >SECCE1Rv1G0031730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:445577671:445579634:1 gene:SECCE1Rv1G0031730 transcript:SECCE1Rv1G0031730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASRITKRALSTFAASAKLPEAAVAASGAGAVPVPSSAQQQQQHQVLEFEDTERLFTGEPSTALVRTLAALQLMSAGPLVDVGLAALRSPAVAASPVVQAAARATAYKHFCAGETADEAAARVQRLWRGGMGGILDYGIEDAEDGAACDRNVAGFLAAVDVAAALPPGSASVCIKITALCPIALLEKTSDLLRWQHKNPSVHLPWKQHAFPILSDSSPLYLTPSEPAALTAEEERELQLAHDRLLAVGARCAEHDIPLLVDAEYASVQPSIDYFTFVGALACNGGGRPIVHGTVQAYLRDARDRLDAMVRAADEERVCLGVKIVRGAYLTREARLAEALGVPSPIHGSIQDTHDCYNGCAAFLLERVRRGSASVMLATHNVESGQLAAARAQELGIGKGDRNLQFAQLMGMADGLSLGLRNAGFQVSKYLPYGPVEHIIPYLIRRAEENRGLLSASAFDRQLLRKELVRRFKNAVMGRE >SECCE4Rv1G0285150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843059177:843060955:1 gene:SECCE4Rv1G0285150 transcript:SECCE4Rv1G0285150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSRRRRHPCSPAVGPLDDDDLLRKILLRLPPQPSSLPRASAVCRRWRLLLSDPGFSRRFRIRHRRNPPLLGFLNEDLPFLPTLDAPDRISPGRFSLQRGDGDRFISLGCRHGLVLVFNKPKNQILVWDPVTGDQHRLDIPPGVAIHAKKTTINGAVLRADDAQHFQVVLTVADNDDKQHRRALACVYSSETGAWGDLVSTQIPSRVPMGNVGTFVSTYKPAVLIGDSLYWRLAGNFTGILEFDLEKQSLAVISVPVHMLEEGHCLFSIMRAEGGGLGLLLQTDRSIQLWKRKTDCDGVASWGLGRTIELDKLLSLNSEENDIMIQGPMGENNVVFVWTHHILFTVHLESLQFNKLPGAYSLFNYHPFESVYAAVFIISVIFLILSS >SECCE2Rv1G0072280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:53877103:53878702:1 gene:SECCE2Rv1G0072280 transcript:SECCE2Rv1G0072280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAQQGQSAATSLPPGFRFHPTDQELILHYLRNRAAAAPCPVPIIADVDIYKFDPWDLPSQAVYGDCEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDAATGQSVGVKKALVFYSGRPPKGTKTAWIMHEYRLAADPLATAVNTYKPIKFRNVSMRLDDWVLCRIYTKTGLASPMVPPLADSDHMAYHDNLSGGGAFHDATCRPMIRQQHDQQPHAGRLPTFPSFSELFDDYQFVQILDTDVEHGVTHHLAVHPSLNQLLPVGDSRRVVERSYYAPSSSPPDASGGSAGKRKAVSPEERATHQPSAKKLNGSCFDAPPQSANGWQAVASVLGGLNHHMLHQF >SECCE7Rv1G0525970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893541810:893543528:-1 gene:SECCE7Rv1G0525970 transcript:SECCE7Rv1G0525970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAKIAMEYLHDPLIWLSLAIASVALFMLLLARRGPLPPGPKPLPIIGNMMMADQLTHRGLAALAKQYGGLLHLRLGGLRIFVVSSPEYGREVLHAQDNDDFWDRPASIAILYLTYGCSDMAFAHDGRCWREMRKLCVTKLFSRRRAETWLAVRDGYAELVRDIGRSGGEAVDLGELIFRHTVRVICRAAFSVRDVQGLEELIPMLREFSKLLEAFHIGDLFPWLSWMGRRGFDSRLRTVRGALGNFADKIIDEHMRRGKNPTEADADMVDHLLAFLGDADQASAKDLRFTRDNVKALIMDMLFGGPDNVGFTIEWAMAEMIRCPNILVQLQRELADIVGLGRMVDESDLGKLPFLKCVVKETLRMHPPIPVILRGTTKDCVLGGYSVPRGSRVFINAWAINRDGEAWKDPDTFRPSRFMLNGDAVGIDLKGGCYEFLSFGSGTRSCPGQGLGQHAVEFAVAQLVHGFNWKLPDHMNPMELDMSDTIGSTVSRATRLCAVPIPRLKYPL >SECCE6Rv1G0388980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:144766367:144767124:-1 gene:SECCE6Rv1G0388980 transcript:SECCE6Rv1G0388980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTIWKELDYFKEYAAKLRSFQGEEKAQETLTEALYLVSMGTNDFLENYYAVPHGNAAKYPTAAAYGDYVLGVAESFVRALHALGARKIDLNGMPPMGCLPMERALGGACTEEYNAVAEDFNAGLRAVIDRLNGELSGARIVYGDVYGPVSDVLGHPASYGLENVVAGCCGATGRFEMGYLCNARNPLTCADAGKFAFWDAIHPTERLHRALADAKMNTTLHVFL >SECCEUnv1G0540940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:92586121:92589022:1 gene:SECCEUnv1G0540940 transcript:SECCEUnv1G0540940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSYVSIAAAVVLLLISAPAAAAGPPRMKIGMPGCNTTCGNVSVPYPFGMGPKSCYWPGFKLTCNNNGSKPPRLLLGEGSGAVFEVVDISLKNSTMRIVNRGLRAINMSDGSGQWIIADAETIGAGGVAYLLNPGSNEFILTGCNVQATLLANRSLASGCASFCPEFGDGISATQIYTRGNSKACSNIGCCQSTIQTASASYGVELKQLNYSGVYSNFGSPVNVLIAEVGWFDVDHNLEVVMNLDWRANKNLSREADQLRVPVILGWALAHNAAVYNTGHSRCPRDAARRICRSTNSNCSVGNIFEEIRGYSCHCKEGYEGNPYLTGGCQDIKECNQKEKHFCFGVCEELSGSFRCRCPNGTHGNYTMPGGCIIDSVDTIQGNRNLGLVIGLSVASGPFILLLVLGALLITRGFKQHKAKVLRQKFFSQNRGQLLKQLVSHRADIAERMLISLEEIEKATNNFDQARKLGGGGHGTVYKGIMLDLHVVAIKKSILLSKEKSTSS >SECCE3Rv1G0208120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:927805248:927805508:-1 gene:SECCE3Rv1G0208120 transcript:SECCE3Rv1G0208120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTMKSGARVIKGKVVMAYSKYAGKAQAKPAPSVTTAHAYQPRYPSSIDATASAPYAATGDVDERATAFILSVRERFKNEQKMVI >SECCE5Rv1G0329060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:512131886:512135950:-1 gene:SECCE5Rv1G0329060 transcript:SECCE5Rv1G0329060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAYRGRTTAAADGVGVSDGRRLPDLPPPHGNDADSPQADMTALVFRREQLLHELHKERIRHDMILCELAQTERAMTACLAGLGALHGLPLMTPREEVMYRTARSREEAPWWYRSPSGQVIPPVYPHVERSPSPVPQQRPVDDAEQQERGSSSRPVVATPSAFPEVELFRSLSKDPAVEEALVPAATNVVAKPAEPALLRKEVAIESRGAVDHEHVAGLKHRHAVQLTENGIQRSEQFKRAAIGQESKAESKDSHAVQLMENGIQRSQQLNHVTVGQGSKAEAKDSHPVQQLESRIQINEQLKRASIGQGSKAEVKDNHAMQLMMESGIERSKQLKRAAVGREIKAEVKDNHALQLMESELQRTEQPNLGAVGKEQEAGLKDGHAVQLLASGIQINEEVKRATLGQERKTEAKDSHVAQLMENKIQRSEQPKREIFGQEREAVAKDGHAVKLTENRIQRSEQPKREVYGQQHEAEEKDRHAVKFMEESGIQGSEQPKPSKPTMKDCIDEQRQVPHQYALAGKEKSPFNGLKRPAFNEPNTQTTPSGVKRRPVFGPVVTTPSPKRQKPLEEWNCTLCQVNLTREEDLMQHKAGELHRLNLAALRSRQKAFGFDLRNHLKGSSQQESTQALHAEAMSHLKGRSHQESTQAWHAEGGKRAADRWGTEDPRKEFVSKFPFCKLCKVQYSSEKVKESHLAGKKHRENLQARH >SECCE5Rv1G0332070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:544315290:544316046:1 gene:SECCE5Rv1G0332070 transcript:SECCE5Rv1G0332070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGVKVFGMWASPMAIRVEWALRLKGVAYEYVDEDLASKSDALLRHNPVTKKVPVLVHDGKPIAESAIIVEYIDEAWKDGYPIMPADPYDRAQARFWARFADDKCNAALYPVFTATGEAQREVVREAQQCLKTLETALEGKKFFGGDAVGYLDVVVGWYAYWLPIIEEVSGASVVTDEELPLMKAWFDRFLAVDVVKEALPPRDKLLALNKARREQLLSA >SECCE6Rv1G0423220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:694846244:694848739:-1 gene:SECCE6Rv1G0423220 transcript:SECCE6Rv1G0423220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIISPICDHSRDQLFISASPNMDGRSTPLLLLLNLVLFLRVSAREFLSPGSSMSVEDSSDVLRSPDGTFTCGFNNISQTASIFSIWYSNTAERTVVWSANHLRPVYFKGSRVTLDADGRMAVEDYDGRPVWENNVSSSSSAQQAQLLDTGNLVVKGQGDIILWQSFHSPTDTLLPYQNITSAAKLVSAGRLLVPGRYSFHFDDEHILTLFDDEKDISLKYWPIPSNDIWTKKINAFITTAIGVLDSSGYFLGSDNLTLKAVDWGHGVMRRLTLDYDGNLRLYSLNKIDGTWSVTWMAYQQTCSVLGLCGINGICVYTPQPACACAPGHEIIDPSDRSQGCRPKFNLSCDGQEMFLKLPATDFQGNDLRLNTIVSLDECKKICLKDCNCKGFAYWQGTGLCYPKGTLVGGVTGPTIVGGSIHLKIPKTLQVPRSSIPHSQPFGPKYVPNCNVKSKNFTKNFWDQPKSSQNGSHSQYLFLAYGFLLAIFCVELIFVALGCWFLFVREGKKLIGVWPAEIGYEMVTNHFRRYTYKELQRATQKFKDEIGCGASGIVYKGVLKDKRAVAVKTLADIHQGEEEFQHELSVIGRIYHMNLVRVWGFCSDGPHRILVLEYVENGSLDKTLFSIERLLGWSERFKIALGVARGLAYLHHECLEWVIHCDIKPENILLGDNLEPKISDFGLAKLVNRSGSNKNVSRIHGTRGYIAPEWVSSQPITSKVDVYSFGVVLLELLMGARVSDWASNAGEEVEMVLGTVVRMLTTNMMLEGSQHLWLPDFIDLRLNGQFDRLQARTMVKLAVSCLEEDSRKRPTMENLVQMLVLVDEASGTMQ >SECCE2Rv1G0113710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:725081529:725084526:1 gene:SECCE2Rv1G0113710 transcript:SECCE2Rv1G0113710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEAKAKGNAAFSAGRFEEAAGHFGDAIALAPDNHVLFSNRSAAYASLHRYKEALADAERTVALKPDWAKGYSRLGAARLGSGDAAGAVEAYEKGLALDPSNAALKDGLAQARLALPRRPASGADAIGKIFQGPDLWSKIAADPTTRAYLDQPDFMQMLRDLQRNPGNLNNYLSDPRMMQVLSLMLNVKVQNKNNEASEPAPTPAKSSSPPPQKQPETKPREPEPEPVEMTDEEKERKERKAAAQKEKEAGNAAYKKKDFETAIQHYTKAMELDDEDISYLTNRAAVYLEMGQYDDCIKDCDTAVERGRELRADFKMVSRALTRKGTALAKLAKSSKDYDVAIETFQKALTEHRNPDTLKRLNDAERAKKELEQQEYYDPKLADEEREKGNEFFKQQKYPEAVKHYTEALRRNPKDPKVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKAMETYQEGLKHDPSNQELLDGVKRCIQQINKANRGELTPEELKERQGKAMQDPEIQNILTDPVMRQVLIDFQENPREAQEHLKNPGVKQKILKLVSAGIVQMK >SECCE1Rv1G0012080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:86019550:86023509:1 gene:SECCE1Rv1G0012080 transcript:SECCE1Rv1G0012080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLILPFKVGDLAESKSFVKGYTGAWFRSKIIDMRVTESGHLEYCLEYIDYTEEENEWIRVFQKNPLSPACGEGKSSESTEIMLRPAFPHWYRGGQVPAHFPKNELVANVCDTWKVGDKVDWRCSDCYWTAEIIRLNSRDVVQVMLLDPPMGEGGQHPAKKKDLRPALDWSIIKGWTVPVSAAKGKSWQAAHLVHPKSDIEDSITDEDEAPGSPTVKRPSSDTSDTILASTEKLGLTHESSDPSRRGTRTSSKRQMSPATVNGAAMAEPNGPSNGNGSRRRYPFRVRRNAAGQQR >SECCE5Rv1G0330520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:530423966:530425018:1 gene:SECCE5Rv1G0330520 transcript:SECCE5Rv1G0330520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSFPIIDMGLLAGQERPAAMDLLRDACENWGFFQVLDHGISTELMDEVEKMTKEHYKRVREQRFLEFASKTLEDGGRAAENLDWESTFFVRHLPEANIAEIPDLDEEYRRVMKQFAAELERLAERLLDLLCENLGLEKGYLTRAFRGSKGVPTFGTKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDARVGGLQLLKDGEWVDVPPTRHSIVVNLGDQLEVITNGRYKSVLHRVVAQADGNRMSIASFYNPASDAVIFPAPALAEAAGGAYPRFVFEDYMKLYVRHKFEDKEPRFEAFKSMETQSSNLIATA >SECCE2Rv1G0138510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:921994194:921995736:1 gene:SECCE2Rv1G0138510 transcript:SECCE2Rv1G0138510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVLWNSHSYLSFDSAMVTNSNLKGDQESRSIHEKKFIDTVDTVLQNHSGKNLEQFKVAFELREKHASSLNRWIGLLALSRASEIVLDLDPKSVHIAEDAYEFPFHLFQRENNIQSIWVKCVSLKPPHNFYGFKKVTKLTISAVRIMEDMGNLLSNCHALRFLDIIRCKFGKLKLHGTFHRLQHLCVTVCEMQAIELHATGLLTFKYIGRPLPIVFKESRNLKMANIKLWPGHNHFGYVCSGLSTVLPCLEELHMELRIEAQAPRCAISELKFNYLRYVSCTVYVSGLHPNHAGGILRLASILKVAPVLEKLELHMDAGAYPFMSVKVNGNLPTSPHNHLKVVRMTGFVGFKGQIELALYILENARSLESMTIDPFGLKQVRSEENPNRLFDARVGREFAHEFLDLEKYHHVVTIL >SECCE4Rv1G0242530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:481744248:481744970:1 gene:SECCE4Rv1G0242530 transcript:SECCE4Rv1G0242530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSCASLDITWSHLPAPAPAPWYCAAWFSPAAAAFENDALATALAHASASASPATTLAPASAPPSSPTPSSSTTTSSASELSSTPYDAPTLPAVSCRPSSAARYCTGRVSKRKPRVSRRPQTTYITADPANFRRMVQEITGYALPGAERASVLPAPPRPDPLAFVLPTLDTSACFLLDQDQALPLPQQHWEDKTSGGAATAMHAMADDSSLQLMQELEAMMSTPPAVSSFPTLESWGMI >SECCE1Rv1G0041920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:575362766:575364997:1 gene:SECCE1Rv1G0041920 transcript:SECCE1Rv1G0041920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRLLGLSAGLRRSLVTAATHPPWAMINRTVEFVGAPSADVRLAEPPHVSDLCVPERLVKTRGSPDPDGDVVQSLAGAVSAASGDGLLLLSCLDPRFTAPGAARVRQHPYGLDPTHVPSVTRFVCNPLTRELRRLPDTGPDPVADVLCGPNMGLLTQTDRGHGPPDRFAVALPRQNLMLRFLSETGEWDAVPVSPCQLPSARQLEIDQEALAFGGRLWWVDLAWGAISADPFSDRPELSFVELPRGSVLPAGAQKEAFRRGIPLPDAHGRVWWKQAPFSYRRVGVSEGRLRYVEVSREEPFLLRSFVLDDEGSGWTLEHRVVLNKLWGSTMGLPLQERGSTRIFLIDPLNANVVYLTAHRIAVVAVDMDRQEVIGCYPYTNEPCMPCVLPPCLRSSRIPSAGKKYVEKNKTQADVLVRSHSH >SECCEUnv1G0532150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19519015:19520053:1 gene:SECCEUnv1G0532150 transcript:SECCEUnv1G0532150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKADGDGCSRERTISWADDQTKFMLDWCIEYMKEQHAGFRFRKHHLMKCADALNRKFAMGVTVAQVDRHFRHYKENWKYIAAAISKSGNVFDDIRCVITISESEKSCLNDRARRLLSKPIKFFYEMQELFTGTSADGSLAMDQHTCTIDSDDSDNDEGLYDLNCYPQYEGPLEEDSDTLPTTYGPKRPPVHVRADNSSSSTSRVGTKRPRGSRSPSKKPKKIKSRFVESAEEINSTLKSLQQSLAAPVPQMPQVIDPYASLWQRLEALPITMDQRITVGMYLSSKDNEGLRGWLCCASEKTFETWVWKFFNKDDV >SECCE7Rv1G0456400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:10613972:10615653:-1 gene:SECCE7Rv1G0456400 transcript:SECCE7Rv1G0456400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKERLMKMAGAVRTGGKGTVRRKKKAVHKTATTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFLNPKVQASIAANTWVVSGTPQTKKLQDVLPSIINQLGPDNMEHLKRIAEEMQKQVAAAGAIQPKEENDDDVPELVPGQTFEEVAGETKA >SECCE7Rv1G0513590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:824047026:824048863:1 gene:SECCE7Rv1G0513590 transcript:SECCE7Rv1G0513590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAARLLPAAMPATPHRPLNWCWSAAARHPSPSMRLLRSNSRLLVVASAQSNLSKALQTTWKVGKEAVDAGSALVPGSVPRPIARIGVTFVAVSAALFLLKSVISTALFVLAMMGLIYFAFLAMNPKEGSRSMDEGDSPLSDDPAEEARRIMEKYK >SECCE1Rv1G0035400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:498095747:498096364:1 gene:SECCE1Rv1G0035400 transcript:SECCE1Rv1G0035400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCSCAPAVHGEGAEARLVFWGGQTRLAAASGGRYTTAGDVTAEAPDHLVCSGDSFFIGLPIPALPPGEELQAGRTYFVLPAARFSSCQALTAASLASLSPAPTKVSLAGESSPFEYVTGADGMALIRVLPEFIEKVITSDGGGGKKCGAAAPEQLCSTPELRKHYMQLVGARQQRPWSPGLETISEARKGRRMPTCRRR >SECCE6Rv1G0416630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649540852:649541461:-1 gene:SECCE6Rv1G0416630 transcript:SECCE6Rv1G0416630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSSGRSQAPSFRQASGRRGDESRSPVPYRESPMAYESEKLCWCRPRRKAPRWISWSRQNPGRRYYACVDAMHGGCGFVEWHDDPLPKFLSDLIGDLRDEVRRLRGEASVALFEDSTTVLALPESQRGREVVAMSVEDQLEEKNAEIDALKRKYQNVVFLFLVFVVGLVAGKMLLQ >SECCE1Rv1G0015610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:126938448:126938975:1 gene:SECCE1Rv1G0015610 transcript:SECCE1Rv1G0015610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQEEMSWNVLISPDQLSPKGLLLRKSIIVRLLEDITNRKASKEHGYYIAVNELKTISEGKVRELTGDVLFPVTFTCITQRPMKGEILVGSVEKILKHGVFLKSGPIESIFLSEKSMSDYKYMGGENPMFMNDHSKLERDTAVRFKVMGFRWMEADRQFQLLASLAGDFLGPL >SECCE2Rv1G0134480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901865797:901866390:-1 gene:SECCE2Rv1G0134480 transcript:SECCE2Rv1G0134480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDGESKCFKLCMLVTAIGLMAGFVYFLVWLNTRQSDTKYTVTIASVDGLDPALDLHSDRQALSPAFGITVHIDNTHNQILERCVGGIGSSTVVSYGDALLGKGTMPWLCVQTTKVGEAATKAWGMNVQVPHLLRDRLAGELERGQAVVDVAVRTPAGGGCYLSGCVDTVLVCKAKIRGGASPCFLARTVSGTANR >SECCE4Rv1G0227300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:121228138:121237298:-1 gene:SECCE4Rv1G0227300 transcript:SECCE4Rv1G0227300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRGLSFGPEESPREITAAGGDAAPPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGLEAYLFQVCYMLVHKPSPSLDRFVIDTCAKSLRIALKVHWILAAELELEETEDLDGIDKVQEQCQAAATVQGEWPPLVRPAPLSPVASPRGNPMLSRIRSSKQRLMSLASSPSLGLSPPPSSTNVSAAEDAGVGKGKQPLTPSSEDNKLLRRLSIGPKAFFRRSVEKDEEQDKDGFFKRLLRDSKDKEEDDGDKEGFFRRLLKDNKEKENDEEEGDKDGLFRRLLRDSKDEDMELTPSSDGLLKRLFRDKEDRPGDDDEKEGFFRRIFKDKNEERRDSMHGRNGDEERVGKTLEDDDREGFFRKIFKDKNEEKKDGGSHKQNDDREKVGVNTEEDKKDGFFRQLFKEKNDEKKEGTTPSRKEDDGKGNKSIDDDNFFRRIFKDKNEEKKAATHDRNEDEKCEEGDKENFFRKLFKDKHEDRRIEGLDKNDDDGKSTSGIEEEENSEFLSFRRLFRVHPEDAKTGHIEGSQPNGISEGSPGSESFFKRLFRDREDSEIFGSKLLKEKHPDSTGNSEKQSGKPPLPNNVLAELRKGSYYASLELVQSLCDTSYGLVDIFPVEDRKIALRESLTEINSHIASSEKNGGVCFPMGRGIYRVVHIPEDESVLLNSREKAPYLICVEVLKAETPSHSKGSSDVNKLSKGGIPLANGDVQLPKPPPWAYPLWSRHEPQNYETDRMLNSTSQVIDQAMAQLWEAKVKFVNVSFSIEKIGRSRSIAMSESGRRIRQPTTESHDLSGDSQAVVDQPIEWVKVTLSAVPGVNMEDVDDNEPTRKKDHRRVPSTIAMEEVKAAALKGEAPPGLPLKGVGQSTENLDPMAIDGGDPKPTDALAGELWTVKKERIRRSSVHGRLPGWDLRSIIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVIVTSAYAALIETIPDTASIHSIKSRFPNILSLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILSYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERVILLVEMLQDSGFPCFKGGTRTILNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRVLNGIL >SECCE2Rv1G0079680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:121821599:121822669:-1 gene:SECCE2Rv1G0079680 transcript:SECCE2Rv1G0079680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVAIWISCVLLLAATCQGKGAPGHRVRVGYYNRKCPAAEIIVRSVVGKAVYRNPGLGAGIIRMAFHDCFVQGCDASVLLDPTPANPRPEKLGPPNFPSLRGFEVIDAAKAVLERVCPGVVSCADVIAFAARDSAYFLSGYMIDYKMPAGRFDGRVSLESETLQFLPPPSFNLTELVDNFKAKNMNEDDLVVLSGAHTIGVSHCSSFTDRLPPNPSDMNPGLTRLLQSKCPVSPNFTNDPTVVQDIVTPSRMDNKYYTNLLKRNVLFTSDAALLASGKTAKKVMENAFIPGSWEKKFAKAMVKMAAIELKTAANGEIRRNCRVVNK >SECCE3Rv1G0180610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:585734468:585747307:-1 gene:SECCE3Rv1G0180610 transcript:SECCE3Rv1G0180610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGDAPERYVMPNPSFRQTSRNQGSNLPPLFSDFGRIGKERGFRRSDPSRDSPPRRHATGAGSEAHRHGRDRPTHRVPAKRVKDELPESSEPPPPSRLKAVVPRPLQDRDEQFVWPWMGVLVNVPTERKGGRQVGESGNRLKEQLSRFCPQKVIPLWNHRGHTGYAIVEFGKDYACFCNAFKFENHFEAEGYGKRDWEARRHRGSEMFGWVARADDQRAPGPIGEHLQKNGDLKTVAELEKEGTRKTDKLVANLASQIEVKAKHVQELECKYNETTNSLDKVMEEKEQVLQRYNDEIHKMQQLARKHSQMIINENQRLRSELESKMQDLEIKSRQLDELAVRSDSDRRNLEKEKEKNKMKEKYLKMATSEQQRADENVLKLVEKHKREKQAALNEIIKLEQKLDAKQKLELEIKQMQGKLEVMKHMPGVEDSESKRKIDELSAELQDKYDEMDAMESLHHTLLMKERISNDELQDARKKLIDGLRDLTTGRGNIGIKRMGDLDLKSFAVACKNKMSKEDAGVTASILCSKWEEEIKDPEWHPFKVIVDEGKEKEILREDDEKLRELKEEYGEEVYGLVTKALLEVNEYNPSGRYPVPELWNFKEKRKATLKEAVQYVLRQWRTQKRKR >SECCEUnv1G0558220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:330441026:330441595:-1 gene:SECCEUnv1G0558220 transcript:SECCEUnv1G0558220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKMHLSMPLPAGLEDFPFTSFQEAFPVKLRAEQEAPILKAKVKKAKLKVEKAKVKPAVKAKAKALKVDEAKPEVKEAKAKVELKTMTAEEEEALLKFVALLEKEEDFLDAKAKAEAEAAKCGSKRKPELERDEEGAAFLAWIEATKPPTDQEEYSDGYVSDSQDDDIYERHVQANNARWPFLIGRR >SECCE3Rv1G0154890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62696055:62701192:-1 gene:SECCE3Rv1G0154890 transcript:SECCE3Rv1G0154890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKAVPRPCSPCSGTGRHGAPTARLWSLSVAGGPRRGGAGGRLVAADAGERMTGSEAVPVMAVAAGGKQPVNGSAMAGIDKLVTSTVGKSTNILWHDCPIGQFERQKLLNQKGCVVWITGLSGSGKSTLACALSRELHSRGHLTYILDGDNLRHGLNRDLCFEAKDRAENIRRVGEVAKLFADAGLICIASLISPYGSERSACRKLLHNSTFIEVFLNVPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSDCEIVIECKAGDCATPKSMADQVVSYLEANEFLQD >SECCE6Rv1G0418550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:663490339:663491779:1 gene:SECCE6Rv1G0418550 transcript:SECCE6Rv1G0418550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAVLTLIALLGTVRTCQAGYGYPTPVTSTPSTRSPPLPYTPTTPSPPPPTHGTPSPPLPYTPTTPSPPPPTPVTASPPPPYTPTTPSPPPPTPATPSPPSPYTPSTPSPPTPTPATPSPPPPTPSPPIKGLTVGYYKKSCPRAEDIVRKVVSDANPGIKAGLIRLFFHDCFVRGCDASVLLDQTDPNSPTEKFGTPNLSLRGFEVIDAAKARIEKECGSDVVSCADVLAFAGRDATYFLSNKKVDFNMPAGRYDGRVSFKNETLPHLPPPFATVDQLKANFASKGLTADEMVTLSGAHTIGISHCSSFNSSFSDRLNPSTSDMDPTLMSSLRAQCKSDTGNDNTVVQDIKTPNKVDNQYYKNVLSHKVLFDSDAALMTADDTSAAVRANAKDNGVWEEKFKAAMVRMGAIEVKTNVDGEIRRKCGVVNSY >SECCE3Rv1G0169130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:232786735:232787025:-1 gene:SECCE3Rv1G0169130 transcript:SECCE3Rv1G0169130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKVLCTCILIIIVSSSQAEARWLTAATAGASKGKEPWGALGSNSRSFRATSGETGAAGAVLDGEAMSTATTVESRGTAPGNSPGIGNKGKIND >SECCE3Rv1G0211270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:948278467:948281412:1 gene:SECCE3Rv1G0211270 transcript:SECCE3Rv1G0211270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQSNSVRNHFILVHGLCHGAWCWYKVVAALEAAGHRVTAVDLAASGAHPARVDEVHSFEEYSRPLLDVVAAAPEGDGERLILVGHSHGGLSLALAMERFPGKVAAAVFAAAGMPCVGKHMGVTTEEFMRRTTSEGLMDCEMLPINNNQGAGVAIIMGTDFLARKNYQQSPPEDLALAKMLVRPGNLFMEDPVMKDASLLTDDNYGSVKKVYVVAKADSSSTEEMQRWMVVLSPGTEVKEIAGADHAIMSSRPRELCDALVKIANCLNNS >SECCE7Rv1G0460000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:28255092:28258012:1 gene:SECCE7Rv1G0460000 transcript:SECCE7Rv1G0460000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWHPIMPAASAALLVFIVCISLPAMAAAAGVSSDRSMSLPGCPDKCGDVLIPYPFGIGEDCAAASRNSYFNLICNSTIDPPRPMAGPPEAVAEVTDISLEHGEMRVLSPVSHICFKSNTTFTKFNGGYRLQDTPFLPSPSRNHFTVIGCNTMGLIGGQKGTANQYVAGCYSYCDGVNNTSDGAPCAGMGCCESAIPANLTTFEIIFATNQSKVWGFNPCFYAMIAEVGWYNFRQQDLVGNLGFIEHRAGRGAPSIADWAIRNGSCPEEGKNAPNDYACISANSYCMATNNGPGYLCQCSKGYEGNPYLLNGCQDTDECALRKQDHKYEDLYPCRKGICHNTPGSYLCECKTGKRSDGTNFGCQSLHSPAQILVIGLSVCVSATVVMALTCMLLMQFQRKRHKREKDEYFKQNGGLKLYDEMRSRQVDTIRILTEKEIKRATDNYNEDRVIGCGGHGMVYRGTLDDQKEVAIKKSKAVNDDCREEFVNEIIILSQINHRNIVRLLGCCLDVNVPMLVYEFVSHGTLSEFLHGADPRSPIPFDLRLKIATQSAEALAYLHSSTSRTILHGDVKSANILLDDELNAKVADFGASALKSMDESEFIMFVHGTLGYLDPESFTSRHLTDKSDVYSFGVVLLELMTRKRAIYTDSFNEKESLSYTFPLMFHQKTHHVMLDSEITDDAGMVLLENMTELAVHCLSQRGNDRPTMKEVAERLEMMRRLHLHATNGHDDNRFARNYRGSSSVVVPFDETTHGTIHMSELVEDLER >SECCE7Rv1G0490410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:489260890:489281558:1 gene:SECCE7Rv1G0490410 transcript:SECCE7Rv1G0490410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRSAAPARQLLLLPRQLGAARSMSRYYARDEVSRFDALSTPVNWGVSIVPEKKAFVIERFGKYLKTLDSGIHGLVPLVDRIAYVHSLKEEAIPIPDQSAITKDNVVIQIDGVLYVKIVDPYRASYGVENPIFAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVRSINEAATDWGLKCLRYEIRDISPPPGVKNAMEMQAEAERRKRAQILQSEGAMLDQANRAKGEAEAILSKSQATAEGIRMVSESMRAEGSAEAAKLRIAEQYITAFAALAKNTTTMLLPSDAGNPSSMIAQSLQIYKHISQSSSPKGGNQQAEESEEAGPQEEADTFGLPSVTSSMPPSTQTGHGSTFSLQKRKE >SECCE7Rv1G0520860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:872228965:872232878:1 gene:SECCE7Rv1G0520860 transcript:SECCE7Rv1G0520860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2FC [Source:Projected from Arabidopsis thaliana (AT1G47870) UniProtKB/TrEMBL;Acc:A0A178WB46] MDGSPSLPLPLPRPRPAHRPPLPPQVFLRCPAPPRGAVPAAPPHVHYFRAPSPIPVYSPRLPGPRYIAARPPTPPPPAAAPAVAAPPRPPQASPAQLPPPRPPQPVYENVVPPARRRGRPKSKAPENEQKVEIENAQSEVGKGENSQGHHKESTTGPTKGIKRARKPHASIEGDAANNCRYDSSLGLLTKKFINLLKGAEDGTLDLNKAVEMLEVQKRRIYDITNVLEGVDLIEKGLKNTIRWKGFDTLMPKEMERQASALKEELASSYDESCRLDEEILEAEEKLQALKLNKDKKKWLYVSKEDIRKIPRFQGSTLIAVNPPHGTCVEVPDPNADMDICEDLESQEKRYRLLLRSSMGPIDCYLLSGHQGVSDPEQVAQDNLDHASTAGSSDAPRPLGDHPSESPEKGESDTVGKHTSEPSSTHELMSGILRITLPDTDVDADYWLASDVEATMTETWAT >SECCE5Rv1G0345830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:659208722:659209174:-1 gene:SECCE5Rv1G0345830 transcript:SECCE5Rv1G0345830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKRLAQMAKKWQRMAAIGRKRLTRTTSAVKGAANNERCATSPSVALKGHCVVYTADSVRFEVLLAYLDTAVFCELLAMSQEEFGFVGGDDGRIMLPCDATVMEYALCLLRRDASMEVVMAFMSSIARPCSFKGSVVGVGLNQQVAVC >SECCE2Rv1G0120160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:797232564:797235812:1 gene:SECCE2Rv1G0120160 transcript:SECCE2Rv1G0120160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFASLSPAADHHRSSPVFPFCRSSPLYPAGEEAAHQHQHQQQQHAMSGGARWAARPAPFTAAQYEELEQQALIYKYLVAGVPVPPDLLLPIRRGFDSLASRFYHHHALGYGSYFGKKLDPEPGRCRRTDGKKWRCSKEAAQDSKYCERHMHRGRNRSRKPVETQLVAAPHSHSHPQQLQQQAPAAAFHGHSPYPAIATGGGGGAAGSFALGSAQLHMDNAAAPYATAGAAGNKDFRYSAYGFRTSAMEEHNQFITAAMDTAMENYSWRLMPAQNSAFSLSSYPMLGTLGDLDQSTICSLAKTEREPLSFFGGGGGGFEDDESVVKQENQTLRPFFDEWPKDRDSWPELQEHDANSNAFSATKLSISIPVTSSDFSTTAGSRSPNGIYSR >SECCE1Rv1G0061020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:713326445:713326798:-1 gene:SECCE1Rv1G0061020 transcript:SECCE1Rv1G0061020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPATIVKTRAVCVLLLLLLLSADVRPQSVDGPAPPAPPEVAVTIEADADGRGNGTRAGAGAGAGTGNTARKVLSTIDCQICESTCRVKCLINNLFQWGTCYQHCKADNCNDWCR >SECCE1Rv1G0007870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42939983:42940335:1 gene:SECCE1Rv1G0007870 transcript:SECCE1Rv1G0007870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRMTASALLLLLLLVATEMGATRTKVAEARDCLSQSHKFKGACLSSSNCAAVCRTEHFPEGECHTPHLARKCFCKRPC >SECCE6Rv1G0399160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:431918146:431918530:-1 gene:SECCE6Rv1G0399160 transcript:SECCE6Rv1G0399160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRSPRYQGLSTYEKEYLATVVAVDQWRPYLQHSEFIIHTDQKSLIHLEEQRLSTPWQQRAFTKLLGLRYTIRYKKGTENTTVDALSRARS >SECCE5Rv1G0349200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682285732:682288192:1 gene:SECCE5Rv1G0349200 transcript:SECCE5Rv1G0349200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPAAALMAFLLQLSLVAAAAAQAAGGSASCPTTTSCAGVNVPHPFSIREGCSLSGFNLTCDRRSGGERLLIGGAGGTLEVMEISLANSTVRVRNTAGSVRLEGSRISGPTRAIGRWDGLGAGAAGGPFVVSASLNRFVLTGCNVLAKLIGDRDNVIVGCAAFCAVTDGLNNTVSPEDVAECAGVGCCKTPITIGRPSYRLNFTGMDPAQEMDKLLATVSVRVAETDWFNTADARANSSRGMAMPLVLEWALDSRRLRQPEDPPGWATTGCPNDAGKSECRSSHSSCINVTNNYRTGYVCRCNDGYQGNPYVAGGDGCQDVDECARPDMFMCFGVCTNTPGGYHCGCPPRSRGDPRIRDGCVKSSLGLGLSIGIGIGSGAALLLMVLGAIFVTRKLKRQRAKVSKQKFFKQNRGHLLEQLVSQKADIAERMVIPLVELEKATNNFDKAREIGGGGHGMVYKGIMSDLHVVAIKKSKVAIQKEIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYQHLHVEETEASLTWVDRLRIATETARALAYLHSAVSFPIVHRDIKSQNILLDGTLIAKVSDFGASRCIPLDQTGDETAIQGTFGYLDPMYCYSGQLTEESDVYSFGVLLMELLTRKKPCSYRSSGEKSLVAYFTSLLAEGDLSSVLDPQVMMEGGKKVEEVIMLAAACVRMEGGQRPTMRQVEMTLESLQVPHENAMMDVTDEPSYAMIEGGSTEEVSRQYSREEDYLFSSRCPR >SECCE4Rv1G0290400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:872540504:872540734:1 gene:SECCE4Rv1G0290400 transcript:SECCE4Rv1G0290400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPNLETVKIKGCWSLRCLPTVSGNNEKLPNVDCEKEWWDNLEWDGLEANHHSSLYEHSHSSYYKAQLPRGTVLR >SECCE7Rv1G0493710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:573282506:573286506:1 gene:SECCE7Rv1G0493710 transcript:SECCE7Rv1G0493710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAATQEGEASGSETTRALRRGQSSTAAARPPMTTRSMALVRARQSLGSQSPHEPTVRSNARKSKRDSAANKKSKKRERTGEEEEEVSSADSSPIRKPHIPDEMYRLDDLDTSKAIEKARRDHLDKIARHMELPTLNVHMCRASMCVLDDPNLVPDREPARKAVLNAARSVLGLSSSVGGKPLARCCGFWVDWDEKNKIGTALTTSSLICTKSASVNSWLGQEEYDIDADVVVHMQGGTTEKAILQYHQKHYDLAFFSVRMDRPVHILSFNDGVKRSDQVFELVRDERSFLRISHGVVKLLNPNLLERYHYMHVHSADPRPKYGIGGPLIDFGGKIVGMVNGNTIGSFIPSSILVKCLHLWRKFRCIPRPQLGMKFCSIKFVDIALAENILCKCNIDDGLIVKEVSYGSPAEKLGILVGDVINCFNGERISTTVELENMLLSKCEDENNSLDSEVNVKLDVFHIRKSLWSDRTLTVKVSDDGEVVAKGGMYPLQIYSI >SECCE6Rv1G0412250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613458464:613459440:-1 gene:SECCE6Rv1G0412250 transcript:SECCE6Rv1G0412250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSALLPRAAAVRIVAPRARPAAAASRRLCAAAAGEAPSSPAAPRRLVLYTKPGCCLCDGLKEKLHAAVLLAGTPYSLASLELQERDITTNPEWERLYQYEIPVLAKVLPDGTEEILPRLSPRLTVELIQKKVSSVFDQ >SECCE7Rv1G0481880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:293802565:293806846:-1 gene:SECCE7Rv1G0481880 transcript:SECCE7Rv1G0481880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALASAGGLAMAASTSFTPGQLSAFLGFLWVLAAWVYAEVLFHRKNAASLKTHSDINLSDMDSSSNKGDDQTMLLEEGGQVAGAKPVYASFTSQMLRLFCMDQTLLLEHRLTLRAISELGGHLLYFYVCDRTNIFGESEKNYSRDLFLFLYFLLIIVAAITSFKVHQDKSTFTGKSVLYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLGRFAQMMWRLNFFVAFCCIVLNNDYTLYYICPMHTLFTLMVYGALGILNKYNEIRSVIAIKFVGCFLVVILVWEIPGVFEIVWSPFTFLLGYDDPSKPDLPRLHEWHFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEEAETRTKLYIKASIVTVSLTAGYLWYEYIYKLDKITYNKYHPYTSWIPITVYICLRNFTQEFRACSLTLFAWLGKITLETYISQFHIWLRSKVPNGQPKWLLTIIPDYPLLNFMITTALYVVVSHRLFELTNTLKIAFVPRDNKHLSYNFVAGIAISVALYSVSFALVGIAGY >SECCE4Rv1G0233040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:223847813:223849379:1 gene:SECCE4Rv1G0233040 transcript:SECCE4Rv1G0233040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNMALPLLLALAALLALLPSASPATAGTAGAFDSWLSANQKDFAINQALYAKKAVGDTGSTIDESLSKAEDNKTTYVVDPKGGGDYKTITAAIDAIPEGNTHRVILDLKPGEYREKIFLNLSKPYVTFKSDPKNPAIIAWSDTAATLGKDGKPVGTVGSTTCAIESDYFMAYGVVFKNDAPLAKPGAKGGQAVALRTFGTKSVFYNCTIDGGQDTLYDHKGLHYFKDCLIKGSVDFIFGFGRSLYENCRIVSIVKEIAVLTAQQRTKTIEGAIESGFSFKNCTIMSEGGGDIYLGRAWGDSSRVIYAYTEMSKEVVPVGWDGWNIKQPESSGIYYGEFKCSGPGSDARKRVGWAVDLTEAQAKPFIGTHYIFGDSWILPPPTGNSAPSKKGSSGGATATSPAASPASSPDSASSPESGDSASSPKSSASSPKSAYSTASKTKKKEL >SECCE3Rv1G0146210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:10649389:10651951:-1 gene:SECCE3Rv1G0146210 transcript:SECCE3Rv1G0146210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQHGGVLIGGVRFESQRFRLLSIVVGCFLISVTFLLSTRPEATAFDTLSPKMAWLEETRSTPARSAIKTVKSSSSSSSPRGLGRDFLVDVAPKLGDAHGRQPQQSATAGEKTETEWVKDTVIIQESSAVAAERAEQEEAEQGHSADAGAGEDATPGATEEVVRDGAVPTTAAAITALPAVETTPTPATTTRHDQDQLLPVPDEETRKADGRMMKLHAEPATTEQQQQQLPTPGRLETPEPERAAVVQQPLPPLCDFSDRRSDVCDFTGDIRMDANASSFVVVVDAATAAQSHKVRPYPRKGDQTCMGRVPEITVRTTSSSSTPPPPQCTRTHSVPAVTFSIGGYTGNIFHDFSDVLVPLYNTVHRYRGDVQLVMANVAPWWLVKYDKLLRELSRHAPLDLAAAAAKGETHCFRHAVVSLRAHRELIIERDRSPDGLATPDFTRFIRRALSLPRDAPTRLADGTGRKPRLLIIARHRTRILLNLGDMMRVAEEAGFEAAVSESDVGDSISRVGAEINSADVLVGVHGAGLTNMMFLAPGATMVQVVPWGGLQWIARMDYGDPAEAMGLRYVQYEIGVEESSLKDKYPRGHKIFTDPTSLHKKGFGFMRRTLMDGQNITLDLARFRGVLRQALGNYLVQ >SECCE4Rv1G0258200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:676086078:676087829:-1 gene:SECCE4Rv1G0258200 transcript:SECCE4Rv1G0258200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDQEGSGSGSGRRGRPLVFDELRWVVQIRESLTEDGDDEDDNGIPVSVFNVPKQLLVHKPEAYVPQFIALGPYHHWRPELYEMERYKLAAARRAQRRLRPAGLKLEALVAEFADRLERKIRAYYHRYLDFSGLTLTWMMVVDGAFLLEFLQIYAAAEDGGKPALRRVSSRMAHLVDFAGRKSAHGLILRDMLMLENQIPLFLLRKILEPQCASADEAAVLLTSMVTGLVKELCPFKMIDGAFPAIDVAKYAHLLELLYYLLVPKPPAEDTTAESQDHDENYDIEEQPADGDGEEKPSGGGSEYVAQLFAALWGMASKLGKGPLHYVMRPIAFAVKAPWKMLTVVPGMSGMKHPVESFFMSGADGRGDPSSSSTAGHLSRPPLIEEIMVPSVSELINAGVQVAATTGDLSTICFDCKTATLHLPVVTLDGNTEVMIRNLVAYESSAASGPLVLTRYTELMNGIIDTDADVALLRQRGVVLNRLKSDGEVTKLWNSMSRSTRLTKVPAVDMAVEQMNKYYDGRWRVKTKRFMRRYVFSSWQLLTFLAAIMMLLLTTLQAFCSVYTCSRWFGAVTVTAASGE >SECCE7Rv1G0523470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882226299:882232394:1 gene:SECCE7Rv1G0523470 transcript:SECCE7Rv1G0523470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAAGMAKSVVFGVVAKANAAIEEEAQLRQSVQRNLVFITGEFNMMQCFLSVTDSERLKNPLLKMWVQQIRELAYDVEDCLEFVLHLDKKNRWWLRLLKPASLLLRPCMDLRGPLPLDVAVDELEYLKTRVEDIGSRIMRYNHINDSDYATIAQPATHRTGGVSAFNRLLEAANTNQEGLEVTTLLPEKDQDLRVISIWGTGGGDLRMSSIAWNAYIDKETCEKFPCRAWVKLTHPLDPHVLLRSLLAQFYAATSAGGGVQALRKTEEATRGGDPLKDFEQLVLENRYLVVLEDLSTMAEWDAVRRFLPNTKNGSCVILSTQKFEVASLSVGHPYQVLHLNQLAAEHSVYAFFTQGSGNDGDNIMDSNNGLSISNFKKQAAMKWIDEHPLVGRESELKYLGIKVIMARAKSYQVMSVWGLAGVGKSALVKNMFSDKVLNCKLFQKYGWVNVSHPFNLWDFSRTLLSNFGSEYLQDIEPAYLDSTGSRNPISECHEILRSHRCLVVIDGLQSTEEWDLIKAGLVSGSNRRNVIIVVTSDQGIAAHCRGDKGELLFNVKGLEDDTAIELFKKVSMKREVAVLRDLTAICGGLPKVIVEIARSFANNTYPWKHALSTNNKFMLELEYSSEFHSLRGLFGWMNSYFRHCPDYLKPFILYLPIFPRNHPIRRRRLVRRWIAEGYSMDSIEESAEMNGEKQFCELLNLSIIQQPSAFGLDDIRLVLCQVNGFFREYIVSRQMEENLVFELSGSCAVTTQRTGRHLVISESWDRDIIVFESTDLARLRSLTVFGRWESFFLSENMKLLRVLDLEDVSDIEYSDLERMVKLMRRLKFLSLRGCHEISHLPSSVGDLGQLQTLDVRHTSIVTLPASITKLWKLQYIRAGTTAAANDSPTTHHLLPQLSNFSSGRHVIGVAVPRGIGKLTALHTLGVVNVNASGNKVVLKEIQKLNHLRKLGVSGINKNNSNRFFLATRYHVHLESLSVQLEDNNEGCLDGIPLPLQSLQSLKLYGLGDRLPNWESQLTMLTKMDLEIVKLTEDHVSPQSGLLTPEGKKKPTKDVIKFLSELPNLCILRLGVKELQNDELNVSIITNDLEEDSFKKMKIFEIACGSNSSSKVTFGEKTMKKLEQLTVEFCSGSSFFGLKHLRELKEVLLKGSSCDEALKTDLLAKLANHPKEKKPVVKMEKRLCSRRS >SECCE1Rv1G0061760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717297112:717298019:1 gene:SECCE1Rv1G0061760 transcript:SECCE1Rv1G0061760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATAREARLYGPALAVRRWEYINAGAYMFGTLLLAAGLAALCASEGGVGARDAGLAVAGVALAVVAAVNAHDLGAHLAGVDCRVGLARFDPQLGIVEFLAPALHAAGCVLAIVGLALQLFSQGDRLERRAADALLAGAVLWILGSVLSSCQVYERADGRAQLLQSSVQVPVLLGSLLFLVAAVLHHRREPTLPGEGESERWISLCGSVLWVAGALFNVLKVVVMHQSDAPRLEKLRGGAQERLARDREGRVPLVWRSAAPPTELR >SECCE5Rv1G0357550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:747677053:747679427:-1 gene:SECCE5Rv1G0357550 transcript:SECCE5Rv1G0357550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLQRRPAAAAAASARGEGQPPGGQRVIHCDVEPAPRAWPGMQMLALAAILVLGGLQFLPATHFRHPADRARTWVPFDPSRHPQDLSHEVASVDVFSWISCLDLRTLAVLTNSTLSSSSDPHHVSFNFLIPEGGNDQLPFYKIKSVLPDSNITVTSQKQTKDKLNVATPEGNFFWSFRNELSPIITAATQISRKRYVYFSADSIIKGKIEDLVSIDVGSYAIGAAEDCSKRIGDYVSMDVLNAVQRTAPKGLVYSTGPFDNDACLIDFDVLLVEPRNLKRNLVASIMFWARAVSLANQSDSVRLAMTLAFYGDYLKLPTNWKRANANTDVLYYDGPKNVCSEDGRQHQDKGSGETWQQYLGPNSDSMLST >SECCE4Rv1G0269700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749843380:749844417:-1 gene:SECCE4Rv1G0269700 transcript:SECCE4Rv1G0269700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLLRATVALVILVLLFMPGAMAATAASFDASRTQQLPLPPGEVHGPESVAFDAQGRGPYSGVSDGRILRWDGPKLGWSTYAYGPGYDSETCTTSRYGTEADVESRCGRPLGLRFNHKTGDLYVADAYKGLMRVLPGGGEATVLVDQIDGMPLRFTNGVDVDQVTDHELVTKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIEGVDAGKSEPFSDLPGYPDNVRPDRKGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGSKKVRPTEIMERDNGKIYLGSVELPYVGVVKSK >SECCEUnv1G0557340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:322299003:322302274:-1 gene:SECCEUnv1G0557340 transcript:SECCEUnv1G0557340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEGQRPQQATGADMDPPARLPDPVPSPILKHSPSVSRSLRSAADTSSVTFAADFRSRSKPESTSPSFESFRTARSRPSSVSRSSTRRSASERAGSQRDLRDEDARFVYINDAPRTNAPPAMFPDNSIRTSKYSLLTFIPRNLYEQFHRVAYIYFLILAVLNFVPQLLVLSKEAGVLPLAFVLGVTAVKDAYEDWRRHRSDKNENNRTASVLVDAVFRPKRWKDIQVGDVVRLVSNETLPCDMVLVSTSDPTGVAYIQTINLDGESNLKTRYAKQETMSTPTEALAGVIKCEKPNRNIYGFLATVDLNGRRVISLGASNVMLRGCELKNTAWAIGVAVYTGRDTKVVLNSSGAPSKRSRLETHMNREIIALAVALVVLCSVVSLLAGIWMGDHVDQLAIIPFFHKYDYSGAAEHDRERYNWYGTGAQVVFTFMSAVIQFQVMIPIGLIISMELIRVGQAYFMVQDNRMLDEKSQARFQCRALNINEDLGQIKYVFSDKTGTLTQNRMEFRCASVQGRDFSEADGGEEDGHAVQADGVVLRPKTAVKTDPKLAALLKDGTGAKASRARDLFLALATCNTIVPIVEDTAKLVEYQGESPDEQALVYAAAAYGHKLVERTSGHIVVDVFGTRQRYQLTTPHVLQKSICFS >SECCE6Rv1G0413240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:622736001:622740088:1 gene:SECCE6Rv1G0413240 transcript:SECCE6Rv1G0413240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g27270 [Source:Projected from Arabidopsis thaliana (AT5G27270) UniProtKB/Swiss-Prot;Acc:O04647] MPSAPPPPPHTPLAAPTAAVSVTCSYREDDDGAASWSLSSSPSSSRGRRPPPYRRLLHDEARRLRQERRGQGAGAETPRWVRRTEGQMARYVEDDRAGHVHGRHVVAAARAARATASRPPAGGSTMREAMASFVAKLTFREMCVVLREQRGWRQAHDFFSWMKLQLCYEPSVVAYTILLRAYGNAGKIELAEEAFLEMLEAGVEPDAVACGTLLCAYARRGRHGDMMLFYAATRRRGVAPPVSAFNFMLSSLQKHRLHGKVIHLWKHMMEMEEANNVVPNHFTYTVVIGSFVKEGLLEEAMDVLGKMRASRLVPDEATYSCLISLSSRHGRGEQVVMLYEEMRAHGIVPSNYTCASLLALYDKSEDYSKALSLFSEMERSRVVIDEVIYGILIRIYGKIGLYDDAQRTFEEIDSAGLLSDEQTYVAMAQVHMNAGNYDKALQVLGLMRLRNVKPSSFSYGALLRCHVAREDIAAAEDVFRALCKYGLPDVFCCNDLLRLYVKLGQLEKASAFILNMRKEDIQLDEALCMTVMEVCCKSGMIADADNILKEMNNGGVTMKSSTMVSMIEMYARNRTSVMQEQDSSSKALACRTDSSALNAALKSLFDTPRGSSIACQLIRKLAREGRTCEAKFLHEQLTQLGVKPEDSATATLIVQYGQEQKLHQAEELFELASTRFPIGGPVYNAMVDALCKCGNIEEAYHLFMKMADQGHSRDVVTISILVNHLTKRGKFQEVENIIHGCLHGEVELDTVAYNTFIKSMLESGKLYSAVNIYDRMISTGVPRSLQTFNIMISVYGLGGKLDKAAEMFAAAQELGLLIDEKMYTNMLNFYGKAGRHQEASSLFNRMKELGIMPGKISFNSMINAYATSRLHDEAEIVFQEMQSHGQVPDSTTYLALIRSYSESRCYSKAEKAIQMMLSSGITPSCPHFSHLIFAFLKADQIDEAQRICSQMQEIGVAVDLACCRAMMRAYLEHGRVDEGISLFETTRGSLKPDSFILSAAFHLYEHSGSEPEAGDVLEAIGLNGASFLRSLKVGSKLEPT >SECCE3Rv1G0154460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:60896062:60896470:1 gene:SECCE3Rv1G0154460 transcript:SECCE3Rv1G0154460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAKKNTSAMSCFVATLMVVMTATLLLSSCDARKQMVQPHAFPLPASCYSKHFPNCTDERCKKFCGGNDMPPVPAAFCNDNNNCCCPVI >SECCE6Rv1G0431790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:747626247:747630850:1 gene:SECCE6Rv1G0431790 transcript:SECCE6Rv1G0431790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANLALAGLSLAPLVINVNPNLNVILTACLTVYVGCYRSVKSTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPTIKRFLPEGWNDNAIVWRAPYFHSLSVEFTKSQVVASVPGFFFCVWYAMKKHWLANNVLGLAFCIQGIEMLSLGSFKTGGILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTAGMTVTIVVMNWFQAAQPALLYIVPGVTGFVAVHSLWNGEVKPLLEFTETQPEEEGTAEEEDEDSSQSKKVD >SECCEUnv1G0543840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:119555195:119555737:-1 gene:SECCEUnv1G0543840 transcript:SECCEUnv1G0543840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPCAASVLLLLLALAAATAKESIASEPRPSGSIYPRPAAKDIIPSEPTIPRPAAKDIIASEPTIPRPALVDVSATCMGSLLELSPCLAFFRDAGTSKAPAGCCKGLGSIVRDQPACLCHIFNHTLERAIGVGIPVNRALALVRDVCGLTPPNNLMASCADAAAVPPLYVCPAPSA >SECCE1Rv1G0009540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:55343057:55346089:1 gene:SECCE1Rv1G0009540 transcript:SECCE1Rv1G0009540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGGSKMLGVVNFITFLASIPVLGGGIWLASRANSTDCIRFMQWPVIIIGLAVMVVSLMGFAGACYRQTWLLRIYLFAMFFIVVALLFFIVFAFAVTDRGEGQVVMNRRFLEYQLSDYNGWLRNRVADPEYWATISACLRDGRACASMRRPARDPNTGMLVTEPPVMFYGRNLSPVQSGCCKPPTSCAFTYNNETYWSANPGVPTVVTDPDCLKWSNDQQTLCFQCDSCKAGVLAGIKKSWRKVAIINIVVLIILIIVYVAGCAAFRNAKRDDNDESYGMARMTKSRPSRFQF >SECCE6Rv1G0412430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:614619549:614622218:1 gene:SECCE6Rv1G0412430 transcript:SECCE6Rv1G0412430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDGVDGGGEGGGLLCGDFMELRPDKGGARELVHLLWSPNVAENDAVDCPAGTEIGSARRRWAVFVSLVAQMLLLWAKRPVALLGRAAEYWMNLLNENGGGVLALLANALHGKVKMPDRASLNYRSCIGLLDTRVELDKKIKPGDSNYHAALSIMAAKLAYENELVISSVVRNRWHMEFLGFYNCWNDFEGDYTTQAFMLADAPAPDATLAVVAFCGTKPFDTEQWCTDVDFSWYQLPGAGCRVHGGFMKALGLQRRGGWPRDVADPTGAGDGRPFAYYAIRERLRAFLDGSPGARFAVAGHSLGGALAVLFPTVLALHGEEAVLGRLQGVYTFGQPRVGDQRLGAFMAPHLENPSRYFRFVYCNDIVPRVPYDDSTLLFKHFGTCLYFDSFYRGQVTAEEPNKNYFSVLAVAPKLVNAWWELARSFLIGYAEGPEYAEGWLMRLARVAALVMPGLPPHAPQDYVNATRLGAASLGPLELAGQPL >SECCE4Rv1G0296030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:901338832:901342121:-1 gene:SECCE4Rv1G0296030 transcript:SECCE4Rv1G0296030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDFDDEDQNVPYAYSTPDAGTLQQDTAQNVWVVPHPATKRAPLQKNEQRVLLELIGASSTSERLGLDLVAVLDISYSMTGENRLGRMKTAMQFVIKKLSPNDRLSIVTFSDDAQRRCHLRSMTQASKARLEELVDGLEVINMTNMEAGLETGHKILNSRRIARGRVASIFVLSDGDQNKGDATTVDVSDVAVYTFGFGADSNHKVLGEIAMKSKGGTFNFVEDGKSMSESFSQILGGLLSIVTQDLKLTVSPKPGDSTIEKVHAGLYLQTRDDNTGSVTVSFGDLFAAELRNIMIDVLLPAVHTSRNVTIIIASCTYSINGIPFVSHEFRVTISRTGSADPSSPISEAVLTEQVRQIYIENLRQAITLADSVGLQGANNKLVEIRNNLHLEQSNSMIDILRAQLDKLLELISSGMGLNALRACLLSMMMLHGRQRVTETGPIMGHKLYVTQFTDMSQKQAGAHEKDPTKVPPPASQDVEQAKIVRDQQGIKRPPAVVETTTGSWRTWWRDWKSHHDSSRWTMVILCTVLAVAMIVIGVILLAVYLLNMPKMPYLAVSDAQLGALQYAQQHGTIQVLQMPITILAENNNSKADATFSRVDLALQFHGVDVALLRTPAPFVVEAESSLPLQYNVVSTGRTLDPAGMRSMDESLNAGMVPFDLRGKARVRWKVGIFLKVHFSTRISCRLHFFFPGNSTVMSTDLRRCRSR >SECCE1Rv1G0039070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:545381701:545384532:-1 gene:SECCE1Rv1G0039070 transcript:SECCE1Rv1G0039070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRERSARAMSSAPPPPLLTNELSRRTPVLGLRLWVLVGLAVGAAFLLLLALISVHLAVAARRRRPKGKAAPAPLSPTTIPPVSKEIQEVAVHVGSLRHYLEMGAAYLKDGGKDGGDSLCGSVAHGSQRVHIEAGKDRRMVAADAEAGSVQSDPASSVGAGPEVSHLGWGHWYTLRELDEATAGFAPDRVVGEGGYGIVYLGVFADGRQVAVKNLLNNRGQAEREFTVEVEAIGRVRHKNLVRLLGYCVEGAHRILVYEYVDNGNLEQWLHGDVGPVSPLSWDARMDIVLGTAKGITYLHEGLEPKVVHRDIKSSNILLDKRWNSKVSDFGLAKLLGSDSNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIISGRCPVDYARPPTEVNLVEWLKKMVSNRDYEAVLDPKLPEKPSSKALKKALLVALRCVDPDSQKRPKMGHAIHMLEVDDFPYRDDRRTLRPCQGSPLEKSRKPVTESGHSSCYDGNTSTAATTPSRFQ >SECCE2Rv1G0117370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:772218217:772220068:-1 gene:SECCE2Rv1G0117370 transcript:SECCE2Rv1G0117370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDGDEGSAVASPGNVTLVDPSSQCDIPTSEATPISQAATPTSEVATLTSQPTSSRAGSKRKRTSAVWDEMEEKFEKGQWKAECNHCHKKITVGPRAGTTHLRLHLESCPAKHAPIGPKQQKLRLTKGEGGKVNMENVIFDQEKSRRDLALMICEHEYPLSVADHSGFRRFCSSLQPMFKMVCRNTIRNDIVAMHSAQKEKIVIFFATFKHRVAITTYLWTACYQKRGYMAVTAHYIDDSWNLKSFLMRFAYVPCPHSVEVICEALYECLVEWHLERKLSTVTLDNCTSNDKAMGILPDKLDTSSLMLDGQLLHMRCVTHILNLIVKDGMSVLEKGIERLRENVAFWSATPKRHEKFEKMAKTLNIEYNKRLTLDCKTRWNSTYIMISIAVKYIEIFEKLKGHEKKLKCCPTKDDWKFAKEICDRLKLFFDITESFSGTKYVTTNLFFPKVITIRLAIRKWGKSNIELVQKMSEEMKDKFEKYWKDIHGLMSVATVLDPRYKLHILNALYGPLYGREHGTIEIEKVKKLLIQLVKQYKDEVGDEDAWDASVVEELGEEDEAMKLYDLYLSSHPTVPSSSIHT >SECCE7Rv1G0473140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:154095585:154098572:1 gene:SECCE7Rv1G0473140 transcript:SECCE7Rv1G0473140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPGPARLALAVLVLLSVLPAYRSDDLNTDAQALEALRKAVGRSALPSWNSSTQTCQWQGVACENGRVVELRLPGAGLMGALPSGVLGNLTALRTLSLRWNALSGPIPDDVSRMTELRAMYFQHNAFSGEVPAALYTLRNLVRLNIGQNKFSGEISPDFNKLNRLGSLILDSNDFSGEIPKLDLPTLEQFNVSYNKLNGSIPHKLRKMPKDSFLGTGLCGGPLGLCPGETAETPAGSPAALPGAGGSAADVAGGKKKKLSGGAIAGIAIACVFGLLLLLALLFFLCRKKKSSSAPRSTAAVEKGRDLGMGPLDAEPKGQNGNGVHGGAGAAAGAVPAAAAAAAVAAKSGGGSTAGSKKLIYFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMESGAAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRSSGRTPLDWEARSAIALATARGVAHIHSTGPTASHGNIKSSNVLLTKSYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPNMSDAAARIDEIRRSASSQHATTTDAAAAPAPEGDEPSL >SECCE6Rv1G0387620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:117642123:117643445:1 gene:SECCE6Rv1G0387620 transcript:SECCE6Rv1G0387620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAAAPFREAPDDVVDEILLRLPCPSSLMRAAAACASFRVLVSSPRFLRRHRALHPDTAAPFLGVFSSVPAHGESGAAFHPCDPPHTAAAAARDVAGAADFSFAFLPTPPDAATGAERPGWLVRDYRDGRFLLDRASCCSTFDSDIVTDLAVCDPLSRRYVLLPPIPEDLAASANNPLGVLGGRRWCEPFLAPADAEGAKDEEETSFVVIWTARCPRKVVALAFSSRDGRWRALPSPDCFVWRRHRSPFSCPVHAVWNRRHYAHGRFYWADCLTNRWLVLDVSAMELSVQEMPAPARFWEENVAVVEGANGAVGVFAHDFYHANGNASLNYYTIPHEADGAATLWRLEKTIPLPWSTTHGRPFCIRGAANGCLIIEVNQDSPRPFMSSSHDIRDVELFKIDVGRLELERVCRARCSGGVSDGWWPYFGFPPLLSLPTV >SECCE3Rv1G0211990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954064033:954065684:-1 gene:SECCE3Rv1G0211990 transcript:SECCE3Rv1G0211990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQSNSVRNHFILVHGLCHGAWCWYKVVAALEAAGHRVTAVDLAAAGAHPARVDEVHSFEEYSRPLLDVVAAAPEGDGERLILVGHSHGGLSLALAMERFPGKVAAAVFAAAGMPCVGKHMGVTTEEFMRRTTSEGLMDCEMLPINNNQGAGVAIIMGTNFLARKNYQQSPPEDLALAKMLVRPGNLFMEDPVLKDASLLTDNNYGSVKKVYVVAKADSSSTEDMQRWMVALSPCTEVKEIAGADHAIMSSRPRELCDALVKIANCLNNC >SECCE4Rv1G0215640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:5635257:5636890:1 gene:SECCE4Rv1G0215640 transcript:SECCE4Rv1G0215640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKTVVVVVQENRSFDHMLGWMKSLNPDIDGVTGAETNRIVVADPRSKAVPFRDGSEYVDPDPGHSIQAIYEQVYGVPFVDAQATPITQPGVVSPPMSGFAQQAEKEKPGMAETVMSGFRPDAVPVYRELVREFAVCDRWFASNPASTQPNRLFVHSATSHGLVSNKTKALVAGLPQTTIFDSLHDAGYSFGVYYQYPPSVLFYRNLRQLKYIGNFHPFDLEFRRHCREGRLPNYVVVEQRYFDLKILPGNDDHPSHDVSEGQRFVKEVYEALRSSPQWEETLLVITYDEHGGFYDHVPTPVGVPSPDGIVSDAPFFFNFDRLGVRVPAFFVSPWIEPGTVLHRPSGPHPTSEFEHSSIPATVKKIFNLGSFLTKRDAWAGTFDVVLTRDTPRTDCPATLPEPVKLRPTEAVEHAPISDFQAELVQLGAALNGDHAKDAYPHKLVDGMTVADAASYCNTAFKAFQDECERCKKSGKDGSHIPTMPPSPLPPSKKRSGFASKMLACFACGHS >SECCE1Rv1G0040890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:566802095:566810895:1 gene:SECCE1Rv1G0040890 transcript:SECCE1Rv1G0040890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVGADDTGGGPGVGEIVEASGGELERAVPPGSRSAAGKTARFAESVPAPRGGAPSRLDRSMSSAPAQALEGLKFISETDVSDGWTRAARFFDNNAQNDLLPRSMFGECIGMKEVAFAGELFDTLARRRGISVDSIDKAELREFWDQISDPSFKSRLQLFFDMVDKNADGRINEVEVKQIITLSTSANHLTITAQQSEEYVKLITEELDPHGLGYIELSSLEMLLLKPLSGSISIDTPTNGGRLAEANSHKRFCRRARYFLKDNWRRYWVMLLWLSICIGLFAWKFMQYRHRAVFQVMGYCVCVAKGGAETLKFNMALTLLPVCRNTITWLRSRTMLGRFVPFNDNLNFHKVIAVGISVGAGLHIISHLACDFPRLLHASNDEYKPMKPFFGDMKPSNYWWFVKGTEGWTGLVMLVLMSIAFTLATGRFRKRELRRPKPKKPESLLEAKNPIILPGLLDRLTRFVSASHNRLTMLVNASFNRFTGFNAFMYTHHLFVIVYALLIVHGHFLYLTKKWQKKTTWMYLTVPIIVYACERLTRTLRSRVRSVEKIKVAVHPRPASLLSLHLSKPEGFRFKGGQYIFVKCPHVSKFEWHPFSITSAPEDDYVSVHIKALGDWTEGLRNAFSAVCPNTEGKNEIRRVEYDRDDAKSDPRFPKILIDGPYGAPAEDYKQYEVVLLVGLGIGATPMISIIKDIINNTKRLGDIESGNASPSTSFQTRRAYFYWITREQGSFEWFRGIMDEVAETDKDGIIKLHTHCTSVHKEGDARSAPLTILQSLYYAKHGIDIISGSRVKTTFGRADWREAYERIAQENQGKRVGVFYCGKPMLTTELRSLAKDFSRKTSTQFEFHKENF >SECCE1Rv1G0003470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13960915:13961231:1 gene:SECCE1Rv1G0003470 transcript:SECCE1Rv1G0003470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAIKGLFISCDIPMAQFIVNMNAAMPASEKFIVHILDPTHMFIQPNMGDYIKSKMAEFRDQNSYEKPT >SECCE7Rv1G0498410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:639759019:639759669:-1 gene:SECCE7Rv1G0498410 transcript:SECCE7Rv1G0498410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLYPRLSASSRHSDADADGNDDVARYRAFYGIAVACVAILLFCVLAATVGPWKAGAFAAAVASLLVVLGCFAPRTSWVRRRTGRPVSPVLALTARSGGRPRAPGRCARAHVVDAPEAFAYVCPLETGDSDGELAVRSCVMCPVCLEDIHGGEMVRQLRACRHLFHVECIDMWLDSHMTCPMCRCVISPPPPPMTAKASEPEEAPQSLDEVLPPV >SECCE2Rv1G0105700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:624296489:624297569:-1 gene:SECCE2Rv1G0105700 transcript:SECCE2Rv1G0105700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKREEYYYDADDEDDFYIRNDDDLLEAPTLNSEQMARAREEAMYVLKTKSPEEAFKIFTEGSYYKIDGPPVAPEQEGKAPTTATPPTAPANVKEQPQTNVPPSGK >SECCE3Rv1G0164110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:157118228:157122763:-1 gene:SECCE3Rv1G0164110 transcript:SECCE3Rv1G0164110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPKRPHEEGSHSTPAKRPLDDSSLYSSPSGKLIQPGGSDFHGPFEHDGRFAKVPRVESRDDKRSPLTHRMPVGSSNFVDHPTSSDSRLESKQNKDARDTKVDDREAKADARDVHSDSRIEFQGNKAETDVKTNNRADDNEIRVDRRVHGDFIGDVVKSDKDSHPTGTSNIAWKDNKDHRGKRYVDQPDDSAGWRFLRPGMQATDETLKVQTIVEERNSKDAHESTGENKIEPKSEDKFRDKDRRKKDEKYRDFGARDTDRNDRRIGIQLAGGSVERREIQRDDRDAEKWERERKDSQKDKENNDREKDSAKKDSFVAVDKENTMLEKTASDGAVKPVEHESTAAEMKTLKDDTWKSHDRDLKDKKREKDMDTGDRHDQRSKYNDKESDDTGPEGDAEKDKDTFGSIQRRRMARPKGGSQASQREPRFRSKMRDGEGSQGKSEVSAIVYKAGECMQELLKSWKEFEATPDARNAENQQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDVYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQDSYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGAIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNSSCRYELCFSGEKPRSIGSNSNASDLESEKHQNNSHHHLQNGDRGATELELRDMFRWSRCKKAMPEVAMRSIGIPLPAEQVEVLQDNLEWEDVQWSQTGVWVSGKEYPLARVHFLSAN >SECCE7Rv1G0487390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:425853159:425859444:-1 gene:SECCE7Rv1G0487390 transcript:SECCE7Rv1G0487390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMLPVVDLCVLAQSDLDALAGASLHAVSPRSCPDADPLPPLKIDRAVFNESAGSRKQTFSRLRFAAAASSSLPTSPSSMTPSAGNNPENHLVAYHLRRLFAREDPSYPPSPPPPPETLALPSPDPDRETTNSKGVSVDLVRLAGLVDPYGEELRRQTTVLMSEAELMEFINCLEGQWVSQRRRRKFVDAAFFGDHLPTGWKLQLGLKRKGRHAWLHCIRYVSPRGHQFRTCKEVSVYLMSLLGYPVAVTVPIQYNSTRQHALSDDDGEDDAVGFQHQIGSNVDNLNVLPVTSVTFSSRSCNSKSTVEGNTNPANTYKCQNCNLTLHNQSAYVQHQLLFHEKKAKRRRKSSKFGEPKVGKDGKFECPVCHKTFQEQSRYFGHVGAHARHEGLTPEAFFDKISSGRAVNNFLGELQFTPQELTEPTEQKGKSAGEACSQHQSYSKEQGGDSSKVIELFSTNCLGSFNRRGEAWCRQVEAPPITDARSACRYRNDMMDYANVTVPKAKVAPASNVEPNSRLNGFAEVADFSDHKGSGHDFRPSSFSSAKHYEDQIVDRGFSVSKHGEVNNTVKARDVNLNSCLDTISFPIASANNQTSTAIDEANQSPIAGKCFIGSFNNDGASTTSSCSGSNNKVSGSLGRSNGSSNAARCISASYGNDAAANIFGNKNNTMVYQSSLNTCPISHVATNVDSFASRSTHAKNSDKERAYNTKEQMNTTQNRTSNEAVEGYNNDVYTGSITERSLAQCSNNLSHPKPNIPSRCALPDLSTLTASNLTKGIDVNCMNGSFVYRNDANVESPSVNRPVSNNESMGSVHAMLGKRSNDMQNHYNGRAPNYTPLAAANINDLIPMQSNFDGMPTLVHSVGDVPRSSTTQDQCALQLGFGGQKQHAFPGYGWAAFGSPQLVGLARNNNLHTGSSQFGSMVRPSSSPSGSSQLGSVASSDYLRTGSSQFGRMAGPSIPAAESSQFRHMDGPNSKHSAESSQYWHMAGTNSRPPTGSSQFGSMARPNPVPSTEPSQFGSMARQNFGRTSEPTLVLGNVPQTGSVPPAQSGWDLKVPRMVSGGNMLAAVCTWCNSQFHHFGPVDGQQVGNYGLICPSCKDKVSGQRNMPNNGLWQP >SECCE3Rv1G0198390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:831699250:831703595:-1 gene:SECCE3Rv1G0198390 transcript:SECCE3Rv1G0198390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAGGYGGAEAHHHGHMLLHNHAHHMAAAAAAASGGQLYHVPQHSRREKLRFPPDAAAEDSPPTPLAHHHQQQQHQAGAWPPPAFYSYASSSSSYSPHSPTVPQGQQLVLNGLTAQQVTAQQFPQIPTQNFSLSLSSASSNPATTPPAPRKQEPGAAAPAAGPYGPFTGYATVLGRSRFLGPAQKLLEEICDVGGAAAHADSSVPDEGLLDADPMDGADDAAGHELDAAGPMSGAEQQWKKTRLISMMEEVCKRYRQYYQQVQAVIASFESVAGFSNAAPFTALALRVMARHFRCIKGMILSQLRNTSKMPVKEGMSKDITIFGLGGGGGGAPVGGFQRGGSVNGFGQPHNIWRPQRGLPERSVTVLRAWLFEHFLHPYPTDSDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQVHKHSPHDKGQQHGVHGQAHQHSSQQQQQRSGKRSEPCDSHPGQSSGVTRNHHHNAPAASSHGGFPDDLMSHSMQQGQVTFAGYGALPSQQQQQQHGSMASPQHHHHHHVGVGGPGNGGGGGGVSLTLGLHQNNRVCFGEPMPPNLAHRFGLEDVVSDPYVMGSFGGGQDRHFAKEIGGHLLHDFVG >SECCE3Rv1G0190210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:736154397:736163775:1 gene:SECCE3Rv1G0190210 transcript:SECCE3Rv1G0190210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTEGIAALFSMYNDDDEEDADEPGPPSPPPPAAATSPSTSPRTGGESSNPNSNPNPSPEHSPPPLPEEQAGRKTLASPQVSPALPPLPSRRSPLPFAVSSPSPSRPPLSAPPPDLPRPPRRGALAIVDYAHDEMAMSPDQEDGEIMSGIGGLGSDTQDAEGILEERVLSGTVHILTPKVLSESSQHLDAPEQNQMGEDVPVDITGTEAEDARVEEASDISTNVQNDDPLSRFLPPPVTTKCSTALQQKINKFLGYKRSGKSFNAEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFNPYGYDKSDYYDEIEADMKRELERKEQEKKRNPRIDFTSSGVQPPINPSIAKISAAISAAAVGGVSVPASADTVQKEARPNKKSKWDKVDGDTKNPAAASGLDNRSAAGGSATLLTSENAGAGGYAAFAQQKRKEAEERRTSDYKSDRRS >SECCE7Rv1G0481790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:290497196:290502029:-1 gene:SECCE7Rv1G0481790 transcript:SECCE7Rv1G0481790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTNGATSLPMTALSDAVLFPFDGFSADDFFATAPPPPPPPLGADPGRLLLASSRNDVHASTDLAVAAPVETTGSPSALAPLPLTPSSGQRTSCYRGVTRHRWTRRYEAHLWDNTCRREGQKRKGRQGGYEKEDMAARAYDLAALKYWGVNATTNFPKENYIRELEEMQNMSRQELVASLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAALKFRGDNAVTNFEPSRYNLEAIARSDLPVNGRRLSSHRPAAAAPQAQGQITFVSSAPPIPQQSGSDNVPVPPYVLHGLLQLQASEPLHALPLSSYSFGEPSFYWPFGDVEEKVQLDSKVEVAGGILQLANSAV >SECCE3Rv1G0158200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:86115621:86116404:-1 gene:SECCE3Rv1G0158200 transcript:SECCE3Rv1G0158200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCGGELKLLGMWASPFVVRVQIALRLKGLTYEYVEEDLQNKSELLLRSNPAYAGKVPVLIHNGKPVCESSVILRYIDEAFSGAGSSLLPADDPYGRAVALFWAAFVDDTVLKARSQALSGEMGEEKEEGRKKAAAAMETLEGVLRESKAKFFSGRDGPGYLDVMLGGLLGSMRASEEMGGLQTLDPTTTPLLAAWAELFCALDAVEPVMPSVQRLVQYAQAMQQPRTAVSSTAT >SECCE1Rv1G0025680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:357651743:357654965:1 gene:SECCE1Rv1G0025680 transcript:SECCE1Rv1G0025680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPAEIELHKGGEGDVSYADVSGGAMWIELLEQSLKAGLAPRSWTDYLYITVGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGETVTCSPTKNTELFSAVLGGLGQFGIITRARILLQEAPQKVKWVRAFYDDFGTFTKDQELLVSMPDMVDYVEGFIVLNEQSLHSSSIAFPANMDFSPDFGSKDSPKIYYCVEFAVHDYQRMNTNVEQVVEDISVQMSHIASHLYSVEVSYFDFLNRVRMEEMSLRSSGLWEVHHPWLNMFVPKAGIRDLRDLLMDNISPDNFEGLILIYPLLRDKWGTNTSVVLPDSGSTEQVMYVVGILRSANPDEGCSHHCLQELLRRHRHIADTARVRIGAKQYLAHHPTPAGWHQHFGPHWERFAERKNRFDPLSILGPGQGIFPKGNNEVYAS >SECCE2Rv1G0098780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:495270281:495275982:-1 gene:SECCE2Rv1G0098780 transcript:SECCE2Rv1G0098780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVNTLRRLVNVISEGLSSTVSPKENCSTDAVVAKGSECGPASDKSKELRPHSVPSGNNLFHCDAHEKLISGELNLGCRSIPEASEDYKMIPNEDRAVSGPFDVIGCETTPKALLRRRAERKITNGKASIQAIVPTGTSASPDVKHPKMVLSLLTRSRSKAMALSVSTPEHLKPRNARSGQLVVPRLDSGSQKIIYDVAKVPITPLVEQSSAGKALPSTPSQMIKTPTPYGRSPLLRNKDKVLSVATPETPKLRSSRSGRLIVPRLDPGTQNIIYDADGSICGVTNSELQQPQGCNSEPPAKRRMSRCSSPDHGRLLLF >SECCE5Rv1G0335030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:570092067:570096861:1 gene:SECCE5Rv1G0335030 transcript:SECCE5Rv1G0335030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPRGGLDEQIEQLLQCKPLVEPELKALCEKAKEILMEESNVQPVRSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYPHRLTILRGNHESRQITQVYGFYDECLRKYGSANVWKTFTDLFDYLPLTALVESEIFCLHGGLSPSIETLDNVRSFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >SECCE4Rv1G0293290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885559550:885560089:-1 gene:SECCE4Rv1G0293290 transcript:SECCE4Rv1G0293290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVALWYIHNDSARLNTLKKRSKNLMKKAGEVATLCNAKACVLVYGEGTTVPEVFPSHAEAVAILNRFKSMSEVPQLKKTMDQETILSRRLTQLRHQVEKIKCEREDREAGILLHTAMVSGHLPGNIEEITTMAWKLELILKSLGECITKISGHPPVYQAQVPYVTGGMDMGSPMY >SECCE6Rv1G0453770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:884574784:884577555:-1 gene:SECCE6Rv1G0453770 transcript:SECCE6Rv1G0453770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPSSQFHSHQHQLLLLLPVILLVILAAAAQAQGQQPVPRPGCPDKCGNISIPFPFGMGRPGCFREGFQVVCNHSSDPPRAFLAGSRRFKGEAYSNDSQSYSDSSWSPLELMSISVATGEARVYAAVSYRCSTNHNESFSRRQELDFFDTPFAVSATRNVLIGVGWEAEAKLRWLVAAMRTSFTCRAQHGLSGPHAWNGTCTGWGCCQTTLPPDYGPVKMFLLFINGEYYNPYWETHPCSYGMVVEKSWYNFSTPDMYGDKSLLKRFPQSVSLVLDFAVGNTSCPAEGYPLPPDYACVSGNSSCANATTYTPGYICKCWDHYDGNPYIANGCQDIDECKHPEVYRCSSRICKNRVGGYDCPCKFGMKGDAKAGTCTDVFTMATDFIKENSKATVGAIGGILLMVVLSFLVIFHKEKKKTKEFYKRNGGPALEKAKGIKIFKKGELKPILKNNNIIGKGGFGEVYKGLLDNKEVAIKKPINGSVRENEQFANEVIIQSQVIHKNIVRLIGCCLEVESPMLVYEFISQGSLHDILHNKNNKAALNLDARLTIAAQSADGLAYMDSQANTRILHGDVKPANILLDGNFAPKISDFGISRLLARDKEHTASIIGDINYMDPVYLQEGLLTEKSDVYSFGVVILELISSRRAIHSENNSLVKSFLEAHKEQKKATEFFDKEIAIAEDLELLDSLAGMAMECLSLDVDQRPTMMEVAGRLHILSRSRKVQDVCH >SECCE2Rv1G0113510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:723244106:723244890:1 gene:SECCE2Rv1G0113510 transcript:SECCE2Rv1G0113510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVPVPLSEEEEIRSMINEGIVDPHLQEFFQTMQSELQAARESPTLALLDWLDGLEDAATAFTHEIVEVEEGLRHGAAVFDGRAGEEALVSALRSQAAWCGARRAEAAALLADDDGTADEQELVGSAASAFIELLAREMDGGGVPEADAARAGAIDAAARAGQGVGARFAATFVGLAERLRRRAEAYAGTGEDQAVAEAVRRHAAAVEALCADPEALVARMLASGCWRLWRYLNRHAPTPTPAAHPHLL >SECCE3Rv1G0144160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3246373:3248552:-1 gene:SECCE3Rv1G0144160 transcript:SECCE3Rv1G0144160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFHYTPNISVVVISSTLSLLLAKTGAENTLGQAVCPSFSCGHLQDIQNPFRLRGDPPGCGVQEYELVCTDGQAIIHIDTGRYFVTNISYSDLVFWVVDASLDNSSCPLPERNQHPYICGLSSANTTLLFPDSGQWAAFVSCSRMIKDNAIYRPVACRSTNTSFVYVLTTMLSYLVQNVEPSCGYLAMTPLGSWRPMPNDFAGYDYEDVVKFMRTGFALRFPLYSAPSPRTYSRIINRCLNGSVSNFHEKMSSSNILDQTWAIVGIDMHFLRCVNDYSYQTKLFWAAVVIVSAISIVKFIIVFAVLSRLVFAPLSVLAFLAYKYWLTKISVDAVERFLQMQLALGPTRYAYTDITAITSHFKEKLGQGGYGSVYKGVLPGDVHVAIKMLVSSMSNGEEFISEVSSIGSIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLEKYIFSPEKSFSWDKLNQIALGIARGIDYLHRGCDMQILHFDIKPHNILLDSDFTPKIADFGLAKLYPRDNSFLPVSAARGTVGYIAPEMVSRSFGAISSKSDVYSFGMLLLEMAGGRRNVDPRASRSQTYYPAWVYNQLSKQEVGEISEAVCILHQVERKLCVVALWCIQMKPDDRPAMSEVLDMLEAGDDGLEIPPEPFFCGDEFAPAADSSVLSEISSFSG >SECCE7Rv1G0523410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882016679:882017037:-1 gene:SECCE7Rv1G0523410 transcript:SECCE7Rv1G0523410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFNGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLVH >SECCE3Rv1G0193480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:770493813:770498624:1 gene:SECCE3Rv1G0193480 transcript:SECCE3Rv1G0193480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSESERTEAHLLVGCAQPPARTWQRKFDDEGKKVAVYSMTMNDLMTMVPFIAKMVRLYIQESAKGQASVYDPFRKWMDNCYRGVPLGALGAGSIGRSYRGYFQHFQIFPTVYEEKPILANQFSAFVSRLGGKSYSTVLSAPNADVLKGIDKAGIGSWDWKLKEKNCTYHGLFPRSWTVYDGEPDPEIKITCRQISPFIPHNYKESSFPVAVFTFTVQNSGISPADVTLLFTWANSVGGKSELTGNHTNSRMKARDGIHGVLLHHRTADGHPPVTFAIASQETGDVRVTCCPSFAMGPSVPGGEQFTAKDMWEEAKNRGSFGGAATASASSKPGSSIGAAVAATTTVPAGGTRAVSFALSWSCPEVKFPTGRTYHRRYTRFLGLDRDAAAEQLAHDALLEHMKWESLIEEWQRPVLHDKRLPEWYPVALFNELYYLNAGGTIWTDGLPPKKTSFASSKYGSTTMESFSLDGFHPGDPAADGILRAMASAEERLEASSAFGTALLGDGEESVGRFLYLEGMEYHLWNTYDVHFYASFALLSLFPEIELGLQRDFARAVLHHDPRPMPTLDGATVPRKVLGAVPHDVGLGDPWFELNAYMIHDPARWKDLNPKFVLQVYRDVAATGDAAFATAVWPAVYLAMAYMDQFDRDGDGMVENEGRPDQTYDFWSVSGVSAYTGGLWVAALQAAAAMARVVGDRGSEGYFLERYGRARRVYDGELWNGAYFDYDNSGGATSKSIMADQLAGQWYARACGLEPIVEEEKARSALGTVLDYNVMRVQGGAVGAVNGMRPDGAVDTSSIQSREVWPGVTYAVAAAMVHEGMPEAAFRTAKGAHDAGWGKDGFGYAFQMPEAWTADGGSGYRSLHYMRPLCIWAMQWALSPPELHRDVRVLPGLVSAVASPAEVVLAREKFEKVASMLRLPEEKQDKGYLRALYQILRQVLLGRG >SECCE1Rv1G0009390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:54216999:54221536:-1 gene:SECCE1Rv1G0009390 transcript:SECCE1Rv1G0009390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQGNNLSDFFQANGHLVLERVDNNYKLRSFTEKEIEHITDRYSISLGSGSFGDVYKGRLDDQRPVAVKRYKNGTKKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLYNILHCSNADGPIPFPLDKRLDIAIESAEALSCMHSMYSPVLHGDIKPANILLDEKYLPKLSDFGIARLLSTDEAQRTKTVIGCIGYVDPLFCQSGILTTKSDVYSFGVVLLEMITRKKATDGATSLTQCFAKALGEKKVRQLFDVEIANDKKKVKLIEDIAKLAATCMKLEDKMRPTMVEVADTLRRIRKALPQRKGESSAGINNGLIRRRKAEDVPTISLDEMKKITRNFSDGALIGESSQGRVFFKVLKYGRGYAFKSSKEIDLKIEAISRLKNENVVQLLGYWVEENEYVLAYEYVSGGMLHDILHREGDKGVSGVRPLSWKQRVKIALSAAEGIEFLHQKAEPQVTHGNIMSSKILLFDKDSAKVGGVGISNVLVSDNMVHCHSFREDCDMDRVDGIRYHPDDYYVDLYAATGQCNAKSDVYAFGVVLLELLTGRQAVDHALPKGKQSLVTWVYNHGEEKSPWTWQHSIMEDNVLTKTSFSEDMVQRCVDPRLKRYYPRSAVTKMGAIAGLCVNYNPDLRPNMSTVVKGLRQIAAQ >SECCE1Rv1G0041270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:570341387:570344740:1 gene:SECCE1Rv1G0041270 transcript:SECCE1Rv1G0041270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAQLVIGPAGSGKSTYCSGLYQHCETVGRRIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLENYLDDDYLVFDCPGQIELFTHVPVLRNFVEYLKRKNFTVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEDYLNPEAQVLLSQLNRQMAPRFHKLNKALAELVDDYNMVNFIPLDLRKESSIQYVLSNIDNCIQYGEDADVKVRDFLPEEDD >SECCE3Rv1G0146660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12040944:12041837:-1 gene:SECCE3Rv1G0146660 transcript:SECCE3Rv1G0146660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNESLARFRLLQERSHATLSDIAATKAASSRSTKPWPSQAQPAPAPRPAAKPSPSPSVPAAVVRFSDDTARLRKINEVRRSTVGQQMKTVIDLLDKTREALTTHQINQRTYVDIDGNRTLADSLRNNPKVRFDGRRYSYKPTHDVKAKGELLTLIKSFPDGLPASEVDDAYPAVLEDLQALKSSGDVYLLPGEGGIIAYPNDPRFKMEVDAELKKLFHDIKLPREMIDIEKELRKNGEKPATDTVKRRAAEEAHGRQPKPKKAKKKSRGITSRTKLTNAHLPWLLDMPVDSKDII >SECCE2Rv1G0113460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:722905894:722908271:1 gene:SECCE2Rv1G0113460 transcript:SECCE2Rv1G0113460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGGGDGDRRRRKPRRRSPARLPPQPQPRPDHVALAGEASSLADVAVKQVSAPMPEARALAPPPPRSPLAVEVAEEVAAAASPGKEPRELSEQKTVTSPTPTVDVVEEVVTAASPGRELRQLSEHKEAPARSLLLEKVVTPPLPARELRQLSEHKESPGRSLLQEKVLTPPLSPVKCSPVAAAVASTPAMELREVSEEDSRSGGKKKVTFDMNVTTYENTSSPDQEEIPSELVKWMEDEEEENMQKTVLFSENHRYGNCTDSDDDNGDEYGEDDNYGDDSDAEEDFVDCKIDLLDEEEIRTEENPEESQESLFSLPISNYTQNDQDVSSPVPKSSVTPAQEESPLIQGNNHRDRSQYVRPVLNPVQNREQWKEVKAQAGPVKKLYKENVNSVPIVGATLTCKVANQTKIGPSNSSKGEVSVDASLSTWLVSSDNSTVDKAQSKSPRSVSSVCRQERPVLGALTVDDLKQSSATSSPRRSPSHNREEVPIVGTVGSYWSSTKQGNEYCSSRSDSGTNGIPNSTSKYREDRRVNWHSTPFNVRLDKAMKKSSA >SECCE4Rv1G0288500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861077410:861078561:-1 gene:SECCE4Rv1G0288500 transcript:SECCE4Rv1G0288500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRECVVSRLLSPSSTSTISPLLRLLSAAPISRNPGGFAVEEYLVATCGLTRPQAVKASTKLSHLNSPAKPNAVLAFLSGLGLSGADVAAAVAKDPQLLCAKVERTLAPKVAGLTGLGLSRPDIARLISLTPDRFRSRAMVSKLQYYLPLIGSSDNLLRMLKTDSGLLSTNLDKVVKPNVVFLHECGLGARDIAKLCIHVPRMLTAKLEHVRAMMACAERLGVTPASGMFRQTLQAVAFLSEEKIATKLDYLKKTFRWSDAQVSIAVRKYPSLLRTSSGALQQRSQFLLSEVRVEPAYIAHRPVILGYSMEGRLRPRYYVIKFLKANGLLGQYRDYYSIVMLSEKVFVEKFICPHKEAAPHLAEDYATACKGEMSSNFRFT >SECCE4Rv1G0251250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:600912954:600913964:1 gene:SECCE4Rv1G0251250 transcript:SECCE4Rv1G0251250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSASRLLEEPHRVLKKGNTKEEEEEEARDWAELPLDVLLAVFHKLDHIDILTGPDMVCSPWRRAAMDEPELWRRIDMRFHFAEENPRVLFEMVRAAMRRSAGRCEAFWCEGDFRDNVFSLLGDAAPSLKSLRLIGCNNIVDSTFKVLITKFPMLEELELSNCWHRFPRTLEVIGDACPLLKRFRLSQRRFYSATVDDSAAMAIAMMPELRSLQLTANSLTNSGLELILNGCPLLESLDIRACYHVCMDDDMQAKCSRIKTLRHPEDSMADYDLSFNYTSPMPCWSTKPIEYAMSCMSPY >SECCE6Rv1G0439630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:797887678:797888601:1 gene:SECCE6Rv1G0439630 transcript:SECCE6Rv1G0439630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNRRGGSGRKKTVIRRIEQEDARHITYSKGRLGLFSKATNLAVLTGAQVAALAFSPGGKAFSFGHPSVESVVDRFLAGEGARVGAREQGAAGEDEKLDKLQQEFDEFRTELDGAKKLKKLHEEVMAKERAMGDQIAAWVDPKVRDMGDEDMAAFFAALMQVKDAVSERANQVLCEAMHFDKSRMAPLLPPQLFGGSTFEFGSSSGSSNAGMEIQLPQGQGFEAGIDMQQIMELPPAQGVAAGVDTQQQMVMELPPAQLVAPGMPQPPGLDDHMWMDIQQLLMEMPPSPEDPAGMETNAGFHFPY >SECCE4Rv1G0252810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:618908609:618909880:1 gene:SECCE4Rv1G0252810 transcript:SECCE4Rv1G0252810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRSRSRRPTGASRLEAPEGRPAYVCAPKSLDYPVPATASRHWAPDPVVRSGRPLRGPTVYLRMTPEMDLEERRTGYAFITPDPEAAGLEVADTKALVRSAIHAAAPGLQFELLAVTPMMADMCLLFASPEDRVAAIAKQTFERDGYSVQIGPDPKNSRPARADYLVQINLHNYPKEERAEDDIHSNCSSIGHVIEIDPACFTAPDLSPLRLVVQADHPREIARELRIRYVGFYGSGHVCPREVARSIMPVEIVKIWDLSRSNDGNARYVSPFKPSAQVVPDGQPAAAEELLPVPGSRLDYLVHINLHNYPREGLAEKDITSNCSSIGLVVEIDPACFAAPELSTIRMVVQLENPHDIPQELRIKYGGWNGSGGIWPREGARSVVGSVVPVEIVKVWDQAPSKGGNGRYVSPFKPSPASVF >SECCE6Rv1G0449630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863631921:863632247:1 gene:SECCE6Rv1G0449630 transcript:SECCE6Rv1G0449630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAASSAKEDLSLDLTLAFAACVAPSAPRPGFFLCVYCDRKFRNAQAFGGHQNAHKQERAVAKHHRDAWRAAATSRISGEPGAGSMAYKRGRSSSERDHELDLSLRL >SECCE1Rv1G0052380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:661202689:661204086:-1 gene:SECCE1Rv1G0052380 transcript:SECCE1Rv1G0052380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVSKHWTRVRTIGRGASGAEVFLVTDDTSGELFAVKSASAACAAALRREQRVMAGLRSPRVVSCIGGRGARDGFYQLFLEFAPGGSLADQVANGLDERAVRGYAADVAAGLAYLHGTGMVHGDIKARNVVIGADGRAKLADFGCARKAGLGVPIIGGTPAFMAPEVARGEEQGPAADVWALGCMVIEMATGRAPWSGMDGDALAALHRIGYTQAMPEVPEWMSADAKDFLARCLVRQASDRCTAEQLLEHPFLAKAGVEEKSQAVKSKWVSPKSTLDAAFWESESDTEEAEQDSSAELRIRALACPAAALPDWDCDEGWIDVLSAPIDAVTEETTELDNNAITNEEPSAAASGVLGINLDLECSAVVGAGDAYGDDSLGQSSRHRSLNALASHRLPCKLLLCNRMSNAMIDLVLAPALCFILILCLPSRASSPTIATRLISREKFTCCYLACIANQHEVAWP >SECCE7Rv1G0493460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:567724851:567729627:1 gene:SECCE7Rv1G0493460 transcript:SECCE7Rv1G0493460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGLEQTKARSHIDCAQPATRTWQRKFDDEGKKIELFSMTMNDMISIIPMILKGLMINADQRGKGRDILYDPFRKWMDNCYRGLPLGGLGSGSIGRSYRGYFQHFQIFPALYEEKPILANQFSVFVSRPNGKSYSTVLSAPTADALKGVDKAAIGSWDWKLKEKNCTYHALFPRSWTVYDGEPDPEIKITCRQISPIIPHNYKESSFPVAVFTFTVQNSGSTPADVTLLFTWANSVGGRSELTGNHTNSKMIERDGVHGVLLRHRTADGHPPVTFAIASQETEDVRVTDCPFFTMGSSDSGDFTAKDMWEEIKQHGSFSETRTGKEPRASKPGSSIGAAVAAATTVPAGGTRVVSFALSWSCPEVKFPDGKTYHRRYTKFCGLDRDAAAESLAHDALLEHMEWESKIEEWQRPILQDKRLPEWYPVALFNELYYLVAGGTIWTDGRPPNMSVASSGTATEPFSLDVFRADTPAVDGVLSEMTSVTEGLHSAAAFGATLLGDGEENVGQFLYLEAMEYHMWNTYDVHFYASFALLSLFPEIELSLQRDFARAVLLHDPRPMRTLNGKTVPRKVLGSVPHDVGLNDPWFELNAYMIHDPSRWKDLNPKFVLQVYRGVVATGNVAFARAAWPAVYLAMAYMDQFDRDRDGMIENEGRPDQTYDLWSVSGVSAYTGGIWVAALQAAAAMARIVGDGDAECYFRARYLRAKRVYDDELWNGTYFNYDNSGGKTSSSIQTDQLAGQWYAHVCGLEPVVEEEKARSALGTVLDYNVMRVKGGTVGAVNGMRPDGSIDMSSTQSKEIWPGTTYAVAAAMIHEGMLEAAFRTAKGAHDASWSKDGFGYAFQTPEAWTAEGGYRGLHYMRPLSIWAMQWALSPPELHKDLGPVASAGDASVGQDKFEKVASMLRLPEEEQHKGFLRALYHILRQVVLPAWDETCLLPSCVPIRAPAYVA >SECCE4Rv1G0243100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:496142154:496144513:1 gene:SECCE4Rv1G0243100 transcript:SECCE4Rv1G0243100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPM1 interacting protein 4 [Source:Projected from Arabidopsis thaliana (AT3G25070) UniProtKB/TrEMBL;Acc:A0A1I9LMJ4] MAKQQKNAHVPKFGNWDNDGNVPYTVYFDNARKGKGGKPINPNDPVENPEAFSSSVVAPSPNRSFDQGRPALPPASPPPAHHHERRPSDAPAPAPPLSPYHRNAGGEPPRRGPGGGRAGGGGYSVEQSPAPSPLHPYGQSRAESSDGSGYGLVANSVDRPRARGASRGNETPTRGSAVPKFGDWDSNPASADGYTHIFNKVREEKSTQAKAPGLGKDNVAYGNGARQHDDGYVSSSRWCFGWCK >SECCEUnv1G0558100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:329717060:329717356:1 gene:SECCEUnv1G0558100 transcript:SECCEUnv1G0558100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTDRESPLRGLSPSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE6Rv1G0386930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:103479187:103479429:-1 gene:SECCE6Rv1G0386930 transcript:SECCE6Rv1G0386930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYLSRVCARVVQAAVRAEQPASTMSKPSPVAQVPHHRSRLSGGMADQTPVAAKTAAATTRKAEKDENVMHLICWGPD >SECCEUnv1G0532510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:21125217:21130719:-1 gene:SECCEUnv1G0532510 transcript:SECCEUnv1G0532510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAGDAEYSFAAEYDGPPLPYSLPRAIPLDLSHIPLAALSSSSPPPTGSSPLPVVRPLTPSSLCSAIHAHQQAAGPPRSSGGPAGGVGAQAVADSPTSVIENHHAAAHHSAELPSSPSDADDDDKEEEEGPGMPSVPHQPTVSFAETSCSLLESSEEEEDDEDEDETADEALPAAARSSGSLSPAHFEAGNHPTGAKSRGCYRCGKGGGFWGRGDKEACLACGARYCSACVLRAMGSMPEGRKCLSCIGRPVAESKRNALGRGSRVLRRLISAAEVQLVMRSERECTANQLRPEDIYVNGARLSPEELALLQGCSCPPSRLRPGFYWYDKVSGFWGKEGHKPHCIISANLNVGGTLVQKASNGSTGILVNGREITKSELQILKLAGVQCAGKPHFWLNADGSYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKMTNQCGEEVINVVNRPEYLEQRMTQKLLLVGSGTSTILKQAKFSYKSIPFSMDECEDLKLIIQSNIYRYIGILLEGRERFEEEVFADRRKLCQHDPSSSGRSELGFCDEEVTEYSIVPRLKAFSDWILKAMALGNLEDIFPAASREYAPLVEELWKDPAIQATYRRRNELPFLPSAASYFLDRVVDISRSEYELCDIDILYADGITSSDGLASTDISFPQLALDARVADELDPQDTLLRYQLIRISNKGLRENSKWLQMFDDVSLVIFCVAVSDYDEHYEDANGTVVNKMIESRQLFESIALHPTFEQMNFLLLLTKFDLLEQKIGKSPLTACDWFAEFTPLVSRNLIDGTSRSKRGSHNGASLAQMAAHYIGMKFKRLFHSLTERKLYVSYVNALDQQSVCSAIRYGREIVKWEEDKPVFGSSETVYSGDEPSSYSH >SECCE6Rv1G0390450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:184474684:184479924:1 gene:SECCE6Rv1G0390450 transcript:SECCE6Rv1G0390450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSAFSTSSHSGEPEAGKDSSTIVAVDREKNSQQAAKWAVDRLMARGSTLQLVHVRVNQSTQTGEAGHGVDADAEMSQLFISYRGYCARKGMHLNEVILDGNDISKAIIDYATGHAITDIVVGASTRNTFIRRFRNPDVPTCLMKMAPDYCTVHVIHKGKAIQVKAAKAPAPFTTLPPKQNSQPNIEPDAFARSSREWRKFSNPSSPRTSRTSVDRLSGYAKAPTKDRHLLSGRQAPQKDFDDYIDFIAPPRPSVTRSSFSDDVDFPMSMELNSLDYAESLELSSYASLESLSSAGKDVEAEMRRLRLELKQTMEMYNSACKEAIDAKQKAAQLSQMKVEESKLYQELRSSEEEALALVEMEKAKCKAALEAAEAAQKIAELEAQKRLRAEWKAKREFEERRRASETDPRYRRYSIDDIEAATHKFDRALKIGEGGYGPVYKAVLDHTNVAIKILRPDASQGRKQFQQEIEILSSMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGNTKPIPWNIRFRIAADIATGLLFLHQAKPEPLVHRDLKPGNILLDHNFVSKISDVGLARLVPQSIAEVTQYRMTSTAGTFCYIDPEYQQTGMLTTKSDIYSFGILLLQIITARSPMGLTHQVEHAIEKGAFQEVLDPTVTDWPVEEALAFTQLALKCAELRKKDRPDLGKEILPELNRLRTLGHEYEAAQVSSTSYSSAAPYSFNNDDVSSP >SECCE2Rv1G0063900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:412010:415528:-1 gene:SECCE2Rv1G0063900 transcript:SECCE2Rv1G0063900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDNHLQDMEHLVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRMYRGLADRYDIMSGELHKANHTIATAFPDQVQYAMLEEEDDNVPKAFTPIDPRKIHKSTVDGLLKKKKGDAAGAGGDKKESAPMSKENAREEISRLQKAILVMQTEKEFIKNSYESGIAKYWDLEKEINEMQEKACHFQDKFDVSAVIEDDEARALMTATALKSCEDTIVRLQEQRKTAARQALDESERVKVLREKLKPILDEHGKSLPDLVEKNIRKNHVTEMGDVYHVKLGELQMQTLVDKIKENFTSDQNITMDEITEQIDELVNKVVDLELMVSSQTSQIDRMHRENEELENSIKSLEEEKGSSELEEKLKQVEEELVRVQVLESSFHKDESTIRSNFTETISRFSNFADMLQSPVCDYQAAAHTSAPTGEEAPPPTEPSSKSYPPPHPPKEEEHKQQVEEEKASVVQTPQPQEASTGDAAAAPQPQQASTGDAAAAPRPQQASTGDAAAAAAPVDVAKTGAAASTTGASVVDGSKNSDHGHDKISRPGSLARLRHISSDAEESEEQKEKGCVDGDMKKLQERLMDGLEDKEKVLLSEYTSLLEDYKDTKRKLLDVEKKNQECLNEIRSLRDLIGEKEKEKEKGRERGSIRGGHRRTPSLSHQRKQSLSSISKLIRMGSSMQDDPPAADLDDMRLPSIAEVENPSPLEEKFRRDIDTLLEENLEFWMKFSSSMGRVQEFQNKYEDLRRVAADGADGEKKLRALKTELQVWSEQNAMLRGELQCRFASLCDIQEEISTALEMDTEQAEEAEEGAPRFTSYSAGKFQGEVLNMQQENNRVSDELQSGLDHVKTIQFAIEKKLNEGVNLSSASPAEGEEEEVVGPDGVLARVPTKAKVPLQSFLFPTKPKKPSLFARVTPAVLHKQQLDMKLDMKFLPKLPR >SECCE4Rv1G0268160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:740320085:740323951:1 gene:SECCE4Rv1G0268160 transcript:SECCE4Rv1G0268160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein XRCC1 [Source:Projected from Arabidopsis thaliana (AT1G80420) UniProtKB/Swiss-Prot;Acc:Q24JK4] MPESSSDPGNGKGKRSLPSWMGSGSGDGGGGGSPGKKKHAEAARERAAPTGPDFSKLLDGVIFVLSGFVNPERGRLRSQALDMGAVYRPDWSSDCTLLVCAFANTPKFRQVQSENGTIVAKEWISESHSQRKLVDIEPYLMHAGNPWRKNKGPTESSQVDQKKPHKEHGKQFEKTHAKTPPSAATKVGHSGAINKQFSPSKIKQWAIDDFAKTISWLESQEEKPEPNELKAIAAEGVITCLQDAIESLEQGNDISGVADQWSFVPHVVDELVRLDGEGSSLSKEQLAQLATKCKKIYQAEFAQMDSGGKKGNERPGKTGADDAQYDSDATIEMTEEEIDLACRQFSGISS >SECCE5Rv1G0330500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:530374767:530375642:1 gene:SECCE5Rv1G0330500 transcript:SECCE5Rv1G0330500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGTWALICHLHAIAGPSLTLIYPLYASICAMESTSKLDDGQWLAYWIIYSFMALFEMAAEQVLYWIPLWYEVKLLFVAWLVLPQFRGASFIYEKFVREQIRKHGVMLHEHHGHGHGHGHHAGHTSHVLKAEHGMH >SECCE3Rv1G0146830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12471580:12473497:-1 gene:SECCE3Rv1G0146830 transcript:SECCE3Rv1G0146830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATINWVAFVVPLAVATIIISAMVARRRRAQRSPRARPSPPMAAGAPLVGVLPWILAKGPLRVIRDAHAELGSVFTVRLLHREVTFLVGPDVCSHFYQGLDSDVSQDEVSRFTIPTFGPGVAFDVDLATRREQFRFFGDAMKPAKLRTYAGLMVREVEEYFARWGESGTVDLKQELEHVVTLVASRCLFGEEVRSKMLREAATHLRELNDGMRLVTILFPHLPIRAHRRRDRARARLGDMFSEVVRSRREAGRPVDDMLQCLIDSRYKDGRATTDTEVVGMLVSALFAGQHTSSSTGTWTGARLLARANGEHLHAAVQEQERIVARHGDRVDYEVLQEMETLHRCVKEVLRLHPPAMMLLRHARSSFTVRTREGDEFEVPAGRTVASPLVIHNRLPHVYRDPERYDPGRFGPGRGEDGAGGALSYTAFGGGRHACVGEAFAYMQIKVIWSHLLRKFEMEMVSPFPDTDWNVVMPGPKGKVMLRYKRRNTAKITNPHTRYVLLPPSGNTCHQNE >SECCEUnv1G0568390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:425587411:425588535:-1 gene:SECCEUnv1G0568390 transcript:SECCEUnv1G0568390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVLSMARSMLVGAISIAASAAAAEMSLLIGVQQDIWFIKDELETMQAFLMADELIKKKDMLLKVWAKQVRDLSYNIEDCLDEFMAHVGSQSLSRQLMKLKDRHRIALQIRNLKSRVEEVSSRNTRYSLIKMDASNTIEEVDSYIEDVRNHSASNINDAELVGFEKPKEELINLIDVNTKDGPAKVICVAGMGGLGKTTLTKKAYESKEDIGKNFSCCAWITVSQSFFKLELVKDIIRQLLGVDSLKEYLEELDGRVLKVEDLANYLREELSDKR >SECCE4Rv1G0272280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:767872557:767874963:1 gene:SECCE4Rv1G0272280 transcript:SECCE4Rv1G0272280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALTAATISSPIRALLLLHSSFPSTLRPLLTMNPSSSSSGYRSNAAAFASTHQPRGGRGGGRRPGGRGGGGDGGNRIDALGRLLTRVLRHMAPELRLNMRSDGYVRVHDLLRLNLETFAKVPLNSHTVDEIREAVRRDNKQRFGLLEEDGELLIRANQGHTVTTVTSESLLKPILSADEVSVCVHGTYRKNVDSILKYGLKRMARLHVHFSSGLPSDGGVISGMRSGANILIYLNVRKALQDGMKLYISDNKVILTEGFDGVVPVKYFEKIETWPGRAPIPVQR >SECCE5Rv1G0358470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755677482:755678928:-1 gene:SECCE5Rv1G0358470 transcript:SECCE5Rv1G0358470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEEFQHILRVLNTNVDGKQKIMFALTSIKGVGRRFSNIVCKKADIDMNKRAGELSAEEMDRLMAVVHNPRQFKVPDWFLNRKKDYKDGRFSQVVSNAVDMKLRDDLERLKKIRNHRGLRHYWGVRVRGQHTKTTGRRGKTVGVSKKR >SECCE5Rv1G0373090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856168428:856168949:-1 gene:SECCE5Rv1G0373090 transcript:SECCE5Rv1G0373090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCKLTLFLALSLVLVGTSHGCGSCGNTPSVPVPTPPIAVPPPAVPVPTPPIAVPPPAVPVPTPPIAVPPPAVPVPTPPMMPSGGGSTCSINTLKLGACANVLNLLKLNLGVPASEQCCPLLSGLANLDAAVCLCTAIKANVLGIKLNVPVDLVLLLNQCGKTCPADFSCPS >SECCE5Rv1G0364180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:798952345:798958147:-1 gene:SECCE5Rv1G0364180 transcript:SECCE5Rv1G0364180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPPKPSMRGATWVLDASNCQGCAAQFSLFTRKHHCQRCGGLFCSSCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKNRAGKANTKTASKPEDEILSELLGGDSVHGQLSRRESLGSEVPGRTVSTASASSSGSRKASLDGNGDGSLSTEAQNYELNNTASIFTPEELRQQAVEEKGKYKILKSEGKPEEALRAFKHGKELERQAAALELELRKSRRMATKAPNVSGVVGTQKIDDYDEAVTKKAPSGKRVRKEKNDLASELKDLGWSDADLRDETRPTATSVEGELSQILREVAPKTSEGKKAGGIDKSQVNALKRQALVLKREGKLAEAKEELKKAKILERQLEEQEILGEAEESDDDLAAIIHNMDDDNQDDILYDNSRLPDINFEQILAVSDDLNFDGNFDVTDDDINDPAMAAALKSFGWSEDDDNQMDNHSPVSSLNREAVKEQVLALKREAVSQKKAGNVAEAMSLLKKAKLLEKDLETEQPESEVLFPGQKITHTEDITEINTRRVSAPKSKLAIQRELLALKKKALALSREGKVDEAEEELKKGSILEKQLEELESSSNRPVARENMGFSSKSPLNAEPPSLDFADEGYEPEVTDNDMQDPALLSVLKNMGWEDDDNDSVKITDKPSNRSPVVAQKPKKNKGQIQKELLAIKRKALAFRREGKNTEAEEELEKAKVLEEQLAEIEELANSTASQKGSGPGEHETMENKYDIQHVPNVHAAASSIRHTLKEDVSLPVNAAEFSSGSKPQSETVISKPTHKLEVTSDGAYSAFSRSPIADQLQTAEASYSPSDVDHKEPPKPHGGDTLRDDILLHKRKAVAFKREGKLAEAREELKLAKLLEKRLEAPQQDSEDGAHELATSAVQQSNSIQQSASVSTHTNPLTYAPPAQENKSVEPQKAMSSRDRLRIQRESLTHKRNALKLRREGKTAEADAEFELAKSLESQLEESDSQGSNSGGKSGEASDAFVEDLIDPQMMSALKSIGWSAADLSTQSPTPQPLVKAEARPTVAGTSKAQTEKSQLEEQIKAEKLKALTLKREGKQAEALEALRSAKRLEKKLVSLS >SECCE4Rv1G0247320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:556832477:556840670:-1 gene:SECCE4Rv1G0247320 transcript:SECCE4Rv1G0247320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNPNPNPSVPFELSRLFKPPPNPNHPTTVPTPTGIFPGAPPGAPMATGPPGPYSYPPATPPFHRNPYLNYPTDPNAAHLPVAAFANPNPTANPIPNPNPVPNPGARLMQLLGNTAPTHLESVVSMPPTSSEFSGGPVAPLPAMPSAPPARMTSTSSKMPRGRLLGPGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEENKPQITGKIEMAIQIVGDAGTYHPRICWHSHKQEILFVGIGNCVLKIDSTKVGRGRDFSKEEPLKCSFDKLIDGVHLVGKHDGDVTDLSLSQWMTTRLASASKDGTVKIWDDRRTVPLSVMKPHDGKAVYSVSFLTAPEQPNHINLVTAGPLNREVKIWASSDKEGWLLPSESETWRCTQTLELVSSLENRFEEAFFNQVAVLPQASLILLANAKKNAIYAIHVEYGPDPASTCLDYIADFTVAMPILSLTGTHESQPDGEHVVQVYCVQTMAIQQYGLELSLCLPPTADNTGLGRDLAVSHVYERSLEVASVESSTETKPPSDHQGTEADTATHVSSPTPSSNMDNAGSYAEAVLRRDASRGPSLGDHDGDKSSFDYSKKRMDSDGTSRQGAFDRKDSFGNEEPRGGQVDGTVSDPHPTFKVGVNATHLITPSEIISGLLPSAESTANGSPRNVEMESKIVVEKKPDQNVGFEDVKETQIVQEKMERLNMSSEQTVETISERSVTTDKYSVEDSQRSDPTLLKQHSGARDGNIPRRTAEATEKINGSSSRNLQLPSATKEEKVLHPQVSGQMSPLTSTFNSTDPSHEHPSNTNPAIDSAPQVAAIQGTLQQLMAMHTDMQKQLNAIVSAPIAKEGKRIETSLGRNVEKSIKANVDAMWARFQEENARHEKVERERMQQISTLITTSVNKDIPAILEKSLKKEISLLGPTIARTTTPIIEKSLSSAVSDSLQKVLGEKVVNQLDKSVSTKLEATVAKQIQTQFHTSAKQALQDALRSSFESSIIPAFEQSCKTMFEQVDGAFQKGMSEHGTAIQQQVAAAHSPLAQTLRETINSASSITQGLTSELLDGQRKLLALVASGNPISHNTNALQPINGPIPNLPEADVPLDPMKELTRLISEQKFDEAFTMALQRSDVSMVSWLCSQVDLQGLCRLNPVPLNQGVLLALFQQLACDIANDTPLKLQWMTAVAMAIQPTHQMIAAHVRPIFEQVYGVLARQQSVPGTSPLEANNIRLMMHVINSVMMTHK >SECCE7Rv1G0473580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:159482807:159486042:-1 gene:SECCE7Rv1G0473580 transcript:SECCE7Rv1G0473580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMESVDSPSPRCHAQRQQNAGDSEDHKSTSKRQPHSTEIPCSLVQEVQHLEKRLNDQFAMRRALEKALGHKPRATHLSKDCYIPKPAEKLIKEIAVLELEVICLEQHLLTLYRQAFDQQLCSTVSACDTERRNRQSARSFSGTLSETSAHDFSTPKKQQLVQSSRMVQARRSTTAALNTEPGISQQNDSKTAIGRSHSSLLPRSICSARVSPSANNLARALKPCHTSPLTFVEEGKCMDSSIVSLADILGTRIADHVPQTPNKISEDMIKCIAGIYIRLRDVSAVQRAFFPSPCSSFSSASGISSKFTSDIWSPRCRKESFIEAWQDSSFSSGDLGQQCDSVIEVSALCKGAQRSADVKDMLCKYKALVQLLETVDLGGMKNEEKLAFWINVHNAMMMHAHIEYGIPQSNSKRMLLTKVSYIISGQRVNAELIEYQILCCRVHSSGQWFRLLLYPKWKPRDKDELQGFAVDRPEPLVHFALSSGSHSDPVVRLYSPKRVLEQLEAAKEEFIRGSVGVRGSGRSRSRVILPKVLESYARDAGLAAQELLRVVEPCLPEGLRAAVRQQGRPRGGVEWRPHNMAFRYALARELAGSPAGVQVRQAVTHSAA >SECCE6Rv1G0397820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:403313147:403319029:-1 gene:SECCE6Rv1G0397820 transcript:SECCE6Rv1G0397820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATAHHLRPSPPAARDRLPLCAARASFRPLRRRGVAAGASAGGEATAAVSAVTASAKRDPVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDRVLKQVIEQLNKLVNVLNVEDLSKEPQVERELMLIKLNVEPDQRADVMFVANVFRAKVVDISENSLTLEVTGDPGKIVAAQRNLRKFGIEEICRTGKIALRREKIGATARFWGFSAASYPDLVEASRKNPLTSVNKTVNGSFDQPSSSGGDVYPVEPYESLSMNQVLDAHWGVLDDEDSSGLRSHTLSILVNDCPGVLNIITGVFARRGYSIQSLAVGPAEKEGISRITTVVPGTDESIEKLVQQLYKLIDVHKVDDLTDLPFAERELMVIKVSGNTAARREILDIGNIFRAECVDLSDHTVTLQLTGDLDKMVALQRLLEPYGICEVARTGRAALLRESRVDSKYLRGYSLPL >SECCEUnv1G0543820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:119542668:119545690:1 gene:SECCEUnv1G0543820 transcript:SECCEUnv1G0543820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPQGRLFQVEYAMEAVKQGSACVGLRSATHAVLAAANKSANELSSXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXSPGSRSASPTRRSMCVIIFLSEDQHVFTAIHLSSHPVVVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFEKFNAYTPDELIKDALSAIKETLQGEKLTSSNCTIAIVGRKEDGTVEPFSMIDSKRIQEIIDSMEAADEAPVADAPAESSSMQEDRGDAPAAGDAPAAADEPAAPDAPAPMDI >SECCE2Rv1G0139700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:928814914:928817219:-1 gene:SECCE2Rv1G0139700 transcript:SECCE2Rv1G0139700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQMAEMVGLIARRGKLGLNLYIRDEPSIRAQERNIASLYSAPMALYVEVVSAHALKPMDKQGSASTFVEVTFDYVRFRTAIKVKDCYPVWNEWFCFNVWGTSSLRNLNIKAFVYHVHSSSKRSNTLLGKVKISGTICRRHLHGDKATYRLEKPTALSYVQGDLSLKIYTIDNRSMRISNPPPIMDGVILQNQSSRPHHFALEYLKKITNNFSEERILGRGGSGAVYKGVVPNGDTIAVKKILPSFMPGEQKQFENEISHLTMLDHSNIVRVVGYCYEVKKELIEYDGRNVFADMEEKLVCFEYLPNGSLDNYISDESTGLDWSTRYNIIEGICKGLIYLHVQNVKPIIHFDLKPANILLGEGMIPKIADFGLSRLHDQQQSIYTTSRLGTRGYMAREFVDEGKITLQLDIFSLGVIILEVVTGHRHYPDVITETSSDDFIKLALEKWRSVQRSPGHEPEKPDYEQIKRCLQVGLLCVNHDHTKRPPITKVINMLQGSEIIDSNISNEEAA >SECCE7Rv1G0495880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:602912623:602913727:-1 gene:SECCE7Rv1G0495880 transcript:SECCE7Rv1G0495880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAAATKGGAGAGKKKGSVTFVIDCTKPVDDKIMEIASLEKFLQERIKVAGGKAGNLGDSVTVARDKSKVTVTSDGAFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRSVYELRYFNIAENEGEEED >SECCE4Rv1G0247730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:563527525:563528682:1 gene:SECCE4Rv1G0247730 transcript:SECCE4Rv1G0247730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSSPSKQAPNQSSAPEGSRVHGADRETGLASAAPSRSTDPALKAGSGAPAPEEPRPRSRRPSLGALLQARVSIDLGIQSPPLDIDGDEDRISALPDEVLLGILERLDDMREAVRAGAFSSRWRHLPHRLSRLCLDIFHFGFGVDISRADLGGRCSEAMQAYIRALYRSLSPCPPPSDCKRAIKTLVLRFLPLTVTDLTSIGHAVEDVVNRGETESLEFHICSPDGYGRERIMSFLSACSVAFRWLAKLTLNNLWLAHSDVTKLISDCDRLTHLTLSFCEPVEHSALKIDAPCSGLHKLRFIDLYWTWIELINVPELTEVRCEQSRGFENPPVRFGHVPQLRRVSLISRATASATRLALSECLPGAPRKLSELHLDFSCQMVS >SECCE5Rv1G0361290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:778514714:778515787:1 gene:SECCE5Rv1G0361290 transcript:SECCE5Rv1G0361290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACKTVSSCTAGAAQGTHVFDILGYSKLRGMGTDPESFILSGIFTVGGHDWAIRFYPDGFEKESQGYISVYLVLLSKSTKVRASCDLRLVDHCTGLSSSVHKTEPRIFNSDDVTKFAPQTSQFKRRSDIEESAYLRDDHLKIECIITVFEKPHVTDTKSVLRKIDMPPSHMTEHVGRLLEEKEGFDVSFSVGGETIEAHRLVLAMRSPVLKAELYGPMREAKPGQCITIKDMQPAVFRALLHFIYTDSLPGGEDEGTEMARLLLVAADRYAMERLKLVCQSILCKDLNVDTVATTLALADQHNCDQLKDACLEFIEISDTNAMDVLMATQGFKDLKETCPSLIVDALERRRKFHKA >SECCE7Rv1G0486440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:411014510:411016746:-1 gene:SECCE7Rv1G0486440 transcript:SECCE7Rv1G0486440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPALPPSAAATADPEPSAAGAREMDDEDLVEELLATVNSARAYSEFRRTQRKECHNLLRWLQLILPLLEELRDSAPRLTDDAYRRLTLLGRALAAARRLLRSCNDGSKIFLALESEAVLGRFRAVYEKMNSALDGMPYVELGISDEVTEQVELMNAQLMRCKKRTDTQDIELSMDLMVILQNNKDEERNADRAILDRLASKLELQMLPDLRAETVAIKKLINERNGQHADSTKQIIELLHKFKAIAGIDEKNVLGGEVFVTKSLDKCPSLMIPDDFLCPITLEIMTDPVIVASGQTYERRSIHKWLDSGERTCPKTRQPLAHLSLAPNYALKNLILQWCDKHKVELQRREPEPVAEQDGHPREDIPSLVEALSSIHPDVQRKAAKKIRMLSKESPENRALIIGNGGIPALIGLLAYPDKKVQENTVTSLLNLSIDHGNKLLITKGGAIPLIIEILRNGSAEGQENSAATLFSLSMLDENKATIGTLGGISPLVELLTNGTVRGKKDAATAIFNLILNQQNKVRATQAGIVSALMKVIDDRSLGMVDEALSIFLLLSSHPTCMGEIGTTPFVEKLVQLIKEGTPKNKECALSVLLELGSKKQTLLVHALRFGLHEHLSQIAKTGTSRAQRKANSLIQIAKKCY >SECCE4Rv1G0229210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:145079043:145079762:1 gene:SECCE4Rv1G0229210 transcript:SECCE4Rv1G0229210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGARRGVGSGGGHFPVGRRRHVAVVDTGCSCRPRRPRMLLSLPSFLKPSSASKPPAAPARSTSSSSLFPSSSSTASFSTSYASSNCSNYYSSYHGFGAAPKLHQQQEHLPSAKEAPAATPASPDRRQPASMKKKKQKKRYYVENEAATAEAGPEEDVGLAVEKDSSDPRADFRESMVQMVVETGLCSWDDLRSMLRRLLALNSPRHHAAILTAFAELCAQLASPPPPATSSYHYQL >SECCE4Rv1G0269830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:750255112:750255710:-1 gene:SECCE4Rv1G0269830 transcript:SECCE4Rv1G0269830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYITVFDTIAETFRQMNRPAQLGDYVSLLDTGSTLALCRTDHNCVTLDVWVLHDYDAEMWSFQYQINLLAMEASPLLNFNGIDTPKMAMINERDLLIELCPRRLLHCDIDGVLLGNVESKEHRNFLTLTRHRLQESMISLPLFETQKEAMNKEPPFD >SECCE6Rv1G0410560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:599855324:599860264:-1 gene:SECCE6Rv1G0410560 transcript:SECCE6Rv1G0410560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRNSRSALSRLLRHKAGGGPPPPPPVARETAARLYHASPHRQGFIQPFSRGPLRHEPAALFRPPPPQVPPPRHYFTSSRRPEVIHFARRRGGARWYHDRRKLAAAVLVAGGGAVVVYFGNLETVPYTNRTHFVLVSPQLERQLGESQFADLKKELAPKILPPLHPDSVRVRLIASEIVRALHRGLADRRSDDFDDASYGDISTDIAVKARDLDAEDVMHRVSPGKTAGTAARAQRDDELLDDRWVAESRRRGKARGAQPQTKHLNELNWEVIVVRDKLINAMCLPGGKIVVFTGLLDHFKTDAEIATVLSHEIGHAIARHLPEMITKGMWFTILQLVVLQFIYMPDLINAMSTLLLRLPFSRRMEVEADHIGLMLQASAGFDPRIAPKVYEKLGQIAGNQSVLKSYLSTHPSSKKRSELLSRAKVMEEAMQLYREACAGHGTEGFL >SECCE4Rv1G0215380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4617254:4618636:1 gene:SECCE4Rv1G0215380 transcript:SECCE4Rv1G0215380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan galactosyltransferase GT19 [Source:Projected from Arabidopsis thaliana (AT4G22580) UniProtKB/Swiss-Prot;Acc:Q9SUW1] MARDILTTRANLLLLAVILSSSAAVAVPNQDQDPCAGRRIHVRRLPARFNTDLLRHCDAAFPLADHPSAVPSCASLANHGLGPRTHNRSRSWYRTDARLLEPFFHRRLLDRAACLADDPARADAVFLPYYASLDALPFLLDPAMLNFSAAHGAPLARFLATDNPRVLKRRHGHDHFLVLAGPAWDYAQPPETDPRLWGTTSLLRRPEFDNFTFLTLESRSWPWQEHAVPHPTSFHPSSLPRLRAWIARARRSRRTALMLYAGAVSRPSRPNIRGSILAECANRTDTCTVVDCSGGACALDPVRYMRPMLRARFCLQPPGDTPTRRSTFDAVVAGCVPVLFENASARTQYGWHLPPGRYDEFSVTIPKDAVVLGGVQIVETLAAVPEEEVARMRERLLELAPRVVYRRHGSAAERMMRGASMDAVDIAVEGALRRIRRRVRALEDGQPEAMYAMDDDDQEI >SECCE3Rv1G0199730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:848072310:848073301:1 gene:SECCE3Rv1G0199730 transcript:SECCE3Rv1G0199730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALTPFQLTATLLVALLTTCHAGSIAVYWGQNDGEASLAETCASGNYEFVILAFLPTFGKGQTPQLDLGSHCDASSGGCKSQSNDIHSCQRAGVKVLLSIGGGEGNYGLSSPGDARQVAMYLWNNYLGGTSSFRPLGDAVLDGIDFDIELGGAKFWNDLATDLKNLGKNGGKDVLLSAAPQCPFPDEWDGNAINTGLFDFVWVQFYNNPECQFSAGRGAFMDAWKRWESVPAGKIFLGLPASKDAEGTGFVPAGELTSRVLPLIKGTPKYGGVMLWSKYYDDRTGYSSAIKSHV >SECCE7Rv1G0470390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:119988538:119989516:-1 gene:SECCE7Rv1G0470390 transcript:SECCE7Rv1G0470390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-leucine zipper transcription factor, Regulation of panicle exsertio [Source: Projected from Oryza sativa (Os03g0198600)] MSPEEGERLLFPSFVFPDSFPADDATPAVSGGEQKKAGRQRRRRRARQAASGGEGGGDDAAKKRRLSDEQAQFLEMSFRKERKLETPRKVQLAAELGLDTKQVAVWFQNRRARYKSKLIEEEFNKLRAAHDAVVVHNCHLEAELLRLKERLAETEEEKNKVMAAAAATTGGSSPSSSSFSTVTAMVGGQQFGMEEVETDLTYMSEYAYTNYMMDLAAGGYLGGVYDQFS >SECCE3Rv1G0197980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:825035174:825037627:1 gene:SECCE3Rv1G0197980 transcript:SECCE3Rv1G0197980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGGGGGGGVSMVMDAALYDWCGPLPGGSKLPIYPQIADAFTAEELESLLLLPSDAADAAAYLNAVAAPPLPASADSASPSSLPQRDASASSPAAAAAAGQAHPQPDDSEVFSDIVLGYINRMLMAEDIDEKFDHYPAHPALLAAEKPFLEILADQPPCSGGSTVESPDGSSVTTNSFNSLASCNCSAPSGGLGATQAPPALEFPTAEFLQPAQFYRDLSPESCVVEAGGAWPYDAMEFNPLPDALLSQSSSFASSNGSSVAFSEGFEPWLSTAGAVPDAALSDFVLQSQQAAQFSRGFEEASRFLPQESKLVIDVDSLPSVDVKQDKKVVEVKKEKADPEVAVHRGKKHFYGDDLDADEVRCCKHSAPVVDADHLVREMMDKVLLCDGETCSRGVKELREALQHDVASHSGGAHGKGSGHGKGRGKKQVKQPKKEVVDLETLLIHCAQAVSIDDRRSATDLLKQIRQHASANGDGDQRLAHCFANGLEARLAGNGSQIYKLYTISRFACTDVLKAYQLYLAACPFKKISHYFANQTILNAVEKAKKVHIVDFGVYYGFQWPCLIQRLSKRPGGPPELRITAIDTPQPGFRPAERIDEIGRYLSDYARTFKVPFKYHGIASQFEAVRVEDLHTEKDEILIVNSMFRFKTLMDESVVAESPRNMVLNTIRKMKPHVFIHGVTNGSYNAPFFVSRFREALFQFSAHFDMLEANIPRDNEERLLIESTIFSREAINVISCEGMERMERPETYKQWQVRNQRAGFKQLPLDQEIMKRAREKVKCYHKNFIIDEDNRWLLQGWKGRILYALSTWKANPQSS >SECCE3Rv1G0190620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:742009348:742009752:-1 gene:SECCE3Rv1G0190620 transcript:SECCE3Rv1G0190620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAGAGKSLIQTFRKFFKKPWEITGPCSSPEYRSAVPGALEYRQTCPATLREDSPRAIIPTSDPETVFDIKYYPRDCRRNRPPVRRTLLRKPDLERYMAAKQFDPAKDFPVPYVNSAVEEDYSAVGGGYTK >SECCE3Rv1G0206850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:912010004:912010627:1 gene:SECCE3Rv1G0206850 transcript:SECCE3Rv1G0206850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFKSKSHSSADSQDSCSDDAYRGGKERSPSRRKKSDEDEEEYRPYAGYGANTHRDGANEGGGGGYNSYNSGYGGGGGGYNNGYGGGGPYSNGNGGITPYNGGGGGYNSNSSYGNGGGGYSNNSSYGNGGDGYNNAPSWASQDPAGRTPMYINTREVHVYGAPQNDDDYNGDQKRRGGGGGGGFFGPAFHAVGHFVDRRFGLDDRN >SECCE5Rv1G0307280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:108904382:108905999:-1 gene:SECCE5Rv1G0307280 transcript:SECCE5Rv1G0307280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERASSGLLLLLLVAGVVAVFVRLRRRWGHGSSRAPSPPSLPLLGHLHLLKKPLHRSLAALTGSAPLLSLRLGARGALVVSTHAAAEECFTAHDAALAGRPQLLVAEHLGYGRTTISWASDGAHWRGLRRFLAVELFSTSRLAALAADRRAEVASLVENLLQDTSASASGGVITLRNRLLELVLNVMLHALTTRRHAGDLRRFQEIVEETFVVSGTPSIGDFFPALRWVDRLRGVEATLASMQTRRDAFVAGLVDDQRRTRNTNGRDVEKKGVIDVLLEHQETDPGYYTDTVVKGIVLVMLTAGTDTSALTTEWAMALLLKHPKEMAKARAEIDADVGMSRLETLRLCPVGPVIPAHKAMEDCTVGGFHVQRGTMVLVNAWAIHRDANVWDAPEEFRPERFLGRDTVTMPMLPFGLGRRRCPGEGLAMRVVSLTLAALLQCFEWDVGEGDTIDMAEGGGLTMPMATPLAAVCRPREFVKSVLSASI >SECCE5Rv1G0338240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597035695:597036246:-1 gene:SECCE5Rv1G0338240 transcript:SECCE5Rv1G0338240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGKAPELMKKAAAMCKSKTSMLAARLLILASLQRRRMATAAVVSPKIDALIMADWERVDRHKALALRTVEKRPIVVHEDDLTANFPRHLAMIVQENGRGGYQADRTPHPLFNDDHKNCCYTYDGDVLLDSCDQDDDDEPSVMDVIRSNREVEGLKFNMEEEIDQAADIFIRRFRQRLNEGF >SECCE5Rv1G0301630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:32575031:32578748:1 gene:SECCE5Rv1G0301630 transcript:SECCE5Rv1G0301630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGRRPPPAAVLLRCCGCCLILLCCCFFPACRAFPLCTDARAPLPLNGTLAFCGTAGASCCDAAADEALRGQLQAANVSDAACAAVLKSLLCAKCNPYSAELFDAGPKLRTIPFLCNSVSSATSAHQSKESTVQDYCKLVWDTCKDTTIHNSPFQPPLQGGGRLPSSSSKLTDAWQSESDFCTSFGGVPSDRSVCFSGSTVSFNATQPSPSPKGVCLERIDNGSYAYLNMVPHPDGSNRVFLGTQAGKILLATVPDQGSGGTLQFSDAGMFVDLTDQVHFDSTFGLMGMAFHPDFATNGRFFASYNCDRTKSPSCSGRCSCNSDVGCDPSKLGTDNGAQPCQYQVVVSEYSAKGSSSNVSEVTSADPTEVKRIFTMGLPYTSNHGGQILFGPTDGYLYLMMGDGGQKGDPFNFAQNKKSLLGKIMRLDIDGTPGPSKVTNQSLWGNYSIPKDNPFSDDSGLAPEIWALGVRNPWRCSFDLERPSYFYCADTGQDQYEEVDLISKAGNYGWRIYEGPLVYNPPWTPGGNTSLKSVNVIPPIMGYSHSDVNKNIGSASIMGGYVYRGSTDPCLYGRYLYADLYASAMWTGTETPEGSGNYTSSLISFSCSKNSPIPCDSAAGSPLPSLGYIYSFGEDNNKDIYILASKGVYRVVRPSLCSYSCPTEKPESNNGKAPAGPSSDAPAAMGMGMKMGALFLSAATSFILMR >SECCE3Rv1G0204020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:888844090:888848966:1 gene:SECCE3Rv1G0204020 transcript:SECCE3Rv1G0204020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTISKLREAAAPLASAAVRRCSGGPPRIHADANCPRCTANISVQFSLQPLPPAAACGAEGAHQHHHDGASVCPTCRGAFLFRAHRIDPMRGAFLEIPSGVGGDDGEDAPRGDLSRIKRWIAERPPDDWPPMPPQAPPMQMPQVPPTRRKQGRRRGRDEGGGGGGGGGGGNGNGGDSSGGEGTSAAPKREWWGGATLGEELPTPREMCRRLDEFVIGQGKAKKVLSVAVYNHYKRVYNATVQKGSADNSGCPDAANDDHDNVEIDKSNVLLMGPTGSGKTLLAKTLARIVNVPFVIADATSLTQAGYVGEDVESILQKLLVEAEYNVQAAQQGIVYIDEVDKITKKAESANVSRDVSGEGVQQALLKILEGTVVTIPEKGSRKNSRNDSIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSGVTSPTVTSSLLESVESGDLAKFGLIPEFIGRLPILVSLAALDEDQLVQVLTEPKNSLSRQYRKMFSLNNVKLHFTDGALRIVAKKAIVKNTGARGLRAILETILLEAMYEIPDEKAGNERVDAVVVDEEAIGSVDRPGCGAKILRGDGALAQYIIRNNIPNSPETNEALAGELEDAYMLSRIVSL >SECCE7Rv1G0509290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:779547128:779547847:-1 gene:SECCE7Rv1G0509290 transcript:SECCE7Rv1G0509290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTQTSPLRRWKRFLRAFDSVDAAIKPSDPDHLRDELRRARGDIVEQLCDATDDDQAERLCGILDDHMAESLETLRQIPVMPDMLVSTDLAKCVRALRRHESERVRVLAMGIVTGWRASMQDELAKVRDALHKLDNINMPQTTEVAVNQQQHVSANSDLVMKKASPAGDRAGLCSEEKMAAAKRKFHQAYQEAEDAKRQRRTRFVQAPKMTMQPTIRRCTSSMVKKTFSVRSQLHMA >SECCE6Rv1G0390040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:171522857:171524767:1 gene:SECCE6Rv1G0390040 transcript:SECCE6Rv1G0390040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVNNLTIAEGAAATAGAEGHKKNRIQVSNTKKPLFFYVNLAKRYMQLHEEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDTRNRPIQKAKIEILIGKTEKFDELMAAAAEEREAAGAEEEQS >SECCE6Rv1G0452260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:875874345:875879683:-1 gene:SECCE6Rv1G0452260 transcript:SECCE6Rv1G0452260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWILSLCFTAISTATVLAFCFLKLSGGKADAHKKQLPPGPWPLPHIGSLHHVIGVLPHRTMMHLSRRHGPLMLLRLGEVSTVVVSTAEAAELGIRAEEVGSLLRDVVAAAPTGATVNVSEKVMALTNDIVTRAVFGGKFARQREFLREMDKTFKLVGGFCLADLFPSSRLIRWLSNGERDMKRCHGLVHRIIAEVVGNRKAARASGAGGSTRGNEDLLDVLLRLQREDSLEFPLTTETMGAVLFDVFAGATETTGNTLAWAISELMHNPHTLAKAQHEVREVLGKGRSVITNSDLGELHYMRMIIKEALRLHPPGPLIPRMAREDCTVMGYDIPKGTNVYINIFAISRDPRYWINPEEFMPERFDNNNVNYKGTHFEFIPFGAGRRQCPGIQFSSSITEMALANLLYHFDWMLPDGTNLASFDMSEKFGFAVSKKYDLKLRAIPHVWSNAMTSK >SECCE2Rv1G0105430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:620102151:620107911:-1 gene:SECCE2Rv1G0105430 transcript:SECCE2Rv1G0105430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLSKLRSLDAYPKVNEDFYSRTLSGGAITLASSFIMLLLFVSELRLYLHTVTETTLRVDTSRGEKLRINFDITFPALQCSIISVDVMDISGQEHLDVKHDVFKQRIDAHGNVIATKQDAVGGMKVEKPLQHHGGRLEHNETYCGSCYGAQESPEQCCNSCEDVREAYRKKGWGVSNPDSIDQCKTEGFLQKIKDEEGEGCNIYGFLEINKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNLSHKINKLSFGEPFPGVINPLDGAQWIQHSSYGMAQYFVKVVPTVYSHVNEQIILSNQFSVTEHSRSGDSGRVQALPGVFFFYDLSPIKVTFTERHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAITKKRELGKFT >SECCE6Rv1G0433380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:758339037:758339360:-1 gene:SECCE6Rv1G0433380 transcript:SECCE6Rv1G0433380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLCLCGLCVLLLLQAVPSARGGRSPPQPCYKTVTGVPSWCAGEFILALFNGAKKHPIKEYCCVQLACVGEPTCASVLRGVCPPPKQYLPCPPHLAGRVYDRHG >SECCE1Rv1G0000650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2175675:2175932:1 gene:SECCE1Rv1G0000650 transcript:SECCE1Rv1G0000650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLKMTAVVCVVLVLLIQLQAPVTAQDIRCDDCGPGCGNACRELRQYRCNGFCNILPTLCKHCYNINANLCFPECSELCRLQCK >SECCE4Rv1G0257730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:672371504:672373009:-1 gene:SECCE4Rv1G0257730 transcript:SECCE4Rv1G0257730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGIPLVGSSILALSGVLTTYGQRLAEFLAVHRLLPCEPQNLERPTPPELPEDVLMCIFASLETADLVRAGSVCSSWRSACTRLCNLGLFRQTQTPCLLYTSENAGQSVAGFFSLVENKSYTLALPDPPIRARYVIGSNHGWIITADDRSELHLLNPITGDQIALPSVTTIEQVKPIFDDAGALHNYQYSWYTGTPRLVDFPTPSMFSLGQLRDYLFEKAFLSSDPSTGDYYVVLKHNPQSQLSFARAGDDRWTWLPPHVDYADCFFKDSLLFALDSHGEVRTFDLSAPVVTQNIILGRMKACVEECNYMYIAQALCGDLLQVWKSRNCLGWEDEDVSEHGLEDGEDEYISEHKLDDAMDLPGLKPEDREDDDVLEPELGSDSHVIFTDKFDVFKVDFAATKLEDITSSCDSVMFLGHNQSLCLSADEYPQLKSNHVYFTDEDDAYHHFGSMKSQRDIGVLNLGNCSVERIVSPQLWSNLPAPVWFIPNPRKIHLASHD >SECCE7Rv1G0478130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:232971485:232978124:1 gene:SECCE7Rv1G0478130 transcript:SECCE7Rv1G0478130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTPNPSRRSWVGPPTPFLTPRPERRELRWAEAGSHSSVRRSGVGACSVNGSNDRGREANVQVVLRCRPLSVEEQKANVQSAVSCNDTKREVTVLNSLFKQADKTFTFDKVFGPKSQQRAIYDHAVAPIVDDVLEGYNCTVFAFGQTGTGKTYTMEGEMMQQVGELPASAGVMPRAVRHIFDILEAQKADYSMKVTFLELYNEEITDLLASEDQSKFPEDRQKRPTISLMEDGKGGAVIRGLEEIVVYSPGEIYSLLQHGSTRRRTADTALNMQSSRSHSVFSIYIHVKVTTTGNQELMKCGRLNLVDLAGSESIARSGAKEVRAREAGELNKSLLTLGRVITALVEDSAHVPYRDSKLTRLLRESLGGKAKTCVIATVTPSVHCLEETLVTLDYAYRAKSIRNKPEVNQKVCKSVMLKDLYQKMEKMKQDVKAAREKNGIYIPHERFVLEEAEKKIIKDKVEHMELSMEKQSKELEKYRSLYLAEQKHRLNLEGQNQELKMRIETCKREFLDLQDAHSRANMSLKEKDFIISNLLHAENVILERAKVLCGIVETASGDIADLHNKLGRQSKTEVENKGLLFNFRSQLDQSLGLLHNTVVGSICEQHQFLESMTGQMNSYFSAKSESANHLKRRITKVKDMYNSGVQCMNELVNTLQQRSITDSEQMKLNISSQAIAVDNFLAVMVSEAEQVLTEVLGSTSELKELLAFAAEQQESGLRKSLNSAQAMSKMSIDFFNNISTHASRLMKLMEQSQRGCTSRLAEFEKGFEEVAIREEQAALDKIAEILAGLTARKTTMVSEYVGQLNEKYSEEQEHLALEMSSLQQVSDNGKKEAASCAEKLENQFLEDMSSHANIKYKMGDILQQCLKGSHHSVSYWSHTQSCLEHLNKSSVVEANVFIQERRNENESIIQETQLRSSHNDAGFHAITSDILTASENSHLLDHETRERMETLSTSSSNHLGLLTETHNQGTESIRTFTSNFMEKDYSVNSPVRHRPRELLPGAYSFESIEELKASVPNLVAKFKSKNKLDEVDKGKSYSDQRTRAPRSPLTPVNHYD >SECCE2Rv1G0134300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900899062:900900517:-1 gene:SECCE2Rv1G0134300 transcript:SECCE2Rv1G0134300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSQMSKDPRNHHYFDLGAARQVPETHSWEGLHEHPVVDGGVGAGEDAVPVVDLRGPHAAEALARASEQWGTFVLEGHGIPSELLARVDAGIAGMFKLPASEKMRAARQDGQSHGYGPPPIASFSSKATWSEGYTLSPANLRAELRKIWPDAGEDYRHFCDVMEEFHRQMRAVADKLTVLFVAALGLAGEQGAAVEAERKIVETMSETVTMHLNWYPRCPDPKRALGMAKHTDSAFFTFVMQSHVPGMQLFRRGPDRWVRVPPGALMVNIGDLFQILTNGRFRSMYHRAVVNRDNPRVSVAYHLGPPADAKVAPLRGKPAYRTVTWREYILVRKEAFATGGSALEMVSLSPNDDDDDGDGAHHISEISS >SECCE7Rv1G0517100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852145971:852146456:1 gene:SECCE7Rv1G0517100 transcript:SECCE7Rv1G0517100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALEPFIRDAPLPQTPAFRPFLPPPFPAGARMGPTHVQHVQARLRLAPRAQPMKTAAAAVRPQKRYRSVRQRHWCKWVAEIRPPPNRTRLWLGTFDTAEEAARAYDHVAYRLRGDDARLNFPDRAASSQPPLDAAADAKLQAISQTIVASKNHTPRPRQ >SECCE5Rv1G0297150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:136669:140074:-1 gene:SECCE5Rv1G0297150 transcript:SECCE5Rv1G0297150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASAPAIAKDVTELIGNTPLVYLNKVTDGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEDKGFIIPGKSVLIEPTSGNTGIGLAFMAAAKGYRLVLTMPASMSMERRIILKAFGAELVLTDPLLGMKGAVQKAEELAAKTPNSYILQQFENAANPKIHYETTGPEIWKGTGGKIDGLVSGIGTGGTITGSGKYLKEQNPNIKLYGVEPTESAVLNGGKPGPHKIQGIGAGFIPGVLDVDIIDETVQVSSDESIEMAKSLALKEGLLVGISSGAAAAAAIKVAQRPENAGKLFVVVFPSFGERYLSSVLFHSIKKEAESMVVE >SECCE5Rv1G0335290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:572431342:572435559:-1 gene:SECCE5Rv1G0335290 transcript:SECCE5Rv1G0335290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLMLNRLMSMQRDGGRLQIQPQNGPADSTVDRKDSPSKEDHNSQDGETQYPGPHLPEDIWCHIYSLLPMRDAARAACVCHAFKRSWRRYPNLAFDMITLGIDIESCGEDEIARIFTSKVDHILKNRPCIATKTLEIVFRYYNVNVCNIDSWFQTAVTPGIEELTVELSSNSGRYYNFPWSLLANESGNSIRYLNLGRCAFSPTGGLCFKSLSRLELIEVDITGDQLGSLLQNSFALQRMQLKCCNKIICLKIPFHLQQLRYLDVLDGGSLLRVIEVEAPNLSSFQFMGHRQVQLSLGVGLQFKKFDLSFPGAVSYVCSAFPPSLRYVEVLSLGSWREMIDTPVPPSKFLHLKHLSVDLQAMTFPPTYDYCSLISLFDASPSLETLVMNVLQKKMLHESVVGDTSHLRQMPGHRHDSLKTVKIIGFSSAKSLVELTCHIIENTTSLEGLTLDTTRGHPSYSCLTNNTGKCMLLHGDFMVEVRKGLLAISTYVEPKVPSRVKLNVVEPCRRCHDQPLIIC >SECCE7Rv1G0525950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893409451:893411950:1 gene:SECCE7Rv1G0525950 transcript:SECCE7Rv1G0525950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDRRLLKAATSGDSASMQAMASQDLSILLGTTPQGNTCLHISSIHGHEEFCVDVVALEESLLAQTNLDGETPLVAAVRSGSVAVASALLGLYRARRLSEAIMEKDKDGCSALHHAICSGHTELALELIEAEPALSKGVNNFGDSPMYVAAARDLTSVALRLLEIDDSDHGGQFGYNALHSAVRNGNSVIVKRIMAKRPGLAKEAHKRGYTPMCTAMYRDKIDMLRLLLEHDPSLGYGMTSDGYSLLQVAAYAGHVATARELLKHCPDAPCRGTRVNRWTCLHTSVYYGHLDFVKFILATPQLGKLVNMQDNEGKTALHLAVENCDPKVVAALLSHKDTHTHVMNNNGTSPAWVLAGIMQRATTLNWNEVMMLMLRADPQSAPSAYNLHVHTTRLLTNAQRMDAKSLTKTYTTNTSLVAILITTITFAAAFTLPGGYSSATGSEGLPIMSQKAAFKAFIISDTLAMCSSFVVAFICIVARWKDYEFLIYYRSFTRKLMWFAYVATTMAFSTGLYTVLAQRLHWLSISICVLVALLPILTKLLGEWPYLKIRFGLGKTFSSDLLDMV >SECCE4Rv1G0284460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:839742461:839742825:1 gene:SECCE4Rv1G0284460 transcript:SECCE4Rv1G0284460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSKDGTIMFLAALAVMAMAMIVLSSSFAQGDYCRQIVPCNETTCSNFCSKNNYKNFVPNCLPGRMYDYCCCNITRGLDF >SECCE2Rv1G0087530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:227358977:227361790:1 gene:SECCE2Rv1G0087530 transcript:SECCE2Rv1G0087530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAARRVAAASASSSPFGRVSQLASALNHQRWIHDRNKKAMELVAKGWSALQEVDRVIDFADRNDKRLIPLLRGAKENFELALEIDNMNTHARCWLAKMHFKYHVPGACKAIGAALLVEAANMGDPEAQYELGCRLRVENDHVQSDQQAFHYIEKAVDQLHPGALYLLGAVYITGDCVKRDIASAMWCFHRASEKGHAGAAIAYGSLLLKGAEVPEAITRFNSGKSPSTGKVRKRTIQQDPVKLAKEQFQIAAESGSDLGLRWLKRLGDYEK >SECCEUnv1G0527330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1065038:1069358:1 gene:SECCEUnv1G0527330 transcript:SECCEUnv1G0527330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALGSAASLLGKVWTTLSDTLVAAYVDSLQLGHNSEQIRDKLLHAQGLLQNAQAQVSHVGNNPALQRLLEKLSRDADQAEDLLDELHYFQIHDRLHGTTYATTQETGPDLDSFVRHQALHARSALRHTLGTLFQCCSCSHTPKRKRNGGDAAAAGVTNSNSATADDGDTLHFDRVSMSSKIKSLLQDMESHCDSVYKLLGSIPSSSTAVAVVLHRPQTASMIIQDTLYGRRDIFEETVNRITDTGATHTVSVLPMVGPGGIGKTTFVQHLYNDARTQEHFQVRVWVCVSTDFNVVKLTREILACITATEGGSSSVANETTNLNQLQQSIAERLKSKRFLIVLDDIWKCDIQDQWETLLAPFTKGETKGSMLLVTTRFPKVADMVKTVDPLELRGLEPNDFFIFFEACIFGEDNKPEHYEDELAGIARKIANKLKGSPLAAKTVGRLLQKDLSREHWNGVLEKHQWLKQQNNDDIMQSLKISYDYLPFDLKKCFSYCGLFPEDHEFTSSEINHFWVAVGIIDSNHQADRIYLEELVDNGFLMKHKKYYWDDRYKQEKGFDSYVMHDLMHELSKSVSAQECLNISCLDFRADAIPQSVRHLSINIEDRYDANFEEEMCKLRERIDIANLRTLMIFRGYEEERIAKILKDSFKEINSLRVLFIVVKSAQSFPYKFSKLIHLQYLKISSSFNGDREMSLPSTLSKFYHLKFLDLDGWRGSSDLPEDFSHLENLHDFHARSHLHSNIRNVGKMKHLQELKEFHVRNGSMGFEVSELGPLTELEGRLIVRGLEHVVTKEEATAAKLMLKRNLKELELLWGRDGPITDVDILASYLSQTELELHVEEDGPTTDVDVPTTDAGILDGLQPHSNLRVLTIANQGGTVGPSWLCLDMWLTSLEALTIEGVSWSTLPPFGKLPNLKGLKLKKISGIHQFGPQCGGAPGICFMRLKQVELYEMAELAEWVVEPNCCSFPSLEEIKCIDCPNLRVMPLSEACCTNLRRLEVCGCPKLSLPSMPHTSTLTDLVVKRGGTLFSETFLSYDGKKLVVSGCGGALASHNLDKVEDMTVGRCDGLFPEELDGSIVFSSVKSLKLDVSHLTSSKSSSRVLNCFPALSVLVIEGMGTFEGVMQFPSSSSLQKLTFRDCKGLVLVPVEGNGGGIQEDKSLLQSLTIWGCGKLFSQWPMGEAEIICPFPASLRELFVRDEPSMKSMALLSNLTSLTTLRLNNCRNLTVDGFNPLIAVNLKELEVRRCNTLAADMLSEVASQRAKLLLPAGYISRLEVLRVDDISGLLVAPICNLLAPALHALEFHFDESMESFTEEQEKALQLLTSLHKLHFWWCKGLQSLPQGLHRLSSLKDLHVLGCPKIRWMPKEGLPVALRELVMNHRSAEINEQIEMIKRTNPDLSVQD >SECCE6Rv1G0426040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:711309174:711310337:-1 gene:SECCE6Rv1G0426040 transcript:SECCE6Rv1G0426040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANKQRATAHHHRLPDEIVIWEIPPKSLLRCRAVCRAWCRTTNTRDFLLAHHAHQPTLPIASGYGYCSPSVNRDIITFDHRAADAQLQHVTKFDVGRFCVLQASCDGLLLLSCNAFAASCFYICNPATRQYTRLMMLCHFKVLGMYRHRPTGEYQILLYHKNDDIGLHPVGEEHDRYYIIALGSVEPPRNIRCAPGAEQVYLRGGEALVFRGNLHWHLRQCESQSNMIVVFDTTTESFKQMHAPVVFRHATLFEMDGVLGMFNCNDAGTTINIWELQDYENQVWTFKCKIELPINEISVLCGKHDDYWFAVIVPGDGELLVLVQFAEWLLQVDMDGKLVTSFHQPELFATQLQLKQTLIPHTFFSNPEYKIVMCERSAFHLKAVE >SECCE1Rv1G0016290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:136484251:136485864:-1 gene:SECCE1Rv1G0016290 transcript:SECCE1Rv1G0016290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASDWQQDHEVGKKIKSESAEADRMMAAARRSSSLPAAGAGPGSTPSFNTMTPCAACKLLRRRCAQECPFSPFFSPLEPHKFASVHRVFGASNVSKMLLEVHESQRGDAANSLVYEANLRLRDPVYGCMGAILTLQQQVHALEAELAAVRTEILKHRYRPAAAAAAVSAVPNVPPSSHASQLLAAGGHRPSGSLGLAAPAVGPVASASSSTTVYAAASSSTDYSSITHENVPYFG >SECCE6Rv1G0435680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772593755:772594885:-1 gene:SECCE6Rv1G0435680 transcript:SECCE6Rv1G0435680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARAPLLAAAVALLLVALGSAGAADAAAQQDELRLTQPPVARGLSFDFYRRTCPNAVTIVRNFVRDAVRKDVGLAAGLLRLHFHDCFVQGCDASLLLDGSPTAPGEKQAPPNLTLRPSAFKAINDIRDVLERECRGAVVSCADILALAARDSVVATGGPEYGVPLGRRDSRGFAPPNLVTDGLPRPSTSVPSLLGVLGKLGLDATDLVALSGGHTIGLGHCTSFQKRLYPLPDPSMSAPFLARLKRTCPAVGTDATTALDVGTPKVFDNQYYVNLVNREGLFVSDQDLFTNVATRPIVERFARSQRDFFDQFSVSMVKMGQIKVLTGGQGQIRRNCAFGNPGTVDGLQ >SECCE2Rv1G0124290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:831616748:831617248:1 gene:SECCE2Rv1G0124290 transcript:SECCE2Rv1G0124290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQVVEVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIAKIMKTRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQTEIDDGEVEIPSA >SECCE5Rv1G0311270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:190292150:190296935:-1 gene:SECCE5Rv1G0311270 transcript:SECCE5Rv1G0311270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGAGEPSSSSRPGKEREEGTDDGNHKVEDEGDLGLELYGAEAGWVEARTSCPHLPAMPAASADELARVPAPDSHCSRCHHPSENWLCLICKDVMCGRFINKHMLYHYQETGHCIALSFSDLSVWCFACDSYLDAQSILELRPVYEVAHLLKFGERPPFRSLEVLDLSSGQNGGSSSSS >SECCE1Rv1G0040360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:558207770:558209095:1 gene:SECCE1Rv1G0040360 transcript:SECCE1Rv1G0040360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSPNAKPDRQTAAAVAAAAALNPALVRETLKKMDRCMARLQELQYTVAGGAKVVSGVSLSPRSTRGYLRTSIRCKQETVRMRGTPAQSRSSPKGKFGGGEGAAQWRRMSLPAMLLGETVLEIVQASQFARDIVAVADPPKTPMPVARTRKATAEQTPLRARRAKEKQSQRGTAGAEAGTPPSRARVRSRIQFKPTSPLGRPSVSAANRVSPKNRPWGKKTVMFPNPTFVAPASSCTAYASPSATKKQKRSNKTRSPVKAAQTPHKFLVKTPPSALGSKLKSHGKLLPNSRPVPVSPPGKAQAASGKTRRCSFSPSRLATRLVSPIKARLSFISPMKSRTSSLRCRDGGVGGSMMSGLKQRPVVNLTARTVRVSS >SECCE2Rv1G0126010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844421033:844427917:1 gene:SECCE2Rv1G0126010 transcript:SECCE2Rv1G0126010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEGRKTACVTGGSGYIAAALIKLLLEKGYAVKTTVRDPDNMEKNSHLKDLQAIGPLDIISAQLDVEGSFDKAVSGCDYAFLVAAPMNLEATDLEKDMIEAAVQGTLNVMKSCVRAGTVKRVILTSSDAAVSRRPLHGDGHLLDEGSWSDIGYLRADKPPIWAYAVSKVLAEKAASEFAKENDISLVTVLPVFTLGAAPVSKARTSVPITLSLLSGDETYLNMLIGLQLVTDSMSICHVDDLCRAKVFVAENESSSGRYICCSHNTTVLQLAHFLAKKYPQYNVQPERFDGSPEKPKVSLSSEKLVEEGFVFKYNDLSEIFDDLVEYGRTTGILPY >SECCE3Rv1G0181660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:616777682:616778185:-1 gene:SECCE3Rv1G0181660 transcript:SECCE3Rv1G0181660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSTSFLPTPGAAAVARTANRTLRSLVPSQRMRCSMRKKGLHPEIFEDAKVYCNGELVLVTGGTKPEYSVDVWSGNHPYYVGDSSALVVMDSQIEKFRKKWGHVKEYWTKEQWLEMHPNGDPEFEPEDDETRK >SECCE2Rv1G0122070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:813064358:813064594:-1 gene:SECCE2Rv1G0122070 transcript:SECCE2Rv1G0122070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLTVALLLLVVLASCEGRELSQKDGALGATRGASVAESKASSGSGLPDLPVVGTGTGTSTINGPLVVIPGVPGHP >SECCE6Rv1G0384880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:70341310:70342537:-1 gene:SECCE6Rv1G0384880 transcript:SECCE6Rv1G0384880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLFFIFTSSLSISYSSPLPVNASGKAPVRRQGTLEQCGTGNPVDDCWRCDPGWADNRQRLADCAIGFGRNAIGGKGGRTYVVTDPGDEDPDTPSPGTLRYGLVQDEPLWIIFACNMTIRPKRELLVSSHKTVDGRGASVVVGEGGACFKVRNGSNVIIHGITIRGCRPAPKSSAKTGHLSDGDGVSVFGARDVWVDHCTLEDCADGLVDVIASSTAVTVSNCILANHNKAMLLGHNDAYDDDRAMRVTRMPRCRFGVFHVVNNDYVNWGKYAIGGSASPTILSRGNRFCAGKEKEVTKRDGDPPKSEWQKWNWISDGDLMFNGAFFTASGRPGPPEVKAPSFAKPATFVAPMTASAGALSCSKGSLC >SECCE7Rv1G0474010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:166068904:166071523:-1 gene:SECCE7Rv1G0474010 transcript:SECCE7Rv1G0474010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVMVISTLGKPRLLKFYNFQDPEKHQELVRGVFQLLSARPEGVSNFVEADAIFGPGTKLVYKHLATLYFIFVFDSSENELAMLDLVQVFVETLNRCFKNVCELDIVFNFNKLHTVLDEMILGGQVIETSSEQIMKSVEEIARLEKQSSTTSLIPKSISERFSR >SECCE6Rv1G0443200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:821460260:821462145:1 gene:SECCE6Rv1G0443200 transcript:SECCE6Rv1G0443200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIDHGASDFMVKPVHIHDLKNIWQHVQRWRNPKAISHISDHNSDVQKVQPTTADKSKYLGNMKNVRDDSSENNESTYISATHRKPRVTWTIGLHNKFLEAINLIGLDRAVPKKILELMNVDYLSRENIASHLQKYRLHLKRVNSNPSSDANERWNSSYNMNNKGNFMHNQGHGRWSVSSGDTASWCTNNYGATSHLAPPVNNQSNFNMGSYLHDGRMPMYVGKQPSDARRFTGFGDPPVSLYNNIPNEIMLDEFPSSNYSNSYADLMCGELTEISKGKTPANLQSSFANTTIGGGRSLVASQVNFPEINQLERYAMSSGRLPLQNEKAPFISNTTSVGGFTKNMAPFNMASNSSSVGMMLNGSSTLDASSTSAEDTHRVNGERITSMRHNLHSDDFVSLTQLLDGGDGASIVPMQEGTIDQQSLNDQLNEISAFSMDDMFSNMHMEDLTGDDAIMDEA >SECCE5Rv1G0342220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631206744:631208487:-1 gene:SECCE5Rv1G0342220 transcript:SECCE5Rv1G0342220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPLPGGGGGGEVSEDYSPAATVVPFDPPLPLLRAPVPSSSSAASTDTPVLAFRDAASWRAAWEAAEASLFSQCEAGARSGCSITATRKCKPPWWKGLFGGATTNYQEREECEEREMASCLESAKEACIKFSKEKCNAPFRDARIASEGLLENTDFAVWDAGRNKTASASLSVANSSCSSNPGLGGTNYKGSDLLDSLESEDKGSNSGR >SECCE1Rv1G0030730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:431071859:431072734:-1 gene:SECCE1Rv1G0030730 transcript:SECCE1Rv1G0030730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSKSAAVLVLLVSLAGVATTVDARFRAMQWTPAHATFYGDEATAETMGGACGYDITAGYGADTAALSSTLFQEGYGCGTCYQIRCVKATACYRGSPVITVTATNLCPPNWAQDTNNGGWCNPPRTHFDLAIPAFKKMADWQAGIVPVMYRRVPCMRKGGIRFAFQGNPHWLLVYVTNVGGAGDVGEMWVKGGGGMGWLRMSHNWGASYQAFGQLGGQALSFKLTSYTTGLTIVAADAAPASWSIGLTYQARANFK >SECCE6Rv1G0442120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814220222:814221400:-1 gene:SECCE6Rv1G0442120 transcript:SECCE6Rv1G0442120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGVSVVDGDKECSCDTSAVHAGSDSGYHLLMVRGYPRTEEGLPTGDSITTGIFMVGGHYWYIHYYPNGLTPDCADYISLYVALVYDNDDAERGLAVEARFSFSLVDHVEKQNPMYICEASKTCTFSAGATSWGRNRFLRRDALERSSDLKGGCFTVRCDIMVVCQDSKIEDLSGIHHHFNNLLQTKVGADVTFEVGGERFAAHRCVLAARSKVFMAQLFGPMKEGTTTSTVIQIKDMEAKVFRALLIFVYTDVFPLPLREEDGMWEDEMSVVVMEEAKEVAAVQDKMRVQCLQHLFVAADRYDLQRLKFICEQQLSEHIGVTSVMSTLALAEQHHCQGLKEACFKFIQVQSPSCLQTVMSTNGWDHVYTAYPSVFKEFIANLASNQRK >SECCEUnv1G0566470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:405126360:405126677:1 gene:SECCEUnv1G0566470 transcript:SECCEUnv1G0566470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVESSSPPSLRHKLRTTVCGCFGSPSSPVSGGERPQGGGRARWRRRVAAAGEFRYDPLSYALNFDDGGNDDSTEAEDEAFRYRNFNSRLPSSPVPASRAIAIA >SECCE5Rv1G0335880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:580289930:580290805:1 gene:SECCE5Rv1G0335880 transcript:SECCE5Rv1G0335880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTMHHRRRSILQLTLLLLAASPAAMLAAGGTCESEELPAGRSYATCADLPTLGASLHWSYDAAASSLSLAFAVKPPGASGAGWVAWGINPTGEGMKGAQTLVAFKSSGAYVVNTYNLTGYRPLSAASTPIAFEATELAADEGADGKVRLYGTLQLPKGMEAVNHIWQVGSTVANGVPAKHAFAQENLEAKGSLVLAGAGATDAAPAPVAGGPSAEEATGNLETETAPEAAPAPLVGAPSTEEATGNLETETAPSPAPSSGSAAIITTYASAPVFILILVFAGFFATV >SECCE4Rv1G0256210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:655029475:655035925:-1 gene:SECCE4Rv1G0256210 transcript:SECCE4Rv1G0256210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDDVEDDDMDFNPLYREGSPSETSSSLTSEAECEGTSLQNQPSTEVLLPNSSANGNAGDCVLPKESLSAKGACKENVPESSSTQLRCENGEGRVNGLGKGPLQTVASFSPPVQNTHPLLHEGTEEDAICRRTRARYSLANYALDELETFLQESDDDGDLQNVDEQEEYRKFLSAVLSGGDDGTQACQGDETQDEDENDADFELEIEEALESDGGENVENDKNDKNINGKNKKDGHRPQTRKKRPELSRAVNHQQESTKPNLRPIVPNISSTPQVPGQYPSQNINVPSSSSSATGAAVVKGFTDEQLGQLHILIYEHVQLMIQTFSLCVLDPSKQRVAADVKKMIVELVGYRDQALARKNTIRQQFCFEGQHLRSAISHASSENSQCQWIPLIKNPVMSILDVSPLHLALSYLSDVAGAVVKYRKSHVDGTPDRIRFRKEPLFPSPVLSTGGDASNISQDRSNNVSTSTPASPGQSQPKKSLAATLFESTKKESVAPVPFDIARLAQRFYPLFNFSLFPHKPPPAAMVSRLLFTDAEDGLLALGLLEYNNDWEAIQKRFLPCKSTHQIFVRQKNRSSAKATDNPVKDVRRMKNSPLTSEEIQRIEEGLKIFKYDWTSVWKFVVPYRDPSLLQRQWRVANGVQRSYSKSEALKAKRRTYEAKRRQLKASKADSQVGREQETDNDAFEDIENDDDDDDDDDGDDDPYVNEAFLADTENRSMNMMQTGTSLNDECGSAYGGFEQHKRNGTHHGVGTAYIPFSSCASDGPSTKRVFGVTLDELQASQLSKEKGSHVVKLAPDLPPVNLPPSVRVISQMEFHQNAVQYNGTSDNTAQDLFPVPPPTFTECVYTQLNLFPHHSTTDRSQQHGRDARSMEDGAEQDFQMHPLLFQHPREVLSSHSHSVQNLTSHSRNYNLFPFEQIQVEKSNKQTTDGMERAPVNANTIDFHPLLQRSEAEMHVEVPEEDCHPLSNQSDGRIREPPVDDQSTVREASTSERENGIDMQEPTSPCERDNNIDLDIHLCSSVDFRIAKDFRSTPSKSRIQPERPVKDRASISNLQPGNASPHHDTEGPGEETMQGIVMEQEELSDSEEESQHVEFECEEMDDSEDEQVQGTEPCSTTNKGTPTSVVCPELQENNDQCQTQTSVVCPELQENNDQCQTQQGLKQVAKQGVGSKRKSRGSSSARPVRAKSKPMDAEKHTGTRRSRRISRSRSRASESSQAKMPEEAGPEHKPSESKRSRKSPAPS >SECCE1Rv1G0045900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:613270017:613270910:1 gene:SECCE1Rv1G0045900 transcript:SECCE1Rv1G0045900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGEVDVPLKIDGDVAAGAHAIWGPPVHRVLYAAEGRSLGPGRRIAYAGNTVGLLKLAAVVPPPGDLPAADSQGQGGGKQILVLYRYTHFSAVPDGVEVCGSTKLHYLRFAVPPAASPAGSVRWAWSSLAPLIYPSGHSRELQALWPMLISSGGSNSFPKKAACVKVIADVGILRREDYTQERMYDVSMVLRDMAEEPWPGYHVGMELRLPEPVRVHRACADEGEAADGERPAKRRKFVAERCPICFELLKRDVAVWPGCLQPHVFHGACLVHALTRSEMCPLCRRRLSAPDEQV >SECCE3Rv1G0150980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:35105005:35105869:-1 gene:SECCE3Rv1G0150980 transcript:SECCE3Rv1G0150980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGKKFGRNYLTWTDEMDTALLEVLVEHHNNGDHAQNGWKSHVYSAVIGNVREKCFVTITKENISSRCKTFEKHYEAISKMLSQSGFGWDWINNKLSIDSEDVWLKYVAANKKVGFYKNKVIKNWDAITTIYSKDHANGEGAVTGAETVVEPTTEPNEASPEVPHKKQRTGDAILCLLGDMKGSFNDALKSLEPLPLPQVTPPAEILATLEMIPDLARGDILRSYGRNGC >SECCE5Rv1G0334400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565553587:565553982:-1 gene:SECCE5Rv1G0334400 transcript:SECCE5Rv1G0334400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGGKAKKSMEAAKNEDDGSAGEEVIPAYKRRGRPQKLLKHDVDEDEDTAKVEDDGDGAKEKIAPRKESTGAAGNGGKKRRRQSKRGSESATDEKGGAPARAPTGFRQNGSRRKSTPRRAAEAGVESK >SECCE3Rv1G0172030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:282422390:282430622:-1 gene:SECCE3Rv1G0172030 transcript:SECCE3Rv1G0172030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPNAGGGAVAAPEVIADPGVGGASGGAAPAASPWRKTTPPPVAGEAAVMGAESWPALEEARQKAVVEPTVKPLPGNAAGGDLAKGPEVQQAPPSPSQVSNRMHKFDGHANPNKNHQAHHKNGPKRRPPGANDAPPYPATMPYHQHPGQPIFYPVIPSPMMLHEYPYQPFAVPVPNHDPHAGKSGFESATSPFVPVDQVGGNEGNRPMPPQTRGDHHAWRPAVGTHGARPHTSVEGRGHFNHTWQNHQTFGTRENTSMPHGVGPRTFVRPMAHLPPTLGFINGPSYPGPMPPMYYYMAAPPMEAMRGPPRFVQNQPAPQPVLSPEATELRAKILAQVEYYFSDTNLERDNFLKSLMDEHGWVAISKVADFNRLKRITTDVHMIVDVLASSSILEVQDDKIRRRSDWSKWVSSSGATSVQSPSSTASMDNIMGECNTGGFSNKDDAFSSDQKKHPHPQDNKCNIDGAAIEAIIPDEELPNDAHSCSLNKGLSAMTIGGKPKSISTFSGNSHTHEAAVRTSDVKVRKVNTKMKVPDSQSESGFRKDLPSDSPSFSGDQSTFMLDEELELEHAEHSRDDVYSHKRVDDEDDDFFVDDQEVNRLIIVTQDTRSEKDDKSCSSISQAFSTEEASRINDALYYYESVHDRRTNNQRSSQADTADVDSKQFGGAKGNHVSIGTNGIEEAGQPIPRRRQSKGNRKTHTSHKQRFFAGNFANSPNSRSHYGGVSESPPSNSIGYFYGSTPENHSYKSSKLSSSPHGIPTGSSPIGSVPKSFPPFQHPSHQLLEKNKFQQQRYNKFKNKCVAERKKLGIGLSEEMNSLYRFWSYYLRDNFNEDMYKHFKNFAVDDAAANYRYGLECLFRFYSYGLEKNFQQNVYEDFEQLTLEFYHKGDLYGLEKYWAFHHFRKQDSSPINKHAELERLLKEEFRTIEDFKARRAADKETGGSGSKSAVAVSHVAK >SECCE6Rv1G0432220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:750426332:750427604:-1 gene:SECCE6Rv1G0432220 transcript:SECCE6Rv1G0432220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYNFTYVLIGDSGVGKTCLLVQFLHKEFHPVVDFNIYTECGDKMIAIDNKPAKLLIWDTLFRSLTTPLYDEAVGALLVYDITRRETFNHLANWLQDARRYAHPGMTIMLIGNKCDLSQSRAVSYEEGERFAKDHGLLFMEASAKTAQNVVEGFLQTAVAIYKKIQDPSSDLYK >SECCE7Rv1G0463730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:56741654:56744510:-1 gene:SECCE7Rv1G0463730 transcript:SECCE7Rv1G0463730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSSISSAPRRRRPMSDLIGAGASDDLSRLSVATTTASSERSSGSGRGAAAFLDAFRSCFAPADARSPETSLSDVDFDASHQHSQSLSSQGSTSGSTFESRRSARGLYGPTLRNSSEREIPGDRKFSLPEIQKATKNFSPNLKIGQGGSGTVYKGQLSDGTLVAVKRAKKNMYDKHMGHEFRNEIETLRCIEHLNLVRFHGFLEFGGEQLIIVEYVPNGNLREHLEGLNGKVLGFSVRLEIAIDVAHAITYLHTYSDQPVIHRDIKSSNILLANNCRAKVADFGFAKLAPTDATHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELVTGRRPIEPKRAIVERVTAKWAMEKFSKGDAILTLDPNLEVNDATNLAVEKMYELALQCLAPKKRNRPSMRRCAEILWSIRKDYRELAQPTSS >SECCE3Rv1G0163430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:148028030:148028728:1 gene:SECCE3Rv1G0163430 transcript:SECCE3Rv1G0163430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEMVIRMPASSDKGQHSKAMKIAAAIDGVESVTLAGEGRNLLRVVGEGVDSNHLTSRLRRKVGHADIVELRTLQAGRGYASSTNAAGGGGYHSAQAAGAGARGDAAAYGGYNSYTTASYSPAAADQYQRQQQQPSYGYEYEYYPPAPYATTVVHHEYTAGDPTGCSIM >SECCE1Rv1G0023350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:303533242:303536500:1 gene:SECCE1Rv1G0023350 transcript:SECCE1Rv1G0023350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALDRQRILLRHLEPAAGTNPTASAVSASVCAAGDSAAYHRGPCFADDVVIVAAYRTAICKAKRGGFKDTLPEDLLVPVFKALVDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAALYAGFPDTVPLKTVNRQCSSGLQAVADVAAAIKAGMYDIGIAAGVESMTVNKVSLDGKVNPKVELFAQARDCLLPMGLTSENVAQRFGITRMEQDQAAVESHRKAAAAVAAGKFKEEIVPVHTKIADPKTGEEKEIVVSADDGIRSNTTLAVLSKLKPAFSKDGTTTAGNASQVSDGAGAVLLMRRDVATQKGLPILGIYRSFAAVGVDPAVMGVGPAVAIPAAVKAAGLQVNDVDLFEINEAFASQYVYCSKKLDLDPAKVNVNGGAIALGHPLGATGARCVITLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDAVDELTNARGVPSLNWLSKDTV >SECCE5Rv1G0317550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:345352031:345355215:1 gene:SECCE5Rv1G0317550 transcript:SECCE5Rv1G0317550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGKKMNQIPAPAGRLWEASIRKLTTIRRGASAFPAAVAGVDGLVDPAGTLAVTSSSTVYQYSGSEDAAEGNGAEEGDEEEAGSSLGEPSHSEQLLDSGDFYQGDLRGDLPHGNGKFLWTDGSMYEGAWRSGRAAGRGKFSWPSGATYEGDLAGGYMHGQGTYIGEFGDTFAGLWANNLRHGRGTQAYANGDVYDGHWRDGLQDGHGRYIWRHGHEYIGTWKAGEMHGCGTVIWSDGDRYDGSWEDAKPMGQGTFRWADGGMYIGTWCQESGVTHAKGVYYPPSGGPAVPVPREPRDAITKLLEELEVCEGKTVSLLPSHKILTWPGVEAVLKKPVWRPPEVSADQGRVSVSSVRRRSSVSDLDSLTAGEDGCEDASTRADRAWSRTLSCIRAPPRPGKKQGETISKGHRNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDDALLELSSPGKSGSFFYLTNDDKYMIKTMKKAEVKVLLRMLPAYYKHVRAYDNTLITKFFGLHCVKITGGIQKKVRFVIMGNLFCSRYAIHRRFDLKGSSLGRMTDKPLDQIDETTTLKDLDLNFIFRLAGSWFQEFCRQVDRDCELLEQERIMDYSLLVGVHFKDRCKDTGNADNGTPTTTDEDSEQKRKAQEKLGISMPSRVENIVRNPESESLLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIFRAFAEDVQ >SECCE2Rv1G0117660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:774866755:774867108:1 gene:SECCE2Rv1G0117660 transcript:SECCE2Rv1G0117660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGLLRCVSTGACRVAPGAVAEMAISPSASGKVPAGHVPVEVGAEGEETERFLVPAELLGRPPIAELLRRAAQEYGYARRGPLRIPCPAAAFRRLLGALAGGDRSPTHVYYAVVV >SECCE3Rv1G0161430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:117446130:117450971:1 gene:SECCE3Rv1G0161430 transcript:SECCE3Rv1G0161430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARTAAASPAASLWKRGGGAGARGDGCTSCLEVRRRAAAVTVRAAQPKRVEAVAMESAAETAEAEKEVAAEKEVAAAGGGVEDKYGEDRATEELPVTPWAFSVASGYTLLRDPHHNKGLAFTERERDAHYLRGLLPPGVVSQDLQVKKIMHNLRQYKVPLQRYVAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYVSLRDKGKVIDVLRNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEELLNDEFYIGLRQRRATGEEYHELMEEFMDAVKQIYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLSSLKVVGGTLAEHTYLFLGAGEAGTGIAELIALEISKQTNAPIEECRKKVWLVDSKGLIVNSRKDSLQPFKKSWAHEHEPVTTLLDAIESIRPTVLIGTSGVGRAFTKEVVEAMASFNARPVIFSLSNPTSHSECTAEEAYKWTQGRAVFASGSPFDPVEYDGKIHVPGQANNAYIFPGFGLGVVISGAIRVHEDMLLAASETLAAQATQENFAKGSIFPPFTNIRKISALIAASVAAKAYELGLATRLPRPRDLEKYAESCMYTPVYRSYR >SECCEUnv1G0560830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:350103815:350104120:-1 gene:SECCEUnv1G0560830 transcript:SECCEUnv1G0560830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRINQSNLLNN >SECCE5Rv1G0313520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:261251325:261252577:-1 gene:SECCE5Rv1G0313520 transcript:SECCE5Rv1G0313520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLKSSFLPKKAEWGVARQVATPRVSMVVRAGAYDDELVKTAKTIASPGRGILAMDESNATCGKRLDSIGLENTEANRQAFRTLLVSVPGLGNHISGAILFEETLYQSTVDGKKIVDILVEQGIVPGIKVDKGLVPLTGSNDESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIERTFEVAQKVWAETFYQMAQNNVMFEGILLKPSMVTPGAECKDRATPEQVAGYTLKLLSRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQEALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYSY >SECCE6Rv1G0430590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:743133135:743135741:-1 gene:SECCE6Rv1G0430590 transcript:SECCE6Rv1G0430590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLKSLSMDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGAAADQAAAAAAAGSPGAVLMDHLSPRSPSGASASSPRGAGGSGSGSASAAAMAPGAVIPVNSVSYEVFLLLLQFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAVARSFGVEELAVLTQKQLAGMVEKASIEDVMKVLMASRKQDLHQLWNTCSHLVAKSGLPPEVLAKHLPLDVVAKIDDLRLKSSMSRRSPFLAHHQQHQQHHHQGSVIEASAAELDDHNKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAAMVMSREDAAGNAPAPMLYSDHHPGAGGGGVYSGTGGTSTSMNLSLDNRMVYLNLGMDVMNHGDGGDDGGSRSGQGGPSSLFSPHGFP >SECCE5Rv1G0338300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597263382:597269567:-1 gene:SECCE5Rv1G0338300 transcript:SECCE5Rv1G0338300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAALSSLALVLLLAVASQEAAATITRGDFPPGFIFGTGSSAYQIEGAVAEDGRKPSIWDTFTHSGHSLDGSNADVTADQYHKYKDDVKLLSDMGVDAYRFSIAWPRLIPDGRGAVNPKGLEYYNNLIDELLAHGIQPHVTIYHFDFPQALQDEYNGMLSHKFIDDYTAYAEVCFKNFGDRVKYWSTVNEPNIEPIGGYDQGILPPRRCSFPFGALSCDNGNSTTEPYIVAHHLLLAHASAASLYKEKYQAKQGGNIGLTLLGWWYEPATQTPEDIAAAERMNDFHIGWYMHPLVHGDYPPVMRKNVGSRLPSFTDEELKRVLGSFDFVGFNHYIAVYVKADLSKLDDELRDYMGDAAVKYDLPFLKKNQLLFGLKSDFMSSTPWALKKMLGHLQLKYKNPVVMIHENGAASIADPSAGNAPDDEFRSQYLQDYIEATLESSRNGSNVQGYFVWSFLDVFEYLFGYRMGFGLYGVDFSSEERTRYQRHSAKWFAGFLRGGELRPLALPGKAYSQ >SECCE4Rv1G0215980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8458612:8459199:-1 gene:SECCE4Rv1G0215980 transcript:SECCE4Rv1G0215980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQIFSHAQIQDLQARSDELGHSNKSMVVSLISLESVRIACESYALLRPLIMESWMWACSELEILSVVAGLSVEIQKLEHDVLPQLMVQEAKLERDALEAFLLMMNSAVALLHLRKSFKEALGVFLCEDYLVSAKVKKLSKMLKDIAVHVLKGKCNIVWLQERVPLLVQLVTDVLETPVRFCDSDEDSDECSDE >SECCE7Rv1G0526970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:899520761:899523496:-1 gene:SECCE7Rv1G0526970 transcript:SECCE7Rv1G0526970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFDRQDEEDDFIGVDESSRVEIQDVGAEIHQAIGQHFCLLVFHNGSDNTVDLSNFGIPQPIMSRSYLFGTKLLWTFRGRLRLNQRISENVDNSHLFLYREFSPLGWNYLLQKEAREIVGYTDKLGDVAQECCLYMLSLNSQGGNIMDYNWATHGSSYWVCDGIIQGDEAWEVAADLHQRICIEDYSANALPTFGTELKTPLKRWILSKDNSVVHPYSTSFFLAAVESMSYPPFRSLPNDMFHQSDKLRVLKLCRCTFSFSSPPFCCCRNLKFLGLDDCKDQPVEQDEKKGRPAMEFFQRLWVLDICHTDWELDLSAEITEQMVSNIREVHIRKGRIWCHSFAWRQLQNLHKLQVIEPTSPWQTGKMDEFTDMVKMEFLDLSGNSTIQVLPCLSGATGVKILVLDGCIGLKHVGPQGLPMSLESFSLDVGTRVDQNEEAKISHISLAGCGKLSKFRLCGSLPHLEDLDLSGTLVKTLDLKDQVVQAPRLQKIILLGCMQLLSILWPENGMPKDTVLRIDSSVCHVQAEPHQAYATIMDIRFLQTLVLESNVKFCWKSTSFHLKLCVPCSNKVEGQRNKEKTAIDSIGQIMGRPRPKSLLPNAYIKYMDVVADNITVDDGYKYNIAPQFQPLGSHVEIGDGISFTRMESTRAMKTTIFVMNKAESLHAHDNSSINTIIPEHMMSIESNVLTWQNLKSCEVVRCPKMHTVFNIVSGYMKFQELVTFWAADLLSAHCIWSKQRVSDFQDNLSFAKLQSIHLFSCPRLTFVLQWSRLYILSSLETLHITFCGDLRQVFPVEPEILTMIAASYHKGVLEFPNLKHIYLHQLFKLEQICEARMFAPKLESIRVRGCWGLRRLPAVGRGNRPVVDCEKDWWEKLEWDGLEAGHDPSLFEPRHSAYYKKTLPRGSVLW >SECCE2Rv1G0133190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895141696:895141938:-1 gene:SECCE2Rv1G0133190 transcript:SECCE2Rv1G0133190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRYMMSMSRVGARAAQAVQDAVGRSAGKADRAQQQSADRARAKAPLAWKAAEEQRRRATAQEESLRTVMFLSMWGPNA >SECCE7Rv1G0511390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803143115:803143966:1 gene:SECCE7Rv1G0511390 transcript:SECCE7Rv1G0511390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQQRRVKLIVSYGGRVERVQGRPPRYVGGEHLLLSVLSSVSTRGFRDLLAKRTGFSDFSVKYCYSGEGLDSLCDVDTDQDLGGVLDLLLYRDLQVRLFNNLNTRRFRVYLFHDAAAAPSPTSQALGKPSPMRRSAMSPALLPTKAANVDGRPSHGLAAPAPSLVSRITTSPNLLWETSTAGMAPSKPPLAPALARRIASSPLLTADSTDDTTSLTTTTSTSAAIATKCTQPHPAAFRRAELSYPVCQAAPVILVPVMPQVIIYQPMIIFVPVFNSKVAMG >SECCE5Rv1G0366460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:813337700:813345295:1 gene:SECCE5Rv1G0366460 transcript:SECCE5Rv1G0366460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVAIYSLFIINKSGGLIYYKDYGSAGRMDTNDSLRLASLWHSMHAISQQLSPTTGCTGIDLLQAHNFDLHCFQSLTGTKFFVVCETGAQNMEILLKTIYELYTEFVLKNPFYEMEMPIRCELFDLNLAHVIQKDRVALLGR >SECCE5Rv1G0372420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:852747825:852748634:-1 gene:SECCE5Rv1G0372420 transcript:SECCE5Rv1G0372420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVLLVAAVFAVVVCGAHGIPKVPPGPNITASPASYGNKWLDAKTTWYGKPTGAGPKDNGGACGYKEVDKAPFHGMTSCGNIPIFKDGRGCGSCFELKCTKPEACSGEPTIVTITDKNEEPIAPYHFDLSGHAFGSMAKKGEEQKLRDAGEVEIKFRRVKCKYPPGTKVNFHVEKGSSPNYLALVIKFLQGDGDVVGVDIKQKGEDKWIELKESWGAVWRIDTPHKLIGPFTVRYTTEGGTKTTVEDVIPKGWKPDTSYEAKGGY >SECCEUnv1G0540840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:91434282:91435629:1 gene:SECCEUnv1G0540840 transcript:SECCEUnv1G0540840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLALLNRQELAGGIKALEKSLELGRGAHPASYMVEEIWQELSKAKYIEWEGLSKMRFSQLHKLNATCKEALKSYNSLDNSTGDVSEEHLNELDEVFRKAAEADTPTEVPDHLCCKITLDIFRDPVITPSGITYERAVILDHLNRVGKFDPVTREALEPFQLISNLAVKEAVDVFLNEHGWAYKIR >SECCE7Rv1G0514350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:832920890:832921696:1 gene:SECCE7Rv1G0514350 transcript:SECCE7Rv1G0514350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAPVDSPGAAEAAARPSRYESQKRRDWHTFGQYLRNHRPPLELSRCSGAHVLEFLRYLDQFGKTKVHAPGCPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPEANPFGARAVRLYLREVRDSQAKARGIAYEKKRRKRPPPSSSQKAAKAAPSPPPPASTHVAAPPPERTPEVLVARAVPAQGHYFIPHPQHYMHAHFLMPGGHEADAAVPSSSSGNSNGHSNGHSGGTGDEMAMAMAAAAEAHAAGCMLPLSVFN >SECCE4Rv1G0251670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:607117922:607128114:1 gene:SECCE4Rv1G0251670 transcript:SECCE4Rv1G0251670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLRKLHIGDSAGDGASSPAPPLPSSKKGGGGGAGGHHASSGISSWLSSVAGRPHPPNPPPLPAAVETEAEELPLASSLGERRVEEEETARRDERKEDRKREIEKQEKREDELEEYHMQLALEMSAREDPEAMQIEVAKQISLGSCPLQSSAAEVVAFRYWSFSALSYDDKILDGFYDIWATGGKPTLRTIPSLMELHQQPFSLGAKTEAVLVNRAQDSELVDLGQKALTMAFDFRSQTSHSVGRVLIQRLAILVANHMGGPVVDPENVLLKYQNMSSSLRASIRSSVMPLGRLTIGLARHRALLFKVLADNLDVPCRLVKGRQYTGSDDGALNIVKLNDGREYIVDLMSDPGTLIASDGADLGREFEESSFADNHQGDKDDGNTQLASSISEPSSSVCGSFENESKGSTPTNVVDPYCMTTSQTRNQGSLQSSSLGELSAGSHASESLPIIKESRNADHTLAVKNKEKPIAANKSSSSSPSSSEVGSAPAVRRTKVKDVSEYMISAAKDNPQLAEKIHAVLLENGVVPPADLFSEESREQPKDLIVYDTSLFQTKGEMIKRMNELESTSHDESDHGPSLPPHPGYEHQTKAVPYRPKPVQGMGIYHPSNLHDNSNPSLPLYESSALAREYPFQLIKQMPVTAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATSAAVKQYEYMDPGCQLISLPSSSEANGPVHRVRPDCWDKDQLEVDHGQENAPEQGNALVEVPREAERISDKSIGTESVRSDVTMDDVAEFEIQWEEIVLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDILEELKAEVRIMKRLRHPNVVLFMGAVTRIPNLSILTEFLPRGSLFRLIRRPNNQLDERKRIRMALDVARGMNYLHNCTPVVVHRDLKSPNLLVDKNWVVKVCDFGLSRIKHNTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNPMQVVGAVGFQERRLDIPAEVDPAIAEIIARCWQTDPKTRPSFSEIMAALKRVLKKLSANQPRRQRVQETDD >SECCE3Rv1G0159220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:94848549:94855949:1 gene:SECCE3Rv1G0159220 transcript:SECCE3Rv1G0159220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSQDAPLQLNTVQTDQPLATVNRVLAALHLALAAAAIAHRGAHVMLAADLVLLFLWALSQAPMWRPVSRAAFPSRLSRAALPAVDVMVVTADPDKEPAAKVMNTVVSAMALDYPGGRLSVYLSDDAGSPRTLLATRKAYAFARVWVPFCRKYGVRCPCPDRFFAGDDKLDLGSHHHRELADDMLRIKNMYETFKEGVEEVMSDAALSQSCTKSDHDAHIEIITDEQGGSNSNSGGDGDEDEDAMPLLVYVAREKRRSSAHHFKAGALNVLLRVSSLMSNSPYVMVLDCDMYCNSRSSILEAMCFHLDGRRRADLAFVQFPQMFHNLSSSDIYANELRSIFWTRWKGLDGLRGPILSGTGFCARRDAVYGARPASSQDHFSGVEVGELKRRFGVSNGHIASLRRSGTGSTIVAGDVVPQDTELVASCDYETGTEWGEEVGFLYQSVVEDYFTGYRQLYCRGWTSVYCFPATGSRPPFLGTVPTNLNDALVQNKRWMSGLLTVALSRHCPLASASVSVPQSMGFAYYAFMALYAFPVLCYATVPQLYFFRGGTSFPGASALWFAAVLASSSLQHLVEVSVAKRTLAVRTWWNEQRFWALNAVTGQLFACLGVVLSLVGAGGRAVDFDLTSKASDDRLYRDGKFDFAGCSALLLPATTLCLLNTAALVGGVWKMVGRGGNVSGELFLLCYVAALSYPLLQGMFLRRDPARVPARITAMSVAMVATLLSFAGY >SECCE5Rv1G0367430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:821103276:821105636:1 gene:SECCE5Rv1G0367430 transcript:SECCE5Rv1G0367430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGVLLLHPVNAYLEQELDRRFRLFRLWDSPPDGRAEFLRANASAIRAVVVYPGYSALAELIDALPSLEIVASFSVGIDHVDLPKCRGRGIRVTNTPDVLTDDVADVAVGLAIAALRRIPQADRFVRAGLWKAKGDYALTTRFSGKRVGIIGLGRIGLAIATRVEAFDCPVNYYQRTKKDYANYIYYPSVVELAANSDVLVVACPLSEQTRHIVNRQVMEALGPKGVLINIGRGPHVDEPELVSALVEGRLGGAGLDVFEDEPNVPESLFTLDNVVLVPHMGSGTHETRKAMADLVLGNLEAHVLKKPLLTPVI >SECCE5Rv1G0324870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:458648805:458649149:-1 gene:SECCE5Rv1G0324870 transcript:SECCE5Rv1G0324870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQDQTVLVRCADLAKFAVPASVAERAGSVAAALEAGERVVELPRGVSGKGLATAVAYYQARAEAEARGAEPGEFDGEFVRGLTHDAAIDLIYAAHHLGDQALFNLFAGYRAN >SECCE7Rv1G0460670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:32824463:32826838:-1 gene:SECCE7Rv1G0460670 transcript:SECCE7Rv1G0460670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g16610 [Source:Projected from Arabidopsis thaliana (AT3G16610) UniProtKB/Swiss-Prot;Acc:Q9LUS3] MRPRLPATKLKPAAAPPHRPPRPPAAARALSTTAPPSDACHLQQQQQQLDEHIARGDLARARHLFDRMPDPDARAYNSLIRAYSWRGPAHAAGALALYAAMLRDARCPPNKYTFPFVLKACSALQDLRAGRAIHRHAARAGLHADLFVCTALIDLYMKCARFGPAAAVFHTMLARDAVAWNAMLAGYALHGMYRHAVECLLRMQEGCTSLRPNASTLVALLPLLAQQGALCQGKSVHAYSVRASLHDKDGVLVGTALLDMYAKCGELLYARRVFEAMPIRNDVTWSAIIGGFVVCGRMTEAFGMFKDMLARGLGFLSPTSVASALRACASLADLRIGKQLHVLLAKSGLHSDLTAGNSLLSMYAKAGLIDEATALFDEMAAKDTVSYSALVSGYVQNGMADAAFLVFKKMQACNVQPDVATMVSLIPACAHLAALQHGKCSHGSVIVRGMAPETSICNALMDMYAKCGRIDLSRQIFDVMPARDIVSWNTMIAGYGIHGLGKEATALFLDMKNHACEPDGVTFICLISACSHSGLVTEGKRWFHMMAQKYGITPRMEHYISMVDLLARGGFLDEAYQFIQSMPMKADVRVWGALLAACRVHKNIDLGKQVARVIQKLGPEGTGNFVLLSNIFSAAGRFDEAAEVRIIQKEKGFNKSPGCSWIEINGSLHAFIGGDRSHPRSPEIYQELDNILVDINKLGYRADTSFVLQDLEEEEKEKALLYHSEKLAIAFGVLTLSEDKTIFVTKNLRVCGDCHTVIKYMSLVRRRDIIVRDANRFHHFKNGQCSCGDFW >SECCE4Rv1G0287610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:856113702:856115316:1 gene:SECCE4Rv1G0287610 transcript:SECCE4Rv1G0287610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTRKYNMKARAGRGFTLEELKSAGIPKKLAPTIGISVDHRRKNRSLEGMQSNIQRLKTYKAKLVIFPRRARKVKAGDSTPEELANATQVQGDYMPIARGEKRSVEVVKVTEEMKEFKAYGKLRVERMNQRQLGARQKKAAEAEKEEKK >SECCE7Rv1G0498520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:641028952:641029815:-1 gene:SECCE7Rv1G0498520 transcript:SECCE7Rv1G0498520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFTGVRFSDVPVNTGVSFHFILAFAIDYLASQQSKPTPTNGVFKPFWDTGNLTPAAMAAAKAAHPNLSIMVSIGGDTVQNTGVNATYAPTSVDSWVANAVSSLSGMINQYGLDGVDVDYEHFGTDVDTFVEGIGRLLTQLKARFPNIRTSIAPYELPINQKYYQALWRKYSGVIDYVNFQFYGYGANTKVEYYVQFYDEQARNYPGSGGTKLLASFKTGDVTGLLSPDQGIDGAKELQRQGKLPGIFIFSADSSKMSPYKFKYETQAQQIVANH >SECCE6Rv1G0442250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814631161:814633293:1 gene:SECCE6Rv1G0442250 transcript:SECCE6Rv1G0442250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRPATITIVFLVLLYLSYAPRWAYSLSFNLNFSDPSAGSSIVTAGDAFISPSRLELTKSARDADILSSSGRASYAHKVPLWSNATGEVASFTTTFSFRIIPDEASLPQTGDGMAFFLGHFPSVIPPNSGGGRLGLLPSFTNWTGDSRIVAVEFDTFGNEEFVDISGNHVGIDVNSINSTASTDTSWLGKNLTSPNVTKTATVTYHNDSKMLVVDLIIDNALYQVNATVDLSKYLPEEVAVGFSATTGMFAELHQILSWSFSSTLHLQSKKETPPPAEPPLPIPTSSSNNHKNLVIILLNILAPLLCLLVCAVVVLGWRRHKKRRPNDDSDSDSEKQCVDRADLERGVAAGGPRRYMYHELVAATSNFAEEEKLGRGGFGSVYRGHVTLTPAAAVGGDQDHRAMAVKVLSAESSAQGRKEFEAEVRIISRLKHRNLVQLLGWCDSRKGLLLVYELVVEGSLDRHLYRKDDTYLTWPQRYNIIVGLGLALRYLHGEWEQCIVHGDIKPSNIMLDSSLSTKLGDFGLARLVDHGAGLMQTTKAVLGTMGYIDPEFINTRRPSTESDVYSFGVVLLEIASGRRPVIETSERSFTLLSWVRGLYGREAILDAVDERVRGDEADERWMERVLVVGLWCAHPDQSERPSMAHAMHVLQSDEVRLPVLSLHMYRAAPSFVFSVDSSGSGCVRSSSVRTDSITHSSESSSTAGRA >SECCEUnv1G0549610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:206367277:206367966:-1 gene:SECCEUnv1G0549610 transcript:SECCEUnv1G0549610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKSYAQILIGSRLFLTAMAIHLSLRVAPPDLQQGGNSRISYVHVPAARMSIVIYIATAINSSLFPLTKHPLFLRSSGTGTEIGAFSTLFTLVTGGFRGRPMWGTFRVWDACLTSVFILFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSPVNWWNTSHQPGSISRSGTSIHVPMPIPILSNFANFPFSTRILFVLETRLPIPSFPESPLTEEIEAREGIPLKT >SECCE6Rv1G0400750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:457347220:457347801:1 gene:SECCE6Rv1G0400750 transcript:SECCE6Rv1G0400750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGGYGPRPGTAPSGAYYVHSSSCFRDGSNMASAPPYRQPQQQMAPQRREAVLMEVGRLAAEYLVAIGELPSHVLQHQHQHQHRPPAQLPFQAGPLALPRPRPFQERPLARQYFRFLPPASRDLGRPFAPRPFQGRAIAKRPRPFHGRPAALHPGWFQTQAAMSDAPAGVGSTTRPVSQPGGVHGSELPDVF >SECCE6Rv1G0412090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:612789332:612789685:-1 gene:SECCE6Rv1G0412090 transcript:SECCE6Rv1G0412090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDQAEKKGKVKKGWLSVRVGQAQAEQGDGFRRFVIPIAYLYHPLFQRLLEAARDTYGYSSAGPLWLPCSVDEFLRLRALVDRETAHSHSSSHRVHAGGHQQHSYSFAPCTRARVR >SECCE1Rv1G0047420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:624973693:624975003:-1 gene:SECCE1Rv1G0047420 transcript:SECCE1Rv1G0047420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT4 [Source:Projected from Arabidopsis thaliana (AT5G44370) UniProtKB/TrEMBL;Acc:A0A178U9F1] MPRMKVPKRYVIVLLTFICTNVCYIERVGFSIAYTVAADAINVNQANKGLILSMFYYGYVLSQIPGGWAAQRLGGRRVLLLSFLLWSLICGLIPLDPNRVVILVLSRLFVGVAQGFIFPAIHTVLAQWVPPQERSRSVSLTTSGMYLGAACGMLFFPSLVKHMGPQSVCLVEAVLGVAWSAIWLKFSSEPPRTDLPKVAVPKVASREKIKAQSTGVVAPRTVKIPWRRIIFSLPVWAIVVNNFTFHYALYVIMNWLPTYFELALKLSLQDMGSSKMLPYFNMFIFSNIGGVVADHLITKRILSVTKTRKLLNTIGFVVSAVALMALPSFGTPSGTVICSSVSLGFLALGRAGFAVNHMDVAPKFAGIVMGVSNTAGTLAGIVGVGLTGNILEAAKASNMDLTNSETWKTVFFVPAYLCIFSSVIFLIFSTGEKIFE >SECCE2Rv1G0080390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:129634540:129635984:-1 gene:SECCE2Rv1G0080390 transcript:SECCE2Rv1G0080390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G73177) UniProtKB/Swiss-Prot;Acc:Q8L981] MGDQNLSLGILIDIVDEQWMRDTLPADDIPVPPAMAVKTEDTEDPAPARPILYQTDQESQPAQGDVWRDFALENI >SECCE7Rv1G0517360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:853831829:853835019:1 gene:SECCE7Rv1G0517360 transcript:SECCE7Rv1G0517360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIGAAAAAAAARRHAHLPSSYAAAFSSFSGIGGSGGAGRGRGRGLPPSASAPPRAPGSPIPEDDNGADLFAASSPAGRGRGDTVVPPSPTTPSFSSFSGAGRGRGSPLPPPRPPPPSDEDAPKQPTFAKRFDSVPPPSDPEPPATAASSSGPPRSIPTSGAGRGVPRVHQPVDRAPEENRFVRSRKARKAPTASAPSGQPKLAPQEAVKRALELLGRGDTGGGRGRGGRGGRGGGRDGGRRPADVNELEKVFLGDEADGEKLEKRLGPEKMKIWDEAFDEAADEALPNPMNDAFLKAVHTNNMIEFEPEYNVSFSNPDIEEKPPMSLEEMLQKVKPFMVAYEGIQDEEEWEDIVEDIMDRAPHMKELIDMYSGPDVVTAVQQEGELQRVANTLPENTPNSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFAREVSEQYK >SECCE3Rv1G0193990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:776382790:776383611:1 gene:SECCE3Rv1G0193990 transcript:SECCE3Rv1G0193990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMWKYRAVGSVAALLLLLAIVVPFTQTQTRDKAAMAEHAGPLVGGVKESPMGQENDLEVIELARFAVSEHNNKANALLEFENVVKLKKQMVAGTMHYITIRVTEGGAKKLYEAKVWEKPWENFKQLEDFKPVEDIPSA >SECCE1Rv1G0045720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:611514158:611515111:1 gene:SECCE1Rv1G0045720 transcript:SECCE1Rv1G0045720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSTCSAADERVYCPECNRATEVVLDHGTGDTICTECALVLDAHYIDVVSEWRNFADDGGGEDRDPSRVGASGDPFLAAKLSTVIDCTSKPKRSSTNGVAANVPPRLSVPDAEAASDQTLVDGFRGIADMADRLGLVATIRDLAKETFKKLDEAKGCPRGRKRDSVYAACLYIACRNLGMPRTYKELASVTAGGVAAKKDVGKMTTHIKKLLGEEDGQVMDIGVVSASDYLRRFCSRLGLGNQEVRDAQEAVRRVEEGLDVRRNPESVAAAIIYMVVQRAGASRSAKDVSVATGVAEGTIKEAHKDLAPHAQMLFG >SECCE7Rv1G0522260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876662369:876662656:-1 gene:SECCE7Rv1G0522260 transcript:SECCE7Rv1G0522260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADQIFEFVRAADCYPNVSIAYRILLTVPVTVSSAERSFSKLKLLKNYLRSTMSQERLNGLAMCCIEKNILDSNDLDTVMNDFASKNAQKSRFS >SECCE5Rv1G0340200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:613081375:613082829:1 gene:SECCE5Rv1G0340200 transcript:SECCE5Rv1G0340200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDMAHKDHLLAAYHGLLAAAGLLLCVLAELLVFALRRGAALYLVPVSAMLLLGRYRRRAAGGAGVRVGLVDFSCLKPPRRLRLPLGALLEHLELGGFFDRGSIEFMTKAIRSSGMGNETYLPPALHFLPPESTHGHAIREAHMLFFPALDNLFEKSLVPPSAVGAVVVNCSGFCPAPSLAAIIANRYRMRADVKICNLSGMGCSAGSISVDVAAGLLRAHAMSYAVVVSAEILTVGWYCGKDRGKLLLNCNFRTGCSAALLTNSAVAPVKYRLVNVTRTNTTANDLSYRAGYREEDEEGITGFTLGQGVGRMVSELLRAHLLTLSLSILPWREKARYTAALLMSMRRRGQDKLAGSSTSASAPMPDFRQAADHFCLPSSGKPMILRLGKGLGLGEREMEAALMTFHRFGNQSAAALWYQMAYLEAKGRVRKGDTVWHLGIGSGLKANSLVWERVAVADDVAAGGLDALGPWVECIHQYPVWE >SECCE5Rv1G0298280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10827756:10828382:1 gene:SECCE5Rv1G0298280 transcript:SECCE5Rv1G0298280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDVDLDFEAFLADLASGLYAPTPPVPVMSSPEEGALSPAAPSSPDLLPMLTSSPEEGMLSPAAPSPLEALPMTTSSPEEGTSSGSAGTVAVAGDDGDRERRLRRKVSNRESARRSRARKQRHLEEQRAAAATLRAGNRHLAEKLRVARARAGLVALANARLHAQGQALSRRLDAARQALALRQLYAAASASGGALDMQALASLIR >SECCEUnv1G0559290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:336906701:336909139:1 gene:SECCEUnv1G0559290 transcript:SECCEUnv1G0559290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKGSPPPSAAGSSSAGNAPAPVGPVPRPPEVAPFLTKVYDMVSDAATDRVMSWSDAGNSFVIWDAHAFERDLLSRHFKHRNFSSFIRQLNTYGFRKVDPDRWEWANEGFLRGQKHLLKTIKRKKRPSHEAGGELEQAPVKAAPGTENIEIGRYGGLVKEVETLKRDKALLMQQLVDLRHYQQSSNLEVQSLIQRLQVMEQNQKQMMALLAIVVQNPSLLNQLVQQQQQRWWKDDGNKKRRFPALQQGPVTDQETSGAGAEIIQYRPPAPETSSQVIADEAFLSATTQPISSTALNMPMDIDTQTTSDNLNILGSSGDLFADMPALPDFDDMHLWFDEDGEPTLSIQDYDESPQSGQDCQMEAQHNYNNSQHGIP >SECCEUnv1G0567360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:413991473:413992462:-1 gene:SECCEUnv1G0567360 transcript:SECCEUnv1G0567360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYLADLLKQAAEEELGMMLGVSGDIDKMGVKLGDLKNLLADAERRRITDDSVRQWVTELKRAMYEATDILDLCQLKIMERGSSLPDMGCCNPLLFCLRHPRFSHEIGGRIKKLNQTLDSIKERSAAFSFLNLTSYEDRTRVRPSAACRKTDPVLERSGVVGDKIEDDTRTLVEKLTNKNDIDDIMVVAIVGVGGIGKTTLAKKVFNDEAIQHRFNTKIWLSVTKEFSEAELLKTAIIAAKGKLPDGGAQDKSLLVPALAVAIRDKKFFLVLDDMWGDNEWNNLLKDPFSYGAPGSRVLVTTRHGTIARGLKAMHPYHHVD >SECCE4Rv1G0280790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:823465905:823470455:1 gene:SECCE4Rv1G0280790 transcript:SECCE4Rv1G0280790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEEERQSPARKRERDEEPAHEDGGAADGAVEKRPRAGDESEGASLLGLANYADEDEEERGAPRGRANGRPHEQHEEEEDDEDDEEDERRAPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYYQGRGLKSHAYTHSLEAGHHVFINLQTEKVYCLPDGYEINDPSLEDIRHVLNPRFAREQVKILDKNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRITPLRNFFLIPENYQHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASEKKFQIGVQSDPVEFMSWLLNTLHAKLRSSKKKNRSIIYDCFQGELEVVKEIHRKHLVDDEQNGEAGSQVETTIDGMVTQTSRVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVIRLPKYMILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKESEKLRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >SECCE1Rv1G0054970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:677683545:677684708:-1 gene:SECCE1Rv1G0054970 transcript:SECCE1Rv1G0054970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRGAWPAITAILLVHSLFAAFPAASVDVGDMLMMDRFRRWQATHNRSYLSTEERLWRFQVYRDNVEYIEATNRRGDLTYKLGENEFTDLTQEEFLARYASSYDGASGDADMGITMPAGGEAELWSSGGDDNSLEAPPSMDWRAKGAVTPPKSQSSSCSSCWAFVTVATIETLNWIRTGKLVSLSEQQLVDCDQYDGGCNRGSYNRAFKWIVENGGLTTEAEYPYTAVRGACNRAKSAHHVVKISGSGVIPPRNEPEMQRAVAGQPIGVAIEVGSGMQFYKSGVYSGPCGTALAHAVTIVGYGVDAGVKYWIVKNSWGQTWGEGGFIRMRRDVGGPGLCGIALDVAYPKMAR >SECCE5Rv1G0332890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:552988637:552991537:1 gene:SECCE5Rv1G0332890 transcript:SECCE5Rv1G0332890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEETKPKKQRDEECVINCLPGELIEQIFFKLPVSTLLRCTGVCEQWHKIIRDPQFVTSHLQHVPQCAFLFFPQESVSCEPHPADAILIDEAWLPSTYAVPVIGPDDFLCGSCNGLLCLYTKSSTLKIANFATGECLHLEKPVKNLRGDHFLFYNFGFHPLTKEYKLTHFLGDPVVGRPCSHNNRRFSVIQVYTLGDEKWRDIKTPEALSLNCVKNSGAVNIDGTMCWLTEDMVASWQHAVMTFDLSEESFARIQLPATVHEDCAGGGPRRYWIREIDRKVCIATAQACPSLPRRLVGMLQIWELENKTEHRWSRKYNIQYSPDYILGPNLVHGDKIIVPRRDGNLYSYELLGENFNTKLFKMAKLLDFSPYKPDNMQSYICVKSLVRLDVYKKAGITRRPKQREGWELKKWEAWEYELSENEKLWTNLYGEEHKGTAFAERNGISLSGLLPHILDDAIRHDVSMKINQICPSFPDQQPRPLRRLNCVARNRDRENLSARMENYNSIMKVSREATYSIISMIRSAVDNQVGALSSNAGISSQNHREDDDAKALILQNLS >SECCE1Rv1G0042410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:580184579:580186546:-1 gene:SECCE1Rv1G0042410 transcript:SECCE1Rv1G0042410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVQTLGSSDTMTEDILKVFSNYDGRLSLDKIYAARGAVAAAAGGGGGGGGGGGERSMPASPPLPPPPAAAVSGSSARPPVTSMERTVRMLDRQISQFVTMDRLIWADSGAADAFLEAVDDLIGTVQELDAAGTNRVLLDRADELLSRCMARLEDEFRALIERPDDAAPSAPGGFASDGSDDEEFYGGADGYGDEPIPIAKPVTDYDVVIDALSPGSIANVHQISRRMVDAGFGRECAEAYAAARRGFVDESVARLGVRPRTAEEVHASPWEELEFDIARWIPAFNMVFRILIPSERRLCDRVFDGLAPFGDLAFIAAVRTQALQLISFGDAISSSSRSPERLFRVVDMYEAVRDILPDLDPVFSDPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVATPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGAGGRASASVDPDRPTSSLAVHIAWIMDVLHKNLDTKSKIYRDPSLACIFLMNNGKYIIQKVNDSELGVLLGDDWIKQLSTRVRRWSMDYQRSTWGKVTTVLQIGGSGVGALPAKAMLQKLRMFNTYFEEIYAVQSEWMVADDQLRMDVRAAVEDSVMPAYAALINRLKSAPETGRDLYIKYTPEDVEAHIQHLFEGAAK >SECCE2Rv1G0090970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:299428507:299429502:-1 gene:SECCE2Rv1G0090970 transcript:SECCE2Rv1G0090970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLLCHPTLTLLPLLFAAAIAGVLCAPVYRPEYLVDGNQLVDMQYHMGPVVSGAPTNLFLIWYGRWDAPAQAVLRDFLASLSVPAPFPAVSDWWARTPRLYTDQSGANVTATFAVAGEHSDAGYSHGASLKRIDMQSIIRTAVVAYPDPLPLDPYNGVYLVLSSPDVQVEEFCRAMCGFHYFTFASVVGVTVPYAWVGNSGSQCPGRCAYPFAAPEYGASGQGVLRPPNGDPGVDGMVIVLGHELAELATNPLVNAWYAGDTPTAPTEIADICLGVYGDGGGAGGFVGNVSHAADGSSYNVNGVNGRRFLVQWLWNPALGACYGPNSSK >SECCE7Rv1G0507010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:757538208:757539919:1 gene:SECCE7Rv1G0507010 transcript:SECCE7Rv1G0507010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLHTFLSSARHVSNSSVLIFQFIAFHLSPLLIHLSYFLIIDVLGFVALMVLKPSNPNYSPRYVDIFFLSTSAVTVTGLATIKMEDLSSSQVVVLTLLMLLGSEMVVSLLGHIHELSKQNKHDPEDSRVRSVTVQDESQIEEAIPATASTNTTSLKKSCLKYIGFVLLAYMVTILLVGSLLVFLYVSHVSTAKDVLTRKSINTMLFSISVTVSSFTNGGLIPTNESMAVFSSNQGLLLLLTGQILAGNTLLPVFLRLVIWALRGLRITRAKPEELEFMMNNTRALGSNHLLPNKQTVFLAASVAALIAVAVTFFCCLNWESAVFAGLTPNQKIINALFMAVNTRQAGENSIDCSLVAPAALILFIAMMCIPASTSFLSLHERDERGIAEHKDGVNKRRLSLNKMLFSPLACTAVLIMLVCITERRSLSADPLNFSTFNMIFEVISAYRNVGLSIGYSCARLPHAESEKQSACQDMPYSFSGWWSDQGKVVLVLVMLYGRLKCFHKHRG >SECCE6Rv1G0416310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:648083485:648088310:-1 gene:SECCE6Rv1G0416310 transcript:SECCE6Rv1G0416310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATIVTAATGVMNPLIGKLTTLMGDEYKKLKGVRKEVAFLRDELSAMNAALEKLEYMEKLEPDTKNWRDHVREMAYDMENCIDDFMQDIGGADANAGAGFVKRTARRLKTLRVRHRIAGQIQELKALAVESNERRIRYKIDDCNTSCGSVDIDPRISVMYKDAAGLVGTDGPKKEFVSLLTVTEKKLKVVSIVGFGGLGKTTLANQVYDDLEEQFDCKAFIPVSQKPDIPRLLNNLRLKLGMDESSGICEVQDIIGQLREHLATKRYFIIVDDLWDQEAWEIIRCAFPENGNGSRVIVTTRV >SECCE2Rv1G0087710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:230791506:230800225:1 gene:SECCE2Rv1G0087710 transcript:SECCE2Rv1G0087710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDMASQPQSDSVKVAVNVRPLITPELVLGCTDCVTVTPGEPQVQIGPHIFTYDHVYGSTGSSSSLIFEQCVYPLIDSLFCGYNTTVLAYGQTGSGKTYTMGTNYSGEANCGGIIPQVMETIFKKADAMKGDTEFLIRVSFIEIFKEEVFDLLDAKAAAPARVPIQIRETANGSITLAGVTEAEVKSKEEMALHLARGSLSRATGSTNMNSQSSRSHAIFTISIEQKRTSSSASEKSTSNEYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTVMIACISPADSNAEETINTLKYANRARNIQNKAVINRDPVTAEMQKLRSQLEQLQSELLFSRSGSAALEELQLLQQKVSLLELKNSELYCELKEREMSCEQLAQRAVAAQLEKDQLMLKLESARNGKSWDDIENSGGEQDVDLMKTYISKIQQLEAEVTRQKFSTACRNGLHDRFALDKGMLLDDLGSGCEVGTLEVSSEVDEEEKEREHSSIQEKLDMELQDLDKRLQQKEAEMKQFAKSDTSVLKQHYETKLHEMEQEKRAFQKEIEDLRHALAKLSSSTDECSHKMKDNYLQKLGMLENQVSQLKKKQDAHQQLLRQKQKSDDAAIRLQTEIQRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQKQKMVLQRKTEEATMATKRLKDLLEAKKSTRDAHGTGASGIQALMRTIDDELEVTVRAYELRSHYDRQIQERATISKEIAKLKECPEAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERVFSGRGRWNHVRSLPDAKNTMNYLFQLASSSRCQLHDKEVMCIEKDLIIGELKEKVVALNGRTRQLEAQVNDLHNQNMQLFTAMNNAKKSGRASRRDATIDPEDGQIYALRKSARGSQFKQCKSSFYWSDDMDISDAEESGELEDMSDDGSDTDWVESSRKINNTRRRTSNPSSNNSLADVKPQMPSQEIPTIQKEHASSQCCSCSSKSLCKLTRYCECRALGSQCGTGCGCNASKCSNRVRVVKEEIDDEPPSEKEGSEFGNASSSENDAKIQEDVKQGITLLENAMAEKETQQPKSRKPLADIGNNDAKQGGAKPKQRKNWRKSATIQLVPTEPPPAASASAPDNAEAAPQNRADIPLRLPRAMSATENNPLTDRNAAKAAGESVSSNKENGSVATTRVPAQAPAPVRSRKNAAMEKENHLG >SECCE3Rv1G0155100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:63836737:63837846:-1 gene:SECCE3Rv1G0155100 transcript:SECCE3Rv1G0155100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADWSSLPADLVRRIADGFLATSDLDYYMDLRAVCHSWRAATDDPKGTPDPRFRPRHRVGIDSTWSNTHLLVNTATGRFLRKELPVLRDYLIGSTTPDGLLILMDEKSPHCFSVLNSFTGYLVRFAAPPPDELVDSAALVSGSPPAIILLFRKYIGDGDVRLRESPRKVYMAEPDGDSYAVSEDRHACPLIRLAVRGIGELGSPAPFPLAVAKKIFNLMRFFNAEPVDLDDEDTMMSEEESIWKFWIGYGNQCYLMESAGEMLIIMRLKDGMQVYKMDTESYVLKLVDNIGNRAIFLSGYCRCMSVNADKFPSIDANCIYYIKSHDFSGDYIYMYHLKSRREEPVSKDISRASRPYTIIQLLCNLDL >SECCE7Rv1G0515930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:842177934:842181170:-1 gene:SECCE7Rv1G0515930 transcript:SECCE7Rv1G0515930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMARPVGALLLLLLLTVPLAAASSIRNPERDALRAFRAGVSDPAGMLQSWNSTAHFCRWAGVNCTHGHVTALSMMSFGLTGTISPALGNLTYLERLDLNRNALSGAIPASLGRLGRLSYLGLCDNSGVSREIPDSLRNCTNLATAYLNNNALTGTIPAWLGTLPNLTTLWLSQNSLSGEIPPSLGNLTNFGSLMLDQNFLEGTLPEGLSRLALLSQLNVYQNRLSGAIPSRFFNMSSLEDMSLANNEFTGSLPSYAGARMTKLQVLLLGGNNLTGSIPASLANATGMTRLSLSNNSFNGRVPSEIGTLCPSKLEMSGNKLTATNEDGGWEFLDRLMNCNNLVKLALDNNMFSGTMPGSIGNLSRNLLDLNLGGNRISGSIPSGIKNLIALQTLGLQSNLLTGTIPEGIGKLKNLTELRLQENKLSGPVPSSIGSLTELLSLVLSNNELSGSIPSTLGNLQKVALLNLSSNELTGEVPRQLFNLPSLSQAMDLSNNRLDGPLPPDAIRLGNLAQLKLSGNLLTGEIPKQLASCQSLEFLGLDNNLFSGSIPPSFSKLKGLQTLNLTSNKLSGRIPPELGGMSGLQELYLSWNNLTGTVPEEMANMSSLMKLDVSYNHLEGHVPLRGVFANMTGFNFTKNGELCGGVPQLHLPQCPVVVYGSHDNWPLRIMSPILGIVLVSAILLAIFLCYKRNSRHTKATAPDILDASNYQRVSYAELAKATDGFADANLIGAGKFGSVYLGALPLNDNETLESVPVAVKVFDLQQVGASKTFLMECEALRSIRHRNLIRIITCCSSIDGRGDDFKALVFELMPNYSLDRWLHPTQALKNVGSLTAIQRLNIAVDIADALHYLHSSCVPPIIHCDLKPSNILLSKDMTACIADFGLAKLLLDPGIHDTMNSESTIGIRGTIGYVAPEYGTTGKVSTHGDVYSFGITLLEIFSGRSPTDDIFRDGLTLQGFVGMTFPDKTEEALDATLLETKESDGDSGVSVQDCLVSAVRVGLNCTRAAPYERMSMRDAAAELRAIRDACARA >SECCE7Rv1G0475250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:183993643:183994311:-1 gene:SECCE7Rv1G0475250 transcript:SECCE7Rv1G0475250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMVALSSFAGAAVVGRPASWSPAVPRRRALLVRAQTEPDIESTKTTSASTSSPSPSPSPTPSPVTPKPKPKANPSVWDALAFSGPAPERINGRLAMVGFVAALSVEAARGGGLLDQAGSGAGLGWFLTTAAVFSVASLVPLLQGQSVESKSSGIWSADAELWNGRFAMLGLVALAVTEFITGTPFVNV >SECCE3Rv1G0196430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:808693191:808694108:1 gene:SECCE3Rv1G0196430 transcript:SECCE3Rv1G0196430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAKASSSFSMACLFAAIFLLSSAPRSHGGSIAIYWGQNGNEGTLAETCSTGNYAFVNIAFLCSFGSAQETPQLNLAGHCDPYSDACTNLTADINLCQSKGVKVMLSIGGGAGGYTLNSEQDAAGLAKYIWDSFLGGSSPKRPLGAAVLDGVDFDIEGGNPDYYGALAAHLKSYGGKGKEVYLSAAPQCPFPDQWVGKALETGLFDYVWVQFYNNPPCQYVQGDTANLMDSWKQWTSGVHAKYIFLGLPAAPAAAGSGFIPAGSLESQVLPALKGSSKYGGVMLWSKFYDDQDGYSSAIKNAV >SECCE6Rv1G0430960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745297619:745298414:1 gene:SECCE6Rv1G0430960 transcript:SECCE6Rv1G0430960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPCEQPPVRLIGWLSPYVHRAEVALRLKGVPYELIHDDMASKSDLLLTHNPVHKKVPVLVHGDRSIPESLVIVEYVHEAFPAGPPLLPSDPLARANARFWARFLDDKCWKALWVALWADPGEAQAAAAREAKQGLALLEAQLPEGKRFFGGDAIGLLDIAASGVARWLGVFEEMAGVRLLTEEERPALCRWAREYAADETVRQCLPDRDLVLAVLTPRRDMFVSTAKAMAAHK >SECCE3Rv1G0202750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:876974250:876978327:-1 gene:SECCE3Rv1G0202750 transcript:SECCE3Rv1G0202750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAAAAAAAAVSFPPPPPEVAHLVEQLQRHHLAPDASLLSSSAHSDLLQAREEVAAERARYLEALVVYAEAMAMVEEYQHATAVGSAGAAKKLNCSPEVYESLEHHLVVAEAAQRLRLPLLSQDGEVHEEEIEQLSTLSRVSFDSTVTSATPSSTSISTSYNNYGSTGSMLTVAAVAGSGGSELVEPGAGGVRDRFLGITSDYLYQVQQQQPAMTVDMVDYQRAVAREIEARLEAKCGALAHLFAMDERDSSSINQISSARLPERVKLIIEGIEKEESLLLEDLASMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQHQYDDVKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKETVPALHRIRKYLVEATKEASNSYNEAVSRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPASS >SECCE5Rv1G0329210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:513751989:513759452:-1 gene:SECCE5Rv1G0329210 transcript:SECCE5Rv1G0329210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:monogalactosyl diacylglycerol synthase 1 [Source:Projected from Arabidopsis thaliana (AT4G31780) TAIR;Acc:AT4G31780] MPPPTASSLPADPALPAAFLSVPSPFLPSSPPLPAALAPSHASFLPRTRGPRALAVSVSVTGPVSTAASRLHHMWGEFARFVRLHGNQIAPLGFASLGSAVGGGGGGCGGGNGGGGGGGGGGDADGAVEEEGVARAEAPKKVLILMSDTGGGHRASAEAIKAAFAQEFGDDYQVFVTDLWTEHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVIHQPHFAATATFIAREVAKGLMKYQPDVIISVHPLMQHVPLRVLRSRGLLDKIPFTTVITDLSTCHPTWFHKLVTRCYCPSAEVTKRALKAGLKPSQIKVYGLPVRPSFAKPVPPKDELRRELGMDEYLPAVLLMGGGEGMGPIEATARALDNALYDESLGEPRGQILIICGRNKKLTNRLQSINWKIPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVENGCGKFSKSPEHIAKIVADWFGPRSDELQIMSQNALKLARPDAVLKIVHDLHELVRQKSFVPEYACAT >SECCE1Rv1G0049250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:639810722:639813350:1 gene:SECCE1Rv1G0049250 transcript:SECCE1Rv1G0049250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVPPHKRRSSTSEPAPTPCPPTSSLHSLSISSPRERHHTPPSNKVIHAADRVSRWSPHPPFSDDADSVCLETFPCYTVERMSGAKPLVLALSSPTSGSTEAGAGAIAERFLPDLLDAAESFCDGGSPVSLDAVRDLAEAGAEGSTKSQVRKTFYTNVPRDFLDDMERFAIELNQIRELSEDVSCLSKDLDLRLTLTTNRVLIDPEVENAIKSLLSSAIVDPNARGELRRPLGNKLIDERFRIGGVCHTNYKAFGNKSLKMYLRQAARFFQGSSTGEVSHEVSFKLTGISKRLQDGGNQEVDTLKGMLESAVQMIWDNAMSYHKSKHDI >SECCE5Rv1G0297220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:822038:829194:1 gene:SECCE5Rv1G0297220 transcript:SECCE5Rv1G0297220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G06590) UniProtKB/Swiss-Prot;Acc:Q8H1U4] MNVFAGVGGGAGEGGGAGAGAGTGAVARDAGSADFGALLELTPHKMAVCHLVQVFAPPAQQQQQQQQAPPFPFDSVAHHNRLGLFLFALTRSCDDFLEPPLEELLRQLKAVDDLVNGWLCEQLTTTLAGLNSPDDLFNFFDKLRGVLTSPEGGNVEDEFLDPNSQLGVFLRCCILAFNSMTFEGVCHLLANLVAYCNSADASYDLAEDEDFNGEIEMSDPMDADIGVRSGVFDRYTQGYATESHMGESSSSLIHAPISLHNFDEADIFKAEDNPTCLRSRWQLEAYLNQQADVLEKDPSSVPLNSFNNTMTQLQTLAPELHRVQFLQYLNALCHDDYVASLDHLHRYFDYSAGMQGLFSRSLSPHQDNIVGKYESALLCLGNLHCYFGHPKKALEAFTEAVRVSQMNNDDSCLAYILGAISNLLSKIGISSTVGLIGSRYSLGNNIGLGTPLSIQQQLLVLLKRSLKRADMLKLTSLLSFDHISLAKFDLKHVQRPLVSFGPNGSTKLRTCPADVCKNLRLSSHVLSDFGTDGLSISNDNGSFSTSWLRNLSAASSSWCSSSTKPRKLITNDFDNFHFHAQPSPIPTSVLQLAGSAYLMRATAWEHYGSAPMVRMNTLVYATCFADAASSSELSLAYVKLIEQLAVFKGYSAAFCALKLAEEKFPSSANSQIHLLKMQLLHERALHRGHLRIAQQICDEFGVLSSSVSGVDIELKTEASLRRARTLLAAKQFSQAAAVANSLFTTCYKYNMQVENASVLLLLAEIHRKSDNAVLGLPYALASQSFCKSFNLDLLEASATLALAELWLALGSNHAKRALSLVYQSLPMILGHGGVELRARSQIVLAKCHLTDPEFSVSEDPCAVLDPLNQAAEDLQVLEYHEMAAEVYYLKAMVYNHLGKEYEREEAAARFTEHVTALENPRDEEDSLAY >SECCE4Rv1G0286830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:851022706:851034383:-1 gene:SECCE4Rv1G0286830 transcript:SECCE4Rv1G0286830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAEAAMAVLRVSRPIFRGAHDGLAFAANAAFLADGYSLCAVGPSALNAPLPADEEDVGIDGWNSMDNCYAFLYSKQEEEGKKYILVKCVVIADFLAIDAMDLEAQHKEPCNVQINVKDFFFEVQTKNYKDLYKNFTDFINILNLSLLAELNAKDAAAAQNPNVESSSSINSSGNLMLENLTTRTIEPAGSEYVVWENPSTRAIELAGSENVMWENPIIRTMEPACLIYPPVVLFGHDDTFPAPGSGFYPHSGETGGSMHVGPNDPWFFPSTPSTPLGDPGSVPPGGRYDPIGPPDVPGFEPSRFVRRSRHSGGSTHPDLEFFQPGPGFF >SECCE5Rv1G0330470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:530277663:530279101:1 gene:SECCE5Rv1G0330470 transcript:SECCE5Rv1G0330470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLAASAPPLAAGPPPLPWCRSTRPSGLTRPPLLKVAQLQPCLLRRFASAGRPNWAAASGNGRQGLHASQYRFDDDEPLWLAVVREFALSVRNLVVFLAEQPRQLKHLEWPGFQNTLRTAALTLILVVVFIVALSSVDAALSYILSWLLRKSA >SECCE2Rv1G0138870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:923837188:923841266:-1 gene:SECCE2Rv1G0138870 transcript:SECCE2Rv1G0138870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAATLNPTTHLSLRSRAGRNSENVWLRSAASSQTSKGRFCNLTVRAGTPSKPSEPIGPVFTKLKPWQIPKRDWFSKDFLFGASTSAYQIEGAWNEDGKGPSTWDHFCHTYPERISDGTNGDVAANSYHMYEEDVKALKDMGMKVYRFSISWSRILPNGTGKPNQKGIDYYNNLINSLIRHGIVPYVTIWHWDTPQALEDKYGGFLDKQIVNDYKYFAELCFQSFGDRVKNWFTFNEPHTYCCFSYGEGIHAPGRCSPGLDCAVPEGDSLREPYTAGHHILLAHAEAVELFKAHYNKHGDSKIGMAFDVMGYEPYQDSFLDDQARERSIDYNMGWFLEPVVRGDYPFSMRSLIGDRLPMFTKEEQEKLASSCDIMGLNYYTSRFSKHVDISSDYTPTLNTDDAYASSETTGSDGNEIGPITGTYWIYMYPKGLTDLLLIMKEKYGNPPIFITENGIADVEGDPEMPDPLDDWKRLDYLQRHISAVKDAIDQGADVRGHFTWGLIDNFEWGSGYSSRFGLVYIDKEDGNKRKLKKSAKWFAKFNSVPKTLLKTTNNNATVTASVSV >SECCE1Rv1G0014460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:114625013:114625966:-1 gene:SECCE1Rv1G0014460 transcript:SECCE1Rv1G0014460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAIRKRPAAGQEPRVHGGKKPRYAFGSISNYEKLEVLGEGAYGEVFKARDRRTGKKVAVKWVRGNGAGGHGPPDIRAITLEAGCLGACRGHESIIEIFDVATDAETGDVFLVMELVADGRTLRESLWRPVSEEATRVMMEQLLDAAKKIHGAGVIHRDFKPENVMVGFFGGLKVGDFGSAMRAKPAGMPYEECCVGTLIYTSPEQLEGNRYYGQAVDMWALGCIMAEMLGGGTLFVAETEEELLAEMYKLRDQMSSTGKLDLEFFEEISEAGRELLTGLLAFNPDKRITAAEALEHRWFSKPKGAEHPGFVSLKS >SECCE1Rv1G0029290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:412043587:412044249:-1 gene:SECCE1Rv1G0029290 transcript:SECCE1Rv1G0029290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPSRSDSFSRAWLGCRASIERADADAGLGCSFNSSTSFIDMDPAELFSMRWTSSAAAAADDVEDATEFDFGQLTCPGAQCFSPLFVGAGQPLLPCEPGVVSYADASSPAFYSAQSTPASAVSSRRRGRHAPAPLVATRRILLRYLRLLAPLCRKVRALPVRALAPRSSSRSAFAAATSSPARQSTSSYTSATEYWCHGHADTAVSDAILYCKKSIQG >SECCE6Rv1G0386060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:90028875:90030443:1 gene:SECCE6Rv1G0386060 transcript:SECCE6Rv1G0386060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEESRKDTMAIRVREFDMERDLAAVEELERRCQVGLSGDQADDDGGANKCRRRRKKKKRGMSLYVEQIGDPFARVRHSPDYVILVAEYGEEGAGKAVGVIKACVRTVSRGKTKTKTKTKKQFAKVACLLGLRVSPSHRRLGIATELVRRAEAWCAARGAAHATMATTASNAASLALFAGRFGYAPFRRPVFLGHPVHRHRARVPGAHRVLQMPPPLAAAAYAALLSPAEFVPADLPALLAHKLTLGTYLAVERGPDPSLPPSFALLSVWDATRSLRLRVGGAAPLLRASLAAARALDRHAPWLRVPSLPDVFRPFGTYLLYGLRMSGPEGPVLLRSLCRHAHNVARKNPACAVVAADLSPDDPAAAAVPHWPSFSCDEDVWCIKKLGVTADSAGNAGDDDDDWTTAPPADVLFVDPREF >SECCEUnv1G0543480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:114913871:114917350:1 gene:SECCEUnv1G0543480 transcript:SECCEUnv1G0543480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLGAGDELLFRGTISRKWTSLLCLSSFCVGLIFTNRMWTVPESKEIIRRSALELDKMNLVSSSDCALKSINEPKDDFGQVQRTEDAIQTLDKTISNLEMELASAKATQDSILNGGVPSSEPAAKRKYFMVIGINTAFSSRKRRDSVRATWMPQGEKRRKMEEEKGIIVRFVIGHSATSGGILDRAIDAEDREHGDFLRLDHVEGYLELAAKTKSYFAKAVSTWDAEYFVKVDDDVHVNIATLGGILARHRSKPRAYIGCMKSGPVLAQEGVKYHEPEYWKFGEWGNKYFRHASGQLYAISKDLASYISINQHVLHKYANEDVSIGAWFIGVDAEHVDDRRLCCGTHPDCERKAQAGNVCAASFDWSCSGICKSADRIKEVHRRCGESENAIWNATF >SECCE7Rv1G0461600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:38694847:38696320:1 gene:SECCE7Rv1G0461600 transcript:SECCE7Rv1G0461600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAGKAQAARDVCAASAAFASCPHRRRSPRRAIFVDWYLVLAIGEAASEDAVKRRYRHLALQLHPDKNRHPKAEVAFKLVSEAHACLTDKARRRAFDAERATAFCAACHDRARAATTPCAAPTKRRTVDRRTPAASKQQQQARGSVTKQSSGGRRAPGPTTQALREVQNRLRDECRVIDGCLRANAAGARRRQSFPLFDPSDRQRFPGYPHARPPPSFAGCRPFEEDELGAGQDQQSWCRDGSCESPVYQVRTTPEHTARTTKRPW >SECCE4Rv1G0286490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849438872:849442228:1 gene:SECCE4Rv1G0286490 transcript:SECCE4Rv1G0286490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGSGAAEPVEDDHNEAEPASNASSNGDGAPSAAAPPPPPATPGGGAGGASPAAAGVFAAVPSPPISDPYLYYALAFRPGAFGPRLTWSDGPSVSPAREDPDAAVGSGLAFEQRLAIEQAASDVADALWRRQVVTIPMLRRARAASLDSPAMFRSWRAAEALERKEQIRRKGREICSEHVKYQTHPITEVRRHHKLASDEANHLDAYSECRSVIGDGECFYRSFIFSYLEQVLDRQDTHEEQRLLDVLNMVNMHHAALRWEYSEFSWVNSVRWDYSEFSRVSGAFKNLIEKVMRWKSHGRWKGMESTSSYRKEELLEFFSEYDTTQDIFIFLRLVVAVELCWNHEEYEPLIPGLSRNYDLEYMSRNYYLEDWCFQRVTPARRFTDHVMMVALARALEIPLRVERVRGGYDPDIYTVPGVPRPRPRVTLLYSANHYEIIYPRAPPAESSSHQASQIEHAVDEGSSQQTSQSEQPGDESSSE >SECCE6Rv1G0414780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:636739973:636740395:1 gene:SECCE6Rv1G0414780 transcript:SECCE6Rv1G0414780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPRDAAGTAAAGFGGGFSWVFVAVIFLLLTFNSVMAVYHSQGDAAMVAFVATSYADLLLLFFSLWLYKRATVGSTRRNRLKASVWILTTMLTFAFAYMVMGAAGLTLPVALLVWFIGAATGIGAFSAFFEQGGYDVPS >SECCE3Rv1G0153170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:52564855:52565388:-1 gene:SECCE3Rv1G0153170 transcript:SECCE3Rv1G0153170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVECPGKRKAASFSGEECSPKAKRMVGCSRGGEEEIPAAKKMWRLPREEVDYIVDWSPYVPPAVYQDLKRDNPSLVPSPEEEKDEHTVVLYRSARECYEHLDRFAGFQARVRREYASKGFVEVDYDCVGPEAEAQRRIDQARHEAFRAIVAHLSSQVVVDDDTYQQLKRKMMDGF >SECCE5Rv1G0355910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:735190773:735194168:-1 gene:SECCE5Rv1G0355910 transcript:SECCE5Rv1G0355910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIIAGKFKLGRKIGSGSFGELYLGINIQNGEEVGIKLEPVKSKHPQLHYESKVYMLMQGGSGIPHLKWYGVEGEYNVMVIDLLGPSLEDLFNSCNRKFTMKTTLMLADQLITRVEYMHSKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPAEVLCKSYPSEFISYFHYCRSLRFEDRPDYSYLKKLFRDVFVREGYQFDYVFDWTALKYPHMSSSNKLVRQPSGRLAGVGPSVERTERPSVGQEIRDRFTGAVEAFARRNSSSGRHGHGDHSRHKSIADSFSSSREALAADTEKTHILSRTGSSSKMAATPSSRPTSSGDCTGRLFSGSGGSSSRPSSSTVQRIHQSGGSGAENGSSSPVARNAPGRSSRRDSHAALRSFERLSISADRRK >SECCE4Rv1G0280590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:822429025:822432810:1 gene:SECCE4Rv1G0280590 transcript:SECCE4Rv1G0280590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWACQAEAWAGRVEHWICQQTPEQIFLAVAVIAVTVLVLVAASRPKSSKPNTIVLSGLSGSGKTVLFYQLRDGSSHQETVTLMEHSNDTFVLHSELERQGKIKPIHVIDVPDHARLKPKLDEVLPQAAGVVFVVDAQDFLSSVQAAAEYLYDILTKATVLKKRVPVLIFCNKADKVTAHSKEFIKKQLEKEVNKLRESRNAISSADITDEVQLGVPGEAFNLSQCPNKVIVAEGAGLSGNVSAVEQFIREYVKP >SECCE7Rv1G0507590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:763608469:763609857:1 gene:SECCE7Rv1G0507590 transcript:SECCE7Rv1G0507590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLFLVLRKIALSLGEAASEKLSHEVVEAASVLTDFEHGMKQIEGEFMILQAFIGQVSAQNVGDRTFDAWLDQVRDVAHQVDDIIDEYTFLTSQAAGIDGFFKRKFHQAKSFAAWRNLSSQIDQVETRIQRLTTMKDRYGISVGEPGRSSTLQYARQLSLSDSSYLSDDTELVGNASEISMLTEWLLTERQDRLIMSILGMGGLGKTTIASSVYKNQQIIRMFDCHVWVTLSQNYLVEDLLRQIMKQLMDQRAYMTSGIETMSRVRLIEELQGYLQDKKYLIVLDDVWDRDDWLFLKRALVINNHGSRVLVTTRKKDVASLANEGFVVELKVLPYAEAWHLFCQKAFRRLEDKICPLNLRPWAEKIVKKCQGLPLAIVAIGSLLSYRELKEQEWSSLHNQLSWQLANNPELSWIMSVLNLSLNDLPSHLKNCFLYCSLFPEDYKVKRRWICRLWVAEGLV >SECCE5Rv1G0358310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755227299:755227817:-1 gene:SECCE5Rv1G0358310 transcript:SECCE5Rv1G0358310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTMSSSSSILLAVAVAALLVASASAQSGCTAALVGLYPCLNYISGNDTAPTKSCCSQLSSVVQSQPQCLCSALGGDSSSLGGITINKTRALELPKACNVQTPPASKCNGGGSAPGAATPTTPDVQTPAGSGSKTTPSAYLQENGGSPTQGPAGLLFALAVAAVYAVSAL >SECCE3Rv1G0167900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:210398329:210402992:1 gene:SECCE3Rv1G0167900 transcript:SECCE3Rv1G0167900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAERAPEQFAPPPPPPERAMGFAERAVAAAGAAVVSAVLVNPLDVAKTRLQAQAAGVVYNPIWSDFRCYPWCNPGGLKLSGLGPSCSPECFQYRGTIDVFSKIARQEGIFRLWRGTAASLALAVPTVGIYLPSYDLLRNWIEEYSDHSYPKLRPYAPLIAGSIARSLACITCSPIELARTRMQAFKQSSGGAKPPGMWKTMLGVLSSRQSISHPENSRGYHLLWTGMGAQLARDVPFSAICWTVLEPTRRHLLGLLVGEQSNATVIMGANFSAGFIAGVISSGATCPLDVAKTRRQIEKDPARVLSMNTRRILLEVWRNEGINGLFRGAGPRMARAGPSVGIVVSSYEVVKHIMHRKHAEL >SECCE4Rv1G0270520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755687030:755688135:-1 gene:SECCE4Rv1G0270520 transcript:SECCE4Rv1G0270520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVVLIVGAGPAGLATAACLSQFSIPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKTLFVKYLDDYVERFNIQPKYLTSVESSTYDNDKKCWSIMAHDMAKSITVRFTAKFLVVASGENSAENIPMIPGLQSFSGDVIHSSSYKSGKSYSGMNVLVVGSGNSGMEIAYDLAVHGANTSIVIRSLIHVMTKELIRSGMTLAHRLPLNLVDNLLVMAANLIFGDLSRHGIRRPKMGPMILK >SECCE2Rv1G0128040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857466849:857467445:-1 gene:SECCE2Rv1G0128040 transcript:SECCE2Rv1G0128040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGATESSNPNRRPASASVAAASPSKRMLAFHFLRALSRIHGAAGPARRRTRTIRRAAYSSMARATGPRRAWSRALLLQAQARARRSRAETSRRAAVLVRRRVVAGPAAAAAPRAAHVGAGQASSSAAARAALVAPPPPPARQAGEPARSDALRRLVPGGAGMEYCSLLEETADYVRCLRAQVQLMQSIADLFSCQ >SECCE2Rv1G0069270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27470630:27474017:-1 gene:SECCE2Rv1G0069270 transcript:SECCE2Rv1G0069270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYKCCLIFKRRYRWTDAPPPDDVRALFAEHSGGAATMGADGLRRYLESSAGGDHDAADADAEGEADRLLDQIRLQGHQQRAGPRLPRLGRGQLLSLDDFHRFLFSADLNPPVRRAQVHHDMSAPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDMWPNSAKDDISILHGRTLTTPVSLLKCLRSIKEYAFVASPYPVIITLEDHLTPELQGKVAKMVLEVFGGILYYPEEEHPKELPSPEFLKGRVLLSTKPPKEYLEAKDGGAVKDGDAEQNPGKGGTDDDAAWGTEVPDFKTEIQSAKEDDAAEHHKDDDEDDDDDEDEQKMQQHLAPQYKHLITIRAGKPKGGTTSDALKCDPNKVRRLSLSEQQLAKAVVNHGTEIVRFTQRNLLRIYPKGTRVTSSNYNPFIGWLHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQSEPEVFDPKKPQPVKKTLKVKVYMGDGWRMDFKQTHFDQYSPPDFYARVGIAGVPADSVMKKTKAVEDNWVPVWGEEFLFDLTVPELALLRVEAHEYDMSEKDDFAGQTVLPVSELQPGIRAVALFDRKGNKLPNVKLLMRFEFV >SECCE7Rv1G0466010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:75707287:75708664:-1 gene:SECCE7Rv1G0466010 transcript:SECCE7Rv1G0466010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRIALGSHREVYEAGALKAALAEFISTLIFVFAGQGSGMAFTKLSPDGAATPAGLISAAIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLFRGLLYWIAQLLGSTAACFLLRFATGGLPTGTFGLSGIGAWEAVVLEIVMTFGLVYTVYATAVDPKNGSLGTIAPIAIGFIVGANILVGGAFSGASMNPAVSFGPALVSWEWGYQWVYWVGPLIGGGLAGVIYELLFISRTHEQLPTTDY >SECCE4Rv1G0287990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:857523252:857523950:1 gene:SECCE4Rv1G0287990 transcript:SECCE4Rv1G0287990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEPLLPPLLPTPPRSEMLPILPTPPRSKMLPLLPTPHGVVLTMLVSAMHGRADFVQRWDWNKTGKKPCSSVCSSSSSSSESSESTGRADSVDRWDSDKKYRKPRATTSSSSSSSYSARSPGRADSVERWDSKKLAASCSASPPTGCRRHDGNNKRPGRASSVERWDLHKKPRPEHMGKLPQAQTTATAMATTLTLATAPQKAVFAGSSFYASPDPSMLPMPSFFLLAH >SECCE4Rv1G0278840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811209934:811213160:1 gene:SECCE4Rv1G0278840 transcript:SECCE4Rv1G0278840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYAELRQQQQQQMPPPSAGAPQSALTAAGQQPLKRPRPGDYSADGPGAPEMAGYYPRDEERPGFAAVRDTQALNASYERFLRTGQIQSYGAGPAGGSIRPTAGASAGGYQADDRPGMAAGGMNGRNVGFGGGMPEPPLPPDASNTLFIEGIPPDCERREVSHIFRPFVGFQEVRLVNKEPRHPGGDPIVLCFVDFTNAAQAAIAMEALQGYKFDEHDRSSPQLRLQFARFKGPRGQAGGPGGGGGGGGGGGGIRR >SECCE2Rv1G0102500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:578035557:578037985:-1 gene:SECCE2Rv1G0102500 transcript:SECCE2Rv1G0102500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAVRCKELGITALHIKLRATGGNKTKTPGPGAQAALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >SECCE6Rv1G0379670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:15876259:15882881:-1 gene:SECCE6Rv1G0379670 transcript:SECCE6Rv1G0379670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRPPTALAELDPAASDSSQDEAAARAAGPAGEVPAKKKKKLAMEVRKQRKQLDKDRHRQSAEKAAAAPKPQPTPAPAAEEAAAVVPVPVPVPAPAPAPVVAGPGLHMNVFRDLASPEASLREAAAEALVAELRDVQRAYEKEKAAREDGEEEEGGRDGPSQMEAEKEDGLDNCAPAVRYAIRRLIRGISSSREFARQGFALGLAAVLQSIKAISVEAVMKLIPALLEYSASMKGPEAKDNLLGRLFGFGSLARSGRVLGQWKRDKSSPILRDFVTEVVQLGNKKRYLTEPAVALILDLTRKLPDEAIFSEVLDTPCVQDWFNRAANVGDPDALFLALKFQERTNVQREIFGKLLPYPFSLDSFFTEEHLLSLAACFKESAFCLPRIHSIWHVITDMLIREEASQSDNNTSSSKKHKKSKKGSSSEDSKKNLRNFCEVIIERSLLLSSHDRKHLAFNIIIDLLPRLSPSSIQVILSSKVVLGLMDILSNASTWLYNAGQHFLKELVSLVSNDNDRCVAVIINLQKYSFGRFDSLTKTKTVKGLIAKIQNGQDCLHLVQNLMALFVDEGSVTDEPSDQSQTTDENSEVGSIEDKELVGEGNADLLKSWVVNTIPFVLKNLKLTSKGSSLTDSEMIKCIEEKFQVQTEILKFFAVQGLFSASLGTEVTSFELQEKFKWPKAAISTSLRNECIEQLQLLLEDAQKDEALHVSGVKSNDLGFYFMRFINTVCNIPSVSLFRTLSSNDDNAFKKTLATESALFQEERKIGTGLDSTKMHVIRYLLIQLLLQVLLHPEEFWEAAIDVIICCKKTFPSIAQCDNSSVPESVEGGTEDSDEDGSEEPNEDGSLESIDVLVQTFLSVLPHVSGPVCFAIEQVFRVFSDEITETGLLDMLRVVKIDLKGSRRQTDSDDDEDEARVDIEDDDEMEDADVGNVDDATDEMDEEMEDDSADEVDEDQDDLEETVDNKAKDGDDAEATKGGEDSDDSDGMDDDAMFRIDPYIARIFKERNNLPGSETQQSQLMRFKLRVLTLLEIYLQRNPGKKLVLEVYAFLMQAFVKSHSADGNEQFRQRIGGILQKRIFKAKECPKGFDVELSRLESLLQKALHLASRSRYKAVASAAQNATFWILKIINSKGCSKQELASVVDKFQYMLNDYFSNKKSRLKIGFVKEAVRRNPWVGRELFGFALQKIGSTKAEYRRVQTLELVDCILRSWVGDDVSSASKVLKKHMALLCELMQEILTKMPENKSRRQEVRRFCTRALQTVTRLNLKEKFQKKLSSEAYTLCEAQLGAAFVPFRQ >SECCE1Rv1G0048670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636922712:636925268:1 gene:SECCE1Rv1G0048670 transcript:SECCE1Rv1G0048670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSFSSSSSGARLLACVAVMALLVVVAPGHVDAGRHGRGHGSAAHRHTKGLRPGKATAKPYPANTTAAEAIERRFTRWVRFMGGRDHSVFQRALNRALLPTARTIVVDRTPGAGDFTTIQAAVDSLPLINLARVVIKVNAGTYTEKVSISPLRAFVTVEGAGAERTVVQWGDTAGTAGPWGRPFGTFASATFAVNSQFFVAKNITFKNTAPVPRAGALGKQGVALRISADNAAFVGCNFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNALSLYEGCHVHAISPHYGALTAQNRRSMLDDTGFSFLNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMTVFYGQYKCSGPGASHAGRVDWSRELTDEEAKPFISLSFIDGLQWLRL >SECCE4Rv1G0235070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:317120930:317124652:-1 gene:SECCE4Rv1G0235070 transcript:SECCE4Rv1G0235070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWYADNGDRLGTYRGHNGAVWSCDVSRHSTRLITGSADQTAKLWDVKTGKELFTFRFDAPARSVDLAIGDHLAVITTDSFMGNMPTAQVKRIAEDLQDQTEESALVISGITGRINRAVWGPANRTIVTAGEDATIRIWDSETGKLLKESDKEVGHQKAISSLSKSLDWSHFLTGSLDKSAKLWDARTLTLIKTYVTERPVNAVDISPTLDHVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILEEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDPEYFNIKM >SECCE2Rv1G0116520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:765354269:765356674:1 gene:SECCE2Rv1G0116520 transcript:SECCE2Rv1G0116520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRIHGGCSSPRLALRVASALLFLCVAATPAASHGPHGHDTGVHKNFLIIVRSPYEYDTKVYKNSSSWHASLLAEVCDMAKEAMENDPSSVTRLIYSYRNVVNGFSARLTVEELEEMKKKDWFYKAYPEKTYHLMTTHTPKMLGLMGEDRAGEGVWNTSNMGEGIIIGVLDDGIYAGHPSFDGAGMKPPPEKWNGRCDFNNTVCNNKLIGARSFFESAKWKWKGVDDPVLPINEGQHGTHTSSTAAGAFVPGANISGLAVGTASGMAPRAHIAFYQVCFEQKGCDRDDILAAVDDAIEDGVDVLSMSLGGNPDADFSEDPVSLGGYTAALNGVFVSTAAGNIGPNPATVSNGAPWLLTVGASTTDRRFAATVKLGSGDELDGESLSEAKDYGKELRPLARDVGDGKCTSDSVLIAENVTGKIVICEAGSTSSTVKAKMVEKAGGFGMIVVTPEVFGPVIVPRPHVIPTVQVPYAAGQKLKAYVQSEKEATANFVLKGTSFDTPRSPMMAPFSARGPNLKSRGILKPDIIGPGVNILAGVPGIADLVLPPKAEMPKFDVKSGTSMSCPHLAGVAALMKNAHPTWSPAAIKSALMTTTETTDNEKKPIADVDGTQATYFATGAGHVNPKKAMDPGLVYNLSASDYIPYLCGLNYTDQQVNSIIHPEPAVECAKLPKIGEKDLNYPSITIIVDKADTVVNATRAVTNVGVASSTYEMEVEVPKSVTVEVTPTKLTFKELDEVLNYTVSVKASAVPEGAIEGQLKWVSKKHIVRSPILILPGAGEEDATTAAEGPSTHVSSLLE >SECCE7Rv1G0484950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:353073913:353078962:1 gene:SECCE7Rv1G0484950 transcript:SECCE7Rv1G0484950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVDGSLDAEKIAGYFKGKIILITGATGFLGKILVEKILRVQPDVRRIYLLVRAIDAHSAKQRVEAEVTDTELFCLLKEKHGKEGFEVFVEEKIVPLAGDVIFENMGLDAPRLEELAKEVDIIVNGAATTNFYERYDVALDVNVMGVKYLCQFAKKCANLKMLLHVSTAFAAGDREGLIMERPFKKGESLREGTYLDIDAELRLVGDVKKELELQDGGGGDKTKREWKGMKELGLERSRHFGWSNTYVFTKAMGEMLLGQLHGAIPVVILRPSIITSILRDPLPGWMQGTRTIDTIIIGYAKQNLSCFLADLDLTMDVIPGDMVANAMMVAMVAHSEEQGAEVMYHATSSLRNPAPYGVLYQSGRRHFYENPRLSKDGQVIPTKEMHFFKTIASFHLYMLIKYKLPLEILHVVNLLLCGLFSQLYDDLTRKYKFVMHLVDVYGPFALFKGCFDDINLERLRLTMTKTSPEDDMFNFDPKTIDWNDYFYKIHIPGVLKYVLK >SECCE1Rv1G0000150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:724977:728977:-1 gene:SECCE1Rv1G0000150 transcript:SECCE1Rv1G0000150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLVYKFDMRDWLNGYRKTDAYTYFCSELSIGSVRWNVGVGPMTSFIRAISGMAVERILKYRGKFKHVIKVDLKPTPTAEEAQGRGLMSTKYQLALAAAKELGLLDQEYNRLKEKHDELQYYSYGSYDGGTSTNLGWHVRYTIVPQIIKQLLTERYLLVVENLQWPIEPGSLTLEDVGLPPPTWGNSRWFISTTSHDAYKESKSEDHGVIPIYKDEHVLLLTLYALHQSAEQILSMMSQETKEYWHHIALQCFHYAMVIFSKHSQAVAITSDELIYQWAAHGILPRISIKEEEINTISSKCSYMHRVGRVILEAFQNYSLLQLPFSPATEAYEAYNTGAQFFAYHGLIAEDITVDELFGNKKQWISLSGDHGWHVSREWLNPEETRGTTTLILRGCSDQSPILSKLDSFLPNLRFLLILDLSHTLLKSLPSSIGCLQKLRLLSLRGCHDLKTLSSSSTTNATDSSTNISSSSPLSTLYKLEFLDMNGVPISHLTQDVANQKSNLIHLDMSNSEISAFPRTFFEDMSNLEELLLTSCSNLVDLPPSMASLSRLKTLEVTGTQMKYFPQKIFEGMNQLQSLKLIDNKELISFRRPISGVQGIKLEGHPSIVSFMLIGTPHIRCLSLHGCRKLEYVEINDLGDLEELDLSGTGIKELPDEIPNLPRLRRLLLVGVTSLKRFPWHKLEKLPDVFYLDHCSEGNGNHSNQVTQVCVTDPKFFHSFRDTAADLVRAGRFFQSFYVQVGPCITNSRRQEDEEGVLDCKLPGLLQNQSTYVDVYSSRFAEEIVTASAITVPLHRTERHLDITRMQGTHDGLYGLLNVTKSVSVTCDNSICYFNSLSSLIELEECELRWCHKMEGIVSGTKGYKKLRNMHVCNLKRLVWFCSEYSSSDFTRLEHLHLEDCPRLEHVVPHATTLPCLKTLDILFCYKVKTIFISNYIEQNTYDLPSLQRIRLQELPLLQHFHDKDATITAPAWKELHIRGCWSLRRLPRLQGRQPETVKVNGERTWWRKLQWGSPLHRNNYDPNLPPEFASFDERAEMSSYLR >SECCE2Rv1G0138100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920757189:920758788:-1 gene:SECCE2Rv1G0138100 transcript:SECCE2Rv1G0138100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVFIVGAGPAGLATAACLSQFAIPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKNLFVKYLDDYVERFNIQPQYLTSVESSTYDNHEKCWSIVANDMSKCTTVKFTAKFLVVASGENSAENIPMIPGLENFLGDAIHSSSYKSGKSYSGKNVLVVGSGNSGMEIAYDLATHGANTSIVIRSPIHVMTKELIRLGMSLAQYLPLNLVDKLLLMAADLIFGDLSRHGITRPKMGPMTLKSETGRSAVIDVGTVGLIKKGIIKVERSISKIKGNIVEFQCSKNISFDAIVFATGYKSTTNIWLKNGESMLNGNGLPIRKYPNHWKGENRLYCAGLARRGLAGIAADAKNIANDIKSVIYSMSS >SECCE3Rv1G0153690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:56737760:56738428:-1 gene:SECCE3Rv1G0153690 transcript:SECCE3Rv1G0153690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFIVLFSCVLLLGLYAPPSLSDSPPLQDVCPMVLQSERKLFINGYLCKHPSTILASDFKTLLLNHAGKLDNVVRSSANIVTAAEFPGLNTLGMSMARTDIGPYGLVLPHSHPRASEMMFVHDGSVLAGFFDTTGKLFQKRLCEGDVFIFPRGLVHFIMNYGLRLATTFSVLNSQNPGVVGITHAMFAPDSDVVEGLVARMMKFRDMEIPDNKTTDFRWTS >SECCE1Rv1G0062710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:720945637:720947369:-1 gene:SECCE1Rv1G0062710 transcript:SECCE1Rv1G0062710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCTNAREVVVAGGEGATVVLAHGYGMDQASWDKILPSITKANKVVLFDWDFTAGADDDDDDEARYTFGRFADDLIALMDEREVRGAVLVGHSMSAMAGCIAAARRPDLFAHLLLLCASPRYINSEEEGYIGGFEEASIHAMLGAMESDFQAWVKGFVPNAAGGPANDMAAATVERLERSFLAMDPAVALGVARMIFLGDQRPALDAVPAQCTIVGVRHDFAAPPVVAEYMERRMTKAGTDVAVEIIESVGHFPQLIAPTQVVDILDGVLLRLRHKGLTNGHDGKEEGDTTVHAATEVEIESGIDVTT >SECCE6Rv1G0414200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:630532842:630534344:1 gene:SECCE6Rv1G0414200 transcript:SECCE6Rv1G0414200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGVWKNPKFLFKLVVDNFLSMAIAPIAGATIVVVARLGPDEILGRLRALTPAYLFLSCFLPASIVTMYLISQPRKVYLVDYACFHGTHHNRAPFAAFLECARQSSTLNERSIRFMSRLLDSSGLGEETCLPMSVHYIPWNKYLTLEAAREEAEQVVFSAIDELFFKTNISPETIDILVVNCSGFNPTPSFIDMIINKYKLRGDIRSVHLSGMGCSAGLISVEAARNLLRVTHRGARALVVSTETLSPLLYDGNERAMLLPFCLFRMGGAAVLLSTSAAKARLRLMSVVRTLTAADDNSYKCIHREEDDKGHTGVNLSKDLIAAAARTLKANITTLAPIVLPISEQLLFAMSFVAQKLSNGSFKVYVPNFLTAFEHFCIHAGGTAVIDEVQRSLSLSDEHVEPSRMTLHRFGNTSSSSTWYELAYIEAKGRMHRGDRVWMIGFGSGFKCNSVVWRCIVPAPNTNGPWAGCIHRYPVQIKSPKTSTGNTRVNNKKLRDT >SECCE1Rv1G0056670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:688925240:688925497:1 gene:SECCE1Rv1G0056670 transcript:SECCE1Rv1G0056670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKACGAVLMVCALLLLSSSFMAAEPAGRQWDHWTEATVAATTMASGRFVRKVLREEVVQVDNDGVVDIGGSMRKSPGGPDPQHH >SECCE3Rv1G0214190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:964895648:964901748:-1 gene:SECCE3Rv1G0214190 transcript:SECCE3Rv1G0214190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSNLNSSTSNLPDSAGRPFTTSFSGQSGSVQGFHHSGLHNIHGNYSLPNMPGSLAQRNAAMSGLPSSGVQQPGGSISGRFASNNLPVAMSQIPHAHSGVSGRGMNVGGAQAFSSGMNMGGTIQGLSSNLGTSGNRNSVPGNPALGNLGPRITSSAGNIVGGSNIGRNISSGGLSVPSISSRMDFSGNAASGRLNVQGSNRMMNGLIPQGSSQLMNMIGSSYPTSGGSLSQNQMQPGNSSLSSMGMLHDASDSAPFDINDFPQLTGRPNSAGGPQGQYGSLRKQGVGVNSIVQQNQEFSIQNEDFPALPGFKGGSSDYPMELHHKEQLHENVPVMQAQQYPMSRSVGFNLGSSYQPNRQQHQQGAANSIQSGGPQNIGLRPSQTSSLGSYEQLLQQYQQPQTQSPFRLQQMSSAAAQTYADHGLKPILGGRTPPDPYGLLGLLGVIRMNDPDLSSLALGIDLTTLGLNLNSPDNLYKTFGSPFSNEPAKGEPEYTTPACYVAEQPPALQPMHFQKFQTLILLYIFYSMPKDEAQICAANELCNRGWFYHKEARQWFTRIPNMEPLVKTPTYERGSYAFFDPGNWETVRKDNFVLHYELVEKRPSLPSASQIVR >SECCE6Rv1G0420850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680481668:680483080:-1 gene:SECCE6Rv1G0420850 transcript:SECCE6Rv1G0420850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIDKATDNGELKQRQPEQELVCVTGAGGFIGSWVVKELLLRGYRVRGTARNPADRKNAHLLALEGAEERLTLCRADVLDYDGLRIAFHGCHGVFHVASPVSNDPDLVPVAVEGTRNVITAAADAGVRRVVFTSSYGAVHMDPNRSPDAVLDETCWSDYEYCKNTGNLYCCAKMMAEITATEEAAKRGLELAVVVPSMTMGPMLQQSLNFSSSHVARYLTGVKPTYPNAVAAYTDVRDVARAHVLVYEHPDARGRYLCIGAVLHRAHFLLLLGELFPQYHITAKCEDDDKPMAKPYKFSNQRLRELGLEFTPLRESLYETVTCLQKNGHLPLPVVPIAQKRAYL >SECCE4Rv1G0226740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:113287889:113289850:-1 gene:SECCE4Rv1G0226740 transcript:SECCE4Rv1G0226740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRNACRAGNRGAAARLLEFAAPVATQPGATPPSSAIKHLSTCGFTPSLGNRFISRDGVPASSGFCAKALMTRGLSTVGNAEVASDEDDSSSPAVEHPPRIKFKRPDKTARHIMNILNKEAVEKVRLEREIADVQPGCIIQMRLQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEMKILDRKKVRRAKLYYLRDRMNALKK >SECCE1Rv1G0060490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710394955:710395364:-1 gene:SECCE1Rv1G0060490 transcript:SECCE1Rv1G0060490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSMSAGWTPKQNKLFEQALAVHDRDTPDRWRNVARAVGGGKSADDVRRYYELLVRDVANIEAGKVPFPAYRPPCPGPNVGYETDRLKHLKI >SECCE7Rv1G0477550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:221370338:221375380:1 gene:SECCE7Rv1G0477550 transcript:SECCE7Rv1G0477550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEDMLKDFEVKKEGEAEILMIASNAVFFNPVQVHNRDMSIAVLRTFVAKRKEEYEELMNKKNNKSHKKGNQVETPVVNGDTALTSQHDEIGVVDEKETNQATNEIEELSKEETKTPSGKVTRELKPPIVLEALAASGLRSLRYAREVDGLGKVVALDNDKASVEACKRNIKFNGASAISKVEAHLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQAVADGGLLMCTATDLAVLCGTNGEVCYSKYGSYPVKGKYCHEMALRILLACIESHANRYKRYIVPVLSVFMDFYVRVFVRVFTSASEIKNTPLKLSYVYQCAGCDSFHLQSLGRTVTKNNSVKHAPGIGPVVPQECSDCGKKFNVGGPIWSAPIHDQDWVLSTLTDVRQMKDRYPAYNKITSVLTTVSEELHDIPLFFSLHNISGTVKCTSPSAVMFRSAVINAGYQISSSHCNPLGLKSDAPWDVIWDIMRCWVKNHPIKEQPRDSPGTAILSKSPQLEANFSRAVAALSKAQVKKVKRFLPNPESHWGPKVRAGRRITSKHISLLGAEALNGAISHQDGNGAVTDNLASDTGAAVTISHQDGNGAVTDNLASDTGAAVTDEENEPSTKRQKTGDDEVASEP >SECCEUnv1G0557510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:324358644:324361754:-1 gene:SECCEUnv1G0557510 transcript:SECCEUnv1G0557510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSPSGPDSRVETISRLAQWRIDTFGPCSYRRSDPFKLGIWNWYLSVEKSRSVYVRLFPEPGRLAKEQPPLARFVLRVSWVGPPRRSSVSPVFEQLLRSSDDFVWQVDVMSHGRFTIDVEFLDLKIATNNGTESSSSIWPNEGMVQGVASKSTLGCLSRMLTESIHADVTINTTDGVLKAHKSVLAACSPVFESMFVHDLKEKSSSTIDIGDMCVESCSALLGFVYGTIRQEQFWKHRLALLAAANKYGLGEVKACCEESLLEDISSGNVLERLHVAWLYQLERLKKGCLAYLFVFGKIYDVRDEISGFFHHADRELTLEMFQEVLSVWKPI >SECCE7Rv1G0472670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:146064248:146065273:1 gene:SECCE7Rv1G0472670 transcript:SECCE7Rv1G0472670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRDSHEAWVFWLEYGGHIGFDVRKRCTNLSKFDGKGTSCRFVCCNEGIRRKGQTDREPKHFRAETRTNCRVQMVIRLDRAAENYEVTDVELEHNHYLQLPQTRHLLASQRKISEVQAFEIETADGSGIMPKASHEFSCRKVGGPMKLGYTCRDQKNHLRSKRQRELAYGQAGSMLKFFRDKIAENPSFQYALQLDCEENIANIFWVDAKMLLDYAHFGDVVTFDTTFGTNKEYRPFGIFLGLNQFRETTIFGAAFMFDETRDSFIWLFETFLAAHNGRQPKTIYTDQDIAKCTLQLFLKLSKVSMKDPWLHVVEYWMEITNLLLPLGVCMVLYSLRRSA >SECCE4Rv1G0231330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:182099643:182115952:1 gene:SECCE4Rv1G0231330 transcript:SECCE4Rv1G0231330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVGFLADVNDNWLKPRLLRVVVGEWMPQPGSTVPPEELASILEAVRTHGLLNEVLQDRSDKKLAEAWRATVDAWVERVGELVQSDSPYSCWLGTCFLGVTFQECSSERFAESYSDWFEKILRNLQGPSSLQLVTVVSCTSMSDLFVRLAKFLNLKKEASSFAGRVVEPLLLLLNENGPVVDEAIDLLRTVIKLYPSSVNRHYNKVESTIAAKIMSTEVNVKLSKKFAGVLAMLPSVRVSEGTWSLMIQKVLMGINNLLNDAFVGLEEEKKGREIMMILVPPGNDPPPVLGDQVKLGGNLHVTKKFRVFTIPTISALAHCCCMMLTSHYPVQVTVPVRALIALSRRVLLVDGSLRNKSFPSTTSLQQELICFELPSLHSSFLDLLHATIKGMRSQLLPHAANIVRLVTDYFKIAKLPTTRTKVYSIVQLLLTSMGVGMSLHLLEVIVSNAVGDLDDNCVKEMTLFSTNPSKGTSESSSKSYSKKRKQEPQIQNSPGLEKAAISPKKMKTSSSKGMTPETPGDVRMFTPLSVKIAALETLEILLNVGGSLRTDHWRAKVDLLLINIATSACDSGPGYEPSISKVGETSISDYRLASLKALLASFLSSPYARPLYLAQGTELFRKGKLEIGTTLAEFCSHALLALDVLTHPRALSLEKAGPLVPGLNRSEPEKTIFGASTFNFSPYEGQRQVIADEDTYDDWLPSIKDNEPTEKSTLVKEVLQIDSHTIAEAVEDVPACNRSDAIMVVATTDKTSEPMTVDNPSSSNAVSNPIYSRPPETQKAAATPFREEKRADHVDSLLPNKSSAVVNLPLRNLATSGEKPSDPGVAAPSSYKDVSSIRFIQPIKADLSDTESGDSMPEIQDGDPDSDY >SECCE6Rv1G0439890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:800093591:800094506:1 gene:SECCE6Rv1G0439890 transcript:SECCE6Rv1G0439890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKQASKAKTGNEAEAVGPGGLRKGPWTEQEDMKLARFVRLSGERRWDFLAKVSGLNRTGKSCRLRWVNYLHPDLKRGRMSPEEERLVVDLHARWGNRWSRIAKAMPGRTDNEIKNYWRTHTRKLHKNTRIAVGSGSGSGSMITSTSMSAASHAHPATTSDTSSSTTINDYHAHHGQSNKETAPSNLQAEQLLPYTGIDAMDSHLLWNDAIIDAYAWGATTAPSIIVPPPSSPVWDYCYSDSLWGIGDDDVE >SECCE6Rv1G0408580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:574532463:574535312:1 gene:SECCE6Rv1G0408580 transcript:SECCE6Rv1G0408580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAATWPPAPMLLALAVLLIAASASSAAAAEGSRSGGGKAHNYEEALRKSLLYFEAQRSGRLPHGQRVPWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSMIEYGADVAAAGELAHALEAIKWGTDYFIKAHTRPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDHPGSDVAGETAAAMAAASMVFRKSNPHYAHLLLHHAQQLFEFADGYRGQYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGKASYLDYVVDNAHDFGGTGWAITEFSWDVKYAGVQILAARLLLRGEHTAEQKRTLERYQAKAEHYVCACLGRNAAGGEDANVERSPGGMLYIRQWNNMQYVTNAAFLLSTYADYLAEAGVETVTCAGGETAGAREVAALAVAQVDYVLGTNPRGVSYLVGYGAKYPARVHHRAASIVPYKHSKQFIGCSQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRDNYMQTEACTYNTAPMVGMFAKLNRMAREQRVATAAEV >SECCE7Rv1G0477570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:221633646:221636649:-1 gene:SECCE7Rv1G0477570 transcript:SECCE7Rv1G0477570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHRLALLVCLATLPQLLLAGTTRYYTFNVTMQKVTRLCTTRAIPTVNGKFPGPKIVTREGDRVVVKVVNNVKHNVTIHWHGVRQLRTGWSDGPAYITQCPIQTGQSYVYNFTITGQRGTLFWHAHVSWMRATLYGPIIILPKSGVPFPFPKPYKDVPVIFGEWFNVDPEAIIAQALQTGGGPNVSEAFTINGLPGPLYNCSSKDTFKLKVQPGKWYLLRLINAALNDELFFSIANHTLTVVDVDASYVKPFDTDVVLVTPGQTTNVLLLAKPDDGSPAATHLMLARPYATGRVGTYDNTTVAAILEYAPAGHIKNRPLLWPTLPVFNDTAFAANYSAKLRSLASPDYPARVPARVDRPFFFTVGLGTTPCPTYQGCNGPTNDTMFSASMNNVSFNMPTTALLKAHYDGNAGGVYTSDFPTTPSEPFNYTGTPPNNTNVSNGTKVVVLPYNTSVEVVLQDTSIQGAESHPLHLHGFDFFVVGQGVGNYDPSSHPAGFNLLDPVQRNTVGVPAGGWVAIRFFADNPGVWFMHCHLEVHTSWGLKMAWVVNDGPLPDQKLMPPPSDLPSC >SECCE2Rv1G0072880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:62046131:62047867:1 gene:SECCE2Rv1G0072880 transcript:SECCE2Rv1G0072880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGGAGDDGGCSRPAVIYDDLVEVREQAVTLQTMLQGSPRVSDMGARELVKGMMAKLSSAMSVLGTTSGGVEASSGAARGPGGRKKRSGTASGPHRRSTSRRRSKSPFINMVTARTLNDGKTWRKYGQKYIHASTNPRSYYRCSHKPDQGCQATRQVQESDSNPSEYIISYYGQHTCKDPSTFRSLVIQGAADAAPPEDCANLISFTSINGAAASTSTRSFAHRLVKEAADHHTMLFSRFSNYSSSSPVQEGASSGSPSPAGHGKFMPYAGGQLVDVIGRRTSPLTVGSAPAEYWPVVGVTGVDTDAGAGMDSFPSSPSSLGFMSGSFGGSFGNSIYDDDDLFGFES >SECCE6Rv1G0389150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:147100870:147105869:1 gene:SECCE6Rv1G0389150 transcript:SECCE6Rv1G0389150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRYPHMLLILILLHGANAAIDAPVEKWQTLDGRPPVIIARGGFSGLFPESSKFAYEFAMTASLPGVVLECDLQLSSDGVGFCRSGLTLDKSTLIAEVYPKKDKTYKLGLEDIHGWFAVDFTSDELIHNVTVIQTIFSRPSTFDAMMGMYTLDDMAGLRPQQIWVNVEFDAFYREHNLDIEEYLLKVPKDYPIGYISSPDISFLKSIGGKLKGRTKIILRCLADNVTETSVKKSYGQILKDLKSVKDFASGILVPRNYIWPVNNNMYLLPPTSLVKDAHALGLEVHVGSFANDILTSYNYSYDPAAEYLQFINNPDFNVDGLMTDFPPTASGAVACLAHNQGNALPSTANGERPLIITHNGASGVYAGCTDLAYQQAVKDGADIIDCSVRMSKDGVAFCLGSSDLIASTTAATTFMTKVVTISEIQNKSGIFSFDLSWSEIQTLKPELSGPFAQAGLKRNPAAKNAGKFFTLPEFLDFAKSSNVSGILIEIEDAPYLATRGLGLVDAVSGALVNASYDKESKQQVFIESDDSSVLSAFKKFPAFTRVLTVGTIISDASKPSVDEIKEFADVVMVTRGSLVKVNGFFLTGFTNLVENLHAANLTVHVGPLKNEFTNFGFDYFADPMVEIATYSAALVVDGIVTEFPATATTYFRSPCSDPTKNLTYTIMAAAPGALVSMVPPGALPPALPPAPLLEPADVVDPPLPPVTVSSPPEAAPKVADSSSSPPISSAGSCFLVAAGIAAFLYSSSH >SECCEUnv1G0558980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:335470733:335471683:-1 gene:SECCEUnv1G0558980 transcript:SECCEUnv1G0558980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVCAKAVLLAAVMLACTAQLCMGARRRMELYRPDPADMLSYHQGAVLHGDIPVSVLWYGNFRPAQKSVILDFLLSLTAEPQAASPSVAQWWSTIDQQYLSPASAANSDGASANTRVLLADQLSDGACSLGKSLTLEQITALAARANPKKGGVAVVFTAEDVAVEGFGMGRCSLHGSDASSGTTHIWVGNPETQCPGACAWPFHQPMYGPQDAPLVAPNGDVGADAMVMNLASMLAGAATNPFGDGYYQGSSDAPLEAATACPGVFGNGAYPGYAGNLKVDQATGASYNANGAHGRKYLLPALYDPSTSACGTLV >SECCE3Rv1G0173610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:350468142:350483486:1 gene:SECCE3Rv1G0173610 transcript:SECCE3Rv1G0173610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATMATALGAAMLLYFVLSRRLANHEEAAGSSGGGGGGKRRRGRAARQPSQPPATWMEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVAGVYAGSNCIELKGPEVMEELIVLRRLIDLCFLFSKKSFPVFLELAGFSQVDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAVTGAVVPFHHSVLDEGGISKLVLGYAHCGMVAAARWIARGITPCLLQAVTQCPEYQIKIVGHSLGGGTAALLTYILREHTEFSTTTCVAFAPASCMTWELAESGKHFVTTIVNGADLVPTVSTASIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARARVAGAGALLRPVSSKTLVVMKQAQNVAQAVARSRSALSSWACMGARRRPAGVIAVSQDEMTAETHVKATVDSESFVVEHVTEVVEELQYAATSISVHEETEEEALMSEHETSREHAEEEITDGELWFEFEKDRDRQTEVEARTREEEAAAAKEIMEEESAVLKNVEDRQSFSSDSLEKQQFYPPGRIMHMVAMPPPDAGPDDPIVTDECTVGLYGTPRHLYSKIRLSNTMINDHYMPMYKKMMEILIEKFANNDDNSADSTVE >SECCE6Rv1G0406370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:543466896:543469252:1 gene:SECCE6Rv1G0406370 transcript:SECCE6Rv1G0406370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAVFVLALLLLPAVASAGHHDYGDALHKSILFFEGQRSGRLPPDQRLRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHKEEARKAVRWATDYFMKATAKPNTVYVQVGDAFKDHSCWERPEDMDTPRTVYKVDRSHPGSDVAAETAAALAAGSIVFREADPVYSQRLLDRAMAVFKFADRYRGAYSSSLHAAVCPCYCDFDGYQDELLWAAAWLHKASRRREYRQYIKRNEVVLGASDSINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRANANNFMCTLLPGISDHPQIQYSPGGLLFKVGGSNMQHVTSLSFLILAYSNYLSHAGAHVSCGGAGRTAPPTKLRQVAKRQVDYILGDNPLRMSYMVGYGPRFPRRIHHRGSSIPSVAAHPARIGCKAGAAYYASAAPNPNLLVGAVVGGPSDATDAFPDARAAFQQSEPTTYINAPLMGLLAYFSAHPNPAEWADD >SECCE2Rv1G0104930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:612789872:612794114:1 gene:SECCE2Rv1G0104930 transcript:SECCE2Rv1G0104930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDRSRNALLPSFLYAAPSAATPFSAVAGIGGRGLVAPSHAAAARPAAWARAPSESSRKIEMYSPAFYAACTAGGITSCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGAKGFFRGWVPTLIGYSGQGACKFGFYEFFKKYYSDIAGPENAAKYKTLIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFAKGLSDGLPKFVKAEGYAGLYKGIVPLWGRQIPYTMMKFSSFETIVEMIYKYTIPAPKSECSKSLQLGVSFAGGYVAGVLCAIVSHPADNLVSFLNNAKGATVGDAVNKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVAPTPAAAGEQLKG >SECCE7Rv1G0492360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:549698645:549707442:1 gene:SECCE7Rv1G0492360 transcript:SECCE7Rv1G0492360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSERPAVVFDNGTGYSKLGFAGNSEPSFTIPTVVAVNESFLDQSEQCSSANWLAQYNAGVMADLDFFIGDEALSHFKSSGLYSLRSPIRHGQVNDWDTMERFWQQSIFNYLRCNPEEHYFLLTDSPVSTPESRECMGEIMFETFNVPGLYISVQSVLSLSAGFAYLKTLSEEDSEDSSDMTGVVVDIGDGAPHIVPVVNGYVIGSSIKSFPLSGSDVTQFVMQLLQERGELLPPDDALDIARRVKETYCYTPSDIVKEFKKHDSKPSKYIKQWSAIKPKTGVPYTIDIGYERFLGPEIFFHPEMYSADFSTPLPELIDSCVQSAPIDTRRALYKNIVLSGGTTMFKDFHKRLQNNIKKIVDERVAETNARHRVEVKPVEVNVVTHPIQSYAVWFGGSVAASSHEFFECCHTKEDYEEHGASICRTSTVFKGMY >SECCE4Rv1G0283600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836022318:836029080:-1 gene:SECCE4Rv1G0283600 transcript:SECCE4Rv1G0283600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAGEAEAALGRAMTWAEAAWFRYSVATPDYYLYCHLMVIFLVVYTLAPLPLAVLQLRAPAILLPYKLQPRVRLKPAAFLRCYVRTVRVFLLAMGPVQLLSYPAVKMVGIRTGLPLPSAGETAAQMAVYLLLEDYLGYWVHRLLHTKWGYDKIHHVHHEFTAPFGYVGLYAHWSDVLIIGFPAFVGPAVVPCHMTTLWLWFVIRQLALIDAHDGFDFPLNPAKLIPFYGGAPHHDYHHRVGRKSQSNFASVFTFCDYIYGTDKGYMFHKASLAKVKEMAQNSTEKRETVNFIGGKQA >SECCE1Rv1G0016960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:145498289:145498630:-1 gene:SECCE1Rv1G0016960 transcript:SECCE1Rv1G0016960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSASVLSFSARPPAALRPRAASVSAGAGGRVRAGAPKGGKWWAPLVGWSGRADYMEAAAPTPVVEEEEKTFVGLTEEKARQLRARMSEMESFHDAMYHSAIASRLARST >SECCE7Rv1G0475640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:190498684:190499052:-1 gene:SECCE7Rv1G0475640 transcript:SECCE7Rv1G0475640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSDAENERFERALATYGRDTAGLWERVAAAVGGGKTADDVRRHYDQLTQDIGDIESGRYGYPNGGANGNTSRNNGRNRANRPQT >SECCE3Rv1G0164830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:166647708:166648085:1 gene:SECCE3Rv1G0164830 transcript:SECCE3Rv1G0164830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGKYQQGWLAVGLPPAPVLAVTGIVTFFLYLSWQMDEFEEQLRHRTQAGFWVLMVLGLLALGVLAQHVLFDGEGRVAVPAAWRGQPEGGSGTSPWGVAALVALLLVLVSHRSDFQIFKPPGFR >SECCE5Rv1G0343860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:641595084:641598436:-1 gene:SECCE5Rv1G0343860 transcript:SECCE5Rv1G0343860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAARALLLLALVAAGAEALSLDVHHRYSATVRGWAGLRTGPAPGTAEYYAALAGHDDLRRRSLSLAAAPAPGGGPFAFADGNDTYRLNAFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCLKCAPLSSPEYGNLKFDVYSPRKSSTSRKVPCSSDLCDLQTQCSAASNSCPYKIEYLSDNTSSNGVLVEDVLYLATESGHSNITQAPITFGCGRVQTGSFLGSAAPNGLLGLGMDSKSVPSLLASQGVAANSFSMCFGEDGHGRINFGDTGSADQLETPLNIYKHNPYYNISIIGAMAGGKTFSTKFSAVVDSGTSFTALSDPMYTEITSAFDKQVKDKRNQADSTLPFEYCYTISAKGALSHPNISLTAKGGSIFPVNDPIITITDISSSPVGYCLAIMKSEGVNLIGENFMSGLKVVFDRERLVLGWKTFNCYSVDHSSKLPVSPNPAAVSPKPASGPSSSNPEAAKRPSPNITQIDVANPSSGSSIHLHFSRTFFLTATTPLLLLAIL >SECCE2Rv1G0074410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:76397172:76398098:1 gene:SECCE2Rv1G0074410 transcript:SECCE2Rv1G0074410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF transcription factor, Mediation of the transition from spikelet to floret meristem, Determination of panicle branching and spikelet formatio [Source: Projected from Oryza sativa (Os07g0669500)] MSIRSSSGGSGGGQTSQMMAFSEHSLPKPIAGHPQPQPSPPSSPSDRPAPRGRRRAQEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFVYAHAAYNNYPPFLAPFHAQQQQQPAYASSTMPYAGQQHAAPHIGSSYHHGHGYHQQGQGAGAGECSMPAPNAADHASPMDVRGSGHDFLFPSADDNSGYLSSVVPESCLRPRGGDLQDARRYSVSDADAYGLGLREDVDDLASMVAGFWGGADAASYGGFAPSNGGGHDSMVASSQGSDSGYSPFSFLSH >SECCE4Rv1G0268100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:739547156:739550599:1 gene:SECCE4Rv1G0268100 transcript:SECCE4Rv1G0268100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVAASGFFPTPGSSPAALARGSKNMSGELPESLSVRGMVAKPNTPPASMQVKARAQALPKVNGSKVNLKTTGSDKEDTVPYTSSKTFYNQLPDWSMLLAAVTTIFLAAEKQWTMLDWKPKRPDMLVDTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEHYPSWEDMVQVDTWVASAGKNGMRRDWHIRDYNSGRTILKATSVWVMMNKTTRRLSKMPDEVRGEIGPHFNDRSAITEEQGEKLAKPRSKVVDPANKQFIRKGLTPKWGDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECCRDSVLQSLTNVSGECADGSPDSAIQCDHLLQLESGADVVKAHTTWRPKRAHGEGNLGLFPVESA >SECCE2Rv1G0119910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:795090443:795092777:1 gene:SECCE2Rv1G0119910 transcript:SECCE2Rv1G0119910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGYTRPPPVAEDNAALPAPSAVLYVANCGPAVGLTHGDVAAAFGAFGEVEAVSAADDSGARVIVQFREPAAAGAAMAALHGRPCGRLSGRVLHIRYSVPAPPKAPVAVSAPPVALSSSELGIPGIHLVQEFVSAAEEQELLAAVDSRPWKRLAKRRVQHYGYEFLYETRNVDSKQFLGELPSFVSKILEKIVTFPGVKNCTGKLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRQYPKGSWRAPSVVSGADEGSIQDPQCTRKAVFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVAGQVIKRNSRRVSFTLRKVRMGICECEYGQFCDSQSK >SECCE1Rv1G0046000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614068503:614072006:-1 gene:SECCE1Rv1G0046000 transcript:SECCE1Rv1G0046000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELAKSFGLADAAAAGPGGIDFASVSGSGGLGADQIVKVGEGLSDAPGGVLLEVKKEAVMLLGGGLGGEPRPGQAPVLGVGDFVAGGDSLGAAIDAEMCTVAPVDAVMCPVAVVAPDTSTMAAVDADTSAMVAVDADTSAMAAVGSDTSAMAAVDAETSAAASVDAEMSTIGAVHADTSTVAAVDADTSTIASIDAEMSSGAVVNEEVERGGGKGEEEESKDKESDSSEDEELSEASSSSDEEEEPTKNDEESSEASSSSDEEVPVAKKYSGPTDMEALLEEGELMAEVEDEDDDETPKGPIKSKHEVEVLPPVPKIEVQLEPHHKALPVGTITAIMGERVIVEGSVEHSPLTEGSILWVTESRTPLGIVDEIFGPVKNPYYLVRYNSAEEVPAGVSAGTTVCFVAEFADHILNMKELYAKGYDESADHDEEADEPEFSDDEQEAEYKRSLRLVKRQTDRPHDSRKPSGDKKRAQNRGAGFRNDMPPRNHDTPTSGHQSQRRFHHSDMADSVTHQSGQQNSPMGTQRDMPPRIHDASTPDRRPQPRFHRSDMATAVADSATRQTGPQNFPMSAPTMLPSISMNHAMPSPVQLANQMGSCFINPQQFSQQPNMVWPGGLPPPGQPNMGVDGAALAANIMQNILMGANQFQQYLQNQNFGGFPNGMPMAQQQFMPGNTMSANMMPYGGPPVNNPFGQASQFPMGQGNFGQFPHMAGNQGPPAGFPNTQGFGRFPSQHEDGDQPPGNANMQGHGRLPSPHGDGGQPPMQFNSLPSPHGDGGQPPMQFNSLPSPRGDGGQPPMQFNSLPSPRGDGGQPPMQFNSGQFNQGNSSFRGRRPQQRGGRHSPGRGGGGGRHRK >SECCEUnv1G0560840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:350104334:350104864:-1 gene:SECCEUnv1G0560840 transcript:SECCEUnv1G0560840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGPIHEILMLFGGFILLLGGLGVVLLTNPIYSAFSLGLVLVCISLFYFLLNSYFVAVAQLLIYVGAINVLIIFAVMFVNGSEWSKDKNYWTIGDGFTSLVCITFVFSLMTTIPDTSWYGILWTTRSNQIVEQGLINNVQQIGIHLATDFYLPFELISIILLVSLIGAITMARQ >SECCE1Rv1G0060250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709555084:709559718:1 gene:SECCE1Rv1G0060250 transcript:SECCE1Rv1G0060250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGSIAQALDADKIEVPSPKEEGNSTDSEAATDTENFEISDDDDDDRNHKHRRREAMPQPFGESIEEQAVGRPFKRRPRISGNGQPFGGADSRGEAQNNFIPKFKRRPGPGAHSRGGRVNQSFHSASAAARPPMARGRGRNGAPWTHHDPRFNTLDMIDFASQMASQGPPTHPNLFMGPPLPSGGGAQNSPWGPYGFMPGMPNGMMDPIHPLGMQGPIQPPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDMQSLSQFNLPVSVPNAPGVGIQSEAGTAHVNLTNLGGSKGVPAKDIKSGVADNPSKLNGSTASAVADADVYDPDQPLWNNERPEASSAGFAHTNDGIWNAETSSYEAGWEHANQGFAADDSQNPKSSVWGRIASKRKSGPGKTGNTTSTSATGNQRSDYNDDMAPSTVQVKPASTKDTNGRPSSRMPADAGRQSNSRTSHKASRTLYVNGIPPESNRWEALLSHFEKFGQVIDIYVPANSEKAFIQFSKREEAEAALKAPDAVMGNRFIRLWWANRDRITDEGDGRISAKPPLTNSALPQPSSSNRGKDLQSTTPRASSGSSASVPGIGPKKLPANSITSIPPAPKRQENLEMLEAIRKKQDMLAQQRDELLQRLEKYAKQTSSANSVKQAEAGGKTVGSNAVGKVGDVTSMNTGTEGPQEVAGTLEKKVSGEFASSSPKYAPTSTQKPAVAARQPSPLLAPPQNRFKLDNRTTSFRILPPLPPEIANETVLKDHFAAFGELSSVVLEDTEAHNHDTTLKPSLSCSACVTYATRQSAEKAFISGKSCKGHMLRFMWLTASPGSNNQSRPQKSLIPVRPTGISGQTGNMTSESQSPVGKISSAATSGTAAIPHSESVPSAESSKTFPIGISKELSSSSSLSSNVECPPGNDSTMNAVFTDPGLPQ >SECCEUnv1G0560600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:348350874:348351581:-1 gene:SECCEUnv1G0560600 transcript:SECCEUnv1G0560600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQAPVRLLGSFGSPFTHRAEAALRLKGVPYEFIQEDLGNKSQLLLRHNPVHKKVPLLLHGADDDVRAVAESLVIIEYVDEAFDGPPLLPADPLARAAARFWAQFPTDRCSRTLFKALWTPEGEARRGFVEEAKENLALMEAQLEGRRFFGGDSIGLLDIAASGLAWLPVLEEVAGVETSMMRGEEYPALCRWRGEYAADEAVKKCLPSRDEMVAYYAAIKDRFVLLAKSMHKK >SECCE6Rv1G0379120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:12267871:12268383:-1 gene:SECCE6Rv1G0379120 transcript:SECCE6Rv1G0379120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPCAASVLLLLLALAAATAADAAKESIASEPRPSGSIFGRPAAKDIIASEPTIPRPSALVDVSATCMGSLLELSPCLAFFRDAGTSKAPAGCCKGLGSIVRDQPACLCHIFNHTLERAIGVGIPVNRALALVRDVCGLTPPNNLMASCADAAAVPPLYVCPAPSA >SECCE4Rv1G0219080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:28196067:28196887:1 gene:SECCE4Rv1G0219080 transcript:SECCE4Rv1G0219080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGGSRRLHARLLAVVGLLACAAVAPRSSALNVGLQTLGADGDGVSKQQACSRTCESDHCTTAPFLRYGKYCGILYSGCPGERPCDPLDACCMHHDNCVLVKNDYLSTECNEGLLECLAELRDGTGTFEGNKCMIDEVIDVITVVIEAAVVAGRVLHKP >SECCE4Rv1G0217920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19014418:19018862:1 gene:SECCE4Rv1G0217920 transcript:SECCE4Rv1G0217920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCVSRPSACVGKPGTPRSGEAARAAGASSSRRRRRRGGKGRRKAPSRAASMETIQEAEGAPSAPSAANAASASADCRTYSNPAFQVTGSMEEAWYDSFAISESDGEDDFHSVQDDAFSLNGFENEAALSMRDANGGSFNGAEHHHRKPRSSELPKGNLESGARPSASHEDGVSLSGGASPNSGGILDNCGLLPNNCLPCMASAVGVNEKKRALSTSPTHSMKMPSLKLSFKKKSGEANPSSTLLSTKDFLERPLAGSQVQLCLLEKKVLNSWSHIDPGTFRVRGSNYLRDKKKELAQNCAAYYPFGVDVYLSPQKLHHISRFVKLPDIQISSKLPPLLVVNVQVPLYPASLFQSETDGEGMSFVLYFRLSEGYSKELPPLFIENIRRLVDDDVEKIKGFPMETSIPFRERLKILGRVANLEDLPLSAAERKLMHAYNEKPVLSRPQHQFFLGDNYFEVDIDMHRFGYISRKGFETFLDRLKICMLDVGLTIQGNKPEELPEQVLCCVRLNGIDYAKYQPLMTNGA >SECCE5Rv1G0364360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:800942834:800944186:1 gene:SECCE5Rv1G0364360 transcript:SECCE5Rv1G0364360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDYAAPYLPMDIMYKIPAHISDPASLARLASSCKFWRDLIKELTFLDRLRRRQRDHGFTPSLLLGFFYQDNERTSLDLLKYRIDKTRCLAPRFVRISELSQFVGSKSARNAIRPLSLEIFTPGLGASLDFYKPIASQDNFLVLRRLSKDANGHMNEELCVCNPLTGETFEIPSHLYVPPDHYVLFVTKDVDIDGRISHSFQLTGIFWMKKAKRFICVCYSLKTGRWTMSFEFPELMPGHYLVSSSAAASGSAIHWLCGTLEQMSLTHVATLHIENMGLSYLELSPEANHIKIPVLANSADGGILLLFVQGLEMSLWKHISVLGSGSSSWVLSERIDLRSSLPKQVAALGIRAKVRLEMFRGKSGAVVLRVVGEGLFLFSLGDRSMRKIDSACVTKKYSLCPYEIDWLSCLAITDLVIDGSLLLDVESKKAKDRWRTLMGMNLGMNGAS >SECCE6Rv1G0379830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:17203026:17207103:1 gene:SECCE6Rv1G0379830 transcript:SECCE6Rv1G0379830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLTASFAARNVGREYNFVCPSKSAGEKQWIPGRVLCYFTAYTNSSRRCKVATGVCPIGPVVGRGSRWRSFAASLNLENGPAPSSSTSSSSGQTSEQLTSDELKSLLADKERSKLLRKLSEANQQNRFLKRQSQIKDDALVKFRSELAVLELELQALVGLAEEIANFDVPSGSRKVNGKYIQSHLLSRLEAVHDKVMVQIKDVESLRPREITVHWVGMAENVQIMGSFDGWSHGETMSREYSGDYARFSGTLRLRPGSYEIKFLVDGEWKLSSEYPITGEGLTQNNKLVVE >SECCE7Rv1G0460770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:33122756:33123250:1 gene:SECCE7Rv1G0460770 transcript:SECCE7Rv1G0460770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTFATSARALQHIIPTAGDSRRAAMSPRPRPPSSLRFAGRGGAPPQVPSLLIRSSRYKPDFSAAAVYMVKLVTPGGREYELEAPDDAYILDTAESAGMELPCACRAGACYKCAGKVEAGTVHRADGSLLHGRLQEEGYVLICVSCPRSDCVIHTHKEDDLW >SECCE1Rv1G0015170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:121441230:121443057:1 gene:SECCE1Rv1G0015170 transcript:SECCE1Rv1G0015170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCASPGGTDTSTDPDVDKNQETLRRLAQNREAARRSRLRKKAYVQQLESSGLRLARLEQELKRARQQGFLISTLEDQHGSENGNAASSFYVEYARWLEEHHKQVDELRAAVSAHAGDGDLQAIVDTIMARCDEIFRLKGAAAKADAFRVLSGTWTTPVERCFLWLGGFRPSELLKLLASRLEPLTEKQLDSIDVLRRSSLQAEEALEREMEALRQSVTETVTAAGSSSLSCSDDDCTGQMAVAVGKLGAMESLLRQADDLRLRILEETRRVLTTRQCARAVLVVSDYFSRMRALSSLWISRPTTGVN >SECCE4Rv1G0293580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:886009333:886010778:1 gene:SECCE4Rv1G0293580 transcript:SECCE4Rv1G0293580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSALGEKAHVVCLPAAAQGHINPMLDVAKMLHARGFHITFVNTEYDHARLVHAQGTAAVAGVPGFHFATIPDGMSSSDNKVTHDVPSICKAITEVCLGPFRRLLAELNDPATGHPPVTCIVSDVVMDFSMEAARELGIPYVQLWATSATSFVALRHCRLLFDRGLAPIKDFKQLTNEYLDTPVEDLPGLRNMRFRDFPTFIRSPAPDDYMLHFTLWIVERAVGASALIINTFDDLEAEAVATMEALGLPKVYTIGPLPLLAPSSNISMSLWKEQEEVVPWLDDKEPGSIVYVNFGSTTIMTDDQLVEFAWGLAMSGRHFLWIIRPDLIRGDTAVLPLDFSVETAERGLISSWCPQQQVLNHPAVGVFLTHSGWNSTLDSMCGGVPVISWPFFADHQTICRYQCTEWGVGMEIDSDVRRDTVAGLITEVMEGENGKVMKKKAQEWREKAVKAAKPGGSSRRNFEELIHDVLAPAPQPSG >SECCE1Rv1G0008280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:46212043:46214133:-1 gene:SECCE1Rv1G0008280 transcript:SECCE1Rv1G0008280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCCRASRPDLGLAFFGRLLRTGLKTNEIIANTFLKCLCYAKRTDEAVNVLLHRMSELGCVPNAFSYSIVLKSLCDNGMSQRGLDLLQMMAKEGGGCSPDVVAYSTVIHGFFKEGETGKACSLFHEMMQQGVEPNVWTYSSIIDALCKARAMDKAEVVLQQMIDKGPQPNAVTYNCMIHGYSTSGQWKKAAKLFREMTSRGLIPNTVTCNSFMTSLCKHGKSKEAAEIFDSMTAKGHRDVVSYCILLHGYANEGCFADMIDLFNSMERNGIAANCHVFNILINGYAKRGMMDEAMLIFTEMWEKGVSPNVVTYSTVIAALSRMGRLTDSMDKFNHMIAMGIQPNTAVYHSLIQGGFMHGGLVKAKELMFEMMNKGIPRPNIVFFSSVINSLCKEGRVMDAHDVFDLAIGIGERPNVITFNSLIDGYCLVGKMDKAFKILHVMESVGVESDVVTYSALLDGYIKNRRINDALTLFREMPRKRIKPDTVTYHIMLDGLFRDGRTVAAMEMFNEMIESGATVCLSTYNIILGGLCGNSCVDEAIALFQKLRAMNVKFNIEIFNTMINVMYKVQRKEEAKELFATISTNGLVPNESTYAVMIRNLLKGGAVEDADNMYSSMDNSGIVPSSRLINDIIRMLLEKGEIAKAGNYLSKVDGKSISLEASTTSLMVSLFSRKGKHLEDIKLLPAKYQFFDGFG >SECCE3Rv1G0190410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:738554231:738556219:-1 gene:SECCE3Rv1G0190410 transcript:SECCE3Rv1G0190410.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQEGDAHGSESDARKVKGRGGFVALPFIIANEMLEKVAGFGLNTNMIMYLTKQYHLSNVTAAATLFVWAAAANFAPIPGALIADMYTGRFMAISLGSIACLTGIVFLWLSAMIPGARPPPCGVGLAGEQCAPPGPRHLAWLIAGFTFLSIGAGGIRPCSMAFGADQFSRHPKERRSRILQAYFNAYYASIGVAFTVAVTMIVYVQDNVGWKAGFAVPMGLMMLSAVSFLLGSRLYVKEKGSKQMFAGIGAALVAAIRNNRAQLPAKTEDGVYHHLKDCKLTVPTDRLRFLNKACMISNTGGVHLPGNGAAASERDGGRRLCTVDQVEQLKSAVRILPIWSSTVFLAQAMSQNYAVLQANEMDRRIGVGQFRVPGCSLTMFNMVTMSLWSGSYDRWIAPALRRVTGDPRGLTMKQRVGVGLLLATAAMGVSGAVEGARRRLALAAGGGGEGMSAFWLVPQFALMGLAEAFGVIGELEFFYTELPKSMASFSMALLYMAMGVGNLVNSLIVKVVDDASRRGGRTSWLSSDLNAGHYDYYYWLLACLGAVNFVYFLWCAWKYGEEGKNVEWEEEGEGERERPMA >SECCE5Rv1G0333230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:555327005:555327403:-1 gene:SECCE5Rv1G0333230 transcript:SECCE5Rv1G0333230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKGIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLSTSHFVLGFFLFVGHLWHAGRVRAAAAGFEKGIDRDLEPVLYMNPLN >SECCE3Rv1G0180360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:574933668:574938117:1 gene:SECCE3Rv1G0180360 transcript:SECCE3Rv1G0180360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPFSGSLLCLTKPGTPQLSFSPPPSRSHFHLRTRPPKAKRSIAGALASSSMAAAQPQARDGQQQGAEEAMKLLFVEMGVGYDQHGQDITSAAVRACKDAISSNSIPAFRRGSVPGVNSEQMKLQIKLGVPRPVQHMLDFEKIKAVFPYGEITSCEVVDGGMICSSGTCIEAMGDKNDDCYIVNAAVYVGY >SECCE7Rv1G0519360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864463954:864465954:1 gene:SECCE7Rv1G0519360 transcript:SECCE7Rv1G0519360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLVCAALLLLLPLSLSKPAVSSPSGGPRYKSIFSFGDSFADTGNNPVVFGWYNVFDHVTRPPYGTTFFGRHTGRNGDGRLIIDFIAENLGLPYVPPTLAHNGSFRRGANFAVGAATALDAGFFHERDIPGGSSKFPFNTSLGVQLEWFESMKPSLCRTARECEKFLGRSLFLVGEFGVNDYHFSFQRKTVQEVRSFVPDVVATISMAIERLIKHGARSLVVPGVIPSGCSPPILTMFAGAPPAAYDSKTGCLRAHNELGLYHNAVGTGPAALAKLRAKHRDVRIIYADFFGPIMEMVESPHKFGFQEDVLMVCCGGPGRYGMNATVPCGDAAATTCPDPSARLYWDGVHLTEAANRHVADVWLGEINSFAGVSRKQGAKEPC >SECCE5Rv1G0368750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:830623296:830626082:1 gene:SECCE5Rv1G0368750 transcript:SECCE5Rv1G0368750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial outer membrane protein porin 4 [Source:Projected from Arabidopsis thaliana (AT5G57490) UniProtKB/Swiss-Prot;Acc:Q9FKM2] MSKGPVPFPSFGKKAKDLLYKDYNFDQKFSWSTTSESGLGITASGVKFDELFIGDIRVQHKSGRNTVDVTIDCDSKVSTAVTFDEVVPGLKTSFGFKVPDQKSGKLDLQYLHDRFALNSTIGLTSAPVIELATTIGTNEFSVGGEVGFDSTSASVTKYNSGFSYTKDDFSAALQMADKGETLKASCIHLFSPTSAVAAEVTHRLKTKENYFTIGSSHALDPSTTVKTRFSNSGKAGFLCQHEWRPKSYVTLSAEYDPKVVSSPSRFGVAVALSP >SECCE7Rv1G0494510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:584587058:584589768:-1 gene:SECCE7Rv1G0494510 transcript:SECCE7Rv1G0494510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLGLPRLMLAMLALVALLGVGVDGRNHVHKKPPRAGASSRHSRVGAKVGTVASSPAVPPDDDATPLAPAPGGIVPSDPATPFQPEPCVFDVRAYGATGESTADDTEAFRAAWREACAVESAVLLVPSDGTFTISTTTFSGPCKPGLVFQVDGVLMPPDGPDCWPPSDNRRQWLIFSGLDGLTLRGAGTIEGNGEEWWNLPCKPHRGPNGSTLHGPCDSPTLIRFFESRNLVVRGLRVENSPEFHFRFDGCSDVLVDGLFIRSPANSPNTDGIHVENTERVGIYNSKISNGDDCISIGTGSYDVDIQNVTCGPGHGISIGSLGVHNSQACVANVTVRNAVIRNSDNGLRIKTWQGGMGSVSGIAFDGVTMENVRNCIIIDQYYCQDKRCMNQSTAVHVTDVSYANVRGSYDVRSAPIHFACSDTVPCTNVTMAEVELLPFSGELVDDPYCWSAYGAQQTPTIPPVSCLQDGVPEALLDNPDLKCR >SECCE7Rv1G0490170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:475276545:475277429:-1 gene:SECCE7Rv1G0490170 transcript:SECCE7Rv1G0490170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPASSDDDGKPADEEGVEIREVWANNLEAEFAVIRDVVDDYPYIAMDTEFPGIVCRPLGSFRSNDEYNYATLKANVDMLSLIQLGLTLSDENGALPARGTGGRPCAWQFNFRGFDPRSDPANADSIDLLRSSGIDFDRFTTEGADTGHFAELLMSSGVLLNAELQWVTFHSGYDFGYLLKLLTGRNLPDTMPGFFDLIRIYFPVLYDIKHLMKYCGSLHGGLSKLGELLGVQRVGICHQAGSDSLLTLRCFKKLKEAYFRGSTENYAGVLYGLISDGGENRPPAALLIE >SECCE2Rv1G0109840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:681311172:681314689:1 gene:SECCE2Rv1G0109840 transcript:SECCE2Rv1G0109840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MGEVMAARSRGGANGEDGEPVARWRAEEAVAGNRMALQALRELVIYPFLYARQSRLLGLKWPRGLLLYGPPGTGKTSLVQAIVRECSAHLTMINTYSVHKAHAGEGEKFLREAFSEAYSQASQGRPAIIFIDELDAICPRRNSRREQESRIVGQLLTLMDGNKKSSKKLPHVVVVASTNRVDAIDPALRRPGRFDSEIEVTVPTVEERLQILKLYSKNLHLDENVDLQIIAGWCNGYVGADLEALCREAARLAYRRLSNSSEDGKVLTLLMEDWESARSQIKASMIRGVTKEAPTVSWDDIGGLKDLKKKLQQAVEWPIKHAAAFARLGISPVRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQRARLSSPSIIFFDEADAIAPKRTGPSGDSGNATVGERLLSTLLTEMDGLELATGIIVLAATNRPKAIDAALLRPGRFDMVLYVPPPDAQGRQEILRIHTRKMKLGEDVDLGEIAERTELFTGADLEGLCREAGMAALREDLSASSIHKAHFEAAIRSLRPSLTKAEVDEYAAAAIHGPSARKN >SECCE2Rv1G0102340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:576353181:576354877:-1 gene:SECCE2Rv1G0102340 transcript:SECCE2Rv1G0102340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNRIATRFAVLLVVCTLIVSAAAEYVRPPPGRVILTDHDKPASHPQQVHLSVVGANHMRVSWVTDAKHGHSVVEYGRASGNYTTSATGEHTSYRYYLYSSGKIHHVTIGPLDPGTVYYYRCGMVGDEFTLKTPPAALPIELALAGDLGQTEWTASTLAHVSKTDYDMLLVPGDLAYADTQQPLWDTFGRFVQKHASRRPWMVTEGNHEVEAGMALPGSPGPFVAYTTRWRMPHEESGSTSALYYSFDAAGGAVHVVMLGSYAAFNSTSEQYGWLARDLARVDRRATPWLVVLLHAPWYNTNAAHAGEGEAMRKAMERLLYEARVDVIFSGHVHAYERFTRVYNNEANPCGPVHITIGDGGNREGLAFDFQKNHKLARLSLMREASFGHGRLSVLNATAARWAWHRNDDADSIVRDELWLESLAANAACRRTQPFAEYGSDEL >SECCE4Rv1G0226360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:109342155:109345789:1 gene:SECCE4Rv1G0226360 transcript:SECCE4Rv1G0226360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAEVMEELRGSFRDGRTRPAEWRTAQLRALVRMIEEKEDDISDALHADLSKPRMEAYLHEISLAKGACMFALKGLKNWMKPDKVPSAITTFPSTAQIVPEPLGVVLVISAWNYPFLLSIEPVIGAIAAGNAVVLKPSEIAPATSSLFAKLLPEYVDSSCIKVVEGGVTETSALLQQKWDKIFYTGNGNVARIVLAAAAKHLTPVALELGGKCPVIVDSNVDLHVAVKRIAVGKWGCNNGQACIAPDYIITTKAFVTELVDSLKRVLERFYGEDPLQSEDLSRIVSISHFERLAKLIEDKEVAAKIQLGGQTDQEQLKIAPTVLVDVPLDTELMTGEIFGPMLPIITVDKIEESIAHINAGAKPLAAYLFTKDKKLQQDFVSNVSAGGMLVNDVALHLTNPHLPFGGVGDSGTGSYHGKFSFDCFTHRKAVLIRGFGGEANARYPPYTAEKQRILRGLIKGSFFALILALLGFPREKR >SECCE2Rv1G0081150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:136615747:136617845:1 gene:SECCE2Rv1G0081150 transcript:SECCE2Rv1G0081150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSSSEEGARQNSADKDKLITVLSIDGGGVRGIIPATILGFLGEELKKLDGPEARIADYFDVVAGTSTGGLLTVMLTAPDKNGRPLFDAKELAQFYIDESPKIFPQKNSFFGKIGTALRMVRGPKYNGKYLHALLRRYLGETKLDGTLTNVVIPTFDITYLQPTIFSSFQLKHSPAKNALLSDIAISTSAAPTFFPAHYFETKNDKGKPRAFNLIDGGLAANNPTLCAMSQVSQDIILENGEFFVQNPVDYGKFMVVSVGCGLNPKESYSAKDAAKWGILNWIVKDGTAPIVDMFNAASADMVDIHLSVLFGALRSSHRYLRIQYDQLSGSAGSIDDCSKENMGRLVQIGDELLRKNVSRVDLETGRNVEMPGQGTNAEQLTKFAKQLSDERRRRRKVLQIN >SECCE2Rv1G0108390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:663048288:663049478:-1 gene:SECCE2Rv1G0108390 transcript:SECCE2Rv1G0108390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPGDVPPPLATPVLPPLAKRVKEDPSFPQASPVAPAMTAEDWSKWSTLPPDLVRRVGDSLLSTNDLDYYIHFRAVCPGWRAAAADPMSDTSNPRFGPRRWIVLDEDFQTEGKLLLLNTESGRFLHRKLPQLSDHYVVATTRNGYLVLADKSPPHSASVVNPLTGVVIRFMAPVPPEVGYAAVSLANSALGLTLFGDPSHKIYSAYPHNKSSVVHEVQQAAYVFFRNAVVGGVYSDNIPHAKIARLCRSLMPPDAKDLCFPVALGREMLLVGTAEGSFFIFNTDIDLGKLEDVDTINNYSIFIGHQRCLAVDADKFIGIEADCIYYTENLGSSARICKCNFKDRKVEKFSETDDFIKKDKKFVLVADRPLTIIHLLSSYTINIPDSQLALQQIP >SECCE2Rv1G0090850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:292309796:292312934:1 gene:SECCE2Rv1G0090850 transcript:SECCE2Rv1G0090850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARFGAVPQMEDRGAFGTKRSRNDVSVREGDWNCLQCGNVNFSFRNVCNRGACGAPRPSPSPSPRMMPAPAGGGYDRSPLFYGSTGAPPPHIPLGSATYGAPYPHVGMRYGYGPPVGPHGSYGLISSYGQPGPMGGMGYAHGHELGRYGPELGRYGYGFRGSPMPVSSPWPDGALVENNDSTASRKRRGGPDGLSEGDWECPKCRNVNFAFRNTCNMKKCEEPRPTPGANLSLSRKDKDAPEGSWTCPECSNLNYPFRTVCNRKGCSCCKPDSSSN >SECCE2Rv1G0081670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:143029869:143038132:1 gene:SECCE2Rv1G0081670 transcript:SECCE2Rv1G0081670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMHKYGLSNQPPDIPQILQEAQNRWLRPTEICQILSNYKKFSIAPEPPNRPPSGSLFLFDRKILRYFRKDGHIWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEIKGGKQSFSRSKEAEDSPACSNSFASQSQVASQTMDAESPYSGQISEYEDAETDNCRASSRYHPFVEMQQPVDGVMMDNRLGAPAPSTSVNNLGYQDEKQARTANINNNFVTHHGIASVFNDVGAGLRSGSKTALDSVHFDEPFPEYPTGFTEPTLYSSVTTMGSNNLDDNSRLETLMTEALYTNNLTQKETDALSAAGMTSSQVHNDSYTDGSMGYPLLKQSSLDLFKIEPNGLKKFDSFSKWMSDELAADLDIKSSSDDFWSSTETVNVADGSSMSIPMNEQLDAYVVSPSLSQDQLFSIIDVSPSWAYTASQHKVLITGTFLTNKEHVENCKWSCMFGDVEVPVEVLADGSLRCYTPVHQSGRVPFYVTCSNRVACSEVREFEFHDSETQYLEAADAHITGINEMHLHIRLDKLLSLGPDDYKKYVLSGGNEKSELISTIGSLMLDDKFTNLSAPSDEEFSAAQDKNIEKSVKEKLYYWLVHKIHDDGKGPNVLGKEGQGVIHLVAALGYDWAIRPIIAAGVHVNFRDVRGWTALHWAASCGRERTVGALITNGAAAGALTDPTPHFLSGRTPADLASDNGHKGIAGFLAESALTSHLSALTLKEAKGCNVEEICGSAEADGFAEPSSAQLSRHDSQAESLKDSLSAVRKSTLAASKIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSLKNTKSGQNDMPHSAAVRIQNKFRGWKGRKEFMIIRQKIIKIQAHVRGHQVRRNYKKVIWSVGIVEKVILRWRRKGRGLRGFQPDKQLEGPSQIQPAEGGSAEGEDEYDFLKDGRKQAEGRLQRSLARVKSMTQYPEAREQYSRLQACVTELQESKAIQDKMLSDAAAVDGGDFMVDLEDLCADELLDTPMSTIL >SECCE3Rv1G0154960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62976187:62979356:-1 gene:SECCE3Rv1G0154960 transcript:SECCE3Rv1G0154960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKAVPRPCSGAGRHGAPAARLWSVGVAGGPRRGGTGGRLVAADAGERMTWSEAVPVAGGKQPVNGSAMAGNDKLVTSTVGKSTNILWHDCPIGQFERQKLLNQKGCVVWITGLSGSGKSTLACALSRELHSRGHLTYILDGDNLRHGLNRDLCFEAKDRAENIRRVGEVAKLFADAGLICIASLISPYRSERSACRKLLHNSTFIEVFLNVPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSDCEIVIQCKAGDCATPKSMADQVVSYLEANEFLQD >SECCE4Rv1G0234800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:303081665:303133258:-1 gene:SECCE4Rv1G0234800 transcript:SECCE4Rv1G0234800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEMDDVQAVGEQVISSRGGSVLGKKTILKSDHFPGCQNKRLTPHIDGAPNYRQAGSLRVHGVAMPTMEGIVNVLNHIGAQKKGKQTRVLWHSLREEPVIYINGRPFVLRDAERPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPNGQMVDQWESVMYDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVHRISQVDMETEIVFNCQMGRGRTTTGMVISTLVYLNRIGASGIPRTSSIGKVFYAGNDVDDYSPSSEEAILRGEYAVIRSLVRVLEGGVEGKRQVDKVIDKCDSMQNLREAIATYRSSTLRQPDEMKREASLSFFVEYLERYYFLICFAVYVHSVSSAHQATSSGVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPTLPKIIESADGRPHEMDVVAAMRNGEVLGRQTVLKSDHCPGCHNLNLPERVDGAPNFREVPGFSVYGVANPTVDGIRAVIQRVSTSKGNRPILWHNMREEPVIYIHGKPFVLREVERPYKNMLEYTGIGRDRVERMEARLKEDILREAERYDGAIMVIHETDNGEIFDAWENVNNEAVLTPLEVYKCLDSEGLPIKYARVPITDGKAPKSSDFDTVAFNVAAACKDAALVFNCQMGRGRTTTGTVIACLLRLRINHGRPIGMPAIQNNHEDTTDADYSSGEETIDHNGHLNSESWKPHTLTELHPRFDINDILLLRKITRLFDNGIECRQILDTVIDKCSALQNIRQAVLQYTKVINQQNMEPRVRRVALNRGAEYLERYLKLIAFSAYLGSEAFDGFCGQGETKISFKNWLQQRPEIQTMKWSIRLRPGRFFTVPDEHKATCQPLQGDVTMEAIVKARNGSVLGKGSILKMYFFPGQRRSSSMNFRGTPHVIKVDGYPVYSMATPTVDGAREVLSYLGCKDTTGRDITQKVVITDLREEVVVYIKGTPFVLRELDQPVDTLKHVGISGPMVENIEARLKEDILSEVKQLEGRLLLHQEEFNTATNQCSVLGYWEHIDLEDVMTPAEVYSTLRDQGYCIDYKRIPLTREREALAADVDSIQSSINESSRYYLFISHTGYGGVAYAMAITCLRLGADAKFVMEQTAETHFVSSSLTKSVSVKTFTDIALRQGDYRDILNLTRALIHGPKSKEEVDKVIDRCVGAGDLREDILQYRKALRDCSHDDDDDEARSYLMDMGTKALRRYFFLITFRSYVHCTSLHEVTFASWMEGRPELGHLCDNLKLDR >SECCE6Rv1G0419180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:666801169:666804139:-1 gene:SECCE6Rv1G0419180 transcript:SECCE6Rv1G0419180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAKGRPSDALNVMVIDEDEFHANSAKSMFSELNYYVAVYTSPIEALGILEKKAHDVDFVIAAVDMEELNGFQFLEAAKDMHRSLQVIMMSAETTMYTMKRSIELGARFLVKKPLDPTTIHNMWQHLEVKILRLNRLKYMFQGVADRVQDGEEVGESVAQQKDGTKTITHLKWIPFLESKFLYALQILGANASPSKIKIIMNVETVTRKQISAHLQKHRKRMEREQNMAKLMDSFGNCASSSKSMKTCHTIPYTSSYHSDNDVQRTMMPSLFGDTQGVDVSAAMRRALQLGAVFDEFQYSSGPSSDEPCEVIGHITGEDGIVDEANGSNSSSHDQVAAQTYNSRAAQAITFRNNYHYDQVFNISKVPVEGLVDYPDSEDSD >SECCE4Rv1G0229220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:145255643:145256662:-1 gene:SECCE4Rv1G0229220 transcript:SECCE4Rv1G0229220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGLTSIFSKLPAGAVEPSPPWPWPSCRNPQTASFREEDRRRPCRTAAAVSRSPAAAARLIHGGAGEMYKTVNSVYQLDYISADGSCFDDDEAEGQNVLELDDDDGFSTTTASEEWSEAVIRSLGRTSTDRFFVDPPGPASNSILALSPGKQSAAAPADEAEERPQSEPVASTSLVEESVAVAVDSEDPYGDFRASMEEMVAAHGLRGWEALEELLVWYLRINGKHNHALIIGAFVDLLVGLAAPPASSSSAAPTTTTTATTMTTTSGSGSTASTSTSSSTCGCEGDVTPATATAEEQCGDASRSLASSSHSLQGKDEEDLAGDKAGGDLSITRSAH >SECCE7Rv1G0461910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:41199086:41201594:-1 gene:SECCE7Rv1G0461910 transcript:SECCE7Rv1G0461910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVDETAAAAVAAEGGPAAAAAEADSKDLQQQSKALDKLTDHVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPTDVEIIANELELDKKIAERTLREHKGDAVAAVRFLLR >SECCE1Rv1G0049310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:640018459:640020845:-1 gene:SECCE1Rv1G0049310 transcript:SECCE1Rv1G0049310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPCAPKTLKWDGLTCSYAISGRPRITRINMSSNGLSGDISSYFSDLKDIQSLDLSYNKLTGSIPSVLAQLPSLVFLDLTSNQLNGSIPSGLLKRSQDGTLTLRYNKNPNLCSRNNSCQDTKTKKNYMLAVYIVVPIVAVAVVGALVVLLILIVRKKKGSTKSSKRQYHQHLDNHRFTYKELETITDNFKIVLGQGGFGTVYDGFLQDGTQVAVKLRSQSSSQDVREFLTEAQTITKIHHKNLVSLVGYCKDGIYLALVYEHMSEGNLEDRLRGRNPSDVSLTWRQRLCITLQSAQGLEYLHKSCSPPFVHRDVKTSNILLNKNLEAKVADFGLMKAFNNDDDTHISTARVIGTRGYLAPEYAAALQLNEKSNVYSFGVVLLEVITGQPTILESTEVIHVVQWARLHLSGGNIEEVVDVRMQGDFNVNGMWKAVDLALKCTEHDPAQRPTMTDVIAQLQYSLELESEDQTRGNANNNFHMMKDSSRDRDLPMM >SECCE3Rv1G0204610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:895619104:895620330:-1 gene:SECCE3Rv1G0204610 transcript:SECCE3Rv1G0204610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPMYDAKPRNLGGSLPVPNVQDLAARADELALTPALLRRYVRPQPNTVDLRPDAPAGEEQEHVPVIDLGRLLAGGRGEEAARLRSACEDWGFFQVVNHGIPEEILEEMKRNVVEFFALPLAEKAALAQEPGGVEGYGQAFVVSEEQTLDWADMFYLLTQPPSYRDLHLWPSRPSTFKNCLEKYSVEVQRVAGELLGAMAENLGVRDRSDLTRLAASQSVRMNYYPPCPEAHVDRVLGLSPHSDAVGLTLLLQVSPVPGLQIRRNGGWLPVTPLPGALIVNIGDVIEVLTNGKYKSVEHRAVVNTREERMSMATFHNGKFGTMYGPLEEVVGDDEPRYRSVSVEEYMKLVFSSKLDGKNIMDAMKIN >SECCE4Rv1G0222290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55916856:55925265:-1 gene:SECCE4Rv1G0222290 transcript:SECCE4Rv1G0222290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPSSPRHPPKYDSAAVQNALEQLASIDLIELSKEARIEHCRATRDLSSCGRYVQHVLNSCGHASLCAECSQRCDVCPICRSPIPDNGKRVRLRLYHKCLEVGLISKQHDERFQEKEGHGDPVNMDVQRLHSLFDVALQNNLVSLICHYITDVCLDENAVSSDPLLAFLLDEVVIKDWCKRAVKALISEICMIYRSGLETMKSKLSQMQKFAVQLSGISTVVEAMIASFREAAHVNDLHHLIENTMKAKQHLEAMIWCTRHEFLEKICSRHSSFATWSADVIERKKSAEERQWPEFSGKSSGHNEVNQGILFIEQALQNLGGQQSYRDNEEGAEITCLQNEQSASEFSCTIDQFSVNSYPFKNLREAVDVLFLHGGSDMVIAKQAIFLYYIFDRHWTRPDSEWRYLVDDFAATFGISCRTLLECLVFCLLDDHSSHALEEACSLLPKISSKETHPKIAQVLLERQKPDVALVVLKCTGCDSFSATANIEKDGLLCLSEAVTAIRVRIEYGQVTEAFMFHRSYCSRIKEQRPADMMHHVEVMMVEFCEICIERNIVDKIIDLPWDSEEEKYLHKSLFDSAHEAPTGPCGSLLVVFYLQRYRYLEAYDVHRSLQNFEQNTLETASEEAASKISTIARWREGLMAKCLEMLPEVQREDVRSISSGDQSQFAIRTMQTSSPVNPVVKSASPTIGLSSSFTPALQNKSNPLHSRNIHGLTDSGALIGSVRSEFGRKVPSILQCRPVPPATPASNMRSTAGGIFPSLGQNGENPYLKGTRELGFMKGDPGFKEGTKPAGHDSIPMYFNLGAGDTPKKTHGTSLVRTERNKNTFFQGKDSVRKGEFDFGVRAEKPFILSGTGAGQNGQTKVSDSAGFRRENHMEKTKVLMTPNVLSLGKKPPVGEGAAGKGGSRWRSDESSEDEDETRTGGYMESGASLITRRRPRFSRR >SECCE5Rv1G0374420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862508409:862509470:-1 gene:SECCE5Rv1G0374420 transcript:SECCE5Rv1G0374420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSNSTSAPAVTVPAAEALLPEGLRAESLPRHVALVMDGNSRWAAARGLPPTDGHEHGMRALMRTVRLSRAWGIRVLTAFGFSLENWNRPKPEVDFLMALIQTFINDNLAEFLREGTRLRIIGDRSRLPTCVQKTIRDAEEATRNNSQLDLVLAISYSGRMDIVQACRKLAQKVDAKLLRPEDIDESLFADELQTSCAAEESSSCPDLLIRTSGELRLSNFLLWQSAYSELFFTDTLWPDFGEAEYLQAFRAFQSRDRRFGRRKNNAAL >SECCE4Rv1G0281970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:827253145:827253681:-1 gene:SECCE4Rv1G0281970 transcript:SECCE4Rv1G0281970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAAPYFRSKCVFVGGTMYVIGELVNINTENEYVLVEMDVEGKEWKTIRVPYCSGFCTIGLSQGCLHYAVANNENLVPEITLWCLKDCDSKEFVLKHTASMDKLLSMTRMKYRVVEIHPDCDTVFLVSYGGATLAAYDMRHQKVGCILNLESRNSTKRFLPYVPLFSEPLADADGQ >SECCE6Rv1G0399810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:443931451:443934898:1 gene:SECCE6Rv1G0399810 transcript:SECCE6Rv1G0399810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05940) UniProtKB/Swiss-Prot;Acc:Q9C5D6] MTQGPTSLLPVWARTTARRTSTRRQSLHATTADAMEGEGAHHRPSSSSSSGRPFLSGLCAAALRRKPISARASAAASGEGLVRQLGVLELVLLGIGASIGAGIFVITGTVAHDAGPGVTISFALAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNELTAFLVFTQLMLDYHIGAASIARSLASYFIQFLELIPSVKGNVPSWIGHGEEFFGGVVSVNILAPILLVILTAILCRGVKESSAVNTFMTTLKIIIVIVVVFAGVFEVDVSNWSPFMPNGFKAVVTGSTVVFFAYVGFDAVANSAEEAKRPQRDLPIGILGSLLVCVILYVAVCLVITGMLPYTLLGEDAPLAEAFSAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFSKVHPTLHTPLHSQIWVGCVAAVLAGLFNVHALSHILSVGTLTGYSVVSACVITLRWSDKATSSRSLGNISIWQEGVFCLVIVALCGFIAGISYRFSYSIAFIIIAFLIATVGSFSLLFRQVYVPVDPPRFSCPGVPMVPIISVFFNMFLFAQLHEEAWYRFVILSLIAVGVYAGYGQYNAVPSTSDHSSVAYHGVPSEAP >SECCE7Rv1G0458630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22252792:22254063:-1 gene:SECCE7Rv1G0458630 transcript:SECCE7Rv1G0458630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCWLLPLFLAFFLPATYATMCHPNDLRALRGFVGNLGGGGALLSAAWSGASCCGWEGAGCDGRSGRVTVLRLPGYGLAGPIPGASLAGLARLEELFLGSNFFTGSLPEGLFSLVGLRKLSLESNELTGQLSSGLHKLKNLTLLDLSVNRFFGRLPDVFRDLTSLNHLAVHSNGLSGLLPPSLASLSSLRELNLRNNSLSGPLARVNFSGMPLLASVDFSANNLSGSLPISLADCSALKSLSLANNKLVGTIPSWIGELDNLCYLDLSNNSLVGEVPKGLTCLKGLATIDGSAGMAFNNMPLYVKHNRRSLQQQPNVLSGNNNTVRSGGGNVLSGDNNTVVSGNNNTLSGTNNTVVSGSNNVLTGSNHVVSGSNNVVSDTNHVVNGSNNTVSGRNNMVTGNNNTVSGSNHVVSGNNKVVTGG >SECCE3Rv1G0207990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:925498277:925502125:1 gene:SECCE3Rv1G0207990 transcript:SECCE3Rv1G0207990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAAMNDPERLFFFDLACKTAKSTYDENPLDADNLTRWGGALLELSQMHNGPESLKCLEDAESKLDEALRIDPNKADALWCLGNALTSRGFFTSESVQANECFEKATGCFQRAVDVEPANELYRKSLDLSSKAPELHMEIHRQIASQASQGAPSSSNARQTRKKKKSNDFWYDLAGWGILLAGIGVWLGVANSQAKDAQPPHMSQPNM >SECCE2Rv1G0079420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:118697272:118703159:1 gene:SECCE2Rv1G0079420 transcript:SECCE2Rv1G0079420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGGRRDRKREQEEDDDFVCLDPSFFMNRNYEMKTFTYGSQELQLLCLSSACTDYDLTGQLVWPGAVLMNTYLSEHPETVKGRSLIELGSGIGITGILCSRFCKEVVLTDHNDEVLEIIKKNIEMQSSSGNADGVLTAEKLEWGNHDHLSDIIENHPVGFDLILGADIYILLTFLCSCESFQQASIPCLFDTVEKLLRMQSNKCRFILAYVSRTKAMDALVVKEAEKRGMLVEEVAGTRTSITDLEGVIFDITLK >SECCE1Rv1G0025540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:355644585:355645016:-1 gene:SECCE1Rv1G0025540 transcript:SECCE1Rv1G0025540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILQSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVDEIRVDKETMDMLAFLGMADLPGVERAPEVTSSAAPYRQPFNGPRGGNRA >SECCE4Rv1G0216150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:9094265:9096454:1 gene:SECCE4Rv1G0216150 transcript:SECCE4Rv1G0216150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRATTPLLFLFLLGTSLLFAAAVSASHDEEEDRRGGHSLQQCVQRCQEDRPRYSHARCVQECRDDQQHHGRHEQEEHGRGRGQHGEGEREEEHGRGRGRHGQGEREEEEGRGRGRHGEGEREEHGRHEQGRGQRGEGERDEEQGDSRRPYVFGPRSFRSIIRSDHGFVKALRPFDEVSRLLRGIRNYRVAIMEVNPRSFVVPGLTDADGVGYVAQGEGVLTVIEKGEKRSYTVREGDVIVAPAGSIMHLANTDGRRKLVIAKILHTISVPGKFQYFSAKPLLASLSKRVLRAALQTSDERLERLLGNRQGKEGEESISIVRASEEQLRELRRQASEGGQGHHWPLPPFRGDSRDTFNLLEQRPKIANRHGRLYEADARSFHALANHDVRVAVANITPGSMTAPYLNTQSFKFAVVLDGEGEVEIVCPHLGRDSERREQEHGKGRRSEEEEDDQRQQRRRGSGSESESEEQQDQQSYETIRARVSRGSAFVVPPGHPVVEIASSRGSSNLQVVCFEINAERNERVWLAGRNNVIGKLGSPAQELTFGRPAREVQEVFRAKDQQDEGFVAGPEQQSREQEQERRGDRGRGNDAVGAFLRMATGAL >SECCE7Rv1G0523180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:880993434:880994426:-1 gene:SECCE7Rv1G0523180 transcript:SECCE7Rv1G0523180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNKEVDALVKKITGLHAAIAKLPSLSPSPDVDALFTELVTACVPPSPVDVTKLGPEALEMREGLIRLCSEAEGKLEAHYSDVLAAFDNPLDHPCMFPYYNNYINLSKLEYELLARYVSGGIAPARVAFIGSGPLPFSSFVLAARHLPDTMFDNYDLCGAANDCASKLFRADKDLGACMSFHTTNVADLPGELATYDVVFLAALVGMAAEEKAKVIAHLGAHMADGAALVVRSAHGACRFLYPIVDPQDIAGGGFGVLAVCHPDDDVVNSVIIAQKSKEVHANGLRSGRRGQYAHGTVLVVSPPCRFGEMVADVTQKREEFANAEVAF >SECCE3Rv1G0201710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:866827178:866827720:-1 gene:SECCE3Rv1G0201710 transcript:SECCE3Rv1G0201710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGQRSRPWPPGDAAMTPSEPAAAADARGEATTLRDFGTSMDAISFGFAATAILISIFLLMAIFEHLIRPQAFQAPADSPRGRRRRRGHRSPGKPRSPPMAQTVLQAADLSVLMPGQRYPTYLAQPAPLPPPCPREGMHWPPHDHRRSHMPP >SECCE3Rv1G0197510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:819688631:819690007:1 gene:SECCE3Rv1G0197510 transcript:SECCE3Rv1G0197510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g42450, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G42450) UniProtKB/Swiss-Prot;Acc:Q9FIH2] MPYRDVVAATAAIGALARGGRHRDAVALFSRVLADGVPQPNEFTFGTVLRSATALRDPRVGAQLHACAAKSGLCSDVFVGSALVDHYAKMGAMREAQGALGDTSQPNVVSYTALIAGFLKNGMPERALRLFRCMPEKNVVSWNAMIGGCSQAGLSEEAVGLFREMCREGVRPNESSFPCVLTSVANAGALGVARSVHASAIKHLGKLDVYIGNSLVSCYARCGSLEDSVLAFTSMEQKNVVSWNALICGYAQNGRGEEALAAHKRMIATGLKADNVTLLGLLFGCNHAGLVDEGYSLFKTAQTEQPGILKPEHYACVVDLFSRAKRFDDAKRFLEDLPFEPGLGFWKSMIGGCLIHWNKDLAESVANRIHALDPEDTSSYILLSNVYLAVGSWKSASMMRRQIKEKGLKRITGCSWIEVQEKAHVFVNGDSRHPQSDEIYKMLEVCLDTGEDEHFLAV >SECCE4Rv1G0291130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875929318:875929869:1 gene:SECCE4Rv1G0291130 transcript:SECCE4Rv1G0291130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFICPRCRAGVDRRVSHTTRNHNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTTVAATRAPEEEMEGKEHTDRELVVELRMLQKKVRKLEDQAQIAIPICNYFWAVVGMVLALVIMLKMYGKA >SECCE1Rv1G0003160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:12560101:12561441:-1 gene:SECCE1Rv1G0003160 transcript:SECCE1Rv1G0003160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRNGKDGGEDITVDLYPFIREYKGGRVERFLRSPFVAATEDPAANRGVATRDVIIDNRTGVSARLFLPSDAAAAGERLPVIMYVHGGSFCTESAFGRTYNNYVRSLATRTGALVVSVEYRLAPEHPVRAAYDDAWAALHWVASLSDPWLSSYADPERTFLAGDSAGGNIIYNTAVRAARAGGGANIVDIEGLVIVHPYFWGVDRLSSSETVWDGIAMFTPDFIDKLWPYITAGHLDNDDPWINPLDKEIASLTCRRVLVAVAEKDSLSGRGRRLASCMRDLMWIDDENAVTLVESEAEDHGFHLYSPLRATSKKLMESITQFINQRPTLPLPAAFPPERHELHLHACQGKDQTSSSAVQPILGVPTRPYVDVFGYGVAMKGSNGPKNTTRTSCLQIGGHERRSSPKTRRYGLSLGHSITSNIRLPLSATAASGGGCVRFHKFII >SECCEUnv1G0539040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74959382:74960743:1 gene:SECCEUnv1G0539040 transcript:SECCEUnv1G0539040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEQAFLEELFSLRRDATAAWECNAMGDFFSPACGGAMDCFQERHQPTVSVLPTFTASFDHPHPQHHQHQQQQQAAVVSGGFDCLLSEVYGGGAPFPNAAGGGYGEMGFLAAMDPKALVEGGGLGACKVEPGLAAADGGAFGPGPMSSAAAPAPGSRKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIRRLQEEMEDPAAKAAPLLSVFRELNPNEMLARNTPKFEVERKEEGDTRVEIYCAAKPGLLLSTVSTLDTLGLDIQQCVVSCFNDFAMHASCSEMQREMMSADAIKQELFKNAGYGGGCL >SECCE1Rv1G0002300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8491942:8492847:1 gene:SECCE1Rv1G0002300 transcript:SECCE1Rv1G0002300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLILTILAMATTIATANMQVGPSGQVEWPQQEPLPQPQQPVYQQPQQIFPQPQQTFPLEPQQTFPQPQQTFPHQPPQQFPQAQQPQQPFPQPQQTFPQQPQLPFPQQPQQPLPQPQQPQQPFPQPQQPQQPFPQPQQQFPQPQQPQQSIPQQQQPLIQSSLQQQMNPCKNFLLQQCNPVSLVSSLVSLILPRSDCQVMQQQCCQQLAQIPHHLQCAAIHSVAHSIIMQQQQQQGIQILRPLFQIVQGQSIIQQQPAQLEVIRSLVLKTLPTMCNVYVRPDCSNIRTPFASTIAGIGGQ >SECCE7Rv1G0499050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:649922399:649923703:-1 gene:SECCE7Rv1G0499050 transcript:SECCE7Rv1G0499050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKVQTPQARAKAHAKMADGLKKQAVELATLCAVPVGLVCTGAVVGAPPLRWESEEGVLERYRRAVPPEARAGHTHRAYLETELAKRRAKLARERHGCPAALPDWDGALNDMTLDEARDLLEAIDAALRATGDRMEALGLPADRGHGHGALDEQVASDSSDDAVMPQPLGHDGGVPWTGGDPVDMADAEGFQQLQMAPYDGGNSDGLLEQFPREHGFQMQPGCGSGNYSGSSDDGMLALGLRLANADYNNSGGGDGMLALGLANAGYNYSGGGDGMLAPGRANAGYNYSGAGDRMLELGFTNAGYDYSGGGDQTRAPGFGNAGYNWPDLTMWHTDEVCDAAMRHVYYPGFANGTLAPEHHSADVATGGDYVNALPSGYGYPMAMGMGVGDNFTSLESNYTAAHWQAEAFQHSDTSTSTGELLSAASSPRYLY >SECCEUnv1G0536050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:61900642:61903789:-1 gene:SECCEUnv1G0536050 transcript:SECCEUnv1G0536050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDQQQQQTPPLQQTPPPPQPQTPRVSSPPPVTPGVMMPQHAYGAMPPGSASVMHGMPLAFNPMASPGASSAAMKPADMPPLAMYRPDSTPPGMPQPVGGGGGALVVSGSVSGGELVKKKRGRPRKYGPDGTMGSAAKAEAGGQSAGAGSNSNPDGKRRGRPPGSGKKKQLDALGSAGTSFTPHIITVKPNEDVASKIMSFSQQGPRTTCIISANGALCTATLRQPATSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGNKKPKEEQPKREPTSVPMLTSAPTMQTAAGFGAASAAATPSDGTSSDHSDDPGSPMGPNGSAFNNAGHPTHASYAPVGWSLSGNQGRYDPDMKMVTD >SECCE7Rv1G0518430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859102763:859103868:-1 gene:SECCE7Rv1G0518430 transcript:SECCE7Rv1G0518430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHKALLLAILGCICLCGTVIAARELNDDLSMVAKHQNWMARYGRVYKDATEKARRFEVFKANVQFIEMFNAQNHKFWLDVNQFADITNDEFKTTNTNKGFKANSIRALSSGFRYENLSLDALPATMDCRAKGAVTPVKDQGQCGCCWAFSAVAATEGIVKLKTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTMESSYPYTAADGKCKAGSNSAATITGFEDVPANNEGALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGKTSDGTSYWLMKNSWGTTWGEDGYLRMEKDIADKKGMCGLAMEPSYPTK >SECCE4Rv1G0264210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:719607168:719609275:1 gene:SECCE4Rv1G0264210 transcript:SECCE4Rv1G0264210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGIGRVVATTLFSCCCLLVALPDSTAAARSGGSSGPLYNAIFNFGDSASDTGNLCVDGRPGPAGVLGIFTRLPYGVTYFRKPTCRCSDGRVDIDFLAQAFGLPFPLPSKARRKDFQRGANMAIIGGTVLDYSNASLKFTAYDGSMNTQIDNFQQLLPSICGTQQSCKMYLAKSLFVFQLGENDYSIQLVNGSTVDEASKNIPRIVNTIISGVEKLMTLGAVDIVVSNIAPMGCFPFYLSMFESSNKSDYDKYGCLRSHNALFKRHNSFLQSSLPKLQKKHPRTRIMYADLASHIYQIVQDPRKFGFETALMSCCGKASAPHRFDPFTLCGMDGSNICHDPGSHLLWDGMHLSDTANRRIAEGWLSGPYCHPPILQ >SECCE6Rv1G0423470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:696227452:696228945:1 gene:SECCE6Rv1G0423470 transcript:SECCE6Rv1G0423470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYVCNPATSRYGRLPCPPTRWPCRVEGMFLAFDPAVSRHHEVYFFPTEKLDRNDEEDKLPRAKRRPCPCWIDWEDTWLPNLFGEDEPFSESKEEPAGSASQPNRKVPKENVFHVLVFSSQTGQWESRKLTPGRCASGNLYDVVTTPRCKDQRTWWSAEYWHGSLYVHCHSGVLMILRCLGGTYQMVQLPGYPCDRERLYQYTLPTRYLGSCNRGIRYAVVKDLLLEVWDLMELADDQLGWTLAHRADLKAHDRMMKYLNSQPRMEPRMTWEVVESNKDLITLFDHGNNEKINDDCDDGTEDYEDGGEEEDGDNYDEARDEEVEREDNDDDTQVQKQEEQDSYVDDDDDNDDDHDDEAQDGSDYSWNSDEHNFIDYDNITIPDEDLWGWGCSIVGFHPYKNALLLKFSETVGTYHLHTSRMQYLGGYIYPERHLQQARDIDHAFPYRPCYVDALPPRKMFPSS >SECCE7Rv1G0467820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:91733831:91742000:-1 gene:SECCE7Rv1G0467820 transcript:SECCE7Rv1G0467820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Amine oxidase like protein (EC 1.4.3.6) (Copper amine oxidase) [Source: Projected from Oryza sativa (Os07g0572100)] MVLSFIEASARASPAARMPLHAMLPLLLAAVSILTGAASAHDPHPLDPLSPAELTAVRDAVLASPLVPARPLTFHYVGLDEPDKPDVLSYAYGNSTSSSRAALPRRAFVIARAGGQSHELRVDVTDAAAPSVLAHAVHRGAGFPTLTLDEQFAAVALPPAHPPFVESVRRRGVDMADVLCAVFPVGWFGDPPAEERRVVKLLCFVAGATANFYARPLEGVTLVVDLDRMAIVGYRDRVLYPVPKAEGTDYRAGKSGPPYAGRAPAPGTVVQPEGRGFDIDGHFVRWANWEFHVGFDVRAGTVISLASIHDAEAGARRRVLYRGFVSEVFVPYMDPAEEWYYRTFLDAGEYGLGLWAFPLQPGADCPANAAYLDGYYAGQDGKPVENKNMICVFERYAGDVAWRHTEAGFPDRLITEVRPDVSLVVRMVVSCGNYDYILDWEFKTSGSIKFTVSLTGLLEVKGTAYTHADQITEDAHGTLVAENTLAVYHDHYVTYHLDLDVDGTNNTFVKNTIVTKRNKAGTPRRSYWTVRREVAETEADAQVDVNAAPADLLVVNPNKRTRMGNEVGYRVIPGGATAASVLDDDDYPQRRASYCKKQVWVTPYSKAEKWAPGLYADQSTGNDGLAAWSERDRGIRNEDIVLWYTVGIHHIPYQDDFPVMPSVNGGFELRPANFFERNPLLTTRPPGLDLS >SECCE7Rv1G0506350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:747950790:747952822:1 gene:SECCE7Rv1G0506350 transcript:SECCE7Rv1G0506350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACCCFVSQVLIVITLIYLVMTKSKVLSGTCSLPLPPGPWSWPLVGSMPEMVLNKPAFRWIHRVMKDMGTDIACFRLGGVHVVPITCPKIAREVLMKQDKNFMSRPLSFASDAISCGYKDAVLTPFGDQWIKMRKVLTSEIICPSRHKWLHNKRAEEADNLTRYIYNLSVAGSSSTSGLANVDVRHVARHYCGNVIRRLVFGERYFGEPQPDGGPGPMEVEHMDASFTLLGLLFSFCVSDYLPCLLGLDLDGHEKIIKEANTKVDRLHNMVIEERWRQWNNGERHDGVQDLLDVLITLVDGDGKPFLSIDEVKAQCKDIILAAIDNPSNAVEWALAEMVNNPELLAKAVDEMDRVVGRERLVQESDIIHLNYLKACIREAFRLHPVAPFNVPHVAIVDTIVAGYSVPKGSHVILSRLALGQNPTVWDEPLHFKPERHMGDNINVVLTENELRFISFSTGRRGCIAASLGTTMSVMLFGRLLHGFTWTKPAGVSAINLRESKHDLFIEKPLVLHAEPRLAVHLYPLMQR >SECCE5Rv1G0325160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:461097268:461100173:1 gene:SECCE5Rv1G0325160 transcript:SECCE5Rv1G0325160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCC2 [Source:Projected from Arabidopsis thaliana (AT4G39740) UniProtKB/TrEMBL;Acc:A0A178V000] MLPARFLKLSLLRRLGSGRAAGVPPPWQTRPFPVRGYQSRGYSAGGSSKYDKPMRQFAEENESNSQPLIYYIVPSAILAFAGLATFVHYNDEKRAVPLGKQAQQTSIPKRCTTNRPAIGGPFKLYDTENNVVTESKLRGNWTLMYFGYTSSPDVGPKEVEKIADVVKLLESKYDIKIKPLFVTLDPQRDSPAQLKAYLSEFDPRITGLTGSVDAIRQMAQEYRIFFKKVGEVGQDYIVESSHNMYLLDPCLETVRCFGAEYKASDLAEAITTEIQKASNSAAK >SECCE4Rv1G0255500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:646914775:646916092:-1 gene:SECCE4Rv1G0255500 transcript:SECCE4Rv1G0255500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLSSVPTHSTLPDCFVFPPNQRPPASSQAVSLPVIDLSRGRDEVRRAVLDAGKELGFFQVVNHGVSPEAMRDMEAVCEEFFRLPAEDKAAFYSEDTGKPNRLFSSTTYELGGEKYWRDCLRLACSSPVGDTKNNWPDKPQKLREVVEKFVEPTRGVGMELLRLLCEGMGLRPDYFEGGLTGGDVIINVNHYPPCPAPGLTLGLPPHCDRNLITLLLQSTVPGLQVSYKGEWINVEPVPNAFVVNFGHLLEIATNGVLKSIEHRAMTNAALARTSVATFIMPAADIPIGPAEELVGEGNPPRYRTVTFDEFMRVYKTVGARRESVEKAFKL >SECCE2Rv1G0137810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:919933142:919936856:1 gene:SECCE2Rv1G0137810 transcript:SECCE2Rv1G0137810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLPLAACIIAFHLCLLLLPSSSLRRLSEAESAVVRHGEGIRPAYHFMPAKNWQNDPNGPMYHNAVYHLFYQYNPLGATWGTGNLSWGHSVSRDLVNWVTLDTALDPTAPFDSNGCWSGSATILPGGIPALIYTGRIGTGKGMQVQNVAFPKNPADPLLRDWVNPIYNPVIPLPADVPGEYFRDPTTAWVGRDGLWRTVIAAKVGGPNGIASTLIYQSKDFRHWKRNTLPLYTSRAANMVECPDLFPVAEPGVEVGQLGYPSGTTSSAVRYVLKLSVMNTTQDYYAVGRYDDVADTFVPEVDGERNIDDCRTWRRFDYGHVYASKSFFDSRKNRRLMWAWVNESDHTYDDITRGWSGVQIVPRKVWLDGDGKQLRQWPIEEIEMLRSKRVASLLGAQVNPGSLSKIVGAGAQADVEATFEIPSLEQAETFEPNWLLDAQKLCEEKGASVSGVVGPFGLLVMASDDLREYTAVFFRVFRHNHKYKVLMCTDLTRSTGRDKVYKTPYGGFVDIDIEHERSISLR >SECCE3Rv1G0157380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:81412293:81414513:-1 gene:SECCE3Rv1G0157380 transcript:SECCE3Rv1G0157380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MAMAMRAAAAFFSPSVSVSPSPSPSPSPKQHAVFSTRRGSTRRIRPRRLRAFPATELTLEELNPSVALLRKTAEAVGDFRKTPIYIVGTDCNAKRNIAKLLANSIIYRYLCSEDLLEDVLGGKDALAAFRESDEKGYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLGLLRHGVSIWIDVPLELAVNDMLKSKGAQAISDPDSFSQAMAKLRQRYDDLKERYAVSDVTVSVQNVASQLGYSSIDSLSLEDMVVEIVSRIEKLIQAKAMMEAAGKPE >SECCE3Rv1G0164790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:166460624:166461712:-1 gene:SECCE3Rv1G0164790 transcript:SECCE3Rv1G0164790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARAFLYLVVERSRHPLQSLYTVHRIPPWSLFFSDKDGRRRAQAEEAAAEVSEGRLPPPIVTLYPSAGDLPVNFVLFGRGKDKIAATDHLGRALLYDDALRAHYPVSVAVGDDAYFFSSPPDEAHERPVVEALADDTYWSRRSEMHWRYVPRPPYATQQPEEDRKTGAYDGAVDAYTVVGETRLWVSARGHGTYSLDTKRISYGIERNEVRHGEWSKVGDWAMPFRGRAFFAPERRLWFGFSERDQSVLCAVDLRQAAAAGQPPVVSHEWEGFAVPCQAAVRSFLLHLGTGDGRFCVAKFSHDASGRGVAMLTGVEVARCVDGVALCLIKHKTYSYGGLGDDDKPVCLL >SECCE1Rv1G0048510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636035729:636041819:-1 gene:SECCE1Rv1G0048510 transcript:SECCE1Rv1G0048510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVANAAAPAPAPGRLRNAFGGVLCAFTLILIGVLAFSIRLFSVIKYESVIHEFDPYFNFRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGSIWWLVNALNIPLSVETVCVFTAPIFSAFASWATYLLTKEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALVFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVLTSEHFASFLVFIILHVVAFVYYIKGLLTPRLFKMAMTLVITVGLAVCFAVVAILVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIISCFLPLSDASSFMVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSSAFDVLTRSMKFQLSKLFDDGPAISGNGSPNGSSASTVNTSSSKSEKAEKSEAAPKEKPSKKNRKKDREVTESVSVKPKKEKRLSVLPLEASVVSTLLLIALGGFYVVHCVWAAAEAYSAPSIVLTSRSREGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFDSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGEFPHIKEPDYLRDGQYRVDAQATPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRIRGKLKKLKSSSKTSSTLAGGRKKNPWQ >SECCE1Rv1G0012540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:93659182:93660480:-1 gene:SECCE1Rv1G0012540 transcript:SECCE1Rv1G0012540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSFSSARQFMAIRPVRWRMILAILVAAAAALWWSPWPPRMYPVVLVPGYASNELDARLTELYRPECGAHKGRNTGWFRLFLNYTALHDDPDGVRCFAEQMSTAYDAASDDYYNVPGVETRVPFFGSTRGFRHPDPDRRDFSYMDKLLGRLEGVGYRDGETMFGAPYDFRYAVAPAGHPSRVGTEFFRSLKSLVERASQLNGERPVIIVTHSYGGTLAQQFLIRQPLAWRRRFVRHFIPVAAPWGGLVLGMQALISGNNLALPFVDPGALREEYRSLQSSLWPLPSAKVFGAAQPLVSTKHRNYSAGDVVDFLDDIGFGEGVGPYESRVLPLFKELPASPMVPVTCVVGVGVATPEMMVYPGDDFEATPGVVVGDGDGLVNLASLVAVEPEWRRRGPYFRMVKVANVNHTAILVDDRALGIVIREIRRAN >SECCE1Rv1G0011940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:84293422:84301306:1 gene:SECCE1Rv1G0011940 transcript:SECCE1Rv1G0011940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPLTAGAVTKIWELENGPATFHPVLQVADLRPVIAKNTTAAAAAAAQHSERFRMLLSDGVHSQQSMLGTGLNDLIKDGTLRVGSIVHLTDMTCNTIQKRRIVIVVKLEVLQSECPKIGTPKIYEKSSPEGQEPNLPANAAQTNSGNYYGGPGMLGSAVAPRVEQIGNNLSYGRPNNGGPGVDSSICQSVQPGANNVLSGGTYGAMSAQNTMNANVVQPDSHQKQSFVVPGTGGGFGPPGSIYGRPAQPSYQQPPPPHRNSGPVAKNEAASRVVPISALNPYQRTWTIKARVTAKVHVKNFVNARGSGKVFSFDLLDAHGGEIRATCFGAAVDQFYDVVEVDKVYLVSRGSLKPANKRFSPLNNDYEINLEPSSSIEVCSGDDSSIPKQQFNFRQISEIANTDIDTTVDLLGVVTSVRPSFTVMLKNGGETQKRVLQLKDMSGCSVEMTFWGNFCDAEGHQLQSLCDSGLNPILALKSGRVGEFNGKTVSTTSSSLLKINPDFPEADRLRQWYITEGKIAACTSLSGEMSSMGRTDIRKTTAQIKDERMGQSDKPDWITVKGAISQIYTDNFCYPACTREVNGRRCNKKVTNNGDGMWLCEKCEQSSETCEYRYLLSCHIQDHTGFTYATAFQEGGQEIIGLPAQDLFRIKHEEQNDVRFAEIIQQVRFQQYLFKLKVKEEVYNDEPRLKCNVVKAEIYDPAKESRFLLGAIDSLLADDALGSSPGLNGGPAANAGFTNSEAGQSLPASNNSYAASMGGPNQFGQQLGASRGMPTAPSATPAAGGGFAANSYGPSAANASSGLCFKCNQPGHFSRDCPGQAGSYSSSAGGNANAGLCYKCNQPGHFARDCPAQGVGHQRQTYANGAAPGGYNRQSYVGS >SECCE5Rv1G0302730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:41749232:41752327:1 gene:SECCE5Rv1G0302730 transcript:SECCE5Rv1G0302730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPALAAEQPLEHELQQELSGIMLQGYITGLRREFETKLCENQNHISTLSKSCKENLSEIAALRDELNCILTAVAASESSLLPHHNSLEKAEETNSLKMKDDNDIPDFSLLKHMHGEELMTFLKSEWLKSRRQHEYELQQTTEELFRLKRHLAKDGAVLPFRKERELEFIKSKLLQTISKMDGIISRKEGSGFDHSEGDELCRLKDRIGSLLDENEHLRGLLSDKTKEAKQFSAQVVDAQSNFSYHSLSESKLSDELQDLKIESHLKEILELSILREVFGSYENQIDDHNQEECFLRELLMEKEERLLTMSGDKHKLKYENEQLISIVGSKLVQHHEEFDLVNDELTMFREKVCEQELLILEYKDESSSMKSCLDEAIQQIHVCKQEIVGLTESLASMYVALEESKEQNASLGATIREMKRTAVPHTDGHIGDDAGPLEQFTLVSMEKLSKAYSDFESRLAQSMKQNDIRLTRIIRQFNPLVQQVAVLKKKEFWYKQILEIKCSNLEKAEAEVDVLGDEVETLLCVLGKIYIALDHYSHVLKHYPGVTEILNVAHKVLKGESIEQYNTLLRH >SECCEUnv1G0528060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3138562:3139521:1 gene:SECCEUnv1G0528060 transcript:SECCEUnv1G0528060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLADERGLEELEVPTVDLQGEEPSLTEQLVAACRDPGVFRLVNHGVPDDLTARLFGLARGLFELDAANKSRLPGYFCGTPALWALPVKERNWLEGLDVGDGDRSHSFPDAGAADGEAALAAFREAVSGEYVAHMARIARKLFDALASGELGLDSEQRASYLAERGSIFRAYRYPAAPDAGRRQVGMEAHTDSSVLSILKQDMVGGLQVFRGGRWCFVRPVEGALVVNVGDMLQAMSGDAFRSPEHRVVSPAERETDRVSLCYFAFPREDAVIVGPPSACRYRAFSYGEFREQVQADVKATGSKLGLARFRLAATQSS >SECCE6Rv1G0403610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:507394970:507396016:1 gene:SECCE6Rv1G0403610 transcript:SECCE6Rv1G0403610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGFRRTISFPAPKSAPAAGSNGKSAAGYRVRSASLPCRFHPLVLQLDEDVATLRDLAGGLASARSIAEAAEQLGRVLVSLSELLHHPQAQEPLRRLGRSPFAERLLDDFLRLADAHGSFRDVLVALTALQAEARAALRREDPARLASAARGLRRSGRDLPRIASSARAVAGKAPPPAPAGLPSDAAALAAAIVDAAAAVASASAAVFSGMSSLSIAAATARVDVVSTPCWMPSPARFAATPRTGHHIVTTKPSSMRIWWVADLMRWMSRAKRRSANKQQADDGSSARQPQPGAAVVDPEEEDRKAAFERIDNLGRCIADVESSGENVFRALVNTRVSLLNILSPSF >SECCE7Rv1G0471620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:132506983:132507762:1 gene:SECCE7Rv1G0471620 transcript:SECCE7Rv1G0471620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASNAIAGSIKKKVQPSKSSLSNPDCSDDDGSSCASREEGLECPICCESFNIVENVPYVLWCGHTMCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYKSNLKFPRKNYFLLWMVETMNGERAKFHFSGHEERQSVCPSSGGASSIQHHRRAPTARAETSSARDRNAAANTSNTASVSLQKLMVCFVQLTAKFPLVIMFLLIVLYAVPASAAVLLLYVLVTFLFALPSFLILYFAYPTLDWLVREIFT >SECCE2Rv1G0087040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:220048360:220052270:-1 gene:SECCE2Rv1G0087040 transcript:SECCE2Rv1G0087040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-recycling factor, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63190) UniProtKB/Swiss-Prot;Acc:Q9M1X0] MALHAVSPAAAASPPCALSSARLPQRAGCGCLSNRSSLLSSSTNFMKFQSGAVGFFGVPLVLQHSDKRAVLRHATNEEIEEEKTIVEEQAKEKMEKAIETVQSNFNTVRTGRANPSMLDRIEVEYYGTPVNLKTLAQINTPDATSLLIQPYDKSCLKLIEKAIVAANIGVTPSNDGEVIRVTVPPLTSDRRKEMTKTVAKLSEEGKVAIRNIRRDAIKAYDKLEKEKKLSEDNVKDLSADLQKITDVYMKKVEAIQKQKEQELSKV >SECCE5Rv1G0304200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:54987321:54991873:1 gene:SECCE5Rv1G0304200 transcript:SECCE5Rv1G0304200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASAKQVTRRNFPEALRDLAAHLKECDYVAIAALKTGAPTGWRRALPVDTAETAYLKAKFASESFQPLHIAVCPFRLGSASGSDVVAYPYNFHLFPRDELQLGMPSYSFSCQSSYFSSMARDGFDFNMCIYDGISYLSRVQESFARQKSFTPHLQQPSPSASTSVADSVFTTRIKSRIQRWRKGCAEPSKTADGYLVSSLRKLIMGDESYGSRPSMTIDVCSDRQVQLVLETVNGASDDLVPLVVPDKAGVPRAVHVIFTSSAEDKNLLLMDIQKLEDDQNLKFRGFREVIDLVSSSKKPIISYNCLSDLTMIHTQFIAPLPPNLHEFMCSSRLVFSSVVDIGHLWREISPLRKAKNIQAALSYLQRQYFVPMEIEIPLQDGTRGVTKSGENVLRITKLFAKLSKLLKITPKCQSQSGEQHRTVEGHHNILYPSCMVEESDGVDCTNEPDTTRTVSTQSVVFLWGFRETSAEELRSRLARLHHVFSKDFDLRLLDKTCSALIFRSSDTASELLRDISLESPSLNNFFSEGLKAAGYDVYRKACRLGLRDSDLAEALEGVSLEPDTSTISEHGSSEIYWNSSLKLDLKEYLEC >SECCE1Rv1G0004130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16623641:16624612:1 gene:SECCE1Rv1G0004130 transcript:SECCE1Rv1G0004130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKGMRPPDIKFFHSIMQNLCTEGSVIEARDILDMMVHIGMRPDVPIFNLLIGGYCLVCKMEDATKMFDDMVSYGLEPCSITYGILINGYCKNKRIDDGLILFKEMLHNGPKPTTLNYNVILDGLFLAGRTVAAKVKFHEMVESGVSVCIDTYSIVLGGLCRNNCYSEAITLFQKLSAMNVKIDITVVNIIIGAFYRVQRKQEAKDLFADIPANGLVPNISTYSLMMANLIKEGSAEEADTLFLMMEKSGCSANSWMLNIVIRRLLEKGEIVKAGNYMSKVDAKSYSLEAKTVSLLISLFSGKGKYREQIRLLPTKYQFREE >SECCE5Rv1G0336580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:585072241:585072807:-1 gene:SECCE5Rv1G0336580 transcript:SECCE5Rv1G0336580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTSASRPSWTRYGPLPMERCPDCPRSAPLIRLTLKEVKNGNYGHEFVKCESKPEGKIMKKCTHFEWLEDYVRRIQFNGGTTRELSLPSAPTHLVSESAALIVGDADLKGGIKKMNKNLKQMIQLNKQANLIGIGFYPIYFCVAALGFAYLLVITR >SECCE5Rv1G0358540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756181135:756182196:1 gene:SECCE5Rv1G0358540 transcript:SECCE5Rv1G0358540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELCKIPAAMVAESLERSYVLKIDGYSRVKGLLKNGQFVASTPFSVGGHNWTVKYYPNGCPKHCDDFISLYVHHESAGAKEVKAKLTLNVLDKNGNPVPSYTRTTPVNTFSRKAPTCGYYDFIPKDELEGSAHLRGDCLKIRCDVAVMKEIEETMVPPSDLHQHLGDLLKSNDAADMAFQVDGQRYSAHRCVVAARSSVFKAELLGAMEESSGSTIIIRDMEADVFESLLHFIYTDSVPPVLDVVMAGHLLVAADRYNIGRLKVICEERLCNHIDSNMVATSLALAEQHGFRRLKEACLQFLASPSNLEAMMTSDGYEHLKSSCPAVLKELIARIIPAEFTSAKDIIMTMWK >SECCE1Rv1G0027580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:384196686:384205323:-1 gene:SECCE1Rv1G0027580 transcript:SECCE1Rv1G0027580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 13 [Source:Projected from Arabidopsis thaliana (AT2G35720) UniProtKB/Swiss-Prot;Acc:Q39079] MAPEQEQEDGRELYALLHLSPESSDEEIRRAYRQFAQIYHPDKYQDPQMKDVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSGLELGPKLNKPEEIKRQLEELRRRKEEEKIFVHARSTGSILANISVPQYLDGDGIMGGMGMSSEIELPVSKQNTVTVGGNLVVNGTTGTGAASAVLRHQLSSVSSIDFMATAGLRSLIGVQTFRQISPNSTATSGIALSLRDGSVNLSNGWTRQLSEDTVGNIQLVLGTESNISVGWQKKDEKRSAAGEIKFGTNSFGASAHYTHRFSSKSHGRIAGRVGSTALDFEIGGGRRISEFSTVRMLYNIGIQGVTWRFELNRAGQKLVIPVLLSTDFNALFITGAFAIPSTLYFLLQTYVVKPYYLRREKQKTLEKMDSLSTQLTEARQAAKKSQRLLEPVSNRKKNKQQESDGLVITKALYGNHKKVKESSQLSEIDDNVASQVLDVTIPLNFLVTEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYIFNGRQYKVMADDYSALSIPQDIHEI >SECCE1Rv1G0015210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:121847891:121850760:1 gene:SECCE1Rv1G0015210 transcript:SECCE1Rv1G0015210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L18 [Source: Projected from Oryza sativa (Os05g0155100)] MGIDLVAGGRNKRTKRVAPKSDDVYLKLLVKLYRFLVRRTKSKFNAVILKRLFMSKTNRPPLSMRRLSNFMKGKEEKNIAVVVGTITDDMRIQEVPAMKVTALRFTETARARIVNAGGECLTFDQLALRAPTGENTILLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >SECCE7Rv1G0523620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882694363:882694968:-1 gene:SECCE7Rv1G0523620 transcript:SECCE7Rv1G0523620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLAATCKLSLAVVVAVFLLGSSAATAHGLRRVVSSSSDEPCNEMTLYYHDILYNGVNNTKNATSAAATKPTALSTTHWKNGTYFGTLVVFDDPMTVGKALPVAGEEPAARAQGFYFYDKQESYTSWFGFSIVFNSTAHKGTINLVGADLMDDKTRDLSVVGGTGDFFMARGIATLRLDASEGTVYFRLQMDIKLYECYV >SECCE7Rv1G0478890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:242678007:242678192:1 gene:SECCE7Rv1G0478890 transcript:SECCE7Rv1G0478890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGDSRRPLPRRGQVKASIFACLFPCLARNRKAAGGEQKEGKARAKEGGSGGRRVAPGG >SECCE2Rv1G0142700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:942476803:942478876:-1 gene:SECCE2Rv1G0142700 transcript:SECCE2Rv1G0142700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQLPADDSMALRITHSNPASFASDLRVSQQTMVEALKEKLWKKTGTAVGSMRLELRDEAGTRVADLDRDAAPLAAYSPYDGYRVHIIDLDPSSVTSGGWLEDTSLVEKYTISDEAYDKLGTNFRKFKEKMVAKNPVSDDKQSDNQMEELCANIKVGDRCEVEPGAKRGTVKFVGKAEALGREFWVGVQYDEPLGKHDGMVKGVRFFECPQGHGAIVRPEKLSLLVQF >SECCE7Rv1G0463250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:51877657:51879436:1 gene:SECCE7Rv1G0463250 transcript:SECCE7Rv1G0463250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRAASDTDVSVHSTFASRYVRSSLPRYRMPEKSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAEGKPFDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEQAVEMVDENTICVAAILGSTLNGEFEDVKRINDLLEEKNKETGWETAIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYPGIGWCVWRSKEDLPDDLVFHINYLGTDQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCQENAMVVREGLERTGRFNIVSKDQGVPLVAFSLKDHTRHDEFEVSDMLRRFGWIVPAYTMPADAQHVTVLRVVIREEFSRTLAERLVLDIDTVMNQLDALPSNGVCSNKQ >SECCE6Rv1G0394420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:295416499:295485870:1 gene:SECCE6Rv1G0394420 transcript:SECCE6Rv1G0394420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLVRRVESWVRDQGARLPPWAPPIAVPRWPWPPPPAPAWPGDRRRQRERMFREEFERRRIQLRELCRAVRVDTIAELQELLCAMVLAECVYKRPVSEMMRYINKFKSDFGGNIISLERVQPSLNHVPHRYLLAEAGDTLFATFIGTTQYKDIIADVNILQGTIFHDDTAQDLADAIDSEQNSDQFGEENIGVSCREKPTQFRKSKPAAHRGFLARAKGIPALELYKLAQKKNRKLVLCGHSLGGAVAALATLAILRVLSSLSPTKEANRFHVKCITFSQPPVGNAALRDYVHQRGWQYYFKSYCIPEDVVPRILSPAYFHHYNAQTPEASFANRVDVRSEENKKTSTEGAVDNNREQLVLGVGPVQKSLWRLSKLVPIEGVRKSLSVLQKQANIFEKASTQLDGYLQSKVDEPEEEPWSLEIQEGSEGIALTPLSDNHGRTTEENSRTEKINSSKVGCSKRWSRVPSLPSYIPFGELYLLGDSSVTTLSDSEYSKMTSVQSVIVELRERLQSHSMKSYRARFQKMYHVCMCANAPLFTGIEQLQQFSHLQQLLGLTATDSVELGHIVEPPAIRTATSILPIGWTGLPGGKSAEPLKVDIIGHGLHMCTLFQAQINGSWYSTAVETLPSATSYSSDQEMQPTLQKMRILVGRPLKQPPNYTSEDFMVPVVTGAMNPDYGVEPFEDKGCCKGLNEVIIYGTSDFVTICKEVYVRTRRVRLLGLEGAGKTSLIKAMLGQLKERNNAVLECIHVDLHGKAVSNGLCYLDSATVKLQDLLLEVRRFKEELQLGIHDLSRKTDLIIVVHNLAHRIPQYYQSNSSEPQPALSLLLDEAKVLGVPWILAITNKFAVSAHEQNTLISSAMEAYKASPDNTKVVNSSPFLMPSATNSLHPISSTSGDLEEDSFGRAAFHPVEFALSPFHRKDIVMHVEGVAALQQQLHQVIVSNEEPAFEELAREKLSLELAREKAVSRQAKQNQRKRDGSVTAAAVGASLGAGLGIVMAVIMGAASALRKP >SECCE3Rv1G0154510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:60958133:60958507:1 gene:SECCE3Rv1G0154510 transcript:SECCE3Rv1G0154510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVLEGEEPKSAVEIVEQVLKTEVKLSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE2Rv1G0088460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:245759246:245763098:1 gene:SECCE2Rv1G0088460 transcript:SECCE2Rv1G0088460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEASTAPRSCPEEEEDEEEAMAVLDFDMLCASVAMSAERRKGAGMEAAACAGAGGGEGGGGGVQRMWEGDVVIDCLEDRRIALEAACCPCYRFGKNMRRANLGSCFLQAMAYFISLAAVLASLIAFSVTRHHVYLYMGLGSVLLITIYTGYFRRRIRKQFNIRGTDGSLDDCVLHLICPCCTLCQEARTLEMNNVQCGVWHGRGDTICLGSNGEGNKAFAALHKSPFVLIKSPELCGMDRISSGADEHQPLVPSAQPEQE >SECCE2Rv1G0130200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:874379144:874380563:-1 gene:SECCE2Rv1G0130200 transcript:SECCE2Rv1G0130200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPENRGQETAGPVYAPTPLTTAWSVDLGNRLNGTFVHTNINGTMGLLLPAAPTTPSPTAPQNQYVQSAVDLITLLYSCATAVAKGDKELVNKVLERICSSLASDHVGGSLHRLASSFADALALRVVQPWQGVCRALQLQKTTPASAAAAARRQFAEICPFLRLAGTTTNYAIIDAARTERNAVLHVVDLGGADHDQWLLLLHLFAKRPGAGAHDQILRLTIVNEEDEFLSVSRHRIEPLGVRNGEALVIVSTLQLHRLLADEFAEMVARPHDKKGKAQANATMSRADALLRDLAELSPKLMVVTEQEANHNDEFMARFENALKYYGALFDALEESVPARGSTIERADMERCLLLQEIRDIVACEGAQRRERHEQMEKWAERMKAAGFASVAMSADAVAQTVMLGQMVTGCSRAYRVSSENDVCFFIRWRDIPMFSVSTWRAV >SECCE6Rv1G0448640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857405300:857409471:1 gene:SECCE6Rv1G0448640 transcript:SECCE6Rv1G0448640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLSSSHLLITASLPKPSSIRPPRLPVSSRPVPALLLALAASPAFPALAADAPLPPQDAAAPQLQLQAEAPTPAANPFADTLLTAPKPGSATQAAADIPDGGQWRYSEFLAAVKKGKVERVRFSKDGGTLQLTAVDGRRASVVVPNDPDLIDILATNGVDISVAEGDAAGPGGFLAFVGNLLFPFIAFAGLFFLFRRAQGGPGGGPGGMGGPMDFGRSKSKFQEVPETGVTFQDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVRILEVHSRGKALAKDVDFDKVARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEQKRKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQSGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQDNVTTGASSDFMQVSRVARQMVERFGFSKKIGQVAIGSSGGNPFLGQQMSSQKDYSMATADIVDAEVRELVETAYSRATQIINTHIDILHRLANLLIEKETVDGEEFMSLFIDGQAELFVA >SECCE5Rv1G0317200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:338512087:338512737:1 gene:SECCE5Rv1G0317200 transcript:SECCE5Rv1G0317200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGVPKVPYRIPGDEEATWVDLYNVMYRERTLFLGQEIRCEITNHITGLMVYLSIEDGISDIFLFINSPGGWLISGMAIFDTMQTVTPDIYTICLGIAASMASFILLGGEPTKRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYAVRTGKPFWVVSEDMERDVFMSADEAKAYGLVDIVGDEMIDKHCDTDPVWFPEMFKDW >SECCE1Rv1G0043940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:598105224:598107181:-1 gene:SECCE1Rv1G0043940 transcript:SECCE1Rv1G0043940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCCRWFRRSHPPASGSSRPDGGSSGGPSSASPSTAPQHVKPPAPIGPVLGRPMEDVKSIYNVGKELGRGQFGVTSLCTQKATGQKLACKTISKRKLSTKEDVEDVRREVQIMYHLAGQPGVVELKGAYEDKHAVHLVMELCAGGELFDRIIAKGHYTERAAAALVRTIMGIIHTCHTMGVIHRDLKPENFLLLSKDEDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRNYGPQADIWSVGVMLYILLCGVPPFWAQSEHGIFNSILRGQVDFNSDPWPRISGGAKDLVRKMLTSDPRRRISAHDVLNHPWIKEDGEAPDTLIDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIKGLKEMFKSMDADNSGTITVDELRKGLAKKGTKLSEAEVQQLMEAADADGNGMIDYDEFITATMHMNRMDREEHLYTAFQYFDKDNSGYITIEELEQALREKGLMDGRDIKDIISEVDADHDGRINYTEFVAMMRKGAPEAANPKKRRDVVL >SECCE1Rv1G0025330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:353965843:353982998:1 gene:SECCE1Rv1G0025330 transcript:SECCE1Rv1G0025330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase P2 [Source:Projected from Arabidopsis thaliana (AT3G05350) UniProtKB/Swiss-Prot;Acc:Q8RY11] MAIEAARLSPSLAAAAFLGRRSPPTPPLLRRLCLLLPRRSLLASASTSSSRASSGGDGRFVALSSSELRKRRGSTSSTPAPGEDDKLRSLRRLFARPDIAIDAYIVPSQDAHQSEFIAECFMRRAYLTGFTGSAGTAVVTKNNAAFWTDGRYFLQAEKELSHDWTLMRSGNHGVPTTIEWLNDVLPSGCRVGIDPFLFSSDAAEELKAAISDKNHELILIRDFNLVDEIWGESRPAAPKEPTRVHAIKYAGVDVASKLSFVRSQLDENGCDTVVISMLDEIAWLLNMRGSDVPHSPVFYSYLIVEMSTATLFVDSNKVSEEVLEHLKKAGVKLKSYEAILSDVERLAEKGAKLWLDSSSVNTAIVSVFRSGCEKRGKTGRQIGKEASSADPTTKNRGVQNMELNGLYKASPVTLAKSVKNEAEIKGMKNSHLRDAAALAEFWCWIEEEVRNNVALTEVQVAANLLEFRRKQDGFIETSFDTISGYGANGAIIHYRPTPDSCSSVGSDNLFLLDSGAQYVDGTTDITRTVHFGEPSPRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLLLVKEVNLANSYGGISYLGFEKLTFVPIQRKLIDLPLLSPSEINWVNEYHAQVWEKVSPFLSGNPRNWLLDNTRPL >SECCE5Rv1G0322540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:421328683:421331628:-1 gene:SECCE5Rv1G0322540 transcript:SECCE5Rv1G0322540.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQIQQLEQEAYCAVLRAFKAQSDALTWEKEGLITELRKELRVSDKEHREVLNRVNGDDIIRSIREWRSTGGLQASLPNNPQPIHHDPAPSPTTSGRKRQKTSQSVPPLPVPSPPVMHPQQMATPTQPSSSAAKKGFLPGTKGKKTKPGQKIPGGSAVKSMPPSAGPSGRGPHMNRNFPGRPAAPEPSQGQHHLNPLIGRKVMSRWPEDNNFYEATITDYNAEKDVYALVYDINTANETWEWVDFKEIKPEDIIWQGEDPGLYQGGRGAPGSGGKKSASRVMPTPGTGRGRGFQKNVSKKDFPRSQNGVGKRSSDDIDILHTESLIKEVERVFSVSHPDPLEVDKAKKALKEQEQSLIDAIARLAEASDGESDERNRGRRMGPYGGHQHQANYADAMAVDGDHMVGGAAT >SECCE7Rv1G0512390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:810589816:810590615:1 gene:SECCE7Rv1G0512390 transcript:SECCE7Rv1G0512390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRDDQERGRETNHNAQQQQERRKRYHRYTKRQIQALEASFKECPHPDETERAKLGRDLGLEVRKIKFWFQNRRTQMKVQQERQDNCFLRAENDDIRCQNIALREALMKVICPNCGPSVANDYFDEQKLRMENARLKEELDGLTSIGGPFTQMPQGTAQISVSPLDLSMSGVPFGGTGLSLDLDLSWSEGCGKQDWGSTV >SECCE6Rv1G0421230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:682618033:682619412:-1 gene:SECCE6Rv1G0421230 transcript:SECCE6Rv1G0421230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPLLTVLLLAHAAAYLAWQAMARARRARCYLLDFACHKPSDDRKVTTEMAGAVIERNKRLGMPDYRFLLKVIVNSGIGEHTYCPRNVLDGREECATHYDALDEMDAFFDDAVRAVFEKTGVSPRDVDLVVLNVGSFSPAPSLAARVVARFGMREDVQAYNLSGMGCSAGLVSVDLARRIMLTRPRTMALVVTSESCAPNWYNGTDKSMMLGNCLFRCGGAAALLTNDPAYRSRAKMELRCLVRAHIGAHDDAHAAAVHCEDADGRLGVSLSKALPKAAVRAFSENLQRLAPRILPAAELARFTVRLLARKLMRRKLKFEGPKINFKTGVDHFCLHPGGTAVIEAVRKNLGLNSYDVEPATMTLHRWGNTSASSLWYVLSYMEAKRRLKAGDRVLMVTFGSGFKCNSCYWEVSKDLDDAGAWEDCIDDYPPETMVNPYTEKFGWVNDLQGQGGAFPF >SECCE1Rv1G0013390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:104421466:104422653:1 gene:SECCE1Rv1G0013390 transcript:SECCE1Rv1G0013390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETVVETLPELPLDVLMDIFSLLEFPDLIRASSVCSFWRSAYSGLHSQLGQYKRPQTPCLLYASEADGENVACLYSLAEKRAYKLTLPDPPIRSRHLIGSSNGWLVTADEKSELHLVNPITGQQIALPSAITIEQIEPILDSSGAVNKNKMWDLTPNDDPNEFSDCLYIRAFVFSDPPMGSYIVVIIHSPERYLSFSRVGDYKWTSLPGENYKQCIHMDGLLYALTETGGVYTFDLTGPTITRNIIADELQNYKTDGYTYFVLAPWGDLLQVCRAIDFTPEVFIKTKKILFYKVDVAAKELVEMNGLNDHVLFLGRSQSQCLSTEEYPQLKPNRVYFTDDMTYISKYKNNDRDIGILNLENDSWEDVPKLWCNWPNPIWMTPNLTRINMALDK >SECCE2Rv1G0105420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:620056588:620061058:-1 gene:SECCE2Rv1G0105420 transcript:SECCE2Rv1G0105420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G20860) UniProtKB/Swiss-Prot;Acc:Q9ZWT1] MHGRRHLAASLARTLSQAPSRSISSTPSLLQTLDPSPQSPPLAAPAAGRLAEMRRRLQAETPSLGDFAYSVEVGTRKKPLPKPKWMKETIPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPANVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQRLKTLKPDMLIEALVPDFQGDRSCVEKVATSGLHVFAHNIETVEELQRSVRDHRANFKQSMDVLKMAKEYAPPGTLTKTSVMLGCGETPEQVISTMEKVRAAGVDVITFGQYMRPSKRHMPVSEYITPEAFENYRALGVEMGFRYVASGPMVRSSYKAGEFYIKAMIEDDRAKSGAVAESS >SECCE5Rv1G0368870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:831080134:831080739:1 gene:SECCE5Rv1G0368870 transcript:SECCE5Rv1G0368870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVRLRSSDGEEFEVAEETIGAASVMIKGMLEEGEVAVDVIPLPNVTGSILSRILNYVNRHFSDPDTSYNPMADDPLKRFDDSFVQVDQDTLFDLIIAANYLHMQSLLDLTTKTVADQIRGRTVEEIRKKFNIVNDYTKDEEQEVRRENSWAFN >SECCE6Rv1G0384970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:70864815:70866074:-1 gene:SECCE6Rv1G0384970 transcript:SECCE6Rv1G0384970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQQSPSPAAAKSPRPSAPTTVTALDDDLLREIFLRLPSLPTLVRAAFSCRTFFHAVRSSPAFRRSFREVHPPHLLGLFFDPDGPSIPSFAPLRRRSDPDHAAVVRGADFFLTRLPDDDDEDDDDDDALPEWVIYDCRDGYILLEHESLEQYAVYNPLTRALDLIPQPPDEIFHDQHGDATCLGCYILSSQEGGEPLRLVYTCHDESRARAAVFSSESREWQILPWSEPVTPLPEDEHWLKVGTMVNGFVYWIHKNQAYILVLNTVTLQFSQIDVPPNLVGRDLLFKVGETKDGRPCIVCPIEFDLHAWVWRAGQDGIETWIFDKKFPLETIVEVTEGTLDGHSELKVLAIIGGFVYFCTMDMLVDAMLPCWFLSLCMETGELGTLFRRRFDGHVYPYIMAWPPSLIDNKVIPQLEGA >SECCE2Rv1G0082440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:151126922:151129824:-1 gene:SECCE2Rv1G0082440 transcript:SECCE2Rv1G0082440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLPVNIREYQELAKKALPKMHYDYINGGAEDEHTLRDNIAAYGRILLRPRVLVDVSNIDMSTNLLGYDMPSPIIVAPTGSHKLATPEGEVATARAAASCNTLMVLSFSASCKIEEVASSCNAIRFYQLYVFKNRDVSATLVRRAESHGFKAIVLTVDTPMLGRREADIRNKMVAPANANLEGLIPIDDLDNTDGSKLEKYARDTLDPSLSWKDVEWLKSITGLPILLKGIVTAEDARKAVEAGAAGIIVSNHGARQLDYAPATISALEEVVKAVAGAVPVLVDGGVRRGTDVLKALALGARAVMVGRPVLYGLAARGQAGAKHVIEMLNGELELAMALCGCRSVAEITRDRVQTEGDRFRSLL >SECCE7Rv1G0485170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:362652258:362658882:1 gene:SECCE7Rv1G0485170 transcript:SECCE7Rv1G0485170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G41350) UniProtKB/Swiss-Prot;Acc:F4IK01] MDHTGEHLDPATSASASAPASVAEVNAWLASLAAEGGGVGGRGGGVVVSELSLGPDPTPRGVSYLRALAAASQARSHAAGIAASGLRAQAAEYQAEAARLREALERAGLARDALPPSAASAARAVAAVANLLAIRDTEMSSFVVASADLWLRRAEVEEKRDKVHKESKALLDYTRKAITKLTELKRMLEKFKNEVEKQQVEQMADWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHCVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTPGL >SECCE1Rv1G0010810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:66110919:66116875:-1 gene:SECCE1Rv1G0010810 transcript:SECCE1Rv1G0010810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGKKKKPSVSPQPSPRTPPSRTREGTGGCILDLSSTAAAAAARYPVLIPCGGAGCFAGTVSDVVSRGGSRGGEGRLWLAEPAMASTGLRPGCLVFVSLISSSSNSLDGFPLDSLFEECNRFFDLDVDNDHISNEAGVNFVTATVFPSREVQKNGIKLSWDLACTLGYPVVGRSLLISPLYTSQAPKRADSGEFLRVIKCSHLYLSLVPTKVVPSSHNKSESDCHPVRNVMVMESPKRIPSTPPCRNESHDGASNSGFSLCLDQATAKSALADDKINDLLQTSASRWLDGRHLLKGNYVPLSMCGKLSMFVVLRAETDGSALDVVHEKRNSMSNADASGKLVETPALFLVDRTTKVHLSDLSSSKEIGSDKLGFPPEHSIFADTASEDTNHDQRLGGLSEVSAKVKEMISFSLADQIGLPRNGLHDLARYKGLLLYGPPGTGKTSLASSCAYDLGANLFTINGPEIISQYHGESEQTLYDVFTSAKQAEPAVIFIDELDAIAPARKDGGEELSLRMVATLLKLMDDIGRNDRVILIAATNRLESIDRALLRPGRFDQEIEIGVPSPGQRLDILHHLLSGVHHSLTSEEVESLAFATHGFVGADLAALCNEAALSALRRYISVKESSTQLLGDRDTNAEKTNIQEIDGLLGYEISSLSSSLSKLTMSMEDYCWTNRGDIIESSKPDDKKDELLLLVAKDDFEQAKMKIRPSAMREVMLELPKVRWEDVGGQARIKKQLIEAIQLPQKCPDAFERLGIRPPRGLLMIGPPGCSKTLMARAVASEAKMNFLAVKGPELFSKWVGDSEKAVRSLFAKAKDNAPAILFFDEIDGLAVTRGHGSNGISVADRVLSQLLQEMDGLDQKIGVTVIAATNRPDKIDIALLRPGRFDRLLDVQPPDEADREDIFRIHTRSIPCSHDVNLNELARLTEGYTGADIKLVCREAAVAALDENFDIPEVAIAHFKSAIDRVSPSDMKFYQELAVRFRRLVDDTDNATTPGIHTEPAI >SECCE5Rv1G0313190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:255293306:255296318:-1 gene:SECCE5Rv1G0313190 transcript:SECCE5Rv1G0313190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMATATAISGRVSGEASAILAPSASSLHAPLRRSANSKGCFRAFPRVASSKLMLTPGRLCIERQSCPRRSSACPALSRRDFSPVTQDMEGFLHSIVNMGFLDRLKLAWKIIFPAPTIHDNTNASIAKQRLKMILFSDRCKVSDEAKKKIVENVVEALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGESSGNVDVTFDFYVPNENH >SECCE2Rv1G0079780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:122998091:122999298:1 gene:SECCE2Rv1G0079780 transcript:SECCE2Rv1G0079780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAALTVLALLGCMAQADYGHPPHTPCSPGHPSTPPTTATPPPPATVPSPPASAALVVGYYQKTCHRAEDIVRETVRGANAGIMAGLVRLFFHDCFIRGCDASVLLDLADPSSATEKFGPPNLSLRGFEVIDAAKARIEKECGNVVSCADVLAFAGRDATYFLSNKKVYFDMPAGRYDGLVSLINETLIHLPPPFATVEELKANFAFKGLSADEMVTLSGAHTIGVSHCSSFDDDLSDRLNASTSDMDPKLMASLEKQCRSDTGSDNTVVQDIKTPNKLDNKYYKNVLSHEVLFPSDAALLTADDTSAAVRANAKHNNVWEEKFKAAMVRMGAIEIKTSAHGEIRRSCRVLNTY >SECCE2Rv1G0131840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887346828:887348801:1 gene:SECCE2Rv1G0131840 transcript:SECCE2Rv1G0131840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNMTNVWVHGKEHIAASFSQVEPAAANCRNEALDVASVKAGCLGSSEAAETSRISFEQRSRSFPVPDDDCRLVLGLGPMPNLYSADSQSSGGNKVNLSGTLCAQHRTTTDPGLVVGTSRGSSRNLQSTTVPGCKEHSHKRKNGIVFPLIDDGPTSTKRKSCDYEPEPPLLFAPRSNDFFIDRTTAETDVQQHLGTGYEADHDRSLDQQEVQLSPEPSETTGCSFAATSDTTDRTDNGEQKSHQRHLKKCRFNGCSKGGRGASGLCISHGGGHRCQKPGCNKGAESRTAYCKSHGGGKRCQELGCTKSAEGKTEFCIAHGGGHRCGVQECSNAARGRSGFCIKHGGGKRCMMEGCTRSAEGYPGVCIAHGGGRRCQYPDCSKGAQGGTLFCKSHGGGKRCVSEGCTKGAEGSTLLCKGHGGGKRCLFKGDAACPKSVHGGTSFCVVHGGGKRCAAPGCTKSARGRSDCCVRHGGGKRCKSDGCDKSAQGSTDFCKAHGGGKRCAWSAGCEKFARGRSGLCSAHGNLTASKQELECGQGRSMIGHGLFSGIVSSSSTVGSGMDHVISSSLPGAPSDCCGESLEHMQSGRLMPPQLLVPGSLKASSSFNLAGNGQGGGERSRDLLSFGFVVPEGRVHGGGLMSMLRGHLRSNINNPES >SECCE2Rv1G0132230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888755762:888757412:1 gene:SECCE2Rv1G0132230 transcript:SECCE2Rv1G0132230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWLPPCFHGGDAAKEEGKDRSDDPNPVSPAAASARSMSTATSSTITAPSGSDLTGSINASDMSADSIQRPQQYPSFADRPANLRVFTYADLRAATRNLSRSLMLGEGGFGCVYRGAIKVDAGPDEETPPPMEVAVKHLNRNGLQGHKEWLTEVNVLGIVDHPNLVKLVGYCADDDERGAQRLLVYEYMPNRSVDDHLSGRAIGTTLSWPMRLRVALDAARGLKYLHEDMDFQIIFRDLKTSNILLDEDWNAKLSDFGMAREGPSEGLTHVSTAVVGTLGYAAPEYIQTGRLNAKSDIWSYGVLLYELITGRRPIDGERPRGEQKLLEWVKPYISDTNRLRLIVDPKLEGRYSIKSVAKLVTVANRCLARLPKARPRMGEVLDMVQKAVDVDGAAAAAGAPPLHHYSSGGSSMEEGGSSKLRQEGKKGFHGHWRGARGKGPLMC >SECCE6Rv1G0381720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:34884833:34891785:1 gene:SECCE6Rv1G0381720 transcript:SECCE6Rv1G0381720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPENPNGGAAAAAPSEPAPPAKPSSSKGKKKDDKKDDDLSEEDLALKEQLELYVVRAQDVDPGVQRLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKSYYETMPESELKKYMADILSVLALTMSAEGERESLRYRLLGSEGDIGSWGHEYVRNLAGEIAQEFQKRQGDDMPIDELMELVQQIVSFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNYKRTCLYLTSSSKFLPSPDDTLALDIAYTIYMKFEDLASALRIALLLDNKSIQYVKQVYTATDDLVLKKQFSYIIARHGLAMEIDDEIAADENDKEMLQEIVNNTKLSEGYLTLARDIEVMEAKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSTDTHVVAGALLGIGVVTCGVKNDCDPALAILMEYVNKDDSNIRIGAILGLGIAYAGSQKDELRVQLSAILGDPQATLEVLVFTAVALGLVFVGSCNEEIAQSIIFFLMERSEAELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMSEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGIVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLPVPVRVGQAVDVVGQAGRPKTITGFQTHTTPVLLAAGERAELATDKYIPLTSTLEGFVILKKNPEYHEE >SECCE5Rv1G0336100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:582199418:582200707:-1 gene:SECCE5Rv1G0336100 transcript:SECCE5Rv1G0336100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSKSDHLSKKAIYNFPCPLLSNGSGNTIRELELVGCDFRPTAGFGCMRSLTSLYLCCVNIESDELGFLFSNSLALERLELKDCSEIISIKIPSLLQRLSYLMVSGCYMLQVIESKAPNISSFHFFHDDDDDDQQVQLFLGESLQMKEIFISHSCVLHYALPVLTSSMPNLETLTMISYCEMVSTPTLPSKFLHLKYLNISAFGWKSAPTFLYADYDLFSLVSFLDASPCLETFNLDAPIRRQEHDSIFEDPPSQLVRIPGQCYANLRHVKITKFRSTKQLVKLTCHILDSTPSLECLTLDITDGRPTCSELDRCFVTKETFMEAPKAVSAIRTYIEGKVPSTAKLNVVEPCSRCPAL >SECCE7Rv1G0466070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:76330195:76331869:-1 gene:SECCE7Rv1G0466070 transcript:SECCE7Rv1G0466070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYGSREERWSLAGATALVTGGSKGMGHAMVEELAGFGARVHTCSRNAAELEECRRRWEEKNLQVTVSVCDVSIRASREKLMETVRETFNGKLDILVNNAGQLLFKPTAECTAEEYSNLMTTNLESSFHLSQLARPLLIHASVAGGGSIINMSSIGGSIGFAGSAIYAITKGAMNQLTRSLATEWAPDKIRVNGIAPGFVTTDMIKDVDTEYLKKEHSKTPLGRSGKPMEIASAVSFLCMPAASFITGQVICIDGGRTISA >SECCE6Rv1G0417210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:655926689:655930419:-1 gene:SECCE6Rv1G0417210 transcript:SECCE6Rv1G0417210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDVRSGAAAAGRDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTATNQAVIALRMLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFSTRDSVNLDTYEECNKPPSADSDYVYGQVTCGSPDQSGRPSKKRKEYHSEDEDEDEDSSGQDNDDPSAPKRPRVVWSVELHRKFVAAVNHLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLRRLSAVASQQAGIVAALGGRDPFLRMDAFEGLQGYQAFTSPAALSTFSAHGLLNSPRNNQTAVVIQGVATSRSIPTGSSSCTTNPLIGDAAKYHLSLPGNQHGNLGQGLATSLGQAQLQQKWMNEGSGDLSTILSGNSRANGMPRMLQSVPSSPLLPPDLVECTQAKVAIQPSVRAPSASVELLEGAIGASSGPLESRVSQQSALPSSGFSANMLPVHGSFNNNGASFSSNGATRLGDAFSASFRPTNDLTVARGPKVGGSSFGGTVHLSPDTEQKYLSFGSSDSLLDPKLVWSSSHLPSNTGAHYHSMSQRSNNCSIDSSHGGRMFGQTSASASTAAPQTKFDILFSGDTSMARNASESGAQRLQSELSSSTCSFDGLLNSMIKVEKDDAAFGDDLGCDFYSLGACI >SECCE4Rv1G0277040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:801427278:801429368:-1 gene:SECCE4Rv1G0277040 transcript:SECCE4Rv1G0277040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTAPVGVGGALLPLTLPAPSSSGSASFLPRAGGESRRRRLAVPRRGGARGQSQRRWDCHVSRCAGEANVVTEDDTANVAAADQAADAIGGAAHVLESLNPDDVDSVAWIKQQPLPYPADALEPYISKETVEQHWGVHQRGQLDRLNGMIGGSEWERMSIGQMMLASFNEGREPPHAPFFHAAQVWNHDFYWRSMKPGGGGMPPERLLKFINRDFGSYDGMIKQFMDAALTQFGSGWVWLSYKGSKLPHVKSKSPIPSDNYGRLVISKSPNAINPLVWGHSPLLAIDVWEHAYYLDYENRRAEYVSAVLEKLVSWEMVESRLRKAVLRAIERDGHTSPKQRRKQLLSQAKGRVGDASTSGEARRRPRSKDQQAPSTVTMVPAGEAVPN >SECCEUnv1G0535500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:58982848:58986734:1 gene:SECCEUnv1G0535500 transcript:SECCEUnv1G0535500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANTLHAAAILLRDGGDWDWFINLSASDYPLVSQDDLLFVLSGLPRELNFIEHTSDIGWKEYQRAKPVIVDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHRFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLNDFDGMLNSNAPFARKFGREDPVLDKIDQEILGRQPDGFVPGGWLDLLNTTVKGEHFSVERVQDLRPGPGADRIKKLVTGLLTEEGFDDKHCV >SECCE3Rv1G0197120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:816065345:816073435:1 gene:SECCE3Rv1G0197120 transcript:SECCE3Rv1G0197120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGGEAAAGSDPKPNEGWSVEQTSSSQYQPSASGHHAWSSSSGASWNYSVDNTNQNAVYYDPQRDVSVSGATQNVTNGAPHVTQPAVGTTNATNTYAPYSNSVQPGYNAAQYPNYYYNYPQPANDSSLHQGVDQSSGAAYQPLTSFPNSGSYVGPTSNTYYNAGADQTAQAYATNNYYYQNKAWSGGSSGDVHAQTYQTYTPSDTNAAQSSCSLPTTSFHYPQQYNQWSNYYDQSAPNSGAFAVAGNSASDTKSPSAGSGYAYPSTQPPPPGTTQRKNDAVAPTAPPQAVGITGFQSQHVNQAPGAPGFQSQHVNQAPGAPGFQSQHVNQAPGAPGFQSQHVNPAPGVQGFQSQYVNPSPDTPGFQSQHVNPAPVTPGFQSQHLNQAPGNPGYENPYANKTAAVSGFQNHYVNQAPAYQQNSTSHSQLPLSNQRDQQKALHAQGPSSNVYSVNHVNENSQPTLQGFAKTVASVNKVHIPTNPRIAPGFPMSMPQTGKKLEADSSLKPAYVGVSMPKNDVNAAQDGHGAAVQGSFPVSLCTYVERNLSRCKDDAQRSATQSIMKEMITKATADGTLHTKNWDIEPLLALPENAKGTNMASNTKDSSPFSFSTSRRSPSRRTKSRWEPVAEEKVTNKVEVVSKEPPKSNACTTWENTRRTGNTWNLGNFVQSRQVPTSQWNQRPSKKQRIGGNANLTKNGNASSDSDKEQDLTKYYASSIALTNSPEEKKRREHRSKRFERGQGASSKSTSSTPHKDGAANVYTRGAISMLSNRSNGNGASLAVEDIDWDALTIKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVQTSEKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLALQAGDLSEYNQCQSQLTRLYGEGIAGCHLEFSAYNLLCVMLHSNNKRDLLSSISSLSKEARLDETVKHALAVHSAVSSGNYVMFFKLYKKAPGLNSCLMDLYVERMRFEAIKCMSKSYRPTVPVRYVTRVLGFTRVDVLCEANVADGLEECEEWLKAHGAVLAVDENSGELQIDTKVSSASLYMPEPDNAVSHGDASLAVDDFLARAS >SECCE5Rv1G0329020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:511974887:511975424:-1 gene:SECCE5Rv1G0329020 transcript:SECCE5Rv1G0329020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAEVCITIAVLLLYTGFLAVAGAMGTNIVEHVPIESLKLMGLSQEVDQKVCRPCHCCDGCDRTRCCYLTQCNQPGEPPLSCTLIQLTCGTCDHDCP >SECCE7Rv1G0475320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:185599045:185601123:1 gene:SECCE7Rv1G0475320 transcript:SECCE7Rv1G0475320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCHPSEVYELFVRHMNTPRVVVDNGVCETATLVQVHSARKHGVLLEAVAALSEHGVCVRKGYISSDDGRWFMDVFHVTDGAGCKVADADKLLVRLESSLAAAAAAADALPRPVGCDSPAKEALCLLELIGVDRPGLLSEVFAVLHDLRCSTVDAKAWTHGGRVAALVFVRDEDTGAPIHDAARIRLIEARLRHVLRGGARGARTVLLDAAAVGNLDRRLHQLLSEDREADRRAPAEGPTATAVAVQEWGERGYSVVTVSCRDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRLDGRAISSEDERRRVIQCLQAAIERRASEGVRLELRISDRRGLLAYVTRVFRENSLSVTHAEITTRADMAVNVFHVTDVAGRPADPKTIDEVIHGIGTESLRVGEERWPRLCSTEGDAGGGGGGAGAGLFSLGSLVKKNLYNLGLIRSCS >SECCE4Rv1G0264720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:721999671:722001104:1 gene:SECCE4Rv1G0264720 transcript:SECCE4Rv1G0264720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAQNFAVEVVSRTLVRASDPPRGFPAVLPVSNLDLVFGSFHVFFVAVYPAPAAGFAAVAAAARAALPAFLSRFYPFAGRVVPSASTGVPEVVCRNEGAELVVAYADAALSDVDFADADASLGRIQVPFDRGLALSLQLVRFACGGFSLTWGTDHLLVDGHGLTTLPNAWAEMLVAGGLSWEPHHDRASLFRPRSPLRYGPSIDAEFVRYDPGSLPNALLAATLVRRNYVVEAADVDRLRAAASGPARRATRLEALSAHVWKLLATAVGGSDTHCRMAWLVDGRRRLDPAKYNKTHVNNYLGNVVTYASREAAVETVRSAPLADVATMAAAAISEVFRQERYEELVDWMEAHKGVFAEGGKWTEAVGLGTGSPALVVSAFVPFRVEGDFGFGPPRLVTPWVRPGRLGSAAMTVIRSPAGDGSWLVTARMWPRLADVVDADPEAVFKPVTAERLGFATRRRGELVANETAQHAVSRM >SECCEUnv1G0541130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93497665:93500924:-1 gene:SECCEUnv1G0541130 transcript:SECCEUnv1G0541130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSSAVAVSTPQGVAERRGIPAASFVEDVETYLRQAGLEVNSALAFLQERLQQYKMVEMKLLAQQRELQAKIPDIEKCLDIVATLKAKKALGEALIADFELSEGIYSRAKIEDSDSVCLWLGANVMLEYSCDEANELLKSNLENARASLEVLVGDLHFLRDQQTITQVTIARIFNWDVHQRRSKQSVMKET >SECCE4Rv1G0217100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14350670:14352559:1 gene:SECCE4Rv1G0217100 transcript:SECCE4Rv1G0217100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPRGGGGRTAMDYSLAALKLFASQLAGSTTAPSSEGSSPAQMLFGIRFQRAWIQGVVVRADYSVGDGRLFVDDGSCVTELMLRPEDAKGQPWRPGMYVLIIGAYIAPQSTESLPMVKVHKIVDLSAQPDREAMWYMEVAEAYNFFYKADASGAGSPP >SECCE7Rv1G0508090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:768894659:768895522:-1 gene:SECCE7Rv1G0508090 transcript:SECCE7Rv1G0508090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRAPEHQVAGHRAAPNKLGPLVDGAGLFYKPLQALDRGEQELAFYTAFSAHPDVPPRIRDTFFPRFHGTRLLPTAASPGESHPHLILDDLLKGLAAPSVTDIKIGACTWPPRAPEPYVTKCLAKDRGSTSVLLGFRVSGVMVSDAGGAVWRPDRSELKGTDIPGVRRMLRRYVSSAGGDGGGEDCALAAAVYGGEGGVLAQLRELKAWFEVQTLFHFYSASILLSYDANAVTAPGGAPRVKLVDFAHVVESEGVIDHNFLGGLCSLIKFIDDIVSSDKAAPVQA >SECCE7Rv1G0517020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:851291693:851292995:1 gene:SECCE7Rv1G0517020 transcript:SECCE7Rv1G0517020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPASAVMADPADHSRPPEDGRASIRDWSSSSPQNGTAAERLTDDLLVEILSRVPAKSLCRFKCVSNHWLCLIDHPDHRKKLPQTLAGFFYTSRSEPFLESHVRFVSVPGSHNPLIDTSFAYLPKHEHIYVLDCCNGLLLYGLYAQEISYIVCNPATEKWVTLPNSGQAAEQVVATCLCFDPDKSPHFHVFEFVEEEGDWTSYLAGVAVYSSETRRWVYMEKKWNGLACRASTETVFLNGHLYFHSIDRELSSCCITMVDTEGETWMNFIVPDDDSGLADGFIQCSQGRLHYVNFYRDENEDEDDGVIRLEVYVLENCESKEWKLKHIAETSDLFGEKDVDVGLEFEWFAIHPECNLVFFTVGKDITLMCYNMDSRQVQVIRNLEDGKLPFLPYVPLYAELQSLHM >SECCE4Rv1G0229670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:151841523:151842489:1 gene:SECCE4Rv1G0229670 transcript:SECCE4Rv1G0229670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGRSTTVVLLVVATVAMAAAALLQQAEAAAATTRRHADARVLWYPGTRQRSPSGFRGFPRSRLSPPSAGRQTPPSPSGRPMTPPPSPAQAPPAPISPPCTVGNPQPAFPGMPAGGAGGFGGASPSPPSSPTDCVTPLAGLMTCASFLTGSDPETPTPQSECCGGLGMFLNSSAATDDRSLRCLCPVILGDVNRMLPKPIDPVRMMYLPIACGLVLPPQVLFICFTGQPTPPVVSRIPDSWGTSSSSALSP >SECCE2Rv1G0125270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:839916211:839916846:1 gene:SECCE2Rv1G0125270 transcript:SECCE2Rv1G0125270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAPTARTAGWLHRPLPRERPHLTRCTKIVCSAFLTLLLVAGVLLFVAYLAVRPHRPRFHVIAFSAAGIGANAGVVLSGQLSIRNPNHDVGFFYDRLYMSVLYRQYGAVVKDHDLAGGAPMYQPPKTTSLLAFEGVAVPAGPATADMARAAAEAGGAVEFTVKVRSRIRAKVAVWGFHWHPLHVDCDVAVGPDGQLLPEARQKRCDIDFL >SECCE5Rv1G0357090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:743924340:743925770:1 gene:SECCE5Rv1G0357090 transcript:SECCE5Rv1G0357090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPAALGLVVLLLLVLNPNAAEARPAPAGGHQKKSSSNTFFVFGDDFADNGNLPLTDPVTEMSRQWAYPYGSGYVDAHGFPRPNTPSGRFSNYKIQPDFIATMLGLEEAPPAHALTAEKTCDPSGMTFAYGGAGVLDSTSHEVQTLAKQVDTFGKMVKDETISETQLSHSVALVAISGQDYASTGVIGLSSPSDINAYIGKVTKEIATNVEKLLKLGVKKVFVYNLHPIGCTPSQTQTNNYTACDIFGNLGASIHNDNLKQVMAAKKNVHIVDLYTAFTNIVDHAPGKGSELSKQFKRKLSPCCESLDPKGYCGQQDESSSELLYTVCDKSDKFFYWDDMHPTHAGWEAVMKQLEKPLREFVDQH >SECCE2Rv1G0118800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785578291:785581884:-1 gene:SECCE2Rv1G0118800 transcript:SECCE2Rv1G0118800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTTPSQTLALTPAAARARRSSSPGPAQVSFSSPRLSSRRALRVRASVAIEKEVPENEAPTTFLREDGSGAGSGSVRERFEGMIRRVQGEICAALEEADGSGKRFVEDVWSRPGGGGGISRVLQDGNVFEKAGVNVSVVYGVMPPDAYRAAKGAAKNGAADGHKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDVPGAPRSWWFGGGTDLTPSYLIEEDVKHFHSVQKQACDKFDPSFYPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQDMLLNFATECAGSVIPAYIPIIERRKDTPFNEEQKAWQQMRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGSEEWKLLDACINPKEWL >SECCE1Rv1G0006540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:34649377:34650721:1 gene:SECCE1Rv1G0006540 transcript:SECCE1Rv1G0006540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTRGMHLEAEVSRDVWRAGEVVWGNGHSYVLRWFDGGPDSGRIPRAGVRPLPDPAVKLPADLAAGHDVDVLHSNLWKRAKVVGTAGHGQFKVKIANSTMVLEADLSVLRPCMVYEGGEKGWAVIHKGINVPVESAVPWRPVAGKNIKSKTNGNNGGGKFAGHATNLSLGGKTKRSDYAVDADIVRDVKRFQGNVFLAKREPAAARYHDSNIEVMDVHPSHYLKKLEQQEPSSNHKPNNNEEIDVVGGGTDSDDDDDSNSSKSDPSSSDGDSSSGSSSGGSNSNSNSQGGARAVPPTGEHCQENQEVQSPPSCKEEERGSDERTESRGSARMHHRPADEEEEQNEQVEEHDHRVHGLELEAYVSVMKAFYFTGPLTWAKEELLSDLRLQLHVSSDEHLQAIRRLKGNK >SECCE4Rv1G0280000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817954822:817957784:1 gene:SECCE4Rv1G0280000 transcript:SECCE4Rv1G0280000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIASLAEKIQMLLVDPPPGCCKLSKDGIYLLKDDVEKLREYLDNLSEAEDPPPTAKCWMEEARDLSYEMEDYIDSLLLLPPEDSTPVPVANHIKNTRSLGKRSSHVKTTKIQIIIAETLSDLRKCVQGAIERHNRFDLHSCSTLRRRFVSLGPMLPTQYDEETAHIVIDGRMNKFVNSMANDKDQQLKVVSILGSACLGKTTLAKALYKKIGKQYNCRAFIRVSKKPDMKRLFRDMLSQLLRHHPPQVCEEVDLIDSIKKYLQDKRYLIIVDDLWAASVWDIINYALPKGSNGSRIITTTQIEDVALICCCYQSEYVFEMKPLDDDHSRNLFFNMIFGSENYCPEQFKVILNEIVEMCGGSPLATVSIASLLASQPAMTIDLLTHIQQSLFSANSTSEKTTQALILSFNNLPPHLKTCLLYLSMYQEGYTFCKDDLLKQWMAEGFIDITEGHDVEKVAETYLRQLIGRRFIQPILNYNNEVLSCAVHDVVYDLIARKSAEENFIVAIDYSQNNVELSHKARRLSLLFGDARYAKTAANIIKSQVRSLRFLGSFECMPSIIDFKLLRVLNLQLSSHDGDVDLTGVSELSQLRYLKIACDCHSIVLPYHGFLETLDVMGAVDIDYRLSRDVLFPRLLRLSLPFKGMLMYWSSLHRSLQDLHLSPTTHDLGCSIQDLHFLINRLVNLKTIVMGHGSRVGNIEVVSLDELAPPPFLQRFEWPPESGIAFHRIPKWFKELENLSILKIAVWELMNCVDILRGLHALTALSLYVQRAPVERVVFDKVGFSVLKYFKLRFTTGIAWIKFEKDAMPNLWKLKLVFNEIPRMDVPPFFTSNHTSRTEKYKHGTPLIIFEHMPGLKDISAKIGGAAADVDYIWRNGISNDLSNPSIINTQPAADYSFDSEESTKQKHQQDEIMEEEPDEAPQQLDEAPQDEPDGSYKQQPDNTVERPVDKRYGQCS >SECCE7Rv1G0482210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:302576784:302580187:1 gene:SECCE7Rv1G0482210 transcript:SECCE7Rv1G0482210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGIADAVQAYTGLSPAAAFTVLALMLATYLIVSTLFVAPDAAALAPAAHPKPPQQQEHGTEAEAEPEPFVPPFPDPVQVGEITLEQLKAYDGKDPAKSILIAIRGQVYDVSRGRLFYGPQGPYSLFAGRDASRALALMSFDLNDLTGDLEGLNPDELEVLQDWEEKFKERYPVVGHLPRENATAGAQPDHEEEKA >SECCE1Rv1G0046430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:617275518:617288064:1 gene:SECCE1Rv1G0046430 transcript:SECCE1Rv1G0046430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MAVKGKGKQIASDDPGSSSGSKRRGAGRGGAGPSSSSAKRRRGRSGVLQFVDDAAGVDDEYEEDEEEEEEEEAEELQDDLDDGFFTAGRTKGARIERSHPLPFLGHVKEEELSGDELEQFINDRYSNRVRYAGYRGSTEQYDDDESTMDGVKDPIIWRVKCMVGRERQMAFCFMQKFLDRQKFGTKVPIISAFSLDHVRGFVFVEAEKACDVTEACKGFCNVYVNRTSPVPVAEVPSLLSTRAKPFEVSPGTWVRMKSGNYKGDLAQVVGADDGRKRVLIKLIPRVDLHAISKKFGGAIPLKGAAVPAPRLISSQELELFGPHIESKRDRQTGEVFEVLDGLMFKDGFLYKRVALSSLIYWGIQPTDTEILKFSSSPSIKSSVDDMDWVSGIYGHNKKRNVPREPDMTKSASSKDKSSKASNLKGSTSTENYDDDDDDAKFKLHDLVTFGRKDFGVIIAVEKDGFKILKGGPEGSAVTVRKQDIKKGVVDKMFTAVDHQNKSISMNDTVKVLEGPVQGKQGVVKHLYMGILFIYDESESENNGFFCAQCGSCENIKKRRDLTNSEDNPTPLFSESAFIPSEQNEQQNNERPYRAPREQLFQVGEILRIRRGPLKGYLCRVVRIFRNDVTVKLDSLLKIVTVQAELLTVPTKRGDNSSSAPCGNFGTQDTSFTEAAKTSWDNGFASLGSDSWQPFSSSALPAQNADGETEADPWCKKPTSSADGDSDPWGNKTASAAVDIWNNSTKQKESSSDNAWGKEAGGGGFDAGGSSWGGGAVNKDSEKSDNWGEACKQVDTATGGDTDPWGSKVKEVVTKEADSWEKSSWSPEKKLEGDGQGWGQPFGKSNQDQEKGTVPKDTDKGGSWDTTAAICAGSASDAWAKSDTKPVAQDDAWGKSKDSGQNCSSGGWDTVAVGQGQDDAWGKLKDSGAKSEETNNGSGNWNKAGSSDQVGGSDWDKPKFSGGAGSSSWNKGDAAEGDNQNSTWSRPAGNFEGGRGFGRGRGRGRGRESGDSGDRNDQESWKGSWRNDSSAKPSWGSDTQGGNEGGDSGGYRGRGRGRGQYGGRGGGRDSGWRNGGDRGGSEFGKERSSSDTPNWGNSQPWSANEGTKPCDENQTPAWNSSEDKKLSGGEQGDPWASKVTAKGQEQQTDAWSSKAVGAENNDGWNTKVKESSCNTGAKWEDAASGEKQQGDPWSNKTSSTTKGKEQETDPWASKVSSTAAEEKADPWSTKGGNGNDGGWNNAGSSSWGKPSSSSGDQEPAWNKPKYGDDNAGYGRGGFGRGNRGRGRGRFGDSGSSWNGGGNTNDGSGGGRSEDQWNSRDSDGGRGRGRGRFGRGDRNQGNNFGTGDGDGGSWGSGRGNRGRGGGYRNNGNDNNEGRASSQDRGGGWSHSSAWNADKKGSAEEDQSFSKGWGAPKPSGGDDQAGKDGNNSWSQNKPSSGDGSSILGQWAAPAGGTTAGRGASGNGSSILGQWGAPPGNTTAGTGGSAGEGGSWGKSNEDSWNSSKGTGGSEGGSKKEGSWDKAEGSGSQGGGGGSSWDKVSGGGWDNNKGGDAGNGGGSGW >SECCE6Rv1G0422700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:690812233:690812634:1 gene:SECCE6Rv1G0422700 transcript:SECCE6Rv1G0422700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSKVVAIFAILVFLQVWCAAGQVHNVPAVMSLNGFEQGEEGGPAKCDGQYHSDDLFLAAMTSAWYGPGLRCGKMISIKSSDGSTVQAMVVDECDTDNGCGATEISTSAAVWKFFGLDTSVGEVAVTWSDV >SECCE7Rv1G0476790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:211339715:211343408:1 gene:SECCE7Rv1G0476790 transcript:SECCE7Rv1G0476790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAPEMAAPLLLQVEDADAEWSSRPHRIALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVLVVTTHKGAPEEFHGAKVIGSWSFPCPLYQNVPLSLALSPRIFSAVSKFKPDIIHATSPGVMVFGALAIAKMISVPMVMSYHTHLPAYLPGYNLNWLLGPTWGLIRCLHRSADLTLVPSVAIAEDFETAKVVPANRVRLWNKGVDSESFHPKFRRHEMRMKLSGGEPEKPLIIHVGRFGREKNLDFLKRVMEKLPGVRIAFVGDGPYRAELEKMFTGMPAVFTGMLQGEELSQAYASGDVFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKIAQLLSSKDLRESVGRAAREEMENCDWRTASKTIRNEHYSTATLYWQKKTGRTV >SECCE1Rv1G0043580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:594242048:594242845:-1 gene:SECCE1Rv1G0043580 transcript:SECCE1Rv1G0043580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTMALSSPGLAAAKIASSNVFGEGRITMRKTAAKAKAKPAASSSPWYGPDRVLYLGPLSGDAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGYFVQAIVTGKGPIENLADHLADPVNNNAWAFATNFVPGK >SECCE5Rv1G0370510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:842349506:842351314:-1 gene:SECCE5Rv1G0370510 transcript:SECCE5Rv1G0370510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKINLAVDRSRNRVLFADAGSDFVDVLLTFLTLPLSAFQSCSAGATSPGCLSNLCDSVDRLRNSRLLKVEACHGMLLTPAHTDEFDTETFVSRKERFVISDDMTIKPASTSSMQSLPHVFGSDGISHGFEEVDVSISWETVLFMFKASLYSDTVLTDAFLSKETDYHEAANASVKNIIINQKMLPSDKYTAGSSPKSKIKIFYHTDEKKVMYAECNHEFVDLLLGFLTYPISSVIKNTGDSTPHLGTCLNNLYKSVAGLDEAGCFTGALTRTKLLNPSLRPFDVLSNLVCRPLDCQCRKDTMPELACFCCHPELVEDGRYVVGDDLQIHQASAMSLMKHWCGRNMGMVLEMDITIGKPEAVSLLQAVLTSKTVLTDVFIDKLEECFSQKKIQIFAKIPRGKTITVEVARADTIATVKSRIKGKVFISAGCRHELVYGSRYLKDRCTVAECNLVRECTITCEFYKK >SECCE5Rv1G0319590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:377129719:377130225:-1 gene:SECCE5Rv1G0319590 transcript:SECCE5Rv1G0319590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELALACSLSRTLSPLTHPLLFILVSPSASDDLSTHSFDYRAFLLVGSRFVPTSLTVVNVGPGFRDQYHSFAPESPFPLLPTQPPPAPQAGDAYSIGEQKAVDAMVDGFGLGKLQGLVCAAAGQAAEMDSMYAGMLRRMEKLAREVEKSNHRVLDQVVFSSSLRCCS >SECCE6Rv1G0389800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:162027813:162030147:1 gene:SECCE6Rv1G0389800 transcript:SECCE6Rv1G0389800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEMEAAWRGWMTWRAAAVLLSAWLALHVAARMADALWWRPRRLEAHFAAQGVRGPPYRFLLGSVKEMVGLMVEASSKPMSPPTSHNALPRVLAFYHYWRKIYGPTFLIWFGPTPRLTVAEPELIREIFLTRADAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLGDAFYPDNLNRLVPHVAKSVAALAEKWREMAAADGSGEVEVDVAEWFQEVTEEAITRATFGGSYNDGRVVFGMQSQLMAFASEAFRKVLVPGYRFMPTRKNWLSWKLDRDIRRSLTRLIGRRSDEAAAVESEGEKADAGSFRDLLGLMINAGQRTTRAPAAKAIPVHDMVEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQERARREVLDVCGSDEPSKEHLPRLKTLGMIINETLRLYPPAVATIRRAKADVRLSDGCMIPRDMELLIPIMAIHHDARYWGQDAAQFNPARFAGGAARAAKHPLAFIPFGLGVRMCVGQNLARLEAKLTMAILLQRFQIRTSPNYVHAPTVLMLLYPQYGAPLIFRPLASRPSSCPRPESLCQN >SECCE4Rv1G0296150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:901639173:901641989:-1 gene:SECCE4Rv1G0296150 transcript:SECCE4Rv1G0296150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAAVCTDPFVICCTFLCLLLLLALRSLSPNSVTGRRLPPGPRGVPVLGALPLVGPAPHSGLAALARKHGPVMYLKMGTCGVVVASSPSAAQTFLKALDERFANRPAVASAEDMSYGCQNMVFANYGPKWKLLRKLSSVHLLGASAVAGWASVRRDEAGHALRAVLEAADAGRPVVVPEMLVCALANIVGQITVSKRVFDAQGEESSTYQEMIKSLLTGTGLFNISDFVPALSWLDLQGVQAKLRRIHVQFDRLVTKWLAEHTATAEDRVREGRLDFVDKLRAINDDEGSDTITEVNIKGLITDMFTAGTDTSSIIVEWAMAEMIKNPSIMARAQEEMDRVIGRDRRLEESDIANLPYLQAVCKEAMRLHPSTPLSLPHFSFEECEVDGHHVPANTRLIINIWAIGRDPDAWEDPLEFRPERFMSGPAAKIDPMGNNFELIPFGAGRRICAGKLAGMVFVQYFLGMLVHAFEWRLPDGEDKVDMADIFGLALPKAVPLKAVVKPRLVRAAYM >SECCE2Rv1G0108640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:666525838:666528696:1 gene:SECCE2Rv1G0108640 transcript:SECCE2Rv1G0108640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDIVEAQPFAGINSPLPLDEHRLATPERDTTSMPQSCSTSTSECVEELKPALGMIFEGLEAVEVFYKSFAHRVGFGVRVGQQKVVDNVVESKRYMCSSQGFRSEKVKRNVKAANKKRKREVTRCGCDAHIYVKRCSGNTYKIHSMIEQHNHGFVPPDKLHLIRSNRGASEKEKVIPECDEALRPSIGMAFEGLSSAEEFYKSYARHCGFKVRVGQHKLLNKEVVQFKRFMCSREGFRSDKGKDPSERKKRNVKVTRCGCYAHIVVKWCSGNTYKVSSLIEHHNHGLISPDKATKSKRRVSEKTKNKLSSSLAPECDEALKPSVGMVFEDIGSVEEFYKSYAHHCGFSVRVGQRKLLNKEVVQWKRFMCSREGFRSEKCMGVDDPSRKRKVRKVTRCGCDARIIVKRCSDNKYKITSWIEHHNHGFVPPDKAKPTVSTHCKASKGTSKANKPGHVSEGRSDNVGCTKRDLQKYHHDLCSKIKNEDAQMFVAQLCRKQQVDSAFFFDCDVDGRGMLVHVFWADATSRENYIYFSDMLCFDSTNTTNQHGMIFAPFTGTNHHLQSVFFGAAFLADEKIESYGWLFQTFVRAMGGVAPRTIVTREDDRMKAAISNVLPATIHRLCMMEMLGRMPEKVEPSLSNNPLFQKRMDECVLGSETVFEFESKWDSIISDFGLEGNQWLAERYSTRERWIPAYFTDIHLSGILRNNAWSKSAKSFFNCFINQNLSLVEFWLRFEAALECQRQEESNADHTSANTTPQLITPWGLEKHGSLVFTQEVFERFQEEVVAARDHCLVEDVAQTEGVKTVAIGGDDSDKVREVRWDAVTMKANCSCKLFESVGIPCRHIALALRSSKLNELPSSCVLKRWQKSAKFA >SECCE1Rv1G0061930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717893790:717896874:1 gene:SECCE1Rv1G0061930 transcript:SECCE1Rv1G0061930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKAAASPALAAASSAAAASSPSFSASAAPRGRAAAARRVALRGAARPVPAIRASASAAPAAPAVAGDKRTISGTFTNLKAQGKIAFIPFIVAGDPDLATTAKALKVLDACGSDVIELGVPYSDPLADGPVIQASATRALSKGTTFEDVISMVKEVVPHLSCPLALFTYYNPILKRGVANFMSVVKEAGVHGLVVPDVPLEETDILRSEAAKNNLELVLLTTPTTPTERMERITKASEGFVYLVSTTGVTGTRANVSFKVEALLKEIKQATDKAVAVGFGVSTPEQVKQIAGWGADGVIVGSAMVRQLGESGSPEEGLKKLEELARSLKAAFP >SECCE7Rv1G0525320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:889342877:889345812:-1 gene:SECCE7Rv1G0525320 transcript:SECCE7Rv1G0525320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQARHQEVITEISLPGLRLRGELYALNFTVLRTLRSINLSNNQIRGLFPPALASSLPNLRHLILQDNELSGEIPRQIDHLEGLVRLNLSNNLLSGPIPGELGYLKELEIVDFSINNLTGPIPRYLGNFTKLTTLYLYGNQFTGYIPRELGYLMNLRELALANNKLMGSIPGIIGSLINLTGLYLWDNQLSGHIPRELGYLKNLEKLHLGNNKLKGSIPNIFRNLTKLTTLCLGGNQLSGHVPQQIGTLMDLVRLELGTNNLSGPLPPELCSGSPLRHLTAFDNNLNGQLPSNLVRCKSLVRVRIERNQIEGDISELGVYPNLLYMDMSSNKLFGQLSYHWGECRNLTKLGISNNNIMGKLPANMGQLSQLKVLDLSSNKLEGEIPSELGNLKNLFQLSLADNLLHGGIPQEIGALSSLELLDLSSNNLSGLVQGSVENCLKLRSLNLSGNNFKGNIPTALALLHNLHELLDLSDNSFIGTIPSQFGGLVMLDTFNLSHNELNGSIPSSFQSMGALTSIDVSYNELEGPVPESKLFQEAPLQWFMHNKMLCGVVKGLPPCSAATQNKGKRKIYKTLVLAIVPAMICFVLVVVILMSRHERKRSTADNTNVTQVNIFSIWSFDGANVFKQIVEATNSFSNIYCIGTGGYGSVYKARLPTSEIFAVKKIHMIEDECCVSESFRREIEALVQIRHRNIVKLFGYCSSSQGKFLIYEYMERGDLAATLRVNGRAIELDWRRRIHIVQDVVQTLAYMHHDCLSPIVHRDITSNNILLDLEFRACISDFGTAKILNIDGENINRLAGTKGYLAPELAYTENVTEKCDVYSFGVLVLELFIGSHPGDLLSSVLSDTKNNDVCLLDLLDSRLVLPDGETAREIYWMLTVAVQCLEQSPSCRPTARRASEELFAIKACQDHVDYLHASLTIPAQ >SECCE7Rv1G0469410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:108892031:108894502:1 gene:SECCE7Rv1G0469410 transcript:SECCE7Rv1G0469410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVCGSKQSKKVCVIGAGMAGLAAARELRREGHEVTVLEQSGDVGGQWLYDPRTDTADALGVAAPVKVHSSMYASLRLISPRQTTGFTDFPFFPKSDRDNRRFPGHREVHLYLKDFCDAFGLMEAVRLNTRVLRAAMTPARQWAVRSMYVGLGECDDADEKAFDAYVDEVFDAVVVATGHYSQPRLPSIKGMETWKGRQMHSHSYRVPEPFRGEVVVVVGCGDSGRDIAMEIRGVAKEVYIVAGSMEAVTPGLSKVLAKYSANLHLRLEVERLCEGGRVAFKDGGGSSSSVAADTVIYCTGYNYSFPFLDTDGEVAVDDNRVGPLFEHVFPPSLAPSLSFVGLLKKVFAPRSFEAQARWVAQVLSGRRKLPTEEEMLRSVEEFYRAREIAGVPSKYTHEVRSLKSSYVDDFGEKYCDFPRLERWQHELLRSSVDDMMDKFETFRDDYQDSDSIRKAVHEWHLSCLHSSPRDETTNGCL >SECCE4Rv1G0254990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:643216472:643218261:1 gene:SECCE4Rv1G0254990 transcript:SECCE4Rv1G0254990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVPLFSLLSLFLCCVLAKGGTKVAPSTNGADRRGRSLQSDHVFSVLGYGAYGDGRHDDTKALLKAWAAACSSLNPSIVLIPGGKKYLTRHLTFSGPCKSSIKLMIEGTLVAPPKRSYWIEDTVRHWILFRSVSGLTVTGGGTVDGNGKIWWQSSCKVNSKLPCRQAPTALTFYSCTNLEVNNLQLLNSQQIHISVEHCSDVRMSRLSITAPGASPNTDGIHIAHSKDVRVMDCAIKTGDDCMSIEDGTENLHVKNIACGPGHGISIGSLGDRNSQAYVANITIDGARLRGTTNGARIKTWQGGRGYAKNIVFQNMMMDDVRNPIIIDQSYCDSAAPCNEQESAVEVSNVLFKNIRGTSASREAIKLSCSRAVPCHGIALHDVRLTLKRGGGDAESTCENAKWRKLGTVMPQPCNLND >SECCE4Rv1G0229280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:146239805:146243696:-1 gene:SECCE4Rv1G0229280 transcript:SECCE4Rv1G0229280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPTNGGKLTPNLAMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNSQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQQDCDAVANLFGRILNAYARVPPKAKVPSTKSEFEELEAVPTSAAIDGPLEPPPASTLISDTPDESLANYFGGAASIGSVSSGSMVGRAHPSTETTAASHTPLIMPSAAQSHQIPPPFGGSSAPPLPLQDTNAHASHSTNLVTPAFFAPPSSSSASLAPPISSMMPTAPPLHSTSSSTQHPQYGHGTPLLQPFPPPAPPPSFTPAHNDGSVISRDKVKDALLRLVQSDQFIDLIYRELQNAHM >SECCE4Rv1G0262270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:706828439:706834830:1 gene:SECCE4Rv1G0262270 transcript:SECCE4Rv1G0262270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTGSWMMDLCGGPVCSNQDALSCAFNEVFDSSTCRNHLAATGIALLLVLALALLLLIKIPKSGASAQGLVALGSPLQLAAVVFSGCLGLVYLGLGLSMLGSIFSQDAAAYLPHWWLVTLSQGFSLVLSSFAFSIRPRFLGASFVPVWSILVAVYAAFICCSSVVGIVADKAITIKACLDVLSLPGAFLFLLYGVQRSHDEDGYEATGNALYKPLNTEADDQIADSDTQVTSFAKAGFFSKMSFWWMNHLMKMGYEKPLEDKDMPLLQTTDRAHNQYLMFLEKLNSKQSRSHATPSILWTIVSCHKREIIVSGFFALLKVLTLSTGPLLLKAFINVSVGKGTFKYEGFVLAATMFVCKCCESLSQRQWFFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKMKHSSGQIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNAVGAAAVSSLAVIIITVIGNAPVAKLQHKFQSKLMEAQDVRLKAMSESLVHMKILKLYSWEGHFKKVIEGLREVEYKWLSAFLLRRAYNSFLFWSSPVLVSAATFLTCYLFKIPLDASNVFTTVATLRLVQDPVRTIPDVIAVLIQAKVGFTRISKFLDAPELNGQVRKKYRVGTDYPIAMNSCSFSWDENPSKPTLNNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTIEVCGKIAYVSQTAWIQTGTVQDNILFGSLMYKQIYQETIERCSLVKDLEMLPFGDRTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNDYVMGVLSDKTVLLVTHQVDFLPVFDSILLMSDGEVIRSAPYQDLLADCQEFKYLVNAHKDTVGVQDPNSMPSHGAKEIPTKETDGIHVNRYIESVGPSPVDQLIKTEERESGDTGLKPYMLYLRQNKGFLYACLSVMSHIVFLAGQISQNSWMAANVQNPHVSTLKLISVYVGIGVCTMFFVLSRSLFVVVLGVQTSRSLFSQLLNSLFRAPMSFFDSTPQGRILSRVSSDLSIVDLDIPFGFMFSLSSSLNAYSNVGVLAVVVWQVLFVALPMIVLVIQLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFAKNLELVDKNAGPYFFNFAATEWLIERLEIMGAAVLSSSAFVMALLPAGTFSPGFIGMALSYGLSLNNSFVNTIQKQCDLANKIISVERVNQYMDIPSEAPEVIEENRPAPDWPQVGSVELKDLKIRYREDAPLVLHGITCKFQGRDKIGIVGRTGSGKTTLIGALFRLVEPAEGKIIIDSVDISTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLEKCQLLEAVQEKKQGLDSLVAEDGSNWSMGQRQLFCLGRTLLKRCRILVLDEATASIDNTTDAVLQKTIRTEFKHCTVITVAHRIPTVMDCDMVLAMSDGKVAEYDKPAKLMETEGSLFRELVNEYWSYTSNGNI >SECCE6Rv1G0411430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:607038614:607039446:-1 gene:SECCE6Rv1G0411430 transcript:SECCE6Rv1G0411430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKRTIGLAMDYSPSSKAATRWVVENLVKAGDRIILIHVLPKGADASHKGLWKSTGSPLIPLLEFMEMNVQARYGVNPDKEVLEILQAESKSKQVEILAKIYWGDAREKLCEAVDDLKVDSVVLGCRGLGPLKRALLGSVSNYVVNNATCPVTVVRGPNGSLA >SECCE4Rv1G0266790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:733576355:733581064:-1 gene:SECCE4Rv1G0266790 transcript:SECCE4Rv1G0266790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIGVESKGSPGDAADPTSKKDRRTSWGCAFILVNNCFQSAAYFGLSTNLVNYLKVQLHSGSKAASNSVTNWQGTSGVAPLAAAFLADSFLGRYWTITLFLLVSVAGYGVVTASAWAALEGAVFYAGLYLVGLGGALQPVMASFGADQFDVESDDDEERGRQSSFFNWFYLSLTVGSLVGGTVLVWVQSAHGWRLGYGIPALLSVAAVGLFLAGTGAYGRHQPPGGSPLTRIAQVVVAAARKCDVEIPTDAALLHERDGDDGMSAILGSRRLAHTNQFRFLDKAAVEMVGDKGRPVSPWRLCTVTQVEELKCVLRLLPVWACGIIFAAAYTQVSTTFILQGDTLDPRIGSFRVPAAVLTVFDTLSVMLWVPLYDRAIVPLARRLTGNRRGFSQLARMGIGFVILTVAMLAAGMLEVARRRLVARNGTYGDADGAEYVSLSIFWQVPQYMLLGAAEVFTFIGQMEFFYDQAPDAMRSICSGLARAAFALGNYASSALVSVVVCFTTTRGRPGWIPDDINDGHLDYFFWLLAMLCMGNFGGYLLVARWYSYKKTAD >SECCE5Rv1G0337080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588583592:588587062:-1 gene:SECCE5Rv1G0337080 transcript:SECCE5Rv1G0337080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGLVPWLPLLLLALAACWGGRADGHPLSRIAIHRARVALDASAAVRASPSLLGSRGEDTAWVTVEFKIPRASDGDWVGVFSPSNFNASTCPGSHGSGPGPAICSAPIKYQFANYSSGYNKSATGALKFQLINQRQDFSFGFFTGGLSNPTLVAVSNRIVFANPKAPVYPRLAVGKTWNEMTVTWTSGYGISEAHPFVEWGMKGSHPVHAPADTVTFGRESLCGEPARSVGWRDPGFIHTAFLKNLSPEKEYYYKIGHTLHDGKVIWGKPKSFRAPPYPGQKSLQRVVIFGDMGKDERDGSNEYQNYQPASLNTTDALIRDLDNTDIVFHIGDISYANGYLSQWDQFTQQVEPITSRVPYMIASGNHERDFPNSGSLYNGTDSGGECGVPAETMYYAPTEKRDNYWYSMDYGMFRFCVADSEHDWREGTEQYGFLDRCLGTVDRAKQPWLVFIAHRVLGYSSGFFYGFDGAFAEPMARQSLEGLWRRHQVDVAFYGHVHQYERTCPVYEERCVPDGHGHGTVHAVVGGGGSHLSNFTAVAPPWSVYREMDYGFGKLTASDARSLQFEYRRSSDGKVYDSFTLHRD >SECCE7Rv1G0455840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8293069:8295444:-1 gene:SECCE7Rv1G0455840 transcript:SECCE7Rv1G0455840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSFRARPLVSPLPGARRSRVCVAPERRRRGRAVAASTGNYVVPLDAAPSGITRPLVEILRDLNKRVPETIVLPASRRASASDPVIPWYHANRMLSFYAPGWCGEVRDVIYNDNGKVTVVYRVTIRGIDGEVHREAAGTASLSDVRLDDPVAAAEEAAFCKACARFGFGLYLYHEDEVL >SECCE1Rv1G0010340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:61811973:61814444:1 gene:SECCE1Rv1G0010340 transcript:SECCE1Rv1G0010340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRLRHCSTTPMSRIRLRRRLSSSTTRPSPSRSPRAAFAAATDRACDGTLSPQDAHHLFDQLLRQATPVPERSLNGFLAALTRATPSVACRDGPALVLSLFNRVCREEAGMRVAPPTIFTYGILMNCCCRTRRPELGLAFFGRLLRTGLKTDKTVANTVLKCLCCAKRTDDAVKVLLRGMAELGCVPDAFSYAIVLKSLCDDNRSQQALDLLRMMAKEEGVCSPDVVTYNTVIHGFFKEGKIGKACNLFHEMTQQGFVPDVVTYNSIINALCKARAMDNAELFLRQMVDNGVQPNKVTYTSMIHGYSTLGRWKEATKMFREMTSGGLIPDIVTWNSFMDSLCKHGRSKEAAQIFDSMAANGHRPNIVTYGILLHGYAREGCFADMMSLFNSMEGNGIVANSLVFTILIDAYAKRGMMDEAMLILTEMQGQGLSPDVFTYSTLISALCRMGRLADALDKFSQMIRTGVQPNTVVYHSLIQGFCTHGDLVKAKELVYEMMNNGIPCPNIAFFNSIVHSLCKEGRVMDAHHIFDLVKDIGERSDIIMFNTLIDGYCLVGEMCKAFSVLDVMISAGIEPDIFTYNTLVNGYFKSGRINDGLNLFREMSHKEIKPTTVTYNIILDGLFRAGRTVAAKKMLHEMIGCGTTVSLSTYNIILKGLCRNNCTDEAIVMFQKLRTINVKFNITTLNTMINSMYTVQRREEAKDLFAAISDTGLVPNASTYGIMIRNLLKEGSVEEADNMFSSMEKSGCPPCSRLLNDIIRTLLEKGEIVKAGNYMSKVDGKSISLEASTSSLLLSLFSGKGKYREQIQLLPVKYQFFDGVS >SECCE3Rv1G0158100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85445295:85448571:-1 gene:SECCE3Rv1G0158100 transcript:SECCE3Rv1G0158100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWTPSPVAATTAAATSQAQFGFSAARPAQARQAVVLPPLARRFPGSSLLAVNAPAPSPSWRRHALATEVEGLNIADDVTQLIGKTPMVYLNKIVKGCVANVAAKLEIMEPCCSVKDRIGISMISDAEEKGLITPGKSVLVEATSGNTGIGLAFIAASRGYKLILTMPTSMSMERRVLLKAFGAELVLTDAAKGMKGALDKATEILNKTPNSYMLEQFDNPANPKVHYETTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEKNPDVKVIGVEPTESNILSGGKPGPHKIQGIGAGFVPRNLDSDVLNEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVAQRPENAGKLIVVVFPSFGERYLSSVLFQSIREECEKMEAEA >SECCE3Rv1G0210010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:941994423:941995106:-1 gene:SECCE3Rv1G0210010 transcript:SECCE3Rv1G0210010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHSAPVAAKRMWGYLRAVFFMMRKGKRKLLLGAHLLMKRRNKAVARSVANLLSHHHGHHHGRALRRREYEFSCGDSPDPGSFSMRRLAFPCMGAADDVDQPGPGRLPADPAAPATPPRHMIEYYANAAAASPAPSSPGALMMMREESELALGEECTMSPLVPGAADGGFSVRVSNFSSDEADADQLGLGEAVDDEAEEFIARFYAQLRRQNHIGLLPYHLQEAAA >SECCE3Rv1G0205930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:903704954:903709423:1 gene:SECCE3Rv1G0205930 transcript:SECCE3Rv1G0205930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCVATPGSGGGGGYHSPASHLLEMEGVRLLLDCPVDLSALAAFAPVPLGPDSGDVGDLIRAVPHYWSPAAAAAAKAGGVDAVLVSSATGMLGLPFLTRLPSFANTKVYVTELAARIGKLMMGELVETHSEFVRYYGPDTDVPPKWMQGEKLHKLLSLLQNIVIEDEGMDLAPLMPLYSAPNIEECMRKTQTVKYGEEVCFNGMLMLKASSSGLELGNCVWSIKGPRASITYLPSTVFVSAHALDCDYNSLKENDIILFSDFSSLNAMDENAMVCEDSLSRADGVDEDEYVQCLSKNDDIAEEIERISFICSCISDAIKSGGSALIPIGRLGVILLILEHISETVLSSNMKVPIFMISGAAEKIISFTNAVPEWLCKPRQEKLFSREEEALFGHVELLKEGRLFLFPHLYSKGLLAAWKEPCIVFCPDWNLRHSTAAHLLRRWHADKRNLLVLEQGVDAELILKPFTPVAIQVLECSFLSGIKVRKVNPLLSVLKPKLVLFPEELKSRCPSKEDAPWSYLYYSKGKTIEIPNIREDFEVGLPTDFALGLQPRQLDKAIAVARLRAKLHLSNGQYVLVAPKGRPDQSKQQLLHWGAVDAGRLLSVLQEKGIACAFPADDDDNLAGCERSILITSPAEALVKMAPERTVIYCDDESMTEQIYDALSSVCNGI >SECCE3Rv1G0196210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:806693487:806695382:1 gene:SECCE3Rv1G0196210 transcript:SECCE3Rv1G0196210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLLLLLGCLASALLAGATKVHHHEFIVQETPVKRLCEEHNIITVNGQFPGPTLEVQEGDTLVVNVVNHAQYNVTIHWHGIRQFRTGWADGPEFVTQCPIKPGGSYKYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPREDKPYPFEKPAREVPILLGEWWNANPVEVIREAQRTGGAPNVSDAFTVNGQPGDLYNCSRQDTTAISVKPGETALLRFINSALNHELFVSIANHKMTVVGVDASYTKPFVTSVLMIAPGQTTDVLVTMDQAPTRYYIAARGYVTTQGVAFDNTTTTAILEYDCGCTTDFGPAIPPAFPTLPAFNDTGAATAFAAGIKSPRKVEIPSPVDENLFFTVGLGLFNCEPGQLCAGPNNNTRFTASMNNVSFVFPKATSLLHAHYYDMPGVFTTDFPAYPPVQFDYTAQNVSQSLWQPIPATKLYKLRFGSVVQVVLQDTSIVTPENHPIHLHGYDFYILAEGFGNYDAEKDADKFNLENPPQRNTVAVPVNGWAVIRFRADNPGVWLMHCHLDVHITWGLAMAFLVEDGYGELQSLEAPPVDLPMC >SECCE6Rv1G0380210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:19807722:19809053:1 gene:SECCE6Rv1G0380210 transcript:SECCE6Rv1G0380210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVLPTTDRRTHFSLIADEILEEIFLRLPTPEALACASAACATFRRVITDRPFLRRFRKLRPPPLLGLISDRGGGFHPAEAPHPSARLARALLDAADFTYSFVPKPKEGWLSPWHPRDVRDGRVLLDCRVPGRTFKSALAVCDPLSRRYVLLPPVPEDDITAQEGRPAELAPVLAPVGDHEDETSFRVICMAHYRTKVVAFVFSSVTRQWCIAASPSWSSLGTDSPHGLQNSSDSRGCRGLSSFDHVRGCFYSASPWTDKFLVLDTRRMEFSTISDHSGFHELLRRLPGQALAEHGMHHRTRPGQTRSLPGFVVGREGSLELLSLVGDHSPNGSFRLVYTTQNNSESPKEWRLESVIPLPGQYDYFTVGAAEGFLFLGGTTESQEDCQETEWVDTWHIDYFSLEVQTSELKKICRMTKPCFNHERVLPYFGFPPSLSRPTI >SECCE5Rv1G0332520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:549579689:549586546:1 gene:SECCE5Rv1G0332520 transcript:SECCE5Rv1G0332520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGNKATHDFLSLYAPAAAAAAAKDPSLQLHDSKPPAASQGFFLKTHDFLQPLEQPAASAPSGADRQPQTTKHALPGGIGTFSVSQQAPGAAGPQPAAAASVVVVKPEPPPFVLWGQPTASQPPRAQGHHHQWTLPYAGAGQAATASRKHPAERKRRGGFMDSGSRSSGGAGFDDDDGLAARREVSSSLQELSVRVDAKGGSCSGSGTDQLPNTPKSKHSATEQRRRSKINDRFQILRDLLPHTDQKRDKATFLLEVIEYIRFLQEKAQKYEASFPEWNQENAKLLPWSNMYFRSSWKNAQSKGQIPEDASPDPSQFVRNGASPGFNITGKLDDNHTAVASAAISGAPDQAETDHMASVSCRSADTPTNIMNNVSPQSQPQWADPSGVDDCAVNSDVLNNQQLMIDEGTISVSSQYSQELLNTLTHALQSSGIDLSQANISVQINLGKRAAKRPTAGESSSFKEHTDLAPTNDMMGHPQAMLAAAAEGLPHATKRYKPSNT >SECCE1Rv1G0047440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:625355834:625362501:1 gene:SECCE1Rv1G0047440 transcript:SECCE1Rv1G0047440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDKSKSPAVPASHGKDPGKPPVDDAPSSATKQKVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPAEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSRSVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDDEFLYLIMEYLPGGDMMTLLMRKDTLTEDEAKFYIAETVLAIESIHKHNYIHRDIKPDNLLLDLSGHLKLSDFGLCKPLDSSNFPNLNEPDYTPGKGAKPLPDNTSRLTNSSAPKRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSSETKDLISKLLCNVEQRLGTKGAHEIKAHTWFRGVQWEKLYQMKAAFIPEVNGELDTQNFEKFEETGAQVQSSSKAGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKSKRPTIKTLFESMDEDEPVHGSFLNMLPHKEGQPSSHSSAPPEQYQPRRK >SECCE2Rv1G0119130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:788441581:788446342:1 gene:SECCE2Rv1G0119130 transcript:SECCE2Rv1G0119130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAALPAGGGGAVAAAVGGLAAVAAVAGVRMGNKERANTPPVVPGLPIIGNLLQLTEKKPHKTFAKWSDIYGPIYTIRTGASSVAVVNSTEVAKEAMVAKFSSISTRKLPKALSVLCRDKTMVATCDYGDFHKMVKRFVMAGLLGSSAQRQFRETRNMMMDNMLTTFHTLVTDDPLAPRNFREVFKEELFRLSLIQGLGEDVSSVYVKEFGRDISKDEIYQIIVADMMMCVIEIDWRDFFPYLSWIPNKSFDTAVATTESRRTTVMRALIDQQKERIARGKARASYLDFLLAENTLTDDQVMMLVWEAIIEGADTTLVTTEWAMYELAKNPEKQDRLYREIEEVCGEDMVTEDHLPRLPYLNAVFHETLRRHSPVSLLPPRFVHETTTLAGYEVPTGTEVIVNVYACNMDKKEWEEPEEWRPERFLNNGRFDVADMYKTIAFGAGRRVCAGSAQATGISCTAMARFVQEFAWTLREGDEDKVDTVQLMGYKLHPLYVYLSPRRGR >SECCE4Rv1G0263870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718151786:718152501:-1 gene:SECCE4Rv1G0263870 transcript:SECCE4Rv1G0263870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVQQYNITHSSFQRRACLCNDRVREIMWGMQNLMPLLIPQEQSEFTKADRLPICKGLDMLLSQHGINNVKQELINEDILQLALKVYRADLREKEHSKFLRISLGLDKHLKAISGFDAKDWGLVKLATVLKMMVDPDGKHLTLAESFKVNLTFFSAKL >SECCE6Rv1G0411240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606297626:606299769:1 gene:SECCE6Rv1G0411240 transcript:SECCE6Rv1G0411240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase [Source:Projected from Arabidopsis thaliana (AT3G12040) UniProtKB/Swiss-Prot;Acc:Q39147] MKPLRSIPAVQPQTPVPQLKPPRHRSPPAAGGPSPMTTPGAATPPSFKRSSPRKKQRPRSRLLAADFAAGEAEAARALVAARATPSLLSPPAVSPHSPVGAALPREFFEVDALDLAPRLLGKLLRRDQVVLRITEVEAYRPNDSACHGRVGITGRTAPLFGPGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRACAPVSGLEIVQQRRGQQTEKPILLTGPGKVGQALGLSTDWSNHPLYTPGGLEVLDAPEPENILVGPRVGIEYASPKHVMAPWRFAIAGTPWVSAPKNTLRPQ >SECCE4Rv1G0288740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861568494:861570263:-1 gene:SECCE4Rv1G0288740 transcript:SECCE4Rv1G0288740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFIVLPFTAAASACLPLSKPVAGRSKRGRRRVSCNCKATEGSSAGAREHRLDRRDVLLGLTGVGATGAINLGGLALAAEPDSVPATCVTVPITDQVIRCVSADGFQCPGVYRPEDVIDFSTLPPPNGPLRVRRPAHLVAADKEYMRKYEAGVRMMRDLDASGDPRSFKSQAAIHEAYCNFHYKATASEIDFDVHFSSIFAPWHRMYIYFFERIIGELIGDNTFALPYWNWDAPDGMMLPPIFNNTSSPLYNAKRNQAHVKAVMDLNQGPATDNGLPLCSDDACVKENNLSVIYRQMAVDTALQFHGNKFCAGGTPGSPGSLENAAHTAVHIWVGGDMGVLGTAGRDPVFYSHHANVDRMWQLWTTTLGNQDFLGAGTGTDDWRDTSFVFYDEKRRPVRIMVCDVLDARNLGYRYEEKETLEWRDKRPKPATGIDRSATQPGVPAALSFPVALKKGRKEYVTVERPKEARDSGGSSKTAPEVLVVDVTIDPCEYAKFDVLINVPKGQEARVGPQDTEFAGTFENLPHGGGDGGGRGMGRLTLTYRFALRELVEDLGCGKDRRLDVTLIPRAGEMVVVDGVRVELCN >SECCE2Rv1G0066020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:11336705:11337988:1 gene:SECCE2Rv1G0066020 transcript:SECCE2Rv1G0066020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKTQSHSQSPLLLQAADSVSRVLDDEDLLVEIIVRLGLPTTLIRAILVCKRWFFIVSDKAFLRRFRSLHPPRLLGFYVSTRSTDELLPVLLRPRFVPMLPQPPELATAVRLAENYSLDAYNEELACIYDCQNDTILLHGLQGKTTLLGVHWPLCPERGVVAPPMTAYNQFDGASPAGTCANFLLKDQGDGKSLTFIWLSIAYLIEGKKYSIKYKANIYMLQDGLWHWRSSAAAVLPSPRTDLNPLMSGNKIYMEHSSSIAAFNLTTSNFSSIPLPEGMERYVCEDMMMSRANDSGIYLIHLEKLQLRIWLHRGDNSGSMRNWLLLDNICLREMLDALGMAGGEQPTLLNTRETGDNLQFVFLKMGQCALYLDIKHREMRKVYEVTEDDRTLERIYPFMMTWPPTFPVLKNDPARFVFSLWVIYTF >SECCEUnv1G0564930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:388467341:388467919:-1 gene:SECCEUnv1G0564930 transcript:SECCEUnv1G0564930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAMDIAAAGDLFYRALQSLEARDFTLEGLAQDGADGMHLLRQQRQQQAYADALFRELAGVDFNSDLAMAADTDDPALGEAVAKALRTVEAPSDGQGCPICMEDDDAATGAWKETPCGHRFHGRCVGRWLRAKGSCPMCRHQMVTMPAAAVTSSTSPGFSDFDMAVEGFEDAIAEFVREGASYDRSWLSL >SECCE5Rv1G0326650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:482747707:482750845:1 gene:SECCE5Rv1G0326650 transcript:SECCE5Rv1G0326650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT2G01650) UniProtKB/Swiss-Prot;Acc:Q9ZU93] MMKDKMKDLMKKVTSSSAPSFKGPSHVLGSGPPPSASTSSSRPSNPNPSPRPAPKQQPPRPSGPSEFTPFTAVISSSSSRRPDANGGDTVACPNCGDAFSSEHAVSEHLDGCLASAGGARARAAAYLAGNPPAAAVEVVKKLLGNLLKEPGNDKYRRVRLGNPRIKEAVADREGGLELFEAVGFTIGDESGELFAVMDETPAEARLSGIRQAVLLLERSHPSAPLPTLAGSESKESCRSGVDEQKEVNKIVDRQIRIFFNVPGSSVAETDTPDSFYKLSGEEVKNEAKMRRERLEQSRLLIPKSYKEKQALAARQKYKQAVIRVQFPDGVILQGVFLPAEATGSLYEFVACALKQPGLEFDLICPAVPRSRVLQHSPNTGERARTLQDEGLVPSALLKFKPNETDSVVFTGLLDKLLEASEPFTAASS >SECCE3Rv1G0158310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:86825339:86833571:-1 gene:SECCE3Rv1G0158310 transcript:SECCE3Rv1G0158310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVIMEYRPQMQIKRGYDEIAYRGAGYAETVGESGSPVRVDSGDSSAPKRKCISLNTDGFDVKREIFVPSKLSSSERRYLRKRFRAELDSIRYLLKRPEFLAIMPVSRAPGFSSSAAPRAKKVQRGSHVLRGAKGRFLPTKPRPEMSTVLPEATILKQCGAILKKLMTQKFSHIFNVPVDVEKLNIPDYNEIIKQPMDLGTIKKKLDSGSYTSPFDFAADVRLTFNNAITYNPRGHAVHDMAIQLNKMFESRWKTVEKKLLSAATKPHVEVDRADSKRRKTPPVDRSDLSIDCVRPIEILKPKMTFEEKESFGNCLASLSEDPELPGHIIDMLQQCIDNNTDQLGDGEIEIDIHALSDDLLLELKKHVDKYLQERDHQQTKFEPSENEAVNVSGLSHSSTNPCKGGELVEEDVDICGNASPILIENDSQIRTSKCGSPSTSSSDSGSSSSDSDSGSDAESEPEKAGSPAKLVKGIKIPEQPAEQEKSDAVISPVDANHTAADVELCEQDNESKAAPEDTAADVELREQDNESKAAPEGDNAKPDRQVSPDKLLRAALLRGRYADVIVKARGILSQGGDKQEELEKLQKEEKERLLAEGNAAMEARRAEAEAESKRKRDLEREKARQALQEMERTVEINDSVDPKDLEMLGTITTEHIVSSVDETSPEHSQDGMPSFLPGSGSMLEKLGLFIKVDEEEEEEEPCSKDADEGEIN >SECCE7Rv1G0516150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:843486824:843488525:-1 gene:SECCE7Rv1G0516150 transcript:SECCE7Rv1G0516150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPAALPDDALAEVLRRLAPHVLAETRLVCKAWRNAVDDRLRGSLLPCSVRGIFINFPGEYFSEFFSRPSTGPATDGGFDFLPCMCMGVKVKDHCEGLLLCGESSDRALPRPREYVVNPATRRWASLPKRPPPHTPGFHHTAHLAFEPAVSPHYQVFLIPRVPLRLPSDGESDEDDDNPLLESEWPPASYLIHVFSSVTQRWDETTFLREGDAAGIVANMVSYPWYDECRYYGVYWQRKLYIQTKNCYLTRMSLSDHTYRVIKLPGANELMGYPNNHHLGRSSGGVYCAILDVLNRLQVWYLSESCGRIEWVLKHNTRLATFERDDYAQQLDRQWILQKVNDHKEDPEHKALVDEKYDYDWNSDEDNVLYTEDVIEEAQDVVQEVEDIVEEENYRHYFFLGFNPYKKVIFLNSSSSRVLAYDWINSKFHYLGSSRTRHFCDIPYGKTNTSFPYTPCWISEFPGNELESLLEDEKLSRRKLEREAQLKEESDFTYMGEYELRKHSGRTKRVKDSAAKVRRRRHIAAR >SECCE1Rv1G0031080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:438868883:438869815:1 gene:SECCE1Rv1G0031080 transcript:SECCE1Rv1G0031080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRALDALTSPKSALLECARPKKLSSYARVRSISLPVRLHPLVAALHDSARALLRWADEPAQTGPAWVADGADRAGQVLAGLSAMLHHPQARDALRRPWTEQLLDDLLLLADFHGCFRDSLVALRQLLNETHSALRRRDGVRLAAALRAQRRAAREVSRLASSARDLCHRAAPDDDVDEITLADAFAAATAAVAAASAAIFSGLSSASAESAASAAPSPRTPTPYSPARAPASPMWLVTDLLRRRRTVSFSFEEYCNEEEEERKAAMGRVRGLEECVAAAEASGEQVYRALVNARVSLLNLLTPTF >SECCE4Rv1G0229900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:155207042:155215746:-1 gene:SECCE4Rv1G0229900 transcript:SECCE4Rv1G0229900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGAPALRVIRGSIDALGRGFDATHDTRLLYCKGSRLVDVDDGGLSSRDLVMPDGLTVPGVPKDVDCSGESGVDVPETAGPCAFHEMAGYFNKKAQLVGDIPLGSFNSAYSFTGSKRFDAMATKSLGMEGKTIPLYKVQLVRQPLSVVEEVKHAVPHSWEPSSLARFIQNYGTHVITSITIGGKDLIYIRQHPSSSLSVVEIKNYIHDLGHQRFTENEIPTGSGPIRSRNKERENLGFNSQGVYPQPPSTPYIAAKEDVTVIFRRRGGDDLVQSHSDWARTVYSAPDVIHMTLFPITTLLEAAIPGKDHLIRAINLYLEYKPPIEELRYFLEFQLPKVWAPIRDEHPLHQRKEPVCPSLQFSLMGKKLYISQEQVSVGRKPITGLRLCLEGVKQNRLCIQLQHLASLPKILKPYWDAHITIGAAKWQGPEEQDSRWFEPVKWNSFSHVSTAPVEHHEVLIGDASGVYVVTGAQLGVWDFGLKNVLYMKLLYSRVPGCTTRRSLWDHSPAAAGSHPTVGPSNITYLDPGGSGAGSSDAGKFLKIVDRSELCKGPDDMPGHWLVTGGKLGVEKGRIVLRVKYSLLNY >SECCE1Rv1G0003660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14866033:14866248:-1 gene:SECCE1Rv1G0003660 transcript:SECCE1Rv1G0003660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNVAGGEKTRWPEVVGLCAEEAKKIILADKPDADIIVLPVGTPVTKDFRPERVRVFVDIVDDTPTVG >SECCE6Rv1G0379510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:14721291:14721839:1 gene:SECCE6Rv1G0379510 transcript:SECCE6Rv1G0379510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKKRPFTLMHCYLEFSKYPKWQTREVETSLKKQKKTIDASPGTSINDPADASSVRTDATSVRTDALEHEKRPGGVKKDKRGKADDSACKLSLEIVWAAKQEKDEIKEAARNARYAQQLELRKEEIALKKKEDARNEREDARRQFELDERVMLINTSGMTDEQKQFYQDKQKEILAHGLG >SECCE7Rv1G0455130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5340232:5340978:1 gene:SECCE7Rv1G0455130 transcript:SECCE7Rv1G0455130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Lysine decarboxylase-like protein [Source: Projected from Oryza sativa (Os03g0857900)] MERQEEAPTSTTTVALATSPKPAPASNGGKKLPSRFRRVCVFCGSSPGRKPAYQLAAVQLGQQLVERGIDLVYGGGSVGLMGLVSRAVHGGGGRVTGVVPRSVLPRELIGETPGGEEVKAVSGMHQRKAEMARRADAFVALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVEGYYDSLLDFIDRAVDEGFVSPAARRIIVAAPTPGELLAALEEYAPAHHDASAIKLSWESSVDTMACSPTKPDISR >SECCE7Rv1G0480820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:274160292:274161302:1 gene:SECCE7Rv1G0480820 transcript:SECCE7Rv1G0480820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLASYPQELATATAMPALDVMPEKAHQPAMSPSHHGLGWDASGAPTPMHKRLDGKVAVVTGGARGIGEAIVRLFVKHGAKVVIADIDDAAGEALAASLGPHVCFVRCDVSAEEDVERAVDRAVSRYGRLDVFCNNAGVLGRQTSAAKSILTFDAGEFDRVLRVNALGTALGMKHAGRAMMARRYGSIVSIASVAGVLGGLGPHAYTASKHAIVGLTKNAACELGAHGIRVNCVSPFGVATPMLINAWRQGHDASAADDADADIGLDAAAPSDQEVEKMEEVVRSLATLKGSTLRPRDIAEAVLFLASDDSRYVSGHNLVVDGGVTTSRNLIGL >SECCE4Rv1G0282220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:828663010:828665336:1 gene:SECCE4Rv1G0282220 transcript:SECCE4Rv1G0282220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCLTASRPKSSKPNTIVLSGLSGSGKTVLFYQLRDGSSHQETVTLMEHNNDTFVLHSELERQGKIKPIHVIDVPGHARLKPKLDEVLPQAAGVVFVVDAQDFLSSVQAAAEYLYDILTKATVLKKRVPVLIFCNKADQVTAHSKEFIKKQLEKEVNKLRESRNAISSADITDEVQLGVPGEAFNLSQCPNKVIVAEGAGLSGNVSAVEQFIREYVKP >SECCE1Rv1G0010770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:65681251:65682342:1 gene:SECCE1Rv1G0010770 transcript:SECCE1Rv1G0010770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERHDLAAAIVGGSEQRSCVIKIDSYSRIMGKERGKCVQSVPFSVGGHDWVLRYYPNGCDSKHYEAGSISVFLALDSESSKDVRAKFRFSLLNKDGEPVRSKGKTAREHIFSSKGSQKGFSNFITKYFLENSGHIRNDSFNIQCDVTVMKSTSKGNRFSLVPPSNLCQQLGDLLESMDGADVTFHVSGESFLAHRAVLATRSSVFRAELFGGMKENAGGPIEICDMESDVFKALLHFIYTDKYPEMTDGCAARKEAVMASHLLVAAVRYNIERLKLICEEKLCELIDSDIVALGLALAEQHSFDTIKQACFKFLASPSNLEAMMASDGYEHLKNSCPYVLKELAASFLPDELKAAKDIIMTI >SECCE4Rv1G0292880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884079105:884082029:-1 gene:SECCE4Rv1G0292880 transcript:SECCE4Rv1G0292880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARDGGSAPLPCSYAPLPEDAEAATTVRRARRTARPLCAALLLATAAVLLMVAALTGVRLASQLPATDVAMSGHPTTVDTVPMSDNSRGPESGVSEKTSGAAAHGGMLGADAGSNAFPWSNAMLQWQRTGFHFQPEKNWMNDPNGPVYYKGWYHLFYQYNPDGAIWGNKIAWGHAASRDLLRWRHLPVAMSPDQWYDINGVWSGSATILPDGRIVMLYTGSTNASVQVQCLAFPTDPSDPLLINWTKYENNPVMYPPPGVGEKDFRDPTTAWFDGSDDTWRLVIGSKDDRHAGMVMTYKTKDFIDYELVPGLLHRVPGTGMWECIDLYPVGGMRGIDMTEAVAAASNNGGGDVLHVMKESSDDDRHDYYALGKYDAAKNTWTPLDSDADVGIGLRYDWGKFYASKTFYDPSKKRRVLWGWVGETDSEHADVAKGWASLQSIPRTVVLDTKTGSNLLQWPVVETETLRTNSTNLSGITVNHGSVLLLSLHRATQLDIEASFHIDPLDIAATKEADVGYNCSTSGGTTGRGTLGPFGLLVLADARRRGGDMERTGIYFYVARGLDGSLRTHFCHDETRSSHANDIVKRVVGNIVPVLDGEEFSVRVLVDHSIVESFVMGGRLTATSRVYPTEAIYANAGVYLFNNATGARVTATSLVVHEMDSSYNQVYTASL >SECCE1Rv1G0017530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:156652798:156659978:-1 gene:SECCE1Rv1G0017530 transcript:SECCE1Rv1G0017530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC3 [Source:Projected from Arabidopsis thaliana (AT5G62540) UniProtKB/TrEMBL;Acc:A0A178ULQ4] MSTPARKRLMRDFKRLMQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREVVEQSWTAD >SECCE4Rv1G0275900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:795840631:795841017:-1 gene:SECCE4Rv1G0275900 transcript:SECCE4Rv1G0275900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKYIVAGLLGSCVISYACDYIVSQKKIFGGTIPGTVSDKEWLKATEQRFQAWPRVAGPPVIMNPISRQNFIVKDLNP >SECCE1Rv1G0033300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:465574843:465575703:-1 gene:SECCE1Rv1G0033300 transcript:SECCE1Rv1G0033300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGPDAPRGEGASAPEESGPSSSSVAVEKAEEPQPQAQPPEGGGQQVVVQEHLQPQPLSQQAPVPAGLSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHADGCAYFGQPNPPAPCACALRQAWGSLDALIGRLRAAYEESGGRPESNPFAARAVRIYLREVREVQAKARGIPYEKKKRKRGSTSAPAAPPPVVTAAEASATSGGGEDDEDEPSQSAERQHTTPASPPAPPTRSSSAGATSTTAAAAATTTTTTRGKEKEAAEGSA >SECCE7Rv1G0501120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:679665816:679668392:-1 gene:SECCE7Rv1G0501120 transcript:SECCE7Rv1G0501120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSYRTNLLSLIIGVAMYPPKILILPFIFLSTFSSSRLAMNKSFALGIEIGTIWRNNPSLLHTNYPDDNFSLRIILPKDATFFTTNSSSGLDSLSFACGFFCAGSAATCDDYIFSIFVVNTYSFGDDVSFNAPKVVWSANRDRPVKENASVQLTELGDLVLYDSDGTHVWSTNTEGMSVAGMNLTSAGNLVLLNQSNMQVWRSFDHPTDTLVTGQILPEGQKLIAMTSVANWSSGNFDLTVLPDGMYAFAGTDTRLAYYRSPTGGTVTTNRSAYVALKNGSLEVFTNFRDTEAPDYQIQLPRDNYGLGFVRLDFDGHLRLYLWTNNSWVSSDVFDIADPCAYPLACGEYGICSDGQCSCPDAAIGQSGLFDVIDPREVNHGCLPIGSLSCDSAQKPRLLSLPNITRFNGVYNWTTSEERCKLSCLNDCSCRASFFQQYDNSTGYCFLASDIFSMINANSPGYSSNFSSLAFVKVNGATRNFVLSQGKLTVVLAVGSSTFIALVVVAVLVVLRRNRAGPLEDDDIIDQLPGLPARFSFMELKSATEDFSKVIGKGGSGSVFEGQICDKQVAVKRLDGINQGKREFLAEVQTIGSINHIYLVRLIGFCAEKSHRLLVYEYMPNGSLDRWIFQRHQEAPLDWKTRVRIITDVARGLAYLHTDCRETIVHLDIKPQNILLDEQFTAKVSDFGLAKLIDREQGSVMTRLRGTPGYLAPEWLTSVINEKVDVYSFGIVIMEIICGRSNLDYSEPEESRHLVSMLQDKAKNDRLLDLIDPRSTDMQCHLDEVSRMMNLAMWCLQVDSRRRPSMTEAVKFLDGAMDVETELDMDLVNIELMVANRAVRGNIAATLQIDSVLSGPR >SECCE5Rv1G0364270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:800200886:800201692:-1 gene:SECCE5Rv1G0364270 transcript:SECCE5Rv1G0364270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTFETTQGRRFVVEIWYLSTVRRIKEYILKQEGIPVESQRLFFQGQELEDDRDTQHYPIVEGSHVLIVLPDDSPAAAGAVVHVVASGPALGQGRRVALELDASCTIARLKETLQDRTDGAVPATKVSVFLDKAEMEDDKELAQFNPPVDGTEMEVRVVVRQPPPPPPAACNDGNGVARVDKPQQRMSVDVKWGAKTVTLEVSDMDAVKELRAELGSAAPHLLLPSDGAYFFIYKQNVMEEDRTLRWHDVKSGDTIEIFNGRVTGGA >SECCE1Rv1G0019400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:234043374:234047994:-1 gene:SECCE1Rv1G0019400 transcript:SECCE1Rv1G0019400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAFPISTPFFVHHGTRRPSANLRTAAAVYGRGGRRWRPLRVACEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKAGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVAEESKQVSYRLVRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLDVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAGSFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRGKFEELCSDLLDRLRTPVDNSLRDAKLSLKEIDEVILVGGSTRIPAVQDLVKKMTGRDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAVDKGTGKKQDITITGASTLPKDEVEKMVEEAEKFAAEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGDVKGKVERKLKELQDAVAGGSTQTIKDALAALNQEVMQLGQSLYQQQGSGPTPGAGAEGAADSAGPSEKTGDGGDVIDADFTDSK >SECCE2Rv1G0124360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:832193923:832195158:-1 gene:SECCE2Rv1G0124360 transcript:SECCE2Rv1G0124360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDERATTKTRTSIPSLPDELVSEILARLPVESLLRFRSVCKAWRGVIDEDSFHGEHLRFQTSSLLVAPWLGENDDDGPLASSTGELTAAGLYQWDGKQQQGVATLLHAVSGYRSKKKALHSMAHCDGLVLLPSDNTVRVINPATRRSITLPPTRDDRFQGPQAFGLGHDSRSNAYKVARFYGYRNLDVCAPGGHDYTAKMRVLTIGKDLCWRDTVAAPPPHHVMPRRTATFFQGSLLWTLKEGVLDSAPVAPGFVRFRLDDESFSIVPAPPCTPRLDYKASNLTELRGELCVCARRAAYDHAVYEMWMCGDLDHGVNPPRWDLRHVVTGPFLFRSFVPKIATDDAIVFHFEENYLYNCDLQNPIINFDDDVIKMERLRYHHLDTDTFVEYTQTIIAGLYVISYVPSLVRI >SECCE1Rv1G0030850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:435470219:435471265:-1 gene:SECCE1Rv1G0030850 transcript:SECCE1Rv1G0030850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAQVGVLAACVVLFVPMGLAGWHLSRNKVLFFSGALFVSLAVGVHLSPYLPSLPHLLVSSFHPLRPAAPSSSSSSASCISVLHRVSWADAEPHGGGRAWSWPPSLAATCGFARLTRDDASTLLNGSWVMVAGDSQARLLVLALLRLLLDPAAAAAAEPELFRRHSDYHAAVPARGISVDFIWAPFESNLTRLLREDLRLAPRTPDVLVLGSGLWHMLHVTDAAQYGDALASIADAANSLRSPLPVPPPHMFWLGLPRLVNPMLNTDAKKAHMNDTMLQAYDREVDERCILRRDGGPFRLLDVGKLTRGCERQCTADGMHYNGVVYDAVMHIMLNALVIESQQRI >SECCE5Rv1G0330690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:531912342:531916926:-1 gene:SECCE5Rv1G0330690 transcript:SECCE5Rv1G0330690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSSPAQQNPFQTPTQQNPFQTPAPQNPFQTPAPAQTQAPAQSPSPFQFNFQQPQQQQQQQQQQQQQQQLAAPTAQPQQQQQLMLYTKEGKPAGYNTKWDELHADSQKALLQIEDKIREYKDESERLDQCSRLHDSSISNVNFELDASHIAQELAGTATVIEREKASVQELMTVVNEMMWNTEFAIRSYMMLRPRFLKSATGSSNPSGPAGALPNQPGPTNDFYSGVPKRPSLFMLRTVNKFEKYLDECCKWITELEQLVQIESNKRSSSSVESLPKVMSNVHDYFIYVASKVENLHQYVVSMKTEYLHGQRRLGNANDPFLEANRREAAKEEAAAKRVHPTLHLPAPVQPTAQIAAPATSQPQQSLLPSGGTSSSAFTAFSMPASAPSTSSLFSTPTTSSLTTNLFGTTGSASLSTPFGTSSTPTLGSTPTPSGFGGITPSFPSTPALTGTSLFSTPFGGGATASGSSFGGTSKGRSKARGRR >SECCEUnv1G0560080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:344471401:344476975:1 gene:SECCEUnv1G0560080 transcript:SECCEUnv1G0560080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGYKKPLEDKDVPLLQTTDLAHNQYLIFLAKLNSKQSQSNATPSLLWTIVSCHMRGIMVSGFFALLKVLTLSIGPLLLKAFINVSVGKGTFKYEGFVLAATMFICKCCESLSQRQWYFRTRRLGLQVKSLLSAAIYKKQQKLSNSAKMQHSSGQIMNYVTVDAYRVGEFPYWFHQTWTTTIQLCIALAILYNAVGAAAVSSFAVIIITVVGNAPLAKLQNKFQSKLMEAQDVRLKAMSESLVHMKILKLYAWEAHFKKVIEGLREVEYKWLSAFLLRRAYNSIVFWSSPVLVSAATFLTCYLLKIPLDASNVFTTVATLRLVQEPVRSIPIVIAVAIQAKVAFTRISKFLDAPELNGQVRKKYCVGTDYPIAMNSCSFSWDENPSKPTLNNINLVVKAGEKIAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGRIAYVSQTAWIQTGTIQDNILFGSLMDRQMYQETLARCSLLKDLEMLPFGDLTQIGERGINLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNDYVMGILSDKTVLLVTHQVDFLPVFDSILLMSDGEVIQSAPYHDLLSDCQEFKYLVNAHKDTTGVSDLNNMARHRAKELPTKEKYGIHGNRYIESVKPSPVDQLIKTEERESGDAGLKPYILYLRQNKGFLYAFLSVMSHIIFVAGQISQNSWMAANVQNPDVSTLKLISVYIAIGVCTVFFVLSRSIFFVVLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIIDLDVPFALMFGFSSSLNAYSNLGVLAVVTWQVLFVSLPMIVLAIQLQRYYLASAKELMRINGTTKSALANHLGESIAGAITIRAFEEEDRFFAKNLELVDKNASPYFFNFAASEWLIQRLEIMSAAVLSSSAFVMALLPAGTFSPGFVGMALSYGLSLNNSFVSSIQKQCDLANKIISVERVNQYMDIPSEAAEVIEENRPAPDWPQVGSVELKDLKIRYREDTPLVLHGITCKFQGRDKIGIVGRTGSGKTTLIGALFRLVEPSEGKIIIDSVDISTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPIGQFSDQQIWEVLDKCQLLEAVQEKEQGLDSLVAEDGSNWSMGQRQLFCLGRTLLKRCRILVLDEATASIDNATDAVLQKTIQSEFKHCTVITVAHRIPTVMGCDMVLAMSDGKAVEYDKPTKLMETEGSLFRELVNEYWSYTSNGNV >SECCE5Rv1G0342530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:632764362:632765738:1 gene:SECCE5Rv1G0342530 transcript:SECCE5Rv1G0342530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMFNGDGTFSMVYPEGTTPIWLLPPDRPKADPTLPPPRSVLLDTMGYVDGECNDTTAAYVAADGKRIQVTFWMHHPPLVSCFTVHSPGVKPDAFPEMANVLATDGDLALLRIPRLPVDPFSDQLANRYFIYRIGGGAGEKQPWLRQLPNPHKLIFADDTVGFLNYGTHGQADDRFFAAAFYQEHPLRPGGSVKFYISMFDSETWAWTRKEVFVDSPEAYRYRFTNKVITIGGERGSIAWVDLSNGMLVHDLLLRNNDILRYIPLPSSELPDFRTNSVRDVAAVDGCLKYVSMSYPPMTQSSTSYYFGDWKAKAWKMVTYRSENWQWQEDYHLRASEIAIHDPSHLELLPDLPNNVDKKRVLTRLHSGSPAVSLHDDGIVYILTKVEYSDRKAWLLAVNMSTKALQAVAELDAERCICFSYPYTQSGISKHMGVELKEDQCQDDEASWTTVSYKKKR >SECCE2Rv1G0086150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:203779578:203781825:1 gene:SECCE2Rv1G0086150 transcript:SECCE2Rv1G0086150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPMYGSGPLRSRNASSSDEIQLRIDPVHGDLDEEIDGLHSRVRMLKGVAQEINSEAKFQNDFLNQLQLTLTKAQAGVKNNMRRMNKSIIQQGSNHIVHVVLFALLCFFVVYLLSKFSRR >SECCE2Rv1G0128470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:861085943:861086395:-1 gene:SECCE2Rv1G0128470 transcript:SECCE2Rv1G0128470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSKIRNIVWLRQTLRRWRSRAAARTAAGCGGAVSVPAGHVAVCVGGSSRRFVVRAAHLNHPVFRELLRQAEEEYGFPSGATGACGPIALPCDEGLFEHVLRHLSSSSSAARFFTLEDLKSGAYSCCCAAAGDALPLLRGISSDKFIW >SECCE3Rv1G0197720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:822315385:822316947:-1 gene:SECCE3Rv1G0197720 transcript:SECCE3Rv1G0197720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLVTTLSMENGGGGGGGHHRLCTLLSMDPSGHLDDRAVGVMVQPRVGARAHAVSLSGAPPPDINQPWQTEPCDMLGVGLGPQVFDAEAVLSTAPKAAAAGSRKNTKRGDSIWGAWFFFTFYFKPLLSDKGKSKVVRDANGVSGFDKSDLRLDMFLVQHDMENMYMWVFKERPDNALGKMQLRSYMNGHSRPGEPHFPFSVDRGFVRSHRMQRKHYRGLSNPQCIHGIEVVSSPNLVGITDVDRRRWAELTGRELNFSIPQEASDFGTWRTMPNSELELERPHTTTMKSNNVVQQQPPKKTLNGSGLNLSSPSNHSGEDGMDLSPVSSKRRKEAFPHAMDEECFLPLNPCTGRTQQDIEMQSVVQPSWLHEFTGVMRKASGPATAAKSIYEDDQGYLIMVSLPFVDQQRVKVSWKNTPTHGIVKIFCVSTARMPYIRRHDRVFKLTDAMPEHCPPGEFVREIPLATRIPEDAKLEACFDEAAAVLEIMVPKRGNEPEEHEVRVSMRPPHLGVNDLLMT >SECCE7Rv1G0471440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:130402875:130403264:1 gene:SECCE7Rv1G0471440 transcript:SECCE7Rv1G0471440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDELPKAAAAGDEAAPFSFSIWPPTQRTRDAVVRRLVDTLAGDTLLCKRYGAVPAADAEPAARAIEAEAFDAAAVTGGAAASVEEGIEALQLYSKEVSRRLLDFVKSRSAAAKAEEAPAVKQETAEA >SECCE3Rv1G0188930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:720066734:720068365:1 gene:SECCE3Rv1G0188930 transcript:SECCE3Rv1G0188930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEDFYALNLNHNLAFPSSLLGGFIYLTSNLAGASEMEPLLSAIVGDLVSRALSMVTQRYLQSNGAEEEKLQRLRAVLLRIDATIEEAEGRHITNQAMLQQLQMLRRGMYRGYYTLDTFSYRVNRDEADGEASTGRSLALSRFRPSSSRRTFVSVCDSPSMDLVLDDGSCNNLDKMLSSLERMIGDMQEFMMFLASYPRISRQPYSTYLFRDRVMFGRQMEKETILSFLLCREAARNGSLCVLPIIGPARVGKSTLVEHVCLDERVRRRFSSIIFFSGNDLNGGNLATLKGSSVIKHQNLASTPHGDSLAVIELAGDMDEETWRGLYTSAASHLTPGSKIILTSRSEKIASLETADPLMLKFLSQEAYWYFFKTAAFGSTNPGEHSNLAALGMEIAVHMNRSFVAANIIASLLRTNLDTRFWRRVLRCLRDFASKHLSMFGEHPTNLLEKDQPVYMWTMAKTSNAVVMRDIYHEPSPQISEVPRITAQDVLSGQVTSEGTFQAVAWRSTIPPCYTYLARFVVSRTDRHAVLGKKRSRQERI >SECCE6Rv1G0449150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:860276876:860278075:-1 gene:SECCE6Rv1G0449150 transcript:SECCE6Rv1G0449150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGRLIDDILVEILSRVPAKELCRCKCVSKHWLGLIHHPDHRKRLPQTLAGFFYGGSVSSTGQRLLELPFRFTSLSGDRRPPFGASLTFLPNHHLPVDLLDSCNGLLLCRCYHVSDGVGAFHYVVCNPATEKWVVLPNSGKDTSQVASTSLGFDPALSPHFHVFELVVEYNYSPNSDLCGVAVYSSETGEWIYKEKTWNRAGRRSSATVFLDGLLFFRALDLEYQDCVVAVDTKGETWMKFRVPGGQVDDFGLADGFVQQVLFRVPGGQADDFGLAHGFIQRSQGCLHFANFQRDEHGVGIRLVVYVLKNYESEEWKLKHSIKTSDICGWTDLWFDADFDFIAIHPECNLLFFIAGGVTLMSYDMDNRQVKVISYLGGAKPLFLPYVPLYTESQSLHI >SECCE6Rv1G0386480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:96541635:96542904:-1 gene:SECCE6Rv1G0386480 transcript:SECCE6Rv1G0386480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGLGRYWGVGGRRCGSCRASPAAVHCRTCDGGGGDGAYLCTGCDAGHARAGHERVWVCEVCELAPAAVTCKADAAALCAACDADIHHANPLARRHERVPVQPIGSSSPEAEGQEQDAFVMSFRGSVDEEKQGPMVNLNDALEAGAGGKDNVKLDFLFADMMDPFFGSELPRFPHADSVVPSGGAVDLDFGGVAAAAVVSNPSYSSYTAASLGGSGSSSEVGLVPDAICGRGGGIIELDFAQSKAAYLPYTPTPSHSTVSSVDVGSVPERNDSAVAAATPATGEGREARLMRYREKRKNRRFEKTIRYASRKAYAESRPRVKGRFAKRADDADADADAVAVGTITTPRPCVLDFGSYGVVPTF >SECCEUnv1G0567510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:415993946:415994416:1 gene:SECCEUnv1G0567510 transcript:SECCEUnv1G0567510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLELLDLCSAMQEIFVEMKAIIQELQVALRKGDDAASQAKIQSYIRLVKKAKNHVKKTVKKAPADCSLVMLLAKAREISMSLLESTLRLLSKQIEMPKQSLVSKAFHKKKAIACKEEQLSELECSIASLESGAGHLFRKLVQSRVSLLNILSS >SECCE2Rv1G0067240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18243508:18245396:-1 gene:SECCE2Rv1G0067240 transcript:SECCE2Rv1G0067240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSLVKKVSPTKQRPQPRARRSSKYVTALRPQPEALLLPVLQQQQDAVVTTSTAPKPKRVTPSDETLAVHAGEKMGGMAGTDSIATPIVSGTTHWFKSSGDLIAFKEGRRHSFEYGRYGNPTVKVLEDKICALERAESTLATSSGMNAIVATLLALVPPGTGHVVATTECYSEARAFIRDKLSRMGIKVTFVKLNDTETLKAVLDQGDVTLFYTDSPTNPHLKCIDIKLFAELCHKKGALLCIDNTLASPINQKPLILGADIVLHSATKYIAGHHDVIAGSISGSDALISRIRVWHHDIGGAISPYAAYMIIRGLKTMALRVDTQNRTALRMAYLLGNHPMIERVYYPGLTTSPWHDIAKSQMTGSGGIISFEVASDLHGVMRFIDALQIPFIATSLGGCESLVQQPAVMSFWGQSDEEKANNGIKDNLVRFSFGIEKFEDLKDDVFQALEKI >SECCE4Rv1G0221400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:48875096:48875539:-1 gene:SECCE4Rv1G0221400 transcript:SECCE4Rv1G0221400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASRGARALAMLGRCVRAPFRALVRARDMYVSRMAACAAGRGPVGMVAVSRSRSHGFYRSAGGGDDDADVRELIRAASRAGPPPRPAGHGPRSQSVVIGRIDEDRPCEFGLDDGAAVHALGPRSRSCAVGSTARTPGRLGAVAAA >SECCE2Rv1G0096420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:439026308:439027105:-1 gene:SECCE2Rv1G0096420 transcript:SECCE2Rv1G0096420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRDRFVFCAEAIYKSQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTTLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHSGTVVGKLEGEREMTLGFVDLLRYDFIEKDRARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIRAACKWSPELAAACEVWKAIKFEFEPVDTID >SECCE4Rv1G0286540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849624896:849627215:1 gene:SECCE4Rv1G0286540 transcript:SECCE4Rv1G0286540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATLHHRPRSPLEDEDLLSEILLRLPPQPSSLPRASAVCKRWRRLVSDRGFLRRYRRHHRRSPPLLGFFRNDLRGISYTPAMEAPDRVPADRFSVHLDDAGYRFRLLSCRHGLVLISHSSRNQVLVWDPVNGDQHRIAAPLGFDMKSTPMDGAVLRVAGDAHHFQVVLVSYKQEDEQAIVSIYSSETGGWSDLISTPVPGKAMDYEGMPAVLAGDSLYWLLPGDNIRVILEVDLDSQSLAAIRVPTNMFAEGQDLMVMRPEGGCLGILSLSEFTAESWKRNINGDGVASWVLGQTIELDKLLPLSSDKRSHISMLAYAEENNVAFLRTVAGIFMVQFDSLQFSKLPENNNAVVCYPFESVYAAGIGGAM >SECCE3Rv1G0164890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:167430820:167431446:1 gene:SECCE3Rv1G0164890 transcript:SECCE3Rv1G0164890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSASALVWLWEKAKRPLLLLALVFSLALFLLLVFELASPHLCEIIQAAYAEEGHHQVEITGIDATPQDATCLRLNLAARVISRYERHEMCVTDWDADVWHDGTHLGKAYFPKTCLEKMTEAAVTATTSTELVGLSIANGTLSGTLQVEMTQFTNLLFGDDRTIGQTGWHWLWCKATLGSNQSPSPCRIHHLTLTETSDKRFSFHK >SECCEUnv1G0528570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:4891585:4895862:-1 gene:SECCEUnv1G0528570 transcript:SECCEUnv1G0528570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G21070) UniProtKB/TrEMBL;Acc:F4IGH3] MGGGRKRRRRDGSDAPPVMHPRNRYAAAAPDFAALASLYPSFAPFVAVSGGGRASVDFTDFAATRELTRVLLLHDHGVNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDLIPRISNSSETVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAKRNVESNPHLAGLIEIRNANKVPCSSKSETVAEEAIRENTSDPVDSVVRSIAPIFVGVVKDSESFDFCMCNPPFFESMEEAGLNPKTSCAGTAEEMACPGGEQAFITHIIEDSVSFKNSFRWFTSMVGRKANLKLLVSKVREAGASVVKTTEFVQGQTARWGLAWSFIAPRKNAIRSSTPGKVHHSFMLQGLRRGSGAFQVLKSAETFFCTSNLSCKTDSLSFSIDVTLSDEQTQAARLDGDDLPLSVEDDSTMVHSTVTGASFRISVFEQMPGTLLIKGSLLNKSLSGTFASLFSQLEETLKTEFVSKDRLLRSPSHSTGP >SECCE1Rv1G0053440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:669771448:669772682:1 gene:SECCE1Rv1G0053440 transcript:SECCE1Rv1G0053440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELSLGDLPAPVKANTVPAPAACQGEGHDDLALGLRVTATERDDQDNQRTSIETAEGEDSDEACLELPVRASPLHQASAQTASANSRGFDANAAVPVDGRASIARSLSPPSMHMEVPVTQGVDEEASEDEDNGGGRVRKKLRLSKEQSAFLEGSFKEHSTLTLEQKSRLANRLSLRPRQVEVWFQNRRARTKLKQTEADCERLKRCCDALARENRKLQREVAELRASHAPYPLYNLNHHLSGFGTALPATCSSCDATTRSAVSSPGPSSMSTLFPGRPNFGPFTVTHPVPLRLHRQPSATL >SECCE5Rv1G0321500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:404952185:404957327:-1 gene:SECCE5Rv1G0321500 transcript:SECCE5Rv1G0321500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMG7 [Source:Projected from Arabidopsis thaliana (AT5G19400) UniProtKB/Swiss-Prot;Acc:A9QM73] MMTVPMDSTAVPPSRDLVQRLLKKNAELKSHLEKSAQSKVPSDPNIWLQMRENFEKMILADHDFCEKHEIEYILWQLHYKRIEEFRHHISSAGSAASQNGKNNANPDRIKRIKSAFRSFLSEASGFYHDLLFKIKSNHGPPLGYFSESPENASNPGKNDKRTADAKKALISCHRCLIYLGDLARYKGMFGDAESVRPEYSAASNYYKEAASICPSSGNPHHQLAILASYSGNEVVAVYRYFRSLAADTPFSTARDNLILAFEKNRQGYAQLPSNNKVAVARTVPPRSAGRGRGRGEIRFQPKDVNTEAASKEREQSIPDTLKSFFVRFVRLNGILFTRTSLETFEELFDVVINDLKILLSSGPNEELHFGSDAAENALVIVRLVAILIFTVHNVKKEPDNQSYAEIVQRRVLLQNAFTAAFEFVGHILKRCSELRDIASSFYLPAIVVYIEWLACHPELAADSEMDEKHANARSFFWNQCVLLMNKLILTDLASIDGDDDEACFFDMGVYEEGETGNRLALWEDFELRGFSPLVPAHIILDFSSKHTFGSDGSTKEKKARAQRILSAGKALLNFVQIDQLRIYFDPSSRKFVVAKEPPVFEAAISTHRSRNAPEANAVELEHEIGNKFDAVATNLGAMQSGVQLCSEGEDDEEIVFKPTGSEKLPKVLTELPVNGYIQPVQMSTAGWPTIGGPAAIQSTASASVPGNCNVNESLPMSSGGWAFNGRQEVIPSNVSMSTTYEFVQPVEMPTSSWASNGAPLVGPMNTMPTFSGAISGPRVSAAMVPHFGSPDYSKLLLEQEKFLMMGLSNVHLAGNGFPEQRFQSGSTGLQSMVYSPHVSVESGRKNTSLMHNQVKATEETIPSTCDSIVPSVAASGGVTINLTDAPVAVSKKNPVSRPSRPVGPPPGFNHITPKRHDDIMPFEKLQHQQIDDYSWLDGYQPSMERVHNSKAIFPDDATTSSAFTTPFPFPGKQQVSGVHTLGASEKTWQDFHLFDPAKQNMVQNHQQINQHSGQVTEKQPAKPIGSGRYLV >SECCE7Rv1G0525590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:891600750:891602015:1 gene:SECCE7Rv1G0525590 transcript:SECCE7Rv1G0525590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPSDRLDSLFSPRCVWVNGPIIIGAGPSGLAVGASLREQGVPFIVLEREDCIASLWQKRTYDRLKLHLPKQFCQLPRMPFPADYPEYPTRRQFIDYLENYAATFDVKPEFGSTVQSARYDETSGLWRVHSSSAASGVMEYIGRWLVVATGENAENVVPDIPGLDGFAGEVAHVSEYKSGEKYKGKRVLVVGCGNSGMEVSLDLCDHGALPSMVVRDAVHVLPREVMGKSTFELATLLMAWLPLWFVDKIMVFLSWIILGNLAGFGIRRPAIGPLTLKNKYGKTPVLDTGALARIRSGDITVVPGVSRFTKSRAELTDGTALDLDAVVMATGYRSNVPQWLQGTDFFGKDGYPTTAFPNGWKGKSGLYSVGFTRRGLSGASADAVRIAKDLGQVWREETKPTTKRAAGACHRRCISVIF >SECCE4Rv1G0264100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:719059880:719065642:-1 gene:SECCE4Rv1G0264100 transcript:SECCE4Rv1G0264100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKATETKKAVGWEWKAPASMVLVQLFITGMILLSKVSIGGGMSIFALLTYRSLLGAAFILPLALIFERGKWREMGWHATGWIFLNAFIGYAVPMSLYYYGLSDTTPAYAVIFLNIIPLVTFILSLVFRMETLQIWSIAGSMKIVAVMFSVGGTMLISLYKGKTLHLWDPVLKHHHEGQHTTEVAGNQLRGTIFLVGSSITLACWYLIQSKVMKVYPYKYWSSMVTCFVGGFQTALVGIILNRDKNAWKLGWDLNLLTIFYSGALATAGKYSLNSWVVAKRGPAYPPMFSPLSVVFTVLLDSIFIGDEITTGGLFGTIVVIVGLYIFLSAKSKEVPDK >SECCE5Rv1G0375940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:870515248:870516207:1 gene:SECCE5Rv1G0375940 transcript:SECCE5Rv1G0375940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQLALALLLFLVVASTSPLALAHGDLDYGGGVKKSEAVGGYTADAEKKPEAVSVNAEKKPEVVSASAEAKPEVIAGYAGAKKKPDVAPNNVDAEKKPVSASGYAGMEKKPKGATKVAEEKKSEVASEKKSKTKSEPSQPSTIEKKPKTKNEKKSKGKNDASGYTGAEKKPKEKVDAPKKEKPKKEEVRNIIPNTYAKPKEEPKKEEPKKEVIAASSRDAYTEPKKEEPKKKELVAAEPKKEESKKKEPKVPEVDTTANYAAPKKAQPETTATVTSDSYTAPKNAQPETPATSTADTYAAPKTAQPDTAAASTTGGYA >SECCE7Rv1G0522110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876154464:876155069:1 gene:SECCE7Rv1G0522110 transcript:SECCE7Rv1G0522110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHGDMCHYTAGCTGAAADPCCSSSSSLSSWGSFPPDLSSGETIDTEMRSQPKKKPAAYIGVRTRPWGRFAAEIRDSTRGGARVWLGTFTTAEAAAMAYDQAALSSRGTATALNFPLELVQESLQALGTAATGIGGSPVLALKRRHSQRRRRSKAEIANDAATCMRRSKVIAKQKQFIVELEDLGADYLDELLRITCNTA >SECCE5Rv1G0366320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:812666633:812668015:1 gene:SECCE5Rv1G0366320 transcript:SECCE5Rv1G0366320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMWAVVAAMVAVAASWALTALVWRPRAIARQLRAQGVGGPGYWFLAGNLGEIKRLRAATAGAVLDVSSHDLVPMVQPHMREWIPLHGRTFLYWFGARPTLCVADMNMVSQVLLDRNGLYPKNTGNPHIARLLGRGLVFADGDEWKRHRKVVHPAFNMDKLKVMTATMSDCAGSIMSEWEAKVDEGGGAAEIELSGQFEELTADVISHTAFGASYREGKRVFLAQRELLFLAFSTVFNVQIRALRYLPTQKNLKIWKLDKEVRGMLTNIIKSRLAAKDAVGYGNDLLGLMLEACTPEEHGHEPLLSMDEIIDECKTFFFAGHDTSSHMLSWTMFLLSTHPEWQQKLRMEVTRECGNEVPTATCSTN >SECCE7Rv1G0505160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:731043181:731044766:1 gene:SECCE7Rv1G0505160 transcript:SECCE7Rv1G0505160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSYDYKMARHTCSACDAHRVMSLFLQRRSDMAFPLVALFFMISARWCLEVAHAGRQVFDVMDFGAIADGETDDSKAFIRAWMKACASPGRPALVVPKGEYRLQPVVFRGPCKGYMQVRLAGDLRAPDDLAAFRGSREWINFASIDGLLVTGGGTFDGRGASSWHLNECPTNPNCKPLPVSIKLGRVRNVTITGVTSLDSKFFHVIIIGSQDVSIHRVTIRAPRDSPNTDGVHIQGSSNVRITDTAIATGDDCVSVGPGSADITVSGVSCGPGHGISVGSLGRHPGEEDVRGLRVSNCTLAGTANGVRIKTWRGGLGPGSVVSGLVFEDIVMRKVRNPIIIDQEYCPYSSSSCRHESAQRPSVVKISDVKFKNIRGVSATQVAVKLSCSGASPCHGLELRDIDLRYVKRGVATESRCENVAGGIAGGMLVPPSCM >SECCE5Rv1G0369720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:837414465:837416953:1 gene:SECCE5Rv1G0369720 transcript:SECCE5Rv1G0369720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWSCFCCGDLFGAGGDAVARLPEPFQLPAPLPAWPQGRDFAKGVICIGELDIANITQFRNIWSYPGATFYEPEEVPDGFHCLGHYVQQNDRLLQGSLLVAREAASCQLINSRPALEKPLDYTLVWTNAGVYEDDNGECGCFWLPSSPEGYEALGYVVTRGPKKPSLEAVRCVRHDLTDPCENFRSVINMERTCQVWKTRPCHRGKAGRGIPVGTFSCETNSVNSQESGIPCLKNCDPNLRSMPDLEQIHALIKHYGPTVFFHPQEKYLPSSVSWFFKNGATLNKKDMKMGDPILAGGSNLPAGGTNDGEYWIDLPDDDTREFVKVGNLKSAELYAHVKPAHGGTFTDIAMWVFCPFNGPATIKVGLASFALQKVGRHTGDWEHFTLRISNFSGELSSVYYSEHSGGGWVDACDLEFISGNKAIVYSSRNGHASYPHPGCYLQGSENLGVGLRNDVARSDLSIDSSTRYKIIAAEYLGDAVVEPCWLQYMREWGPTVTYNSRSEVDTVLSFLPFFLRFTAEAIFDSLPAELYEEAGPTGPKEKDNWDGDERC >SECCE4Rv1G0243370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:501156780:501157784:1 gene:SECCE4Rv1G0243370 transcript:SECCE4Rv1G0243370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSFTEFKLDYPEIKNHGLGNPLSKSISAEHYSCKIECSYWEDDEDVVLDLSLSLYSKFNRLNGFCEAFLRNRLESRYVKNGVITLICGVMALPGNSIPVPAANIGDHLGSLLECPDGSDVSFVVGGETFRAHRAVLAARSSVFRAELFGSLAEANMQCITLHDIEPSTFKAMLRFMYTDRLSVDFDPERFSFSPTAQQLRHILAAADRYDIGRLKLMCAQELWNLVSVETVATTLGYADMHNCPELKNRCLDFFMTGKNFTRIVLTEGYLWLMQRFPSVIDEIKARPIEKIIYV >SECCE7Rv1G0454660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:3635630:3636973:1 gene:SECCE7Rv1G0454660 transcript:SECCE7Rv1G0454660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDVLSLRRAGAVCTSWRAAHNAFRLPALERAPCLFYACEEYGPNDAALYCPATGATFRVPFPGPPHEKRGFTFACHGGWLFTTDEVGDPYLLNPVTGVQAALPPVKTIYENHEFYDDGGKHVWPADPENGIMKPSISWARHLVYLRVAISTTAADVTECTVLIVHNPEWRLSFARPGDDRWTLLPQDGSYVDNVLYNDKDGLFYILYADGSIGTLNLCEPSPSVITMIMRSVLRSLGYHTMYLVIGPSGELLLVWRNWSHTDTLPKYRDTYQDIVNKMLKDCVDLAHKDDNDNKLQDELETEDSDEEGELCEADANDQEIDASQLLREGIDLPHRLAEEVTTNELLVFKVDVDRQKLVELRDIGDHALFVGFNSVVCLPTKDFPAFEPNCIYLTDDCSEYSPMLRKDYGIWDIKNRSMRKLSDAWPNLYPWLHLPAPIWITPRF >SECCE4Rv1G0243280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:499751477:499753500:-1 gene:SECCE4Rv1G0243280 transcript:SECCE4Rv1G0243280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTRKIVKKRVKHFKRAHSDRYIGLKASWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKDIVERAAQLDIVVTNKLARLRSQEDE >SECCE5Rv1G0359380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:761620987:761623659:-1 gene:SECCE5Rv1G0359380 transcript:SECCE5Rv1G0359380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELTITGWFLSPIIREMQDTALAYIKGQFSWKKDQERDLERLDITLTEILTIVDVIEKREIKDGNQRRLLSILKDAIYGAVDVLDGFQYMVLKSKVDSQSAVSCVTSTCIYLGKRLIGTDEFQRKLADILEKLDVVKSTADTLLKVVNFDNATAKLLPVTRLRVTSPLKENHHIYGRRDELHKLRDMLFEISDSNAPGPSNAPVPSGSSIDVISIIGVGGVGKTSLARLAFGDEQIRMKFGLRMWVSVSDTYDEIRLTRDILESLTDANYHTVAELQNALRGKIAGKKFLLILDDVWYDEDKTEWENELLWSKVFSSLNTGLQGSKILVTARADKACSILHARTPPLRLGGLDRDDYWLLFRNHAFGEKYPGQFPELKEVGIQICRRLNGLPLAAKIIGRLLNADLDVSHWKKVLESDISDDVMKVLRLSYQHLPVQLKLCFSFCSLFPKDWRFEPQRLTEMWIAQGFVQREDSYDTDPNIEDVAKGYFNELVQRSFFERSLLDLPTEYVMHDLINDLARNVSKDEYVRVENDKQRKIPPNIRHLSISANLLGSMKKTELRNLRTLIVWKKTWSCLKLSLPDDVFKKSKSIRVLDLSGCCLDRLPTSVEVLKHLRYFAFRVPQRPWQTSLIRLYHLEVLVTRGHSCRESECANLPANMKRNLLKLRKAFLLNVGGATISGFGGQTLLRGHGEFHVRKESGYRLGELKEVKNISGQLRIRSLENVEHQQEAVNACLDCKEHIEYLELEWSIHARALASDIDNDVLNALRPHPNLDRLKITGYGGTTSPSWFEENWLTALSSLVLENCMGWVQLPPFGQLPLLKYLELRGMHAVREIGQEFYGNGDIKGFPILEDMIFDGMLNWDGWSGIEDGSLFPCLERLFVSRCPNI >SECCE1Rv1G0051470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:653955023:653955433:-1 gene:SECCE1Rv1G0051470 transcript:SECCE1Rv1G0051470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE1Rv1G0055690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:682466134:682469619:1 gene:SECCE1Rv1G0055690 transcript:SECCE1Rv1G0055690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G78560) UniProtKB/Swiss-Prot;Acc:Q93YR2] MALLRRPPLAPSPTAAASLPRHHLHLATPHTHLTRPPPSPPRLRISAAPSLRPLRGIPSTRCHAAAGDPVPPPAAGGGGARATLVRVGEALSLGFPLWVASACALALWRPASFLWVTPTAQMVGLSFTMLGMGMTLTLDDLRSALLMPKELAAGFLLQYTVMPLSGYLISKLLNLPSHYAAGLILVSCCPGGTASNIVTYLARANVALSVLMTAASTFAAAFMTPLLTSKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYMGRLVESVSPVMPFIAVATVAVLCGNAIAQNASAILASGLQVVLSVIFLHGSGFFFGYVLSRMLGIDIASSRTISIEVGMQNSVLGVVLAGKHFSSPLTAVPCAVSSICHSVYGSILAGVWRSMPPNDKQGA >SECCE6Rv1G0383560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:53531831:53532142:-1 gene:SECCE6Rv1G0383560 transcript:SECCE6Rv1G0383560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVDAMAAAYEALVDAAAAAVREPGRSVALTDLHRCLDAFSESCDRAEGLVQAAAAGLGTAASRHDALCRAVRAVDEDLQRGQEEQEKNRTPTTPLAAGDK >SECCE6Rv1G0408520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:573557465:573558829:-1 gene:SECCE6Rv1G0408520 transcript:SECCE6Rv1G0408520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPLPRMRARGFRGAARGAPIEIPLAVRRTWQQPAQVAVGEPERAVPTHFLCPISLDMMRDPVTAPTGITYDRESVEGWLERGHATCPVTGRPLRLEELVPNHATRRVIQEWCVANRGLGVERVPTPRVPISAFDASELLAAVSAAARRADGPRCRELVARARALGKESERNRQCFVSASAARALSSAFCNLAGQRVVPATALEEILAALVVFLPLEEESRGRIASPASLESVVSIMSHSEPLARVSAVIVLREIASSSDRQCVEAMSRTTGMYAALVKLLERPVSPQATKAALVTAYYLVMHTELAASRLVDLGAVQLLLELLVDADKGTTEKALAVLDSLLLTCKGRDEAYSQALAVPVIVKKMQHVSDMATEFAVSALWRLCKNSEAADGGCKAEALQVGAFQKLLLLLQVGCEGVTKERASELLRLLNGSRDGAECIDTLDFKALKRPF >SECCE6Rv1G0417910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659920300:659921279:1 gene:SECCE6Rv1G0417910 transcript:SECCE6Rv1G0417910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGGKKDIETVLMQAMLAAKTVRAQRDRLLQLQPRLQDLQADADAAPAATDDQLGDLASNLFKVYYIGLEAGASMLMACIRIAAKKGVHFAPPDLAFALLPDEQLHDALLAQQFPARPRSQAQALDRVMAAVLAIKLLEEHLLPRCVECLVGGKAPVPGITPDSSPGSSPDRDDDPDQDPVAAATDALAKVDLSDGPDATATAKPGSSKPRQAARGGGGGDVNKSLDYLYRACRIATLAVKHIDVAVSVLSRFMEPKKLASLAEFCDDRAYISEGGFYLASE >SECCEUnv1G0528970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6245299:6246157:1 gene:SECCEUnv1G0528970 transcript:SECCEUnv1G0528970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSTSCKEQEYTTSIDQAPGAMTGSSSPCGACKFLRRRCVNGCGFAPHFCHEQGAAHFAAIHKVFGASNASKLLMSLPATDRGEAAATISYEAQARLHDPVYGCVAHIFALQQQVVNLQAQLESLRAQEPVQGHTNTCSVSSPEEDSIKAKVIAYEKGETRMPQLGESVKIESESYFGNDVMTCASMQYSQDYNSSHVYTPDYRASFNDESIHGSTMFTVDMQEYLQENGYYDTEGL >SECCE3Rv1G0199870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:849128607:849129527:1 gene:SECCE3Rv1G0199870 transcript:SECCE3Rv1G0199870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVLPAMLLLTVVTLAGLAAGARAGGIAIYWGQNGNEGTLAQACATGNYKFVNVAFLFTFGRGQKPLLNLAGHCDPATSGSCTFVGADVKSCQSRGIKVLLSIGGGVGSYGLSSAADAKQVAEYLWDNYLGGTSTTRPLGDAVLDGVDFDIESGGSAHWDDLARELKKYSGKGSAYKPVYLAAAPQCPFPDAMLGGLRGALGTGLFDYVWVQFYNNPPCQYTKAGGAGNLASAWQKWTSIPARQVFLGLPAAPAAAGSGFVEPSDLVSEVLPVVQKSPKYGGIMLWSRFFDGQTGFSDKVKSSV >SECCE5Rv1G0300210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:24044495:24045632:-1 gene:SECCE5Rv1G0300210 transcript:SECCE5Rv1G0300210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGEAAMQTFVLRVTIHCHGCKKKVRKVLKSIQGVQDVKVDAQLHKVMVTGTVDADTLIKRLHKSGKQALPWQHAPAKNPDPAPPTPAPAEDAAVADKKPAEEPQADSSDKKPGQETASEKKKPEQETASEKKPEAEKEAESVKKADKEEAKPSDGGEGAAAEPKAKSTEEPASEPAKEAGDDEGEAKKKQSKPKDAAAPAADDRSLSPAPAHVPRYAHQEFNFNAPQPVMSYNMAQPRASVSHYAPQPEQGYSQQQQAGYSMMQHPAQQAYSQQAQPMQQWSPSYLYMPYPHASPESYYQDYYSPPGTHAPPPPPPTPPLHDSYRLFDDENPNSCSVM >SECCE5Rv1G0364080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:798415359:798419975:1 gene:SECCE5Rv1G0364080 transcript:SECCE5Rv1G0364080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVAAPASVATVARRGVLGCARVRPLQGRRQCRPSVRLSVATTESAAAAAAVAASAAEDEETTNPRTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYVLTQFNSASLNRHLSRAYNFSNGVGFGDGFVEVLAATQRPGSEGKTWFQGTADAVRQFAWLFDDAKSKDIEDVLILSGDHLYRMDYMDFVQSHRQRDAGISICCLPIDGSRASDFGLMKIDDTGRVISFSEKPRGAELKGMKVDTTLLGLPKEEAEKKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPAAAREINVKAFLFNDYWEDIGTIKSFFEANLALAEQPSKFSFYDASKPMYTSRRNLPPSMISTSKITDSIISHGCFLDKCRVEHSVVGIRSRIGSNVHLKDTVMLGADFYETDAERGDQLAEGKVPVGIGENTSIQKCIIDKNARIGKNVTIANAEGVQEADRASEGFHIRSGITVVLKNSVIADGLVI >SECCE7Rv1G0455820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8241935:8243164:1 gene:SECCE7Rv1G0455820 transcript:SECCE7Rv1G0455820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLLSTLLLPVFFLSFAWANPSNTTGLTFQLVALSRAVPDGHAGNGSTYTTEDLRLPISTSAKYAYGVFVSLGTGEGTRLKVLGLDTEISTSWVMCEPCHPSLPQVGNLFSPSASPTFHAVHNNDPVCITPYRKTAHGCSFHFSSITGYLSRDTFHLRTGRAGAIRESIPRVLFGCAHSSAGFHNDDTLGGVLSLSHLPFSLLTQLGGHASGRFSYCLPMPTVHNPHGSLFLGADVPSPPPHAHTTNLITHPGVSGYHLNLVGITLGYKRLKIDKRVFVSHSCSINPAETITHFAEPIYLVVEKALVARMKELGSDRVKGPPGGPLLFDRMYKSVKEQLPNMAFHFEGGAELWFTSDRLFAVHGMNAQFMVAGRGYRRTVIGAAQQVNTRFTFDVPQGKLSFVSEVCN >SECCE3Rv1G0174200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:377196032:377197216:-1 gene:SECCE3Rv1G0174200 transcript:SECCE3Rv1G0174200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDADSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRNIGFISDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEDIGAGDQGIMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYLNEGGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIIASGLARRCIVQISYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKENFDFRPGMISINLDLKKGGNRFIKTAAYGHFGRQDADFTWEVVKPLKFDKASA >SECCE5Rv1G0373330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:857142440:857147568:-1 gene:SECCE5Rv1G0373330 transcript:SECCE5Rv1G0373330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSRVAVIGAGAAGLAAARELRREGHAPVVFERAAAVGGTWRYDYEDAAEDPADPLGAGRAHSSLYASLRTNLPRESMGFLDFPFVADEGSADPRRFPGHQEVLRYLEEFARRFDLLALVRFETEVVSVRRSRDDGAGKAGWRVSYRSRRPDGADGELEKEVFDAVVVCNGHFTEPRVAAIADIHCWPGKQMHSHNYRVPDPFRGQVVMVIGYQPSGMDISRDIAGVAKEVHVAMRSGPPYQMHTTTATGHANLWLHSCTIERAEEDGSLVFQDGSRIKADVILHCTGYKYNFPFLGGDDDELAGAIFVDDNRVGPLYKHVFPPLLAPHISFIGLPFTTIPFLVCQLQSKWVAGVLSGRLELPSQEAMMRDVDAFYADMEARGCPKRHTHHLGQGNPFEYEDWLAEQCGLGAMEGWRKGMYAAARKNLADRPDSYRDEWDDDHLLPQAHQDFAKHSGLTDQ >SECCE5Rv1G0341590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:626072535:626073203:-1 gene:SECCE5Rv1G0341590 transcript:SECCE5Rv1G0341590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKKNLCEIHRGDGRRRRVLAASLLGAAAVAAVIALALFLVYRPVKPQATVARAGVYRLVSAAAAPGANNPAPTPYALNATVQFTLLLHNPSDRTAVVYDRLLAYVTYRGEMVAPPTQLPLVLQDPGADVAMSPLLGGDPVPVSADAVDALHADCVAGRVQLRLVVMGRVRYRSGPFKSAWRDLYVRCDAILGLTVQAAAGGGGAGDVPLLEYPKCAVDA >SECCEUnv1G0534210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:36397260:36403096:-1 gene:SECCEUnv1G0534210 transcript:SECCEUnv1G0534210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06790) UniProtKB/Swiss-Prot;Acc:Q84JZ6] MAAVAGTRSRLSALLLSSRAIPRRFLLLTAAAASPSSAHLSPWAPPSRGAKTSLPGRSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPSEEDMVAAYVKTLTAVLGSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFVDGKVIHRPQFQFTERQQVRSRPRPRYDRRRETMQVERRETMQRGPSVQEHRPPFSQQVAHNNEQQQIVPPGRN >SECCE7Rv1G0476220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:199780274:199781052:1 gene:SECCE7Rv1G0476220 transcript:SECCE7Rv1G0476220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMSYLVATSMAVGLLLGAVSPTVKATSGNATASKNTTASALPPFGTNHTVGDGAGWLFDGKANASAANYSAWAANRTFYLGDYLGFRTRTDNTVVHTTNATVYKLCAYDGGVIGGGWKAEEAVLTVMLATEGSNYFFSDAGEGEHCRRGMRFDVNVARGRGLPQVPPSYYEPLPGGTAGRGFGGVVPMWVAVNVGFAVLLML >SECCE3Rv1G0211110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:947684821:947690544:1 gene:SECCE3Rv1G0211110 transcript:SECCE3Rv1G0211110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G01395) UniProtKB/Swiss-Prot;Acc:Q8L838] MAVPSAAPTPRSPRPDSAAATDGSADAAPSLDFGDPASLAALRGLTDAGAATRLLHECVAYQRALDARLDALLARRPDLDHAAASLLRSAPPLLSLAASDAAALRESSSSTAALAEALSSRVRHLDAAHSRAESALARAEAALDRSRALDAARRALAADDLAAAATAAHEFLAIDARFPTDDDLRRDLLDIKRRLEGLARRRLSAAVDAQDHPAVLRLVRLFPLLDLAPEGLQVYVAYLKKVVALRARADFDHLTDLISATQPTSERPDFVGCLTRLFKDIVLAVEENDVVLRELRGEDGVAYAIIELQEECDSRGTQILRRYADYRKLARLSSDINSYTKNLLSVMGSVANAAGGSEGPDPREVEIYLEEILALTQLGEDYTEFMVNKIRGLRDVKPELGPQAMKAFRNGSFNKMEKDLTGYYVILEEFFMVENIRKAIQIDEPVPDGLTTSMVDDVFFLLQSCCRRAAFTASINSLLAVLGGATSLLSNEFQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDVSSEYVLKLRHEVEELCAEIFHAPADREKIKSCLSELGEINASYKKILYSGLEHLVASIAPRIRPVLDTVTTVSYELDDAEYGENEVNDPWVQKLLLAVDTNVTWLQPMMTSNNYDSFVHLVIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAISALRL >SECCE2Rv1G0069790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31121779:31131912:-1 gene:SECCE2Rv1G0069790 transcript:SECCE2Rv1G0069790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDVPTMYAVLANSLSADEATRHPAESALAQCETRPGFCSCLLEIISARGSSCREDVRLLATVYFKNSINRYWRTRRDSYGISNEEKDHLRKNLLLNIREENNQIALQLAVLISKIARLDYPREWRDLFSILAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQRNYAEITSHLFEYTWNLWKSDVQTILQNLSMLSQRNDLDSILEQSNDLILICDRWLLCLKIIRQLIFSGYASDSTTAQEVWQVREVCPTVLSAIQSLLPYYSSFKDKQAKLWEFAKRACTKLMKVLVNLQGRHPYSFVHQTVLPATVDFSLNIITNPEQAGASFEEFLIQCMVLVKTVSECKEYKPSATGRVINESAQPLSLEQKQKNFAAVASDMLKVVLPGDRVVLLCNILIRRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKQRPCAEALFIVIFENYRELLAPVVVSILREAMSVSPPLETDVTSGMLLKDAAYTAAGHVYYELSNYLSFNEWFHGSLSIEISNGHPNMRIIRRKVALLLGQWISEIKGDTRKLVYRALVALLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMCFKLTEDVQEFDSKVQVLNFISVLLEHVGDKVIPFASQLSQFFQKIWDESAGESLLQIQLLTALRTFVSSLGYQSPLSYHMLMPILQSGVNVDSPDALNLLEDSVLLWEATLSNAPSIVPQLMDLFPYLVGILNRSFDHLEVAVNIVEDYTIFGGSEFLKSHGASLANVLDTIVGNVNDKGLLTTLPVIDLLIQLFPQEAPPLISSALQKLIFISLRRDDEHNPSRTTVRASSGAILARLLVMNTNFSAQLLSEPALLANIQQSGISLKDNLLLSLVDMWIDKVDNATAIQQKEYAMALSVVLTLQIPQVIDKLDDILSVCTTVIIGGREVKSEDDSSGDITSSSWLGNDNSGYSSKFLKKRQAKDLDPIKQASLENILRENLKACAAHHGDSTFNAAISRIHPSSFAQLQQALNSA >SECCE5Rv1G0346350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660520146:660520433:-1 gene:SECCE5Rv1G0346350 transcript:SECCE5Rv1G0346350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVDGSRFEVPLVYLGTMVFSELLRMSQEEFGFSSDGKITLPFDASVMAYVMCLIRREASEEVEKAFLSSIARPCHSASCVASVKLNQKFAVCS >SECCE1Rv1G0038580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:541185215:541192713:-1 gene:SECCE1Rv1G0038580 transcript:SECCE1Rv1G0038580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVDMAVAVALIVLTACAAAAAGNGRRVEEGGGDAARGEVTYDGRALVVDGTRRMLFSGEMHYTRSTPEMWPKLIANARKGGLDVIQTYVFWNVHEPVQGKYNFEGRYDLVKFIREIQAQGLYVSLRIGPFIEAEWKYGGFPFWLHDVPNITFRTDNEPFKQHMQQFVTHIVNMMKQEGLYYPQGGPIIISQIENEYQMVEPAFGSGGPRYVRWAAEMAVGLQTGVPWMMCKQNDAPDPIINTCNGLICGETFVGPNSPSKPALWTENWTTRYPIYGNDTKLRSTEDIAFAVALFIARKKGSFVSYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGLIWRPTWGHLRELHAAVNLSSEPLLFGTYSNFSLGQEQEAHVFETELKCVAFLVNFDKHQSPTVVFRNISFQLAPKSISILSECRTVVFETAKVNAQYGSRTAKVVESLNDIHTWKAFKEPIPEDISKAVYTGNQLFEHLSMTKDETDYLWYIVSYKYRPSDDGQPVLNVESQAHVLHAFVNTEYVGSVHGSHNGPGNIILNTNISLKEGQNTISLLSVMVGSPDSGAHMERRSFGIRRVSIQQGQQPLHLLNNELWGYQVGLYGEGSRIYTQEESSSVEWTKINNLTCHPLTWYKTTFAAPVGNDAVALNLTSMGKGEVWINGDSIGRYWVSFKAPSGQPSQSLYHIPQHFLKPTDNLLVLVEEIGGNPLEITVNTVSITTVCGNVNELSSPALHTQGKDPEVRLRCQRGKHISAIEFASYGNPAGDCTAFSTGSCHAELSESAVKQACIGKRGCSIPVSPARFGGDPCPGTQKSLLVVANCK >SECCE4Rv1G0265240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725224676:725227677:-1 gene:SECCE4Rv1G0265240 transcript:SECCE4Rv1G0265240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRVLGESPTKMWMVILLLAGRLPTAIAQQEPPITIHPNCPSKCGNMSIPYPFGMKSGCFLPGFEVTCNDTFIPHRAFIAYGAFQQSMTGFYTPPDQGNKTFTVNDGLVNTPMELMDISLSSAYVRLYAMVSSDCKINSTHHSFVRRRTYAPAPFLVSASHNILIGVGLNVEAELSSSMGSSFGSDISRCTSVPTSSVFAKDGPCRGQGCCEAALPSDVSFIAPVADKKRTEWWRAGTNCSTAMLVQKGWYNYSSADVYGGEAYFSNKFPKGVPQVFDFAIVNGSCPAQGQPPPQGYACLSGNSSCLDATNRTGYVCKCWEHYDGNPYVPGGCQDIDECVLGGRHPQLQALYPCSSGGICKNRLGGYDCPCKPGMKGDGKTGICTEKFPLPAKVAVGVIGGISLAVVLVLFVLFMSARKKMRESFIRNGGPLLEKINNIKIFREVELKRITKNYSHVLGSGAFGVVYKGFLEGKHIAVKKSKNTTKAQRDQFANEVIVQSRIIHKNIVTLIGCCLEVDVPILVYEFISNGSLEDILYDKNRIPLTIDQRLVIAAESAEGLAYMHSKTSANIQHGDVKPANILLDDTYIPKISDFGISRLIARGNAQHSEEVIGDNNYMDPVYRETGLLTNKSDVYSFGLVLFELITGKKANCGDNCSFVLNHLDTYTRENRANVMYDMKIKEEKDFELLQILADIARKCLHHNVEQRPEMTDIAESLQNIRKARNK >SECCE3Rv1G0186960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:693013935:693016178:1 gene:SECCE3Rv1G0186960 transcript:SECCE3Rv1G0186960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGVGSSLPDGQLGWFDENDQLQQVSIHSLAAGKKVILFGVPGAFTPTCSNQHVPGFITQAEELKGKGVDEILLVSVNDPFVMKAWAKTYPDNKHVKFLADGAAAYTKALGLELDLTEKGLGLRSRRFALLADDLKVTVANVEEGGQFTISGAEEILKAL >SECCE2Rv1G0083090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:161518088:161519451:-1 gene:SECCE2Rv1G0083090 transcript:SECCE2Rv1G0083090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRALHSPRLTSPRLTGRHAAARCKCSAAPLFAKRLPLVVAFPRSVASCCAVQESSAGATAAAATTVSETKDADGDKKEAAAAEAKPAAKPAAAKPKKAPPKPLPEMMEEEIIPPLKTALEAEEDVSQVVLTFQNNTLEGSFVKEDIPYYFWAFFPQGDLTGPKGFAMTSYSMEVSTIEPFLIDEKRITPQYVVFWVYKRLAGQGVLPVWRTEDLSPAE >SECCE2Rv1G0134890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:903756388:903767543:1 gene:SECCE2Rv1G0134890 transcript:SECCE2Rv1G0134890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGGGVSGLAAAHELAASGGDGVRVTLYEEEASLGGHARTVAADDGAGCVKLDLGFMVFNQVTYPHMMEWLEGLGVEMERSDMSFSVSTQLKGGGGGCEWGNGNGISSLLAQKTNILKPSFWRMVCEILKFKNDALTYLQDHEHNPDLDRNETLGQFIQSHGYSLSFQEAYLIPVCTGMWSCSSQDVLSLSAFLALSFCRNHGLLQLFRHSQLHTVKPRSQSYVNKVKGELESIGCRIKTSCQVKSVSSLDGAAGYRVLEKDGSEETYDSVILGVHAPNALKVLGAEATHHERRILGACQYVQRDIYLHCDQNLMPRNTSAWSAWNFLGTTSRGFSVTYWLNRIQKIESVRPFLVTLNPPCVPDHVLLKWNTSLPVPSVAAAKAYLHLDQIQGKRGIWFCGAYQGHGFHEDGLKSGKAAAQGLLGKKCELLLNPKKMIPSWTEAAARLLVARFFNQYISIGNLILVEEGGSVFTFGKACEKCPVKSVIRVHDPLFYWKVAIEGSIGLAEAYIDGCFSVLDKRESLLNLILILIANRDERRNRRIARKGFWCSPFHIIAQLAYAKYFLRHASRKNTATQTRRNISRHYDLSNDFFSLFLDKSMTYSCAVFKMENENLEAAQQRKLSLLIEKAKIKRGHHVLDIGSGWGSLAIQAVKQTGCKYTGVTLSAEQHKYAERKVREAGLEDHITFLLCDYRKIPPSKYDAIISCGMIEHVGHEYMDEFFACCESYLAEDGILVLQFISIAEERYDQYRKRPDFIKEYIFPGGCLPSLARVMSAMTTSSRFSIEHVENIGPNYYTTLMHWRDNFMANKDQVLKLGFDEKFIRIWEFYLIYSAAGFKSRAVGDYQVVFSRPGNRRLGLP >SECCE4Rv1G0218930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:25397406:25405643:1 gene:SECCE4Rv1G0218930 transcript:SECCE4Rv1G0218930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPPVPVSPASSSAAAAAAPSTPPAVTGLSPAMPPPLPPPPPQTARCCSSSSSAARARVVGVGVGGGPSSPPDGVRLNEIVGGGISGVLYKWVNYGRGWRPRWFALSDGVLSYYKIHGPDRIDLSRDTDRAAKVIGEDSLRRLARPSATPAPSSSSSSAPHSNGHHHHPPRKPLGEIHLKVSTVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWLEALRATKEMFPRMSTSEMVGPGDTAAAAAVSTERLRKRLQQEGVSDAAIADSERIVREEFEALHKQLVLLKQKQALLLDTLRHLETEKVDLENTLVDESQRQSKEYGSASRPRNEKLSEGSGSESDDYNEPQDPAEEETDDEENIYFDTTDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDPSMKSVGINYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGDSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGNGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNREYSCKLKFKEQSIIDRNPHQVQGVIQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNFTSFAITLNELTPGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKGSDTYRYLGGYWESREKSSWEGCPDIFGQLPNDLMITD >SECCE1Rv1G0004540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:19379782:19382100:-1 gene:SECCE1Rv1G0004540 transcript:SECCE1Rv1G0004540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAWGYYNDGAGVLSNFNAYKEFFIWTTSVITAIHYRQSTFESLGRDKKAAQADDKKKFDRLEVDLWKLKTTMPKMLVLIDQVEFLSHKEQAGALLPDIKGAVFDAEDLLDEFDYDVLKLKVKCSKNLEPDHYNDTFLEFFDRSSDYIRQVNIIQEKLDHVYKQAMDMGLHQALRKFDKSVRPETTTYFNRVENMVGREKEMKELVGRLGVRGLKRGRTESKARMTELPVLSIVGMGGVGKTTMAQQICKNTNVRKHFGPIIWTCVSDDFDTKRLTKAIIEGLGGDASSDNLNVLMGKLELHVRSKKFLLVLDDMWDDILKDDVAGWKSLCACLENGAEGSRILVTTRFPEVADLIGPKNNYALNGLEPKVFWDFFKSCAFGSMSSCNNQESLELESIGKDIVPKLKGSPLAAKTIGRLLRMELSTLHWKNIKESELWKLKQSDTDILPALRLSYMYLPQKLKRCFSVCAMFPKDYKFGKDFLADIWIAQGYVEGREEASMCFDALANRSFFQKPSPQSPKYVIHDLMHDTAQLVSKDECFIIKHVSDPDKVPSNVRHLSIFTNGNVQCSELKSICDMKNLRSLVCDDSYSKAKDFEPVMNCWFWELPKIRVLSFKLSGVRQLPESMGNSKHLCYLSLLGSVTFSRFPSSVCRLHHLKVIDSGSCVIEKFPPDFGDVLSLEKINSNSFSYIKDQSGKLCLKWSHLQKSPEAVKIIENQLEMLPHWNLQHLQVKYYGRESCPSWLQPNLLPRLRSLEFTDCFNLKSIPLFLQ >SECCE3Rv1G0200880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:858335191:858336669:-1 gene:SECCE3Rv1G0200880 transcript:SECCE3Rv1G0200880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTADYNMSAGYSPNGIAVPPWLNKGDNAWQMVAATLVGLQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWGYNMSFGHRLLPFWGKARPALGQKFLLMEAVLPESTHFFKDGTVETVWINPNYPMATMVYFQCVFAAITLILLAGSLLGRMNIRAWMIFVPLWLTFSYTIGAFSLWGGGFLFQWGVMDYSGGYVIHLSSGIAGFTAAYWVGPRSTKDRERFPPNNVLLMLAGAGILWMGWAGFNGGDPYAANLDSSIAVLNTNICAATSLLVWTSLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWFTMMVVHKRSKLLQHVDDTLGVFHTHAVAGFLGGVTTGLFAEPTLCSMFVPVTNSRGAFYGGSGGMQLLKQVVGALFIVGWNVVVTSIICLVVRLIVPLRMPEEELVIGDDAVHGEEAYALWGDGEKYDSSKHGWYSDNEANLQARNRAPSGVTQDV >SECCE2Rv1G0111050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:697510596:697513081:-1 gene:SECCE2Rv1G0111050 transcript:SECCE2Rv1G0111050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAASRCAGGAIRRLSVASYPAAVGARRKPPLDEGDWSYYKEWWGEDDGPGDGAHTVFLRDSEHGNGVVSVVAYPASIPASDQWPVMERWLQERNLAMYPESSGADQFKILGYQWRVMRFNDHTRQSTAKVMACYRFGGDRALYSMQQPNCLAVPYVKSMVSAGLTALPSCSYDLPQAVSEPNTMKILCIGHGGGSIPLFLASKFRGADVHIVEIDPVVVSASVESMGFPASCAKELSAHTMQPADNDELLWNGVHDRISLHIEDAEDFIASDSNVYDLVFIDAYDGNDIFPRKLWDADGAFLRNLEEKVHPVHGTVVVNLHSDSGPSSPDVDDEAPFENVLPMGRYVSHVCRAYKRHFGLAFTVASPWLCNITLVACRDKAILHGAPVGRSRRDFVLSKLLSRSSMVEQALDLPFPCLQYVKNGFTLVE >SECCEUnv1G0536870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:66746272:66748438:-1 gene:SECCEUnv1G0536870 transcript:SECCEUnv1G0536870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQHTQLVCYTLLCVLVMAILIKIKHRRAASASRLNLPPGPWVLPVIGHMHLLLGAIPHQAMHRLARRHGPVMLLRLGHVPTLVLSSAEAAREVMKVHDAAFADRPVYATADIFTYGGDDISFALHDSRHWKALRKLCAVELLSPRRVRSFRPVREEEAARLVRSVANAGPVVNVGDMLKVMMNDVVMRASVGDRCAQRDAYLEELDRVLDLMSGFNLIDLFPASPLAQAIGGRALGATWEVHRRIHSIMDAMISDHRMAMEGEEDDDAGHEQRGDILTTLLRFQRGGGIGGVALTNENISGVLFDLFAAGSETTATTTIWAMSELMRSPHIMAATQSEVRRVLQGKTETFRLHPPVPLLMPRLCTEQTKVMGYDIPQGTTVFVNVSAIGRDEKSWTDASEFMPKRFDGENVDYGGTDFRFLPGGAGRRMCPGMMFGVSNIEIALASLLYHFDWKLPDGGNAEKLDMSEAYGITARRRTELVLEATIFVP >SECCE4Rv1G0293600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:886033281:886034726:1 gene:SECCE4Rv1G0293600 transcript:SECCE4Rv1G0293600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSALGEKAHVVCLPAAAQGHINPMLDVAKMLHARGFHITFVNTEYDHARLVHAQGTAAVAGVPGFHFATIPDGVSSSDNKVMHDVPSICKAITEVCLGPFRRLLAELNDPATGHPPVTCIVSDVVMDFSMEVARELGIPYVQLWATSATSFVALRHCRLLFDRGLAPIKDFKQLTNEYLDTPVEDLPGLRNMRFRDFPTFIRSPAPDDYMLHFTLGIVERAVGASALIINTFDDLEAEAVAAMEALGLPKVYTIGPLPLLAPSSNISMSLWKEQEEVLPWLDDKEPGSIVYVNFGSTTIMTDDQLVEFAWGLAMSGRHFLWIIRPDLIRGDTAVLPLDFSVETAECGLISSWCPQQQVLNHPAVGVFLTHSGWNSTLDSMCGGVPVISWSFFADHQTICRYQCTEWGVGMEIDSDVRRDTVAVLITEVMEGENGKVMKKKAQEWREKAVKAAKPGGSSRRNFEELIHDVLAPAPQPSG >SECCE7Rv1G0494650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:586685440:586688762:-1 gene:SECCE7Rv1G0494650 transcript:SECCE7Rv1G0494650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGSPDADDSNCGGDGAEPFRTLQESDDRYSAPASPLSTRPSGQPPGTSVVANEGSASSSAWGSDDDDEPADRYKNPASASFLLGPSSSTMGASSSSSFSSDSWIGSDRTCGGASTSSSLDPSNYMDFCRNPPSEWMYNQILEAPFWSHEACDAVRASWRDEYEACDDFSMVLQTSQNGCRKILQKEPLSSLPHEFENEIMKDKAKKLLANYSEYRKVPGDGSCFYRSFIYSYLEQLVKVSHEEELRLLGALEPMWEKFQRLHLPGSYSDLHDAFVGFILECMEQRQKLSVSGYQEWLFQESQNEQKFANSENIQQIS >SECCE5Rv1G0323470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:436583776:436587526:-1 gene:SECCE5Rv1G0323470 transcript:SECCE5Rv1G0323470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAPLLLLLLFIHPALVSRAAARAEDLLAAARSPGTAAWLRDVRRRIHQRPELAFQEHRTSELVRRELDAIGVPYAWPVARTGIVATIGDGAGPVVALRADMDALPVQELVDWEYKSLEDGKMHACGHDAHTAMLLGAAKLLQSRKEDLKGTVKLVFQPAEEGSGGAYYILEEGVLDDASAIFGLHVDPALPVGVVSSRPGPFAATSGRFLATVTGKGGHAAGPHDAIDPIVAASSAVLSIQQIVSREIDPLQGAVVSVTFVKGGDAYNVIPESAAFGGTLRSMTDEGLSYLMKRIREIVEGQAAVHRCSATVDFMEQSMRPYPAVVNAEGMYVHAKEVAGRLLGEENVRVAPQLMGAEDFGFYAQRMAGAFFTIGVGNESSMEQLRTTHSPYFVIDEDALPVGAALHAAVAIEYMEKHASA >SECCE5Rv1G0322970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:428382010:428383640:-1 gene:SECCE5Rv1G0322970 transcript:SECCE5Rv1G0322970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASYTLWLVYVSLASFVLYKVFVLGKRNSRLPPGPTPIPLLGNIFDIQGDLHQALARLAGVHGPIISIKLGATTAVVASSAACARDVLQKYDHLLAGRSVADAARALGFHERSIIWLPSTSPLWKRLRAVCTNHLFSAHGLDAARAVREEKVRDLVGCIRDHAGETVDVGRVVLSGLFNLVSSVLFSEEVGDLGSDRAQGLETLIDDILAELVKPNLSDLFPVLSVLDLQRRRRRTGERLGRFFDFFDRIIDRRMKAGGERKGDFLDVLLQLHSVDQLSIQTIKSFLLDLFIAGTETNSLSVQWAMAELLRHPAVMSKVRAELQEVLGEKQYPDESDISRLLYLRAVLMESMRLHSPSPLLIPHHAMAEGAEVGGFVVPKGATVIVNLWAVMRDPAVWAQPEEFKPERFVGADMDFRGKDRFEFMPFGAGRRACPGMPMATRVVTLVLASLLHRFEWRLPEGMQPCDVDVRDRYRMSLNMVTPMKAVPLPLFS >SECCE4Rv1G0244210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:512629507:512632081:1 gene:SECCE4Rv1G0244210 transcript:SECCE4Rv1G0244210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEQGLMAGRDLFGLPKSQPAPAPAAPPSSAAMQSVRMAYTADGTPVFAPVSSAVAPPGYQPAGPAAPAHGSNMSAARPAGGNGVAAPPGMGEPSAKKKRGRPRKYGPDAAMSLALVTVPTAAGSPAVTQGASGRPFSPTLPGNFVPSASPDGGKKRGRPKGSTNKPRVDGAGPAGVGFTPHVLTVQAGEDVSSKIMSFSQNGTRAVCVLSANGSISNVTLRQTGTSGGTVTYEGRFEILSLSGSIFVTDNGGQRTRTGGLSVSLAGPDGRLLGGGVAGLLIAASPIQIVVGSFNAGGKKEPKPQAHSEPVPLKVVPSTGIGMAANSPPSRGTLSESSGGTTSPRHQGYTSNNNNQPPILSSMPWK >SECCE3Rv1G0173210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:321388080:321398480:-1 gene:SECCE3Rv1G0173210 transcript:SECCE3Rv1G0173210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTTTTAPSHPEGDEGGEEAVRPLLSGAPAEVEDEELDIRYAPYARRDAYGPMGRGPLSAAQVARLVLAGVILLPLRLVAGVLLVVAYYLVCRACTLFVGGVGEGRPRLQGLRREAVLRAGRVLSRAMLFVFGFYWIPVSDRSFPNAEDVPKDHSEEPERPGAIVSNHVSYVDILYHMSASSPSFVAKNSVCKLPLIGLISKCLGCIFVQRESKCSDSKGVSGAVTERLHEVSQDENSPMMLLFPEGTTTNGDYILPFKTGAFLARAPLQPVILRYPYRRFSPAWDSIDGARHVFLLLCQFANYIEVVRLPVYYPSEQEKQDPRVYANNVRKLFATEGNLVLSNLGLAEKRVYHAALNGLLCQS >SECCE5Rv1G0309230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:149036645:149037514:-1 gene:SECCE5Rv1G0309230 transcript:SECCE5Rv1G0309230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGKKFGRNYLTWTDEMDTALLEVLVENHNNGDHAQNGWKSHVYSVVIGNVCEKCFVTITKENISSRCKTFEKHYEAINKMLSQSGFGWDWMNNKLSIDSEDVWLKYVAANKKVGFYKNKVIKNWDAITTIYSKDHANGEGAVAGVETVVEPTTEPNEASAEVPHKKQRTSDAILCLLGDMKGSFNDALKSLEPLALPQVTPPAEILATHEMIPDLARGDILRSYGKLILSERLYQALLELPMNFRKEWLLMLN >SECCE6Rv1G0418010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:660647406:660651257:1 gene:SECCE6Rv1G0418010 transcript:SECCE6Rv1G0418010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGAPLGGLNPRPKPNPSANCPGPRSGGRPGALADITNTGRPGPPRHTMADVLKENAKLAQLVAQKTKIIELSGVEINKLRAALLSTHQQNLHLAQAHSQITAELNQAKDRLKVLQHELACATAVLKVKASGIERKSRTGDSQVQTEITSQELKAAPSKFAPIEAHQADNTDTSANAHHSVETQSSVLFNSDQPEAPPDKTNKRTSLNTRKRKSESCEGTKETNTCQQSHRPDVQPTGSLHHEDQRNTVRRRSSRLNSGSCEMAEASCEILHTDTAVPSSCSFSVPELDEPNSGEDMRKAAQDELLCNTAVHIKASVLKKDEINKHLQKEANVQEEIQETHSVVNRIEDPEAHQIDSHATNMIPIHPAETQPSVPFNTQQPEPPKERAKKRGGHKRKLDSCGGQQDSNIEDTNSKLDSSCSEPPYHEETRKSPRRKSSRKNPGESDEATKGTLETKQEEIIAPIVPSSSDVVMEQSKDEKQSDSRSSMEPSEEQAAGKSSVQATSRRSSMRAAAKAVCYKEIPVNVKMRRP >SECCE2Rv1G0114450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:735025053:735027333:-1 gene:SECCE2Rv1G0114450 transcript:SECCE2Rv1G0114450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHHLLAPSSRAPFRPSPRRSCGGAFTVRCASSSASSPPASSSAGRQVANVHSYGTVDFERRPALRWSSLYRRVAVGHGGRPVGRTLADWDEGERRLDKWELCRIARELRKFRRFNLALEVYDWMTDRRDRFSLSSSDMAIQLDLIAKVRGVPDAEEYFEKIPDALKDKRTYGSLLNVYAQARMKEKTEYTFEQMRKKGFATDTLPFNVLMNFYVDVAEPEKVSTVTDEMKQRNITFDVCTYNIWIKCCAAMKDADAMERVFNQMIADESVVANWTTYTTLASMHIKLENFEKAEECLKEAEKRATGRDKKCFHFLITLYSHLQKKEEVYRIWNWYKATFKTIHNLGYQEVLSALVRLGDIEGAELLYEEWASKSSSFDPKTMNILLAWYSREGFVVKAEQTLNRFVEKGGNPKPNTWEILATAYLKDNQFAESLSCLEKATAVKSASKWRPRPTNIESLLAYFKEKNDMESVDRLMSVLRSRGCAENEEYKSLFDTYALAVAGT >SECCE1Rv1G0051310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:652800236:652803899:1 gene:SECCE1Rv1G0051310 transcript:SECCE1Rv1G0051310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSRIPVALIIIASAPGGPTKRGEQSSTQATHRAEPPPDPSDRAGRNRLADTSHASVAPSRAGTRMSEGAAAAAAEDGAAEAARAGRAWAFRRDLAAGALMGGAVHTVVAPIERVKLLLQTQDGNAALLGRSRRFRGFADCVARTVRDEGVLSLWRGNGTAVIRYYPSVALNFSLKDLYRSILKDAGTSADNKFTSIALTNFIAGAAAGCTTLVIIYPLDIAHTRLAADIGQTDARQFKGIRHFIQTIYKKNGIRGIYRGLPASLHGMVVHRGLYFGGFDTAKDTLVPLDSPLWQRWVTAQAVTSTAGLISYPLDTVRRRMMMQSGMEAQMYGGTLDCWRKIYRAEGVRSFYRGALSNMFRSTGAAAILVLYDEVKKFMNGGRL >SECCE2Rv1G0098390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:482459970:482462691:-1 gene:SECCE2Rv1G0098390 transcript:SECCE2Rv1G0098390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPPILAVSKPSPLPLIAPSSRPRAGSLRLHRRRLLPFSPSSSCSTSTSTSASSSSSSPVLLRDDELDHQEEPPPASEADSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPDEFHGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLVIKFLHRAADLTLVPSVAIGRDLQAARVTAANKIRLWNKGVDSESFHPRFRNQEMRSRLTNGEPDKPLIIYVGRLGVEKSLDFHKRVMDRLPGSRIAFIGDGPFRPELEEMFSGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVGKVELLLSCEELRETMGKAARKEMEKFGWKAATRKIRNEQYSAAIWFWRKKRSQLLRPLQWVFRRLFRPAPAPAPAITNQS >SECCE7Rv1G0467240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:85624809:85625090:1 gene:SECCE7Rv1G0467240 transcript:SECCE7Rv1G0467240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRIGPILGHTMHYRRMIITLQPGYSIPLLDREKN >SECCE6Rv1G0440200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:803159881:803160531:1 gene:SECCE6Rv1G0440200 transcript:SECCE6Rv1G0440200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGLELVTMSHRAGAPTAAPEHGLINGTAAPHSPWQSPVPYVFGGLAAMLGLIALALLILACSYWKLSRHLDGDRDGQAAGDADPEKGSASGAARPAMDFLEHVVVIMAGDERPTFLAKPVTSRAAEVELAATAAPEAGACAGDDRQEKKVDEQGCEVCSHLGADSATPSRDHHDAASPSRDHGDDAASRSHDNHHHHDHENESSSTMALQESSQ >SECCEUnv1G0558240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:330897335:330898657:-1 gene:SECCEUnv1G0558240 transcript:SECCEUnv1G0558240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADDSPLHIVVFPWLAFGHLLPFLELAERLADRGHRVSFVSTPRNISRLPPVSPAVAPLIHFVPLPLPRVDGLPEGAEATTDLPPNKYDLLQKASDGLAAPFSAFLEAGSGGKKPDWVVIDTFHHLAAAAALDRKVPSVMFLIYSAGTSVLYGVPRVSTVAHEELGASVAQRCMFTYETCKIIAHRCCAEMEPESVPLLSKIFGKPVSPVGLLPLPFAGSRGLRIINGAATDKGDALVSWLDRQPDKSVVYVALGSETPLSTELVHEMALGLELAGTRFLWALRKPSGVPDGDVDILPPGFHERTHGRGLVTMGLVAQTRILGHAAVCAFLTHCGWSSTIEGLQYGHPLIMLPFFGDQGPNSLLMEAKKAGVLVARNETDGSFDRAGVAAAVRAVAVDEDGRALFAANAKKLQDIVTDTACHDRCIDQFIHQLRSYKD >SECCEUnv1G0562450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:366033722:366034954:-1 gene:SECCEUnv1G0562450 transcript:SECCEUnv1G0562450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNKIVVTVLLLGAAAVLIGGTLALILTAGTWKVKLKESQEKVCNKGWECSGTKYCCNQTITDFFKVYQFENLFAKRNFPVAKAVGFWDYQAFITAASLFENQGFCTTGGIDMQMMELCAFLGHVGAKTSCGYGVATGGPTAWGLCYNHEMSPDQGYCDESYTQWPCVKGAEYYGRGAIPVYWNFNYGAAGDGLKVDLLHHPELLEQNATLAFAAAMWRWMTPIKKKQPSAHEAFTGVWKPTKNDTLSKRLPGFGATMNILYGESICGRGFIDEMNVIISHYQYYLDLMGYGRERSGLNLDCAEQAPFNPAPKKDDEQQPTGGQKPSG >SECCE5Rv1G0324690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:449320724:449322814:1 gene:SECCE5Rv1G0324690 transcript:SECCE5Rv1G0324690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCRAAVAWEAGKPLSMEEVEVAPPQAMEVRVKILYTALCHSDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELVAGDHVLPVFTGECKECAHCRSEESNLCDLLRINVDRGVMIGDGQSRFTIDGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCLLSCGISTGLGATLNVAKPKKGMTVAIFGLGAVGLAAMEGARMSGASRIIGVDLNPAKQEQAKKFGCTDFVNPKDHTKPVQEVIVEMTGGGVDRAVECTGNAEAMISAFECVHDGWGVAVLVGLAHKEAVFKTHPMNFLNERTLKGTFFGNYKPRTGLPGVVDMYMRKELELDKFITHSVPFSQINTAFDLMLKGEGLRCVIRMEEE >SECCE2Rv1G0132300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:889033470:889033957:-1 gene:SECCE2Rv1G0132300 transcript:SECCE2Rv1G0132300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKTYGLAARVHRVHPLLLLLAAVLLLAATADGIRPGPGDADAKPAVQREHARPGQAPGAPVAAAEKEEEDGSGFLQEEVYGTGSSLPDCTHACGACKPCNRVMVSFKCSIAEPCPMVYRCMCKGKCYPVPSS >SECCE6Rv1G0434350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764837532:764838206:1 gene:SECCE6Rv1G0434350 transcript:SECCE6Rv1G0434350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLVKNPAGRTIRLKVRESDTLGSIKAMIQERHFLVSNGVHLDDNRTLADYNIQHESTLELQEKMQICVTETLMNRTFPLDVHSLPTIDNLKAKIRDLEGFPKAQQCLIFDGKRLEDGNNTLANHHILMESKILLILLPCIPRGDMMQINMKTLEGKTITLVVGSFDTVDSVMVKMYEMKYAPYPKQQRLIFAGKNLERGRTLADYNICKDCTLHLVLCLCGC >SECCE3Rv1G0152850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:50930307:50931644:-1 gene:SECCE3Rv1G0152850 transcript:SECCE3Rv1G0152850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRKLCSLVFRSLPRLLLGFPPNSLKKFSRDEHVHVGLTETKMVGTLPELPQDILMVIFAALEIPDLVRAGSVCSSWHSAYAELRTRGKYKQGQTPCLVYASESDPDDVLCLYSLAEKRSYKLTLPQPPIRSRYLIGSSHGWLVTVDDRSEMHLLNPITCEQIALPSVSTIEHVKPILEEYGDVSKYEMSGHTGTRSSRDPPSIFALAELRGRLYWKAFVFPDTSTGSYIVVLIHNPQCQLSFARAGDDKWTWLPPDYLYDDCTYKDGILYAVNVKGEFHAFDLSGPVVTVKTIIRVPKRYVCDGRYIVQAPWGSLLLVYRIVGDHDLEPEPGASSYWNTKEIKIFGIDALWNEIKVIDCLHDHVLFLGQYPALKANRSYFTDDNFLWTLGHKNSHRDMGILKLDDNSREELVSPQLWSNCPAPVWITPDLRKINAMGSMSQQL >SECCE1Rv1G0057170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692582397:692584352:-1 gene:SECCE1Rv1G0057170 transcript:SECCE1Rv1G0057170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVGDPSLRPEEAHVVITSTPAMEASAASLSNLGALVWLGGSRPRANAAEIRNAFASKFAIDRKYIKVVPHYPEDFFVLFTHPHHCVIATAPPCRFSHGGLDIHVAKWRAEANADLVEAFYHVHLRIENLSLDAWCDEVATQVLGPDTFLHYFDVATVQREDSSSLNLWAWSANPSAIPKVLRLTATSNQPAGYSNGPSAAVGRRGLKRRVLVHLDLVEDFTPDINGNIPHRPRSSHPFSITLGVIDGESRSRDRSEVVVRRRDDDRDRDRHDDDRDRGRRGRKDRPSSWRDRIFRSRSRAPERRDGSDRRDSRDDRREDRGVRPDDRGRDGRRRHSEGQDARRVDFKLVQRLPDGAVIPASGRRSRCRPEVYMPRPRRSGGVASPVSEATRGRSLPPSPRTTSCDVVLLSPSSGEATWRRWIGPCFGSSPSPVCPVSPMTEPSTPRSSRMDVIEIVPASSPATLDAVIAATPTLASSEQPEATMATEDAEPEPATPVHVAATEVQCATPLFTACLPPLLAAPKSPSPRPRAPTRRRKTLAGVVGFNLTRSSPRLQEKQRKLPIAKLAEKMLCQRLGIIDEGQQVTEEAIDKFVAMFQGQLPDITVSALRALFNLDCDLSNAVEAALLEHGGQAGTELQGTSAKEASEAA >SECCEUnv1G0530600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13701762:13702446:1 gene:SECCEUnv1G0530600 transcript:SECCEUnv1G0530600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGDARHHQRRRRQEEDPASMVVSLRPLGLADVDDFMAWASDDRVMRHLKRPLCATREQAVAQVRDTVLGHPWFRAICVAGRPVGQVSVWPYPDDGGHRANLGYALAHRHWGRGIASAAIRMVVGRVFEELPGLGRLEAVTDVANARSQRALEKAGFQREGVLRSYIVRPGPGAGEATDAAVYSFLSSDPRPPLA >SECCE4Rv1G0282750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:830101192:830102568:1 gene:SECCE4Rv1G0282750 transcript:SECCE4Rv1G0282750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSFPSNGKQTLEHQEGSKTGPSKKLRPGHYHPRLIPDLPDEISLQILARMPRMCYIKSKMVSRSWKAAITSMELYRVRKELGVDEEWIYILSKAGNGKLSWHAFDPLSSRWQRLPLMPGVARGGSRLGGLVSAGIRISGLIRGLLGQEDWLDRIPFCACAVGTVDGCLYVLGGFSRATAIKTVWKYDPSINLWQEVSSMSTARAFGRTSLLNNKLYVVGGVIREETGLAPLQSAEVFDPATGIWAAVPNMPFSKAQTLPTAFLADLLKPVATGIVSFGGKLYVPQSLYSWPFFVDVGGEVFDPATDSWSDMPVGLSEGWPGRQAGTKLSAVVDGDLYALEPPTCSDGGKIKMYDPKEDTWKAVVGQVPVGDFAESKSPYLLAGLLGKLHLVIKDMNNMISILQTDALRPMDATGSTCQNPDVSWPWEQDTDVWRMVGSKKFAAAELVSCQALSI >SECCE3Rv1G0189480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:726564465:726567476:-1 gene:SECCE3Rv1G0189480 transcript:SECCE3Rv1G0189480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGRCPPPRSQIYLFSHATTKTTLSHLPVPTNPRRPYPSTTFSSSPVLTRSTPAPALRAERPGGLICAAMAMDLVPPGPAAAVAGEVNPEAVKGEGEEEEDVVMVVGEVGGRCGGDAVVVAPADAEVEGHPYAFHVSGPRNLPPPNWREIIRSSWKDPNYKRMVMACFIQAVYLLEIDRQDQKGEEDGLAPKWWKPFKYKVTQTLVDERDGSIYGAVLEWDRSSALSDLILLRPSGAPRAVLALRGTLLQKPTIKRDLQDDLRFLVWESLKGSVRYVGALEALKAAVERFGSANVCVAGHSLGAGFALQVCKDLAKQGIFVDCHLFNPPSVSLAMSLRSMSEKASHLWQKVKASLPLKEEAALESAKEEGSIKKKLRAEKKWVPHLYVNNSDYICCHYNAPSSSGPDGGPDEQQQQRKASEIAGDVVAKLFVTSSKGPQKFMEAHGLEQWWSDGMELLAVSDSKLIHRQLKSLYSSTAVPPPAKS >SECCE7Rv1G0464230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59660407:59660940:-1 gene:SECCE7Rv1G0464230 transcript:SECCE7Rv1G0464230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY domain-containing transcriptional regulator, Salt and dehydration stress toleranc [Source: Projected from Oryza sativa (Os03g0180800)] MPPMATTTADSAARRFAMACGVLSQYVRASGVAVPVTPGATERVLGAAAVQEPGSPADGAQQLTIFYGGRVVALDGCTPARAAELIRFAAAAAASQGASVVVPPAPAFVDMPIARKASLQRFLSKRKVRSAGAAPAPEGPPYAHHEEEEAPAPPKKKGRTEASSWLALGSLGDMHAP >SECCE1Rv1G0009190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:53043702:53043923:1 gene:SECCE1Rv1G0009190 transcript:SECCE1Rv1G0009190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRAPRAQDMGVVKRYAEMGITAELSRPWNYPTACRELAELLRHGYAGLPKAAQALAAEDVLVAFRLLPE >SECCE2Rv1G0121370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:807216937:807221962:-1 gene:SECCE2Rv1G0121370 transcript:SECCE2Rv1G0121370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFLSTVVDAATAWLVQSIIGSFFTRQMEAWTHEIGLTEDFEKLKFEMRNVEMVLAAAEGRIIGDNKPLSQSLDDLKELLYDSEDVMDELDYYRLQQQIEGKGCTAGSDDNSDGNYVSSSAPYSASELVYSATTQITRWASCGRKRRREEEGPAHSTILNYEIKHGISQRINGIAKNLRTIGNSVRRVLQLEISRPTATSSGSQNIRKNARLTTSVPIVCKVYGRDAERDKIIELLINGGSSDLNVLPLVGIGGVGKTTLARYVYHDERITNHFDLQMWVCVSTNFDEVRLTLEILEHVCKDRQEYEKISNFNVLQEILLKNIINKRCLLVLDDVWEDKNMSGWIKLLAPLRSHQVNGCMVLATTRMKSVAKMIGTMDEVRLSGLDEKEFWLFFKACAFGNENYEGHPSLQSIGKQIAKALKGCPLAARSVGALLNTNVSDKHWRTVRDKWKSFQKDFDDILPILKLSYDYLPVHLQRCFSYCSLFPEDYPFNGEQLVHAWISQDFVQCEDRTVRLEETGQQYLDKLVDLGFFQIVGSHYVMHDLMHELAGKVSLNECATIHLLKPEEIRPSVRHLSIITTAFDKDNHGSFPSEKTLMLFGRSSINLLGSLRNLCKEAKCLRFLRIFVTGADISSINRLLNPCHLRYLEYVCVVTTTMSLFPQALTRFYHLQVLNVGISGNLVVPTDMHKLVNLRHLISHEKVHHAIACVGNMTSLQKLRFKVQNVGSFEIRQLQSMNELVQLEISQLQIVKTKEEACGARLLDKEYLEKLSLSWEDSSMSLEPVMAEDVLEGLQPHPNLKTLEITGYSGAIPPTWLSSTFSVTSLQILHLRNCNEWRILPTLEMLPFLRKLTLIRMLNLMEISVPSLEELILINMPKLEKCIGSYGMELTSHLRVLMIMKCPQLNDITLFQSFSSFDAEQKSWFPSLSKLYIKKCPHIIKWANLPLREMGALKELELVDLHVVKELSVPSLEKLVLTKMPSLEFCSSLTTSPPLQFLPSQGNKENWTSNLHRLTIHDCPCLIVSHPLPPSSLISELSIRGVPTLPTMSISQRQLIIESNELSVLDDNILAFDNLRGITLFEIRNCPNLVSLSSEAFSQLISLEHLCIYDCPNLNMSNIMSEVVQGNSTSASSLVLPSLKHVTIRTCGVTERWLTEMLSHSQSLERLTFHPTEKEGTSSLASAAMTSAQVEHELKLPYNLQLYTSAFLYGSSGAANLGVCTSDCNGGLEFQTSLRSLDIEMNTELSCAWNLKSQEQEQGDNQIYVQSRLLCCLHAMTKLPIQRSPELTSLQVAPEELEIGGCEGLQFIRNLTASFLELVWSCPGLLSLPANLHSLASNEWLCIIQCKSIRRLPDMGLPHSLSFRWLVSTLRSYWLLLRYIPGAFYL >SECCE1Rv1G0043750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:595970223:595971293:-1 gene:SECCE1Rv1G0043750 transcript:SECCE1Rv1G0043750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKARIHADPVLELELDLFDCLPDSLVLLILNKVEDVRSLGRCSAASKRFNGLVPHVHDVCVKIDRVVAVDGDGEDALNLTSPKPRNILSHFLKLMLFTIIKPFHSARGPNGAGRPLFPQLAHHSPAQVLRNFTHVRNLHIELPSGDVGTEDGVLLKWRAEYGSTLQNCVILGGTRVDRKPVGSEHEPSSEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKEHPTLVSLVLTDADGQGTLTMGAEQLKEFRENQLSASACSNRTQVPACNMKLKYAPYLELPGGIALQGATLVAIKPSTEGSNGGHVSRKETDAFISGAFDGPFKIAARALMKRRTYLLEMNGF >SECCE7Rv1G0519990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866717528:866718721:-1 gene:SECCE7Rv1G0519990 transcript:SECCE7Rv1G0519990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQAESKPMPIPLMTPYKMGSTVELAHRVVLAPLTRQRSYGNVPQPHAAVYYGQRATAGGMLITEATGVSDTAQGYTDTPGVWTAEQAEAWRPVVDAVHAKGALFFCQLWHVGRVSKYCFQPGGAAPVSSTERMVGPQVRHDGTAEEFSPPRRLAVEEIPMIVDDFRKAARNAINAGFDGVEIHGGNGYLIEQFLKDSANDRDDGYGGSLENRCRFAMEVVAAVAKEVGAHRVGIRLSPFMDYMDCHDSDPHALGLHMATKLNDHGILYLHMIEPRMALVDGRRVVPHRLLPYREAFKGTFIANGGYDREEGDKAVATGYADLVSFGRLFLANPDLPKRLELNAPLNKYNRMTFYISDPVVGYTDYPFLP >SECCE2Rv1G0079130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:114879052:114885426:1 gene:SECCE2Rv1G0079130 transcript:SECCE2Rv1G0079130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEVTVVHHVALVLAALWAAGNAGWAHPALFLLALVYIFAVNARYTMRLKKRLQFEEKKSANQRRLLSDAETVRWLNYAVEKMWPVCMERVASQQFLLPIFPWFINKFKPWTARKAEIQSLYLGRNPPMFTDIRVVSQSTDDDHLVLELGMNFLAADDMDARMAVQLRKRLGFGITANMHITGMHIEGKVLVGVRFLRQWPFIGRVRVCFVEPPYFQMTVKPLFSHGLDVTELPGISGWLDRMLDVAFGQTLVEPNMLVIDMEKFASESESTDNWFTVDEKPPIAHAKVEIMEGADMKPSDPNGLSDPYVKGQLGPYRFQTKIHKKTLNPKWLEQFKIPITSWESSNLLSLQVRDKDHIFDDPLGNCSISINKLRGGQRHDMWIPLKHIKTGRVHIAVTVLEEENGKVPSDEDELCGTPKEGKASTQGSSFSSKTNTESASSAGYRNMTDEYEPVDIKGLEKAGVWVHRPGSDVAATWEPRKGRVRCQDSQILRENDGCSDSPRSSVSESQTSNSSTEEPASSNKSHRHLRKVKKGLVKLAGAMRHNKNSKSVSDDDETSPCETPHPNIRPVGESRVSVTYVVDEDAGNSSMERRSDDPHSSPERAGDESPTKRQLRKKAAHMVKHAGKAAHNLKSMLSRKGLDKGKEDECRNEEEGDLDVTRVDSFAARSGAVGDAAESLADGKDKAL >SECCE6Rv1G0441660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811675404:811676006:1 gene:SECCE6Rv1G0441660 transcript:SECCE6Rv1G0441660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSLHCKVPNGAIDITSDFINLNALTDAPHFVMEFIQGSPTSMVVLLDLLPRKDLALHPEYIEKYYENTEADKQCKIIEELPQARTYLSLSLFVRSRFSPTAVFFTIDCGQGGEGTLEEIVDGHLASVVKGVLQIWLDTCAGDTSEMEEGEREIMVRRDRTVRSKSIELTANLPRMFGPDVSVHVIAEIRKAFGVQEA >SECCE7Rv1G0490990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:514278190:514278405:-1 gene:SECCE7Rv1G0490990 transcript:SECCE7Rv1G0490990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDKETIVTWSRASSILPTMVGHTIAIHNGKEHIPIYITNPMVGRKLGGFEPTRHFTSYENARKDTKFRR >SECCE2Rv1G0064810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5139419:5140914:-1 gene:SECCE2Rv1G0064810 transcript:SECCE2Rv1G0064810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPKLLLLLLCTYLHALVAHGGDDLLRSYYKVLHAGSLKSATLNCSQPKASPPSGGGVTVPLHHRHGPCSPVPSAEAPPLEEMLRRDQLRAAYITRNYSGAKGGAGNVQQSDVTVPTTLGTSLGTLEYLITVGIGSPAVTQTMLIDTGSDVSWVQCKPCSQCHTQADSLFDPSSSSTYSAFPCSSSACAQLRQSHQGNGCSSGSQCQYIVKYGDGSSGAGTYNSDKLALGSSSVSNFQFGCSQSESGNLLKDQTDGLMGLGGGAQSLATQTAGTFGKAFSYCLPPTSGSPGFLTLGAATSGFVVKTPMLRSSEVPAYYGVRLQAISVGGRQLSIPTSAFSAGSIMDSGTIITRLPATAYSALSSAFKAGMKQYPPAQPMGIFDTCFDFSGQSSVSIPTVVLVFSGGAAVNLAADGIILGSCLAFAANSDDSSLGIIGNVQQRTIEVLYDVGGGAVGFKAGAC >SECCE1Rv1G0008040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:43826356:43827549:1 gene:SECCE1Rv1G0008040 transcript:SECCE1Rv1G0008040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial-type ATP binding cassette (ABC) transporter, Al toleranc [Source: Projected from Oryza sativa (Os05g0119000)] MATSSPSAVTALLSRLAQEVDPWAPGFWRDFAVGMLKPLAATVVVAMAVALSFTQRLGVEAEMLYAIARSFLQLSVIGFVLHFIFTQSSPLWILLAYLFMVTVAGYTAGRRARQVPRGGYIAGVSILAGTAVTMFLLVVLSVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLHEDVKTQRSLVETALALGATPRQATVQQVRRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTKAFQLDDSVFAD >SECCE5Rv1G0327650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:494382629:494386549:-1 gene:SECCE5Rv1G0327650 transcript:SECCE5Rv1G0327650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGVEVIASRGCSRLLLPGMQPSSASAASSSVSASRSAATVATAARRPPDGPFAGLVICVTGLSKEARSQVKEAAERLGGDYSGSLHPKCTHLVHSFAGRKFEHALKHGPRNGLFVVTLGWFVDCVRRNMRLDESLYSIKNIGENGLPLGESNRLVGLPVNEKSCLPPMIFQDKACSDTTHKHQLQAHREEPEHDVFVFTNDTIYIDPGISGEMKKKISDAATSEDAKLLDHWFIGCHATYVVCEDASVKRYVGHSDNIVTPFWILKTAKEKNLQRLVHLSSDLARHVAVVLENINTSQENSRKLGSVPSLNYSELPTQGEIDETRQERQKFVEVAKKDIRDRRVRRMQSCEVPIHPLTPVTLLDSICWTISEPVSSASIYMDSSWSEDADEQQSTTYFDADGDGKDRDQSADNLSRPLRESERSEVIFKNHFLTILFPLDRFGELGPSSRTFFSNAGFTREQVLDHIYNFYQENMSTDEINVALHTDSRHADRLRSLYASAECAERGFVVAFKRIDFLGSRRSFEALKRLSRENNSNVYELVIRA >SECCE6Rv1G0414550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:634055472:634058343:-1 gene:SECCE6Rv1G0414550 transcript:SECCE6Rv1G0414550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILKDLKVPAPTRKVTAAVLWPEKKKPKPAGGVARRLAGLGRRGGLGLDDGEEEFEADFEEFEADSGDSDLEHGRRGVAGKDGDDEVAEIKPFAAVKRSLSQDDLSTMSTAGFDGPAQRSAKRKRKNEFRGIRQRPWGKWAAEIRDPSKGVRVWLGTFNSAEEAARAYDVEARRIRGKKAKVNFPEEPTVPQKRRACPAAPKVPKSSAAQEPTVIPAVNNLANPNAFVYPSADFASKQPLVQPDNVQFVPAINSAAPVEAPVMNMYSDQGSNSFGLSDLGWEYDTRTPDISSIAPISTIAEGAESAPLQSNTYNPAVIAEGAESSLVQSNTYNSVVPPVMENNAVDFEPWMRFLMDDGVDEPIDSLLNFVVPQDVVGNMDLWSFDDMPICGEFF >SECCE4Rv1G0223560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:71754200:71758460:1 gene:SECCE4Rv1G0223560 transcript:SECCE4Rv1G0223560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLASSSYRRRILDSKAAASHALYSCRLPSRSGSRQPAHTRIGAAARAHGVESSIISVLTMHHWETLNHMAYKFGKLDKVHGKLALKILGSIVQQSGLERITHVYCLAAHILIQAQMHSQAMSVLKHLAMAGFSCSAIFSSLLRTTSRCDSNPMVFDLLINAYLKERKVVDASKAILLMDDCGFKASTHTCNAVLNALVEVGESKHVWFFLKESLARKFPLDVTTCNIVLNYFCLDGNLRKANLMLQKMKSRSISNVVTYNTILYWYVKKGRFKAAIRVLEDMEKNGLEADVYTYNIMIDKLCKMKRSTRAYLLLKRMRGNNLSPDECTYNTLIKGFFDEGKMKLASYIFNEMLKQSLKPSLATYTTLIDGYCRSGVTSEAVRVLYEMQVAGVKPNDLTYSAMLNGYCKASMPGHALNLIEDLKARGTTVNRTMYTILIDGFCQLGVVSRAKQILKSMLVVGINPDVVTYSALINGMCKMGKLDETKEILSRMQKTGVLPNEVLYTTLVCYCCKAGYVGEALKYFVDIYRRGLAANSFIHNTLLCALYREGMVTQAEQFKQYMSRMKISFDVASFNCIIDFYCTRGNMHEAFSVYDNMHRYGCSPNVDTYRNLLRGLCKGGHLVQAKEFMACLVDIPSAIDQETFNALLLGICKDGTLDEALDLCEKMVTSNFLPDIHTYTVLLSGFCRKGKIVPAVILLQMMLEKGFVPDIVSYTCLLNGLIKEGQVKVASYLFQEIICKEGMYADCIAYNSMMKGYVKAGMIHKVDMMIRDMYHNEVYPNPASYNILMHGHIKKGHLSRSVYLYKDMVRKGIRPNNVTYRLLIHGFSKHGMTEIAIKFLDKMVLERIYPDRLTFDVLITVCSEKSRMSNALQLFNCMKRLYMSPSSKAYSAMINGLIRKNWLQQSCDVLRDMVESGLEPSHTHYIALINAKCRLGDINGAFRLKEEMAALGVVPAEVAESSIVRGLSKCGKVEEGIIVFCSIIRAGMVPTIATFTTLMHGLCKEGKIADALHLKGSMELYGLKIDVVTYNVLITGLCNSQCVSDALDLYEEMKSKQLRPNITTYTTMIGAIYATGRMLEGEKLLNDIEDRGFVPSYKDQILEWRMENAMRRLNVIRNCKKEITSKNEVELLHADHESMHKTAED >SECCE5Rv1G0328950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:510919141:510924293:1 gene:SECCE5Rv1G0328950 transcript:SECCE5Rv1G0328950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamete expressed 2 [Source:Projected from Arabidopsis thaliana (AT5G49150) UniProtKB/TrEMBL;Acc:W0TY53] MANPAALSPPRPILAISLLLCLAPLRPTTAQEQYPQYPIAPRPAFMFGWVDDRGSFRAGDTATVMITSFNIPDANVSEVRRSAAFKVTLHGGKAGNSSYLADVAVHLEGDLPSWNITLVPLRAGDFVALFEEERFAVGVSTLNFAVAPRDVHPSASLASWAPNFAAGYDAVAAGSKAFLSVFPRDAFGNGLPRGADMPFGYWYFTVSWSYVNGTAVEFPGLEYNGWTEDGCMSLEFVPTLAGDFLVHVHGGDNTKLRGSPLPLKVKPGPIDIAKSTAEWKHGTNVVQIFSRLEILINQRDSLGNLVPGIRPFDAAVVESASRLSVPVGGLRIEAVAEGIQRLSFDVVEPGEFELTIFDTQLKQRLSDTVYVYHVFVGYCDGSKSIVNGSGLVQSVAGSPSSFMVYLVDQYGSPSPIDVEMLRVQILSRNGTSAVNPVITPVREPNETMSTDGQTSNFNVSYAPEIAGEYEIWVLCGNIELNDGKPYNMTVSPGAVDTSLSSAPVFDPRAKRSVRNNVTVRLVDSFMNPVVSLEPKLRLQLTSANITAPMNASSFTAGEFVNNNDGSYTAHYVARYLGLYGMCILFDSRQLDPCPFQVLVLPDEYFSEVREDKISVWENESVSFDILSNDYIAVGLAEVVNLSSPLHGAVLQYNPGYRYTPFERFFGNDSFSYTVYDKHSNIVSGTVFISVLCRPPQFISLPKKLHVTEDIIGPKFGGFPGIEITYSDRSENISVTVRAQHGNVLLAPMPMQLQRLLDDTLSISRVGRSSQALRIQGMVEAINGALKYLQYIGNQDFYGDDVIMLYARNRNGRHRDELYVSVEPVNDPPVILAPKSIFLGGKESRDGYQIFDKQRDPFEFSIVEPDLRWYPGNRSHLLLVLSLEVFEGTLMMTLPASLVGRAELKTGGSNQWQSLQTYVAIAHHLVLRGTGIRLRLDVADCNSAMHRLFYQGGPSHGTSLSITVNDLGNYGCYPDCSEMMSRPLQAAKTVQLSKRKAMNSTRAILTGSAIAIEILAMLCLGGVLLYFLVKCMCALRIERTRGRPGNEVRTSERTMSHQLMSSSPSDDAGYSSAPAAVLSLGGNRSGFRQRSCRSCKQQELELQQLSGIRNDGNQDAQPVDKDK >SECCE3Rv1G0148580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19269988:19270443:-1 gene:SECCE3Rv1G0148580 transcript:SECCE3Rv1G0148580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRSNVFDPFADLWADPFDTFRSIVPAISGGSNETAAFANARVDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKNDKWHRVERSSGKFVRRFRLPEDAKVEEVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >SECCEUnv1G0541800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98286654:98287289:-1 gene:SECCEUnv1G0541800 transcript:SECCEUnv1G0541800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQNFFFFITSMVVPRGTAAPVLLKWFVSRDVPTGASSSNGTIIPIPIPLFPFLVYLHLRKFIRSMDRAKSGVLVKASRPILLPDKMERSSSARNALFRFVAVLHFLIIESMGDLSYLESFCGLLCLQFFRTLFSLPRDRSAKRERALRSKGQTLRPKGNEQQNDKMRCPGHPHIERRVEGFGPVAFPAPPPPLVEWCLFGGCATRKRA >SECCE5Rv1G0345540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:657036760:657037242:-1 gene:SECCE5Rv1G0345540 transcript:SECCE5Rv1G0345540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPPQPNQQQQRHRRLRVRRVFDLFDHDGDGVITAAELSGALGRLGLALGAQADGLVAAYVAPGMPGLRFADFEALHAELAGGGEEDEEAEMREAFAVFDENGDGYISAAELQAVLARMGVPEAACMARVQDMIAAHDRDSDGRVDFHEFKAMMAAGM >SECCE3Rv1G0156150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70256458:70257023:1 gene:SECCE3Rv1G0156150 transcript:SECCE3Rv1G0156150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLHFHYEDVLRQDLLLKRNHANVTEVPGLFEIRLVPKAASDFIIQFSELAMEILCGQRFIQTQRGPYFQAGKSFRSNPFLGSEKDTGYVSDFSRQSVLQGHELMSMLDSPVEIRENSIKFFMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSANTKDETLLLWSGFLLKDEGETK >SECCE5Rv1G0337030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588540126:588542130:1 gene:SECCE5Rv1G0337030 transcript:SECCE5Rv1G0337030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWGDVYRVAAAMAPLYFALGLGYGSVRWWKLFTADHCDAVNRLVVYFAFPLFGFDFTARAGSFAAGYRVLAADAVAKIVVVLALAACAATRRAKAATRRGGXXXXGAPSSYSWCITGFSLAALNNGLLVGVPLLDAMYGKWARDIVVQLSVLQAVVWFPMMLIVFEARQAWLEVTSEPADQGAGAREEGDLSAVPEGAREDGGQAALRSDVERPAQGENGRKTAATGCAFWAPLLRAVGVKLARNPNVYASLLGVAWSSVANRWHLKMPSIIDGSIAIMSKTFIGTGMFSMGMFIGLQDKFIVCGLGLTVLSLVLRLIAAPAAAIVGALILGLRGDLLRVTILQAALPQSVATFVFSREYDLHAGVLSTT >SECCE4Rv1G0296220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:902008748:902009750:1 gene:SECCE4Rv1G0296220 transcript:SECCE4Rv1G0296220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQTGGDKYRSFMGGDGEKNTVWRHGVPPNFDVVNKLFEEERTKEWAEGSVEEKVQRLLKTWEMEMFHKLRPEDQKSVHSQGFTSSTNGMKPLTRKEWTAIGGYNAFLATKLPLEHRIYDPDTETFDSGMETFLTAFPRGFAIEVLDVYCTCPPRVAFKFRHWGNMEGPFKGHPPHGQRVELFGVCIFHVDEEMKVEKAEYFYERGNFLAGFLSAPAAAAASASGCPVMRGN >SECCE2Rv1G0134990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:904442768:904446507:1 gene:SECCE2Rv1G0134990 transcript:SECCE2Rv1G0134990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGKKKAAAAAKPRKPKQRDAAEKKIGKKADMSEFRAQLGSFGLKIVEVTADGNCFFRAMGDQLEGDEGQHMKYREMVVHYIVEHREEFEPFIEDEVPFDDYCDSMMKDGTWAGNMELQAGSLVTARNICIHMLNSPRWYINNFSGREASNMVHLSYHHGEHYNSVRLTEDPCQGPAMPVVIKTDSNVASTSNNAQTKAKDSKKSSNRSAYDDTSVKMVMAGTGCSSVAAVQHVLGQLDGDVDSAIAYMIAERFAMSSDNVDGDPYMDYACNGDELKLSTSQNGDPMVEHKEEESCSSKDEAVQKSKNPHAKKENSKTKECSCGSARKHKASCSLATAVPSKEPPKTKGGGQGKGQKGKAKQKKKEAAQAAPVKERKSTVAVVPDLGALCI >SECCE3Rv1G0144430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:4399474:4399961:1 gene:SECCE3Rv1G0144430 transcript:SECCE3Rv1G0144430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVQWWGEWQLHVLALGSLVIQYFLAIFAGCRKDESPPWFRFVTWLAFLGSDAVAIYGLATLFNRQRCQQLRSSAQESHALQVLWAPILLMHLGGQVVITAYNLEDNELWRRHIVTALSQSTI >SECCEUnv1G0549650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:206390014:206391889:-1 gene:SECCEUnv1G0549650 transcript:SECCEUnv1G0549650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYVGVWFVRQIPTSISISEKEARNPLFDSNSPTPVVAFLSVTSKVAASASATRILDIPFYFSSNEGHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMMTYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLTLCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLMSVLSIYYYLKIIKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNPILAIAQDTLF >SECCE3Rv1G0155860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69485484:69487061:-1 gene:SECCE3Rv1G0155860 transcript:SECCE3Rv1G0155860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPAVLGLTLLLLLVLNPNGAEARPAPTGGHQKKASSATFFVFGDDFADNGNLPLADPITRMSRQWAYPYGSDYVDADGFPRPNTPSGRFSNYKIQSDFIATIMGLEEAPPAHARTAEKTCDPSGMTFAIGGACVLDSTSHEVPALAKQVDTFRKMVKDGTITTNQLSHSVALVAFSGNDYAGTNVIGLSSPNDINAYIGKVTKEIATNVDQLLKLGVTKVLVNNLHPIGCTPSHTRTNNYTACDIFGNLGASIHNDNLKQVMASKKNVYIVDVYTAFANIVDHAAGKGSELSKQFKRKLSPCCESLDSEGYCGQQGESSSELLYTVCDKSNRFFYWDDMHPTHTGWEAVMKQLEKPLREFVNQA >SECCE3Rv1G0195130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:789978963:789979586:-1 gene:SECCE3Rv1G0195130 transcript:SECCE3Rv1G0195130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNMVPAPGAADRAPCAPASSAVEGPTTLTVWRKSLLFDCKGFTVFDAKGNLAYRVDSYASESGDEVVLMDAAGRPAFTVRRKRFSLQGEQWFVFSGEETRRPVYTVRRSGRGKTMAHVTACAGAGAGPSYEVEGSYARRSCVVYDGERRVVAEIRPKEVVGTDVFRLVVQPGVGVSLAMAVVVALEQMFARPSLLRSWSTVD >SECCE1Rv1G0060780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712220523:712225683:1 gene:SECCE1Rv1G0060780 transcript:SECCE1Rv1G0060780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAQRSKTSAEVDFFTEYGDANRYKIQEVIGKGSYGVVCSALDLQTRQKVAIKKIHNIFEHTSDAARILREIKLLRLLRHPDVVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTASVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKDPVPFSQKFPNADPLGVKLLEKLLAFDPKDRPTAEEALTDPYFRGLSKPEREPSCQPIRKLEFDFEHSRVSKDDIRELIFQEILEYHPQLLKSYVDGTERTTFLYPSAVDHFKKQFSHLEESDGSGPVVPADRKHASLPRSTIVHSTPIPAKDTRPLFGKPCSKTSSETGRYAGNGHGASQASHAAQAVVSRRAAGSALPSEGGVGRYPYDAASRPAMSSGCPPQQQIPQLYGQYQHQAAPGAGAGIPQTMGGYSCGGYTKGTAPNAAAAAPAMRAPPYRHLPVGQKNGPLDRLAVETTDIYTRSLNGIVAAAAASAGTAGAHRKVGAVPFGMPTTY >SECCE6Rv1G0406590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:547298450:547299109:1 gene:SECCE6Rv1G0406590 transcript:SECCE6Rv1G0406590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGDSDICDGCIEACCCAFFVDSIGGKTLFRIVCALVIFAVLATGFTLLVIAFITRPVGVTVEDAALGRLALADKNATATALAYDFSLAVAVRNHNWIMRAQHTAPLDAELLFAGARFARVGLATAGGLVQPGNKEVYHAAAAADNAGVSLGSAGVAEFVRESTAGVFHLELRVVGEVRYPPRHHLHRLNAICPLELALSTATSPATFRKVKCAAFS >SECCE3Rv1G0159880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:100195722:100196646:-1 gene:SECCE3Rv1G0159880 transcript:SECCE3Rv1G0159880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTTALSMKLLVDTQARRVLFAEASKDVVDFLFSLLALPVGTAVKLLGKEAMVGCVGNLYGSVEKLDGTYVQPGAAKDALLHPSVLSPAVSSKSSLLGLPAPPSPQAKTFYRCNARCTCYSGGSGFGGGGGLGGGSVFGSSSQQATCSNCRTYMADSYGTYCPSCDNQMTTPFTVVPSAGSEGPVAQAAAGGSGKGFVQGIVTYTVMDDLTVTPMSSISSITLLNTFAVKDLSALQEKTVQLGYDQGLEILKASLQSKTVLTDVFLKPNRYA >SECCE4Rv1G0276660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798538086:798543830:-1 gene:SECCE4Rv1G0276660 transcript:SECCE4Rv1G0276660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASRGEDMDVEVEAFLTSCAASAYGAAEALLERLDAPASRAAARRLLGAVRRRFADPAAGQDCLRAFNFRIIPDDPHLEQQGFRQTTTIEMPGIFVPCSAAFYEGLGQQPDSIFRDKTVAELGCGNGWMSIALAQRWSPFKVYGLDINPRAIKIARINLYLNALDDDGLPIYDGEGKTLLDRIEFHESDLLSCCRDSKIELDCIVGCIPQVLDPNPEVMPNIKINNSTEEFLYSRCYYCAFQGVVEDQFGLGLIARAVEEGIALIKPMGIMIFSIGGRPGQVICERLFLRRGFCINNLWQSKLMQAADTDLSDLVEIEKNSPHRFEFFVDLGEDQPISARTACAYMKSGGCVSHALSMYSCHLRQPDQVKKIFDFLKDGFPEVSSSLDLSFDDNSVADENISFLAYLASFLKENKSNPCEPPAGCLNFRNLVTGFMKAYHHIPLTPDNVVVFPSRAVAIENALQLFSPALAIVDEHLTRHLPKQWLTSSTIEERADCTEAENIVTVIESPRKWDLLIEFIRKLKPQVVVTGMAQFDAISTAAFVNLLNVTKDVGSWLFLDISEHLELSRVPSSNSVLRYLAGNTLPSHAAILCGLVKNQVYSDLEVAFTISEQAAFCEALSHTVELLEGHTSVISQQYYCCLLHQLLAFRICEPHAQQERRPALVLPQRMIGFSNSAISAIKEAEFFLPDSKESCVIHMDLDRSFLPIPSAVKASIFESFVRQNIMDSETDVRSGIQRLLKRNYNYPVDSDSDIIYGNTSLALFNKLVRRCVQERGIFVFPLGTNGHYVSAAKFLNANTLTIPTKSSSGFKIEPSVLEDTLNNDELFSFSRAWVYISGPTINPSGFLYRAKEIEKLLSICARNAARVVIDTSFSGLEFLTDDWPFPGVKFPVDRWQCWDLERCLEIMDSHAAPVYLLGELSLELTMAGLKFGFLISEGRSLEYSFPILSQPHSTLKYTFRKLLGLKYELDEHFSNVIAEQKETLKGRANHLMKTLESCGWDVVGCHGGISMLAKPTAYIGKLFRVSGFEGTLNGCNIREALLRSTGLCISSSTWTGITDYCRFSFALESGEFKRAMDCITRFKELVLGGSDES >SECCE5Rv1G0316560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:324165677:324166619:-1 gene:SECCE5Rv1G0316560 transcript:SECCE5Rv1G0316560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSSGDAGGDLSVATPGFRFYPTEDELLGFYLRHRLAGTTRPHVDRVIPVVDVYSHHPSHLRSMAGEASVQDAEQWFFFCPRAERELRGGRPARTTPSGYWKATGSPSCVFSSSAAAGNSKVIGVKRTMVFYQGRAPTGAKTTWKMNEYKAVAADDDTTTGHQTTAPLRLRNEFSVCRLYISTGTLRSFDRRPLNTSGNGQAAARRHAPSPANIACIGQADNYQGWSTLVTTSTTDVDNFCFDMDFNQL >SECCE2Rv1G0097060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:452492335:452493440:1 gene:SECCE2Rv1G0097060 transcript:SECCE2Rv1G0097060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGGHGGGNHPLRWTSPMSGFMLRRFVELIAGGVKTDKGFKEVHLNQVAKNCSEHFGLSITGTQVYNHLRKWRARWVKISKLRDISGSLWDDTNYVISLEEEHYLGHIKDHPKDVEYLNVPLENYVQMLAIFGSGIATGRYAMTSHQALGVPSMVETSPSFVNLEGCGSEFVDGYEPGSSATAAAHGEDVVAAHGKEPCKDASNSTGKRKRASLMSEEEVLVMSNMSDAVHEVVVAIKSTGEAHPELYNSVMELPGFIEDDLLIVLDYLNENANRARSHSFVQMSETRRTRWAIHHLSKFNGGVSVPKDGMPQDGVPKEGMPQDGAPNDGAAKDGV >SECCE7Rv1G0524800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887119811:887132405:1 gene:SECCE7Rv1G0524800 transcript:SECCE7Rv1G0524800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVTATPERVCSFEPSVWDDFFIHYKPQPLKTSEDCMRVKAQKLQEDVQMLFQTFTSVVRKMNLIDTLQRLGIDHLFEEQIHTAMKEIHEKEFNYCSIHDVALRFRLLRECGLWVSPDVFSKFKGKDGSFKRDIISEPRGLLSLYNAAYLSIPGESELDEAIVFARHHLESMRGSLNYPFSEQVKRNLEIPLPRTLKRLDAPYYIAEYQQEKAYNPSILELAKLDFNLLQRLHQEELKAFCRWGNDLYEEVRLTYSRHRIVECYFWSYTEYYERHYGDARIILAKLIVLATLLDDTFDVHATLEEGRKLNEAIQRWDENAIPLLPEYLKGYFVRLMNTFREFEDELKPNHKYRVAYGRKAFQNLCKHYQQESEWFHRSHIPSFEDHVKCSVITAGTPTLFVGSLMGMGDEASKEAFEWAIGCTDVVRACGKVSRFMDDLASFKHGKNKLDVASSIESYINQHHCTDEVAMDVLDNLVEDAWKTTNQARFDRGPLLPLVNRAANLTKSITLLFRNKADRYTFSRGNKDRIQQQFVDPIPH >SECCE3Rv1G0198640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:834373460:834378990:-1 gene:SECCE3Rv1G0198640 transcript:SECCE3Rv1G0198640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADKVKPAASPAAEDPSAIAGNISYHAQYSPHFSPLAFGPEQAFYATAESVRDHLLQRWNDTYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYADALKKFGYELEAVAGQERDAALGNGGLGRLASCFLDSMATLNLPSWGYGLRYRYGLFKQRIAQEGQEEIAEDWLDKFSPWEIVRHDVVYPIRFFGHVEISPDGKRKWAGGEVLSALAYDVPIPGYKTKNAISLRLWDAKATAEDFNLFQFNDGQYESAAQLHSRAQQICAVLYPGDATEEGKLLRLKQQYFLCSASLQDIIFRFKERKSDRVSGKWSEFPSKVAVQMNDTHPTLAIPELMRLLMDVEGLGWDEAWDVTNKTVAYTNHTVLPEALEKWSQAVMRKLLPRHMEIIDEIDKRFREMVISTRKDMEGKIELMRVLDNNPEKPVVRMANLCVVAAHTVNGVAELHSNILKEELFADYLSIWPKKFQNKTNGITPRRWLRFCNPELSEIVTKWLKTDQWTSNLDLLTGLRKFADDEKLHAEWAAAKLASKKRLAKHVLDVTGVTIDPNSLFDIQIKRIHEYKRQLMNILGAVYRYKKLKEMSAAEREKVTPRTVMVGGKAFATYTNAKRIVKLVNDVGAVVNNDADVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGQDNFFLFGAKADQVAGLRKDRENGLFKPDPRFEESKQFIRSGAFGTYDYTPLLDSLEGNTGFGRGDYFLVGYDFPSYIDAQARVDEAYKDKKKWIKMSILNTAGSGKFSSDRTIDQYAKEIWGISACPVP >SECCE7Rv1G0477930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:229960571:229962664:-1 gene:SECCE7Rv1G0477930 transcript:SECCE7Rv1G0477930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGGNKGEGPAIGIDLGTTYSCVGVWQHDRVEIVANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDASVQSDMKMWPFKVIPGAADKPMIVVTYKGEEKTFSAEEISSMVLTKMREIAEAFLSKTINNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRSLRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKMDKTQIHDIVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVTFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIERMVQEAEKYKSEDEQVRHKVEARNALENYAYNMRNTVRDEKIASKLPADDKKKIEDSIEDAIRWLDGNQLAEAEEFEDKMKELESICNPIISKMYQGAGPGGAAGMDEDMPSGAAGTGGGSGAGPKIEEVD >SECCE1Rv1G0012750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:96744844:96749752:1 gene:SECCE1Rv1G0012750 transcript:SECCE1Rv1G0012750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATGNAGEQPLLHLKVAFLALEPPACVLTLARKAGGGSVTPNVQNFILENCIGTNVGGGPDCTYVKTILKKVIAEAESSSDIVIDELYEEFGRCMSSRANNSELSLAKIYKEISFLSPAHDNVSSNPVSLVARLLCSTNMLEGDTGCCLWPSSLFLSEFILSFPELFAKKCCFELGSGVGLVGVCLNHVGASKVILTDGDASTLTNMKANMEMNNLYIEDSQLVKESKNKVECKYLSWEEACESDLRDYQRDIVLGADIIYNPSCVPHLVRVLCMLLRGDDGRRGSVNAAANGEIGDQVSGTSATGGPVAYIATVIRNADTFNCFAKAAADAKLSVVNITSSAAPSSFLPYMISYDRSSVQLLKITLLS >SECCE1Rv1G0025230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:348824015:348825130:1 gene:SECCE1Rv1G0025230 transcript:SECCE1Rv1G0025230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSAPVGDTPPRTASTIVAEGVSGSHVLTVQGYSNTLGIGVYRAIPAGVLRVAGHIWSIAYYPDGFDRDSIDCISFSLYLHHADVVGHSYGTDDNDDDDDVDAKRAIKMRCRFSLLDHVGEPVPNHTTPYMTSICYARGQGITSHRFITRADLENSAYLKDDCFCIRCDVSVTKLIRKQPTTQEFVIVPPSDMAHQLGRILETGEVGDVTFEIRGETFVAHRHLLAARSPVFMAQLFGPIKENDAKCIQIDDMEAKVFKMMLHFIYTDTLPNIDKGEITEMAQHLFVAADRYNLERLKLICVNMLCNYMDVSTVATTLALAEQHDCDKLKEVCYRFLTSFQNLKAVALTDGFKHLKIIRPNILEELLGR >SECCEUnv1G0560970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:351023048:351026468:1 gene:SECCEUnv1G0560970 transcript:SECCEUnv1G0560970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVPRAACRVPGVSRVPRAALAVLLTCPLCKGYFRQASAFAECGHTFCRDCIMKKIDEEGIESCPVCYAALGIAPEEKLRDDPKIQAIRDHAFPPKAEVDASEAPTITLPAKIKERSISSLVETPNMATQPTLTGQRAARRKFMSHLFSVGRLPNKSKDNNLKTEMASAPKSTKVTTSAIKKKNSADISEDGKNRETIDNEELHKPLRSLVVASVKKSQTLRYLGESRKNKTTTEDSLRESPEADSDDGITTPVWFSLVASTNQAEAKRLPQLESKFYRIKEGTMQVSSILKLIMQKLELASDVKVEILCHGKPVCPSTMLPGLLKQWLSRKPKRRVQRPVGAPANEFVMELGYLRCLASKSLCCRKKTASHPCHCST >SECCEUnv1G0542840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:104314051:104316278:1 gene:SECCEUnv1G0542840 transcript:SECCEUnv1G0542840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDYHQRSLIGGGAAVHGHGGGGGGGATVEAALRPLVGGSHGWDYCMYWRLSPDQRFLEMAGFCCSAEFEAQVATLADVPCSIPLDSSSIGMHAQALLSNQPIWQSSGGAPGPDLLTGYEAASSAGEKTRLLVPVAGGVVELFASRYMAEEQQMAELVMAQCGGGGQGWQETEAQGFAWDAAAAADPGRLYAAASLNLFDGAGGSGSGEPFLAGVQEDGAAGVGWQYAAESSEPPSTVAQEHQQLHGSGVVRADSGSEGSDMQLGDPDDDVDGEETQRGSGKDGCGKRQQCKNLEAERKRRKKLNDRLYKLRSLVPNITKMDRASILGDAIDYIVGLQKQVKDLQDELEDPNPPGGTGGDSKAPDVLFDDHPPPGLDNDEDSPQQQPFSSAGGKRARKEEAGDEEEKEAEDQDMEPQVEVRQVEGKEFFLQVLCSHKSGRFVRIMDEIAALGLQITSVNVTSYNKLVLNVFRAVMKDNEAAVPADRVRDSLLEVTREMYGGGGAWSSPLPTPPPTNAKLDGMDGQAVPAAAAGEHYQLHHQVLGGYHHHQHLQYLAMD >SECCE5Rv1G0372720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:854401867:854408692:-1 gene:SECCE5Rv1G0372720 transcript:SECCE5Rv1G0372720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDMDDVSLDFSWEALALELGDADSMYLPAEDSLSGLYDDSTSSPEPDGTNSRWTASTKATSLTSKNIVNERDRRRKLNEKLYAIRRVVPNITKLDKASIIEDAIAYIEELQEQERQILAALRTDSCTAVVKVDDAASTGSNAVDHGVGSSPPRKKMRRTTSASSINGAFSSAATHPVQILELEVTRVAEELTMVSLRHGNAQDAIAKVCEALGSLCLKVISTSITAVASGIVHNMFVELKVALIVFLKQLQHRFTMK >SECCE2Rv1G0095660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:420940701:420998005:-1 gene:SECCE2Rv1G0095660 transcript:SECCE2Rv1G0095660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSPRRSAAGAGAAAGAPRVLLALVVLYGFMSFLVYRVIHMRHVAPLDRDASPGEFSEGRVLQHLHRLVVDIPGRQEGRPGLETAAQYIKGQLEGLAAHAGPEYRISSNVSEEDEPSLLVNGHFDSPLGSPGAADCGSCVASMLELSRLILESGWVPPRPVIFLFNGAEELFLLGSHGFMKTHRWSSTVGAFINIEASGSGGADLVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDVAKIPGLDIIFVLGGYFYHTSYDTLENLLPGSIQARGENLFNLVKAFTNSPMLLKESERSNKAVNEGINDLRAIFFDYLTWFMIFYPRDVSLIIHSLPVAIFLLTPLFLSFPNITMISLFRTVLDLARGMLLHAFGVILAIVVPAMTAGLRLLFTKNAMNWFAHPCLAFFMFVPASLVGLLLPRIIWGLSEQSHFWGAFGLYSLVTLAYMLAGLSGGFLTFFISMSLLLGRFISSISRKQLGQQSPKSLFGYVIPMIPCLLYCLYYGGFLIQFLIEKMGMMGSLPKPYGHFVPDIIVGAMVGLVVGWCFGPLAPIVSCWLAKISILHAFLQITVVAMAVSSQVFPYSIGAPKRVVLQHTFVTDASNIVESNYGFSVVDANSLEFVFNNAPKAAKWLKDNSKLSLKEKYRSDRSTWVALYPVPFLFSGSLKFPAQTEEIRKHHQHLPQLVVQKTSSNNWNRRMHLQLSLGSLSEVWTTSLNITGPLSNWSFADNTLPAPQTVSGGPPSYICRLTGQSNENWSFWLEANSSEPLRIDVAVLDQFLVDSTKELKSLFPSWADMTVFTTFFSTYHL >SECCE3Rv1G0173480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:342229678:342234198:-1 gene:SECCE3Rv1G0173480 transcript:SECCE3Rv1G0173480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFSQEDAPPPPPPYPTPANAPPLPYAAVPPPPLPPQEEDKAVEDDKVDYLNLRCPIPYEDAQREASMTLKPDLFEGFRFDFVKPVSQVLFLSHSASMGSLEVPSHGPEVIKVPTSHYDFGANYIDPKLMLIGRISPDGRLNGRVKYDVTENLSTQLNAQLTNEPGYSQGMFTMDYKGKDFRTQCQVGNTGFYGGNYIQSVTKNLSLGTECFWLQQQRKSGVGFLARYDTKKTVATGQIASTGLVSLSYVQKVSDKVSLATDFMYNHMSKDVTASVGYDYIMRQSRLRGKVDINGAVSALLEEKINPYATLVLSAEIDHWKKDNKFGFGVRVGE >SECCE6Rv1G0451730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873391545:873393062:1 gene:SECCE6Rv1G0451730 transcript:SECCE6Rv1G0451730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKHLPVCSLLAVLFLALSSFSFSADTNSSASAGPLHGIEFPPYNTAVADAGCDGRLVAEEEELARRTPSLKLHMTHRSADTAGRGSFFLDSAKKDAVRIATMHGGRAAKNRKRSRPRRALSERVVATVESGVAVGSGEYLVDVYVGTPPRRFRMIMDTGSDLNWLQCAPCLDCFDQSGPVFDPAASASYRNVTCGDARCGLVSPPPESPAAPPGRTCRKPRSDPCPYYYWYGDQSNTTGDLALEAFTVNLTGAAGTRRVDGVAFGCGHRNRGLFHGAAGLLGLGRGPLSFASQLRGVYGGHAFSYCLVDHGSAAGSKIVFGHDDALLAHPRLNYTAFAPATDTGDTFYYVQLKSVLVGGEAVNIPSGTLAGGGTIIDSGTTLSYFPEPAYRAIRQAFIDRMSPSYPLIAGFPVLSPCYNVSGAEKIEVPELSLVFADGAAWEFPAENYFIRLEPEGIMCMAILGTPRSGMSIIGNYQQQNFHVLYDLQHNRLGFAPRRCAEV >SECCE7Rv1G0523660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883244340:883245791:-1 gene:SECCE7Rv1G0523660 transcript:SECCE7Rv1G0523660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYHHVVMVPYPAQSHVAPLMQLASLLHGRGAHVTLVHTRSNYRRLVDAKGEAAVRPSSPGFRVEVIDDGLPLSVQHHDVAGVVRALRRNCRGPFRALLGKLPPVTCVVADTVMTFAATVAREAGVPDVGFFTASACGLMGYSRYGELIKRGLVPLPLQADASCLATAMATPLPWVPGMPHMRLKDMPSFCHTTDPDDPMVAATLEQMQTALGSKAIVLNTVYELEKDVVDGLAAVFPPLYTVGPLAEVAGGGGVVGGMDISIWQEDADCLAWLDKHKASSVVYVNFGSMHVMAAAQLREFALGLASCGSPFLWVKRPDVVDGEEEEEGAEEVLAAVARGAGLVVPWCAQPAVLKHPAVGLFVTHCGWNSLLEAAAAGQPVLCWPLYAEQSTNCRQVCECWGNGAELPGEKGVEHGVVSALVREMMEGELGREKRAQAAHWKAAVEMATTEGGSSRRSLDRLVEHVLLPPASLAHATTTAQ >SECCE4Rv1G0292110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:880458763:880460118:1 gene:SECCE4Rv1G0292110 transcript:SECCE4Rv1G0292110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLVLTALLLAHAVATLVRAAVASRRRSRCYLLDYVCHKPCDDRKVSTEAGGSLILRNKRLGLPELRFLLRVIVRSGIGEHSYGPRSILECREDSPTHRDALDEMDAFFDEAIAELFARTGVSPRDVDVLVVNVSLFSPAPSLASRIVRHFGMREDVAAYNLSGMGCGAGLVALDLARNAMRARPASTLALVVSSESIAPSWYAGTDRSMMLGNCLFRSGGAAALLTNDPSLCGRAKMELRHLVRTNTAADDKAHTCAEQREDGDGRVGISLSKALPEAAVGALTLNLRRLVPLVLPVSELLRFAAQHVLSRLHVKADGRPPRINFKAGVEHFCLHPGGAAVIEAVKESLGLDDDDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLKRGDRALMLTFGSGFKCNSCLWEVTGDMADKGAWADCVDEYPPESTTNPYMDKYSWVNQEA >SECCE3Rv1G0196460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:808704074:808705762:-1 gene:SECCE3Rv1G0196460 transcript:SECCE3Rv1G0196460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEAAGSSRRMDLNLYLGLPRAPRSRRSDLGSDLALSTPMPSSPSSSAASVDAPPPPEPPHAPYSPSRAGLSPPTPPEVYSSYHPEDARLPYAPAPVIDELPDDIGFGFHRPPSLVRDNRFLWEDRPSSSTASSSFLPDAATRYRRLLEQTGSRWLRSRRFRSDLPPLSSEVQPLGHDAAVPVPHHEPTIDIVGDNKVAGNGVEVGASEESEERGKSVATFECNICFDMAGEPVVTSCGHLFCWPCLYQWLNVYSNHKECPVCKGEVTEANITPIYGRGNSCSDAEKAVDEGKQPGLTIPPRPHGNRLESFRQQFQHLRPMSRRLGDAHGILSSWRRLLDQQIMSSVSSFEGPSEPAAHETGDIAPQTGRLSRLTTRMRARRLLSEVENSPDGGFVAPDSGLPGNSAPDAPRHGSSPVLPEGFDLLQRLTLIGIANTERLATAMSDLRRIAAPGQHGASASYLRRLATPGQYGASASSSNPLNPEATVDGAHVGAAPSTDQASNSSTIAVIQGDAGVSETAGEPSNAGSSRTLRRRGRSSALASLDVDGAPPQRNKRRRM >SECCE6Rv1G0451650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873026287:873026706:-1 gene:SECCE6Rv1G0451650 transcript:SECCE6Rv1G0451650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFATFSPAVMWSARPGRVAGARRCVVARASATMAAPAAVAAGWTHYEVLGLGAGASRGEIKAAYRRLAREVHPDAVGGGGDEVFIRLHAAYATLADPEERARYDRDVTCRAAGMMMRRAAAAGPAVRRRSWETDQCW >SECCE2Rv1G0102990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:586029044:586043652:1 gene:SECCE2Rv1G0102990 transcript:SECCE2Rv1G0102990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDVDEDELLQMALQEQAARDLSHQRPAGANKPVVNLVRPPANARGGAKARQPSRGGDEDDDSEVEMLSISSGDEDGAPARERGPPPPRGGGRAGARRAASRDDADLDDAEPRSWKRVDEAELARRVREMREARAAPSIQALDQKAAAAAAARKALTSVQTLPKGVEVLDPLGLGIMDNKSLRLITEASVSSPVSREKSQGLDPSMREKVIYSSPHFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEDDPEGAGTSHLYSVTQKISGVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMHEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNGRIHGLFEKCTVDHEVRMEILQNKIHEKVLSDSKWRQLQQESNKSLEVDSAIGDSFQDDQLSSSFMAEEADSLRATYIRRLSAVLIQHVPAFWRLALSVFSGKFAKAAAGNALADSEINAKSGASKTDDKGAEAKYTNHSLDEVATMVCATVSVFDTKVQNTFRDFEECNILRPFMGDTIKEIAKACQTLEGKDSSPTAVKMLHALHSEMTKLYILRLCSWMRVTTKEVSKHETWVTLSTLERNKSPYAISRLPLEFREITISAMDRIELMIFNLRNETAKSYDITRQLQEIHESVRLAFLNSFRDFAGYLGTFGAELAQSRSNKENNHVQNGYMNGTDRESSASMDGDLHKKLLVVLSNIGYCKAELSDQLYNKYRHIWSPIRDNDERSADMRDLVTSFSGLEDKVLDQYTFAKSNVIRNAAQNYLLDSGIHWGAAPVVKGIRDATLDLLHILVAVHAEVYSGARPLLEKTMKILVEGLVDIFLSLFYENKAKDLRMLDANGFCQLMLELEYFETVLNTYFSTEAQQALKSLQESLLEKACESGSEDAASDDKQVSSVSPDDLLALAQQHGSDLLQGELERTRLNIACFMEPTLQSGSKTSAYSSYQAPAPAAHHPQAQVSSPSFRRQQTSTNSPIVSRRRR >SECCE4Rv1G0230800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:173801895:173804390:1 gene:SECCE4Rv1G0230800 transcript:SECCE4Rv1G0230800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAANVRTLLLLFALALPGTGHAGAQRERERGSLPRGASLAVEDHASDVLVSPDGAFACGFYAASPTVFTFSVWFARSADRAVVWTAAASVTARRAVHSQGSRLALDSRRGALVLTDYDGEVVWNSTAHAGAARARLRDTGNLAVEDARGAVLWQSFDHPTDTLLPAQRLGGAAGSGLVSSGRLLTAGHYGFRFSDYAMLSMVYDDGGEVSTIYWPNPYFSYWQNSRKIYNFSREAELDSTGHFLASDNATFDAADLGGAGVRRRLTLDTDGNLRLYSLDGEAWTVSWMAFSNPCIIHGVCGINAVCLYTPSPACVCAPGHERADRSDWSRGCRPTFSNFTDEQVKFVALPHTDFWGFDLNNSEFLSLDACEAQCTGEPSCVVFQYKQGKGECYPKSLMFNGRTFPGLPGTAYLKVPADFNVPELRVHQWQTDDGLAIQEDIAGCDAAAPEVLLNVSSTAKRSSNQGKSLWFYFYGFLSAFFVIEVLVIALGCWLFSKKGILSRPSELLAVEEGYRMITSHFRAYSYSELQKATRKFRAEIGHGGSGTVYKGVLDDDRTVAVKVLQDVSQSEEVFQAELSAIGRIYHMNLVRMWGFCSEGAHRILVYEYVHNGSLANVLFQGTGNSGRLLGWKQRFNIAVGVAKGLAYLHNECLEWIIHCDMKPENILLDDEMEPKITDFGLAKLLNRDGSDSGLSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELMKGRRVSDWVVDGKEGLETDVRTVVKMILDRSKHGDGGWVVDLVDERLDGEFNHAQARIFAQLAVSCLEEDRNKRPGMKNVVQTLISADDESRDHEYPEI >SECCE3Rv1G0208860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:935454753:935456238:1 gene:SECCE3Rv1G0208860 transcript:SECCE3Rv1G0208860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNYGSMGPPAMVRCRQCSSSITAMPGARAVQCMQCSCVTRVSGRGRQQHGYGHGNGYGNGGGMLMPPMRPTPAFGGGRGKKRAVLIGIKYTNRRSCELRGPINDVKCMRYLLTERFGFPNDCVLILTDEERNPCRQPTKDNIRMAMHWLVQGCSYGDSLVFQFSGLGAQVPDDDGDELDGMDEALCPVDSFQQGPILDDEINEAIVRPLVHGVKLHAIVDACHSATVLDLPYQCTVSKQTGRWRWRDERPMTGACKGTSGGQAVLISGSSNGKSNMSVLPEPYATIGAMTHSFIRAVECEPRTTYGRLLTSMRAIMRDSGGNCNLQGPIGASIHRVANFSGVEEPQLSSAYKFDIEREPFCM >SECCE2Rv1G0112770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:715374076:715377591:-1 gene:SECCE2Rv1G0112770 transcript:SECCE2Rv1G0112770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] MDILERIRGAGDKPAATETPRKPESWVEISESVSRLCSFDAGEGRGVSVKLIQDNRMVHDKLVDSFLNKFFPSGYPYSVNEGYLTYTKFRALQHFSSAMLHVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHAGKLICSGMGARMDSEPKSWRIFADVLYDFGTALDFISPLCPQLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLSSTVCATTQGKLIIGPLLSAVHIWGVVQEMRATPINTLNPQRTAMVVADFIKSGKVSSPAELRYREDLLFPNRLIVEAGSVKVGQPLRRVLSPRRVEELRSTFPNEKFLLTQKSDKAYMVLEQSATGEDALRGWLVAAFASEMERSGVGSRDTVLNDAYQKMESVFPMFVSEVKSRGWYTGQFLDGNHSRIAYAKSE >SECCE5Rv1G0354860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727373433:727374356:-1 gene:SECCE5Rv1G0354860 transcript:SECCE5Rv1G0354860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein DWY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G47580) UniProtKB/Swiss-Prot;Acc:P0C7R1] MLISPGLAAPAASHPSPFLTAASLSDRTTLGGLVQPAATTWKTRYYSRGRSCPLLRSATAGAASIAGSVSTSDTRCRLDRDELRRVCQEPDLEGAVKLLDEMLTRRGGAGASGQLAPEEQAAVLQCYADPLSLASLRRGHRLLAKSTCRYSGIATPIVHRIATLYCKLGAPTDARRLLEGVSRPAPGKPADAAQDKRKEAYEKVRELHEEIRAAGYVPDTRFVLHDIDEAAKERALMYHSERLAIAFGLVSTPPGTPLRVMKNLRICGDCHSAVKLIAKVTGREIIVRDNKRFHHFKDGACSCGDYW >SECCE5Rv1G0330550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:531085846:531086541:-1 gene:SECCE5Rv1G0330550 transcript:SECCE5Rv1G0330550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNSRNMKYSSGELRSTRYLRPFSHCSFKRVATQEPTLAATEKCAWKDAVCPVCIECPHDAVLLLCSSHDKGCRPYVCGTSFLHSNCLDQLIESCRSSGSGDDPGSIVLTCPLCRGEVKGYTLVEPAREQLNRNRRSCMQDGCSYSGTYRELCRHARKKHPSAKPRAADPLQTYRWRRLMFRTTLQDMICATSSATLQGLLSTMLQFEELLSSDWHGGDDHLGVTNDTAV >SECCE6Rv1G0405720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:534746858:534749439:-1 gene:SECCE6Rv1G0405720 transcript:SECCE6Rv1G0405720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAALLHSLRSTAWTPRRASPTPPSPGPLCRPRRTRLTVRPMATAARADAAPPGLKEGIAGLYDESSGLWESIWGEHMHHGFYDSGEAASMSDHRRAQIRMIEEALAFAAVPDDPSNKPKTIVDVGCGIGGSSRYLANKYGAQCCGITLSPVQAERGNALAEAQGLSAKASFQVADALEQPFPDGQFDLVWSMESGEHMPNKQKFVSELARVAAPGATIIIVTWCHRNLEPSEDSLKPDELNLLKKICDAYYLPDWCSPSDYVKIAESLSLQDIKTADWSENVAPFWPAVIQSALTWKGLTSLLRSGWKTIKGALVMPLMIQGYKKGLIKFSIITCRKPQVAIEEETPIASPSIE >SECCE7Rv1G0513860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:826792870:826797379:-1 gene:SECCE7Rv1G0513860 transcript:SECCE7Rv1G0513860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30-kDa cleavage and polyadenylation specificity factor 30 [Source:Projected from Arabidopsis thaliana (AT1G30460) UniProtKB/Swiss-Prot;Acc:A9LNK9] MDDGDGGLSFDFEGGLDTGPAAGGLALSSADAGATGGGGGDGGGHGRGRGRGSYRQTVCRHWLRGLCMKGEACGFLHQFDKARMPVCRFFRDYGECREPDCAYKHSYDDVKECNMYKMGFCPNGPNCRYKHAKLPGPPPPVEEVLAKIMQMRSSNFNKFNQHRNNNYNQQGDRPRPPPGSGLPNQNSTDNAAASTMQPAAGQQAQTNQQSQQKQQQVQQQQKPNTNDQVQGVPNGSSNQPTRLATPLPQGSSRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESMDNVILIFSINRTRNFQGCAKMTSRIGGYIGGGNWKSANGTAHYGRNFSLQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTAMLVAAEAKREEEKAKGVSADEAADNQDIVLFEDNEEEEEEESEEEDENNGQESQGRGRARGMMWPPQMQMPRGPMMGPRGFPPNMMGDFGGGFGFGMPDPFGMPRGFPPFGGPRFPGDFPRGPMPGMVFPGRPPQPGGMFPMGLEMMMGPGRGPLGMGMGGPGRPNRPIGMAPFMPPPPPRPAKRDQRRPGGDNRGDRYETGSDQGSRGHENNAGNSGADGNRSQSGDRFGRSAFRDDDSESDEEAAPRRSRKR >SECCE2Rv1G0126360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:845906078:845909700:1 gene:SECCE2Rv1G0126360 transcript:SECCE2Rv1G0126360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAEMTGPLLAGAPAAAEAVPPWREQLTVRAIVVSAILGMLFCLVTHKLNLTVGVVPSLNIAAGLLGYFLVRTWTAVLGMFGVVSKPFTKQENTVIQTCVVACYGLAISGGFGSYMLAMDQKTYELIGTDYPGNRAVDVKNPTLSWMIGFMFLVSFLGIFILVALRKVMVIDCKLTYPSGTATAMLINSVHTTTEVELVEKQVSCLGKYLSISFIWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTFYFDFSPTYIGCGLICPHIVNCSALVGAIISWGFLWPYISTKAGEWYPAELGCNDFKGLYGYKVFVCISLILGDGIYKLIKIVYATMKETTNAQSNQGRLPLVWVQDGDNISKLPAKEKLLNEVFVKDNIPPWLAGSAYVGLVAISTAIVPMLFPQLKWYLVLSAYVIAPLLAFCNSYGTDLTNWNLTSTYGKIGLFIFASWVGHHGGVIAGLAACGVMMSIVSTAGELMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWIAFDIGNPDGMFKAPYAAIYREISIMGVEGFSVLPQHCLAICFVFFFAAMAINFLRDVTPKSVSNLIPLPMAMAIPFYIGAYFAIDMFVGTIILFVWERVNRKESEDFGAAVASGLICGDGIWSVPSAILSIMRIDPPMCMYIKPSLTYG >SECCE1Rv1G0043190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:589811532:589812934:1 gene:SECCE1Rv1G0043190 transcript:SECCE1Rv1G0043190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQADTTMPCPPPSQGRLITVLSIDGGGIRGLIPSTILACLESKLQELDGPDARIADYFDVIAGTSTGALVTSMLAAPGENKRPLFAAKDINQFYLENGPKIFPQRRWGFLTPLANLLGAVMGPKYDGKFLHDKIKNLTNDVTVAETVTNIVVPTFDIKYLQPVIFNTYEAKVDPLKNAHLSDICISTSAAPTYFPAHHFTTHDPLGKVPHREYHLVDGGVAANNPTMVAMSMITQEVMRRNTDFTHGKPAEYSNYLIISIGTGTAKQAEKYTAPDCAKWGVLRWLKDGRFTPLLDIFSHASADMVDIHAAVLFQSLRVEKNYLRIQDDSLTGHTSSVDISTKENMEALIGIGNKLLKMNVARVNIDTGMYEPVDDEGTNEEALARFAKKLSNERKLRQTNLNSQ >SECCE6Rv1G0412030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:612343300:612343623:-1 gene:SECCE6Rv1G0412030 transcript:SECCE6Rv1G0412030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPMVIASAGLGMLAGVAMANRTTGERLPAASRWDARPRCSTCSGTGREECLCSRWSDGDVGCGTCSGSGRKRCRSCGGSGTGRQLPVRLIAQQQKLPTAPGRRGD >SECCE4Rv1G0241100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448288635:448303350:1 gene:SECCE4Rv1G0241100 transcript:SECCE4Rv1G0241100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPSPPPWGWATGFITTPLTMGRLPSQHLDPALPKLFWFTPTLPTCPSVAKQFWDTKRTSPDGNIKVADLPSFAMSFATAPAALANCPPLPRVISMLCMAVPKGISVEGRFRFCACFKQFCLLHITISLEPIIFYEELLNSITCCRRAFPIDIGTFVPETIVSPIIAPLGCKIYLFSPSP >SECCE7Rv1G0487520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:426778022:426778380:-1 gene:SECCE7Rv1G0487520 transcript:SECCE7Rv1G0487520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKVFHLLLFNGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLVH >SECCE6Rv1G0411860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611331664:611333115:1 gene:SECCE6Rv1G0411860 transcript:SECCE6Rv1G0411860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASPSLSLTLPPPAPRAAPKPRRRTPPRDVVSWTFAIARPAREGDLPATAAALSAMLSSPAAPPPNDVTLLTVISACAGTPSSPLARPLALSLHALAIKLSPGNLLLCTCLARFYLASRLPHLALQLFGSMPIRSVVTYNTMITGLMRNGLVAAAREVFDEMLDPDKVSWTALIDGCVKNGRHDEAIDYFHAMLLDGVEPDYVTLVAAIFACAEVGALGLGMWVHRFVTRQRLEGNIRIANALIDMYARCGKVDFARQVFDSMRKRTVVSWNSMIAGFAANGRCTDAIEHFEAMRRKGFKPDAVTFTGVLTACSHAGLTNKRLRFYDAMRAEHGIAARMEHYGCVIDLLGRAGRLDEAMSVVASMPMRPNEVVLGALLAGCRMHGDVDMAEQLMQYLLEQDPGGDSNYVLLSNIYAAVGNWDGAGKVRGLMKARGVKKRPGQSAVEIDGHVHEFVCGYRSHPQAAEVLDMLGLLSHEMAG >SECCE2Rv1G0117610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:774195776:774196087:1 gene:SECCE2Rv1G0117610 transcript:SECCE2Rv1G0117610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE4Rv1G0222090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:54325234:54326754:-1 gene:SECCE4Rv1G0222090 transcript:SECCE4Rv1G0222090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYIPKATFAFCHSLLSSRFRPSAASAAAPLLPVQALLTTAGLSPRHPELSLVALNSLLRVLARGASSQAHPLLALRLLLLMLSPASPLPGPDHLSFPFALSAAAAAPIAPPPGPQLHALLVKNALFPSDHYVTTALLQLHAPRPDDARRVFDELPRREAIHYDLVIGAYARAGMAAEGLALFRAMFADGVAPDAVVLTTAIAACAQSGALECGEWVHRYVESNAPGLLADAFVGSALVSMYAKCGCLQKAVKVFEGMPERNEYVWGTMVGAFAVHGMAREAVACLERMAGEDGVRPDGVAVLGALSACAHAGKVEDGLRLLKEMRRRYGVAPGHEHYSCTVDMLCRVGRLEDAVGLIGTMPMTPLASVWGSLLAGCRMYGNVELAEVAAKELEKLGVGADEGVYVQLSNIYLDANRKDDARRVRKLIGSRGLKKVPAYSAVEVDGELSSFVADDQAHPRRFEIWDLLGLLADQMGRKSDEGEEEEEETTFTLRPCNKKTHLKTM >SECCE2Rv1G0069940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31901176:31902246:-1 gene:SECCE2Rv1G0069940 transcript:SECCE2Rv1G0069940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNAAPTAGAAGEKEEEAVAPTVPTTPDADLPEIMSSLPTALGFPPFQLRQYAGFWLTDHTLKFVGASHARFRPRPTDVLLASFPKTGTTWLKALAFSALNRAAHPPSAGADHPLHRNSPHDLVGFLELAGEDDDGLIYEGIPSPRLLAAHLPYSLLPHGITDGSGGRIVYVCRDPKDTLVSFWHFHEKTTATLQRMADVGSASSAMPTFEEAFELFCKGQSMSGPQWHHTLEYWEASRRSPDQVLFLRYEDMLRDPEGSLRKMAVFMGCPFSSEEEAGVVRDIVGLCSLGTLKGLEVNRSGSTMLGLKNEAFFRSGTVGDWSSCMTPAMAARLDGIVAEGLEGSMLTFGATSND >SECCE5Rv1G0328450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:508224912:508229134:1 gene:SECCE5Rv1G0328450 transcript:SECCE5Rv1G0328450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSNNPGGAGGGMAPSSSDGRHDDEAVLTEFLSSLMDYNPMIPDELVEHYLGRSGFHCPDLRLTRLVAVATQKFIQDIASDSIQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALREHGVNLRHPEYFADSPSAGMGPSTREE >SECCE6Rv1G0436020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:775494309:775495541:-1 gene:SECCE6Rv1G0436020 transcript:SECCE6Rv1G0436020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHPAPPPETPIFRTPDELLHDIFLRLPTAADLARASAACPSFRRLITDHAFLRRYRALHPPPLLGAFDDTAFIPAQPPHPSAVAARAFAGFDFSCASFLPSTASLTWSAIDFLDGRALLAGAPFPRERGISHSRGFHYRYFSARDLAVCDPVHRRYILLPAVPGHLKALVSEPDLLNLETFLAPGDDEEDPLSFRVMCLAQCRTNMVLLVFSSLDGQWNSPAFDLWSAQATSAPLERPEDGLAARQFVRGCFCWRFPLLNKLVLLDTRAMEFSAVNLPSELGWSSDFVIVDVEAAPGMLGMLAEVYDRDNLYDPSRLTYSILRNNQWHLEKVIPLPDMHQVVLLGVAGGCLLIGAMYITSSRVEAKFGLFSVDVKTLQVDLFAQRSKVILSGQLYAGFPPSLCAPTI >SECCE3Rv1G0160520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:105120504:105121604:-1 gene:SECCE3Rv1G0160520 transcript:SECCE3Rv1G0160520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRGGNELMAYDACDPTVSRGPALLPRQASSAPPAGPPELSTTSSSTGSGRSATEARALKVHSEAERRRRVRINAHLTALRRMIPDTKQMDKAALLARVVDQVRHLKRRASEAAQAQQMPAVPPEADEVSVEFCCTEETDDDDDDKRQYMKASVSCDDRPDLVAGLIRALHGLRLRTLRVEVSSLGGRVQHVFTLCKEEDEEGSAALNSLKETVRHALAKVASPELVSGGSPFQFQSKRQRILESHYSIMSI >SECCE3Rv1G0159890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:100234892:100242988:-1 gene:SECCE3Rv1G0159890 transcript:SECCE3Rv1G0159890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTTALSMKLLVDTQARRVLFAEASKDVVDFLFSLLALPVGTAVKLLGKEAMVGCVGNLYGSVEKLDGTYVQPGAAKDALLHPSVLSPAVSSKSSLLGLPAPPSPQAKTFYRCSKHCRTYMTDSYGTYCPSCDNQMTTPFTVVPSVGSRGLVAQAAAGGSGKGFVQGIVTYTVMDDLTVTPMSSISSITLLNTFAVRDLSALQEKTVQLGYDQGLEILKASLQSKTVLTDVFLKSASNA >SECCE2Rv1G0078720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:111414754:111417183:1 gene:SECCE2Rv1G0078720 transcript:SECCE2Rv1G0078720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHHAFPDPPPMAGSRSGRHAAHLRLAVPPRLAAHPSFRFPTTPLPTPSKTRLPAAGAASPYAAALLRILALHSLFLLAPAARALPSLPHLFLLPPLLAIISAVAILLIPSKSQPHPFPALRHLFRPALLLAASLLFRLASLHLISDPGLIVLADSAGALLARAFNRPSRRRVISVAAASVSLAAASPSHSVLLLALPFASGLLSSVEHSVSARHVTRSRHARAAVFALAAAFLSVPALAGLFFLGGSDTSNGVPIGQLWWLLLNAAVFGMALGRRQAYDSSSSSSRPSMNFAMTFVCTIVLELVYYPKLSLPGFLICGFILWIASRELTPSGYVELGSTDESVYEAVMGPVRHILSERKSRKIAAFLLINTAYMFVEFASGFMSDSLGLLSDACHMLFDCAALAIGLYASYIARLPANGLYNYGRGRFEVLSGYVNAVFLVLVGALIVLESFERILEPREISTSSLLAVSVGGLFVNIIGLVFFHEEHHHAHGGSCSHSHSHSHSHTHSHNHGREDHHHHHDHVHEDHHHHHDHVHEDNHHHHDHVHQSADHEKTWSAHHGDTNKSHHQNHQHDSNNAENHHQHNHGCSHKHGHNGHMEHHQKGVDQAHQDYSSINSEQGLLEIPLINVHSHGAESQSCNGEVESPETGNHGKPASRRHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLIADPICSVFISIMIVASVLPLLRNSAEILLQRVPRSHEKDFEAALDDVKKINGVIGVHNVHLWNLTNTDIVGTFHLHISAEADKSVIRESASRIFQEAGVQDLTIQIECVER >SECCE7Rv1G0494430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:582808741:582809951:1 gene:SECCE7Rv1G0494430 transcript:SECCE7Rv1G0494430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCSCCFRVSNKKEKHASDLYSRSMGRLSSEKKIKLFSYTELRSATNNFHRSNHIGRGGFGAVYKGALRDGGGDVAVKVLSAHSRQGTREFLTEIDVIANVEHPNLVTLLGCCVEGRHRILVYEHLRNGSLHGALLASAGDPARLTWAIRRGVCVGVARGLVFLHVGMGSGPIVHRDIKASNVLLDAGYGAKIGDFGLAKLFPDAATHVSTRVAGTAGYLAPEYALYGHLTKKADVYSFGVLLMETVTGKSSSRSLHLSDEGDKVLVERVWELYEAANLREMIDPAMEDGCNAEEAVRYMKVALLCTQATPLRRPSMPQVLEMLEREDVRVREKELTPPGYVVRDNKDSSHSTSMVVSSHTVTELAPR >SECCE5Rv1G0331420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538558383:538559516:1 gene:SECCE5Rv1G0331420 transcript:SECCE5Rv1G0331420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSGSAVWAGWAPALPCRPPPVRRSNKFLQLPCNLSTTFSPCPESYTSMFHKNGALPAASTEAETQDEVLLESPGHFRIYKCGKMDRLNEPTVSPAGLDEATGVTSRDVVLHADTGVSVRLYLPKLREPSEKLPVLVYFHGGAFLIGSADDATYHSYVNSLAAAAGVLVVSADYRLAPEHPLPTAYDDCWAALQWALAPSTQDEWISGHGDTARLFLAGDSAGANIVHEMLVRAAAASGPRMEGAVLLHPWFSGSEAIEGEPPAVPMFNGMIWSYTCPGAVGGADDPRINPLAPGASSLELLACERMLVCAAEKDVLARRIRAYYEGVAASACRAPGAAAWFESAGEDHDFFLGKTDCESSKQLLDRVAAFIAEG >SECCE2Rv1G0139650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:928658021:928658461:1 gene:SECCE2Rv1G0139650 transcript:SECCE2Rv1G0139650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKVPSLLCLLLLMPLLLVPGSEADTCSKFSKTYTGRASLCKYAPCAKACEKEGFTEGVCAMIRARPLFMRCLCKKECQN >SECCE3Rv1G0156580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:73556254:73558339:-1 gene:SECCE3Rv1G0156580 transcript:SECCE3Rv1G0156580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVIRHRTVEVNGISMHVAEAGPEVDAKGAVLFLHGFPELWYSWRHQMDHLGARGYRCVAPDLRGYGGTTAPPDVASYTAFHIVGDLVALLDTLGLAKVFVVGHDWGALIAWYLCLFRPERVTALANTSVAFMRNIMIRNGPDFVNPIEYFKRAYGPNYYKCRFQEPGVAEKQFAPAHAKRLMRQMLCHCFSHGVFCDEEMDDHKFPTSPLPPWLTEADMDYFVTSFEKTGFTGAINYYRNFDKNCELAAPWADAKVQVPTKYIVGDGDITYNFEGIQEYIHGGGFKKDVPLLDEVVIIPGAGHFIQQERAQEVSDHIYDFIIKF >SECCE2Rv1G0128940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:865774148:865777460:-1 gene:SECCE2Rv1G0128940 transcript:SECCE2Rv1G0128940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSTANAPAEARELREQEALASAALPLPLLRAVFSRSSDLAETLSLPPASFRSASPPDPPEHFHDLLARLGPTIASLFFSHGGSAGEGKDAGDWVGFLRGFNSCCARARASLPLAALLRVYAAACAAAGAPCGVQFRPDEDGGEEGGKVVGELAPGEIAVLLSMCWVMAWSGLAPRVSGGEEGGKGEPVLLPDVSHLVLSALVSAGAVADDEGVWGWEVSGAGKGVSVQEFTSWVISTVPGLGNCLSRYVQDRFRSSEADPTKESSVSTGNTTFDNSDAYLLTRGRAWSISLSVRNTLSEKFLSASVIGMDTEDLLYRSSLHGKGLSRFWSCVEGYKGPALILISAFSKAGSDNVDAGRKWGLGVLTEDGFENKDTFYGSSGFLCATYPIFRMLLPSGKEKNIMYCHLHTQLKTYEATPKPLGLAFGGSIGNERIFIDEDFSKVTIRHHAVDKTYQHGSLIPNQGYLPVEASILDFEVWGLGGQTTKRQQDIFKKREDIFSGQRRKIDLAAFGNWEDSPEKMMMDMVSDPNRPRREER >SECCE6Rv1G0396940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:380235504:380236439:1 gene:SECCE6Rv1G0396940 transcript:SECCE6Rv1G0396940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFITMKQEEKRKPRERRPYLASECRDLADADRWRGEILREIGVKVAEIQNEGLGEHRLRDLNDEINKLLRERSHWERRILELGGRDYSRSSNAALMTDLDGNIVAIPNPSGRGPGYRYFGAAKKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGVLEPLEAAAEKRMRDEVVTEWHRVERVRREAMKNVVSGEVAAAGGRGGEAAREVLFEEVEEEVEEERRLEEERMEREEGEESGREFVAHVPLPNEKEIERMVLEKKKKELLSKYTSDALQGEQKEAKEMLNVHR >SECCE5Rv1G0323010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:429140741:429144391:-1 gene:SECCE5Rv1G0323010 transcript:SECCE5Rv1G0323010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR receptor kinase, Brassinosteroid (BR) perception in the roo [Source: Projected from Oryza sativa (Os09g0293500)] MSASPPFAAAFFFFLLVLLRLLAPAIADAGEAAALLAFRRASVVDDPRGALTSWARGAAANSTAPCSWAGVSCSPPLDGRVIAVNLSGMDLAGDLRLGALLALPALQRLDLRGNAFYGNLSHAPSSSSSSCALVELDISSNAFNGTLPRAFLASCGALRSLNLSRNALAGGGFPFASSLRSLDLSRNHLADAGLLNYSFAGCHGLRYLNLSANLFTGRLPELASCSMVTTLDVSWNQMSGALPAGFMATAPANLTYLSIAGNNFTGDVSGYSFGGCGNLTVLDWSNNGLSSTGLPPGLANCRRLETLDMSANKLLSGSIPTFFTELSSLKRLALAGNEFAGPIPEELGQLCGRIADLDLSSNRLVGGLPASFAKCSSLEVLDLRGNQLSGDFVASVVSTISSLRVLRLAFNNITGANPLPALAAGCPLLEVIDLGSNELDGELMPDLCSSLPSLRKLFLPNNYLNGTIPTSLGNCANIESIDLSFNLLVGQIPPEVITLPKLVDLVMWANGLSGAIPDLLCSNGTALATLVISYNNFTGGIPPSITSCVNLIWLSLSANRLTGGVPPGFSKLQKLAILQLNKNQLSGRVPAELGRCNNLIWLDLNSNGFTGTIPSELAAQAGLVPEGVVSGKQFAFLRNEAGNICPGAGLLFEFFGIRPERLAEFTPAVRMCPTTRIYMGTTVYSFTGNGSMIFLDLSYNGLNGEIPASLGNMMYLQVLNLGHNELGGTIPEALSGMQQMGALDLSNNHFTGGIPSGFGGMHFLADFDVSNNNLTGPIPSSGQLTTFQPARYGNNSGLCGIPLPPCGHSPGGGSGGGSSHDGRRKVIGASILVGVALSVLILLLLLVTLCKLWKSQKTEEIRTGYIESLPTTGATSWKLSGVQEPLSINVATFEKPLRKLTFAHLLEATNGFSAETLVGSGGFGEVYKARLKDGSVVAIKKLIHYTGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGDERLLVYEYMKHGSLDVVLHDNDDKAMVKLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDSNLDARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKKPIDPTEFGDNNLVGWVRQMLKDNRGGEIFDPTLTDTKSGQAELDHYLKIASECLDDRPARRPTMIQVMAMFKELQLDSDSDFLDGFSINSSTIDESAEKSSS >SECCEUnv1G0542780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:104198256:104203465:1 gene:SECCEUnv1G0542780 transcript:SECCEUnv1G0542780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMADDGDERLELLHGDLDLTIHEACGLPNMDVLSTILRRLCIRPRRLPSRNFDADTDADSPLHRRRRRHHHQKPRGRHSIVPTSDPYALVAVSRPDVPDTTLARTYVVRNSEAPDWSARIRIPLAHQASRLVLQVRDSDPFGSDLIGFATIPAATLLDGKPIVGAWLQLLRPDGRGPPKPNSAIRVSLTFTPASSSSSRRRRFGGVPAYFPARRGCELKLYQDAHVPVGAPCSYERGRCWEDVCMAVLGAQKLVYVAGWAVGARVRLLREEMSPEMAEKAAEVRALAGVEVEKMALGDLLKYKSQEGVRVCLLVSDHHDTPLHPSFFINTGGMMQTSGEDTKKFFKHSTVICVLSHRYPRSKVDMAKQKVVGTPYAHNQKCILVDTPASEATRRITAFLGGLDLAAGRYDTPAHRLFGDLDTVFLGDIHNPTLGDSAVQSGPRLPWHDMHCRLDGAAAYDVLKNFEQRWRRATAKHLKAGKHGKDDALLKLQRIPWILSQDVADGQDDALRVLPEDDPRCWHAQVFRSVDAGSVKGFPRSWETEEMEARNLLCDKSLAVEQSIHAAYVAAIRAADRFVYLETERFVGSSYAWPTSFRHPGAGNLVPMEIALKAASKIRAGEDFAAYVVLPMWPAAEGPPGSAPAQESLFWQGQTMQAMYEVVAKAIAEAGTGGTAHPQDYLNFYCLGNREQAPSPPRDLDGRAAETGTSPAALARRHGRFMVYVHSKGMVVDDEYVLLGSANVNQRSLSGSRDTEIAVGAHQPRQTGGRPRGHVHQYRMSLWEEHLGGLAEVLEAPESPECVRLVNHVARENWERYTDEGEVVEEMQGHLMRYPVEVDDDGNVWPLTGHEFFPDVGGRVLGSTNKFPDHLTM >SECCE1Rv1G0031350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:441573374:441575631:1 gene:SECCE1Rv1G0031350 transcript:SECCE1Rv1G0031350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWATAAEAEAALGRAMTAAEALWFRWTADTSDYYLYCLNILFLLVVFTLAPLPVALLELRAPRAVGPYKLQPRVRLSRAEFIKCYGDVMRIFFLVIGPLQLVSYPAVKMVGIHTGLPLPSLGEMAAQLLVYFLVEDYLNYWIHRLLHGEWGYEKIHRIHHEYTAPIGFAAPYAHWAEVLILGIPSFAGPAIAPGHMITFWLWIMLRQMEAIDTHSGFDFPFSLTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYLYGTDRGYRFHKAYLAKLKDLAPSDGEKEGADGFAYAKLD >SECCE6Rv1G0419550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:670853270:670862456:-1 gene:SECCE6Rv1G0419550 transcript:SECCE6Rv1G0419550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPFSSGTRLRDMIRAIRASKTAAEERAVVRRECAAIRAAISGDGQDLRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFVVGLALCALGNICSAEMARDLAPEVERLMRSRDANTKKKAALCSVRIVRKVPDLAENFMGLAASLLKEKHHGVLISAVQLCTELCKASKDALEYLRKNCIEGLVRILKDVSNSSYAPEYDVAGISDPFLHIRVLKLMRMLGQGDADCSDYMNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNILMRAITVDAQAVQRHRVTILECVKDADASIRKRALELVYLLVNDTNVKPLTKELVDYLQVADPDFKEDLTAKICSIAEKFSQEKLWYLDQMFKVLSLAGNHVKDDVWHALIVVISNASELQGYSVRSLYTALQAYSEQGSLLRVAVWCIGEYGEMLVNNVGMLEAEGPITVTESDAVDAVELGLNRYSADVTTRSMCLVALLKLSSRFPSMSERIKQIVAQNKENMVLELQQRSIEFGSIIQRHQSIRSSLLERMPVLDEATYLLKRASATQATIPAYKPAAVTPGGLKLPNGVAKPAAAPLVDLLDLSSDDAPVTSAASATTAPSDFLQDLLGIGGTNLSTAGASSSASTDILMDLLSMGSSPSQNGLPAPAQEKKPVSAAPQVGSLVPEPMDLLGSLSSSASISGTKSAIAAPQAVDLLDGLSASTSVSGLEDTRPSITAFQSATLKITFDIRKQPGKPQETTIHATFTNLTSSNYTDFVFQAAVPKFIQLRLDPASGNIVPANGKGSVTQGFSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPPGV >SECCEUnv1G0562630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:367528600:367529604:1 gene:SECCEUnv1G0562630 transcript:SECCEUnv1G0562630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPYTRIGQSLRAVTVTQLQDRVAAPPCTVTHTMPAILFGIGGYVGNYWHEYADILVPLFVAARRYHGEVTFLVSNIQQMPQWLVKYRALLQGLSKYVVVDMDRDAYVRCFPRISVGLRLDKDLSIVPELVPGGRLTMADFTRFVRETYALPRGAVTMEPYKKPRLLLIQRATSRQFLNEPEIARAAEAVGFEVVVTELRHDGSEVEQAQVVNSFDVLLGVHGAGLTNAVHLPPGGVLIQVVPYGKMEPMARLDFSEPATDMGLKYLDYSVTAEESSLLEKLGPEHPAIKDPDSIHRSGWTALYEFYLMQNVRINTTRFTPTLEQAFNHLRKQ >SECCE5Rv1G0337520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:591810455:591810844:-1 gene:SECCE5Rv1G0337520 transcript:SECCE5Rv1G0337520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAEKGSAAKKAGLITKTLDRCRSTTARNKPAEGCFSVYVGADRQRYVVRTECLNHPLFQALLEEAEEAFGYADAGPLELPCNTEAFAKVLEKIEEEKQMAAGRRHGLPRGNSYRSLGAGWPMIVGRS >SECCE4Rv1G0284140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838438698:838440302:-1 gene:SECCE4Rv1G0284140 transcript:SECCE4Rv1G0284140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPLYLLFLPLLAIAPLLCLNHRRRRRCAHAVRLPPPSPWALPVLGHLHHLAWDLPHRAMRDLARRHGPLVMLRLGGLPVVVASSPDAAREVMVSRDVDFASRHMSRMVRLSIPRGAEGISFAPYGDEWRQLRKICTVELLSARRVRSFRPVREDEAGRLLRAVALSATASANLSELLSVYAADSSVRAVIGSRFKDRGAFLELLRRGTKLFAGMSLPDLYPSSRVAMLLSRTPSRIRQHRQELAAFMDAIVREHQEVCRTDDDEEDLLDVLLRIQREGDLQFPLSTDNIKSAVSDMFAGGSDTAATTLQWAMSELVRNPRAMRKAQDEVRLALAGQPTVTESSLADLNYLRLVVKETLRLHPPAPLLLPRECRSDGCRVLGYDVPKGTMVLVNVWAISRDPTHWEAAEEFVPERFEHLKGADMEYTPFGAGRRMCPGMSFGLANVELALAGLLYHFDWELPGGAEAGELDMAEEMGVTVRRRNDLVLVPVVRLPVPLNN >SECCE1Rv1G0030480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:428144300:428144809:1 gene:SECCE1Rv1G0030480 transcript:SECCE1Rv1G0030480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTAWAGCHVARLPHIPISVSIPVTGAAASSRRRRCGLAAAGAGAAAASATDGRVLGRRPVEDVYKVRVVRGAAAQERVEALRAMETWSTWRTGGRCRLAWDWQVDQLVYIVAGEVRVLPAGATTGEEYMHFVAGDLVRYPKWLEADLHFDGPYEERYRFLAYGDDN >SECCE2Rv1G0134430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901393619:901394212:1 gene:SECCE2Rv1G0134430 transcript:SECCE2Rv1G0134430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDGESKCFKLCMLVTAIGLMAGFVYFLVWLNTRQSDTKYTVTIASVDGLDPALDLHSDRQALSPAFGITVHIDNTHNQILERCVGGIGSSTVVSYGDALLGKGTMPWLCVQTTKVGEAATKAWGMNVQVPHFLRDRLAGELERGQAVVDVAVRTPAGGGCYISGCVDTVLVCKAKIRGGASPCFLARTVSGTANR >SECCE7Rv1G0513870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:827196605:827197899:-1 gene:SECCE7Rv1G0513870 transcript:SECCE7Rv1G0513870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKKGGRNYLTWTDEMDEAMLNVFVEHYNRGDRAQNGWKPHVYTAVVNNVRAKCNVDITKENVISRCKTIDRHYVNVSKMLSMSGFGWDWIHNKLMVDSEDVWSNYVKANKDASCYRHKVIKFWDSISLVFSKDHATGTGARTGTESAVEMAAENVNNINTESAATSSTQTGEEQKRKRYRSDDSITSMLGEKLDNFTSAYKADIAQVAPLEKPSSPEEILDALNAIAGLDDDGLLAAYDILIADDRKFKALMALPERMKKKWILKQINH >SECCE2Rv1G0068880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25516988:25518713:1 gene:SECCE2Rv1G0068880 transcript:SECCE2Rv1G0068880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMLPAARADAAPATSAGAAEKLVPGPVADGKCKKKAPRMIHKAEREKHKRDLLNDLFGELGEMLEADRQTNGKACILTDTTRILRDLLSQLESLRKENSTLQNESHYVTMERNELQDENDVLRNEILELQNELATRPAGNPGWGHATAGSPQTGPMQLPAIASAVFPLQQPLQQPTVMEHPYAPPPPRELKLFPDAASDIEGLEPSEDQEAANRIARPLARYPTESASWPVTVSLGLPRMEDEQCSSGTTGSSSKEGSSSASSRD >SECCE3Rv1G0162200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:126967761:126970859:-1 gene:SECCE3Rv1G0162200 transcript:SECCE3Rv1G0162200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKETRLKEANSVGMKDWANIQTDIVGLIIKKLGIPDYIRFRAVCTSWNHMCKEVSNHPRVDPWLMLPTKPLDGAKFFSLPEKKSQTIHIPSVATIFGSMWTPVGSSHGWLIFYSPPQGTIQLANPISCASFQLPSLGRRHLSKAMLLDMSESNFTVAVIYRDQKGYQVTRKGSKSWSFVDSKHVLVDVFKHRRQLYTIDIYGTVEVWAEPPRSWPDEDVPPVEPHMHNLVHYHHQKFNCLVETPTGDLIRVKRQSQNKFALWVLDREASSFERTSDIGEFGLFVSHYSSFCFPAKDHPYLKANCVYFIDGYNNLCAFNLEHGTKELVEGLEAAAPAHSQQDFYGRQPRAEPFLWLIPSLK >SECCE7Rv1G0468040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95105836:95107785:1 gene:SECCE7Rv1G0468040 transcript:SECCE7Rv1G0468040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRRLPCLPAVAVATRRSTASLAVSHGGTGARPSTAVLASAATAAAAAGRASECQSLLLRMSRRRGASRIDIVSSLLASSPTPQPQVFDLLIRTYTQSRKPREAFEAFRLLLDRRVPIPAAASNALLAALSRAGWPHLTADAYRLVLSSGSEVNTYTLNIMVHSYCKALQFDEVDTVISEMEKRCVFPDVVTHNVMIDARFRAGDVEAAMAVVDSMVSQGIKPGILTYNAVLKGLCRNGRWNKAREVFRAMDECGVAPDVRSFNVLIGGFCRVQEPDEAMKFYKEMRQRGVTPDIVSFSCLIGLFARKGKMDRAAAYLREMREFGLVPDGVIYTMVIGGYCRAGSVLEALRVRDEMVGRGCLPDVVTYNTLLNGLCKERRLSDAEELLTEMREREVPPDLCTFTTLIHGYCREGNIEKALQLFETMLHERLTPDIVTYNTLIDGMCRQGDLGKANELWDDMHSREIFPNHITYSILIDSHCEKGQVDDAFAFLDEMINKGIVPNIMTYNSIIKGYCRSGNVLKGQQFLQKMRDAKVLPDLITYNTLIHGYVKEEKMHEAFNLLNMMENEKVQPDTVTYNMIINGFSVHGNMQEADWVYKKMGARGIEPDRYTYMSMINGHVSAGNSKESFLLHDEMLQKGFAPDDKF >SECCE6Rv1G0388850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:142721601:142726469:-1 gene:SECCE6Rv1G0388850 transcript:SECCE6Rv1G0388850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALAGLLVALAAATAGANTESSDAAALGNLYTSWNSPPQLAGWSASGGDPCGAAWQGVSCTGSGVTEIKLAGTGLNGSLGYELSNLFSLKTLDLSNNHIQGSIPYQLPPNLTYLNLATNNFSGNLPYSISNMASIEYLNLSHNSLAQQIGDLFGNLSSLSELDVSFNKLTGDLPTSIGSLSNISSLYMQNNKLTGSVNVLSGLGLTTLNIANNNFSGWIPKEFSSIPDVILSGNSFLNGPAPPPPPFMPPPPRRPRSRPNRSGGSGNAPKGSESSTGQGGKNGLQTASLVGIIVGSVLAALCVLLLLVLCIRKARKRKDDSSTESKDFVGPLSVNIEEVSNREIPEQGLDNTAMKVLPAEKMTPERVYGKTGSMRKTKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVMAVKKVDSAAISLQEENDFLEVVSSMSRLRHPNIVPLMGYCVEHAQRLLVYEYIGNATLHDMLHFSDEMSRRLTWNIRVRIALGTARALEYLHEVCLPSVVHRNFKSANILLDEEHNAHLSDCGLAALTPNTERQVSTEVVGSFGYSAPEFSMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALSKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGFSYRAPEREGDTRDISF >SECCE4Rv1G0291300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876290978:876292501:-1 gene:SECCE4Rv1G0291300 transcript:SECCE4Rv1G0291300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASAHGDMAASKFTLPVDSEHKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLVMLSAPTVFCMAVIDDASGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIQKCGATPFVAWRIAYFVPGMMHIVMGLLVLTMGQDLPDGNLASLQKKGDVAKDKFSKVLWGAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFHLDLRAAGTIAACFGMANIVARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCIWLGRASALPASVTAMVLFSICAQAACGAVFGVAPFVSRRSLGIISGLTGAGGNVGAGLTQLLFFTSSQYSTGRGLEYMGIMIMACTLPIALVHFPQWGSMFFPASADATEEEYYASEWSEEEKSKGLHIAGQKFAENSRSERGRRNVILATSATPPNNTPQHV >SECCE1Rv1G0017620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:158839374:158840810:1 gene:SECCE1Rv1G0017620 transcript:SECCE1Rv1G0017620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYLTPFTPLPSLFDGPAAADDHSSLLAFLMNDDLAAAPDAFPYYGGAEAAPAFYGHAAAPLPPPAVAPPAEMTGQGRKRGFVPAGDDGSSRPPAVRRKVQGSPPTSPAHSGGDAAPAARSGGRRVWVRERSTEWWDRLSGPACPDTEFRQAFRMSRATFDALCDELSAAVAKEDTALRAAIPVHQRVAVCLWRLATGEPLREVSRRFGLGISTCHNIVLQVCAALTAVLLPKAVRWPLESPAARFQALSGIPGVVGSVYTDHIPIGPPKDNVAEYYNRRLTERNNKASYSVAVQAVVDADGAFTDVCIGLPGSLSDAAVLERSALHARCETGLLGDDQCRLVGGASYPLTDWMLVPYAHQNLTWAQHAFNERIAAARAAAQGAFQRLKGRWRCLQRRTEPKLPDLHNMIGACCVLHNFCERSGEELDADLQSELSQQDDADVVAAANPVLSAAADKERDRIAHDLLHGGHPSVTFL >SECCE5Rv1G0362730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:787874614:787875442:-1 gene:SECCE5Rv1G0362730 transcript:SECCE5Rv1G0362730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGADRTMPEGATALEIPFDRPAFLTSPSYGGGPPAATAVFLPRETVTGPPATIRLYFNFDLDPELEHYWRRLAHAYITPPEAPCRADPGPFIRRVLRTLALDLPQNFELLPPEHGADMTAALLRQPFVLDGVTVKLLRDGETPDTTWVSVSTDYLVHAALRDYPIEQRTEKGIEWNCCRFGYVREIDPACFAAPDLATVRVVLQIDHPREIPHELRIDYNGDDGPTSVVPVEIVRIWHRSHSYDTNGQYVPLFQSLVVAA >SECCEUnv1G0532020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:18909130:18909504:1 gene:SECCEUnv1G0532020 transcript:SECCEUnv1G0532020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE5Rv1G0340660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:616448669:616449397:-1 gene:SECCE5Rv1G0340660 transcript:SECCE5Rv1G0340660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIKKEMSGESGSPCSGENFYSPSTSPEHQQARPTVCTSAPGKRPAGRTKFRETRHPVYRGVRRRGNAGRWVCEVRVPGRRGSRLWLGTFDTAEAAARANDATMIALNAGGAACLNFADSAELLAVPAASSYRSLDEVRHAVVEAVEDFLRRQAIAEDDALSGTSSSAPSSLTDDESSSSPAEAEAEDSPFELDVLSDMGWDLYYASLAQAMLMAPPSAAAALGDCGEAHLADVPLWSYQS >SECCE2Rv1G0085820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:197030922:197038115:1 gene:SECCE2Rv1G0085820 transcript:SECCE2Rv1G0085820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEFSVLGAVQKFRSLITGSTPAADEEARQASAPPSPATPPRSGGVSPVDSPPPAARSGGRRAIALRRQISSPQPLRCHPVRRADGEENDRPGNFFTPGNDCLNDLSDTDSVSELNRSMTLSPLESPTWMVWQNDGTRTSKRNGRFSLDSLDHGTKLNGTIAESSGESDTNKHQVDFDANIWRPPPPEDEGDDAESRVFGFDDDDDDDGVEESSNLLALGCFSTNKTVGADMITDIAHAEGLRNAVLGHFRALVAQLLNGEGISVGNDDGCISWLEIVSSLSWQAASYVRPNTKKGGSMDPTDYVKVKCIASGDPTDSNLVRGVVCSKNLKHKRMMSEHRNAKLLILGGALEYQRVTNKLASIDTILEQEKEHLRTIVRNIESLQPNVLLVEKSVSSYAQELLAKGISLVLNVKRPLLERISRCTGAQIASSIENIASARLGQCEMFKVQKFLEFPSGKQTKRRSTKTLMFFEGCPRRLGCTVLLRGPCREELKKVKRTVQLAVFAAYHLSLETSFFADEGATLPKGPSRPVIELPDIRGDTDHFAESAGVCVPHKLKQVQGDDSQMFEEISVSPRSLCLNEEGESVVFEHMESGSPVEHRESVSPVDDYLPHAIGSCEGSKISPYFLDLDPRTSGKKCQEVDHWNHKRHHDCPAGDCNDQNEFSGEFFPTNDNHQSILVSLSSTCIPKSLVCERPQLFRIKFYGSFDKPLGRYLRQDLFDQAYCCPSCKEPSESHVRCYIHQHGSLTIRVRRLLSQKLPGERDGRIWMWHRCLKCEPKNGVPPATRRVIMSDAAWGLSFGKFLELSFSNRSTANRIASCGHSLQRDCLRFYGYGKMVAFFRYSPVDILSVTLPPSVLCFNCRSPQDWTKTVAVEIYGKMKSLHWEISDFLHRTEKNIRSEDEPVKTGIHRQIIEMKDLLKMERNECEILLLPVIRDSNHHVQASIDILELNRLRRGLILDAYLWDRRLCHIDSLIETNGCVSKNNPATEFILDIRLKEWKTDLLEADTNIGKPTCLLQSLGSPRKSLLSREVCFIDDEYSMSGKKMQIDLVDHPGDDTEDLDKVFSKFSGEKESPSTRADIGMEPVERLPSLASIFSDNIDLAWTGSSELQYDLPQDFTKIDENGSFNLLDNPSYKNAPVRIHSFDSTLASRQRERTGLAPTSLHLSSFRSAEYFGGLTSITKDPMPNIRRACSQRSPGAIEKLNVILTRSPTHISSASHMADDGARLLLPQIGNEDVVVAVYDDEPTSIVAYAMTSNEYVQKVTRKLNSTSSYAHLPNATELSHGLEQSLPSQEDNLDSKGAHFKFSFDDETPLPADNAKFSVICYFAKHFAALRDKCCPKDIDYIRSLSRCKRWSAQGGKSNVYFARTLDERFIIKQVTKTELDSFVEFAPQYFKYLMESLTSGSPICLAKIVGLYQVNVKGLKSGREVKMDLMVMENLFFERKIPRVYDLKGSLRSRYTSGDSKVLLDSNLIEALHTKPIFLGSRAKRRLERAVWNDTSFLASADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNEAPTIISPMQYKKRFRKAMSKYFLTVPDQWTS >SECCE2Rv1G0077780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:101947974:101950800:-1 gene:SECCE2Rv1G0077780 transcript:SECCE2Rv1G0077780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRNNRLDYQLFFNTRGAFRPVGWNGLIAILCFFDVLVILVKKESLSVMGLLLMVAVLATGRFLSGLKLHIWNPLRRATSLWSPLVAILLTAPPLSDQSSTGWIVFAALLVPMLLVTISRLRFTRIIKLVDRTLGSKKVFWRRVIINLCMIAVLGMQMYMLHLDRFVDFSAIMIVQVCAIAVVSFGNFQIPAAVLRIVLAILSLRNIPKYASKEKNLKASLRIFYGMVLGQGIFYAVACMLEFFSFIPRRFLLHCGGFGGYWGVESVNLYYAYALEKCMQEGVLAPKKISLSNFVMDSLNSDSPKNQLYGIRLMHNFLQWEPTRAQLLPKLTTSTNTVARIIRMLDWTSPKDTTIRLYAAKVTAELAKDLRVVAFPGTMQLVSALLDADSGPKRGNPLLDTDDEQDKQDQFLEDGQEKEHHIVRDEADNQGQRRESLQDTDNLLKETQTHSTQQACNDKPNSYILKCWQRILELRSVPKEQLSIDHDLLPALAMSIIESLAACDQENCVEISNAANLIPKIIGFTRFRHAMNTVGTETQQKLLLKSSLTVLQRLTSIGGEIGITLRCKISKHPFLLRNLAETLEYNGSSHVLRKLVAGILRNIAIDGNTRQDIGRIQLIITRLTQIFLNGEGAMSTNADHLSRKVTGQALAMLTTESVQNCLIVLKEPEFIKKLKPMLLIHGDKYIYVAASLLRNLCLHAQPELKESDLKELSHTLREVLEKIIDVEGAELEILIGLSSQICKIIPEDFTQELEGGQIKQRFVKRLIDALNANMEPSAHCPGIRRVILEQVINMVECNYHYADYFNEFRMTEALSVVEQTLSKAEDYKLFLDDAGFMEYSIPISALVARAKELMCRD >SECCE3Rv1G0164550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:164530192:164532125:-1 gene:SECCE3Rv1G0164550 transcript:SECCE3Rv1G0164550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAASLASSRSAAAWACSTTSRHAGSGASCSAATRRDAVVPLRIRGQEQRPAALPMSLSLLSRGRPARTAAAAVATAELTPADEVAAGGSIAGTVQLGAMIVAWYLLNIYFNIYNKQVLQVLPLPLPYTITAFQLAFGSLVIFFMWAARLHPVPKLSAGQLAKIAPLAAGHMLGTVFTNMSLGMVAVSFTHTVKASEPFFTVLLSAFFLGEVPSPLVLGSLVPIVGGVALASLTEVSFNWVGFWSAMASNLLNQTRNVLSKRLLGGEEEEFMDDINLFSVITVLSFLLSFPLMIFTEGVRFSPAFLQSTGLNLQELCVRAALAGLCFHGYQKLSYMILARVSPVTHSVANCVKRVVVIVSSVLFFRTPISPVNALGTGAALAGVYLYSRLKKTKPKSS >SECCE4Rv1G0255830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:651550999:651554110:1 gene:SECCE4Rv1G0255830 transcript:SECCE4Rv1G0255830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSDGSFFSSWPGNSASENYSFVDGSVESYTEEGSMPPTGYFRARSNQNLTFDEHEQNPAMLTNGCLPYNTQTDLLSGAILSEDKPSNSLVELPQLQNNGSLQSNLIPPGTLQCTSTPGTFDLQLDTPGLLELPHALSSSIESNGSEVSAFLADVHAVSSASTLCSTFQNVPSYMEPVSLEAFSFQGIQNAAMFNNTSHSSGNLSVFDEATMASLHDSKEFLSGSISSFGTAEQSQLAAGSGLKAEQQEQNAMCNIPLPYASGSHMAVSEAQGPLIPSKISSTTHNNKSEYPVPISHSADAQNKANSANGNSTSAKPRARARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKSSMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLAESQTEGRSNSPLSSPTASQGLLDAAGPEDSLVFEQEVIKLMETSITNAMQYLQNKGLCLMPIALASAISNQKGTSAAAIPPER >SECCE4Rv1G0246620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:547367238:547374267:1 gene:SECCE4Rv1G0246620 transcript:SECCE4Rv1G0246620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLRFPTVLPNLYPHLLLPRSLPSRRLRLPPPPTPRHPLRRLLFRGMASSATGEAAPAAGTSGAGEAAARPRRALEELTWDETFVRELPGDPRSDNMPRQVLHACYTKVSPSAPVENPKLVAWSESVADLLDLDHKEFERPDFPRFFSGETPLVGSVPYAQCYGGHQFGSWAGQLGDGRAITLGEVLNSRGERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVETGKSVVRDMFYDGNAKEEPGAIVCRVAPSFLRFGSYQLHATRGKEDLEIVRRLADYTIRHHYPHLENIKKSEGLSFEAAIGDSPAIDLTSNKYAAWAVEVAERTAYLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSFTPNTTDLPGKRYCFANQPDVGLWNIAQFTGPLSAADLISKDEANYVMERYGTKFMDEYQSIMTKKLGLSKYNKQLISKLLNNLAVDKVDYTIFFRLLSNVKADRDIPETELLVPIKAALLDIGKERKEAWISWVQTYIEELVANGVSDEERKATMNRVNPKYVLRNYLCQTAIDAADLGDYEEVRRLLKVMEHPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >SECCE2Rv1G0085960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:198637819:198639507:1 gene:SECCE2Rv1G0085960 transcript:SECCE2Rv1G0085960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSPERKGGEDKEEGSRMASAALPEAEAVQPRNKGNFKYAFTCALCASMATIVLGYDVGVMSGASLYIKRDLQITDVQLEIMMGILSVYALIGSFLGARTSDWVGRRVTVVFAAAIFFTGSLLMGFAVNYAMLIVGRFVTGIGVGYAIMVAPVYTAEVSPASARGFLTSFTEVFINVGILLGYVSNYAFARLPLHLSWRVMLGIGAVPSALLALMVFGMPESPRWLVMKGRLADARAVLAKTSDTPEEAVERLDQIKAAAGIPRDLDGDVVVMPKTKGGEEKQVWKELIFSPNPAMRRILLAALGIHFFQQATGSDSVVLYSPRVFQSAGITGDNRLLGATCAMGVMKTLFILVATFQLDRVGRRPLLLTSTAGMLACLIGLGTGLTVVGRHPDAKIPWAIGLCIVSILAYVSFFSIGLGPLTSVYTSEVFPLRVRALGFALGASCNRVTSAAVSMSFLSLSNAITIGGSFFLYAGIAALGWIFFFTFIPETRGLPLEEIGKLFGMTDTAVEETQDTATKDKAKVVEMN >SECCE7Rv1G0480470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:270682800:270684042:-1 gene:SECCE7Rv1G0480470 transcript:SECCE7Rv1G0480470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLHRFLLLAALLLLTGGASSAGDDVVAAGNRKVRMELYYESLCPDSFQFMVDSLARVFKDGLLDAADLTLVPYGNAKVDAHGAITCQHGPEECLLNTVEACAIDAWPDVNVHFGFINCVEELAMEYKHQEWQSCFQKQGLHPKPVMECYKTEHGHKLSLRYGRQTDALVPRHTSVPWVVVDGKPLYDNFGDFVAYICKAYEGRPPKICQHKEPGRDYPTAQQVAEPGNRVGYNSGDFVLNDGVDDKIKMARADDN >SECCE5Rv1G0303220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:46871036:46873615:-1 gene:SECCE5Rv1G0303220 transcript:SECCE5Rv1G0303220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPDGCDSRHGGDQGPSFLPIHLPPHAPTPQPLCPSSPPRPVPPLSFRHLSPCARWSAWVTAALSDPGFALVLRSAAISDAVAASTAAVIPDHHALSALLSLWHPGSHTFRLPAGPATFSLEDALLLAGLPPSGAPLDRPLTPTEEDLRIRLVVEKEKIRELHPCARKARRVSAELWLEWFDSRIRPGEDDELRHLGFLAYWLAFFVTPRLRRKSWELPECLFALAVRLCLGERIALGPAVVANLYAEMDMIVTSGADAAVGASGRLDVWAPLWLLQAWMWERYDRLRPPELRAPEFPVSNVRVLFWARRRRKTTNEESLRVLQEEDCFEWRPYLHNSLNWTEPEWFSKETVLVSSRGKDKPEWLEDYLAIIRQAALTGLCGDGMYNSTMYNPHIVARQLGYDQDVPFPIVHGFDSKGIEVWIPGICRRGVASKEYVAWLNGHFVRHQEADQYGRSEMAHQENSANSSPLSAPNGNVVDTTGDKCRESTMQDNRKCIIEDLLAQENEMEVVVLNLSSSDTDCSATAVKGKIEKKKRLDKLSGHGDRNKRNKVFGGHEGLQVCDNGLEGRKYCGLQKDPNSHINKCDAQLESDDECVVLESHVEKCEVINLDDDQEESIPDPEDHDSQLVLELEEFVRSGLFSQWEESSDEHEGGGRKQKSLKTSNNDPYAEAAMREYPVFFELIPQRPHYRGLVNNDDPLRDLACSGMWLLLVGLAREVLKTSCDTDALEVAYLMKKARELEQNGFNVKHFIARLKEPQTRLRRLQDSRARLEYARTKAQESEGVKSLSNHLSKLKHNILTMERHLDGNKQARSASVHNELSESINLVSLEKEVEAAEKYCQAMKDEVAAMRLRYTDI >SECCEUnv1G0532230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19664102:19668996:1 gene:SECCEUnv1G0532230 transcript:SECCEUnv1G0532230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRRGGGTDGLHSHRRHHHHSPGPNAPSHLAAGVGSSSKQGGEPGMHSNSLFDGSRLCTPKRAGRTPMKMLIDDDVYRDVTARHTSPGVVGRLMGLDIMPSLGVHSKDTCNGDRSQGRSPGSCSDKSGNYSDRHAFSGDVPRRASTDEIPEFKDVFEVMETTRMKNRNRNTCSGHGRVNNADLNFVRQKFMDAKRLSTDESFQRSKEFDSALEALVSNKDALMEILQESNNVAASDLSGLGCPPSSGVNRITLLKPSRRSKFMDANIVYPPENDSERCFHSPKEAKHSPRKPHSNFSSEPPREETGSFRQKLSRSSYRESIDKRVSSTRIVVLKPCLDKNLNMEGAFPITNDMFCSSYRRTEACLDDGIQRQHAEESMPQISTAYPDARRQRAKGPREIAREVSTQMKTAAVRGGANGKQKLSPDIGTSNRDEQVSLLTSMAKLKSSATLQRSSGVHDASDGSCTGTSPTHSAKRSIRKEARRRLADRWKTTQQHQHQHPSQDANTTFSTLGDMLALSDKETSKFTSGAAASRQWPEGESHRDAMPGSSGYPFGISSNDGWKDENICGLTRLESVSTSSINRGSPKPSSRKASCAHGEYSMAENIIGSGPYNSEDLHQDRPRRSLARSSTYRSDESDVQSLDEVQSVVTEREIHVNFEEPTYTGAVPEISETGGRLVHGGNSDHLDSSHAVPEWQGEAQSSAQNMMLDQEHAFATDDHFIVPSPRYSASQMEGNGHDRCDDNEAPSDHLTELVSVVSSNEDEQPSPVSVLGSSVDAEDCCSGGFEKISADLQGLRMQLRLLKMEATGNADDDTDLALFSDDDDETAASCELANEGAPTTSRAFRDEDERDFSYVADMLAFLANHSSEHDLLLGARYLSPGSPARGYAYDELERRYGELALWARPERRLLFDLASDVLVDVVACWTQCGGQQGLAGKCRRLGMEWGMERMVEEVWERVRRQRRDTECFQEEKLMGVGWLDCEDVTDEIVEDIGSMLGEDLLEEAIADLYLLDIFG >SECCE7Rv1G0471850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:134094922:134095978:1 gene:SECCE7Rv1G0471850 transcript:SECCE7Rv1G0471850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLEGFEFFEIILDNSSSRLRLPDKFTRVLLDVGKPQEVKLRDAGHGRRFWDVKVVLDADGHMYLGRGWEQFAGAHDLRLGYFLVFSYDGDAVLTVKVFDVSMCRRHYQHDGDASNGSSSDGDSGSIGSSEGGGGGGNSSSSMAVMGIDDGLTAQFTVMLRECNLGVRQKQYLNVPVEFQNAHRYAERSKVELRMRGKSWFVNLKHSPRDRGRPRASFRYGWHQFCIDNGLGVGDTCFFRALREGSGGEVHRLKVEVRKRDGGYVV >SECCE5Rv1G0364880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803453244:803454377:1 gene:SECCE5Rv1G0364880 transcript:SECCE5Rv1G0364880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGRGRCMDGLPEDVLHEVLSRVGNVKDLFMLAVTCRRWLRRFTDPTFLRGLWGVGHRARLLGFFFHRAFLPSPGSPLCALTSFDDDGTFNYAEPLAARRGIVLMRLVPRTRLLGLCNPITGERHVLPPVECSGEVYTYAIITAADSDPDGKRFAFAQLLLVTLPKKSQVYLHSYSAATRSWNPPTMCPMSFSVVGERSAVVHQGAAHWLCINRVENLFYTLSTEVGKQPCVLSLTKLPQVRVGGSPLLCVSRDGQLSVACVYPMHMTVWTQQQGHREWLRTAVIRIPMAVPNPSPNRREKWFDFNRGSMLVLYRSSGVFILDLDKKVMEKIMDDCLLPEYNYLLNKTASVAYEMDLVEFFLLHLGGLCRGRLTE >SECCE3Rv1G0168460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:221604826:221612984:1 gene:SECCE3Rv1G0168460 transcript:SECCE3Rv1G0168460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMVDRATSDMLIGPDWAKNMEICDICNRDPGQSKDVVKALRKRIAHKNPKVQLLALTLLETAIKNCGDIFHMHVAERDLLHEMVKLVKKKSDQRVKDKILVLIDTWQEALGGPRSRYPQFYAAYHELVRAGAQFPKRSERPAPLFNGQSEAAKSMRSPDQRDEAESSAGNDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVQLVNTASDEELLSQGLALNDDLQRVLAKHDAIAAGIAVRVEKRPKSLQALVDTEDSVNQDSKKEQALVDIDDPTSQDSKTEPNQSTSEPSPFEQLALPAPPVSNGSANAPPKPDSGIDLLSWDDTPSTAENSLALVPVTDPLADSTSNHNALAIVDMYSQNNTANSNAKSPDPFGFDSSPTPLGSQSYNTATQHPVQSQQPPQQAALYSNGSAVNPGTSYDQASQYNHTNSGWNGQVANHAAPPPQQVNYDDQNGALPPPPWEAPAAPSNEMPNGQLGGMQPLPTPASQFGGVQPLQPQNNHMAGLQPQPMYNNQPGAMLPQAMQSNQTVGGQVPPGYGNQYAHLAPQPMPMPGMQFAGMQPSPMPAAQPGMMYAQQMPGAQFGGMPQQQMYGGGGRMATQYGYVQQQAAQYYNQGRPAMYGYPGTNGLSQSMYGLSMQDSSYMGGMNSTYQAAPSSSSMAQPMRPSKPEDKLFGDLLSIAKTKQNRAS >SECCE1Rv1G0002790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:10485286:10485715:1 gene:SECCE1Rv1G0002790 transcript:SECCE1Rv1G0002790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRQVRDDHEGVQVVGFEVPSSPDSSYSNPIPGNEDEAREPPLVPPHLQHTLLSFPPSHDDGSSLPLPQPVVLNHLYIEKENSRSVVALGITHRFKAKYVTVVLYKPVQRR >SECCE1Rv1G0001920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6705762:6706019:1 gene:SECCE1Rv1G0001920 transcript:SECCE1Rv1G0001920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKVAVAIAVCVMLVLNMGHPAAATECEDCLGKCVAICIDYAETSCRGICNAIPQPPACQTCKDGALIQCGITCYGGCTLFC >SECCE7Rv1G0504500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:724150991:724151236:1 gene:SECCE7Rv1G0504500 transcript:SECCE7Rv1G0504500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNRRVGVPRKSDYLSGAVDGGDDPGVAHLEQRRPVGPGEDTDLALELLHLQGKTENGKNQRQSSQHEEDEAALLWTKRF >SECCE2Rv1G0106700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:638596331:638596850:-1 gene:SECCE2Rv1G0106700 transcript:SECCE2Rv1G0106700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKMVIRVHMTCDKCRSKAMGLVASIYGVERVEIQGDDRDRLAVVGDGVDAANLTACLRKKVGNADLLTVEAVVPEKKPAPAPASQTTEAPWYPGYYSWPAATYSHCYPYSM >SECCE7Rv1G0520120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:867590273:867591887:1 gene:SECCE7Rv1G0520120 transcript:SECCE7Rv1G0520120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEPSAGTLVFLTLASLVILASLLSRSRLKNQRRPPGPWRLPLVGSLHHVLTSQPQIALRDLAKKHGPVMYLRFGQIDTVVISSAAAAQEVLRDKDLAFASRPSILASEIFCYGGRDIVLAPYGPYWRTTRKLCTVELLSDRKVRQFAPFRDSQTMSLVEKVRAAGGGPMNLSRLLVSCTNTITAKATFGQVCDGDLQEVFIAGVSLALKTAGGSSIGDLFPSLWFVDALTGLRSRLWRAHRQLDAILDKIIADECSQGQRGDHLLGVLLRTRDEGKLEFPIDMTHIKAIILDMFTAGTDTTSAVAEWAMSELIRNPNVMAKAQAEVRRTFENKSPIDHEELIDHLSYIKMVVKETMRLHPVVPLLVPHLCRETCDVGGFEVKEGTRVLVNTWAMARSPEYWENAEDFRPERFDDGTATYKGSRFEYLPFGTGRRSCPGYTFGLATLELVVARLLHYFDWSLPAGTRVDELNMEASVGLTTRRKYPLHLVATPYKGAC >SECCE3Rv1G0192050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:754677706:754680038:-1 gene:SECCE3Rv1G0192050 transcript:SECCE3Rv1G0192050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin-related lectin 3 [Source:Projected from Arabidopsis thaliana (AT1G19715) UniProtKB/Swiss-Prot;Acc:F4HQX1] MSLASLSGKDPNPSSAPSALRSITRSVDRNGHKYADGNAGYEMVLAKDQNPNPSRIATLSNKMVSFPSFISDNGTMTISTPVRFGPWGGTGGTIFDDGIFTGVRQINITRGLGISSMKVLYDRNGQAIWGDKRGSSGAARPEKIVFDFPTEILTHVTGYFGPTMIMGPTAIKSITFHTTKKSHGPFGDETGTFFSSCLTEGRIVGFHGRGAWYVDSIGVHVLEGKVLSEKSAGTTPLGDMLALPTREIGDEVTYGVVKEPIPIGPGPWGGEGGKPWDDGVYTGIKQIYVTRDDFIGSIQIEYDRSGQSVWSTRHGNGGQITHRIKLDYPHEVLICIYGYYNTCVGEGPRVLRSITVVSSRGKYGPFGDEVGTYFTSATTKGKVVGFHGRSAMYLDAIGVHMQHWLGDRNTAATAAKPKAGFIPKIVGPNPKTGSDNPRAGSTTKYYVSKYLF >SECCE5Rv1G0348010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:671973907:671978002:1 gene:SECCE5Rv1G0348010 transcript:SECCE5Rv1G0348010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMTSPLLLSPSPCRLLRRLFSRAPRRRNPTTPRPLAPHLGRRLPLRLPAFMSSSSARTADSVVADTDDLARKVAAIRAAGPAKLQVIADFDGTLTRYWYDGSRGQSSHGLLRQGNEEFDAKREVLFEHYHPIEINPDVPLLEKAKLMEEWWGKTHGLLIEGGLTQEAIKKSVADAAIAFRDGVVELFEFLEARDIPVLVFSAGLADIIEEVFRQKLHRSFKNIKIVSNRMVFNEEGCLVAFKGKTIHVLNKNEHSLDMAAPVHDSLGDPNGSVDDYSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAAGFLNTNIEKSLKDYSEAFDIVYLNDASMRGVVELVSDLCP >SECCE2Rv1G0068660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:24610916:24613504:1 gene:SECCE2Rv1G0068660 transcript:SECCE2Rv1G0068660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHNTCQQEQLSGSNSARPQTFWTICPACDTKYQYHHASSQKTVRCQNCSKAFIAHVLADQSVPSGPEQSMWKNAGVFSEIRLRQKFKPGQIWALYSDIDKYPNCYAFIEKVELENNEVRARWLEVCPDGELEKKSVGDRTVGCGTYRVATTDGITIYTDMKSFSHRVNAIFTGRRNSYEIYPRKHEVWALVKGWDIGWSSDAHNQKKYKYEVVKVLSDFTTGTSITVMPLVKIKVFVSLFMQSKEATPYLIRQDDTIWFSHCIPYRLMGASKSEGIPEGALELDPAALPLNLEQPHVRVVSESRSVKGSEFDAAYAGSSRGNKSHKESEGVGERQHATCMNAGIFAKTSVVENRDHNTPSAVEGMDVAEESDNAQAEVLCPEFFDFNQLRDVSRFKRNQIWAVYDSQCCMPRFYARITKVRRAPKFEVHFVSLEFDPRNKAEVAWSRGRLPVACGRFKHGASDTAKETKIFSQIISYEKRKARISYEIYPRKGEVWALFKGWDVGWSSDAENHRDFKYEVVQVVSDFTTSTSIIAMPLVKVKGFVSLFIGLKEATPYVIPQDDTLRFSHCVPYHWMEGTERDGIPEGAVELDPDALPPNLEDAFASVVPESSYTQSAAEGTDVYEESDDIVQTVFECVESEFHEFTEMRSLDKFQPGQIWALYNDMDKFPNYYAGIRKVDLKNNKVQVRWFDASPRGEEKRLVNEDWPVGCGTFRVYDGNDAIMTYTGTEPFSHLVCSRPTGREKEFEIIPLPREVWAVYKDWRAGWTARDFKNCDYELVEILTHTESSIQVQLLRKVNGHRTVFRRVPSVKTIRKDEYLKFSHQIPRFRLTNEKGGKLRGCFELDPFSVPERFLGIDST >SECCE2Rv1G0096920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:449135525:449136595:-1 gene:SECCE2Rv1G0096920 transcript:SECCE2Rv1G0096920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVPTFSRRQCRWILCAGAAAGAYLIYHHPAVAARRRRISRLASTLSSLADAVAAVASDLAAFLQSDSDSLPTTLTQLSKLASSREAIASASALSGALTAGVLRGYASATSQASGDEVAFSDRLVEKLFSPAGERLVSAVAGSFGRDIVLACYSAPADPSGSAGSSPASWVDVVTSGSCRRAIRSWVEVFTATAVGVFIDKTIHINTYDQICAAATNPAYDSKLQKLLVALCSASVETLVKTSHGVLSNGNGNAYSGNGGAGEGWAETVSGALAVPSNRKLVLDLTGRATFEAVRSFLEFVLWRLHDAARAGGDATARAGLCVLRYMSERSMVVAAICIVLCLHVLKRTWLLAPA >SECCE1Rv1G0016680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:140617633:140624719:-1 gene:SECCE1Rv1G0016680 transcript:SECCE1Rv1G0016680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRHSYGRGGRSAAAAVLLVLCLCVTGVFLLLLHGSSPPLDAEGGQEQAAAAGGGRHEEPVVLAEVEEAPLPPGNARVAFLFIARNRLPLDLVWDAFFRGDNEGRFSIFVHSRPGFVLTRATTRSRFFYNRQVNNSVQVDWGEASMIEAERILLSHALKDPFNERFVFVSDSCVPLYNFNYTYDYIMSASTSFVDSFADTKQGRYNPRMDPIIPVENWRKGSQWAVLIKKHAEVVVYDDVVLPEFKKHCRRRPLPEFWRDWDKPIPAEAWKAHNCIPDEHYVQTLLAQNGLEEELTRRSVTHSAWDLSSSKDRERRGWHPVTYKVSDATPALIKSIKDIDNIYYETEYRKEWCTSNERPAPCFLFARKFTRGAGLKLLNSSFIAAR >SECCE5Rv1G0326990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:488578514:488585426:1 gene:SECCE5Rv1G0326990 transcript:SECCE5Rv1G0326990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRRKQQQPPPPGDDSNGSDHDDKDKDKGKKPAQEQPSSSASASAPPSKEAGRRAKAKWSCVDSCCWLVGCVCSSWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLHAKHPVIFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGDVYKRPLCWIEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKNMYMAAYDWRLSFQNTETRDQTLSRIKSNIELLVATNGGNRAVVIPHSMGVLYFLHFMKWVEAPSPMGGGGGPDWCAKHIKAVANIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPEMLDSDFLGLQTLRHLMRMTRTWDSTMSMLPKGGDTIWGGLDWSPEDGFECKSKKRKTNDSEVSKDVHGEPVEVHPEPVNFGRMVSFGKDVAEAPVSNIEQIEFRDAVKGNNLAHSNTSCRDVWTEYQELGWGGIKAVSDYKVYTAGSIIELFNFVAPRMMQRGSVHFSYGIADNLDDPKYGHYKYWSNPLETKLPNAPKMEIFSMYGVGIPTERAYVYKLSPQAECYIPFQIDASAEGGDENSCLKGGVYMSNGDETVPVLSAGYMCAKAWRGKTRFNPSGSKTYVREYSHSPPSNLLEGRGTQSGAHVDIMGNFALMEDIIRIAAGATGEEIGGDQVYSDIFKWSEKIKLKL >SECCE1Rv1G0061080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:713487066:713487347:-1 gene:SECCE1Rv1G0061080 transcript:SECCE1Rv1G0061080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEIFEHVREVDCYPNISIAYRILFTMPVTVASAERSFSKLKLLKNYLRSTMTQERLNGLATLCIEKKLLDDIDIEPIISEFASRNVRRKF >SECCE1Rv1G0047940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631022871:631027426:1 gene:SECCE1Rv1G0047940 transcript:SECCE1Rv1G0047940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLNTVEEDGDAAAAAGVCGELWHACAGPGVALPRRGSAVVYLPQAHLAAGGGEALPPAGAPPVPAHVACRVVGVELCADAATDEVYARLALVADAEMFRQSVREHGSEEAEDEMAGGDGEKKPRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFAPLDYKQVRPSQELVAKDLHGTQWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELRLGVRRAVQLRNEALFKAVNSNDSKLHTLSAVATSLENRSIFHVCFDPRSGASEFIVPYWRFSKSLNHSFSIGMRFKVSNESDDANERSTGLISGISEVDPIRWPGSKWRCLMVRWDDNAHCNHQRRVSPWEIESFGGSISVTDCLSASSSKRAKLYFPQGNSDAPVTDGNGFPGSVEAGSFHRVLQGQELLMGSRAQGAARSQSPDVAKFRAPDHNRFSGNARSYMPQQSPAEFPYHSSGFGEPLRFPEVLQGQEMSQALRFYRGSAFDARAQHGGIVGPFGYAQRSAAPGGPSPAAQGYALGQFTPAAAAAAKVSSPSSVLMFNQATVPQFDLEGRTGGYRGAYGGQCPPMGMARERETEEAWPCAQRQTPSVTGTGCRRFEEWIKASTPAGADGGRSRSAAAGDVVVGRSSCRLFGFSLTDQKVLGGAGEGGGAKEEGADDDEATDCADPRVLDLFGRGHHAPAALHAIVAAPLGM >SECCE6Rv1G0433200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:756901436:756903764:-1 gene:SECCE6Rv1G0433200 transcript:SECCE6Rv1G0433200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQAARAHLGGAIIRSAGRAQQPREIRTPKSPRSLSLPRAPMASVDAGEGQAAPVPAPRATVSHVIFDMDGLLLDTEGFYTEVQERILARYGKVFDWSLKAKMMGKKATESARIFVDECGLAGLLTPEQFLEEREGMLQELFPSCAVLPGVVRLIHHLHANKIPICVATGSHKRHFALKTQNHQELFALMHHVVMGDDPEVKAGKPSPDIFLAAMRRFEGNVEPSKCLVFEDAPSGVGAAKNAGMYAVMVPDPRLDVSYQKEADQVLSSLLDFNPTEWGLPPFKE >SECCE7Rv1G0521760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874758511:874760286:-1 gene:SECCE7Rv1G0521760 transcript:SECCE7Rv1G0521760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELESPATTLLVVVGLLIHLAGSSAPPTPVVCARGTSDCTVTNAYGSFPDRATCRAASVTYPSTEEELVAAVAAATAAMRKVKAATRYSHSLPKLACPGGRDGTIISTLRLNSTVRLDAAARLITVESGVLLRDLLRAAAAAGLALPHSPYWSGVTIGGLLATGAHGSSLWGKGGAVHEYVVGLRIVTPAPASRGFATVRELGTHHLDLGAAKVSLGVLGVISQVTLALQPLFKRSVTFLERDDSDLEDQVAAWGRLHEFGDLAWQPGRGKVVYRQDDRVDVWSPGNGLNDHLTLRSRAALGRVDARRIEELLEENGTDIARCAAATTSARQPQSHGFTNDGEVFTGYPVVGYQHRMQATGSCIDSPEDGLLTSCKWDPRLGATFIYNTAISVPLRNAAAFVADVKRLRDLNPRAFCGLDARGGLLTRYLRASSAYLGKPEDAVDFDITYYRSYTAGAPRAHADVIDELEQMALRKYGGFPHWGKNRNFAFDGAVARYPKAGEFLEVKGRYDPDGLFSSEWSDQVLGINGTSASVDKEGCAMEGLCVCSDDSHCAPERGYLCRPGKVYKEARVCSSSFQPAAAALRDEL >SECCE4Rv1G0274540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785485402:785486244:-1 gene:SECCE4Rv1G0274540 transcript:SECCE4Rv1G0274540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMFILALLTLAASTAIAQLETICSQGFGQCQHHQQSGHQQLLDQMKPCVAFVQHQCSTVRTPFSETQGEQHSSCQTVQHECCRQLVQIPEQTRCKAIQSVEEAIIQEQPQQQWKEPQQQAQLKSMRMSLQTLPSMCSIYVPVQCQQQQQLGQQQQQQLQEQLKPCATFLQQQFSPMTVPLPHTPVQKPTSCQNVQSQCCRQLAQIPEQFRCQAIHNVAESIRQQQHHQPQQKAQLEGLRMSLHALPSMCKIYIPVQCPTTTTTPYSITMAASYIGGAC >SECCE4Rv1G0277720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:805396377:805397048:1 gene:SECCE4Rv1G0277720 transcript:SECCE4Rv1G0277720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERDRKRRNHTKARWCVDTARYTATALLVLLVLAVILGAVAASLRSQQLDIYIARGYVSVAGLPPASNSLLPPPGNVSLSLVLVFSNPSGRADVSYNKSRLVVADHNDEVIYSSPHDIVVQVGRKEVLKLSVTVALDEHSPMSSMATLVQMMNGTKTAKVSLSGMLETQFTGLKLNFIPPRPHLADYNCSQVTIGRLPQRKVRAADAADVYCQDLSATKWQ >SECCE5Rv1G0324510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:447596699:447600060:-1 gene:SECCE5Rv1G0324510 transcript:SECCE5Rv1G0324510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKGSGSRDANGGLAEATASRLRFEDADEVTGEVGMEVEESPAAPGEEVIGNDKTSADYYFDSYSHFGIHEEMLKDVVRTRSYQNVITQNNFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKTNGFSEVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLDTVLFARDKWLADDGVVLPDKASLHLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQNQIVTNCQLLKTMDISKMSSGDASFTVPFKLVAERNDFIHALVAYFNVSFTKCHKLMGFSTGPRSKSTHWKQTVLYLEDVVTICEGETLSGSMTVANNKKNPRDIDITLKYAINGSRCKVSRTQHYKMR >SECCE2Rv1G0118340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:781901301:781903072:-1 gene:SECCE2Rv1G0118340 transcript:SECCE2Rv1G0118340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKSFLKQPKVFLSTKKADKAKRPGKAGNRFWKSVGLGFKTPREAIDGTYIDKKCPFTGTVAIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVVPAGTTGGGKKAFIAA >SECCE5Rv1G0332630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:550427894:550429475:1 gene:SECCE5Rv1G0332630 transcript:SECCE5Rv1G0332630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAAGAEGRHAVFFPFPAQGHVKAALSLAQLLHRCHGFQVTFVHTEHNRRRLLRSRGTGALDGVPGFRFVAIPDGLPPSEADASQDMGALLATMEAVVPHFRSLVSGLLPPASCVISDVEHVLYASKDMDLPCVTFWTMSAFAFMAAQQLQRLVDMGIVPLKEAEQLRNGYLDDTVVDWVPGMPRDMRLRDFPSFIRTADPDDAILKIVLRAMACHRTTPSAIIFHTFDDIDREAMAAMSTILPPTYAVGPLPLLLGHLSAGGVADTTLEPNLSRVNHACLEWLNGKRPDSVLYVSFGSIATLTSGQLVEFAWGLANSKKDFLWVIRDDLVRDGDGPAAAVLPPEFLEETKARSHVTSWCPQEAVLRHEATGAFLTQCGWNSVLESLNAGVPMLCWPFGADQCTNTRYVCSEWRVGVEIGGDVERGEVEAAIREVMGGGGKGEEMKRMAMEWKEKAAAAAALPGGPSWVNLEKVVNEVLAVQPSKISVQ >SECCE2Rv1G0122370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:815431270:815436908:1 gene:SECCE2Rv1G0122370 transcript:SECCE2Rv1G0122370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKKVVIQGFKSYREETSTDPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRGEDRGALLHEGAGHSVISAFVEILFDNSDNRIPVDREEVRLRRTVSSKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYIVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRRESLKILQETAHKRKQIDQTVHYLEERLRELDEEKEELEKYQELDKERRSLEYNLLDHELNDAKNELVSMDDDRRKFSEMLSNADDEVADVREKVKSFDKEIEVCTEGIDEIKSQKEDAEKKRTKALKLVTQIELDLRDIEDRISNEKRAKDEAVKDLHSMRKESERSKSELAKISNAHQAKLQEEEEMSKSITDREKQLSVLYQKQGRATQFKNEAARDKWLQKEIHDLERVLSSNRKQERLLQEEIQKLKGEINNLTNYIESRRSESGKLESALANRQKDYNDFMQQKNALQDERKSLWKQENSVTAEIDRLKEDLVKAQKSLDYATPTDTRRGLNHVYKIVKEHKKRGVVGPVLELIDCEEKFFTAVEVAAGNSLFHVVVENDDISTDIIRIWTKRKDEPSRKKEEKDGRVTFIPLNRVYAPSVNIPQSSDFVPLLKRLKYHADHRRAFELIFGRTVICRDLETATRVARSNDLDCITLDGDQVSRDGGMTGGFFDYRRSRLKYVKIIRDNKTTIQEKTVHLDNVGKELQDILL >SECCE3Rv1G0198210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:829091925:829097919:1 gene:SECCE3Rv1G0198210 transcript:SECCE3Rv1G0198210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASERPLPCLFLHGVSAGAHLILALAVAGSRLFPRRGKDTAGAVGGFRCYAVAACATWALAAFQLLLAAYSCYLGAGAGWPLDAVVDQADAATRAVAWLLLAAYLQFKLGRREERFPAPLRLWWALFLLLSVLEVAVHAATSLDGLPVPARSWALDAVSVLAAVVLLVAGLFGKSELAGGSPSEEPLLDGASESNSVHASAFAGAGLLGVLTFSWMGPLLAVGHKKALGLGDVPGLDPGDSVAGLLPSFKANLETLSGDGTPGQRVTAFKLAKVLVRTFRWHVAVTALYALVYNVATYVGPYLIDSLVQYLNGGDERHARKGQLLVLAFIAAKVFECLSQQHSCFRLQQIGIRARSALVAVVYEKGLALSGRSRQTHSSGEMVNIVGVDADRVGNSSWYIHDLWLVPLQVSMAMFVLYSTLGLASLAALGATVAVMLVNVPSVKVQEKLQQNLMRSKDVRMKATSEILRNMRILKLQGWEMKFLSKIIALRKTETNWLKKYLYTSTMITFIFWSAPTFIAVVTFGACILMGIPLESGKVLSALATLRVLQESIYNLPDKISAIIQTKVSLDRIASFLCLEEFPTDAVQRLPIGSSNVAIEVSNGCFSWDASPEMPTLKDLNFQARQGMRVAVCGTVGSGKSSLLSCILGEVPKLSGVVKTCGTVAYVSQSAWIQSGKVQENILFGKQMDSEKYDRVLELCSLKKDLESFPSGDQTIIGERGINLSGGQKQRVQIARALYQDADIYLFDDPFSAVDAHTGSHIFKECLLGALAQKTVVYVTHQLEFLPAADLILVMKDGVIAQSGRYNDILGSGEEFMELIGAHQDALAAIGTIDVVNGASEAFSSSGAASLSGPLPSAEKKGKQNVKQDDGHGQSGQLVQEEERERGRVGFWVYWKYLTLAYGGALVPFVLLAQMLFEVLHIASNYWMAWAAPVSKDVEPPVSMYTLIYVYVALALGSSVCTFVRALFLVPAAYKTATLLFNKMHVSIFRAPMSFFDSTPSGRILNRASTDQSLVDTSIANRMGSIAFAFIQLGGTIVVMSQVAWQVFVVFIPVIAICLWYQRYYIDTARELQRMVGICKAPIIQHFVESITGSTIIRSFGKENQFLATNNQLMDAYSRPKFYNAGAMEWLCFRMDMLSSLTFAISLIFLINLPTGIIDPGIAGLVVTYGLNLNIMQVTLVTSMCNLENKIISVERILQYLSLPEEAPLSISEDELAHNWPSEGEIQLHNLHVKYAPQLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIMDPTVGQIIVDGVDICTIGLHDLRSRLSIIPQDPTMFDGTVRHNLDPLGEYTDNQIWEALDHCQLGDEVRRKELKLDSPVVENGENWSVGQRQLVCLGRVILRRTKILVLDEATASVDTATDNLIQKTLQQHFSGATVITIAHRITSVLHSDIVLLLDNGVAVEHQTPARLLEDKSSLFSKLVAEYTMRSTRT >SECCE7Rv1G0525110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:888496389:888497404:1 gene:SECCE7Rv1G0525110 transcript:SECCE7Rv1G0525110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAASIVSKFGQALVEELQEIRGVGDKVIHLRDELASMNAVLRMLAESDEDCVDHLIREWTKQVRELASDAEDCVDIYKLHVRRPLPRPVDPGMRMNSARRLLGHAKLLPDIARIQFVKLFLMHGLAADLQALLARMVIISERRARYNVDQQALRRSACFAAVSPATLSARALRPADDPEQFIGLTDQVNTLAEKFRARDEKDTKVKVFSIVGFGGLGKTTLAMEVCRKLEVDFPHQAQVSVSQAFDGDKDLKGLVKRMLHQMVIKRKTDKDGIKEEDVVAGIDKMGVADLTSTLRNLLREKRY >SECCE5Rv1G0356740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741835599:741836141:1 gene:SECCE5Rv1G0356740 transcript:SECCE5Rv1G0356740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTPPCTWHAYADGGGATNGIGTSTVGTKGGSVTLGMKGMAGIGGIVTLGTTVAGIGAMVTLGTAMAGMGGIVTLGTEGTAGIGGSVTCGTVGTVTAGTVGIVTAVTVGTAGIDGRLGMVGTSGMPGTAAAAGGAAAGVLSAKCRAAWHVWPPRSVHAMTTANKLAFEAIAQCWLVVAV >SECCE5Rv1G0372120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851280700:851281569:-1 gene:SECCE5Rv1G0372120 transcript:SECCE5Rv1G0372120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASCSGCRVLRKGCADDCTIRPCLAWIRGADAQANATVFLAKFYGRAGLLNLLAASTDPALRPALFRSLLYEACGRVANPVYGASGLFSTGQWEACQDAVQAVLEGRRIPVRSGAVRHPGFVAPFDVRHVPRPNVVPAPAHAAGSLALGVSHAGRTMFKHASPSSTAKSKSPYGAKHGEHSGDRDRVPSNGDGESAGSHDDGVAVALAAKQVRGESGDTEAEAASHVSQAEQNPTVPPQVTRDDDEIGLELTLGFGPSTRVLRSPPPARFDAASSSSAGCGDNIGLPV >SECCE5Rv1G0302420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:38660723:38666302:1 gene:SECCE5Rv1G0302420 transcript:SECCE5Rv1G0302420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class III homeodomain-leucine zipper (HD-Zip III) protein, Age-dependent leaf senescenc [Source: Projected from Oryza sativa (Os12g0612700)] MTRHRPWPPSHPPHPPPPPPLRVAAAAPPATDSGAGKRMARLSPGAAAPQVDTGKYVRYTPEQVEALERVYNECPKPSSLRRQQIIRDCPILCNIEPKQIKVWFQNRRCREKQRKESSRMQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENASVKSLKTKIHNASAATTDTSCESVVTSGQQQALAAPRPQRDANNPAGLLAIGEETLAAFLSKATGTAVEWVQMMGMKPGPDSIGIIAVSHNCIGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDILHVFPTSNGGTIELIYMQTYAPTTLAAPRDFWTLRYTCGLDDGSLVICERSLTQSTGGPSGPNTPGFIRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKIVAQKMTIAALRHIRQIAHESSGEIPYGAGRQPAVLRTFSQRLSRGFNDAVSGFPDDGWSLLTSDGAEDITITVNSSPNKLVGSHISPSPLFSAIGGGILCAKASMLVQDVPPALLVRFLREHRSEWADPGVDAYSAASLRASPYAVPGLRAGGFMGNQVILPLAHTLEHEEFLEVLRLEGHGFSHDEVLLARDMYLLQLCSGVDENASGACAQLVFAPIDESFADDAPLLPSGFRVIPLDTKTDVPSATRTLDLASALEVGSGGALRGSGDSPGGGSTRSVLTIAFQFSFENHLRESVAAMARQYVRAVMASVQRVAMAIAPSRLGGSQIQLKHPPGSPEALTLASWIGRSYRAHTGEEIRWSDTEEADSPLKLLWNHSDAILCCSLKPAPMFTFGNNAALDMLETTLVNLQDISLEAILDDEGRKALCAEFSKVMQQGFAYLPGGVCKSSMGRQASYEQAVAWKVVGDDVAGAPHCLAFMFVNWTFL >SECCE4Rv1G0250860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:597242405:597243562:1 gene:SECCE4Rv1G0250860 transcript:SECCE4Rv1G0250860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHLQVQQQQEHLNLPTGFRFHPTDVEIITFYLVRKVLKKPFDMIVIKEVDLNKCEPWDLPIDVNMGEKDQYFFSKKDLKYPTGIRTNRATNAGYWKATGKDKDIVHPPTTSLIGMKKTLVFYKGRAPRGEKTNWIMHEYRLEMGKQPTPDLPTDIVRATSINASSKEEYVVCRIFHKSTGLKKVVMPSYAMTIPMSMGAEQQQGFLESNTLAPLMDYDASLSLAPLPPLPAAPLHQMHNFGVGSSMMGSAMLPMMNNHYFENHHQQMMVAPPQSSMSFYNNQQQQMVHMSEEEGFMVGVDPGSGTSSIVSHEDVLTGMNNNIQGNGGTTISSEISSVNMGMDGMWNY >SECCE2Rv1G0140470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931437938:931439594:-1 gene:SECCE2Rv1G0140470 transcript:SECCE2Rv1G0140470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSTEAPATVVVGGYELRERLGGRPPAMSVWRAVRLSTGAPAAVKQVRLAGLPGRLRDSLDCELRFLAAVSHPNIIRLLDVIQTPGCIYLVMELCEGGDLAAYIERSGGRVEESVARNFMRQIGAGLQVLRRHHVVHRDLKPENILLSCPGSDAILKISDFGLSRILHPGEYAETACGTRLYMAPEVMLFQKYDDKVDLWSIGAILFELLNGYPPFRGRSNVQMLQCINRTSSSLPFSQLVVPSLHPDSIDICNRLLCTNPVKRLSLQEFINHGFLRP >SECCE1Rv1G0032230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:452598790:452606868:-1 gene:SECCE1Rv1G0032230 transcript:SECCE1Rv1G0032230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDDFGRPFIILREQESKSRLRGLDAHKANVAAGKAIARSLSTSLGPKGMDKILQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVLAGSLLEQAEKLLERGIHPIRIAEGYEMASKLAFDHLERISTRFEFTAANIEPLVQTCVTTLSSKIVNRCKRMLAEIAVRAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIAVDKDMSHPQMPKRIEDANIAILTCPFEPPKPKTKHKVDIDTIEKFQTMRGQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMQRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPDKLGQAGLVREKSFGTTKDRMLYIERCANSRAVTILIRGGNKMMIEEAKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADRYAGAEQYAIRSFADALDGIPLALAENSGLPPIDTLTAVKYQQIQENNPYCGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVITPSEF >SECCE7Rv1G0502880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:701860486:701864351:-1 gene:SECCE7Rv1G0502880 transcript:SECCE7Rv1G0502880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAHQKASAAAPAEEEEAAEHGPFPIEHLQASGIAAVDVKKLKDAGLCTVESVAYSPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSATQLHAQRLEIIQVTTGSRELDRILEGGIETGSITELYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMVIDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVISNQVVAQVDGGAMFAGPQIKPIGGNIMAHASTTRLYLRKGRAEERICKVVSSPCLAEAEARFQISPEGVTDVKD >SECCE5Rv1G0363900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:796956107:796957771:-1 gene:SECCE5Rv1G0363900 transcript:SECCE5Rv1G0363900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFADTAARRLLRGAGGGVARGIAADAVGMSFGLDGLWQLIAGLFADAAHLLVLPFEVLGHWLAAAAHLVVLPFGALWHLLQSVAAGVSFCFDSLVAALGSAAHALVVPFEALWHLLQSAAAGVSFCFGNLVVAIESVPHALAVPFEALWHLLQSAAAGVSLCFNNLIAALGSVPHALAVPFEGLWHLLQSSAAGVSFCFDSLVAALGSAAHALVVPFEAVWHWLQTVPAAISFGFHGLGQLIHGCFDNLVAALGSAAHALVVPFEALWQWLKAAGASVGSGLDDLWEHMQDIFASLPAALASAAHNLVLPLQACWQWIQNAVAGVWDGFWPLIQRFVTAAASKAHELVPALEEFWRWLKDAAAVALPVALAIAAIVCVAVLVWFWWRILCVAAFVAGVLVAQALVLASVVVAQALVFVICICGRCLFVVAIGVGGALACLLPICGQYCASVTMAAPGAAGVLISRAAFKAEPRLYFQILRLAGPLIASAVFSTSPLPWAVGLSVVALFSRGLSVSGGQEPIPIPVCQTFSSTSTPEEEDQMWTAEEDQRIIIE >SECCE6Rv1G0450300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:867444437:867445678:1 gene:SECCE6Rv1G0450300 transcript:SECCE6Rv1G0450300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAPVMEVPNDAELLQAQADLWRHTLYYLTSMGLRCAVKLGIPTAIHNLGGVSSLPDLAAALSIPASKQPFLGRLMRALVTSGVFANGGKNDSGAELFRLNPLSRILVEGVVAEEHHSQTSFVLAGTSRHYMEAALGMADWFKRDATGPVPSVFEDVHSASLFDESTAALDPELDALVTEGLAAHDNLGIGTIIRECHDLFKGLESLTDCCGGDGTTARAITKAHPHVKCTVLDLPKVIDKTPSDGIVNYVAGDLFHTVPKAQAVMLKLVLHHWSDEDCVKILTQCKNAIPSREEGGKVIVIDIVVEPSLGPVMFEAQTLMDILMLVFTRGRQRSENDWHELFTKAGFSDYKIVKKLGARGVIEVYK >SECCE7Rv1G0473360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:156864151:156864432:-1 gene:SECCE7Rv1G0473360 transcript:SECCE7Rv1G0473360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETKKHLVFPLIYKLIELALILPVSTTSVERAFSTMKIIKTKLRSKINNEWFNDLMICYTERELFKSVHDKDIIRTFTAMRSRKGHLPRDFL >SECCEUnv1G0537340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68660225:68661160:1 gene:SECCEUnv1G0537340 transcript:SECCEUnv1G0537340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIMVSLPRCPVYLTRQYHGFWIREFVLQGMAAAQASFEPRLTDVFLASCPKSGTTWLKALAFATLNRATHLPSDSDHPLRHHNPHDCVRFLEARPIPETMALPSPRLLATHLPCSLLPSRITECGRVIYVCREPKDAMVSFWIYSNKIAAVLRRQHGLEAPSPTFEEAFELFCKGQSISGPHWRHALEYWEESQRRPAKVLFLKYEEMLQDPTSNAKNLAAFMGCPFSCAEEEAGVVQEIVKLCSFEKLSEANKNGNSSAMMGIKNDVYFRKGAVGDWKNYMTPEMAARLDKIVEEALQGSGLTFGISM >SECCE6Rv1G0449280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:861087206:861089133:1 gene:SECCE6Rv1G0449280 transcript:SECCE6Rv1G0449280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVVVLLSLVIVGAIGASSADARRPVVPAMFVLGDSTLDVGNNNHLPGKDVPRANEPFYGIDFPGGSQATGRFSNGYNIADFVARYLGFERSPLAYLVLKSRNYLIPSALTRGVSYASAGAGILDSTNAGKNIPLSKQVSYFASTKAEMEAAWGSHKVSKLLASSFFLLGFGSNDLFHSRPKSQADVAALYVTLVSNYSAAITDLYGMGARKFGIISPGPVGCVPRVRLLNATGACNDGMNRLTIGLAAAFKSGLATTLSPTRLPGLTYSLADSYAGTRANFDNPQAVGFMNADSACCGSGRLGAEGECMRNATLCSDRDVYAFFDNVHPSQRAAELGAQALFVDSPTQITTPISFKELAHQG >SECCE1Rv1G0027460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:382995924:382996250:-1 gene:SECCE1Rv1G0027460 transcript:SECCE1Rv1G0027460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARVATCVVVAALVVAALVAAVMATSGRANSGSGGAPTAHCNAGQLMVCAAAIIGGAAPSASCCSSLRAQQGCFCQYARNPAYGRYIGSPTAHRAIVACRVALPSC >SECCE6Rv1G0424380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:700275317:700276746:1 gene:SECCE6Rv1G0424380 transcript:SECCE6Rv1G0424380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNSLSKRKKQHEFDLQREKKAKEEQAKKLQAKKSKMKIDGSDKKKKGNSFKVGKKKVKTKLSALGKAKAAQAMELDN >SECCE1Rv1G0032820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:459600179:459600931:-1 gene:SECCE1Rv1G0032820 transcript:SECCE1Rv1G0032820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF5 [Source:Projected from Arabidopsis thaliana (AT5G47230) UniProtKB/TrEMBL;Acc:A0A178UKK9] MAFTHRHLDLIRAHLLDDLHADADAIASSSGDSDSSASSPPGRRRPALSLSLPPKLATTVLERQPQQESCGYVEGQEEYDDDFRRYRGVRLRPWGKFAAEIRDPARKGARVWLGTYDDAVEAARAYDRAAFRLRGSKAILNFPNEVGTQSIQWTSPAPLVDTVAAVPTGGKRMRPAQEEERLRVVKKERVQLKEEEEEGAIAANYSAADGVAAARDADFWEELKVICSLPPLSPLSPYPHFAFPQLSVVN >SECCE4Rv1G0279480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:815117816:815119132:-1 gene:SECCE4Rv1G0279480 transcript:SECCE4Rv1G0279480.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVDALDGYKFADESTSDVRVCFRRTGCGGEQPERFPCHSSVLSERSKYFADLLRQSDACSGGSKNCIEVQCPRAEYDHYVKLLKFMYLSRESIEDAITSVKSALGVLRAATSLKSDFIAETCIGYLESASWDEKEEEEILQFAQTLAPEAAAPLLARLQAPSANAVKTVFISAVRFATSMETSAAPFFDDLKTAAQEQIDFMLHDGDDPAIVMMDEDVRSVLGEGLTKLLSTLRTGLDLLASEFDKLPEQAEQRIVRSLVDIDWITTVLTKIELMNEFVSGWLEISDYVVSVVQHEKYSSGLWAVKTKLMEVTGKALDAVGYGSVILPSTSRAHLVKTWLPYIRTTKRFLDAKAKDEAFPQMDADLCQNVESAMVSTILALPSGDQSDILSDWMQKADQFRYPDLTEAFEMWCYRSKTAIRRLNGAVDKGCNPISL >SECCE5Rv1G0330860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:534272702:534273403:-1 gene:SECCE5Rv1G0330860 transcript:SECCE5Rv1G0330860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLLMSSSPEAQLALMNTMLQLEQALNDQSMMMGEASPPISPVQTPSHSLSPPPHVSTACAAADAGYFYHQDLQAQAAAAGYAGTGGVHQEYVVSPGAAVFDGAPQGYSSPSSSDAMREMIFHIAALQPVEIDPEAVRPPKRRNVRTSKDPQSVAARLRRERISERIRVLQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLELAAAATGAAAAHRAAAFAYPALQHAPW >SECCE4Rv1G0282080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:827942749:827943270:1 gene:SECCE4Rv1G0282080 transcript:SECCE4Rv1G0282080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPDTSWVFADLAVADSARYSTRTRLMLTGLSFAIGILTLLLYLAVSYACRRRRRRHRCRRQRGAGVGAEDQEAGMSDAAIVYEQADALAAPMDCAVCLGRVEAGEKLRRLPKCAHLFHADCVHAWLRAHSTCPMCRAATTGTTPAATAAAEAPPPGVVAVAGSPPALERMN >SECCE6Rv1G0420240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:676055490:676056355:1 gene:SECCE6Rv1G0420240 transcript:SECCE6Rv1G0420240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLKGLRYISQIFDPKEPEIQIGAPTDVKHVAHIGWDNNSVANPAWMNEFKAQPGASGSGGPEGAGAGQPAGGGGGGGGGGGAGKAEQSETKPRRTRGKGSGGGEGKRDGLRRTAKAEASAEGGEGEAAAPKQRRRKPKTSGGGSGGRSKSGSGGAASDPEAAKSAAGDAEDG >SECCE1Rv1G0022830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:289655488:289656093:-1 gene:SECCE1Rv1G0022830 transcript:SECCE1Rv1G0022830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFAGPSASVDEAFWTACPHCCYTHSYPRLYVGRRLRCPTATCRRVFSADELPSTPPIVPGADMYFCTWAFFPLGPPAVAEGWAPFTPFNPAPPPSPSPVPNPTAATPACAVPTRVRPTSRKKVGVCLKGRARVEAEEEEEEEEEKASTVSNLKAGEEVQADWLTLGDNGGSSGININETVDLSELGFRVDESGFLQEIP >SECCE6Rv1G0437390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:783483679:783484911:1 gene:SECCE6Rv1G0437390 transcript:SECCE6Rv1G0437390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRWADLPGDLLAAVHLRAACAYDRARFAAVCTPWRAAAARHRPLPALPLLLLSTGDGRRDREARAYSPEDGRALRVPLPWFPWGNRLVGSFDGGWIATASGPERLLVVNLFTGVRALSAKQGAVVCACPRSDWECGQAYHQRITTDQISVRKIVFSEDPSAGGCILAAMTSWCKIALCRVGRPDSGWTTRGCGTNRFDIGVDEDGAPVTALVHRLDTNMGTLRMLDVSSDIDYIFELCGKLAIAVRVRPAGGSHRAHFYRVFELMDDGRTPSSHRFRWAEVMSLGDQALFLGPSCCKAVRVSTANRRGGVEGNRIYYSEKHSSLRDSMECLARLDIGSCTVLSWESEGVHHLERIISQGYFYRKEDGINGCNSSAWLLPRDF >SECCE2Rv1G0123590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:825545566:825548280:1 gene:SECCE2Rv1G0123590 transcript:SECCE2Rv1G0123590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDALCAASDVLVYDTFNAAAAAGAAPAESSPFFRDDAVAAWMVGSAAAAGTAVPVEEGERAQGRRKRRRRARSCKNREDAESQRLTHIAVERNRRRQMNEYLAVLRSLMPESYAHRGDQASIVGGAIDFVKELEQLLQSLEAQKRTLLAQQQQGQEHKPPPNPDATPLRSCTVTAAATTSGGEGELAAATHDTTGGPIPFAGFFTYPQYVCRLLPRDDADDRAGAADIEVTLVETHASIRAMAPRRPGQLLRMVAGMEALRLTVLHLNVTTLGSLALYSLSVKVEEGCGLTTADDIAAAVHHVLCLVDADATSQRLLAGGRQEISS >SECCE5Rv1G0314230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:269516861:269517727:-1 gene:SECCE5Rv1G0314230 transcript:SECCE5Rv1G0314230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTNWGIGHGLKDILEAHKGPFTGQGYKGLYEILTTSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRYRDAIISHLNRVCIFKGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWVQNIHATTPGVTAPGATTSTSLTWGGGELVAVGGKVALLPIPLGTAYFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLF >SECCE6Rv1G0444030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826403174:826404919:-1 gene:SECCE6Rv1G0444030 transcript:SECCE6Rv1G0444030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPPSPAAHKLKKRKFDRLDEQEPPPESDGVSLDFINALPDDLLCTIISRLPTKDGARTQVLARRWRPLWRSAPLNLIDHGLSVSGQLGKRVVYISKILSEHPGPALRFSLPCLHERYHDKIDGWLRSRALTDLQELCLGYGHRRYGIPGIPPSQLPKTPIPQSALRFAPTLRVARIGHCYFPKLPAQPLNFPLLKQLSMYKVTISDWCASSLNFPHLMQLTTHEVTISGGALQSLLSGCLSLESLLLKDNVGVGRLRIISSTLRSIGFSAPSVKVVVSPVQELIIEDAPCLERLLPLTPGYGPSTVQIIGAPKLQMTVLLSNGTSKLHIGNGTTVFQEMPAISVTTIMHTVKFLVIDSVGPDLDAVIGFLKCFPCLERLYIISHLRKGTKNARKYDPLDQIECLTLHLKSVVLQNYWGYKPDVDFAKFFILNAMVLEQMIFRTLNGCNDKWMSGQHRRLQMDNRASPDAHFEFKACHDESWRSFAADKHTHDLSVSNPFADCFENNFF >SECCE5Rv1G0370780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:843847024:843847236:-1 gene:SECCE5Rv1G0370780 transcript:SECCE5Rv1G0370780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLELLMSQGMRLWSYLTSSSAAAAGTGVALASSVTLASSRSQPQHRNREPPRNRANAAGRAREAEKQ >SECCE1Rv1G0057470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693525734:693526954:1 gene:SECCE1Rv1G0057470 transcript:SECCE1Rv1G0057470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKPHLQPAPSWSALPLDLAGLVLSLLPEYADRARFAAVCPQWRAAARQQPQTPPLPLLALPDGTFYSLLSDKPFRFPGCGFAGYESVCGSWLVFPRDDGCFLVNPFSGATVTLPALSSVRLRPPNALAKWSEHGSAKIAVPYITWMHINTSEKLHISKLILCSPNLVAALVGIGRTSQILMCQPGALSWSVRAYDQCKRFEDMAFYQGKLYAIADDENLLVVNICEDESTGDPQVSRIGQVIKGEPWYPAVFEDNTMRCKKLYLVESHGMLLMARRMILCRVPEPGVRGEVVAGLSGFEVFKADFEHSRWVKVSTVGADQVLFLGRRCSRAMSVSLCGLSGDCIFFLDDDEENCVDYCYDNENTSFCFFEMRSNGVYSADPIISWKRCDEMRLAAWLFPQDQ >SECCE3Rv1G0195080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:789341583:789344616:1 gene:SECCE3Rv1G0195080 transcript:SECCE3Rv1G0195080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAPLNADVDLPTNVTAQTHNATASKITNLVVCYSPMMITTNGIWQGVNPLEFSLPLFILQVAVIVITTRVLVLLLKPFRQPRVIAEILAGVVLGPSLMGQMDVWGNMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDLDVIRRSGKKALFVALAGMALPFCIGTATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLNTELGRIAMSAAIVNDMCAWILLALAIAITEVDSTVLSSLWVLLSGVVFVLICFYVVRPAMWWLIHRIPEGESISDMDVSLILAGVLLAGVCTDAIGIHSVFGAFIYGLVIPSGPLGVTLIEKLEDFVTGLLLPLFFAISGLRTNITKARDPVTVGLLVLVFVMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKQVLDDESFAVMVMVSVGMTALVTPIVTGLHKPARRLVGYKRRNLQRIRHDSELRMLACVHTTRNVPSVLSLLELSNPSKRSPIFIYALHLVELTGRASNMLAAAAASATTNNRSGSSALPATTEHIFNAFENYEMHTGGVSIQTLAAVSPYQTMHDDVSVLAEDKHVSLIVVPFHKQQTVDGGMEPINPSIRGFNESLLSTSPCSVAILVDRGLSSAAARMADEHRLVLFFFGGPDDREALAYAWRMVENPGVSLAIVRFLPPDYRERSFSSPTYRSAATADSRAINIGAEGKTELELDEEYLGEFRARNHVNGAITYADKTVANSEETVAAIRSMDSSTHEMYIVGRRPGEAGSPMTSALEDWMESPELGPIGDMLVSSDFSMGVSVLVVQQYVVAGAPVAAAAPAASVDPVRQYLSNANVHPATGLGGYQTIPASSAANSWSSGAVGF >SECCE2Rv1G0132560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:892823647:892824318:1 gene:SECCE2Rv1G0132560 transcript:SECCE2Rv1G0132560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIHKGGVRWFLLLAGVLLAAAAVGAEQEDGAMVTVVTEMEEEVGGRVQDQGNDMCDIECQHALHPAWKRRCVNECHRQELHQSYYKMKCQQVDHYHDPSRMELCVRQCMSYNLHFHVDSNNGVDHQSHAWEAEVGWHADDRSNDMCDIKCQHWQDPAGRRRCVNECHSQEHHHPKRAFCEMKCQHHYHDPSRMELCVHQCMSYGLNLHVGGNNRVDEHPTG >SECCE1Rv1G0031420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:442316291:442318107:1 gene:SECCE1Rv1G0031420 transcript:SECCE1Rv1G0031420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDLEDAFGAVFGEAKPEGHPTARPILFRAHARSAAALRVVATDCHSLAWDCSLSVSDLDDLRDDVGIGGSWADFLDYLKSSLSSGEVKLLFAADQLRKSTGSDGAKLVATKAKGLPRITISLHSVTGAATSDAIAEFSLALYGAYRTTRELASKEQEQMSQLMGSLSTEREKNEIMQKQLEALSFLDKRKATKPKLLADQVQSVSAVTLGSDQVTAPVPQQISVPSPSKAPPAKVTKRVAPTSRRARVRGALLQDNEDEDDN >SECCE1Rv1G0046440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:617298803:617302128:-1 gene:SECCE1Rv1G0046440 transcript:SECCE1Rv1G0046440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDTSLPVSGVRLEQPDLSEVPWETRLDLAERPCPLVVNPEQRALIEPAMKNSDKYMEEIREYWKMIDELNATLPEKDQFFTVSIQPRCPEPASFFTYYRLYALDNTNPTPLTSKRFTEPRKDYPALTMLQIFSFRYVGDFLGDQRMLVYGFIAIRDELDCLRNYIFNCPREQAHEITPDSRTLPLISPVRGNSILDGVVLEYSLKVKSNGSDNTEMDYVLADGCIEYTDHMILRGTTLKSRLFGKLGPVDFHYAFLRQGIEATVDIEIPMASAGWGLKAVTAFTSGLPDAIVLYDGSTNSSPAQSVFPISSVVTVQLGHELKLKFDITSMDTVRKGCARRFVPRKAASAQYERPDVVMDGEPEPKTYSRFLAFISQKCSFDSGKVSIGDEFKAEVTVTWSTMGPY >SECCE3Rv1G0155570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:67070463:67073646:1 gene:SECCE3Rv1G0155570 transcript:SECCE3Rv1G0155570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPTPPPPPTPSTRASCYSLRLRRRRAVAGLRRRQPRGEMKLMYYLMDGEGEEQRCRAEELRLEVSELEAVLAREERLSRVLRCSLQPGRLDAVAACRCCFSAHLVPAKIRGLLAELAIVEDEIFYLEKKVDDLRTRLLRERNWTHHCILQQQQQHRQRQQDWPPGRHSIGRRDLHGGEQLPRLPGPGGGGGGDGGGLERESKASAASVSSQGEETDQSRRSSHSFENLKPPERKICSSSPNKLSEELIKLTVTIFHKLSRTTNHADSELELSSSAPKLNITSCIGSSRSLAPKLSSSSSSSERAAPSPIRSAKSRAAAPPECGGDEGEPAGRCKRFVEFTRSSFDSSRVPLCLADIKNLRVLMNKLCTVDPSFLTNKQKLAFWLNIYNFCVMHAFLQHGLPPSPDKLLALLNQASVNVGGRVLSVLSIERLFLRHPPVEGNKQGMMMEEGERDMELCYGLGYPEPNVVFALCRGSRSSPPVRVYTAEEVSSELEAAKVEYLERCVRVAGARRKKTKSKAAAAAATIVLPKLLHWHMRCFADDVESLLEWVHSQLPRATRAPELKRAIRELLHRGRPPAPEKMVEIEPYDADFRYLLPLVS >SECCE6Rv1G0431190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745825597:745826491:-1 gene:SECCE6Rv1G0431190 transcript:SECCE6Rv1G0431190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVMVREVLYMYSVMRQAYERLVSVCGSPEQAQNMAALLVWLDQGTISAIHHVPAMAPEAVTVVAEEANAILKCLRHQLPLLPPIPLISTLCMQGSALIEPGFFAIHQDLVVHGVAHFLDGAGKFVFDDRLHVLLRKSETGLVGNPPELMAPYTPQLMAMPEDCRSMFITFSKGMPPHREEIFEYFREKWGDCTVRVLMEKTKGGHMPMYSRIIFKTEAFVKLVLNGERLVKISIGHRQIWLRKYVPRTTKATA >SECCE6Rv1G0385960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:87478660:87480043:-1 gene:SECCE6Rv1G0385960 transcript:SECCE6Rv1G0385960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVGAGIDGAAGTTKKKKRISDCLVDSDGGDEVAVDAAMPPSPPSPGTPRLRIPMFTCARLRFVRLGRKGGRKDQVAAEKSEAASTDSSAAGWKAASSGASSTETAGMGLSLLFLLAKTCVELNKMAEVRAQMETLLREMRDQLAVRTTKVGNTTASPCQCHASSGTRTDGQGAVATSSSSPEPARPRHFRRDKCAGRKRRDRPFYALTGNPLFDLDRELSGRAAASSSAMETASETSSEVEDPSSSMAMDVAGAQFQLNCHTEQGTSESSSDGESFIELEGGFGAGAGRGGYSARRGRDSEDGNEEAEEERGDEAVSAVELERRLQELLHRRSRERIEELEASLRRAERKVVEKEMEARLWKDTAKLALQPGTRGGTGQ >SECCE5Rv1G0310620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:171937652:171938329:1 gene:SECCE5Rv1G0310620 transcript:SECCE5Rv1G0310620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSSAFLLLHGLASASPSKASAFFASPISPSSPLSASTSISAPSPRRALPPAPRAASRGCDKDNPVQELRVPDSWLTPAGAAQESEWLRGTLHKWLDDEYCPEPANVDISNTAARSYHESLTAKQSDVGEILMKMVGDLQELSYQESFHGAFSAANAAVRLITQRMESSVAGD >SECCE6Rv1G0450170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:866747082:866748506:-1 gene:SECCE6Rv1G0450170 transcript:SECCE6Rv1G0450170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSSNLQLPAELPYDFVKKITNDFSKEREISESAFGKLYKGINPDDGTVIAVKKLQENAPMPAGKIFINEVQNIMVLKHPNIVKMVGFCSETTKKLVQFDHRYIQADVTESVLCYEYLPKGDLAKNLFGKLVIDWDTRFKIIKGICKGLRYLHKLDIPIIHMDLKPENILLDEDMVPKIADFALSRVFGEAQTRLCTQTVVGSYGYMAPEYLYRGEISAQSDIYSLGLLIIEITTGEKNCPENNQPSARTFIDNIQKNWTEDYITFKYKTLTPDCLHQVKRCIKIGLECVTIDRKGRPTIEKIIDTLNGIN >SECCE7Rv1G0501290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:681398116:681399265:1 gene:SECCE7Rv1G0501290 transcript:SECCE7Rv1G0501290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLAAARGCVLAAALLCVILPCHAKLSTRFYARTCPNVEAVVRSVMAPAVAAEPRMGASIIRLFFHDCFVNGCDASILLDDTPTFTGEKNAGANVNSVRGYEVIDAIKARVEASCKATVSCADIVALAARDAVNLLRGPTWNVPLGRKDSRTATQSAANANLPGPGSSAASLVSAFAAKGLSAREMTALSGAHTVGRARCLLFRGRIYGETNINATFAAARRRTCPQAGGDGNLAPFDDRTPDAFDNAYYTNLVARRGLLHSDQELFNGGPQDALVRKYSGNGKIFAGDFAKAMVKMGGLMPAAGTPTEVRLNCRKVN >SECCE5Rv1G0346820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:664182902:664184236:1 gene:SECCE5Rv1G0346820 transcript:SECCE5Rv1G0346820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGDNPRSISEKKAALRESPKQSKNDVNQQTRASTFPKDKVAGTVGIKRPQPNTPLTNQHTPGNPGANGHLVYVRRKLETDQSKGGASAGAESANSVSSKKPATGGPQEQSLKLQNSATHAQSAPVSASPAAAAATTNASHTPSAPVSAPPPPAAAAAVPALHPASLPAHLSLAKQSPGVVAATAPALHSPTLPAHLSLAKQSPGKATVHPSVAVTASPPHHSVVSTAMPQNFTAANTSPCHVVATSTAPRDAVATATTRNPTDSQRSGDQDWKARFLRLQAFLRNNEQSGQEEYIRMLQSLSSVGRSKHAIELEKRAVNLLIEEGKELQKMKSLNVLGKLPPAEHPSLPTQPTFGMRLPFQPFPARR >SECCE1Rv1G0028660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:405121972:405123318:1 gene:SECCE1Rv1G0028660 transcript:SECCE1Rv1G0028660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRRDADRKAPPPPAQQQPGREEEREWVPWIVPVFVAANVALFAVAMYTNNCPAHARGGRGGRKCVGAGFLRRFAFQPLSQNPLLGPSSATLQKLGALVWDKVVHEHQGWRLVTCIWLHAGVVHLLANMLSLVLVGLRLEQQFGFVRVGVIYLVSGVGGSVMSSLFIRDNISVGASGALFGLLGAMLSELFTNWTIYTNKAAALVTLLFVIAVNLAIGILPHVDNFAHIGGFLTGFLLGFVLLMRPHYGWAQRYVLPSSVKDVGRKFLAYQWALLAVASVLVVVGLAVGMAMLFRGVNGNEHCEWCRYLSCVPTARWSCGK >SECCE5Rv1G0334120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:564100675:564102999:1 gene:SECCE5Rv1G0334120 transcript:SECCE5Rv1G0334120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPFRPEEYSLKETTPHLGGAAAGDKLTTTYDLVEQMQYLYVRVVKAKELPAKDLTGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQASVVEIIVKDKDFVKDDYIGRVMFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPNDKTRFPEVYVKAMLGNQALRTRVSPGRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVIGRTVISLQHVARRLDHKLLNSQWYNLEKHVMVDGEQRKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKHNIGVLELGILTAQGLLPMKTKDGRGTTDPYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVHDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHSYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMTLYSQPLLPKMHYVHPLSVIQVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVARWFDQICHWRNPLTTILIHVLFVILVLYPELILPTIFLYLFLIGVWYYRWRSRQPPHMDTRLSHAETAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFIAAIVLYVTPFRVVVFLAGLYTLRHPRFRHKMPSVPLNFFRRLPARTDSML >SECCE6Rv1G0389500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:154029797:154039565:-1 gene:SECCE6Rv1G0389500 transcript:SECCE6Rv1G0389500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIG [Source:Projected from Arabidopsis thaliana (AT4G13350) UniProtKB/TrEMBL;Acc:A0A178V2M7] MASRVKEDEKNERVIRGLLKLPANKRCINCNNLGPQYVCTNFWTFVCTNCSGAHREFTHRVKSVSMAKFTAQEVTALQGGGNERAREIFFKEWDSQRNPYPDSSNTDKLRNFIKHVYVERRYTGERSSDRPPRGKDNKDEHSENRRSDGNRGGSRSPPYNESYSDRRSYSGRSDDRNSRHSYGERSPGYDQNDYKKSPRHFEVVDDRSGKTTPVQRFEDRRFSEPRKPETGSPNYQREANGSSPPVVRPVREILGDDAPQLRIGEPPKPNVARTIDPPKPNGTRTIEPPPQAQRLSTASSIGSSEGILEQTKAATPVSLIDFGADPEPTASAPPSQIAPTPQKQPVSAQPINTTAPQHVLEQGKSAPSVSGGDWASFDAFGQQQQTPQAGSTVNPLESVLAQLSFSKTPSASNTSAFSTSVDPQANGGQSSMIDPSHSSLFGPPVGISGNQVSTGMPVQGSAVHQSSVAAPMGGLPSQLPSNSQGTNGIQEATSSHDSKPSGRTALPVDFFTSLYPSATPAMAGWQRPPQSGMGFGMQYPAGMLQGMQSYPQAAFSQPTYQQPMYQQPTYQQPTYEQPVYQQNAYPQPAKASNPFDLGNEAAPIQAHMSLSGSLGASAGTTNPTLVGNSFGVPPQQPQQMYQPSVHQNHYMMQHVSNNMPEQLPNGMLPRQQGGAGSFGIGYDQQAAPRYSQPNTPPSYGAVGGNPFG >SECCEUnv1G0568370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:425405305:425406996:-1 gene:SECCEUnv1G0568370 transcript:SECCEUnv1G0568370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYSIQDNELWVRHAITVASQITVALYVFCKSWSGDKKLLQAAILMFVVGIIRSCQKPWALQSASISAMVAYLSPSALRKQGMVARFWQVCTSGYSYGSKSSGLPEAAAEEKDIYLQEFAQEARKCVLESELAHDQDATVKLAQHCLVDKYVYRLLADIPVPYSSRIKILREFIALDFRHAHHILDMMLLSSFEHFYTRKTMLNSGLGLCSYLLLPFLALASVILFSIGHKYYHEYNATDVKVTYILFSCTTLLEVIILLLDPFISFTESVKVSQHSLLLCGYRAKRPTVLMKLATLVSCKDYVNMHCYIEQAPPGCSALILQFVHGYVRDGWKDYIHNAASFRNFNGRKGHWTLRKRSLPHLRWSLNMAFDRSVLLWHITTDLLCYDYTTAGNIPECATGSAVISRYMAYLLCIHPEMLMPGTRNNIFNVACHNVELILGDKLPLGNLVHNAVRLQKELLELQDEGERWEVVQGVWVEMLCYSACKCRGYLHAQKMSDGVELLSRVWLLLSNMGMETFADRFQKPWHVMIRKEAPNDNKEEEGHEDGADGDVNNEINISIV >SECCE5Rv1G0338060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:596056864:596057415:1 gene:SECCE5Rv1G0338060 transcript:SECCE5Rv1G0338060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKTTEILKKAAAMCKSKTARLLILASLQRRRMASGPMVSKKIHALTLADRERVDYPKAFALGTIEKRPVIVHGGDFTANLSHQLEMLGQVDGHGGCQADWSLHPLFNDDHVNCCYSTDDDDADVLLDACDQDEDDELSVLDVIRSKREVEGLEFNMEEEIDQAADMFIKRFRQRLNNGFC >SECCE5Rv1G0314340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:269886966:269899335:1 gene:SECCE5Rv1G0314340 transcript:SECCE5Rv1G0314340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDAPVPTLRPEERAGLLALLASAARPLADVVADFLARFPRERRLRVGATLCFLLEDKKMLHPTGRLIAFAILHQSYSSQPVNPYVPLLLNAACDESSDRSERAFVQLLLTSASGDNNNEVLQKSAVDYANGSVSASQALLPREHLEKQFCGSIVQPQPQASSFRSATVKCAIPDPDILQSCANSSEISAPGSKQKSTSDDRDSALVALLQEKSWGRLGPQWIRPNPPRLHILDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLVPAQQEQVVIELVKDSKLVYHCGMTPQKLPDLVEHNPLIAVELLSKLMNSPDIAGYFDVLVHMEMSLHSMEVVNRLTTAVELPTGFVHEYISNCIQSCQNIKDKYMQNRLVRLVCVFLQSLIRNQIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >SECCE7Rv1G0471510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:131377266:131381687:-1 gene:SECCE7Rv1G0471510 transcript:SECCE7Rv1G0471510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFSCHTARMGALTLAVLLALLRACAAGNPPFSCGQGAPTQGLAFCNPGLPPAQRAADLVARLSLAEKVSQLGDEAPGVPRLGVPPYKWWSEGLHGLAFWGHGMHFDGAVRAITSFPQVLLTAAAFDEQLWYLIGQAIGTEARALYNLGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPTTASKYAVAFVRGLQGTSPAVLQTSACCKHASAYDLEAWNGAIRYNFNARVTAQDMADTFNPPFKSCVEDGRASCVMCAYTTINGVPACASSDLLSRTFKGDWGLNGYVSSDCDAVALMHDAQFYRPSPEETVAVALKAGLDLNCGNYTQVHGMAAVQQGRMTEQDVDRALRNLFAVRMRLGHFDGDPRGSALYGGLGAKDVCSPAHKNLALQAATSGIVLLKNDAGILPLRRGAVASAAVIGHNANDPGALNGNYFGPPCETTTPLQGLQGYLQNVRFLAGCDSAACGFAATGQAVGLAASSDYVFMFMGLSQAQEQEGLDRTSLLLPGKQQSLITAVANAAKRPVILVLLTGGPVDVTFAKFNPKIGAILWAGYPGQAGGLAIARVLFGDHNPSGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGNAVYKFGYGLSYSKFSRQLVVSGNKAPNMNLLAGLAATPTADGGASYAVEEIGADGCEQLKFPAVVEVQNHGPLDGKHSVLMFLRWPNATGGRPVSQLIGFRTQHLKAGEKASLRFDVSPCEHFSRAREDGKKVIDGGSHFLRVDKDEHEISFES >SECCE4Rv1G0225200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:89920596:89921780:1 gene:SECCE4Rv1G0225200 transcript:SECCE4Rv1G0225200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFRGKIWAALGSLMDHAGAASNKASTAAVPDRALLTDIEAAIARCTDGGGGGSVGDDRHVHEILFLVSNAPGAITFLSRRITARLEAARTPATALRSLLLVHRLLRAGDRYFEQDFRGLWASHDLRVDAPRCGCSCSPLAASGAGVNYVTASTVTATGACSFLHGYTAYLEERMQWVINQSGNLEPTRPPQQDHDDKPHPASSYDAIAAEALLFKLTMCQRLLDVAVQLLPDNNTSASAAARAAFGIVLRESFKVYDAFNEGIDVLLRSRSVGRLSKSLRVSAREVLRKACAQTPELKEFYHKCKKSNVGKITEYPVVRVVTPAQASAVELMPPACEEDGREELGGAGGVAQENESDAPFESKLETTISAVWVEFDEDHQAGREGTGDDHSS >SECCE2Rv1G0141970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:937902654:937911861:-1 gene:SECCE2Rv1G0141970 transcript:SECCE2Rv1G0141970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1- and CUL4-associated factor homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G31160) UniProtKB/Swiss-Prot;Acc:Q9M086] MDPEQPAADPAAAAAEPEEEEEEEEEEETEEEETEAADPEKEAAEDALLDRAQALISRVVEQEANPNPRLIHTLATMCEDQEARHLQECASDPSFNNTNTRSSHTIGKLASLIRENDDFYDLVFSKFLSDTSYPLPVRCASARLLLSIQDAFSPQFSHAFEDATLENIKSWIKEDGEASDGCDWKHLGSGKKPTDTEMLRTYAIGLLSMALYGGGRLVEDVLNMGVSAKLMRFLRIRVFGDTTSPEKDANLPLDIKHPRGRDENRGKVRLLQDSSILDGTRAGDGISTDPTLEKQFDRDVWMRQAHGEQGMDDTGYLQRDDADSTLDPFDAKSVDGEKYPAGESLRDELLKRKFSRTGSRLRGKSKPGECLPESERTPLSPTGLRMGSRASKDKNAAKVEDPKKAIDLNNSSAAPESDILIISKEEYEDRFRDCIIGLKDITDIVLKAVRAAEAEARSANAPEEAVKAAGDAAAELVKSAALEVWKSENSGDAVVLAAEKAAATVVDAAMSTSVSRSSDQVNEEHVVEEAVKISEDQDLEDFVIVDQEQLLLLREKYSILCLQYLGEYVEALGPVLHEKGVDVCLALLQRGIKDQEGCGHVSLLHEILRLICALAAHRKFAALFVDRGGIQKILSVPKITQTYTALSACLFTFGSLQSTMERVCALSPDTLSNVVELALQLLECPQDAARKNAAIFFAAAFVFKAVLDSFDAQDGMQKLLNILQVAASVRSGGNSGALGSSNANQGNDRSHAEVLTQSEKQVAYHSCVALRQYFRAHLLQLVDSIRPSKSIRSIARNTSSARAGYKPFDIGNEAMDAIFRQVQRDRKLGPALVRARWPVMDKFLASNGHIILLELCQSLPADRYLHDLAQYAFGVLHIITLMPHSRKLMVHATLTNNRIGMAVILEIANSVVGYVDPEVICPALNVLVNLVCPPPSISNKPSSAVNQQPAGSYSESRDRNAEKNTSERNAAANQSESRERYGDGTPVVSSGVVGDKRISLGVGAGGPGLAAQLEQAYRQAREVVRANNGIKILLQLLGTRMVTHPMAIDAIRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDTSTQSSGGDNARWLNELTQVAIELIAVLTNSGKETTLATDAAAPALKRIERAGIAAATPVSYHSRELMQLIHEHLLGSGLAATAAMLQKEADLAPLPSTAAVLPVHQPAALEPSSVQVQQQWPSGRVQGFLSDKTMIAADQAGQRSDSVVPSSKKKALVFSSSFSKRNQPSVSFSGNRASNSLKSPVPAGNVDSMTCSASAANTGDVETSHKTPMPLPLKRKLVDTDFSSASAAKRPAIIDQASQSPVFQTPAPTRRGLSVAVDSPTAAFHPGRTNFNHISTENFEDSQCTPGVVTGTPHLGANDQQTGTLERMTLDSLVVQYLKHQHRQCPAPITTLPPVSLLHPHVCPEPSRSISAPANVTARMGSREISREFSGIKVPRRDRQFIYSRFKPCRVCRDEASLLTCMTFIGGASRVAAGNHSGELRIFDSNLANLIETHTCHQNLVTMVDSTSIGGTELILSSSIDEVKLFDAFSLHTGPLHTFDNCKAARFNHAGTLFACLSTDANHRAVLLYDVQTYNVDMQLPDNSSLPGSGRGVQPIIHFSPSDDMLLWNGVLWDRRSPTPIHQFDQFTDYCGGGFHPAGNEVILNSEVWDLRKFKLLRSVPSLDQTVIKFNGSGDVIYAILRRNLEDVTSSIQTRRVRHPLFPAFRTIDAVTYADIATVQIDRGVLDLATEPNDSLLGVVAMDDPDEMFSSARIFEVGRKRPTDDDSDPEDGGDSEEDDDDDDDSDVDVLLGTDLGLGDTDSEDDPSNSSGDDGGDDDDEEDMDSGDENDDDDEEGDFDVGGGLLEMMGGGDGDESGDMIESFSSGEDEGWLM >SECCE5Rv1G0334180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:564961998:564963833:-1 gene:SECCE5Rv1G0334180 transcript:SECCE5Rv1G0334180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLALLATEFGDSSDFEVDGINENDVSDEEIDPEELARRMWKDRVRLRRIKERQQKLALQQAELELEKSKPKQISDQAMRKKMARAHDGILKYMLKLMEVCNARGFVYGIIPEKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYEAEHLVDADAQSSAVKNEHSLMDLQDATLGSLLSSLMQHCNPPQRKYPLEKGTPPPWWPAGNEEWWAALGLPRGQFAPYKKPHDLKKVWKVGVLTCVIKHMSPNFDKIRNHVRKSKILQDKMTAKETLIWLGVLQREERLVHGIDNGVSEITHRSALEDRNGTRNAHSSSNEYDVDGFEEAPLSISSKDDEQGLSPAAQSSEEQVSRRGRERANTKHLYQAVVHKEGTKKQPPKRKRARHSSVAVEQEIQRTGDAPENPGNLIPDMNRLDQVEIPGMANQITSFNQMAVTSEALQQRGNSQGHVYLPGAGVNSFDNAQAVDAIPVSIYQPVLYGSSDDSRSKSGNPFPLHANSGFNSFPNNYQTLPPKQSVPLPMMDHHVVPMGIRAPADNSPYGDHVIGGGSSTSVPGDMQHLIDFPFYGEQDKFVGSSFEGLPLDYISMSSPIPDIDDLLLHDDDLMEYLGT >SECCE7Rv1G0503760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:715859796:715862852:1 gene:SECCE7Rv1G0503760 transcript:SECCE7Rv1G0503760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MGKRPRSTRPPPAAGLHKSKRVSEAAAAAISDSDDDEIDAFHKQRDVIPLDVDDSRDSEEDALVMPIYDVEGVSDDESDDSEGGEDGDGSEGGKDGDAHAGDIEVWDKSYTAKIKRAQRAAKQAAGDDGSAEEDEEPEDDPKNWGTGKKTYYDDHEQDADDVEFDELRRLQAENKLSMKDFGLEDDESGEEDNKPSKASGHQVKLADEASPLESYTKLREDFAVLSRDEKMDVVYSSAPELVGLLADLNDAQEQLKAIGPVTGELAAGQAKNKGRMQPLEVKRACLLAHCQAITFYLLMRAEGLSVQDHPVIARLVETKNMVQKLANINLPSQDGDTEDHFMPDSSTLDEVNKAVSLENEGKSRNLLALDKVKQGAEVAELRKNKPSKGHHEIASKDEYMGLQSKEMLKRRAILEEGLKQKGLCKLKPKLSKTMTTNSRKSLQTLDDFDDEVQKNSQVVKPTKLLVNAAGSVRNKLISGDDDIPKRDEIGERRRKHELRVLARIGTNSREDDELPEDGDHTEEKLSQSSEEDGDDHESSGSEDEFYKDIKRQRTEKLLGKEQSTPATELEEESEGDGKRKISRQIEKNRGLTRSRNKKLKNPRKKYRIKSDKQSKRRQGQVRSAKKPSGPYGGELSGINANVSRSVRFKS >SECCE5Rv1G0316570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:324644343:324644816:-1 gene:SECCE5Rv1G0316570 transcript:SECCE5Rv1G0316570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLLSRPPMPTPVRAAATAADGDLLELDVLWPASSAPGLLAALPDDEAKKKQKRAGGPAVRSAARAVPEKAALTPSSAARSAPVRIPSDAAARRGRWPHVVGGGDDGDAMVPPHEIVARRAAAHSSVLEGAGRTLKGRDLRRVRNTVLRRTGFLD >SECCE1Rv1G0060570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710564210:710567416:-1 gene:SECCE1Rv1G0060570 transcript:SECCE1Rv1G0060570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMQFSSVLPLEGKACVSPVRREGSACERLKVGDSSSIRHDRASRRMCNGGRGPAATGAQCVLTSDASPADTLVLRTSFRRNYADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFRGTADAVRKFIWVLEDYYKHKSIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASEYGLVKFDSSGRVIQFSEKPKGDDLEAMKVDTSFLNFAIDDPAKYPYIASMGVYVFKRDVLLNLLKSRYAELHDFGSEILPRALHDHNVQAYVFTDYWEDIGTIRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKEAIISHGCFLRECKIEHSIIGVRSRLNSGSELKNAMMMGADSYETEDEISMLMSEGKVPIGIGENTKISNCIIDMNARIGRDVVISNKEGVQEADRPEEGYYIRSGIVVIQKNATIKDGTVV >SECCE6Rv1G0435880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:773394368:773394770:1 gene:SECCE6Rv1G0435880 transcript:SECCE6Rv1G0435880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIAGAMVMYVLILALLAYSDARRCTQHPAKDPDCIGRIDRNCVKCCNAEGYRHGVCAPTCKCSHCGPESRPDERAKKQ >SECCE2Rv1G0101900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:571243190:571244862:-1 gene:SECCE2Rv1G0101900 transcript:SECCE2Rv1G0101900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIFFQLLLVSLVAVALQQLLKLAKKPSLRMPPGPWKLPIIGSMHRVMNVLPHRALRDLANVHGPLMMLQLGETPLVVASSKEMAREVLKTHDSNLGSRPKPLVGEIVGYNSNNLIYSPSGDSCTRTRKLYTTELLCTRRVLSFRHVREEEVMVQVEQIRKAGPLTPVNLSLILHELTTAMLLRAAWGKTRIKNMPELLAGVRTGIALSSGFNLPDLFPKWRSVLAAVTGMKRTLESLHKTIDSVFEEVIEERTCARAENVKAGVENVDENLVDVLIGMQEKGDSVFRIDKPRIKAFLLDTLAAGTGTSGSAMEWTMSELIQNPKVMAKLQEQIRKAFRGKAVVTEGDMQAANIRYLKLVMKEALRLHPPAPLLVGRESIEACEVDGYMIPAKSRVLVNVWAIGQDPKYWDAPEEFKPERFEKGDIDFMGSHYEFTPFGAGRRMCPGISYGLASMELTLVSLLYHFDWSLPEGVNELDMGEAPGLGVRRRSPLLLRATPVFPVGYAG >SECCE1Rv1G0038770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:542621223:542622058:-1 gene:SECCE1Rv1G0038770 transcript:SECCE1Rv1G0038770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEHTNKGAWTKEEDQRLIAYIRANGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDEDELIIRLHSLLGNKWSLIAGQLPGRTDNEIKNYWNTHIKRKLLSRGMDPHTHRPLTAVDGGAASRPAHIAVPARAAPPTMFALPAKQPPTVESSSSDGSSGATSTGEPRCPDLNLDLSVGPPAADTPTSHPVCLCRHLGIRGGEACSCRRADSPGSQGGGFRYFRPLEEGQYI >SECCE3Rv1G0149390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:24613662:24614351:-1 gene:SECCE3Rv1G0149390 transcript:SECCE3Rv1G0149390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVDTLAGETVSLKVDPSDPICLVKAKIQDKQHLTFKGEQLDDGRTLADYGVRDGSALGLRLHPLHEKMQIHVVETLTGRVMNLAVTTSDTVDDVKAKIHNWHGFPKDQQRLIFANRQLDGDEKESSTLADLNIRNDATLLLILHSRCPRGMMTIYVKTLQQNFYNLEVMSDDTVYNVKEKIFATEGIPPCQQNLIFEGKSMKDDRTLARYNIQMYEVLYFVLNLRG >SECCE6Rv1G0451010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:870699422:870700646:-1 gene:SECCE6Rv1G0451010 transcript:SECCE6Rv1G0451010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWSTGLFGCCDDFSSCCLTCFCPWVAFGRIAHIVDRGASSCCVRGTAYMLLAWVGLGCLCSCCYRSRMREQYRLKEKPCADCCVHFFCDPCAICQEYRELKSRGFDTSLVWLQSTLICRVDRNEGSTKLSPTHNFNKSCPTKKGGPF >SECCE5Rv1G0327600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:493741016:493745399:1 gene:SECCE5Rv1G0327600 transcript:SECCE5Rv1G0327600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVSSTTVQGNSGGGERNASGGATMASSPQQGQGQGPVQGGGGVCPAEQFWSLLDKADRRFARVRDLPLFGRQEPAEYGKAFRIYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYSQYQRTSDTALLSEAFVFYHAVLDRAYFLDDHLGASTKHLRFLARFLLVALILSRRAQTVPRLAGQIRTLLDESKKTLQEADYREWKHVVQEITRFLKADSPFMNKRPLRYSYAFDPPPDTLPTIPPTVKKRGLLLSDTILCSYYHNEVKFTDLTLDTFRMLQCLEWEPCGSFAQNNGYSAHDESGQNHPNLLKDLRDAALPPNPLKTVLYRPSVPHFLKVLATKCEELPLNTMMLIYLSAAGEVRSSGLGPDTSERVVNSFSQFDISNTRAVSSKEDNEPCLWLGCREGEGSNCIYPCDLIPFTRRPLFLVIDSNISYAFKSIHGAEKGETAAMLLSPSSRSCAVGFSGESTRQSGSQFTMFLTAPLQAFCFLIGNNGLDIIDKDYNKAEELLSLSLNEWVMTLVASSSLDPVWVEVLGDPLLRRLLLRFVFCRATLSLFKASNDKAECLPSCVPPLPESVGGESMLSQCCVMRVASFLGASDQFSFAEVTTWPDIDEATSSGGADKDF >SECCE5Rv1G0322490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:421192736:421193557:1 gene:SECCE5Rv1G0322490 transcript:SECCE5Rv1G0322490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKLRDEAGPLLRAKLPVELFSVPAVASLTAGDPADLCLSLATAVPALPSLRLSYAPNRPAGSSPLSASLVLGYGPGGCPSVPGASAITMVVEVSAAGALSFSLALKPSLGDFAVRKRFYSDGAGGGMTASEVTMRSVVPVRGGAAAARVRWGVRIPAEMTAGVEAGAAGVMLRRLPFLVLGKVTVESRAGKPLPGPEVAQQEEEAVEKVRRENEKLRREMEGLRATAGQMREKETAALAGAGRSGGGRSPGMSTKRDGADVGPQVNPAS >SECCE3Rv1G0208920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:935543713:935545307:1 gene:SECCE3Rv1G0208920 transcript:SECCE3Rv1G0208920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGASGTPQAVGLVLVLSLIVIYLATSSSRAEKLLRKLPSPPFKLPVIGHLHLVGSLPHVSLRDLARKHGPDVMLLRLGVIPTLLVSSPRAAKAVLRTYDHLLASRPHSPVGDILFNGSTNVSFAPYGDYWRQTRKIVTTHLLSANKVLSNRAARETEVRLVLARLTAAAAASLPVDVSELLGNFANDVVCQAVLGRLPREAGRNKMFRELLQVDSKLLGGFILTDFFPSLARLDMVVSRKAANQKKIWDDLLEDLIDRHQRETVKDEEDFIDVLLRVQQEYGLTKDDIKAILMEMFEAGTHPTYISLDYAMAELMRNPRVMTKLQAEVRGCATKRREMMVTEEDLESMSYLKAVMKETMRLHGALLIPHLSVADCDLEGYTIPSGTRVIVNAWALGRDPTSWENAEEFLPERFMEHAMDAACDLQGNDFRFLPFGSGRRICPGINFAAVTFETILANLLYHFNWELPEGSPGVDMTEEYGIDVERKVKLLLIPRAAQDL >SECCE5Rv1G0326830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:486775732:486780081:-1 gene:SECCE5Rv1G0326830 transcript:SECCE5Rv1G0326830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVDVFLGSYSSSAPVDWEAEAYPEYSDFTVLPQLVAFFPTLRFLLDQFAFDLLKQMKRERKINKFKESAWKFVYFLSAEFFSLSVTYNEPWFTNTRYFWVGPGEQLWPDQKMKLKLKAVYMYAAGFYIYSVFALMFWETRRKDFGISISHHVATVVLIAVSYICRITRAGSVILAIHDASDIFLEIGKMAKYSSCEWLAIVAFLLFVASWILLRLIVFPFWVLRSISYEVPMILDKDNKIMSLYYYVCNSLCFTLLVFHIYWWVLIYRMLVNQIQSRGHVGDDVRSDSEGENDHED >SECCE3Rv1G0150050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30286560:30287073:-1 gene:SECCE3Rv1G0150050 transcript:SECCE3Rv1G0150050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVASILLVLSLGTALVVASRPAGASGEMAAIRLPSDGQGLGADASTLGAMEKILEEDERPWACCDDTLCLRVLPRACLCRDTVAQCARACQHCDQVSPGRYVCLDIHEGWPGPKCTQQVDVAGAGN >SECCE6Rv1G0411570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:608447469:608449706:-1 gene:SECCE6Rv1G0411570 transcript:SECCE6Rv1G0411570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAENKRPRGSGGGGVERPPSRKEILGRKKAIRELIRKAVAVKDHLAQFPDFHKYERSGISIYLESGHGNRLPVPTKKYIQNLLKVNMEGPYGSEWPSEEKIKRREMVAPEARYILICQYADSDIAKCFVTQDSEVECTHVTRRGGRLLGFVHYRFVVEEDLPVLYVYELQLESSAQGKGLGKFLMQLIELIACKSRMGAVMLTVQKSNTDAMAFYSNLGYVISSTSPSRVDPLIGIHRSYEIFCKTFESEAKCKLEEGN >SECCE5Rv1G0298480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11278952:11282652:-1 gene:SECCE5Rv1G0298480 transcript:SECCE5Rv1G0298480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKDGRRRSPDTEMEARKKKKKTKRSLAVAEDRTVMFASFESDEKAKLDRVYDADVAESSSEESSYPPSPLLHRPYIPDHLAHRPDIRAAFKHAKAKYQADIARRFVFTLDRCSSVSCMSDQPHLLHIREPAKDAVLFAANSIITLSSYLDDEPMNRCCGLWIQQDNKKNSAVVLTSAHLIRAKDPGQWMGEWTGEYHREAEVIVHLLDDTTAVASLLYLQEHYEFALYEVVVNKPVQLSTFNDNVHSGQDVFRLGRDENLDLRITHGRVEYMIPTPYERCHYMYFSNNEHSLRDDGGPVIDLEGKVVGMVNNQINETFLPSSILHKCLDSWRKLKCIPRPHLGMTFTSIKLLDPICIERMRRKHNIESGLIVEEVSKESNAEKLGIRKGDIIERFNGEYISSTVELEKMLLDIGNDHFEQAKRLNAEIDVQIQIFRATKLCRRTRNLIVIISDCGEDIIEGTYPITTSLPR >SECCE5Rv1G0340460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:614962786:614963463:-1 gene:SECCE5Rv1G0340460 transcript:SECCE5Rv1G0340460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIAAWPRFDGQEYRTVWPDEQEYRTVWSEPPKRRAGRNKLQETRHPVYRGVRRRGREGQWVCELRVPAGSRSYSRIWLGTFASAQMAARAHDSAALALSGRDACLNFADSAWRMMPVHAAGSFKLAAAQEIKDAVAVALEAFQEQQRPADVSTATSSTAEESALSIIPSDLSGLDSEHWIGGMEAGSYYASLAQAMLMEPPTDGAWREDREHDDGFDTSLWSY >SECCE5Rv1G0337770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:594110103:594115632:1 gene:SECCE5Rv1G0337770 transcript:SECCE5Rv1G0337770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRHRDHSTAAKAADELLAAAQDMADMRNCYDNLLAVAAAIANSSYEFSEALQEMGTCLLKRVTPTKDGINDKVLLLLGKSQFELRKLVDSYRVHVLTTITTPSQSLLNELQTVEEMKRQCDEKRELFEVLLNAQKEKGRSKNSKGDPAASEQLKQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGVKSLEAVEPHVRLAAEQQHIDHQFSALEDEDYSVEDENDDDYNDSHDGELSFDYGESKETAEAGHASRSPTEEFFDRSKGDYSSFPGERQRPVSQSAPLFPEKKLETAERVKELRRSATRKLHTYVLPTPNDVQATSQTVAGNPTSGSPIESKSVFHSSPLNPSTHMGDLRDNKLPSPARLSNAQSVLKESNTNTAEIRTMLPAVDPALPGYNNLKTSSDNKKVKRGSFSGPIPLRSRSTENIDAAAARHSSAHQPTIHVRVSPSSSPPPISSPRIKELHELPRPPTGASRNTAFSSLVAHSAPLVPNSAPLASRGHSGQDHFNFRARQTPPSAPQTASPLPTPPGPISRSFSIPSRGIRTGISDGKETEDHQDKGAARMSLSSLPSTQTFLEDRQPLPAAAESVSRT >SECCE1Rv1G0040970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:567827928:567829325:-1 gene:SECCE1Rv1G0040970 transcript:SECCE1Rv1G0040970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEEAGGAAGTVRTVSRRLVRPSIGDAPPREDIHLTPWDLRLISVDYIQKGLLLPAPPAGGDRLVGTLASSLARALGRYYHLAGRLAVEERGDGTVNVRLRCTGEGAELVHATAPGVAVADIAGSLYTPSSVVWALFPLNGVLGADAAVDSLPVLSAQVTELADGVFIGVSLNHSVGDGFVFWELFNTWSEINRGGGGAISDLSEISARVHRRWFIDASPMPIPIPFSKLQHIIRRFDPPIMQECFFTFSAANVRELKARANDEMAGAATATISSLQALLAHLWRAVSRARCLPPGQETSYTLAVGCRGRVSGIQPGYMGNALVPAKASCTVGEVLGKGLGWTAWQLNRAVASFDEEAVREWLASWTREPQFRYFGSLMSGGAALITGSSPWFDVFGNNFGWGKPAAVRSGREGKMDGKATVYQGPERGGSMSLEVYISPDAMERLVADQEFMDAVTMLPAKHG >SECCE5Rv1G0308850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:140136950:140140661:-1 gene:SECCE5Rv1G0308850 transcript:SECCE5Rv1G0308850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDAFERLQAESRLRDALMRLEEAEETDDDEERGADEEELECPFCGEEFDGVGLCLHIEDEHSVETKAGVCTICTDRVGMDLVGHMTSEHPSFFKGRWRNQRVSSGSPSSMYSALKKDAAHIQYRYGGSSRATSLNTVPDPLLSSFVGSFIDDDVDLPKDAQEELLEKVIEKSDVLEQKSEESAEEPLLPEVKEERTRRSQFVQGLVLSLMFDEIL >SECCE3Rv1G0179220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:532655290:532705242:1 gene:SECCE3Rv1G0179220 transcript:SECCE3Rv1G0179220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEREAALLARVAANHLFLAQFEPMRAALLSLRRRTDPDLAADFLRAVVASGGRVPGVLWSALPACPSSSHLAWLAALELAALPPTPNPESLRLKAEFLILLQPIADDPATGADARGTLVKLLDLGVARLKREVDGHGEPVQEVPVTEEDLRGLWGVVLDNAELFDALCAGVSRQIGLDSGFGLNVLLWLRRSVQLAHLDAMKALVMATDVESATGHIRFLCLENGVEEDSYKVVLGDLVKKGWEKSSNYFGKWFESRNRIIKIYGEALQSSSPQLVQLIQIILDDILSEEFEDHSISDAHWMPLPFKKFLETLWLGRDSDSDDRTILTEAIVSCKKDLYHYSRLSGKHVLEVIMETALSLIKREQLQEAVNVVSLFPLLQPLVAVLGWDILKGKTELRRKLMQLFWTSKSQALRLQEYSHYRAQTDETSCEEYLCDLLCFHLDLASFVSSVNSGHPWNLRNSLLFSQQEQDSVVNAETLDPFVENMILERLAVQTPMRVLFDVVPGIKFQDAIELVGMQPLSSTTAASKRMHDIELMHMRYALQSVALSLGEMEKCAGDGNEHHYHIALSYLKEMQNFMEAIESTPRKIFMVSIVLSLLHMDDSIKLPQAAPPEWSVNHDCCDSNTESEGKNMVISFVGLLLDILRHNVLFKGPDMDQLPSTGLSPAGKQALEWRLKHASHSIEDMDWRLSVLQRLPPLSGRQWSWKEALVVLRAAPSKLLNVCMQRANYGIGEEAVQRFSLPAEDKASLELAEWVAGAYRRALVEDALNRATDNPNVARESDILSFRAQLGPLTTILLCIDVAATSARSGDMCRFLLDEATSLLSEIFPGTSPKIGPTYWDQIQEVAIILVIKRILQRLSGILDLEGRPYLQVVFTEVSASLLTESSRVGQKQRPLGLLHQMIDDAFRGKRQFLNGKLHNVARAIVDEDSDKTYSKDGTKSEKKDALISEKGTVLGHGLRILKQASKTDPTASSVPESSSDHKGSTNRYLGHVSTKLSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYERPKDLLTRLVFEHGSTDAAAKVADTMGVDFVHEIISACVPPVLPPRTGQGWACIPILTTVSNIISGNSSTVPKSLPPDQGWSPHDSLLSSRRDPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDSESSPTYVKDAMQTTEVERSFYEFALEQSERYPTLNRWIQMQSNLHRVSESAVAVKTENEVALHQSKGKFSIKRAREPDSDAESELEDVVINGNAASSTLESPKHDDAKLEPTAFISFDWENEGPYEKAVERLINEGKLTDALAVSDRCLRNGASDKLLRLLIDQREERSLGTGQFRPYGSRNLGSNTWQYCLRLRDKKLAAQLALKYLHSWNLDAATNVLTMCICHLPENDPMRSKVLHMKQSLQRYGHIVSADDHYTRWQEVEGDCEDDPEGLALRLAAKGAVSAALQVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGNLSDAEIARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLESASLVLKEFPSLRDDKLIIAYAKKAISVNIDSTLREPRQTISAAREKQKKAAIPAKTNFVQSFGNFQREARKAFSWVPRDSGTKTLPKDIPRKRKSSGSGGDKSSWEAMPGVQEEQTPVYPSEGQDRLPFVSAPEEWVLTGEPDRDNTTRSCHRYESSPDIALFKALLSLCTDESVAGKGALELCVTQMKVVLSSLQLPLNASMDNVARAYHATETYVQAISYAKNLLKKLTGSSDLSSGSERSRDADDVSVDAGSSSTGVQHQDELSDLLAQADMWLGRAELLQSLLGSGIIASLDDIAGKESSTSLRDRLVSDERYSMAVYTSKKCKIDAFPVWVAWGHALVRMEHYAQARVKFKQALQQFKGDAPPVVLDIINTIEGGPPVDVSSVRSMYEHVAKSAATIFDDSLSADSYLNVLYMPSTFPRSERSRQSRDSLDSQFSSASSYLEDGPRSNLDSVRYAECIHYLQEYARPQMLAFMFRHGHYAEACSLFFPSSEPTAEGETSLSSVPRSDPLTTDYGTIDDLCDLCLGYGAMSVLEDTILAITQSPTYHDTTVIQYMNTVLTRICNYCEMHRHYNYLYNFLVLKGDHVASGLCCIQLFMNSMSQEESLKHLGHAKTHFEEALSVRDRTIEATKLVSRTARNKSASEKLTREMIMKFSTRVSYQMDVVKALNSVDGPQWKTSLFGNPTDPETLRRRCMVVETLAEKHFDLAFRMLHEFDLPVVDVYAGVAASLAERKKGGQLTEFLKNIRGTIEDDEWDQVLGAAINVYANKHKERPDRLIDMLISNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >SECCE1Rv1G0038040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:534694892:534697554:1 gene:SECCE1Rv1G0038040 transcript:SECCE1Rv1G0038040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALNMKAGGPCITPILPAPAARAGKPFAAGGKSGPWSAWGRRGPAVRPLVAVRASGRRGEGGAAGGGGDEEAESKASSFGSGDASVPTGDSSDGLNEPPVESKSTEPINISNSNYWRDVRANLVRREQELLVDPSVPAESKVSSGEAAHQLPQKWAHPITMPEAGCVLVATEVLDDDSVFERTVILLLRLGSRGTFDGPFGVILNRPLYTKIKNVNPSSFQDQTTPFGECALFFGGPVDMSMFLVRTKDSSRLKGFEEVIPGICFGFRTELEKAGVLMKSGAIRTEDLRFFVGHAAWDYEQLLSEIRAGYWAVASCSTELISDAVTTDPSCLWTEILQLMGGHYSELSQKPKQDSS >SECCE6Rv1G0406140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:541297303:541302140:1 gene:SECCE6Rv1G0406140 transcript:SECCE6Rv1G0406140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKVGAAVLSAGAALAASSQRVDAEGGSGFRFPGFSSPAPAPAPAAGPGAPPPAAPAAEEAPPKPRNDNPRTTAAGFDPDALERAVQLLRDFESRPDADVKKSFAHANKREETRQAEFAARKADYLKEAAQIELERTRVEYEEKKKLAQSQAEIKAQVARYEDELRRKRAQNEHEAQRARNQELVNMQEQSAIKLEQLRRQSEEEINELRRRTEKEKARIEQETMRLQKMAAAEANALELQLSEDVKRRLLIERANAEREKWVQAINTTFEHIGGGLRTILTDQNKLVVAVGGATALAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGVPSRAMSTVTSKLKNGSNLGKDGKGFGDVILNPSLQKRVNQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARESGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERSKLLKLYLDKYIVKAGEKRKGLFSFFRRQPQRIAVKGITDELIREAASKTDGFSGREIAKLMASVQAAVYGSTECELTPGLFREVVDYKVAEHQQRRKIAGHA >SECCE6Rv1G0383800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:57420117:57425908:1 gene:SECCE6Rv1G0383800 transcript:SECCE6Rv1G0383800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHSQPSDRESKHEIDSGALPLPSRTESEVLRLHAETGTTLPLSSRTAPPAEAMAELRHSTAAAAARASNSPAKRDSDASSASSPFASTSAARGRDDDDDDGKDVHRSSPLLPHHHHHKQLLPSPLRSLLALEDPRPPTASLSYRILLAVLALLLLAALFSAPSLWSRFSAPYLCQKEGITLHCPQTKEPLSLWENPRAATASWKPCAERRSDEPSDVPPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMEATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKTIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADKLATKMRNRTSSGNPYMALHLRYEKGMVGLSFCDFAGTRDEKAMMAAYRQKEWPRRFKNGSHLWPLALQKRKEGRCPLEPGEIAVILRALGYTRETQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASTAEMEHFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIMGARRYSGRHRLKSIKPDKGLMSKSLGDPYLAWASFAEDVVISHQARAGLPEPTFPGYDLWENPLTPCMCRA >SECCE6Rv1G0401720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:473363724:473364902:1 gene:SECCE6Rv1G0401720 transcript:SECCE6Rv1G0401720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTHTLALLGALVVLSLLVSPIACSRKLAKAGGHHKPAPVKGHKNHTTTSPSSSAAYGGGWLPAGATYYGNPNGDGSDGGACGYQTAVGHRPFSSMIAAGSAPLFMAGKGCGACYDVKCTSNSACSGKPVTVVITDLSPGNLYPGEPCHFDMSGTSLGAMAKPGMADKLRAGGVIRMQYKRVPCKYPGVNIAFRVDQGSNPFYFKTLIEFEDDDGDLKAVALKEAGSGVWTPMSQDWGALWKLNNGRRLRAPFSLRLTSDSGRKLVVNNVIPANWKAGGTYRSLVNYA >SECCE1Rv1G0053720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:671017229:671020167:-1 gene:SECCE1Rv1G0053720 transcript:SECCE1Rv1G0053720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSGSTAPAPLGKTHVPDLEWNVHDFSALLETEAKTALSTPFHCSGYQWCLLVTPKHNQVTPKNKHDVQVTPKHSQDDKGNPYVALSLGISRVCLELDHTLHAEFELSIFNHSKGTYCGSKASYNFDVKNIYSNKECLIPLEELLKSSAFLVDDSCVFGVEILKIDVSSPEKKSVVVQKKATTVENLFVQKKGFIKGTYTWTMNNFLELESQKFVRSPTFEVGGHKWYIGMYPRGERRGTDCLALALCLDSSDELFIESRKVVQITLSILDQKSGKYFTGTTGLVPCTRPQGWGWYNFLPLKELKDMSRGYLIESKCVLKADLTILGSSSDG >SECCE4Rv1G0264220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:719611894:719614078:-1 gene:SECCE4Rv1G0264220 transcript:SECCE4Rv1G0264220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39710 [Source:Projected from Arabidopsis thaliana (AT5G39710) UniProtKB/Swiss-Prot;Acc:Q9FIX3] MAAVRQAAGASGSLHQHPVQHAHLAALLNPTPRTPPLPPPLRRRHLPLSPQAASRLAASFPPLPLLLALLSALRLLPSPPPPRPFDALIRAYASLPSPSLAAAALAFARSAGYAPSLPAYNAVLLALSDASLASARRFLEGSMLRAGVAPNVYTYNILVRALCARGRREEALSAVVDGDMRAAGCAPNAVTYNTLVAAFCRAGDVGGAERLVGAMREAGVRPSLVTFNTVVNGMCKAGRVEDARKVFDGMAREGLAPDGVSYNTLVSGYCKAGCLHEALTVFAEMAQKGVAPDVVTFTSLIHAMCRAGNLERAVALVGQMRERGLRMNEIAFTALIDGFCKNGFLDDALLALKEMRDCRIKPSVVCYNALINGYCKLGRMDEARELVDEMEAKGVKPDVVTYSTILNGYCKIGDTDSAFELNRKMLKKGVIPDAITYSSLIRGLCEEKRLSDACELFEKMLQLGLQPDEFTYTTLIDGHCKEGDVEKALLLHDEMIKKGVLPDVVTYSVLIDGLSKSARTKEAQRLLFKLYYEDPVPDNIKYEALMHCCRKAEFKSVVALLKGFSMKGLMNEADKVYQSMLDRDWKLDGSAYGVLIHGHCRGGNVMKALNFHTKMLRCGFPPNSTSTISLVRGLFEEGMTVEADTVIQELLNCCSLADAETSKALIDLNRKEGNVDAVVDVLRGMTRDGLLPSSGFLWSTSWLMPL >SECCE4Rv1G0288770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861874541:861876490:-1 gene:SECCE4Rv1G0288770 transcript:SECCE4Rv1G0288770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCKFRPSSSFDTKTTTTNAGQPVWNDNEALTVGPRGPILLEDYHLLEKIAHFARERIPERVVHARGASAKGFFECTHDVTGLTCADFLRAPGARTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPKSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGIPTDYRHMDGFGVNTYTFVTRAGKSHYIKFHWRPTCGVSCLMDDEATLVGGKNHSHATQDLYDSIDAGNFPEWKLFVQVIDPDNEDRFDFDPLDDTKTWPEDLVPLQPVGRLVLDRNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCGFKNNHYDGAMNFMHRDEEVDYYPSRHAPLRHAEPASFPVPTRPVVGKREKTRIKKENDFVQPGERYRSWAPDRQDRFVRRFADALAHPKVSHELRVIWIDFLSKCDKSCGMKVANRLNVKPSM >SECCE7Rv1G0507380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:761127968:761133116:-1 gene:SECCE7Rv1G0507380 transcript:SECCE7Rv1G0507380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminodeoxychorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28880) UniProtKB/Swiss-Prot;Acc:Q8LPN3] MAALRLPAPPTARWSPPPPSARWQHPLCRGGARRLAARRAGGDEGPEEPPVRTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNNEWAWRDVYNWVYKKRAFDNIVISPGPGSPSCPTDIGVCLRILCECGDIPILGVCLGHQALGLVHGAKIVHAPEAIHGRLSEIEHNGCYLFNHIPSGINSGFKVVRYHSLVIEASSLPEDLVSIAWTASPKMLSFLDSDQPDNTPFWGSLNNLSIADPSERINNGEVPITINNTSKPDGHKLVMGIKHSSRPHYGVQFHPESVATHYGRQIFQNFKKITTDFGSQSSLFQERKVNSADQCNYVPKGLSHTEGLELGDSVGVHMLAERNIEKKYLRLRWKRIDNFLSRTSGSEDIFSELFGHQNAEDTFWLDSSSVDQNRARFSFMGGKGGPLWKQMTFHLSNQRANCGGTITIRGAQGSAVKNTLKDGFLEFLHKEIQSIRYNEEDFEGLPFDFHGGFVGYLGYGLKVECDASSNKAKSSTPDACFFFADNLLAIDHNNGDVYILSLYDEYSLSEGNGMHHNTTHTSWLLETEKRLLRMAAMSPGLPINGKSLIGSSNVNKQSFVVEKSKDQYIKDVRSCLDYIRDGESYELCLTTRMRRGIECINALQLYLKLRKQNPAPYAAWLNFSSENLSICCSSPERFLRLDQNAILEAKPIKGTIARGRTPEEDECLRLQLKYSEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKSVHTMVSTIRGTKKPDLSPVDCIKAAFPGGSMTGAPKVRSMEILDALESSPRGIYSGSIGFFSYNRTFDLNIVIRTVVLHDGEASVGAGGAIVALSDPEAEYAEMMLKARTPTRVVEECSQQAAAHSSPDRSDSMRTTVS >SECCE5Rv1G0332080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:544318847:544321365:-1 gene:SECCE5Rv1G0332080 transcript:SECCE5Rv1G0332080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEVEATAPRPAQQQPCKNGSAKPLPSGAAKPLLVINFLLMVVGSAFGPLLLRAYFLHGGTRKWLSSLLQTAGWPLLLAPLCASFLSRRRSNKDGGPATPLFLMSPRLLAATVAVGLMTGLDDLLYAYGLAYLPVSTSSILISTQLAFTAAFALLLVRQRFTAFSVNAVVLLSVGAAMLGMNAGGDRPAGVTRAQYYAGFGMTLGAAALYGLMLPVMELSQARHAARTGAAVTYTLVMEMQIVIGFTATAFSAIGMLVNNDFHAIPGEAREFGLGQIGYYLLLAASAIVYQFFFLGTIGAIFYGSALLAGVIMTVLIPVTEVLAVLFFHEPFNGTKGVALALSLWGFVSYLYGEIRAKAQHSDKPLNTEHLDP >SECCE7Rv1G0496860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:618208260:618211836:1 gene:SECCE7Rv1G0496860 transcript:SECCE7Rv1G0496860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQERDYIGLSPAAAAAATELRLGLPGTEDAAGDGGGAASEAPLTLELLSKGGAKRGFAGAVAEEEDEKKKAQPPAAKAQVVGWPPIRSYRKNTMATNLSAPRSKDEAEAKQAPVPGCLYVKVSMDGAPYLRKVDLKMYKNYKELSLELEKKFSGFTVGHGESTGKSGRDGLSDCRLIDLKSGTELVLTYEDKDGDWMLVGDVPWRMFTDSCRRMRIMKGSDAVGLAPRATEKSKSQK >SECCE2Rv1G0132920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894518261:894520579:1 gene:SECCE2Rv1G0132920 transcript:SECCE2Rv1G0132920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLSSISTNSLRAPSRKPRAPLAALAAATERVREGTLSREDAHHLFDELLGQAAAVPERGLNNFLAALARAPPSAACSDGPALAIALFNRMSPGAGARVVSPTLCTYSILMDCCCRAGRPDLVVAFFGRLLKLGLRLEIISFNNLLKGLCQVKRSNEALDMLLHGMPELDCAPDVFSFNIVINGCLKQGEVDKACNLFHRMIQLGIQPNVVTYTSIIDALSKSGAMDKAEVVLRQMVDQGIGPNIRTYTSLIHGYSASGQWKAAVRAFKEMVSVGVLPNAVTLNSFMDSLCKHRRTKEARDIFDSMAAKGQKPDIFSYSTVLNGYAKEGCFVDMTDLFNSMVRNGIVPNHHVFNILIKAYAKRGLMDEAMHMFEVMREQGVNPGVFDYQVIMDSLCKMGRMDAALHKFNQMVNQGVSPNKAVYQCLVLGSCSHGHFVKAKELISEAVNRGLCSNSVFFYPVINNLCKEGKVKEAQDMFDFIVGIGPRPDVIMYNSLMDGYCLVGKVEEALRVLDAMKSVGLQPTAVTYGTLLNGYCKIGRIADGLSLFREMSLSGVKPTTIMYNIILDRLFRSGRTVSAKEKFRTMSESGIPVGVDTYNIVLSGLCKNNCTGEAIELFKKLRAMNVKIDVITLNIMISAMFKTRRIEEAKDLFATISAIGLVPSVVTYSVMMTNFIKEGLLAEADDMFLAMEKAGCAPNSSLLNQVVRALLEKSAVVKAATYLDKLDAKQLSVEASTVSLIVSLFSRKGKLREHVKLLPVKYQPPEMLD >SECCE7Rv1G0502480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:696535767:696540896:-1 gene:SECCE7Rv1G0502480 transcript:SECCE7Rv1G0502480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKDSKPSYNSGNSSNGYNSRYANTPSSYRPRYASSAGNNVQQPEAQARLQRKYSRIGDDYRSVSQVTEALAQAGLESSNLIVGIDFTKSNEWTGKISYNRRCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENQPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIADSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVKASHFPLSIVLVGVGDGPWDMMHKFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQGIPPRVPLPPPIRTAYSRTTSFDQQSGVYSRSSSFGQQTSGFQQSDSFKQRQHGATRRPDSYSSESSQPAASRIPDTYASESSESTLSCAICMDKSKDLAFGCGHQTCYDCGKNLVRCPMCQQHITTRIRLY >SECCE2Rv1G0117250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:770998783:771000770:1 gene:SECCE2Rv1G0117250 transcript:SECCE2Rv1G0117250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVVYRRRENSWRGADSSVRFLGAAMSSNPPSKHQVRLASRGGIGHGPASPTGARNGGLSLRATSPPPPTVSIASVAGWDSIKLRLDGEEGLKEFLAVGDKAVRVEEEAAVYASEWPAGGDEVTFDAPPTDEEVHAAVASIQQVFENPSGVDSDALELQALALPIAGLSSSGMFVNYFAADSDASEKQTVQIANLGNSPSNIGLDDCTEPGTLALNSTALMTREHQNVLDAFQLLQEDASVQKMVMALSTDKAVWDAVMNNEVVQEFKKSFQDAKETDTKGSSSAPPGMMQWVLENTQAKIKEFLEKILQLVHTLFQAQSMDYDFSDDVVRMSFMLSVFVFIVVTIARIK >SECCE3Rv1G0189680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:729073832:729080139:1 gene:SECCE3Rv1G0189680 transcript:SECCE3Rv1G0189680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAADKATSIDAQLRLLAPKKLSEDDKLVEYDALLIERFLCILQGLQGDKIRETVQESYELAAEYERTLDPKQLDEIGNLLARLDPEDSIVTTKSLSHMLILANLAEEVQIAYRRRQKLKSGDFADENSATTESDIEETLKRLVCQLKKSPLEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIKERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYAQIEDLMFELSMWRCSDELRVKADQLHRASKKDTTKHYIEFWKQVPPSEPYRVILSDVRDKLYNTRERSRHLLASGFSEIPDDAIFTDVEQFLEPLELCYRSLCACGDHTIADGNLLDFLRQVSTFGLSLVRLDIRQESERHTDVMDAITNYLGVGSYREWSEEKRQEWLLFELNGKRPLFGPDLPKTTEVAEVLDTFHVLAELPSDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLESAPAALARLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAGWQLYKAQEELIKVAKAFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATEEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLQTLKEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSDDLWPFGERLRANYEETKQLLLQVAGHTDLLEGDPYLRQSLRLRDCYITTLNVCQAYTLKRIRDPSFHSQPGPHLSKEIMESGKSAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >SECCE1Rv1G0063230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:723731738:723732904:1 gene:SECCE1Rv1G0063230 transcript:SECCE1Rv1G0063230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRAAATRILRPAVLPGEGRRLLVHTQQLSKSTLALEKEHRPSAIQQREQMRLELSKSTLPLEEKHRAELIQQKKEELYQLIAHAYDDSAASSLDKSLLKNLSTQVKPRPEDPQWCKITRTKKLTDLATSVVILASSGLTYCVLTAGPELVKYDGHLVTAESLPLLRGQWPAAAGKGVHKQDMQK >SECCE6Rv1G0420510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:677577636:677579686:-1 gene:SECCE6Rv1G0420510 transcript:SECCE6Rv1G0420510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVLASRPAMVLWALLGLALLWQVKRLVEYTWWRPRRLQRALSAQGLRGTPYRFPVGDLGDYGRQGKEASSRALPLRCHDIRAHVAPYLCNTVREHGKTCVSWFGPIPKVTIADPGITREVMSNKFGHFEKLQFPTLTRLLAGGVAVYEGEKWVKHRRILNPAFHIEKLKLMLPAFSACCEELVSRWTQSLGSDGWCEVDVCPAFQTLTGDVISRTAFGSSYLEGRRIFELQSEQADRIVAEVKKIFIPGYMSLPTKKNRQMHQTNNEIESILRGLVEKRMQAMQQGETTKDDLLGLMLESNMRETDDKGQPILGMTIEEVIEECKLFYFAGSETTSVLLTWTMIVLAMHPEWQDRAREEVLGLFGKNNPEYDSFSKLKTVTMILYEVLRLYPPAIAFMRKTYKEIEIGSITYPAGVIIELPVLLIHHDPDIWGSDVHEFKPERFADGIAKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCMILQHFEFDLAPTYSHVPHNQKMLRPMHGAQIKLRAI >SECCE7Rv1G0479640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:256527263:256529773:-1 gene:SECCE7Rv1G0479640 transcript:SECCE7Rv1G0479640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEESMVEQVLMKPHARSDGSLPTCADSAGAGDPISGPAAPKKWQRLGRNFAGAIAAFKNTLNLDNGGVPRDPSPRAGGEKPPLLLRGLAQLYSRGAAAQQLPEKLVSDLRRHFDALPNSYAQAGFDMKDVLLHARLVEQAAGEDQPALSIEEVHGSNGRESGAEGTVFQLTFACNAPLSWQSMSGSLDSPLFSCKKIQIFEKRGLTLGVVMIIVQSGNEELFKSRVEAALKSATKKHRKNSGGGGGGVKLPFGLCGCQEEGSRNFDEESMFDPEDGQVLDNEPARRPYLPTPLPQSSVFVSVDEWQTVRSGGEDLGRWIVSSEEIEFVDWVGQNSFKGVHRGRKVWVNKMRGCNMGSAYDVEIRQDLLQLMSCGQKNILQFHGICFNESHGLCIVTRMMEGGSVHDIIMQRNKRLSLRDTIRIALDVADGLAFMNSYGIAYRDLNAQRILLDRQGNACLGDMGIVTPCNNAGEVTEYETSGYRWLAPEIIAGDPESVSETCMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPPFLRSLMSRCWDNCPLKRPQFSEIISTLQKQSMR >SECCE6Rv1G0439880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:799969123:799971045:-1 gene:SECCE6Rv1G0439880 transcript:SECCE6Rv1G0439880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAALLGPPQAIVDTDMADRKPAANKPTPAKPKPKPKRAPRGYSSDSSSDTTSSDDYSDSESDGPQRKPAAHATGRALAYASSGDPCVDFFFQVVPGATAAADVAALLGVAWAADARTALRLACHLRGVRGLGKADREGFYAAALWMHANHPRTLAANLATFARFGCLKDLPEILRRVLHGPPDERKDQDDVAAAVQYRRGGEPGGKRRCVGGGRAAAAEAAKARRDKEAEHARAVLARYDSDAAFRELYDGVADLFAGLLKSDLEHLRAGETAKIGLAAKWCPSLRSSYDRATLLCEAIARRVFPRDSSPEYLAIPDKHYAYRVRSRLRREVQVPLRKVLELPEVYMSARKWDELPYARVASTAMRQYKEAFEKHDKEGVAGFYDEVRAGLSRMPADAVLPHVIVAAALKGEHDESAELQWRRMVSSLASEGRLTNCIAMCALSGTSDKPPAAAAIALGLLISELSQDPWKGRVITFDATHQLHKVRGASLVEKLRPLAAVTPQRGANLQAVFNKILNVAVAGALDKDQMVRRVFVLSDMEFDGWTGGEAWVSEHEAIRKRFAAEGFAAPEVVFWNVGTSKAASPVVAAQAGVALVSGYSKNLVRLFLEADGVLTPSAIMADAISGPEYDALEVLD >SECCE5Rv1G0323920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:441057377:441060365:1 gene:SECCE5Rv1G0323920 transcript:SECCE5Rv1G0323920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MGGAAVALLAGTRRRSIPLVTSSFPRAARGLHEATAAAGEDKARTRRRRSSSSRLLGPDITDTWDPPPRPAARPLPPRAAGVDYESTATIIDGKSIAEDIRLQIAEEVLQMKNAVGHVPGLAVVLVGDRKDSQSYVRFKVKGCEEVGIKSLLAELPRNCTEDEVVDSVSRFNEDPSVHGVLVQLPLPQHMDEEKILNAISLDKDVDGFHPLNVGNLALRSRKPLFVSCAAKACLELLLQSGIDLMGKHVTIIGRSKVVGLPTSLLLQRHHATVSVIHAFTENPEEITRESDIVISAAGVANLVRGSWLKQGAVVIDVGTNPVEDPDSDYGYRLTGDVCFEEAVNVASAITPVPGGVGPVTIAMLLANTLDSAKRVYGLSD >SECCE3Rv1G0197250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:817096880:817097591:1 gene:SECCE3Rv1G0197250 transcript:SECCE3Rv1G0197250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSSSPASYIRLVQHLIEKCICYDMNKEECVQALEKHANIMPAVTSTVWKELEKENREFFETYKKDRGGESPSHKGSPSDQASTSRSSDDNDE >SECCEUnv1G0532490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:20973330:20978186:1 gene:SECCEUnv1G0532490 transcript:SECCEUnv1G0532490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPPLPEACSIATAVTPDLSLHISPPSSHAAVGDDGEVRLGLHEAAAKRHRGLEEVLHQPNKAHGFKKSSCPAVGGAVARTAAGGRKRSSRAPRMRWTTALHAHFVRSVELLGGHERATPKSVLELMNVNDLTLAHVKSHLQMYRTVKGTERSCVAGHGQTRDMGFLRRVVAGDELINCFDGFNSNMVNTTSNNTTPRRSESPAGGQDHQDAWRRQAAASAAAMVPVPPYLTTSEPHDHGHIMLENGMARPAATHRGKPSPSNTSGSSSDDANETESLGWLGLPSRRYDEGGDGNCAGDRGLHDAPMVMAPSLEMRLGRQGWEQMEPSASASKELTPILKCL >SECCE6Rv1G0384980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:71174885:71176095:-1 gene:SECCE6Rv1G0384980 transcript:SECCE6Rv1G0384980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTVNSETHLDKKTIKVMTYNVWFREDLELAKRMYALGNLIQQHNPDLICFQEVTPNIYLLLQKSGWWQEYKCSLSDRMAMQRQYYCMQMSKLPVSSFECIPFSNSVMERELCVADINIGGATKLVLATSHLESPCAWNQMYSKERVTQANASMRILDKFRNVIFCGDMNWDDKGDGPFPLQDGWVDAWAELKPGEDGWTYDTRANGMLAGNRKLQKRLDRFVCKLPDFKINTIEMIGKEAIPGLSHYKEKTVRKVVQNIEYPVLPSDHFGLVLSIT >SECCE6Rv1G0442230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814359808:814360296:-1 gene:SECCE6Rv1G0442230 transcript:SECCE6Rv1G0442230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGGGGGVVLSLRGPSASASAAAGRLRRSASSPAVRCGATQEKWPAAAPYPYGVLEENHYRTLRLEPGASRGEVKKAFHRLALQYHPDVVRRGGGDGRGDDAIDFERINAAYQTVMRNMREAEATLEYWRRRYGLADEDLDRYRHYLNHDDEDDWFSDF >SECCE3Rv1G0190120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:735255329:735256078:-1 gene:SECCE3Rv1G0190120 transcript:SECCE3Rv1G0190120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVSKLARAALATRASPSAVGGIAGGGRPAATIRVSPAGGPKSEGEEEKTRPKSQVASDDEIMHPHLAVDENALKSDEAMWAFYEHWCKFHGISRDRTEMERRFKIFSDTARLVHKLNNSLVDGELSMTKFSDRTKKERSRLLGSRRTKK >SECCE3Rv1G0181070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:604143403:604144519:-1 gene:SECCE3Rv1G0181070 transcript:SECCE3Rv1G0181070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESLGKNSKIMGGRERKEVNSTAKHFVDFTEAEEDLVFRMHRLVGNRWELIAGRIPGRTAEEVEIFWAKKHQDQ >SECCE6Rv1G0387580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:117181449:117185303:1 gene:SECCE6Rv1G0387580 transcript:SECCE6Rv1G0387580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDAAAARRRTVISDYRKKLLNCRELESRVGTVRENLKIARKDFAKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRTEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKD >SECCE6Rv1G0426810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:716053681:716056033:-1 gene:SECCE6Rv1G0426810 transcript:SECCE6Rv1G0426810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVAGSPGTWSGMALRLSQCVFAAASTFSMVSGFGYSNYSAFFYLNLALILQFMWSLSLACNDIFALRNKKDLHTRDNVLTIVMVDWVLSIFMFSAACASASLTIFFMRDVNFCGAYSRLACRQFALSVVLAFITWLLQAASSFSGFWLLISFF >SECCE5Rv1G0354610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:725010108:725013684:-1 gene:SECCE5Rv1G0354610 transcript:SECCE5Rv1G0354610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHQKQCNTMGMVNNKKNVMVKEEKDGNINTKEKMKQKKTEGDKKTEEKQNSSERKMTKNKEEKEKKMRVVDKKGDEENEREDQRKRKENGRKAMSHKERKDENENEKEEQGHEGKEGEIEKEKEEEQKEIDEQKARKENGKEEEDSKERDEEDQNDKQNFPHFFRALTSNSFMEHMAIPVGFHKYLEDCTRMVSLRGPSGNKWPVELAKISGELCFARGWKEFLSDHRVRYGYLLVFCYDGQSQFSVTIFLPSSCEAPYASLAQPQHKDIDMDKEEEKGPISTNVYTAPQEEDARTRTSVDGTPQNEASKVEEDASEDEEVEEVEDALSETPENEEDYERGMCSDDALELEQQQQDDQHKTDDGFVVGKRARFRKVDDIMAEVDRSKKSRPTEGGNSEAPSSDPASGGTSSSDSLPESEHRPPMKSKAEEIRSPSGDSASKAATSSNNLAELAGRPSKDSKAEGKSSSAPLIFYTRSAASTSKGVPLKKASKETTSSENWAVHTGVFAPESMCTDLTTCHKSFGKRLSKQNQFPVFNKSNGENQPPRVLIKVMRRPGLTSQRRPVTEREKEYAMGKARRFKSERPFTVKTMKHNDVYASYFMIIPDKFVKTFLPRESRKMTLWDPQAKPWKVWYEYTSGECPRAALSAGWGALAMENNLEKWDVCIFELLDQEYNIKLHVYKVVLEITPCVIAPKPRTCE >SECCE6Rv1G0401150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:463561095:463561721:1 gene:SECCE6Rv1G0401150 transcript:SECCE6Rv1G0401150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEDSKSARVTDNLKSALTIHQLTEEKNKLDADYDKLVKYVHQLVDMQQDRVVDFSYLQSNLTYQHQCRVELVAGMKAEMAKKDADREKLTQKYELLCNLTSAQATVIQNLKLKNMKEKELVSEARHNLELKNAEFTKFEEKLTQQKLELKFQVADLLKGKEKLDEDKYMLHLKIGELMKAEEKLKEKIKGIQAILQN >SECCE5Rv1G0342260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631372250:631375475:1 gene:SECCE5Rv1G0342260 transcript:SECCE5Rv1G0342260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLVLGVIVLMLNLASISSAQPHRGCKTHCGDVEIPYPFGIGTGCAIEQGFEISCSRTDDGTERPFINYWEVLSISPSSGQSRVLMFIPTYCYNSSSGEMDSYIWDFYLVWPYRISDSHNKFISIGCNTIGYIYNTGKSTRYATGCVSVCGSPGDLKNGSCVGVGCCQNTVPKGLTSYHVYFYDVDYVNVSNSWHFNPCSYAMVVEAETFIFNSEYITTKRFNDTYTGRQPVVLDWVIGNATCEVARRNMSSYPCRSGNTVCVDSSNGPGYLCNCSIGYQGNPYLSDGCTDVNECEQSPGPCPESATCQNTVGGYHCSCPFGSSFAKETNSCTNRFIGIVIGLSSATGALFLASFSTLLVRMWKRRIKSRVRKAHFRKNKGLVLEQLISSDESATHSTKIFSLDELEKATDDFDPTRILGLGGHGTVYKGILSDQRVVAIKKSKMADQREIEQFINELAILSQISHRNVVKLFGCCLESEVPLLVYEFISNGTLCELLHGDHLSGRSLLTWDDRIRIASEAASALAYLHSAAAIPIFHRDVKSTNILLTDNFTAKVADFGASRSISIDETRVITAVQGTFGYLDPEYYHTGELTEKSDVYSFGVIIVELLTRKKPVFLNSRCEKQNLSHYFLQMLQDNAMMEIVDVQVLEEGNDRQIVEMVALARVCLRHKGEERPTMKEVELRLQLLRGKMIMEKNHELESEGEAMPLLRSNCSTYFSASPGPSHGEFFSTANYSGQDVTRCYTMEQELVSWTDLPR >SECCE6Rv1G0413060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:620186107:620187099:1 gene:SECCE6Rv1G0413060 transcript:SECCE6Rv1G0413060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPRDSIAVVVPLAGVLYVRLASSVLGPGLGRIVALLPVLTFLAAVPLAFSSTILRGTAALFLAWLCLFKVALLAVGSRPLDPALPVIPFVFTASLPVMPRRSCSGAKANPGSGHVVSCAAKVAALAAILQLNQFRNRVHLHARLALYGIELYCLFDLLLACLAAIGGAIGMDMERPFDCPILASSLSDFWGRRWNLVMSGILRASVYDPVQARVGKPAGIMATFLVSGLMHEAMMCYITLRRPTGAMLAFFVLHGAARVAEDWCSARGLRPRPRAVRTLLVWVSLVSTAFWLILPLVSMSGAEEKLLEERAAVTAFFQDAGRYLLPYR >SECCE2Rv1G0110690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:693066211:693069238:1 gene:SECCE2Rv1G0110690 transcript:SECCE2Rv1G0110690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGGGGIFSRALGYVVNEFLVQGLANNRAFQRFAVRTNKTFENLSSRVKQVREDVSEQIRDPPGHDTVS >SECCE5Rv1G0302500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:39234773:39239221:1 gene:SECCE5Rv1G0302500 transcript:SECCE5Rv1G0302500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFVVDDAEMEGTSVPIEIDGDAICLENVGDDGHEAQESGQVQIIYATENGAQVAFDSQEQGTEEHSVRSEEDQENSSVIPSREEFTEELRTKVAYSEEQAYRLYCEYGHRMGFSVRKGKQYYFTGTKIIRTKDYYCSKEGLKDDEQLTEANFNKPETRTNCKAMVRFRVDSEGQWRVIQIIPEHNHELVPPEEIHLLRSVRILSIPKPGTLNAMVNAEIQSMHDSLRVHDDGAECHSQVSIQSYALLEPEDAEALVGYLKRRTIEQGMFYWDVQVDQEGQMTNFFWRDGRSRVDYDCFGDVVVFDTSYRTNKYNMICAPFVGINHHMQNVMFGCAFMLDESLTSYEWLLKSFLESMGGCPPKTIFTDQNDTISKAIEVILPETRHCLCQWHIEKNLQSHLDTPNASGTFHSMFMKCMKDCESEAELEETWAMMLHEHNLQDHKWLTDLFEQRHKWCTALHKVAFDCGIRSLDKNLGSHNVLGSIADESTSPTNFALEFDKLVGSWRTTESVEDIQCNQISPECSVKHNSILQHAAEVYTHKVYKSLETEFLDGCTGTTYQEMQCSETLYRLEFIMQSGPKVWIVFLDTSTMELSCSCKKFEMTGILCSHALNALGLKNLDKIPESYVLKRWTKYVRKGTYVFPSDQSPEQDCTEAVLAYRNRAMWFVYDLLMKSKGHQDTRKLILDVLENGEKSLESVCELKRMHIHPSGKDKDASKAEKRKKKLSKQDKNSRNVKQVVLPPPAESVFVDQPNQNQYFATEDIATNSSIGRPFFYQEYPATGVSASQIEGHADIHSVPQCAPAPQEFQAYDAVHPPSTFGGESDF >SECCE5Rv1G0346510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:661590978:661596204:1 gene:SECCE5Rv1G0346510 transcript:SECCE5Rv1G0346510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRAALSCALLLLTVMLPLSANASSKLYIVYMGEKKHDDPSVVTASHHDLLTSVFGSKDEALKSIVYSYKHGFSGFAAMLTESQAETLTSTPSCETVNLNTYHQLHTTRSWDFLGLDYNNKQQEQQQPGLLEKAKYGEDVIIGVIDSGIWPESQSFNDNGYGPIPGRWKGTCETGQMFYNTSCNRKIIGARWYVRGISKDAVGSDFMSPRDSNGHGTHVASTIAGGQVKGVGYGGVLATGGCPDATVLAAIDDAIHDGVDVLSLSVGGPAGDEYPGTLHAVLRGISVVFAGGNDGPVPQTVTNAVPWVTTVAASTIDRSFPTLISLGNKENLVGQSVYYNASLSSNDFDDLVYVGRYSLSINVTGKIVLFYVPAPPRPGLREAINFTKVGGARGLIFGHYGQNSVENLGECDGMPCVLVDFEVAQTILSYERATGNPVGKVSPAVTVVGNRVSSPRVGWFSSRGPSPLFPGTLKPDIAAPGVSILAAVGDSYMLMSGTSMACPHVSAVTALLKSVHPEWSPAMLKSAIVTTGRPNQLADPFDFGGGHIDPDRAVDPGLVYDVDARDYIKFFNCTQLGSQLDGCEANQLNLNLPSIAVPNLKDNIVVRRTVMNVGPTEAIFRVVVEAPAGVAVSVEPSVISFTRGGSKTMTFRVTFMARQRVQGGYTFGSLTWKSDGSTHSVRIPVAVRTVI >SECCE7Rv1G0467810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:91588812:91591539:-1 gene:SECCE7Rv1G0467810 transcript:SECCE7Rv1G0467810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPTGCFKCGRPGHWSRDCPSAPPSSSTNPNPNPNPAAGAAPYKPRQFSKPAAAAAAAAAEGEEAPQDGGKKKRKERTARPKLTPDLLLSDDGLGFVLRYFPKAFKPRARPGSEVEDLGNLIKLYADWNSRLIPYYSFDQFVRKAEKIGASGRVRRCISELKERVARGGDPTVLHLPKVEEVIPEGEPDGITQEDPILGTEPPSTDNHEDVDPFAMESDDVDPMQEDLLNEIYEKTADEPVIISGDGGAEQPVAPREAEKHQDGEESGKHQDGEESGGRKPSKVELTEEQKARMEANRLKALERAAAARARASQSQPTTETTT >SECCEUnv1G0550230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:213821690:213822340:1 gene:SECCEUnv1G0550230 transcript:SECCEUnv1G0550230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKERISKEMRRLFLEQFHKQIFPSTPITSFFLFLSYIVVTPLMIGFEKDFSCHSHLGSIRIPLLFPFPPEPFPRNDKESGTLELYYLSAYCLPKILLLQLVGHWVIQISRVFCAFPMLQLPYQFDRSGMDRLNILLGRPVLTLLCGIHSRSALGITSSSGWNSSQNPTTSPTLLPPTVSRTSIETEGFHVLSSIGYSSPFVSLYPILVSISSQD >SECCE5Rv1G0320290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:385592945:385594736:-1 gene:SECCE5Rv1G0320290 transcript:SECCE5Rv1G0320290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKFVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRITRPTRPDRARRLGFKAKQGYVVYRIRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRRLGGLRVLNSYWVNEDSTYKYFEVILVDVAHSAVRNDPRINWLCKPVHKHRELRGLTSAGKKFRGLRGKGHRHHKNRPSRRATWKRNQTVSLRRYR >SECCE1Rv1G0010090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:59999221:60013177:1 gene:SECCE1Rv1G0010090 transcript:SECCE1Rv1G0010090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO (small ubiquitin-related modifier) E3-ligase, Abiotic stress response, Stress adaptatio [Source: Projected from Oryza sativa (Os05g0125000)] MADLASTCKDKLAYFRIKELKDILHQLGLPKQGKKQDLIDRVLALLSDEQGQRHGWGRKNSFTKEAVAKIVDDIYRKMQIQSAPDLATRSHTGSDLFRPKDEVNDSFQPQPVTKVRCICDSKLLNDNMIQCEDDRCHVWQHMSCVLVPDKPTEGVGPEVPPHFYCELCRLSRADPFWVTTGNPLPPLKFMSSGVANDGTSVLQTVEKTFQLSRADRETVQRSEYDLQVWCILMNDKVQFRMQWPQYAELEVNGFAVRVVTRPGSQLLGINGRDDGPLITTCSREGTNKICLRRVDNRTFCFGVRVARRRSVPQVLNLVPKEAEGESFEDALTRVRRCLGGGDTAENADSDSDLEVVTESVTVNLRCPNSGSRMKTAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPICLKNYSLENLMIDPYFNRITSLLRDCSEDVNEIDIKPDGSWRIKGDVPTRELSQWHMPDGTLCISKEDNKPGVENFNELKIEGTSDGHKSLKIGIKRKNGIWEVSNKADDKKPSVVGNITQNNITFRTPNTFPMSSSPTGSYRDGEDTSVNQEGSMHIDLSLNNGHEFESFPLNFGQTYHAEDTSQQQLSAEDVIVLSDSDEENDTLVCPPTVYDNTTVNDGGFPFATSGAGFTGRYQEDAGVGTSGLGLLSNNADDFEMTNWQMPYSQPEQGYQFFGDGTADTYVGSHNSFSIAPNDYSLDCNVGIEEASVAHDLPVYRSNNEMHGSLVDNPLAFGGDDPSLQIFLPSQPSSAPLQEEPSERVNASNGVQSDDWISLTLAAGGGGNEEPAAANGLNLQEQIQSNETGVEPLIDAASVLSSTNNDRDDVANLNPRRIENIFSHPRQPRSRSVRPRLCLSIDSDSE >SECCE4Rv1G0282880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:831012905:831014551:1 gene:SECCE4Rv1G0282880 transcript:SECCE4Rv1G0282880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAASLLLPSPSPPCHLLLRQRRCAGSLRPLAPRLPRLWRDGCQPPRLRHVRPPRAAPIIAPGDQWGNWAFLFSAAAFGTWAEERTAWGAALSGALVSILAGLAASSAGLVAPGAPAQAVVMDYLLPVAVPLLLLGADLRRVVRATGDLLKAFLIGSVTTVIGTTVAYLLFPMRSLGQDGWKIAAALMGSYIGGTVNFVAISEALGTTPTVVAAGVAADNLISALYFTALFALASKIPPEPKSATSPEDGCEARGGMSVLHGGAALALSFAICRAGTAVAAGLSVAAGGTLPCVTALVVLLATAFPSVLGRLAPSGETMALILMQVFFVVVGANGSVVDAVTKAPAVFAFAAVQVAVHLAVVLGVGRLAGLGRKQLLIASNANIGGPTTAAAMATAKGWSSLVVPGILVGIFGISIATFLGIGFGMFVLRRMAGF >SECCE1Rv1G0030010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:422561670:422564143:-1 gene:SECCE1Rv1G0030010 transcript:SECCE1Rv1G0030010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGAPAAAATSDKSVCVMDASGPLGHALVHRLLRRGYTVHAATYGHSLDALLAAAGHDEGRLKLFRADPFDYHTIADAVRGCSGLFCMFNTHEDQAGCDEVMVEVEVRAAQNVLEACAQTVTMERVVFTSSVTAVVWKDDHKLVDAFDERNWSDLNLCRKRKLWHALAKTLSEKTAWALAMDRGVDMVAINAGLLTGPGLSSAHPCLKGVPEMYEHGVLVTVDADFLADAHVAAYECPTAFGRYLCFNNVVCRPEDALQFAQMLTPSAPCYPPSDELKVIPQRIQNKKLNNLMVEFASAIYGDLD >SECCE1Rv1G0000590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2147930:2151251:1 gene:SECCE1Rv1G0000590 transcript:SECCE1Rv1G0000590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAAGGGGYYYYPPAQPQPPPQTLRRRPRPAARWVKQWIPQDLASPGSKCALFKWVREDVYKNLKENGGVGQEPEAQPRKVEPATEILFLCSYDNCGKTFVDVAALRKHAHVHGERQYICQEPGCGKKFVDSSKLKRHHLTHTGQKDFVCPHPGCGKAFSLDFNLRAHLKTHAVENYHICPFPACGKRFTSDFKLKCHIKTHEKTGSPIAVQYTPPAEKPQSTIKPSIQATPKPTPPSFSSERPYVCPYEGCGKAYIHGYKLNLHLKTQHPDHNQENNGRSATPAAGYNYADGGDIAPNPKRSKTSQVHRAPPSNAYNVKVSSRMGVDPSGAKNQWPGKGMYDDDSEETEEDPGGNNVEDGWRYGNQNVDDEETEEDED >SECCE7Rv1G0494460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:583951376:583954353:-1 gene:SECCE7Rv1G0494460 transcript:SECCE7Rv1G0494460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3/VP1 transcription factor family protein, Regulation of iron-deficiency response and toleranc [Source: Projected from Oryza sativa (Os08g0101000)] MGGDGRPSDGGGGGGGAGHPHQFQYQALLAAVHTQNPNHSHNLPFPLPPLNGPGPDASTHNAARQPPTPRGFADWSASTSAFTSLAVQSTPSTAAANAYHYSLSPCYAFWTHYMLNKNAYSYYPAPNQEHTHPFSLDNNQAKDPGSIPSFGIESFNTTSLAPNMSAHMPPMEGPLSTKESEAPEDMPARVVPIKDEMDARNGVELKSETVDTLPELKQGHESCAGKFNSGEYQVILRKELTKSDVANVGRIVLPKKDAEACLPPLCERDPVILQMDDMVLPVTWKFKYRFWPNNKSRMYILDSTSEFVKTHGLQAGDALIIYKNPVPGKYIVRGEKAIQQTN >SECCE2Rv1G0139340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:926444916:926445632:1 gene:SECCE2Rv1G0139340 transcript:SECCE2Rv1G0139340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTFALASHFFTGGSVDAATAGSLTSPPSISAASSGSMAASWQWPSCTQARTASFDGRRSVEAEASSSSARRDFCRTRVITNPAYCDDDTADSSFLSASGSSSASTAAPEPEPEPSTDEAFIREIRASSRLFFEPEATKSIVTTSKPEADHAAFGGATALAIDSADPYDDFRRSMEEMVLSRGGGRGEDDWGWLEEMLGWYLRANGKKTHGHIVGAFVDLLVGLSAVNNKQSQSGQC >SECCE4Rv1G0250280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:590831445:590832398:1 gene:SECCE4Rv1G0250280 transcript:SECCE4Rv1G0250280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRGEKRSAPPPAASSEETHSGADESEDEETIAVSRTPAAKNPPPPPQKGEESETSDEEEEEEEEEEEPSHAAPTTAPKKQPPPARQSEDSDSSDEEEDGGSESDKDAPPPKPSPKQEAKAPEAKKPRLAFHRVWSTNDEVRILEALAAHQKEHGVLPQPDTLVEALAGKLDNHAYSSKELQGKVKSLKHRYVSAAKKYELPTKEHDRRLFDLSKILWSGHKYRARAATASTAAATAKANNHEPKGFEEMCELYPYLAEEVKKGMFKREFGKMDDDKARMLDEKIKKQRVQQMKVELRRSDLVREVTKAIMDLID >SECCE5Rv1G0324350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:446029589:446034265:-1 gene:SECCE5Rv1G0324350 transcript:SECCE5Rv1G0324350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISFPNIGGDRSSLHPLHLHLPSSRQTTPPPPPVCGMVCAPTAGVEVLPPRRGVSAKRSWPPSCGRVPEDSDGEKGVQGTADEAAGHAGVSSAACNGGLPRDPPQPQPPYDGDACPSDGQAEIRALDVMPLAFAAPQRCAVAPANGCMEDGGHMADSLNVEGQLVRDEDVVIGNGDGSVVGSRVDDGELERAEDESRKKRWSASVMNPPPKRRAVSAKRRFPPGCGSVSVASIGDRGVSPMLKRDDSNHETEGKREEVGGATEAHNHMQESQVVNCVAPDDFAYRPQNYVGHGASLILRQDDSNNETEGKREEVGGATEAHNHMQESQVVNCVAPDDFADRPQNYVDHGASSILRQDDSNHETEGKREEVGGVTEAHNHKQESQAVNCVALDDFADRPRNYVGHGASSILRRDDFNVGMEGKIEEVGGATEAHNQKTQESQVVNVIVQDGFARGQYGHVNPRNDVTSDSPRHSFSEQMNGRRLLRERKRTPLVARNAEIRSKHEGRLHEGTPRTDPRGPLNVKRKGKSSEIVKINVTLVDDASVLDVIRSASKCGDHVATDQIEDQDGVGLNTNRVIIQALMAPDRCPWTQRKKSIANVPKSLAPRNNVKKVATPRKELRSKVTPSTSARRKAREDGEDSLEDDEKSLAVLAHERNNKSCVNIPRCAPSDDVSVDTRSKVTNIDERSRLRDLKDIPLVAGAGDVKNKCEGSLQEGTSRTHVRALVNVKTKGKKPDNAKVNVTLLDDRRVFEDDKTRNQISRTRRGVARSSNIKNVKKGMFDHKLKHDGISKDSGNRSMKESKCGDHLPTVQIKGNNNVGCVTNKMTVLALMAPDKCLWTEGKRPIAGVSQSLTCRNNNSLTVREGLCLPDISQGKESIPICVINTIDGVLPTPFKYITEVIYPSSYAKAPSVGCDCTNGCSDSSECACAVKNGGEIPFNLNSAIVYTKPLIYECGPSCRCPPTCHNKVSQHGPKVPLEIFKTGKTGWGVRSLSFIPSGSFVCEYVGEVLLETEAERTENDEYLFDIGRDDDDDDDDDDDDEEGSQSSKYEMTAEGLGYTIDAAKCGNVGRFINHSCSPNMHAQDVLWDHDDKRMPHVMLFAEKNIRPLQELTYDYNYNIGNVRKNGKVKEKKCFCGSSKCRLRLY >SECCE4Rv1G0221930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:52944419:52944694:-1 gene:SECCE4Rv1G0221930 transcript:SECCE4Rv1G0221930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYVEMLDMGVRIAARFHSHCPQTARMYYKPPQSTSTSSSTDASTDRRVDGGEDVAAAFRPFAASGALGAGGVQPRFRFDTAQVVIYEVV >SECCE1Rv1G0011860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:83002163:83002639:-1 gene:SECCE1Rv1G0011860 transcript:SECCE1Rv1G0011860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEELVILSGAHAVGVGHCSSLRARLTAPADQILQAYRGLLTGKCGKGPDPIMTNNIRNEDASAVAAAIPGFLPKLRKVSDFLDNSYYHNNLARIVTFNSDWQPLTEKEAWGHVHEYADNGTMWDEDFSDSLVKLNKLPMPHGSKGEIRKQCRLVNHY >SECCE4Rv1G0256540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:657624329:657628931:1 gene:SECCE4Rv1G0256540 transcript:SECCE4Rv1G0256540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARMEWPAARLVLVFVLVTFLTPWSSAVAVAPPPAVASAAPVRVGVVLDLTSHVGRKRRACISMALDGFHAAHAGSGAARIELLVRDSRGDLATAAHAAEDLIKNGQVQAIVWGPATLTKADHVTHLGRRRNQVPVLSFPSISPTSCAFWLEDPVTVPGSFAKFGFTLGNDGITFPNPETDRKYRRKLGARNSCGGNGLKIAVPPKKGFQDFVNVTHPNSKKQHVTGYSIDIFEAAIRNLRPLPCYEYFVFNGTYDELVGNVSLGVYHGAVGDVTITAERVTTTDFTMPYTQSGVSMLVLAVDEPYRISWTFVKPLNGKLWLATMVFFLYTGFVVWMIELPRNQEYQGSSLRQCSTALYFVFSTLTFSHGHTIRSPLSKIVVVIWCFVVLVLVQSYTASLSSILTTKSLRPSVTDFETLQKSSDFVGYQDESFVRSFLINHTITENRLRNYTTKQQYAEALKKGSLNGGVSAIVDEIPYLTSFLSEDQYKNDFRMLGCIYKTPGFGFAFRLDSALVHNLSTAILYLVGGDEGSQIERKWFGPASSPMGATMVPNMDSAPLTFQSFSGLFVITGSISTLMLLISIMRLIYAKCTELRKAGVESVSYSGTDDESRLLQNGTGGNPSPDQQPSHEAGNNNSGGVHMSGQNTEDAEPDPVQQNGMHGGFQIEVRNV >SECCE2Rv1G0092290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:350185073:350191175:1 gene:SECCE2Rv1G0092290 transcript:SECCE2Rv1G0092290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWRAVASRLSAPHLLSRAFSKPPPPLPQPMSRTFSKAAASAAATSHSPSAASSACPMPEVRLNSMFLSKPSSLALPPDSPLRAEDPHYEGIKRLMLTLLLFYSKQSKSIRGANTVYHRITSHVDKPDIYEVFQLEKTFKTTFSLLVLHMWLVLRRLKEEGKDGVKFGQYIYETYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVKYDTAISPEASRDDLVNVIWRNVYADEGSEPMDAAATPAVQALARYTRREATCLSLTDKEAMFSGNFKFTTVLPTVPSPVKRR >SECCE5Rv1G0300230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:24179416:24180267:-1 gene:SECCE5Rv1G0300230 transcript:SECCE5Rv1G0300230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVNMQAPPEREVSRQQQMMTKVSVSILVMALPVLYVSVLRVPPATLFRDTTFWFLMSNSIIVVIAADSGMLFFGSSASASPCVDDRPFVVSNYNGDAAAVPPMVSVSGDEPLLPVVVVEDQPLVVARDVLLHGDTAVDSHAHALVVRGEEDVRPKMAMSYASYATGEGDDDGVTVKARLTASRSLAREERAARRRRSRSHSHALVPDPVVQDKRVVVVMDEKLRRTATEDRRQPTAAEEEESEYYARLSDEELNRRVEDFITRFNREIRLQVEKEELQQA >SECCE6Rv1G0446910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846630889:846631953:-1 gene:SECCE6Rv1G0446910 transcript:SECCE6Rv1G0446910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLSHEFKSGLPAAEVWEVYGGLLLAQLIPQLLPDVFPKAEVVVGDGGVGTVLRLTFPPETLRYQKEKFIKVDNENFVNEALVIEGGFLDLGFLKYLVRVELVDDADKTCTIRSTVEYEVDDEHTGNASFVTTSTFARIAEAITKYIKVQKGDEKAPEQT >SECCE6Rv1G0382510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:43766466:43771025:1 gene:SECCE6Rv1G0382510 transcript:SECCE6Rv1G0382510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLLSRSLVPSLTPNPGSQPSRRRAASVSLRRRHGPVAPLRASLSTASPSTRVAMGEAPKHCFQRGADGHLYCEGVRVEDTIAAADRTPFYLYSKPQVVRNFTAYDKALQGLRSIVGYAVKANNNLSVLQLLRGLGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKTLEDLVLAAESGVFVNIDSEFDLENIVTAARVAGRQVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSHSNDIKLVGVHCHLGSTITKVDIFRDAANLMVNFVDEIRAQGFELEYLNIGGGLGIDYHHTGAVLPTPMDLINTVRELVLSRDLTLIIEPGRSLIANTCCFVNKVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPSPGAEVATFDIVGPVCESADFLGKDRELPTPDKGAGLVVHDAGAYCMSMASTYNLKMRPAEYWVEDDGSIVKIRHGETFDDYMKFFDGLPA >SECCE3Rv1G0167910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:210543241:210544930:-1 gene:SECCE3Rv1G0167910 transcript:SECCE3Rv1G0167910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGMPKSRPIKAAAEVVFDPSVSGPRKPRRAEAPSSSSEYHHFMGSSLSNMYHKPAPAKSTDMSDDEPDIDIEKLLKDVELFGATTWKDKNKIQNRKVVELGGKAIKKQRTPLSVAIPAMKNQQKREQKKIEEERLLGIFRKQNKNRKFEKTRPEDRVLRATQGRFKNGILDVKHLMGGPKPSSSSSFRDVPEREMRKGKKGKGKGKGKGKQKGGRRNRR >SECCE1Rv1G0057850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:695808208:695808571:1 gene:SECCE1Rv1G0057850 transcript:SECCE1Rv1G0057850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKDLRALLLAAIVVTAMVLSSCHAAQDIAAVGVAARPSGSGECNKIIPCTDNTCKIYCQQLGFKNPRTRCKPGHPKKGDFYDTCCCL >SECCE3Rv1G0174920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:410710272:410715787:1 gene:SECCE3Rv1G0174920 transcript:SECCE3Rv1G0174920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACNAHPTADVFINFASFRSAAASSMSALKQPTVRVVAIIAEGVPESDAKQLISYARANNKVIIGPATVGGVQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGSDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALRDAGAAVPTSFEALESVIKETFEKLVEEGNIPPVPEVTPPQIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIEKGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVQVETYTLSKANNLVMNVDGAIGSLFLDLLSGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >SECCE5Rv1G0309630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:157157653:157160527:1 gene:SECCE5Rv1G0309630 transcript:SECCE5Rv1G0309630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKDRFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHMGLSNFTTGKGKVSLSESNVRPLEVFMCSIVRKMGYGEGFKWMSQYIK >SECCE6Rv1G0444090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826581493:826583272:-1 gene:SECCE6Rv1G0444090 transcript:SECCE6Rv1G0444090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPPSPAAHNLKKRKFDRLDEQEPPPESDGVGLDFFNALPDDLLCTIISRLPTKDGARTQVLARRWRPLWRSAPLNLIDHGLSVSGQLGKRVVYISKILSEHPGPALRFSLPCLHERYHDKIDGWLRSRALTDLQELCLGYGHRRYGIAGIPPSQLPKTPIPQSALRFAPTLRVARIGYCYFPKLPAQPLNFPLLKQLSMYKVTISDWCASSLNFPQLMQLTTHEVTISGGALQSLLSGCLSLESLLLKDNVGVGRLRIISSTLRSIGFSAPSVQELIIEDAPCLERLLPLTPDYGPSTVKWHIQTTEMPAISVTTIMHTVKFLVIDSVGPDLNAVIGFLKCFPCLERLYIISHLRKGTKNARKYDPLDQIKCLTLHLKSVVLQNYWGYKPDVDFAKFFILNAMVLEQMIFRTLNGCNDKWMSGQHRRLQMDNRASPDAHFEFKACHDESWRSFAADKHTHDLSVSNPFADCFENNFF >SECCE6Rv1G0411050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:605323348:605323974:-1 gene:SECCE6Rv1G0411050 transcript:SECCE6Rv1G0411050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEDSKSARVTDNLNSALTIHQPTEEKNKLDADYDKLVKDVHQLVDMQQDRVVDFSYLQSNLTYQHQCRVELVAGMKAEMAKKDADREKLNQKYELLCNLTSAQATVIQNLKLKNLKEKELLSEARQNLELKNAEFTKFEEKLTQQKLELKFQVADLLKGKEKLDEEKYMLHLKIGELMKAEEKLKEKIKGIQAILQN >SECCE6Rv1G0448590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857258787:857259587:-1 gene:SECCE6Rv1G0448590 transcript:SECCE6Rv1G0448590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAVVVAVIATVAMAIGTAHGSVSSVISGSQFDRMLLHRNDGACQAKGFYTYDAFVAAAAAFPGFGATGSTDARKRDVAAFLAQTSHETTGGWATAPDGAFAWGYCFKQERGAAADYCTSSAQWPCAPGKSYYGRGPIQLSHNYNYGPAGRAIGVDLLRNPDLVATDPSVSFKTALWFWMTAQAPKPSSHAVITGQWSPSGADRAAGRAPGFGVITNIINGGIECGHGQDSRVADRIGFYKRYCDILGVGYGDNLDCYNQRPFA >SECCE4Rv1G0225650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:97145888:97150936:-1 gene:SECCE4Rv1G0225650 transcript:SECCE4Rv1G0225650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKALHPFVLLSFLIFLVPSIRSVSGNGHGEALHGDALALLSLKASLSCRPHVLRSWLPGNVASVCEWTGVRCAGGRVVSVDIANMNVSSGAPVTAEVTGLAALANLSLAGNGIVGAVAVSALPALRYVNVSGNQLGGGLDGWDFPSLPSLEVLDAYDNNFSSSLPAGVTALARLRYLDLGGNYFSGVIPASYGGMLALEYLSLNGNNLQGPIPPELGNLTSLRELYLGYYNAFDGGIPPELGRLRNLTMLDVSNCGLTGSIPSELGELASLDTLFLHTNQLTGVIPPELGNLTALSRLDLSNNALTGEVPSTLASLTSLRLLNLFLNRLHGPVPDFVAALPLLETLQLFMNNFTGRVPAGLGANAALRLVDLSSNRLTGMIPEMLCSSGELRTAILMNNFLFGPIPGSLGSCASLTRVRFGHNYLNGTIPAGFLYLPRLNLLELQNNLISGPVPSNPSPTLTGSQSQLAQLNLSNNLLSGPLPAALANLSALQTLLVSNNRLAGAVPPEVGELRLLVKLDLSGNELSGPIPEAIGRCGQLTYIDLSTNNLSGPIPEAIAGIRVLNYLNLSRNQLEESIPAAIGAMSSLTAADFSYNDLSGRLPDTGQLGYLNETAFAGNPRLCGPVLNRPCNISSDTGGSTAVSPRRATAGDYKLVFALGLLACSVVFAVAAVLRARSYRSGPDGAWRFTAFHKVDFGIAEVIECMKDGNVVGRGGAGVVYAGRARSGGAIAVKRLNSGGPAAGRHDHGFRAEIRTLGSIRHRNIVRLLAFCTNDQEANVLVYEYMGNGSLGEVLHGKGGGFLAWDRRYRIALEAARGLCYLHHDCSPMIVHRDVKSNNILLGDDLEAHVADFGLAKFLRSGSGAGAANAGASECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELVTGRRPVGDFGEGVDIVQWAKRVTDGRRESVPKVVDRRLSTVPMDEVSHLFFVSMLCVQENSVERPTMREVVQMLSEFPRHASSQPSPSSASSSSAPEPGKEPNCYKLFPDLLN >SECCE4Rv1G0268180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:740333522:740333953:-1 gene:SECCE4Rv1G0268180 transcript:SECCE4Rv1G0268180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSQHLLSAVAIFSVLAAAATATSIYTCYEGMGLPVDPLQGCHHYVTSQTCGFMQLLPDEVMKDRCCRELAAISWNCRCEGLRVFIDRAFPPSQSQGGGPPQPPLAPRCPSEVRRDFARTLALPGQCNLPTIHGGPYCVFP >SECCE2Rv1G0075120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:82957903:82960918:-1 gene:SECCE2Rv1G0075120 transcript:SECCE2Rv1G0075120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSTTQHPRHRRGTGLKPGSRRRVAAKPDRLSALPDELLHHIMSFLKAWEVVRTCVLARRWRRLWASAPCVDLRVHHYSGRVDDPPEAFRYFVHRLFLLRDESAPVCTLRLRSSNEEAGFTDDDANAWMRAAIKRNARVIHLTGHHPEIVSLDRVSFISCHLKVLKLSYARLDYSTLKQLSSGCTSLEELDLKDCLVMGPRIVSASLKTLIMLECKTICAFSIAAPNLLLLHLVTPCIRVPSFKNLGSLVTATIILDDSFLGDNFEYFSDEDYCDGTTDDDGDGNDDNDWIESSKIHDNDFGYDDFTRFGYGHGFAEGICRHDRYKDNYDYGSDIDNDDNTYAYNEIANDAKYGYKGKGLISSKESIYGGYREWNDSKILGGRHILQSLSSARTLELLTDAGEVVLSRELNTCPIFCNLKILSLGEWCIAADFDALIFLLQHSPNIQKLFLQLKINFNAGKASETGIKLQGRSFTCKDLRMVKITCSKDDGRVHKLANLFMANGIPVEKIYVRHSRSAYIRSQKQMKELWGM >SECCE4Rv1G0225590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:96271922:96272380:-1 gene:SECCE4Rv1G0225590 transcript:SECCE4Rv1G0225590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNPKTPAPRVYIEVPPETTFVHADPSTFKEVVQRLTGQQAAANTVAHGYGGTVQQPPPPPVPRASGIVSPYSHSLPLLSDMPCLCIANKAVTVNTLFPMTSASMLTEDAETVKAEEEKAIKEGRFYLLPSGRCRCCHGEPKLLPFFPGAE >SECCE3Rv1G0184410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:663109543:663110475:1 gene:SECCE3Rv1G0184410 transcript:SECCE3Rv1G0184410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERMAAMPEAVELPGFVMSADEAERAAAAAGVETVVDLLPLLIPSAMRRARPPISRFPVGAVGLGESGRVYAGVNLEFLGAPLSQVVHAEQFLIANAAAAGEPELRAIAVSHMPCGHCRQFLQEIRGAAGIRILVTSDAADGCAAEWRTLASLLPRPFGPHDLLPKDAPLVLEPHDNRLGDPVEAAANGFAAGDLERRLKDAAEAAARAAHAPYSGCPSGFAVADGEGKVYAGGCLESAAYNPTLGPVQTAIIAMIAAGGGSAGDVVAAALVEKEGAVAAQEATARVFLAAVAPQASFHVYKYRSSDV >SECCE2Rv1G0120270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:798065291:798065947:1 gene:SECCE2Rv1G0120270 transcript:SECCE2Rv1G0120270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIAAPASFAPSFAPLCSRIPFAPPLSSTSLSASSPPFHPSRVLVRWEPPPRGWLKLNFDGSVYHDGSGRASIGGAIRDCNGHVLVAFAEQTEHSTVGIVEARALIRGLRLAMSFFRGGLVVEGDDQVLVELLSGKEMQTRIPLAMQEEIMPLLDHFSAYELQHIYREGNQVAHVLCKEAYQRPGVWSSGIVPHAVWEKALEDMHGVAHERIWKKKV >SECCE4Rv1G0283910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:837057033:837060852:1 gene:SECCE4Rv1G0283910 transcript:SECCE4Rv1G0283910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRTSGGVRWRACAAVLAISAVAALLVAHTLMVAGPQSGDGHVRARILAETASSTGEGGGGKDRFPWSKAMLQWQRTGFHFQPDKHYMNDPNAPMYYRGWYHFFYQYNPTGETWGNISWGHAVSRDMVNWRSLPLAMVPEHWYESNGVLTGSATLLPNGKVVVLYTGNTDDLAQVQCLAEPADPNDPLLRTWTKYPGNPVLFPPPGTYKKDFRDPMTAWFDKSDNTWRTIIGSKDDHGHAGIALMYKTKDFINFELIPHPVHRVEGTGMWECVDLYPVGDSKNSSEKELYVLKASMDDERHDYYALGRFDAAANKWTPLDPELDVGIGLRYNWGKLFASTSFYDPVKRRRVSWGYVGETDSNLTDIAKGWANVQAIPRTVALDEKTRTNLLQWPVKEIDTLRHNPTNFGSITVGTGSVIPLHLDQAAQLDIEASFRLNASAVAALNEADVSYNCSTSGGAANRGVLGPFGLLIHTTKSRSEQMAVYFYVSRGLDGGLRTHFCHDESRSSRAQEPVKRVVGSTVPVLHGEALSARVLVDHSIVESFVMGGRLTATSRVYPTDAINAAGGVYVFNNATGSTVTVEKLVVHEMASSPLKPYVEGRD >SECCE7Rv1G0502440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:696424268:696436925:1 gene:SECCE7Rv1G0502440 transcript:SECCE7Rv1G0502440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 28 [Source:Projected from Arabidopsis thaliana (AT4G25450) UniProtKB/Swiss-Prot;Acc:Q8LPQ6] MALSIRSMRLPYSASRPTLNPCRSRLLLSPSVPILNPRGSRLPYLPATSHVPDSGRRCCLRLSRPPHAYISAPAPGPDVYQSPSFDAAEAAADVAAAISSTDAITWAGVWALLSQHKARLAISLAALLACTTCTLSMPLFSGRFFETLIGKGSEPLTRLLSKIAVLYTLEPIFTIVFVINMTVVWEKVMGRLRSQIFRRILIQKMVFFDRHKVGELTGLLTSDLGSVKGVVSDNISRDRGLRAFSEITGTLCILFTLSTELAPVLGLLIVSVSVIVAIFKRSTVPTFKSYGIVQAHISDCVSETFSAIRTVRSFGGEKRQISMFDNLALSFQNSGTKLGVLKAANESLTRVVVYISLMALYILGGSKVSAGKLSIGTMASFIGYTFTLTFAVQGAVNTLGDLRGTFASIERINSVLSAKDIDDSLAYGLAKELDNKELEDSNARVHEKGTVNTHYMSALKSSSSCSDLAWSGDIHLEDVHFSYPLRSDVDVLNGLDLVIECGKVTALVGSSGAGKSTVVQLLARYYEPTQGRITVAGEDIRVFDKREWSQIVSLVNQDPVLFSASVGENIAYGLPDDVVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNAPFLILDEATSALDTTSERLVQEALDLLMKGRTSLVIAHRLSTVQNAHRIAVCSDGKIMELGTHAELVAKGGSYAALVGTQRLAFE >SECCE3Rv1G0189910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:732499991:732500806:1 gene:SECCE3Rv1G0189910 transcript:SECCE3Rv1G0189910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGRPNRGNAAAGRGGGHDRRAAPLGNLCPRSFALRDRRGQSGGGAALPGALGLGGFPRHDLPAPPDGLDLNAVVALPVSEVAPAPARPSHVCVQELRARVRTLEGAFSNADRILSGIQGEIQQMREEEHEKERQIRSLVEDAKKNLQSRHIRIKKFDHDKELMRATLQGYRDKLKKSSDAFLEYKKMCEGSGVSSSGVMADEQNRILVMQQESWADPIIEFEKELLSCSAACAKEIEMLATRIADLNNELQRLNDYIQIPDLNNGGPQL >SECCE4Rv1G0224080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:77267187:77267849:-1 gene:SECCE4Rv1G0224080 transcript:SECCE4Rv1G0224080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAVRDAAAMVSEEEEEEEGQLRRAEGWGKRRRPRRQRQRAPSEEEHLALSLLMLARGHRDRRLPPSSEPAQEHCCSVCGKGFSSYQALGGHKASHRPKPAPAGADEPAATTAASPAASSSTTSSGAGAGGGGKVHECSVCKKTFPTGQALGGHKRCHYEGPIGGGGAPAVASRGFDLNLPALPDIVTERERCMPAPADEEEVLSPLALKKPRLMIPA >SECCE6Rv1G0435060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:769484296:769486211:1 gene:SECCE6Rv1G0435060 transcript:SECCE6Rv1G0435060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKSGASFRLTALPMIVVAQLLAAAVFTLTLVWVLHFREGVTWERGSIPQLVYTAHPIFMVIGLVICTGEAVMAYRIVLGPRAAKKAVHLLLHLLSLGFAAAGLYAAVKFHHDAGLPDIHSLHSWLGIATIGLYALQWLVAFVYFVFPGAMMTMRADYAPWHIFFGIVIFLMAICTAETGLAKYIFPGNDYPSEAFVINFLGISIFVFGVTVVLAVILPSRY >SECCE7Rv1G0499140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:650296157:650296660:1 gene:SECCE7Rv1G0499140 transcript:SECCE7Rv1G0499140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPRSSLCSLVLLMSHGKPQLSAFFSSAATAAAPKAAKPPAAPAGDSLAVDHPAAVVSPSASTRSAPLECSSRTFGHVEPEPEPETDKISPGATKDPEGPTSVGSCGTPQPVSDDPPPGARKDPVHGVPENL >SECCE5Rv1G0320310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:386271994:386274493:-1 gene:SECCE5Rv1G0320310 transcript:SECCE5Rv1G0320310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAVLVSNGAVSPHAPPSAAAFLESTPGAYTTARASSTGLILWWPRHLLRLADSARLLAQSRPHLLGLAAPPPGTLSTAPIEPLVNQSVRVGVHEMRSRMLALGECWSGEDMALTALVRAGGAADGLEVCVHLGVYVPPVFGNAGARLAVAGSGREAAAAKYAPWARMRKSMEKMRPPGATELLLTNDGDHLLEGSVTNFFVVRRKEERQSNEPFSVRTTANKFEVQTAPLSDGVLPGVMRQIVIEECHDLGIPVREVSPSWSKRELWEEAFVTSSLRLIQHVETVQAPLLWEDIETKTWSDVSWAVKQFQGAGHITTQIQRKISERAITEEYDINYFL >SECCE2Rv1G0076990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:95689994:95691703:1 gene:SECCE2Rv1G0076990 transcript:SECCE2Rv1G0076990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPEGDPAAAVPRPSARVELELPLGAAPFDLEAAVCSHGLFMMAPNRWDPASRSLLRPLRLASDRSRSLLARVSRHPARPSLLVSLLGADALSPLDQDCILEQVRRMLRLSEEDGRVVAEFQAMHAAAREAGFGRIFRSPTLFEDMVKCILLCIWTRTLSMATALCELQLELRHSSGTEDLQLRTPPIREHKRKRSKNQNVRVKLETKFTELECLEDPRVETAEDARVATGTSNVTTHLGADEKLASLPQVAPETGSVNQSFDSSELSLEGCIGDFPTPEELANLDEDFLAKRCGLGYRAERIVLLARSIVEGKVCPENLEEMQKLSLPATEELSAIPSTYERLNTELTTISGFGPFTRANVLMCMGFFHMIAADTETIRHLKQCHKIASTIKSVHTELDKIYGEYAPFQFLAYWFELWGFYDKQFGKISEMDPSTYRLFTASALKKQHRLIRK >SECCE2Rv1G0141490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935780499:935781716:1 gene:SECCE2Rv1G0141490 transcript:SECCE2Rv1G0141490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDRAEAAAAGLPPDMLANIRDRLGLLDRLAFAAAFRMPEAPCLVLPGDTPDTATVFSLAHRRSAVVRAPRPDHLVLGSSFSRGWLVTADALARLRLVNPVTGEQRALPAIETIPRVDAQRGGSVFSFEEKPFIRGPPPYPDGSAAMTAGSMRRYFYRKVVLSDNAAIAMLVTDLRYGAVAFATTESRVWRLVPSRRHGIAKAGTKCARWPRPPSRDSIEDAVHHEGRFYSITYSGELEAWEQDADGTGVFTSVVVVAPMQPWCDHRKYLVTAPGGRLMLVLKQQSDKITHGLTFKVQVLDAGAKQWKEVDDIGDAALFVGVCGSLCVSTMEHPELRAGCVYYTADELSLHYPDNQRGAAGVFSLKDGREEKVEGLGPHRNKPRPAWFTPVSSARSLASTPSPT >SECCE1Rv1G0033930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:473964660:473979021:1 gene:SECCE1Rv1G0033930 transcript:SECCE1Rv1G0033930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMERLPAERHGHRYERMQPEPAAEGDASASSSSSPSAPARRPEVLAASASFRLSEATRVFEELPRATIFSVSRPDAGDITPMLLSYTIEINYKQFRWRLFKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGDHMPVVHDEDEVDDVNVPSQPDESSIRNRNVPSIAVLPVIRPALGRQHSISDRAKVAMQEYLNHFFGNLDIVNSREVCKFLEVSCLSFLPEYGPKLKEDYVSIGHLPKIQKGHKEKCCSCGLFSCCKSSWQKVWVVLKPGFLALLKDPFDPKLLDVIIFDALPHMDINGEGQISLAKEIKERNPLHFGFQVSSGGRTIKIRTKSSSKVKDWVTAINAARQPPEGWCYPHRFGSFAPPRGLLEDGSMAQWFIDGQAGFEAIASSIEHAKSEIFIAGWWLCPELYLRRPFEHHGSSRLDALLEARAKQGVQIYILMYKEVALALKINSLYSKNRLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDSPDHKVTDAPSTIWPGKDYYNPRESEPNSWEDTAKDELDRTKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYKGRSKDANGEAEGKQNHDENVDVKKTASLASSASSQDVPLLLPQELEPQALPDGDLAMTGFDINQADNANKTGFKQPLLNRKAKFDTSRQDLPMRGFVDNLSSPDSATIRRFDSPKEDRHHMDKKWWERQEQGDQVASVLDIGQVGPRASCRCQVIRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEREKKRFKAIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPSSILQNLYDVIGLKAHDYISFHGLRAHGRLTDGGPVVTSQIYVHSKLMIIDDRIALIGSANINDRSLLGSRDSEIAVVIEDKEVVNSKMDGRHWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPMDDTTFKNIWMATAKTNTTIYQDVFSCVPNDLIHSRTQFRQSIAYWKEKIGHTTIDLGVAQEKLETYQDGDLKGTDPMERLQLVRGHLVSFPLDFMCQEDLRPYFSESEYYTSPQVFH >SECCE3Rv1G0163840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:153236548:153238864:1 gene:SECCE3Rv1G0163840 transcript:SECCE3Rv1G0163840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein gamma response 1 [Source:Projected from Arabidopsis thaliana (AT3G52115) UniProtKB/Swiss-Prot;Acc:Q9ZRT1] MEGKAVGFSTADCGADAAADDFKYITGMSTILVATIQEVKDRVSQMEFIFCSQIFPHFQAKSKLLHARLADSTATREAEDEWRQKEAGLVSQLEELNRGKRRAEDRLLQLESTLEEMRGMLVNAERLAAERDAEKKQLLGRLEEEMKKDEVIRRLEREIGEKDAEMSRVRGRLEEEMKKDEVIRRLQREIGEKDSEISRVRGRLEEEMKKDEVIRRLEREIEEKAAAISRERGTLEEEMKKDELICRLERENAEKAAEISREREAHQRLLQQLELKDKGLLLEQNKFNHAMTQYKHLKSEHNYLLGKVAEMEGSKIDQNEGSKIDLNEGSKSPVNRKASGSPPSKRKLKDLQETKNESIQVVSRTEDQKNSPNSRVKAQNATSARSVFSNSRLCLPPHATNPPHKNAASTSKTEASSSFTRPSLHWRETRVRKEPGVVDPHDDFLDTPLEAVKNTIRNPTTREEALALAAPPPQDMDFNNSDDETQDINIVAQDLNNIPVPKQRSSISIHPPNKDFKYTEPVRKKADRANLKGVECKQCKKFYDAVLPDGRVNGDGATSMRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >SECCE5Rv1G0318520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:362420912:362437091:-1 gene:SECCE5Rv1G0318520 transcript:SECCE5Rv1G0318520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MLICGRYLSSAAATTPSFSPLRTLTFSLLRYHPTRFLSFSSAAAATTTAVEPETISGGAGGGATRPQWKAAIDFKWIRDNRDVVATNIRNRNSAANLDVVLQLYDQYLALQKEVERLRAERNAVANKMKGKLDPSLRQALVDEGKNLKESLIALEEDLVQLTDKLQLEAQSIPNTTHPDVPVGGEESSVTRKEVGSQRSFSFPMKDHLQLGKDLDLFDFDAASEVSGSKFYYLKNEAVLLEMALVNWGIVEVSKKGFTPLITPEIVRSSVVERCGFQPRAQNTQVYSIDNSDQCLIGTAEIPVGGIHMNSILADSDLPLKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFIFCRPEESDKYHEELITIEENLYASLGLHFKTLDMATGDLGAPAYRKYDIEAWMPGLDRYGEISSASNCTDYQSRRLGIRFRPAPLEPPSSTNSKKGKGGSSGPTQFVHTLNATAVAVPRLIISILENFQQEDGTVVIPEPLRPFMGGLGVLTPKIK >SECCE3Rv1G0187610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:702747249:702749109:-1 gene:SECCE3Rv1G0187610 transcript:SECCE3Rv1G0187610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMRWLKRLLTGRKEGHGGGKEIHAATDWHDAAAVKEPTKRWSFAKQRKSGVDAGKRPSEPLAAALEVKPCRCAGGEQVGAREEKAAVVIQKAFRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQADSRAFKSASYRKSMEQERIVAQDARMRTPPHKPGHRRRLSDSTDSNYERSPRIVEMDTCHLRSRSSRMVSSGRYAADRSSGRHPPDLPPSFSPRSVKQPPRLSTRREPVRHAKTAQNTPRFSGVDPPYAYDSPAKSVDGLTARPVWHRDLLASPRYMAGTASSSARLRCQSAPRQPAEAPRASLTQRDVPAGPRKSACTRTQHGGLCFHCSDATHTRRSDLSDDAARDYYLDRMW >SECCE7Rv1G0465600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:72156700:72161535:-1 gene:SECCE7Rv1G0465600 transcript:SECCE7Rv1G0465600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASKARLAGSSARQVGSRLGWSRNYAAKDIRFGVEARALMLKGVEDLADAVKLTMGPKGRTVIIEQSFGAPKVTKDGVTVAKSIEFSDRVKNVGASLVKQVANATNDTAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGISMAVDSVVANLKGMARMINTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELDDPLILIHDKKVSNLRSLVKVLEFALQKQRPLLIVAEDLESEALGTLILNKLRGGFKVCAIKAPGFGENRKSNLQDLAILTGGEVITEELGMNLENFEPNMLGTCKKVTISKDDTVILDGAGDKKAIEERAELLRSSIEQCTSDYDKEKIQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKDLDKLPTANFDQKIGVQIIQNALKTPVHTIATNAGVEGAVIVGKLLEQDNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAIIVEIPKEDKAAPAMGGGGMGGMDF >SECCE1Rv1G0031970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:448941480:448942542:1 gene:SECCE1Rv1G0031970 transcript:SECCE1Rv1G0031970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHKLTVKAEDDSNPRDKYITWTDEATSFMLDWFIELRKDKPATFKFKKQHHLQCADALNGKFSLGVTQNQVDRHYRSCKEKWGWVRRALANSGNGFDRIAFKFTLSESEKQSLNKTAVNYLTRPIRFFHQLEELFSDQSHADGSLAIDQNTINVDGASDDSEDVREVEGYSFPLDSDEADSDTINRLSPNVDLDGNPLNKKRKRVSSSPSSKPAKGKSHKKGKVSNDDMAASIKKLADSLASPIVSVQPMPPTDPYANLWKRINALTITTKDKLEIVAYLSKPDQDIFRSYLNHADETILGEWVLSYFEPRFREDGGTGGSGASH >SECCE7Rv1G0498080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:635200437:635205744:-1 gene:SECCE7Rv1G0498080 transcript:SECCE7Rv1G0498080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPETALSSAADGSDDEDGDQCRICRFPAEADRPLRRPCACSGSIRFVHDDCLLRWLATSRQSRCEVCSREITISPLYAPNAPARLPLSEFMLGLANKVMGWVIVLLSLVVAVLVWEFIMPVTTLWTWRLALSRSFAQVRHLLSLRLSATSFFADGFYRFRFMPSVDTIFACVSIRRAFVRDLGHFRQLNGLARIGADAVAPLALWVARLETHLQNRFGGLDSLQVLALHTVEASLMVVIVDIGIAFMFGFVPFTLGRIILWCVSCFNFGNVDDVNSYASTAYILLIGYGFIFSLGVTFAGMHTYHQYSRGERLLIAIFFKILVDGTCWLLSPFRWLHRIHVMIRKTFSLCQMFFRGIANLITCANFSLNVINMIIVFPLLFGWSLDICTSKMFGATIHERFKLLWASSFSSITLHWLTGCIFLILRSKLSSLLRPILRPGVSIPFFHLAEEHNVKLCMREPFYIVSFKKLPRLFAGIINVGMVFLVPVQIAGGLAPKLFPLDITYFDPPTKGTSFWQAPRTYTELLSGFVLLRFLICNTLKYLQPRKLVEKILRNWFATTGQTLDLLDLLIVQPDGACGHEVSNSVAPNDQYGSTYEVMANRRSVAIRMTLLVVLAWLTVVIFNTVVLIFPISVGRALLLAIPQLPVAGGLKFNDLFAFAVGFCTISTIIAASRDSFVYMTSGRTCLLASVIRKWGITALKSSPLLFIWIVLIPILIGLLVDFLLISPFKFLVDFLVMSPFIVPADDIPVLDFFSIWFLGLLLLKFWTNLAHWTRDAPFLAHFIDGRWDWKLTRAKDDGFAGLRAKWVLQDILMPITMKLVIVLCVPYVLANGVFPRFGYSAAVNSAVYHFAWLGSLALCGLCYLAKVFWGALVKLHDSIRDERYIIGQRLQDYTDNS >SECCE4Rv1G0257930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:673758469:673759416:1 gene:SECCE4Rv1G0257930 transcript:SECCE4Rv1G0257930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQSFVGWTEMEDVEVTAASASSGRAGVIVWTNSMTKTMLGFLADLVADGKRTSSGFRDAHHRQCAAVLNEKFKLSVAGDQVKNHLKKWRKIWTKVVNLKNLSGALWDEDTCTIRLSEEHYAGHCMTHKADAPFLNTPIEYYHALASIYGTIGAKGLGARSGNDLLSIDMEDEENGEANTSPNVGESSDPKAPPKKKAKVKHVQDDPLVLTLKDGFKLVAEALVKSSGDDDDIPDDLWDVVSVLPDFDEEHLAHYYAHLVDNPKTARAFMKLTQINKSVWVSRYVKKNF >SECCE2Rv1G0141020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934155457:934156717:-1 gene:SECCE2Rv1G0141020 transcript:SECCE2Rv1G0141020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAAVVVVLALVSAAPAEGLSPDFYKKTCPQLDQIVASHVAETFRSNVGVAPGLIRILFHDCFPQGCDASVLLNATNGEQPTEPNSTLRPVALDLIERIRNAVHTACKDNKVSCADITVLATREALVKAGGPRFDVALGRRDALAPARAQVGKLPEPSFDVPTLVQSFKNRGLDVTDLVALSGAHTFGVAHCPSFDGNPAIDAKFATALKNKCDKDFTGTATQNLDVLTPDAFDNKFYLDLVARQGLFKSDQFLIDHPATKALATRFSLDQGAFFEQFAKSMTKMTNMDVLTGTQGEIRNNCAVPNKPVDIETA >SECCE7Rv1G0493060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:562834304:562836480:1 gene:SECCE7Rv1G0493060 transcript:SECCE7Rv1G0493060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSRVLIFLLLGLFLALAGSSPPPEPVECARGTSDCTVTNVYGSFPDRTICRAANATFPRTEKELVAAVAAAAASKRKVKVATRHSHSFTKLACPGGRDGTIISTERLNKTVSVDAAKGLMTVESGMVLKDLIQAAAAAGLALPHSPYWYGLTIGGLLATGAHGSSLRGKGSAVHEYVVGMRIVTPAPASQGFAVVRELSVGDPDLDAVKVSLGVLGVVSQVTLALQPMFKRSVTFEKRDDTDFASQAAMWGGLYEFGDMAWLPRQGKVIYRKDDRVPVSTKGNGLNDYLGFRSNPTLALITARATEEHLEEDGSDIARCLAARAPSVLFELQAYGFTNDGSFFTGWPVVGFQNRIQASGTCISSPEDGLLSSCTWDPRIRSPFFYNSGFSVALSKAPAFIAEMQKLRDLKPRAFCGLDAKLGVLLRYVRASSAYLGKSEDSIDFDVTYYRSYTEGEPRANSDVVDEIEQLALRKYGAVPHWGKNRNFVFDGVISKYPKAGEFLKVKARYDPDGIFSSEWSDQVLGVKGSPNIVAKGCAIEGLCVCSEDSHCAPEKGYFCRPGTVFAEARVCSTRASFGDESDDLLEEQ >SECCE6Rv1G0420270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:676214433:676216518:1 gene:SECCE6Rv1G0420270 transcript:SECCE6Rv1G0420270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIEMTLPPGFRFYPSDEELVCHYLHRKVANQRFTGGGATGTMIEVDLHVHDPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATRSGYWKATGKDRVIRSPRSSSSCSGRAAIVGMRKTLVFYRGRAPNGTKTCWVMHEFRVENPHSPPKEDWVLCRVFHKNKADTEYAMDGEPELGGGALSGSNYLSSSSCHDPEQYHHSPTAPFPSIGARGHHYELLPCDHHHPHGAAGVSLSEVDPFAGMPQLLSYDSILDFSQQLQGDRGAAAGLRDGAEDQCGGVLIDRGLQVQEEHYNYNSLM >SECCE6Rv1G0420480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:677453846:677455818:-1 gene:SECCE6Rv1G0420480 transcript:SECCE6Rv1G0420480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVLASRPAMVLWALLGLALLRQVKRLVDYTWWRPRRLQRALRAQGLRGTPYRFPVGDLGDYGRQGKEASSRALPLRCHDIRAHVAPYLCKTVQEHGKTCVSWFGPVPKVTIADPGVTREVMSNKFGHFEKLQFPTLTRLLAGGVAVYEGEKWVKHRRILNPAFHIEKLKLMMPAFSACCEELVSRWTQSLGSDGCCEVDVCPAFQTLTGDVISRTAFGSSYLEGRRIFELQSVQADRIVAEVKKIFIPGYMSLPTKKNRQMHQTNNEIESILRGLVEKRMQAMQQGETTKDDLLGLMLESNMRETDDKGQPILGMTIEEVIEECKLFYFAGSETTSVLLTWTMIVLAMHPEWQDRAREEVLGLFGKNKPEYDSFSKLKTVTMILYEVLRLYPPAIAFMRKTYKEIEIGSITYPAGVIIELPVLLIHHDPDIWGSDVHEFKPERFADGIAKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCMILQHFEFDLALTYSHVPHNQKMLRPMHGAQIKLRAI >SECCE7Rv1G0454150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:1522446:1523834:1 gene:SECCE7Rv1G0454150 transcript:SECCE7Rv1G0454150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRGVGVGGGGGGGGGATLGSTAWEALRRHFSRKRAVDIRRINPKVPKEEAVAISGRLLQILADHGPLTVANTWNHAKDAAIDGLNSKTHMKILLKWMWGRRIIKLSCTQLGNTKKFLYSPFTADDAEAAGDPSPAEEQPKKKGWKGKHPKYQTKKQPAAAAA >SECCE5Rv1G0371550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:847717152:847721707:1 gene:SECCE5Rv1G0371550 transcript:SECCE5Rv1G0371550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SK31 [Source:Projected from Arabidopsis thaliana (AT3G61140) UniProtKB/TrEMBL;Acc:A0A178VFN7] MDVDCEVSAAAAAAVTNGLGGGEQPTAAPVSADQLDVEAYAAQYSGRTRVARLLFIAERCGVEAIQLEALRMAHDEARAREDTVLYLDAVLKINGRLGQRYRHDQAWVDSVNRRAEQRKEKLETELNGYRTNLIKESIRMGYNDIGDFFYAHGHLSEAFKSYIRTRDYCTTSKHIVQMCMHVILVSIELGQFAHVTNYVSKAEQTPDTLDAVIVAKLRAAAGLANLETKKYKLAARKFLETGPELGSNYSEVIAPQDVAVYGALCALASFDRSELKSKVIDNINFRNFLELVPEVRELVNDFYASRYGSCLEHLEKLKPNLLLDIHLREHLGTLYNDIRHKAIIQYTLPFISVDLNTMASAFKSSVSMLEKELAALITENKIQARIDSHNKILYARHADQRNATFQRALQTGNEFERDIKAMLLRANLIKHDFNQKNWPGQRKMNL >SECCE3Rv1G0145880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8605432:8607696:-1 gene:SECCE3Rv1G0145880 transcript:SECCE3Rv1G0145880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQAKAARGGKRSRTSGGTMATRVVERKEAERERRQHMKALCAKLTSLIPKEHFSNPDTMTQLGSLDVAASYIKKLKERVDKLQHRRNSAQAMAVARGASGASTPTTTPTTSGGAGSPEGEKHWAALAPVVEVRQHDDTSMDVVLVCSTERPIMLHQVITILEEEGAEVVNANHSVAGHKIFYTIHSRAFSSRIGIDVSSVSERLGALV >SECCE7Rv1G0499470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:654015054:654015375:-1 gene:SECCE7Rv1G0499470 transcript:SECCE7Rv1G0499470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQGYPQQGATAYPPPGQQQAYVAPPPAMYQQDQQYPPAGADTTSRGGHGHGGDGFLKGCCAALCCCCLLDACF >SECCE7Rv1G0515450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839638894:839645905:1 gene:SECCE7Rv1G0515450 transcript:SECCE7Rv1G0515450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACTNLTDATRLVQVLKIDGYSASTTSSDCIRSRWIVDGYEWEIQLYPATSQFGIGYEYNEPLYRKSTMSKFVDGKSSWDYCSRWVAVKLVFLGEPGTSNKHHYHNTVKATLGCRLVDQRGVLGPSQEKSVSRQFSSPQECPNAVVLIEMHDLAESGYLRDNSLAVECAITKVIAPPPPTNLHQHLGELLQSGTGADVTFIVSGESFAAHKLILASRSPVFMAEFFGAMKEKRSRRVEVQDMEASAFRAMLHFIYTDTVPELDPPVEQVATMAQHLLAGADRYGLERLKLICESKLSHGITVETAATTLALAEQHHCSQLKAKCIDFIVSTTAVLDAVLATEGYKHLEASCPLVLADLLKSARGRNSKRSSR >SECCE1Rv1G0034050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:475561076:475561633:1 gene:SECCE1Rv1G0034050 transcript:SECCE1Rv1G0034050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPQSGGPSLATTAAAETSKHWAPHGPMLTACLVSINVLMILLVFFYFWRFFSGKRGPSSPGGADEEGSSTDSSPATSPRASRRLRDPDQPDIPSSLPVSVFDSSSEPAGKAAADCAVCIVEFRDGDLARLLPGCGHRFHAACVDAWLHLHSTCPLCRASVVAPVPAAAEPKNDSKDDGPECPV >SECCE7Rv1G0509340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:779747581:779750559:-1 gene:SECCE7Rv1G0509340 transcript:SECCE7Rv1G0509340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPPLSQRAVAAASTSPSPSTSTPDVVAELGRVLSTRRWNKGRAYERLAPSVTPALVADLFRAPSAAPDPATALAFFDWVARRQGFRHTAASHAALLHLLSRWRSPARYEQLVFSMFGCSRTAEDARVSADALRAICRTGAARHALSPACYNFALRSLARFDMTEEMERVYSQLVGDGLLPDTKTYNAMIKSYCKEGDLPKAHRYFKLLLECGLEPDTFTCNALVLGYCRTGNVRRACWLLLMMPLVGCHRNEYSYTILIQGLCEARRVREALVLFLMMRGDGCSPNSHTYTFLIGGLCKEGRVADARMLLDEMSRGGVAPSVMTYNAMIVGYCKAGRMQDALGIKELMEGNGCHPDYWTYGTLIHGLCDGKMDEAEQLLDSAVKGGFTPSVVTFTNLIDGYCKAERIDDALRVKNNMMLSKCKLDIHVYGKLINSLIKKDRVKEAKELLTEISATGLVPNVFTYTSVIDGFCKIGKVDFALEVLKMMERDDCQPNAWTYNSLMYGLIQDKKLHNAMALITKMQKDGITPNVITYTTLVQGQCNQHEFDNAFRLLEMMEQNGLTPDDQLYSVLTGALCKAGRAEEAYSFLVRKGVALTKILYTILIDGFSKAGKSDIAATLIDSMIGEGCTPDSYTYSVLLHALCKQKKLQEALPILDQMTQRGIKCTIFAYTTLINEMLREGKHDHAKRMYDEMVSSGHKPSATTYTVFINSYCKEGRVEEAENLIVEMEREGVGRDAVTYNTFIDGCGNMGYIDRAFHTLKRMMDASCEPDYATYRILLKHLLKENFNFRYVDTSGMWNFIELDTVWQFLERMSKHGLNPTITTYSSLIAGFCKANRIEEACVLFDHMCRKDIPPNEEIYKLLIKCCCDTKSFEKASSFVHNMIQHRFQPHLESYQLLIVGLCKEGEFGKAKSLFCDLLELGYNHDEVAWNILNDGLLKGGYVDICSQMLSTMENKHCSISSQTHAMVTNGLHEASVSLVGELRGEAL >SECCE6Rv1G0443630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:823718121:823719563:1 gene:SECCE6Rv1G0443630 transcript:SECCE6Rv1G0443630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPHVVILTSSGLGHVLPVSELAKCLAVHHGFTVTIVTYASLSTPGHSSPLASLPPGVSVATLPEVSIDDLPADAHLVTRILTVISRALPQLRDLLRSLLDLPEGITAFMTDMLCPAGLAVGKEMGLPGYVFYTSSLMSLLSLLYTPELSRTTTCECGDLPEPVMLPGCVPLHGADLVEPVQNRSDPVYQLMIDLGRNYLLAEGFIVNTMDALEHETLEAFKELSDKGLYPPAYAVGPFTRPRCPDSDEVKHSCLRWLDKQPNGAVLYVSFGSGGALSTEQTTELAAGLEASGQRFLWVVHHPNDKDSSADYLGNAATGADPLSYLPKGFVERTNGTGLLVPLWAPQVEILNHVAVGGFMSHGGWNSTLESVAAGVPMVAWPLYAEQRLNAVMLSSDRVGLALWERPPLGKDGAVVPREDVAALVRELMEGEKGAAAREKAVHLREEADIASAPGGPQDRALAVVAGMFSLHLKSQAE >SECCE6Rv1G0443080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:820569881:820570223:1 gene:SECCE6Rv1G0443080 transcript:SECCE6Rv1G0443080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPNLKTIKIRGCWGLRRLPVVGARSGSMKKPTIEIEKDIWDALEWDREVAPGHFEAPLHSRYYKKKMPRVSVLR >SECCE7Rv1G0506940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:756655759:756657604:-1 gene:SECCE7Rv1G0506940 transcript:SECCE7Rv1G0506940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALHAAAVLGSVASLRKLAAERPDILGSKTPQENTALHIAAELGHAGFAEEALGVDHKLLVSKNADGDTPLHLAARSGKVDVVELLITHARVWRSEQPQHSPAAAQPKHADGHTGKPSPSFPGSTETEALGPLLMANKAGDTPLHQAVQHGWSAVALKLLDAEPSCGHALDAKKQSPLHIAAREGLADVVSKIVSHPWVRERFVPSDSVSGTALHQAVLGGHSRVVEILLAATPEDQIALTDSSENNALHYAAQKNSARVVNLLLNRKVELAYRRNRDLQSPLHVAANYGSTEAMVELLKHCPDAAEMVDSKGRNAFHVAVTSGKVDALKRLLKHVRPEEIVNRVDHAGNTPLHLAAALSRVQSALLLIKDRRVNPCVLNREGQSARSLIEKRGAAADEEMDTYEMYLWKKLKKHEACRCQKQQLPPIATYQSLRGRRAGHDEYFKHSVETYTLVATLIATVSFAATFTMPGGYSQTEGTAIHGHTAAFKIFVISNTVAMCSSVVVVFCFIWAWRDPVKFKLDQLMWGHRLTILACLAMVVSLMTAVYITVAPTARWPAYVVIAIGASTPAVVFLILGKEALYIPL >SECCE2Rv1G0114470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:735342468:735349594:-1 gene:SECCE2Rv1G0114470 transcript:SECCE2Rv1G0114470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] MAPPPPAPTPAARLLREYGWDLMLGSIAAFYAVMVPYTKVEESFNVQAMHDILYHNHQIEKYDHLEFPGVVPRTFIGALVIAILSSPAVLIMRVFHAPKIYSLLAVRLVLGCVILTTLRLFRVEVKRKFGRHVEAFFVVLTAIQFHVLFYSTRPLPNILALALVNLAYSFWFKGNYLRTLQALIVAAVIFRCDMILLLGTIGVALLLSRSFSLMEAIKCCISTALLCIGFTVLVDSIMWQRILWPEFEVFWFNSVLNRSSEWGTHSIHWYFTSALPRSMLVAYPLCLVGALLDRRIVPYVLPVTLFVVLYSKLPHKELRFIIAAVPMLNVSASLAANRLYNNRKKSGWNFLYVLMLGAFLASLGYSAVSFMASYSNYPGGHALKALHEADSSMKEKMVHIDVLTAMSGVSRFCENEYPWRYSKEEDISIGEYQNRNFTYLLNEHRYVSGYKCLFGVDGFSRARIQPRFPPLSLVKVPKVFAHGSTGDPGVLSLDWPGCP >SECCE2Rv1G0128520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:861675642:861676061:-1 gene:SECCE2Rv1G0128520 transcript:SECCE2Rv1G0128520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSKIRDIVWLRQTLRRWRSRAAARVAEGGAVSVPAGHVAVCVGGASRQFVVRAAHLNHPVFLELLLQAEEEYGFRTGACGPIALPCDEDRFRDVLRRVSSEDRRGRSFGCRAPAASSRDVATRPLLRRPAAEELVW >SECCE7Rv1G0497870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:632200601:632201788:-1 gene:SECCE7Rv1G0497870 transcript:SECCE7Rv1G0497870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKKDPWAAGGSVDPVEEEEAAAVMEVEEEEDVKGDEEEEWNHEEDEAEEEEEEWEQEEEEADEASAEEQPEEQPKLAEGYYEIETIRRRRRRLNQIQYLVKWRGWPESANTWEPKENLKACSDFVDAFEKRQQPRSYGKRKRKRKISITPVVSPNPSSHGKRGRPRRSDPRSLSQRPAPERKILPPRASSRRGTDNSNRNLVAGSDASVNVAGQQQMIGQGATQEGSSNVLSVGLPSVVVHQQDEHQPASGVSKVDSSVQGPPPQAGQVTGAKKRKLGSVRRFKQDDAQQDQGQVVNGTSEKPGNEGADSAQGETGDRTKGEDSANRHITKIIKPVRYFATMTDDVQQVSITFRALRSDGQEVLVDDKELKSTNPLVLINYYEQHLRYSPTS >SECCEUnv1G0565290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:392150130:392151563:1 gene:SECCEUnv1G0565290 transcript:SECCEUnv1G0565290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQATALLIPFGSYRLGVHGRGSDIDALVVGPSYISRDHDFFAVLGGVLAETEAVTELQPVPRAHVPVIKMRFRGVQVDLLYASVCLPVVPRDLDLRDRSVFRGMDLASARSLNGVRIANELLRLVPDAGAFRTTLRCIKHWAKARGVYSNVMGFLGGVGWAILVARVCQLYPNAAPSMRVPRFFKIFAQWKWPNPVLLRDIEHDGGGGLALRLPVWDPRRNPRDKSHIMPVITPAYPCMNSCFNVSHATLRTITEQLQIGNGVCQEILKAGGAGGWDALFQPFQFFKAYKSYLQVDVKVAGGEADLREWKGWVESRLRQLVTRVEMATAGMLLCHPNPKAYAAKPHDLHCTSTFFVGLSKPQPQQQPQVPFDLRATTEGFKQEVYTYEFWRPGMELEVSHTRRKDLPSYMLDQILPAGHLKRKRAAESGSSPPLSSASGEVKRVAAAGGTGSAPERKRQCCPSNILPSASVLGVV >SECCE5Rv1G0305510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:73083183:73087368:1 gene:SECCE5Rv1G0305510 transcript:SECCE5Rv1G0305510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLDGVKHLLAVLFKCCDLELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNASVEEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEIIENIIDKTFEEADTKHDGKIDREEWHNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >SECCE5Rv1G0310550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:170932984:170933295:1 gene:SECCE5Rv1G0310550 transcript:SECCE5Rv1G0310550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASERAVVIFTLSSCCMCHTVARLFCDLGVSALVHELDQDPRGKEMERALLKMLGKGPSVPVVFIGGKLVGGTNRVMSMHLSGELVPMLRNAGALWL >SECCE4Rv1G0242180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:470994930:470997146:-1 gene:SECCE4Rv1G0242180 transcript:SECCE4Rv1G0242180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNLRGPLLPLLCLHLLLSLFIPFAIADIASEKQALLAFSTAVYHGKKLNWGQNISLCSWHGVTCAEDRSHIFALRVPAAGLIGAIPPNTLGKLVSLQVLSLRSNRLSGSIPSDVTSLPSLRSIYLQHNELSGDLPSFFSPGLITLDLSYNSFTGQMPTSLQNLTQLSILNLAENSLSGPIPDLKLPSLRQLNLSNNELNGSIPPFLQVFSNSSFVGNPGLCGPPLAECSVLPSPTPSLPPSQTMPRHGKKVATGFIIAAAVGGFAVFLLAAVVFTVCFSKRKDRKEAGADYKSKGADGARIDKHKADVSSGVQMAAKNKLVFLEGCSYNFDLEDLLRASAEVLGKGSYGTAYKALLEDGTIVVVKRLKDVVAGKKEFEQQMELIGRVGKHANLVPLRAYYYSKDEKLVVYEFVTTGSFSAMLHGIKGIVEKTPLDWNTRMKIILGTAHGIAHIHAEGGPKLAHGNIKSTNVLLDQDHNPHVSDYGMSTLMSLPINTSRVVVGYRAPETYESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQEDVIDLPRWVHSVVREEWTAEVFDVALMKYHNIEDELVQMLQLAMACTSRSPDRRPTMAEVIRMIEDLRQFTASESRASSNEIPRDSNPPSA >SECCE3Rv1G0195680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:801637184:801640542:-1 gene:SECCE3Rv1G0195680 transcript:SECCE3Rv1G0195680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAPALLLLLLAAAAAAATTTTALTDDVLALVVFKTGVADPLGRLARWTEDDDRPCSWPGVGCDARAGRVTSLALPAASLSGRLPRALLRLDALLSLSLPRNNLSGPVLPGLLASLPRLRSLDLSSNRLAATVPADLFAQCRDIRTISLAHNDLSGYIPPAVASCSSLLSLNLSSNRLAGPIPDGIWSLPSLRSLDLSGNSLSGSVPGGFPRSSSLRALDLSRNLFAGEIPADVGEAALLKSLDLGRNFFTGGLPDSLRRLTALRFLGAGSNALGGEVPAWIGEMWSLERLDLSGNRFAGVIPDDIAKCKNLLEADLSRNALTGALPWWVFGLPLQRVSVAGNKLDGWVKVPRDAALALHVLDLSSNAFSGGIPAQITAFAGLQFLNLSSNSISGQLPAGIGGMRLLEVLDVSANTLTGSVPPEIGGAVALRVLRMGDNSLTGRIPAQIGSCSSLVALDLSHNDITGPIPSTLGNLTSLQAVDLSQNKLNGTLPVELSNLPSLHIFDVSHNLLSGNLPNSRFFDNIPDYFLLDNSGLCSSRKNNSCGAVMPKPIVLNPNSSSNPLSQSTPNSPSSKHHKKIILSVSTLIAIAGGAAIAIGVITVTVLNRRVRAAASHPKPAIALSDDYLSQSPENDASSGKLVMFGKGSPEFSTGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKVLSKMRHHNIVTLRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEENTLSWMERFDIIIGVARGLMHLHQHGVVHYNLKSSNVLLDSNGEPRVGDYGLASLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLALEILTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEAIPIIKLGLVCTSQVPSNRPDMGEVLSILEVVRSPQDSPGDEMV >SECCE4Rv1G0278330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:808434727:808439714:-1 gene:SECCE4Rv1G0278330 transcript:SECCE4Rv1G0278330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASFPGELAGASILESPGSGAFRHLRQAMDFTFRKRDKRSVFLGMTRCSIQQGPSPAWPGRAVAEPSRRSWDSPKPISIIGSTGSVGTQTLAIVAENPDKFRVVALAAGSNVTLLADQVKMFKPNLVAIGNESLLNELKEALADCEYMPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHNVKILPADSEHSAIFQCIQGLSEGSLRRVILTASGGAFRDWPIERLKDVQVADALKHPNWKMGKKITVDSATLFNKGLEVIEAHYLFGVEYDDIEIMIHPQSIIHSMIETKDSSVLAQLGRTDMRLPILYTLSWPERVYCSEVTWPRLDLCELGSLTFKAVDNVKYPSVDLAYAAGRAGGTMPGVLSAANEKAVEMFINEKISYLDIFKVVEMACDAHRNELVTSPSLEEIIHYDQWARKFSATLQPSSSRRSTVLA >SECCE5Rv1G0335980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:581233138:581234958:-1 gene:SECCE5Rv1G0335980 transcript:SECCE5Rv1G0335980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMAVSPLRPLLVLLLAALACHAADASWSGHGHGRGRGLGVNYGRVADDIPTPRRSVQLLRAAGAGSVKIYDANPAVLRALAGTGMPVSIMVPNQIIPALAASYAAADRWVADNLLPYLPRTRVKYLLVGNEVLSDGSIAASTWTRIVPAMENLHRSLRARRVSRVKLGTTLAMDALVNGAFPRPPSAAAFRPDIAESVLRPLLRFLEGTNSYYFVDAYTYFVWAGSNGTVPLDYALLQAATRARYVDPGTGLTYTNLLDEMLDAVGAAMSKLGHGGVRIAIAETGWPNGGDYEQIGANVRNAAVYNRNLAARMARNPGTPARPGARMPVFVFSLYNENLKPGPGTERHWGIYYANGTAVYPVDLTGRRPLWAYPPLPAADNDTPYKGPIWCVLAAHAGRELNETAVGDALTYACGQGNGTCDAVQPGGECFQPNTGAAHASYAFNSYWQQFRKTGATCYFNNLAEQTIKDPSKHDQLASRYDRG >SECCE6Rv1G0380810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:26331277:26333082:1 gene:SECCE6Rv1G0380810 transcript:SECCE6Rv1G0380810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSGGHRRNKSARNEAAAGNKNGRRRRNKSGRKGATDDDGDRLSKLPNDLLLNILERVDTLDAIRTCILSKQMLNLPTMLSQFFLSAGSVPGHHDKARVFSRSEVLRTNNAVARVTDSILCTRNPKIAITKLNIRFVLMPRASLTIGRSVARAMASQKIGAAEFEIITEKAFTDCSPADLLQFANQLNNFVGAFPDVFAGLRRLWLRNMRFAELDIANILSTCKLLASLRLTECDSGIGSVLQVEHAQLVEIEVDYGEFARVELTCLPKLQRVSYNNWCSYGDPLYFGFVPQLSKLSLTKTGVRWEKTLELSQLLANVPNISNLHLDFESEKIWVLPECPKLLTPVLSKLQHVNLDNLPEGCDLAWTMFILEAAPTLEELCVAVRDHWCIMVTDEQVRKKHGYCEKAVVNWKPYTPDFKHKNLLKLTIYGFQPDDSFMRYIRCVVDHTVNITEISLYDRKVCGSCGDLDPEIKVKVCPSRYPQTAEEMKHITEGLGLASRTVIHFRS >SECCE4Rv1G0216490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:11067643:11070336:-1 gene:SECCE4Rv1G0216490 transcript:SECCE4Rv1G0216490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSALFMEWAMDTLEQEHPDPVVVVNGDAAFPSLQALREQRLVFEELITGANPASSGSSGETTDGSGGCGGNSWSTAVVEHDVWPPSPPNSARCAPRRSGNGGGTSLPVTSWNFCAASALPASDGTLLDSGNAGPVVPETVYGSQPTRRAAARSPTGTGTGPVSSGPPYAQDHIMAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRHVKELQHKIKALEAATGRGSRSTETVVLIKKKPRHADAAAVSDQNGSPSSASSSTGNPLPEIEVRFSETGVMVRTLCHDLKGVVVRVLSEVEEGLHLTVTHANVMPFTACTLIITITAKVDEGFTVTAEEIVGRLNSVLESHSSCTSTEEK >SECCE2Rv1G0090120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:269759410:269762319:1 gene:SECCE2Rv1G0090120 transcript:SECCE2Rv1G0090120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSRATSPDSVQGGANGYGYSHQPKQAQTPPSYNNAQPPPQAEVRYTPPAMNPPVVPPVVAPSKPMPDTILGKQYEDVRSVYSLGKELGRGQFGVTYLCTEISTGRQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQPNIVEFCGAYEDKGSVHVVMELCAGGELFDRIIAKGHYSERAAATICRGVVNVVNVCHFMGVMHRDLKPENFLLATKDENAVLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILQGEIDFESQPWPSISESAKDLVRKMLAQDPKKRISSAQVLQHPWLREGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFMNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMDAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDNSGFITRDELETALIEHEMGDADTIKDIISEVDTDNDGRINYEEFCAMMRGGMQQPIRLK >SECCE7Rv1G0464290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59873837:59877168:1 gene:SECCE7Rv1G0464290 transcript:SECCE7Rv1G0464290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:Projected from Arabidopsis thaliana (AT2G26250) UniProtKB/TrEMBL;Acc:A0A178VYA3] MAREELSTEIVNRGVEPSGPDAGSPTFSVRVRSRLPDFLQSVNLKYVRLGYHYLLSHGVYLATIPLIVLVCGAEVGSLSRDELWRKVWDEATYDLATVLAFLAVLAFTISVYIMSRPRPIYLIDFATYKPADELKVSKAEFIDLARKSGKFDEESLAFQARLLAKSGIGDESYMPRCVFQPDANCATMKEGRAEASAAMFAALDELFEKCHVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVISIDLARDMLQASGAGLAVVVSTEAVSFTWYAGKRRSMLIPNAFFRAGAAAVLLSNRRRDFRRAKYQLEHVVRTHKGADDRAFRSVYQEEDEQRIKGLSISRDLVEVGGHALKTNITTLGPLVLPFSEQLLFFAGVLFRHLYPSKTSTPPPPAANGDTSAAAPYIPDFKRAFEHFCMHAASRDVLEHLQRNLGLRDADLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPSRSPWLDCVDQYPARMDA >SECCE1Rv1G0050780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:649274591:649275934:-1 gene:SECCE1Rv1G0050780 transcript:SECCE1Rv1G0050780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPWALELLMGSCFSPTGRTCNEETTAEVNPEEGVPDAVVISNSLPVDIILEVLTRLPVKTLFRFRCVSKGWRALLSDPAFAAAQRSRASAAAAPLVVGVFGKPRPLEKFYPSRPPRFPQASLELRVIDTADGNVLKVVKDVKSAKLMRTRLDLVFVDQGVHGARVIDPATGRVLTVGGEASIEYPKANCNGIPYDFMHAEFCHSNFGRATPSGAYKVVRLRNAVTALGNGQICQVATIVAAATATTIEPMWRQRPEPPIPTCWCSSCTATVNGVLHFMDHGAPAHGTRPANSGWNRIASFDLESEEWKTMTDGPPTGCPKEDEKWEMTLAELKNTLSVIQTVWSPCYLGDRYTNIWLLVDPEKSTWKRECTIQMTKNWCFLKVLEIFVDGRILMLNAFKKEEEDLCDVRCILQLYHRSTGALTDLMKMPEDFRGSMTLYTGSLLS >SECCE7Rv1G0468450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:98299987:98301879:-1 gene:SECCE7Rv1G0468450 transcript:SECCE7Rv1G0468450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAGGAALALLLLLAITARPAAADFFSPLSPLLAPVMGSLCKAVACGKGNCTVTTGLPGYRCDCEPGWKQMHVGDSLRFLPCVIPNCTIDRSCSNDASAPTPAPSPKNVSISADPCDLAYCGSGGTCKNATGLSYHCECKEGFSNVLNMTTMPCFQDCSYGADCAAIGILPSTNSNSTAPPAGSASVSNNCNAPVPGSVLRQILLPLLILASLAMGQAT >SECCE5Rv1G0347930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:671475139:671475570:1 gene:SECCE5Rv1G0347930 transcript:SECCE5Rv1G0347930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVALHLEIAAVVVMAVLIVAVAAMASGAACDDAAAVHAADVESALGDGTLMTYEQATAGKKGKGEEERCAFCQSEYGEGDAGVLVRVVPACGHFYHASCGVDRWLRKHRTCPLCRGGLLPPPPPLPRLPRPECPPMPPSH >SECCE6Rv1G0412240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613455802:613457463:1 gene:SECCE6Rv1G0412240 transcript:SECCE6Rv1G0412240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAGDAEQAARRTGTADSDGSTLRRCSAAVGHALSAKCVAASVLGVVVFLSAFFLLLHLRSPGNTMPDDPATLFGGIQAGFILLKPPAQLASHATMLEQEIYHQIGVPNSAVSVSMRTLLENVTFVEFGILPDLANTSISAQSMHVLRNNLIQLTLQQLNLSLTPAVFGNPFCMEMLGFPGGITMEFETPQLNSTVGVVQPVFNITLEKSIRQLRGLIAEMKKDLGHILLEEKEDIYIDLTNKNGSTIAPPVIVQVSLSPDDCSIDEEAEMLKQLAELITESSSRNLGLNPSVFGRIKDLKLAPCLQDYVPSFAPSSSPTPMPSPSMPPYSQPTTSNLCEHCSCPAWMKILNATLPRRKLIRRPPMKISTQLPTRLRSRSGPGHKISGNALAAPTFIAPSSQP >SECCE6Rv1G0430800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:744764382:744765547:1 gene:SECCE6Rv1G0430800 transcript:SECCE6Rv1G0430800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPVKLISSFGSMFCHRAEVALRLKRVPYELVIEDLHNKSQLLLTHNPIHKKVPVLLHGDRPAISESLVIIEYVDEGFVGRPLLPIDPHARATARFWAHFIDEKCMKFLWAALWMKPGEAQRQSMVEAKGSLALLEEHLGEKSFFGGDSVGLVDIAASVLAHWLGVMEKISGVTVVTDEEFPALCHWAKRYVSDEDVKTCLPERGELVAMFSGCKEMFQSMAMAMPQ >SECCE7Rv1G0502750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:700323486:700326810:-1 gene:SECCE7Rv1G0502750 transcript:SECCE7Rv1G0502750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGAPPGGPAAGEGAAAATPVAGAGVVKGRSCKGCLFYSSVLRSKGRGPVCVGVTRGIPQVPDRMVGEIELGAIQEGRNLSNFKYACAGYSIYLDDKDSSTVKGEKHAELPICVGVELLTDRAPTKQAPAHMKKEATQAHGQKPALTQADFITKFQRNAGLVASGVVRNMNKVGTYVKDTVDDILYPYRKRPK >SECCE1Rv1G0055270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:679008528:679009862:1 gene:SECCE1Rv1G0055270 transcript:SECCE1Rv1G0055270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSSKRKSGEAAMITADQVFPSNNKRKKALLLSLCTLLLPDEMMLEVLLRLPVKAILRFRAVCRAWAALFSSEDFCTHHMAISKVVRPAPKLLMLVSPTATSNSTAMYSCSPSGSRDDLLFTVDTARRNSMRIVTPSPCCGLTLLYDDAAPAYYVCNAATRAITRLPPHGSPAYRSTAGLGFDARTREYKVVRLITGGCGDKERNKCEVYTPGAGCWRPAAGGGVPFRLSRYAISAVIHAVTHKVPPVFANGLLHWFIGPSHIATRTRAPILTFSLTDETFGCVRSPPPFWTSEVDLHYGSEKEHLVVMDDDHLCMVRDLRNTIPRDGTLEIWKLLDYSPGEWSLYHRIHLFGHVGIYLLDVLTVRVVGLIGGCRPGKKKIVIASSKYKFPGQFEQKLHTYDPRCQALETILSITETHTHTRPASTFSLFEESLVQVHKPMDS >SECCE7Rv1G0517400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:854053300:854054724:-1 gene:SECCE7Rv1G0517400 transcript:SECCE7Rv1G0517400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLLALIFLGTLVPFLHGVTTATSLPPLNGSDGGFSLRLTAKHQPVHDLVSLQRAKDHVKCRITNHLLPPGTTTNITVNAISPPMCPLADTVYAVMVAVGTENGYHEYELALDMATGLTWMRCVPCNPRMAQFNPVFNPSESPTFRRVPASDSVLCRAPNEPQESGLCAFHISYPGSPTPTSATGYLAKDTFSFLKGSNEGGLEQLQGMVFGCAVHTTEFHNQDVLAGVLGLGMWRSVMHPRTTFTDQVNHGQGVRFSYCPYTPGMSTHSFLRFGADIPRQPPSSVHRQSTPILTPIRKSGYSYYVKLVGVSVGGNPVPGVTPRMFRRLNSGLGGCVIDIGTKMTGFVDEAYIHIEKAVRLHLQHHGAHFVRLQGHHLCVHQPAPHHDVLPSLTLHFDDGAWLRVRPEHVFMKIVFEAGHQYQCLGLVSNGHMTVIGAMQQINHRFIFDLHPRYPTLTWNPEACHLDASPS >SECCE7Rv1G0471490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:131116129:131116479:-1 gene:SECCE7Rv1G0471490 transcript:SECCE7Rv1G0471490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNLLLVIGVGIVICSIIMPATAISMPRAWEYCNVNALKMQELGKWAVAEHVKMANDGIRFIDVWGCEEQVVHGINYRVFLHAFHNSGEKGRFQADVYEGASSSVRKLVSFGLVI >SECCE2Rv1G0123320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:823391461:823392753:1 gene:SECCE2Rv1G0123320 transcript:SECCE2Rv1G0123320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLTTHTINMTRLMLTERVFFYPDATSDVLPNLTDSLSNALAAFYPLAGRLGLTPGTHNRYELHCQPGDGVTFTVAEYHHDGFGDLATDDPTEVAKIVPLVPPLPQGGAVLAVLATLLRGGLAIGVTVHHAACDGVSSTHFLRTWAWAAAGCSGASAPEPPFVDRSIIRAREDLYDAFTAPRLSSNDDDGGKPSDSPVVQQLLATFTLSKEHLQSIKEAVAGEAERRGVPPPRATSIVLAFGFIWQCYVRAKPGTDGKAASSCGGRAYFLLPADHRTRLEPPVPDEYLGNCLGPCIASAPTKDVASAGAGGLFTACAAIATAIDGVVRGGPAYWDGWMERIIEAYSTGDLPLTVAGSPRFRVYDTDFGFGRPAKVDVVSVARTGAISVAEARSGGGGVEVGISLSAGAMERFNECFADAIACLSSYEHV >SECCE6Rv1G0437640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:785573696:785576670:1 gene:SECCE6Rv1G0437640 transcript:SECCE6Rv1G0437640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40610) UniProtKB/Swiss-Prot;Acc:Q9SCX9] MEMENGHAGRHRVAVIGSGNWGSVASRLLASNTAKLPSFHDEVRMWVFEETLPTGEKLSESINHTNENCKYLPGIKLGTNVIADRDLESAVKDADMLVFVTPHQFVEGICKKLVGKLRPGVEAISLIKGMEFKMEGPCMISKLITDTLGINCCVLMGANIANEIAVEKFSEATIGYREDKEAANRWAKLFTTPYFLVSVVEDIEGVELCGTLKNVVAIAAGFVDGLDMGNNTKAAIMRIGLREMRAFSKLLFPSVRDNTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDELEAEMLHGQKLQGVSSAREVYEVLTYHGWQELFPLLSTVHEICIGQLPPTSIVEYSEHTPNLSFVGGSTPCY >SECCE1Rv1G0028600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:404344111:404348915:1 gene:SECCE1Rv1G0028600 transcript:SECCE1Rv1G0028600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARRSLASRFSHHLNRRFHPSVPHLLTRSNDDDPPSSSSQPQPLPSFRSPLPPASRAAQTLHHPLPFSLHHSGLPRRGFSSSAPAPDPPVEVDAAASVLVDAAEAVASSVPAPFPGEVAAAAADSFFPVAALQHLIDSIHTFTGLNWWACIALTTVLIRTMTIPVLVSQLKSSQKLNAIKPEMEAIKDAMDSMDPKSALEGKYKMTALFQKHGVSPFSPLKGILIQGPMFMSFFFAINNMVEKVPSMKGGGVFWFTDLTTPDPLYICPVLAALTFLATVELNLQEGMEGNSMAGKMKTFSRGMALMTVPFTMNFAKGIFCYWITSNLFSLVYGIVMRQPAVRKLFNLPAWEAPSAPALNSALNMFGGSKAVPSARSPLALTTAQQSSLEKPDAAALGYRVKNLEKKGKSKGKSRKRR >SECCE2Rv1G0129210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:867129022:867131553:-1 gene:SECCE2Rv1G0129210 transcript:SECCE2Rv1G0129210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTASGREYKVKDLSQADFGRLELELAEVEMPGLMACRAEFGPSQPFKGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGAGGGPDLIVDDGGDATLLIHEGVKAEEEFEKSGKVPDPESTDNPEFKIVLTIIRDGLKTDARKYRKMKERLVGVSEETTTGVKRLYQMQESGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGIQILTLEDVVSYADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMNGLETYPGVKRITIKPQTDRWVFPETKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKATGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLTKAQSEYISIPVEGPYKPAAYRY >SECCE4Rv1G0221880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:52789734:52790096:1 gene:SECCE4Rv1G0221880 transcript:SECCE4Rv1G0221880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMKLSLTFVLLLLSALVVFGDAGATCDTIRCKQGGSITCKNYPGQKLDGCACVCAPKDGRRCVLLLDDGSTYDCPRTKC >SECCEUnv1G0554890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:293069122:293069880:-1 gene:SECCEUnv1G0554890 transcript:SECCEUnv1G0554890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSLGMVLTEYLDKKKEGKDSIETVMNLIEFPLLDQTSSNSVISTTPNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMSPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQNKNRCFTTSHKLYVRRSTHTGTYEQELLYQSPSTLDISSETFCKSKSSVPSYKLVN >SECCE6Rv1G0451340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872107086:872109823:1 gene:SECCE6Rv1G0451340 transcript:SECCE6Rv1G0451340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYNHPNPTNPSAPPLYPSLSMADLAPVEIPRSPDAPAPSDDVLLRVPGAQLHLVDRQRSHPLAAGDLSLHRIRAGDTSLAAIAALGPVQWPLARDVAAVKLDPRHYSFSFAVPASPDDPAPDPLHYGLTLSVPDPRLDALLGAYTRFSAHSVAGSEGLADGVRGEVEAAAYWTAVAPNVEEYGSAVARAIASGAENVAKGILWCGVMTVDRLRWGQEVLRKRIQPGDTEAEVSPEMLRRIKRAKKVTKMSEKVATGILSGVVKLTSYVTSSLVNSKAGKKFFGLLPGEVVLASLDGFGKISDAVEVAGKDVLSTSSTVTTGLVSHKYGEKAAAATNEGLDAAGHAIGTAWAVFKLRQALNPKSVLKPTSLAKSSIKAGAAELRSKSSKHK >SECCE6Rv1G0400650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:455803968:455806115:-1 gene:SECCE6Rv1G0400650 transcript:SECCE6Rv1G0400650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLGTLVAITLLLLHLHAAPTAAAQAATGAFIYAGCSPSKYERNTAFESNLDSLLTSIASTASSGATYNSFTAGGGVGQAEAARTAAYGLYQCRGDLSRGECVACVRETVARLGAVCANSYAASLQVDGCYVRYDASDFVGRADNTVAYRKCSSGASEDAGFLKNRDAVLRQLQAQAATGYKLTSSGAVQGVAQCLGDIAAPDCAACLAQAVVQLKGTCGSALAADVYLQQCYVKYWQNGHDFRSSQDYSGDEFGRTVAIIIGILAGLALLVVFISFLTKAC >SECCEUnv1G0557780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:327112537:327113353:1 gene:SECCEUnv1G0557780 transcript:SECCEUnv1G0557780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFLLLAALLALVSWQATASDPSPLQDFCVADMNSPVRVNGFVCKNPMEVNADDFFKAANLDKPRVPNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNLPAPNKNKFLSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSDPPISDDVLAKAFQVENNTIDYLQAQFWENNHY >SECCE4Rv1G0214520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:848895:850049:-1 gene:SECCE4Rv1G0214520 transcript:SECCE4Rv1G0214520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVDTVSAGTSFIARHPFDHHRPRRTLLHVVSCRPLRTPFAGRRLVARRQPSPPGQRLADWPVRALAAVGFTKEAVPRKEFRGIPGDGDTGGPGLGTDDAAPAATPSWPPRNRADDPSLHNPLLRLERMGCGWLGVIFEWEGVVVEDDTRLERQAWLTLAEEEGKSPPPAFVLRRVEGMKAEHAVSEVLCWSRDPAELRRLAARKEEIHGGLRGAASQMRDGSREFMSTLVNYKIPLAVASTRPRKAVEAAIEAVGARGFFDAVVAAEDVYRGKPDPELFLYAAQLLGFIPERCVVFGNSNSAVEAAHDARMKCVAVASKHPVYELGAADLVVKRLDELSVVDLKNLADIDSPEFGMEPEPEMEEEEDEAPPSTAVGVDDIFL >SECCE6Rv1G0421190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:682293574:682301341:1 gene:SECCE6Rv1G0421190 transcript:SECCE6Rv1G0421190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEUNIG_homolog [Source:Projected from Arabidopsis thaliana (AT2G32700) TAIR;Acc:AT2G32700] MAQSNWEADKMLDVYIYDYLLKRNLQATAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLIQQRHAQMQRTNSGHPSLNGPINGLNSDAILGPSTASVLAAKMYEERLKHPHPMDSEGSQLIDASRMALLKSAATNHTGQLVPGNPGNVSTTLQQIQARNQQTIDIKSEGNMGVAQRSMPMDPSSLYGQGIIQQKPGLGGAGLNQGVSGLPLKGWPLTGIDQLRPNIGAQMQKPFLSTQSQFQLMSPQQQQQLLAQAHVQGNLNNSANYGDMDPRRFTTLTRGGMNGKDGQPAGTDGCISSPMQSSSPKIRSDQEYLMKMQQTSSQQSQEHLQQQQQQQQNQQQQQLNQQQQMQQNNRKRKQTTSSGPANSTGTGNTVGPSANSPPSTPSTHTPEGLAIAGNMRHVPKNLMMYGADGTGLASSSNQMDDLEPFGDVGSLDDNVESFLSNDEGDARDIFAALKSPTEPSPPASKGFTFSEVNCWRTSNSKIVCCNFSSDGKILASAGHEKKAVLWNMDTFQTQYLPEEHNLIITDVRFRPNSTQLATSSFDRTVKLWNIADPAFSQHTFSGHNYSVTSLDFHPKKTELLCSCDGNGEIRYWNVTQHACIRVIKGGTAQIRFQPSTGQFLAAASENVVSIFDVETHTKKYTLQGHNTDVQSVCWDNTGEFLASVSQDLVKVWSVSSGECIHEVSSNGNKFHSCVFHPSYTNLLVIGGYQSLELWNMVKNQSMTVPAHDGLIASLAQSPVTGMVASASHDNSVKLWK >SECCE6Rv1G0420960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680916389:680917117:-1 gene:SECCE6Rv1G0420960 transcript:SECCE6Rv1G0420960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLQLSAVVLLVIVAPSLAGDADMLQDVCVADLASPIKLNGFPCKADITADDFFFAGLKNAGNTNNLAGSNVTAANVQSFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVTQGVLEVGFITTANKLFTKTVTVGDVFVFPRGLVHFQQNRGRGPASVIASFNSQLQGTQVIATTLFAATPPVPSDVLAKAFRVDNKDIDAVKAKFK >SECCE3Rv1G0214080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963930584:963942332:1 gene:SECCE3Rv1G0214080 transcript:SECCE3Rv1G0214080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARHTPLPLLEALLRWRESESPKGAHDASTYQKKLAVECIFSSACIRFAEYCPQEGITEKLWNGLESFVFDWLINADRVVSQVDYPSLVDLRGLLLDHVAQLLGALSRIRFSSVTERFFMELNNRRVDTPVARSETLNIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKNNWPPLGVEPALSLWYDAVTRIRGQLMYWMDKQSKHIAVGFPLVTLFLCLGDSQTFNINFSQHLEILYKYLKDKNHRSMALDCLHRLVKFYVNVYADYQPRNQVWDYLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAESNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNQQIGLDVFQVYGIGHYVPKVKSAIESILRSCSKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDRMTEIIPQHVTSIDPVVREEAVLVLNRIVRFLPDRRFAVLKGMSTFILKLPDEYPILILNSLGRLVELMRLWRACLSEELLAKDTQSFKRSSLGGDTLQRSSPFHRSKDISEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRSLKNDLRDYSANEGDNKLRLEPEPIFIIDIIEENAEDIVQSCYWDPGRPYDLRREMDPIPSDVTLQSILESVDKSRWARYLSEIVKYAAELCPASVHVARLEVVRRLDQITPSELGGKSQQSQDSEAKLDQWLIYATFACSCPPDNKEFVPKAARDIFHTIFPSLRHGSEGYALAATAALGHSHLEVCEIMFGELAFFLEDVSSETEGKSKWKNPRSRREDLRTHVANIHRMIAEKIWPGMLIRKPVLRLHFLKFIEETYRQINMASSDGFQDVQPLRYALASVIRYLAPEFVDARSERFDNRIRKKLFDLLLNWSDESGSSWGQESGTDYRRELERYKATQHNRSRESLDKLAFDREMAEQLEAVNWASMHAIASLLYGPCFDDNARKMSGRVILWINSLFGEPAVRAPFGHSPVDPRTPSYSKHTDGGRFGGKDKQKASQFRVLLAKTALKNILQTNLDLFPACIDQCYSPDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGIGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEENEEPIRPGKFDASANVVLEFSQGPTTSQVSSVIDSQPHMSPLLVRGSLDGAIRNVSGNLSWRTSTVTGRSVSGPLSPLAPEVTNIPNPSTGRSGQLLPALMNMSGPLMGVRSSAGHLRSRHVSRDSGDYYFDTPNSNDEFLHQGGSGIHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSTSLLSALVQSMVSAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPTVKSDSCVLLLRCVHRCLGNPVPSVLGFAMENLLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDVNGYISDLHRLESRTTSERLLSVTETGKVPDFEGVQPLVLKGLMSSASHGSAIEVLSRITIPTCDSIFGNPETRLLMHITGLLPWLGLQLTKDVSSLGSASPLQEQNQKAYYVASNISGWCRVKSLHVLAEVFRSYSYGEIISLEDLFARASPPICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESALCAEALNVLEALLRSCGGGGTAGGDDSLGLSGENGGGHGGMMMGEKVLERMLLPQSSFKARSGPLQYAAGSGFGSMMAAQGVGAGNAAPVDTGLVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >SECCE2Rv1G0138700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:922763813:922765167:1 gene:SECCE2Rv1G0138700 transcript:SECCE2Rv1G0138700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPASIPCGSKSARGSRYAVDRLSSLSDDLLHHVMSFLPMPEVVRTSLLSPRWRNLWCSTPFIRIDSQDFVDARKLENFGDCLLLLHDCTTSLDEARISAQWVNDIKCSVWIRHAIMHKVRVLHISGPLSMDKTAIFPSRHLKTIRLQSVMLKHGLFRPLNYDCPVLEHLELEWCDFSDHEEISSRSLKVLHISDCHLTSGLLICARNLTHLSILDPKIGGIVTRDLSCLVTASISLIPTYFDHTHTVVDHHLHLLDGLSRATTLELHVPLHERAFEGAMETCPMFSNLTSLVLGDWCMTADLYPLHRILQCSDKLTELTLKLEMDECNTCKPLLPIRRESPSGSGSYPCIKRIKIYCQKEHPGVGELVQALLPIAGNAKISIERP >SECCE6Rv1G0448600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857273090:857274055:-1 gene:SECCE6Rv1G0448600 transcript:SECCE6Rv1G0448600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFEVVAMLAMAAMVIGTVRAAQCGSQAGGATCPNCLCCSRYGWCGSTPEYCGDGCQSRCSGCGGGVTPVTPNPIGGGVSSIISRSLFNQMLLHRNDPGCHARGFYTYDAFVAAAAAFPGFGTTGGIAIRKREVAAFLAQTSHETTGEWPKAPNGPYAWGYCFKQEINPTSNYCTPSTEWPCAPGQSYYGRGPIQLSHNYNYGQAGRAIGADLLGNPNLVATNPTVSFKTAIWFWMTAQPPKPSSHSVITGQWKPSAIDQAAGRVPGFGVITNIVNDGIECGHGHDNRVADRIGFYKRYCNMFGIGYGDNLDCYKQSPFA >SECCE2Rv1G0099350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:515389445:515391293:1 gene:SECCE2Rv1G0099350 transcript:SECCE2Rv1G0099350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH27 [Source:Projected from Arabidopsis thaliana (AT4G29930) UniProtKB/Swiss-Prot;Acc:Q700E3] MDPDMGENFAYYWETQRYLESEELVDSIFVGATEDAMPYYDSSSPDGSHSSSTPMGAAMPGSGIGMGGTGANKNILMERDRRRKLNEKLYTLRSVVPNITKMDKASIIKDAIEYIQQLQAEERQMEAEVSALESATGAEDDYDGGLSVEQVSSAQRKKVKRALSVSSMNDALLGAASPPVEVLELRVSEVSEKVLVVSVTCSKQRDAMTKVCRALEELRLRVITANITSVSGCLMHTLFVEVDEMDRFQMKETVEAALSQLDSAGSPPLSSMSY >SECCE3Rv1G0205180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899341594:899344422:1 gene:SECCE3Rv1G0205180 transcript:SECCE3Rv1G0205180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGVRPSLPSAAAGGASGPDEPRDARVVRELLRSMGLGEGEYEPRVVHQFLDLAYRYVGEVLGDAQVYADHAAKPQLDADDVRLAIQAKVNFSFSQPPPREVLLELARSRNKIPLPKSIAPPGSIPLPPEQDTLLSENYQLLPALKPPTQIEETEDDSERADASPANPNPNYPQDQRGSEQHQPQGQRVSFQLNAVAAAAANRSLVSIDQLKMG >SECCE5Rv1G0319480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:376167215:376168714:1 gene:SECCE5Rv1G0319480 transcript:SECCE5Rv1G0319480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQERSPKAKEAHADDGITIAELPSPRPGEGQKKERLLDFLRAAPSKGAVLARVASRRTRAAYSNFLRSPPIARAVDWRGVRDMGLAWARHPMNAALLVWFAFVAAGVAFVFLLMVGALDADVPDASRRRRWTEVANQMLNALFTIMCVYQHPRLCHHLALLLRWRAPADVAELRAVYCKNCAGGVRRERLHVAVVVLLLHSTCFAQYAYCALFWFFRNDSRPGWAVNLLMALGTGTPVVAGLYMVYGPLGQKIALPDSVDEESATVKESAVARYDRTGSGRVVVSKPEWAGGLFDLADDPTVAALSLSCTFCVFGWNMERLGLGNMYVHAFTFALLCAAPLLVFAVAALSIRDATLGYLVGAAGALLSVLGLTYGGFWRTRMRKRFGLPADDRFCAPVCGGRRTTVAAADYAKWLFCAPCALAQEVRTGNFYDVEGDGLYVKGEGGVEEERRPAMAPLEREGSLAALMEAEKTGEQCLHAPPVPVRVETRDKASTSV >SECCE5Rv1G0363330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:792360022:792360291:-1 gene:SECCE5Rv1G0363330 transcript:SECCE5Rv1G0363330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGKKRAALASLFGFKNRRQEEEEATAATRQQKHAVAAPQQKYQHHRVRPSDDDDYTRHWYAERDIDRKASEFIDKVHRRMLANEQDG >SECCE1Rv1G0046470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:617547443:617547952:1 gene:SECCE1Rv1G0046470 transcript:SECCE1Rv1G0046470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQVAAHRAFLLCNYLLLGAASACIFLTLSLRLVPSPCGLLLVFLHALTAVFAAAGCSGSFTTPAAGAGAQHTAHTAGAVLTAIFQGAAAVLAFTRTADFLTDLRSYVREDDGAVILRLVGGLGAAIFVLEWAALALAFALRLSEDVGEEAAHGGEYPKSWPSGYHV >SECCE5Rv1G0330020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:524003122:524004987:-1 gene:SECCE5Rv1G0330020 transcript:SECCE5Rv1G0330020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPPLRLILSSRRRPLATHHRRLSSSSAAVAAATPATSRDRAAHLAAAVHGSAAAKNFAHAIRLTKSLVQAASPSARPGAAAFAALASTSTSPGPALGVLVIALSQMALHDEALSVFHRLPTLPALPACNAILDVLVKAHRFDRVWKLFDEMLSRGMVPTVVTYNTLINACRHEGAVAKAREVWKQMAARWIGPNVVTYSTMISALCDEGCIGEAERLFLTMKETGMRPNHYTYNALMSSHCKRDGLNRALTLYQELLKSGLVPNAVVFTTLIDGFFQVNRISDAKNIFLDMHRYGVAPTVPVYNSLIDGAFRSGDSQEALTVYEDMARLGLCPDEFTCSIVVRGLCSGGQVQVAARFLEAMQQSGINLNAAAYNALIDEYCKNGNLAEALATCTIMSEVGIEPNVVTYSSLIDGHSKKGKMEIAMAIYTEMIAKGVEPNVLTYTALIHGHAKDGDINAAFRLQKEMAEKGISPNSVTVSVLVDGLCRENRIQDAVMIFMKHSGQKKHADIHACLSNSTTEEDHSTPNSVTYMTLIYGLYIDGQYREAGKFFSCMRESGMVPDSFTYSLLIRGQCMLGYVLNAMMLYADMVKVGVKPMTTVCPDIWSRDAPNDLKTVET >SECCE6Rv1G0414760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:636595108:636595329:1 gene:SECCE6Rv1G0414760 transcript:SECCE6Rv1G0414760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGKTSWPEVLHWSVYPAMDKIKSDRPELTIDSLPVGTVPAPPGFQSDRVLVFYHQEEGVVCHVAVIPVVG >SECCE4Rv1G0264240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:719626940:719631363:-1 gene:SECCE4Rv1G0264240 transcript:SECCE4Rv1G0264240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVAPSLRRALASSAVPHRGAARLPDPGLPSPHALPPQWRRWASAAAASSLPPPPPSPPQGPPRHAGGGSAASSLNPAEVAKFAAIAETWWDSQGPFKPLHLMNPTRLSFIRSTLCRHFRRDPYSAKPLEGLKVIDVGCGGGILSEPLARMGATVTGIDAVDKNIKIAHIHAASDPTTASIEYCCTTAEDLVKENKQFDAVISLEVIEHVANPLEFCKSLSALTVPNGATVISTINRSMRAYAAAIVGAEYILRWLPIGTHEWSKLVTPEELVLALQRASISVEEMAGFVYNPLTREWSLSDDTSINYIAYGIKKSEAPSTTPQ >SECCE7Rv1G0486280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:406600266:406604706:-1 gene:SECCE7Rv1G0486280 transcript:SECCE7Rv1G0486280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGPIVCRPIMQARLPAALVNNSLIKSGQPGTAFLGAVSKYRNITRLVSPISQSSSKKFGPICHSFSSSSDGNGYMAGNFNENDADYVNSTVLEAVEVRSGSEGYIVKMRDGKNLRCVQNNSQGREIPESAPQPAIVLRIEDGSGTLLPIIVLEMPSVLLMAAIRHVHIARPTIYQVIKELIDKMGYEVKLVRVNKRIQEAYCAELYLTKIGEQTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPAKFVAAGGLSDGLLFTELDRPDGQPCVEAQEFSLVRNMFIAVVEERYKDAATWKDKLMRLRSKRKNWA >SECCE1Rv1G0059610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707203325:707204803:1 gene:SECCE1Rv1G0059610 transcript:SECCE1Rv1G0059610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFALAWQGMEPAPAASLSEAGATLPLHSVQGAAAATNGADTVYWLHQATYAPAVLPTVPPAVAEAVAKRQKEAENIAVYLIQILHKCAGSIEAGDYAPAAAKLAEARTMLATSVSTTTGIGRVASHFAAALAHRLFPASPHSSFALDTSPERAGELYRQFYDAGPYLKFAHFTANQAILEAFEGCDRVHVVDLAIKQGLQWPALIHAFSIRPGGPPSVRLTGVGSAPTADEVGLRLAELARSMNVPFSFQRVTDDSLDQLQPWMFQVLPGEAVAVNSICQLHRLLVDPDAASTSLPTPIDVVLGWIASMQPRVFTVVEQEADHNKPGLVTRFDNAMFYYGCVLDSMESMSVSHGGAIGNGAGADAYLQREIFNIVCGEGSARTERHEPLACWWDRLSRMGLTHMPLGPSAAYQAAKLVRMFSGTGFRVQEIGGCLSLMWHGHPLFTASVWSAMPTDGTGAATAEESADKHKLKMSIGESSGSHLPNARAQ >SECCE5Rv1G0318800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:368326041:368327865:1 gene:SECCE5Rv1G0318800 transcript:SECCE5Rv1G0318800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPNAEASRQLLRDGDGGAASAACYTVWMRSSMGFQGTDGFSVYDAGGGLAFRVDNYSRRRKIFAGELTLMDGHGAPLLSLRPQIISMHDQWNCYKAPEEGHAKRARSQQLFSVRKCSVLQSNREAEVYMSSACTTTTTTDAPASDHGTSGHQSPGFWIEGCFRRRNCKILRAHDGKEVARIARKKARTAATHDTAPLTLGEDVFSLVVQPDADCTMIMALVVVLDRICWRPYTPLICSS >SECCE3Rv1G0148290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:18922527:18923503:-1 gene:SECCE3Rv1G0148290 transcript:SECCE3Rv1G0148290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARVVALVLLAVGSGLLSVAADTTTAPSPQPFVWQKAHATFYGGADASDTMGGACGYGNLFSEGYGTRTAALSTVLFNDGAACGQCYKIACDRKLADPLFCKPGVTVTVTATNFCPPNDALPNDNGGWCNTPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCVKRGGVRFKINGHGYFNLVLVSNVAAAGSIMSMDVKTNDSEDWMPMARNWGANWHSLAKLTGKMLSFRLTNTDGHTLVFNDIVPKGWTFGQSFVSKLQF >SECCE1Rv1G0054080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:672898945:672899976:-1 gene:SECCE1Rv1G0054080 transcript:SECCE1Rv1G0054080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYKQLGLGAAGSPVTASHLLMLVLGAGFLAFTVFVVHPNDFRLQSFFSSGACPGSPPHDPVLAPVNATPSAKAVTTTPAPVEHHPGVRVLIGIQTMPGKYERRHLLRTVYALQLQEHPALAGAVDVRFVFCNVTSAVDAVLVALEIMRHGDVIVLDCAENMDGGKTYDFFATAARAFDGAPPKYDYVMKADDDTYLRLPALAAWLAGAAREDAYLGLQMPCDRENFYPFPPFMSGMGYALSWDLVRWVARSEVSRRDRVGPEDMWTGRWLNVAGKAKNRYDGAPRMYNYLGSSPANCFRRGFRPDTIAVHMLKDAGRWAETLAYFNATAALPPSALYHLP >SECCE2Rv1G0095980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:429877300:429878367:-1 gene:SECCE2Rv1G0095980 transcript:SECCE2Rv1G0095980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMPAKIGGRASSARPSSHVARAFGVDAGARITCSLQSDIREMASKCADAAKMAGFALATSALLVSGASAEGAPKRLTFDEIQSKTYMEVKGTGTANQCPTIDGGVDSFPFKAGKYEMKKFCLEPTSFTVKAEGIQKNEPPAFQKTKLMTRLTYTLDEMEGPLEVGADGTLKFEEKDGIDYAAVTVQLPGGERVPFLFTVKQLVATGKPESFSGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENVKNASSSTGNITLSVTKSKPETGEVIGVFESVQPSDTDLGAKAPKDVKIQGVWYAQLESN >SECCE2Rv1G0097160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:453520571:453521122:1 gene:SECCE2Rv1G0097160 transcript:SECCE2Rv1G0097160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPALLPTPPSAPIATFVKTSRTDGKPGCAWASRSWIDNKFMILAAASDLTGGERAGRVLSEMSGGERLKRVASDMGGSGSAGRGPSETSGGARAGCVALGMGGGDRAGREESRTSWSAVKRPASRAPSTDRCDKKPKAPVKKEASPEADQLFAGPTFMSVVPPDPAELPIPYYFMKKAG >SECCE6Rv1G0386510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:96955684:96957098:1 gene:SECCE6Rv1G0386510 transcript:SECCE6Rv1G0386510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSNTTILDATRSILERRAGSLHTIQLLCMQFYLGDESIFIGQTVANSIATQKVASVDFTILTKVRRNCTKDELLTNGRQFMSFFDSCPNTFGCLARLTLENLRLGESAFPKIFSICKQLEFLFLHNCDMGIQSLLEVEHPQLCELVIASGCFKRVHLKWAPKLTVVKFSIFRSKDDPFCLGYVPLLHTVSIINTALSWHKMLKLSELLGKTAISNLHLNFRSEKIWVKPEGRRQLLPVFYKLRIVNLLNIPEECDLTWTMFLLEGAPNLEELRIVVRDHLCLVVTGEQRKKGAFSEEKDKGLEWEPSASDFKHHNLAGLSIYGGFQAEEKFVSYARSIMQAAVNLEDIKLYKSPVCQRCKHMRQEWTLKEKSSLSYKIKEGMPSLVRIHFPSLGEVFF >SECCE4Rv1G0225990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:102454724:102455326:1 gene:SECCE4Rv1G0225990 transcript:SECCE4Rv1G0225990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTQPPLPTGPVQAHRRQRAASHSSSSSSSSSFSTASSTASSPSPSPRTTSIPFSWEHHPGIPKSHSFLRGAAHPAASPSPPLPLPPPIRAPPSRRRANRSAPGAPGAADPFAAALAECTRERTNAIDIDALFPPKPASAVRAGPRRWSITAGGVVGLLDLYGCKNAMGVAEGAFVVRRPVAAVGRAGQGRAGRPGKR >SECCE2Rv1G0097940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:472412778:472415180:-1 gene:SECCE2Rv1G0097940 transcript:SECCE2Rv1G0097940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFTPPIPQDDSNWEIRVAVLLSLFLQMILIFVGPVRKRSSSPVPRFLVWACYLLADWVADLALGLLLNNMGNIGGSQSSSSSSITQHATGLKRGSPTNADAGNSSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSASVIFFCSLKGNPMIPATVLMFVVGIIKYGERTYSLYSGSVDGFRENILDPPDPGPNYAKLMTEFDAKEQAGLVVDIVFAGADEEAKKALADLEEGEAARLVNNRTKSLEAQAFDFFLIFRRLFVNLILSYKERKISQAYFLDREDVTNSPAKAFQVIEVELNFIYDMVYTKAPVAHSRAGCVLRFLASACLVSSLLIFFFHRDKGDLTRVDVAITYALLLGGIALDGVALAMLLSSHRMLVLLEKTRWLEWLARAVRSVRPRLRRWSERTSQLNLVSYCLGKPDPSSSGLRGCLGGPRMARALARVAETLRVREIFDDFFFIRRQRLCCRQGVGGQEKGPLLDFVFGTLKERATKAKAIKDTTAACSCRGEGVLNELHDQIMEKLKEATPEAEVINEDKHKMKEKVATLEAAGEKEEGISNMDKKVKEKDMVEEKLDVLAGSVDRDREFDESLLLWHIATDLCCYPLESSTAKTKDLSNMQEIGQTLSEYMLYLLIKQPEMLSATAGIGLLRYRDTCAEAKRFFESAAAWDPDHVDAQRMLLSVNTTQKPSVVKGDRCKSVLFDAVILAKVLRDLGDDLMWKVVAGVWGEMLTYAAGKCRGSTHVRQLSRGGELITMVWFLMAHMGLGDMYRIHEGDAKAKLIVRGQ >SECCE6Rv1G0381350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:32352331:32356194:-1 gene:SECCE6Rv1G0381350 transcript:SECCE6Rv1G0381350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHREKPAPAAERADRQALPPAPPRRVPMLPSYWVHLTSGGSSPPNLRQMESTNESSCSLTAVGGRSKVETDYRVENRCGARNRNQFEGMRKKAVVTGKDRISELPDVLLHHVLSLLPVDEAVQTSVLARRWRHLWKHIPVLRLLGPNKKRFTSAEDFDKFVNHLISLRGHLPLVSCEIQAYPTSDDYAGEPDEPLPNIYFDSWIQYALLCKVQVLKIVGDDVGAETELIVPLISQHLRSLEVHHVLVEKDFVDFSSCPMLEELKMQACGLWVRKMSFPSLKRLWLTECNFPEAYRVCISAPSLVSLRLHDSGGKTPLLESMPLLDTASLDLSGRCKDKCQGCGGDQSCEGCHGYPAGSYCSVLLNTLSNAVNLELKDQPEVYIYKRDLECCPIFGRLKILLLDMWCRATDLHALVRILQHTPVLEKLTLQLRSDWNLLSAARGERKHVRIQQSFSCVHLKEVSIECEEKLRVKDKVNQIVKIMTRNGVLTENISFKKIPRPEVYRLVCVSPRAFDPNWSGED >SECCE6Rv1G0439370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795971545:795974631:1 gene:SECCE6Rv1G0439370 transcript:SECCE6Rv1G0439370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTTEPLLLQPPEQQRRRPPDWLAWGLPAAVLILLLLSASGPLRPPLFRVPPPETVPLTLLAGAQETGAVCLDGTPPGYHLQRGSGDGSDKWLIHLEGGGWCSTVKDCSNRRMYALGSSNFMKPMRFAGAGILGSDQQQNPDFYNWNKVFVRYCDGASFSGDAEGRAQDGSTLHFRGLRIYQAVIDELMEKGLANATQALLTGCSAGGLATILHCDDFSARFSRDVPVKCLADAGFFLDVKDISGKRSFWSVYDGVVHLQNVREVLPKDCLDNKEPTECFFPAELIKSIRTPMFILNSAYDSWQIRNVLVPVSSAPDKSWSSCKDNIRNCNSTQIKVLDGFRNTMLGALNVVEDKGDWGLFIDSCFTHCQSLYGISWNSEISPRLGNKTIAGAAGDWYHGRSHGVKEIDCEYPCNPTCSGQLPP >SECCE2Rv1G0102280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:575811981:575813865:1 gene:SECCE2Rv1G0102280 transcript:SECCE2Rv1G0102280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKKVTDVAAKAGKAIDWDGLAKMLVSEEARKEFANLRRTFEDVNHQLQTKFSQEPKPIDWEYYRKGIGSKVVDMYKEAYDSIEIPKYVDTVTPEYKPKFDALLIEMKEAEKTSLKESERIEKEIAEMKEMKKKISTMTADEYFAKHPELKKKFDDEIRNDYWGY >SECCE6Rv1G0444700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:830217254:830219016:1 gene:SECCE6Rv1G0444700 transcript:SECCE6Rv1G0444700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSSFIDDDGITKACGCPLLQLKTHIKGPAPAADSDKADIVDEAITFFRANVFFKNFHVKSPADKLLIYLTSYINIALKRLETCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFTLPQSGEEAELLRNYLKQIREETSGRLLNCAYRANGTPNKWWLAFAKRKFMNVVIL >SECCE2Rv1G0118000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:778547597:778548625:-1 gene:SECCE2Rv1G0118000 transcript:SECCE2Rv1G0118000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGREYTDAAEKLRRQEVFAANARHVDAVNRAGNRTYTLGLNQFSDLTSEEFAEKHLGYRHQHGVDSTPVAAVNMSMAQFQSTPDSVDWRAKGAVTQVKNQGSCGCCWAFAAVAATEGLVKIATGNLISMSEQQLLDCTGGANSCNGGDINAALSYVASSGGLQPEAAYAYTGQQGACRSSGVSPNSAASVGAPRMVDLHGDEGTLQELAARQPVAVPVEADRDFQHYMRGVYTGSSSCGQKLNHGVTVVGYGTDSGGQAYWIVKNQWGTGWGEGGYMRLTRGNGGNCGMATYAYYPTMDNS >SECCE1Rv1G0043810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:596373675:596375702:-1 gene:SECCE1Rv1G0043810 transcript:SECCE1Rv1G0043810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARNFIPGPNQELLDVKPLRSLAPMFPAPMGVNINQSSTPPLVVVTPVGQFPTGFGAGSLPAFGSFATFSATANACSPAGTSANVPIDVTPVSAYKTRSSGVRPLNDDGEPYSVSQTSASERQAKRSAGLAADDSNGVKVKRPKPIYKNQIAGKLLAFLPTSRSTPREAVEAVHMAFEALRRRHLQMDETQDASRRADLKAGAIMMASDIRANVGKRVGTAPGVEIGDIFYFRMELCIIGLHAPSMSGIDYMSAKFENGEDSVAICIVAAGGYENDDDDTDTLVYSGSGGNSRNTEERHDQKLERGNLALERSLHRKNEIRVVRGFKDPATLTGKIYIYDGLYKIQESWKERTKFGINCFKYRLQREPGQRDGAAIWKRTQRWIQDASTRGRVIQHDLSSGAETFPVCVVNEIDHEKGGPGHFTYTTEVKYPRPLSSMKALQGCGCQSVCLPGDAKCACGQHNGGDLPYSSAGVLVCRKPVIYECGEACHCSLNCRNRVSQKGIRYHFEVFRTTNRGWGLRCWDPIRAGAFICEYAGEVIDELQVNLDDSEDDYIFQTVCPGEKTLKWNSGPELIGKESTYVSPDEFQPLPIKISAKQIGNVSRFMNHSCSPNVFWQPVQYDHGDDKHPHIMFFALNHIPPMTELTYDYGVVGAGTSRSKTCLCGSLTCRGLF >SECCE3Rv1G0197020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:814413580:814414700:-1 gene:SECCE3Rv1G0197020 transcript:SECCE3Rv1G0197020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSLREAFDRVVEKRVLSSAKVQEAIGQIVNEVEQAISKMQMMNTDTMDSCDHSSILAELKAKLNEMVPLIQLEGCQKELNVALSKYLKLLEKSFNPDVAKAYRNVDYDACTVNNIITNHFYRQGLFDLGDSFVHECGESDGTHLKFSFQEMHGILEAMQARNLEPALSWAAKNHDHLSRGDALQYARTHFVPFASLHTAEIQKLMACLIWADRLDQSPYAEFVSPTHWEKLAEELIHQFCSLLGQSSESPLGVAISAGFQGLPTLLKLSTVMAAKKQEWQAMKQLPVPIDIGPEFQYHYVFVCPVLREQSSDDNPPMLMPCGHAVSKQSIMKLSKSSSRPFKCPYCP >SECCE7Rv1G0467980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:94012031:94012669:1 gene:SECCE7Rv1G0467980 transcript:SECCE7Rv1G0467980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLCAPRAHAAAAFSCSPTSISRLQWAATSHSRARPLHARRNKNSRGDAAEPKVITIGRPRKKSKRRGDKKQDQQQLPADQEDEEDEDEEEEEEDERDVAIPEVVTNRMMRRVGASVGLPLGLGLAFFPAFYYLKKVAKVDVPSFIPYGLSFVFFGAALAGVSYGIVSASWDPAREGSLLGWNEARRNWPVFWESFRGTPPPPSPPRRGR >SECCE7Rv1G0491740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:535984295:535985788:1 gene:SECCE7Rv1G0491740 transcript:SECCE7Rv1G0491740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAAPPTSLHRPAVSATHVARASVAAPSNRVHLGNLEHLFRNRGAAVESSGTAAAPVQPARRRQQAPLLRLPSFFKRAKGDFAVAKEEQVDLSPRLFQPVPPDGPSPRGDIAASWRRLHGEDGWRGLLDPLHPDLRREIVRYGEFVDAAYGAFLSQPDAAPGDGAAAVHVPLQDEAYRVTAPLFATSSVGFPPWLALAAPCAAQRTSLVGYVAVCDSPGEVRRMGRRDIVIALRGTCTVLEWAENFRAGLVPATEAVDAAASPVSASDAKVECGFRNLYKTAGDGSPSLSEMVVTEVRRLLKRYEGEEVSITVTGHSLGAALAVLIADELAGHGDAPKPVTVFSFGGPRVGNRAFAERVEARGARVLRVVNAHDVVPHLPPRPGGRWYADIGRELRLDSRASPYLRPDADAACCHDLEAYIHLVDGFLSSHCPFRANAKRSILRLLKNQGGNVKQLYISKAMDMRVRLDAGGAVDMPGAPLSRLGAPSTMLECVH >SECCE6Rv1G0403420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:502342629:502346638:-1 gene:SECCE6Rv1G0403420 transcript:SECCE6Rv1G0403420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLAGLGHLFVVAFLFHFSSFMVLPAITDVTMAAVCPGRDECSVAIYLSGFQNAITGLGALVVTPVVGNLSDKYGRKALMTLPVTVAILPLFILACNRSEVYFYVYYVVKILAGIFCEGSMHCLSLAYVADHVGSKRRAAAFGLLSGVSAAGFLSGTLTARFLATSSTFQVAAAVGTAGAIYLRAFVPDSGADVSFPGDAFDPLLQDSSCSSAASSSSSSDEELSPRLPPYKGVLPSPSDMVALLTGSLTLSTAAMITFFYSLGEHGLQTALLYYLKAQFGYSKDQFANLMLIAGAAGMISQLTVMPIFAPIVGEEMLLIVGLLGGCTHVFLYGIAWSYWVPYFAAAFIILSAFVHPSIRTNVSKSVGSNEQGIAQGCISGISSFASILAPLVFTPLTAWFLSETTPFNFKGFSIMVAGFCTLIAFVISIRMHGARCGASEKMKLVQHEQA >SECCE5Rv1G0315380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:290650753:290651223:1 gene:SECCE5Rv1G0315380 transcript:SECCE5Rv1G0315380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAMRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGSGGAIRKKEATHRMAEANRALAHFR >SECCE6Rv1G0399000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:430370740:430373890:-1 gene:SECCE6Rv1G0399000 transcript:SECCE6Rv1G0399000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVFAPCLEGMKHVRSDSGVMLAKPFLDVCKQILPVLDKFGAAMAIVKSDIGGNITRLENKYSSDPSKYEHLYTMVQEEVEKKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLDHPDWTMSQACTDSYTKTLKKWHGWLASSSFTVAMKLAPNKDKFMEVISGTGDIKADIEKFCTTFYPFLKENHDFLASVGLDDMKAS >SECCE1Rv1G0000090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:641236:642662:1 gene:SECCE1Rv1G0000090 transcript:SECCE1Rv1G0000090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHEEVEQEEDDDLEDAPLSPDDVLRFVESVGSAEPAQRKLLMRDTLGVPPEPRDWPNICPLPGSSRDRRSACDLYWHQAYQMNQVSETCLPPMRYTRCCTQASTGQQRCFHKPRPILQLFSTMVHTFLEDCTRPVEVYGYIAVRDDEDYRRNYLFNRSRDDTLTINSASDYLRLLSPKRGISMKFDCLVEVDIRTKASSGDSRDDETLVDGCFDLIEGRSSFNVLSRIKMEGEHGALVFNLIIFRDSVEATIHMNFLEVPGDGFDINMCGYTAIWKNLYAFIDDKECDCNSFVSSTGSFSQYFVAAVQMEDTLFIDFMEGRMPISFKADIHGSEEKQYYFCNSAVVSVKVSWSTVLY >SECCE2Rv1G0120860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:802827130:802828906:1 gene:SECCE2Rv1G0120860 transcript:SECCE2Rv1G0120860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSILAGKKGGGRRGGKRGQSQCDSTPLAELPAPASPREKRRWSFRRPAVPVKPAAAPSPLAQQPGGLSVSVSERELEQSKHAVAVTVVTAASADAAVIRLTPPEAEDDLNLYASPVQEAAAARIQATFRGYLARKALCALRGLVKLQALIRGHLVRKQARATLRRMQALLMAQTRLRAQRMRMLEDEDHAAPVDRRSPQHPRRRSSYEMDRSGEEHAKIVEMDMGEPPRRGRSSCSVAASEPWSRERRRAEYHGPGQCSPAPSAAFTEITSPRGYSGHFEDFEPATARVSAYVPAGSADDGESASEFFPNYMANTQSSRAKARSQSAPKQRPDSPSPLERQPSRRRGGPAPLPRSVKMQRSSSHVGVPVPSSAAAMYAQYYPWSVKLDRSSASLHESECGSTSSILTAATTVRYSRSLVGFEAHRNQY >SECCEUnv1G0540130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78538577:78541106:1 gene:SECCEUnv1G0540130 transcript:SECCEUnv1G0540130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAREDERDQGQGHDHVIMVAGDQGEEGGEDETTRDGSVDWNGSPCLRAKSGGWPAGLLILLNQALATMAVNGVSINLVTFMRVVMQLDNADAAKHVSNWSGTTYVFSIVGAIIADSYWGRYKSCIIFQLIFLAGLLELSVSSYFFLHNFSCQSESGDSKTCRTPTAAEIAIFYVAIYKIALGNGAYQPTNTTFGADQFDEEHTKEKRSKTAFYGYFFVANNLGSLVAVTFLTYIEDEGRWAAAFVISAAAALLGLLLFAAGTKRFRHFMPCGNPAATVGNVLVAATKKRRLSIPTEVEKLYEVDGTHSVNGGKKIAHTPGYRFLDKAAVIEDTSILLPGEQPASRPRRLYTVTQVEQVKCILRLIPIWLCSIIYSTTYSQMSSVFIEQAQAMDNTLNKFTIPAAGIGIFEIIGVTSFVFIYTFCIAKPLSKRSKEPTELQRMGIGLVISTAAMIAAALVERERLKHAERYRLSVLWQIPQYLLIGASEVFMYVTMTEFFNDQLPDGMRSLGSAMSGASMSAGSYANSLIVSLVMAGSSSGGQAGWIPQNLNQGHVDRFFFLIAALNAADLALFVLLAKRYRGVAKPAAAAVVAVGSSR >SECCE7Rv1G0509490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:781262358:781263630:1 gene:SECCE7Rv1G0509490 transcript:SECCE7Rv1G0509490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPFVKMATTSSHTYAPLLLLFLLACPCRASSGHAVDPTCPAAKASAVAVETSGYGGHRCQPPAPHVPVPVFPYDVDPMQFALNLEYTEAEFFLHAAFGKGLDQIAPNLTLGGPPPMGAMKANLDEVTWRIAAEFGLQEVGHIRAIQNTVGGFPRPKIDLSASNFARVMDQAFGYHLDPPFDPYIDSLNFLLASYVIPYLGINGYTGTNPIIDGYATKHLLAGLLGVEAGQDAAFRALLFERKSETVPPYKGITVAEFTDRISAARNQLGKCGVKDEGLTVPPDLGAEGRICTNVLSADRDSLSYARTPAELLSILYLTGDEHVPGGFFPEGANGKIAREFLRKPLAAGGNKAPAN >SECCE3Rv1G0192340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:757454731:757455163:1 gene:SECCE3Rv1G0192340 transcript:SECCE3Rv1G0192340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRYGYSYPHNQGYYNGPPVMAPPQYAPPPRRQTGFLEGCLAALCCCCLVDECCCDPSIIFVS >SECCE5Rv1G0317740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:351639486:351640817:1 gene:SECCE5Rv1G0317740 transcript:SECCE5Rv1G0317740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRYPDPLRRAPEATVMAADSCHSRSMSWFVKSCIPADPDRHISVPVPVPVPIPAPAAASSTTSLCFPAQPPPPISALPDDLILECLVRVPRVSLPPLPAVCRRFADLLASQAFLQLRRASGQLQPSLLAVSVPDHGGAFAQALLQFGPEQQQLQVTALPLPLALLHCGRSVFAHARAVALGREIFLVGRGATLRVDALTGAARACAPTLFPRKKFAAAAVGGRIYVAGGSARTAAVEEYDPVTDAWRVVSEAPRRRYGCVGAGAGGVFYVAGGVAVSGDGARALGAHVCAGSVDALHVASGSWARPRALPGGGCVVGACGVGDHLYVVASHAVELSFWRWHGGAGRGSDLRAGGGWVALEAPPMPRGSVGLGMAVRVTMAGIGRETVTAVMSAAAVRGHNAAGAGPFEGMVLAYDIAGGKWSRAPDLPPGFRRAACAGVEC >SECCE2Rv1G0119650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:793136425:793137420:1 gene:SECCE2Rv1G0119650 transcript:SECCE2Rv1G0119650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYMLAHLCGLVTGGHRPGTSFKNVHWNGCAAAMNEHFQRTDLIGTHITNHTRTWKRKYKQIVHLKSLSGALWDEDNFMIVLDHEHYTYYIKDHKEDEPFLNKPIKHYEEMMVIVGAGMATGQYAKGSSDPLATEVIDLEEHKTNKVATSNEEVAQSHTCDESAAPKLKKAKTNPSAEDRMVATIMASSERLVVAIEKLASDINPAIDGLWDEMKELPGFDVDSLAHYYAYLVDNPRVATAFKVLGVFK >SECCE7Rv1G0460640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:32540755:32542707:1 gene:SECCE7Rv1G0460640 transcript:SECCE7Rv1G0460640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSMFINWAMETLDQEQDAAAAGGVFPSSFLELDSSRLELGHSAAEQNRMDMAAHRYGATDSWSSVDSGAAVAVENDGWSSNCGTNYPATSWNFTSAIPQPPSIIEEATPSPPAAPRHVVPELAHRSPPSRKSSVASTGTVPTVQEHVMAERKRRERINRRFIELSTVIPGLKKMDKATILSDAVRYVKEQQEKLKAFEEGDVRTTDSVVLVKRPCISNVDDGCPSPPSPEGATAGGPQTTRILLPEIEARLSESNVMVRIHCKDGKGVLVTLLAEVEGLHLTITHTNVVSFPVSTLIINLMAKANEGFTVTAEDIVWKLDSALRLYHSGK >SECCE3Rv1G0148990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:21148979:21150427:-1 gene:SECCE3Rv1G0148990 transcript:SECCE3Rv1G0148990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAKPMPALHSGRGSVLPNVVTMLLLLSFGFVLGMVCTANFHESYLPPFLQPLPSLLRSPVTELPSQSPSPSPETACVVGPPQPSPPSRQPAPSPPASLGFADFLAPSGGLMHNMTDEELLWRASMVPMVARVPWRIVPKVAFLFLVRGDLPLRPLWEKFFAGHEGLYSIYVHANPAYTGSPPADSVFYGRMIPSQNTSWGDMNLVDAERRLVATALLDLANTRFTLLSESCIPLLSFPAVYAFLTGSNASFVDSFIDGARHVPFFTERNVSLAQWRKGSQWFEMDRAIAVEVVAEERFMVVFRGNHGIANMEEHYLPTLVTLLGWGTRNVNRTLTYAKWRARAWHPASYGGRVVTPELLEGMRRGNGECGYRGGGPVKFCFLFARKFSGDALGKLLELAPKVMGFG >SECCE6Rv1G0406950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:552798887:552799793:1 gene:SECCE6Rv1G0406950 transcript:SECCE6Rv1G0406950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAASRRYIGPLLCINLVMHAAVLGIAGWSLNKFIDRETHRHLGGNTATGYLLVFSLMAGVVGACSVLPAMLHVRAPWHSESLAAAASTGLVSWALTALAFGLACKHITLGNRGRRLRTLEAFITISTLTQLFYLILLHAGALSSVLGVGRACGNHGETCCREIPRGELAADHKTVGGVTSSEE >SECCE2Rv1G0070580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:36484960:36485250:-1 gene:SECCE2Rv1G0070580 transcript:SECCE2Rv1G0070580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLTGSSSSFHFEPRSVDAFGSTVIAEGVDDKAKAYWVHAWTVGADGVITQLREYFNTDLTVTRLAAAAASKCVWQSRRPDRARNSLPGLVLAL >SECCE4Rv1G0250790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:596264150:596265250:-1 gene:SECCE4Rv1G0250790 transcript:SECCE4Rv1G0250790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVQYPWLLLLLVLLPAVSPATARTPVFDDNYVPTWGADGHHLVNQGTQVRLSMDKRSGAGFCSKSTYGSGFFRMRMKVPGGYTAGVVTSFFLMSLPPQSSDRDEVDFEFLGNVDGQPITLQTNVFVNGHGYREQRMKLWFDPAADFHEYKILWNTHQLVIFVDNVPIRVLRNLTGRVPEYEFPAKRMGIWASLWDGSEWATDCGRIKIDWNRAPFTAGYQGFGVDACANTSSTPCHSTNWWWNARRYKRLSARQRAAYDNVRKTYMYYDYCADKDRFKNTTMPVECSHTA >SECCE3Rv1G0192160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:755545096:755546193:-1 gene:SECCE3Rv1G0192160 transcript:SECCE3Rv1G0192160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRIPPRTMSASACAPETAQGTHLFRIDGYSLCRGLGVGKSIQSATFRVGGHDWCVYFYPDGISEDSKDYVSVFVELKTQNAKARVLYHMRVVDQAWPPPPFTWPIPCQYEPLVFNSADDYNRCLGYTHFMRRTELRPYVLEDTLILECNLAVIELKDAQEADVKINFEAQAPPSELVDNLSSLLEATEGADVSFKVKEEVFPAHKIILAMRSPVFRVKFYGPMRDESNRSMTVEDMQPAVFRGLLHFIYTDSLPPLDHLDDDEYEEMVRHLLVAADRYAMDRMKYMCEIKLCELLHTDTVATTLALADQHHCSKLKDVCIGFINSSNRMVGVMASKGYDSLKRTCPSIIADILEKAAKTRRI >SECCEUnv1G0541750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98230385:98230681:1 gene:SECCEUnv1G0541750 transcript:SECCEUnv1G0541750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDFFEKERFDASEFIVLIPLPTRSMLLMIPAHDLIAMYLAIELQSLCFYVIASSKRKSEFSTEAGSKYLILGAFPSGILLFGCDRTTTDQFFGTYL >SECCE2Rv1G0104940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:612947489:612954148:1 gene:SECCE2Rv1G0104940 transcript:SECCE2Rv1G0104940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeaxanthin epoxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67030) UniProtKB/Swiss-Prot;Acc:Q9FGC7] MPMALLSATSPAKTLFCHEEQYPAQSGLLLSAPQSGKKQRARSARLVAAMRPAGAAATETSSTAGASSSAPMKPVKGKPRVLVAGGGIGGLVLALAARRKGYDVTVFERDISAVRGEGQYRGPIQVQSNALAALEAIDMSVAEEVMREGCVTGDRINGLVDGISGSWYIKFDTFTPAADRGLPVTRVISRMTLQEILARAVGDDAIMNDCHVVDFMDDGNKVTAILEDGRKFEGDLLVGADGIWSKVRKSLFGETDASYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGGGKMQWYAFHKEPAGGTDPENGKKKRLLEIFSGWCDNVIDLLNATEEEAILRRDIYDRPPTINWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKAWEESVKSRTPVDVISSLRSYEKERKLRVAIIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKVGMPLMLSWVLGGNSSKLEGRPLSCRLSDKASDQLGRWFQDDDALEQAMGGEWYLFPMSSGDDSALQPIRLIRDEQRTLSIGSKPDPSNSDSSLSLPLPQVSEIHATITCKNKGFYLTDLGSEHGTWFSDNEGRRYRLPPNFPVRFHPSDAIEFGSDKKAMFRVKVLSTLPYDSARGGGEVLQAA >SECCEUnv1G0543730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:118954391:118956073:1 gene:SECCEUnv1G0543730 transcript:SECCEUnv1G0543730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSLTAVWWAIALLFITVLATKISRASITNIDPQGTIGQRPPVVNGAALLKLLPTLLKKGLPAMVNDLYVRYGSVFTVSCLGVIKVTLLIGPEVTAHFFQGLESEISHGNLFEFTVPMFGEAVGYGRDAATRTEQMRFHIEALRTSRLRSHIYPMLQEVEGYFAKWGEEGIVDIKLEFEQLLMLISSRCLLGKEVRENMFDEVFRLFHEIEDKGVTLISFLFPYLPTPANRQRDRARIKLTQILSDVVECRKSSGTVEEDTLQKLINSKYKDGRPTTVAEVVGLIIGLLFAGKHTSSHTSTWTAACLLSHPTFLRAAIEEQQQINIKYKDKGLDYNALIEMDTLHSCIKEALRKHPPTPMLVRRAHRRFTVNTIEGKEYDIPQDHIVASPTIVNNNIPYIYKDPEVYDPCRFGPERREDKVGGKFSYTSFSGGRHVCTGEAYAYMQLKVIWSHLLRNFELELISPFPKTDWSKFLPEPQGKLLVRYKRNGVLHPLN >SECCE3Rv1G0197130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:816208583:816210853:1 gene:SECCE3Rv1G0197130 transcript:SECCE3Rv1G0197130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMARAVHDRLPFLASPEPALAPPAPAGRRRNPSLSEMLSLVSAATVDPAGTDDDGSVFSLPMPQSAPGGVGDSNAGGEAPGRTIQFRLAFTDLTYSVRRARHGGDGGGGGLCLPVQRRSDRVTAAPDAHAPRTKALLDGISGVAKEGEILAVMGASGSGKSTLIDALANRISRDALKGSVTLNGEPLTGNILKSMSAYVMQDDLLFPMLTVTETLSFAADFRLPRSLCAAKKRARVHALIDQLGLRAAANTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVKVLRRIAESGSIVITSIHQPSQRILGLLDRLILLSGGHTVFSGAPSALPTYFAEFGFPVPDDENRAEFALDLIRELEASPTGTKPLVDFHRTWKLMHAASDDAAAWAPTMSLKEAISASISRGKLVSGADVSSGEAASMHTYANPFWVEMKVLTKRSAINTRRMPELFLIRLGAVAVTGAILATVFFKLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLQERYVFLRETAYGAYRHVSYVLSNAIVSFPPLVVLSLAFALTTFFAVGLAGGASGFAFYTLAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAILAYFLLFSGFFINRDRIPAYWLWFHYLSLVKYPFEGVLQNEFARGGECFVRGAQIFDNSPLGALPEAVKERVLASISSALGVGIGADTCVATGRSVLRQAAVTQLGKWECLLVTAAWGFFFRILFYFSLVLGSKNKRR >SECCE1Rv1G0043210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:590571545:590572174:-1 gene:SECCE1Rv1G0043210 transcript:SECCE1Rv1G0043210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNLAVPSLGMAAAAAARDDEPVTLTVWRKSLLFNCRGFTVFDASGNLVYRVDIYASDSRAEVVLMDAAGRPVLTVRRKKAISLMGDQWLVFPGEETRAPPLYAVKRTPQYMRGGGKSTAHVAACGGAAGGGRYEVEGSYARRCCAVYDEQRRAVVEVQPKEAVGTDVFRMVVQPAGMDVSLAMAVVLALDQMLGRPGILRSWSS >SECCE4Rv1G0253370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:625804171:625805866:-1 gene:SECCE4Rv1G0253370 transcript:SECCE4Rv1G0253370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLCISTPAAVALPHLPRRRAPPCNVALTAPVPARLSLRRRGRRGLPTTGLRCSGMEVGITKAIRLAAASPFGHNLVAVDTVGDEEAANKLGFKEMGTYVIYGTGAFFAGWVLSAVVSAIDSIPMLPRILEMVGLGYTVWFSSRYLLFKENREELFAKAYDLKMRIVGSGDA >SECCE4Rv1G0295040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:895425259:895427304:1 gene:SECCE4Rv1G0295040 transcript:SECCE4Rv1G0295040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGAVEWWDEWQLRILVLASLFIQYFLFFSSVVRRYNLPYWFRLFMWLAYLGGDAVAIYALATLFNRHKQLPADGSGLEVLWTPVLLIHLGGQHPMTAYSIQDNELWTRHAITVVSQVTVALYVFCKSWSGEKRLLQAAILLFVVGIVRSVQKPWALKNASISGMVASCSPSRRTEQGFFASVFGCCISFEGTLLSEESRQEAAAEEKDIPLEGFVQEARRCVLLQEVASDQEKARELATLAIKNFSYRLLVDISTPYSSRIKILQLLMALDWQHAYSVSKLHLDWLFVMLYTKLNMIASFIGFCFHLLTPFLTLASVILFSTSHKYHDYNAADVKVTYILFCCTLPLDFLFLFLSALIGEFGFVKVSQYSLLSFYARKKRPTTLMKLATVFCCKDYVNMHCYMEHEPSDPSKIITVLVLGYVRDGWTRYIKDAASYKRFNSNRGEWTLNKYGLCSAKRLGWSLKMAFDRSVLLWHIATDLCFHHQSTTPFGQQCGSQSRVISNYMAYLLSIRPEMMMLGTRKGIVSIACDDVELMLGSALPPDVRGLAQGILHRGQQPPSSHASNIGTLVPNACRLAKVIMELQDEEERWEVVQGVWVEMLCYSACRCRGYLHAKNLNEGSELLSHVWFLLSLMGMETSADRYQKSEPPEIKEEEEEVEGGDVGGESGSIEHEIKISV >SECCE5Rv1G0316340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:318827489:318827827:-1 gene:SECCE5Rv1G0316340 transcript:SECCE5Rv1G0316340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSNGNGGVRNGGRLELQLNLSPPVGMEVDGHDDSGSSSPSSCVSSDGSPGSKSPMVIGACTRCLMYCMVAKKDYPTCINCKQPCLVDLLQNGGAGAGASGDGDKKRGKRK >SECCE3Rv1G0159780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:99597149:99600110:1 gene:SECCE3Rv1G0159780 transcript:SECCE3Rv1G0159780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTQPIVVCVLLFLCNAIIVECISSIEQQGNSTLLWPFILPPTFVSASKKATLYQNSVVAYSSSHFWLGGGGIDYYGAQATSDVYGFNLHHGQETGAAIWIIDRVSLNGIQVGWHVSPELYNDSHTHFYTAWQSGPGKSCRNMICPGFHRTSSSIAPGKIVGKVSRIHGQKWYMTLRVFKEKSSGDWHVYLYKDNGVKELVGYFPKYLVPGLINKQVEISFGGYVFHKKPQPSPPMGSGYVIASGSAASFNNLRLIDVDGNDHIVTTDLPSYVDGKGCYTPSHIDPSAKFFYGGGGCSD >SECCE6Rv1G0449570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863197230:863197781:1 gene:SECCE6Rv1G0449570 transcript:SECCE6Rv1G0449570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSSCQCSPESANMAALPLATAEVCDANSHLISGGELRALQPIFQIYGRRQVFAGPIVTLKVFEDNVLVREFLEEKGQGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGMGEKHVPVTIAGTRICDGEWLYADTDGILVSRTELIV >SECCE7Rv1G0455380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6317368:6318308:1 gene:SECCE7Rv1G0455380 transcript:SECCE7Rv1G0455380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLGSAEPKRPDDGGGGGDDRLSALPDDLLRAILSSLKAQQTVQTCVLSTRWRHLWRTVPCLDIGRGYAIHRIDDFTANLLRGHDFTILEDLRLHGATVVVGDRWLRGDIGRDAWPHRLKRLHLSGLDLELNDLASHISSSGCPALEEVELQQCWFFLSGNTKIASSSLKTLVINGNYTDTADEDDVSDEVFRLIIDAPALVVLRLGGEANHIIDTTQPHNMPSLIDASIKLCCFDSMNHHLGILGALSNVTTLYLSHLDVTLLLDESHSCVSSSI >SECCE5Rv1G0359940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:766801257:766802366:1 gene:SECCE5Rv1G0359940 transcript:SECCE5Rv1G0359940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKRPAAVHARATTATEGCKRRRVRIGSTEDYEFDDAPCLGKGSFGTVVRARHRATGRTVAIKFPCDTEDPAVAAAELRREAGFLATCVGNPYVVGCHGLVCDPATPRLGLAMEYVAGPSLHGFLRERAPLPEPIVCAYMWRLLTGAEKMHRLGIVHRDLKPSNVLVGKGGKILKICDLGLAMSLRTDGRTQCSDAGTLPYMAPEVLLGKPDYDAGADTWSLGCVMAEMLTGKPLFKGDVRRDDPVRQLRTIFRVLGSPDDRTWPEFTSLPLAGAMQRSFQFREQHHSTLGDLFPAEMLSEDGYQVLKGLLECNPGKRLTAAATLQLPWFMPEIDIDTNTNDTPATPKEENLLRIPLEMWKNVQRCA >SECCE4Rv1G0296340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:902677088:902679721:-1 gene:SECCE4Rv1G0296340 transcript:SECCE4Rv1G0296340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLCNNRTGHVVTLQIVGEGTYGTIGGEIRSSLLTLRHLKELYLSFNDFGGKPIPDFIGTVGRGRLTHLDLSYSNFGGRIPPHLGNLSNLVSLGLEDMAHGSYSPDLTWVSLLRKLQILSISKVDLGAAVDWFHAINMLPYLIDLDLRWCRLQNSMPPPAHSNLTSLETLFLMGNSFNKSLGAKNISLDLGSNKFTGTVPLTFKKLKKLQYLTLGSNFINMDVTELLHQVPPDELQELTVYDNYLTGSLPVRLGHFSSLAMIYLDHNELSGEIPVSIRELRNLRSLSLASNNLHGTITEDHFTNLTTLEYLDISDNSLTVKVNGTWKPPFNLVSVGLRSCVLGHQFPVWLNQPTISYLDVSNTSIHDNIPIWIGNSSAQYLNLSRNRLVGVLPTFSQLSTMEMLDLSSNQIVGQIPALPNNLLLLDMSRNNLSGTLPTEIEAPMLEVLLLFNNSFFGTIPSSLLQLQQLVYLDLSKNLLNGTLPNGHQGLKSSNIRLLKLNNNRLSGAFPLFLQRCGKLQFLDLAYNNFSGSIPPWIGSKLPQLAVLSLRSNMFIGGIPDQLVGMKGLQFLDIASNNISGSIPHSLGNLIAMTLTSDNSGGLFHLIGYRLSSMYMYAPAYSPAYTDSLLVNIKGQQLEYTKNIAYMVNIDFSCNSLTGQIPQEIGMLVALKNLNFSWNSLTGIMPQSIGELRALESFDLSHNELSGEIPTSLSALTSLSHLNMSYNNLTGTIPSGNQLRALEDQASIYIGNTGLCGPPLPRNCSRTDTVPYAPQEHDKGMSDVVSLYLSICIGFVVGLWVVFCSFLFKRKWRVGWYSFTDHMYDQAYVQVAMCWASLARKIRQG >SECCE3Rv1G0183730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:654104161:654104898:-1 gene:SECCE3Rv1G0183730 transcript:SECCE3Rv1G0183730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSGIVVVAAALLALVAVSQAQAPGPAATPTGPPNVTAILVKGGQYTTFMRLMKETQQDTQLNSQLNNSFNGNGYTVFAPTDNAFNNLKPGTLNSLTQQQQVSLVQGHILPQYYTMESFQTASNPVRTQASGEKEPITVNIIATNNQVNVSTGVVEVAVNNALSAVKPLAVYSVDKVLLPEALFGAKAPAPAPTATKAGKTKKGEAASGPAGSADDAAPTGAAGARAVGWGVAGMAALLGYLL >SECCE2Rv1G0106860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:640101440:640104203:-1 gene:SECCE2Rv1G0106860 transcript:SECCE2Rv1G0106860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTVPNSGDHAASTPTNTTVTLPPLTLRDAPRLPASPSGATTIPNPISSHPYFHPPPTFYISPGDVSLRHAFFDLASGKPNPLVAYRRAGPRESLAVDPTRARAALVTCGGLCPGLNTVLRELVVGLHELYGVPDVFGVAAGYRGFYGADDDHVRLDPASVDDWHKKGGTALKTTRGGFDLNKIVDGIVARGYTQVYAIGGDGTMRGAVAIFEEFKRRGLRISITGIPKTVDNDIGIIDRSFGFQTAVEIAQQAIDAAHVEAVSAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEIDFHVEGKGGLFEFLYERIKKKGHAVVVVAEGAGQEVIPRTDDQKREQDESGNILFLDIGPWLKSELGRWWKREHPTELFTVKYIDPTYMIRAVPANATDSLYCTLLAHSAIHGVMAGFTGFVPGPINGNYSYIPLEDIAVAKNPVDVNDHKWAWVRSVTDQPDFLKP >SECCE7Rv1G0463490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:54193377:54194942:1 gene:SECCE7Rv1G0463490 transcript:SECCE7Rv1G0463490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQLNVLKALDVAKTQLYHFKAVVIAGMGFFTDAYDLFCIALVTKLLGRIYYTDPALNEPGHLPANVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHEAKGVMGTLCFFRFWLGFGVGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGFGILFGTIVTIIVSSAFRHAFPAPPFYIDAAASIGPEADYVWRIIVMFGTIPAALTYYWRMKMPETARYTALIAGNTKQATSDMSKVLNKEISEESAQGERATGDTWGLFSRQFMKRHGVHLLATTSTWFLLDVAFYSQNLFQKDIFTKIGWIPPAKTMNALEELYRIARAQALIALCGTVPGYWFTVAFIDIIGRFWIQLMGFTMMTIFMLAIAIPYDYLVKPGHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAATGKAGAIIGAFGFLYASQDQKKPETGYSRGIGMRNALFVLAGTNFLGLLFSLLVPESKGKSLEELSKENVGDDGIEA >SECCE2Rv1G0085500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:192173502:192174172:1 gene:SECCE2Rv1G0085500 transcript:SECCE2Rv1G0085500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSQLALFLAIVLIAATLQCSAAVRAKAPAPSTSNDVVAKPSAWCIPCFSFLPQLFYIPPIFCPPTPSAPPPPPAAPSKPQPKECLPSLMGLMTCKDYLTNRTAPAPPKQGQCCDGLRSLFENAPICLCRISENGDLDKLMSAPLDRANFLGLETICNAGLSDYESCEGPVPPLRAAPAPESAP >SECCE2Rv1G0109800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:680919320:680920495:1 gene:SECCE2Rv1G0109800 transcript:SECCE2Rv1G0109800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase, Polyamine biosynthesis, Salt and drought stresses, Abiotic stres [Source: Projected from Oryza sativa (Os04g0498600)] MAAPVSAIGFEGYEKRLEITFSEASIFADPHGRGLRALSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYSQKIVIKTCGTTMLLLTIPRILELAEELCMPLAAVKYSRGMFIFPGAQPAPHRSFSEEVDVLNRYFGHLKSGGNAYVIGDPAKPGQKWHIYYATEQPEQPMVTLEMCMTGLDKKKASVFFKTQADGHVSCAKEMTKLSGISDIIPEMEVCDFDFEPCGYSMNAINGSAFSTIHVTPEDGFSYASYEVQGMDASALAYGDIVKRVLSCFGPSEFSVAVTIFGGRGHAATWGKKLDAEAYDCNNVVEQELPCGGVLIYQSFAANEEVAVSAGSPRSVFHCFEAESVQSHPLVKEGKLANLLAWRADDPLEEGAVLCE >SECCE1Rv1G0028290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:396965806:396966885:-1 gene:SECCE1Rv1G0028290 transcript:SECCE1Rv1G0028290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTRNGDLKVRYDQELFERRRNGTLPAYPDLSREAIATGPARVPVPLKSTPSRRRTYADGELDVFSAERYFKGATDGDSKEGSATPVETVAAGTSPAVPVRKPASTCASAASAGSGATANSQTVLLRDARSHPGYSSKKCCLQVGALLRPCSGRRAVRVNGGPATETTESSQLAASRIEWYRDLRMQKAGLRLAGDGHRGVVAGLPPNLNLGASQVAAVGRGEKAAEYASASFRKGSFTLQAPVKVSCGGGGDDDDDDGGSESSSDLFEIKSLMIGDCPYEPSEASIQWSVVTASAVDMSAAPERGGGRGRPPVAVRQNRDRPVGLLTGCVSRRAVDVSPMAAVRRFPDPPGRRRIGG >SECCE4Rv1G0223880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:75287960:75289738:1 gene:SECCE4Rv1G0223880 transcript:SECCE4Rv1G0223880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENSAYAAAAAPRITRASAKRAAAVTAVAVAAKRKRVALSEIPTLPNAGLQPHTNPKKPSQVAKPKKRSVSSLSLPAPKPAPDAVDETGGDPQLCAPYASDIYSYLRSMEVQAKRRPAADYIERVQVDVTPNMRGILVDWLVEVAEEYKLVSDTLYLTISYIDRFLSANSLNRQKLQLLGVSAMLIASKYEEISPPNVEDFCYITDNTYMKQELVKMERDILNNLKFEMGNPTAKTFLRMFIKSGQEEKKYPSLLLDFMGSYLTELSLLDYGCVRFLPSAVAASAVFVARLTLNPDSNPWSKKLQSVTGYRASELRDCITAIHDLQLSRKGQSWNAIRDKYKQHRFKGVSALLPPVGIPASYFEDLKE >SECCE3Rv1G0148850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20763763:20769194:1 gene:SECCE3Rv1G0148850 transcript:SECCE3Rv1G0148850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEEVQSTSKKQRIATHTHIKGLGLDANGTAIGMSAGFVGQAEAREACGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEESDSSTGGYGKSISHVVIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDAFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKSRKTEITEKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESSLSPIVILATNRGICTVRGTDMTSPHGIPVDLLDRVVIVRTQIYGPIEMIQILAIRAQVEEIEIDEDSLAFLGEVGQQTSLRHAIQLLSPASIVAKANGREKICKADLEEVRGLYLDAKSSARLLHLQQGSYIT >SECCE3Rv1G0189710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:729516437:729519749:1 gene:SECCE3Rv1G0189710 transcript:SECCE3Rv1G0189710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQRVSAVIFDLDGTLLDTERATRDVLKEFLGTYGKVPDAAKEAKRLGQMHRESTTGIIADYGLPITVEEYSEAIYPLYIKRWQRASPLPGVNRLLKHLHKNGILLALASNSIRRNIDHKILKLGELKDCFSVVLGGDQVPHGKPCPDIFLEAAKRLGVNPSSCLVIEDSLVGVQAAKASGAKVVAVPSLQSQRHCYSIADLILYSLLDFHPEMWGLPPFDDHIQGALSMEPLLSNAQIGDAVLNNTRTIIAGERTYDSIPDQISGIFFGWAKSETYGVFKAVVSVGWDLSPGKFERVMHIRLLDSHYKAKMEDPLELLLIGYIRKLQSSENISQAMSITDEDQATARDALELPAFSEYAKTRGALDLPALPEYAEPRNGLLLA >SECCE4Rv1G0230030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:157613715:157617749:1 gene:SECCE4Rv1G0230030 transcript:SECCE4Rv1G0230030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVATYRIYLTSSLPSLPYSVCLMEKLHLLALLLPLLLGLTLLYIWDIVWLRPERIRKKLRKQGVRGPRPTLFYGNTQEMKRIRQEAVSAQKQDTSNYISTLFPHFLIWRETYGSVFLYSTGAVEILFVSDPGMVKDMSHCTSSELGKPVYIQKSRKPLFGEGILVSNGDIWSYQRKVIAPEFFMEKIKVMIELIGEASVPLDFTTGEEIFYKLRQLQKAISQQDTLVGLSAVWKCLPTKANREIQKLEQEVRLLILDVAKEHSPGSSGSSDNNDDDNDCVKTKHNSFLRSIVNSSRHCPASYGGSAEDYIVDNCKSIYFGGHETAAVTATWCLMLLATHPAWQDRARAEALEVCGGRTELDVDVLRRLKTITMVIQETLRLYPPASLIVREALTDFKLGALDVPRGTIVQTAIAMLHLDKDVWGQDAGEFRPNRFANGAAAACEPSHMYLPFGHGPRVCAGQNLAMVELKVVLVRLLSRFAFSPSPGYRHAPLFRLTIEPGFGMPLVVTKLP >SECCE7Rv1G0499880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:658950704:658952137:1 gene:SECCE7Rv1G0499880 transcript:SECCE7Rv1G0499880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWLPVLRHLLDSSAPNAAAFSSSSSSPCSPPPAAGLLRILLSPVPTLPASEPTAVLFQTLPPLLQSQALSFLSSSASLLDPLQLRSLASRVLSAPPGRCDEFWVRRGARHLLDGLPDKDVPDVPWEFNEEFHEPPPWLKAEAARTQPALPWLPLDCRSMMPGGVCAGRDGLDGVRLESLGLEQDEYSFMQEVGRAPSPPSPPLGNSVVHRALALKKEIGMTESVFGAQQAANNLHDLCLESGNAEAVLSLVQPWEADDDIVRVLLSNLVLEEDGMNGKGPALVLCSLALPKLLDLQRAASSGLLSAALDVCKRHPAAALEAVLFPLVLRKQGLNAPQCDVLTRIVKDCMHPLHVTAFCHRLLSGEEGERKPICMPQHRENIGRDLVWTESLFALFYNILSQDICLTPSTIEKLVSMIDDMAIKFPKSLKFGNFFLCFVSKCWHECKIHRVLLERAAETTNTFLTKAILVKLRPAN >SECCE6Rv1G0418620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:663809435:663810814:1 gene:SECCE6Rv1G0418620 transcript:SECCE6Rv1G0418620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAVLALIALLGCTARTCQAGYGYPSPVPSTPSTPSPPLPYTPTTPSPLPPTHGTPSPPPPYTPTTPSPPPPTPATPSPPPPYTPTTPSPPPPTPATSSPPSPYTPSTPSPPPPTPSPPTKGLTVGYYKKSCPRAEDIVRKVVSDANPGIKAGLIRLFFHDCFVRGCDASVLLDQTDPNSPTEKFGTPNLSLRGFEVIDTAKVRIEKECGSDVVSCADILAFAGRDATYFLSNKKVDFNMPAGRYDGRVSFMNETLPQLPPPFATVDQLKANFASKGLTADEMVTLSGAHTIGISHCSSFNSSFSDRLNPSTSNMDPTLMSSLREQCKSDTGNDNIVVQDIKTPNKVDNNYYKNVLSHEVLFDSDAALMTADDTSAAVRANAKDNGVWEEKFKAAMVRMGAIEVKTSIDGEIRRKCGVVNSY >SECCE4Rv1G0278720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:810621573:810622202:1 gene:SECCE4Rv1G0278720 transcript:SECCE4Rv1G0278720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKVVRNLDLERYMGRWYEIACFPSRFQPKDGANTRATYTLGPDGAVKVLNETWTDGRRGHIEGTAFRADPASDEAKLRVRFYVPPFLPVFPVTGDYWVLHVDDAYQYALVGQPSRNYLWILCRQPQMDEGVYNELVERAKEEGYDVSKLRKTPHPEPTPESQDAPKDGGLWWIKSLFGK >SECCE4Rv1G0268480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:742904091:742905356:1 gene:SECCE4Rv1G0268480 transcript:SECCE4Rv1G0268480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGFTKFDVEKFDGTGNFGLWQTRVKDILAQQGILKGLQETKPTKVDNDVWEDMQVQAAATIRLCLADQVMYHVMEEDSPKGIWDKLADRYMSKSATNKLYLKQKFYGLKMQEGSDLVEHVNAFNQLVTDLARLDVKIEDEDKALLLLVSLPSSYEHLVITLTHGKTTVNNEEVTAALLAHELRKQKNATEESTQGLGLAVKGYQLRKGQEAEKKKKKNVQCYRCKDWGHIKRECPELKGGASANAATHGDDSDSSSDVLVVSNRRSTETKAWMLDSACSFHATPNREWFSSYKSGEFGLAYVGDDTGYRVAGVGDIKIKMFDGVERMLRGVRHVPGLRRNLISLGVLHDGGMEFCCDRDKKTMEIMEDGVTVMIGERTASQLYKLQGSTIAGGAMESGAAGVAMESHGGGGSGPSGSSR >SECCE3Rv1G0163280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:146616946:146618274:1 gene:SECCE3Rv1G0163280 transcript:SECCE3Rv1G0163280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNKSVDRLAQRLVAPAEPTPVGPLGLSWLDRYPTQMALIESLHVFKPALDRHFDGRDDAGPARTIGRALAQALVQYYPLAGRLGFTEEGGLLQVDCGGDGSGVWYTEAAAACSLEDVEYLEHPMMIAKDELLPPTPAQEKDERRLVLLVQVTTFACGGFVVGFRFSHAVSDGPGAAQFMAAVGEFARGRSSVEGLAVQPQWGREAIPDPAGAVVGSLPSPAGAKRLEYLAMDISADYINHFKSQYNTEHAGSWCSAFEVLVAKAWQSRTRAAGFEPDSTVHLCFAMNARPLLHASLPRAGAGFYGNCYYIMRVSAPAGKVSGSSIPDVVKIIKDGKRRMPSEFARWATGEAGADGGEDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWTHKPGARLITQCVTPDRVAAFHQGMLDMN >SECCE4Rv1G0231040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:175561427:175570110:-1 gene:SECCE4Rv1G0231040 transcript:SECCE4Rv1G0231040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATERRLRAVSAHLQPQGTAARRTFDSLAPNPTAGEYAHVLGYSAVLPEKLQTGKWNVYRSAQSPITLIDRFSDHPDIGTLHDNFVNAVETFRDCRYLGTRVHADGTIGDYKWMTYGEAGTSRTAIGSGLICHGIPKGARVGLYFINRPEWTIVDNACSAYSYVSVPLYDTLGPDAVQFIVNHASVEAIFCVPQTLSTLLSFITQMSCVRLIVVVGGIDENMPSSPADAGMEIITYSMLHRQGHMSPQPFRPPKPEDIATICYTSGTTGTPKGAVLSHANFIANVAGQDLGVKFYPSDVYISYLPLAHIYERTNQIWLLHRGVAIGFYQGDNLKLMDDLNTLKPTIFASVPRLYNKIYAAITNAVKESGGLKERLFHAAYNAKRQAIINGRNPSPVWDKLVFNKIKARLGGRVRVMSSGASPLSPDVMEFLRICFGGDILEGYGMTETSCVITLMDVGDITIGHVGSPNPACEVKLVDVPEMNYTSEDQPYPRGEICARGPIIFQGYYKDEVQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFVYGDSFNSSLVSIVAVEPEVLKSWAALEGIQYEDLRQLCSDPRARAAVLADMDSIGKEAQLRGFEFVKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEITDMYAQLLDAESAKPKL >SECCEUnv1G0534810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:46560630:46563236:-1 gene:SECCEUnv1G0534810 transcript:SECCEUnv1G0534810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGASCGRPSEEVDFFGAAQSGDLARLAAAVSSRPSLLRRTTLFDRLSALHIAAAHGHLQVVSMALDLCVQPDVVNRHKQTALMLAAMHGRTECVRRLLDAGANILMFDSSHGRTCLHYAAYYGHSDCLRAIITAARTAPVSQSWGYARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSGAFGFPGSTPLHLAARGGSLDCVRQLLSWGADRLQRDSVGRIPYEVAVKRGHAACAALLNPSSAEPLVWPSPLKFISELEPDAKALLEAALMEANREREKRILKGAKNSLPSPSHSDDGATVSEGGEVCSICFDQACTIEVRECGHQMCAACTLALCCHAKPNPATQSQPLPTCPFCRGGISRLAVATRAKAGDDDDEEEEGEDRLESPRHRRSRRSLNLGSGGDGGSSSSSLMGSIASSIGKMGRRKTDSSELQVQLDDKP >SECCE7Rv1G0494860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:591880364:591883411:1 gene:SECCE7Rv1G0494860 transcript:SECCE7Rv1G0494860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTATAAEQGQQHTKKAVGLAALDATGRLSPLAITRRGTGDDDVVIKILYCGICHSDLHSIKNDWKNAKYPMIPGHEIAGEVAEVGKNVTKFKAGDRVGVGCMVNSCQSCESCDKGFENQCPGMIFTYNSVDRDGTVTYGGYSSMVVVHERFVVRFPDAMPLDKGAPLLCAGITVYSPMKYHGLNVPGLHLGVLGLGGLGHVAVKFGKAFGMKVTVISSSPGKKQEALERLGADAFVVSKDAEEMKAAMSTMDGIINTVSANIPMAPLFGLLKPNGKMIMVGLPEKPIEVPPFALVARNKTLAGSCIGGMKDTQEMLDLAAKHGVTADIEVIGAEYVNTAMERLAKADVRYRFVIDIANTLDKAAATTE >SECCEUnv1G0546750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:161600309:161601463:1 gene:SECCEUnv1G0546750 transcript:SECCEUnv1G0546750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVALCHVMPALDVAPHHARMPAEMPPPQHLDMWSSIHAPADDDCATKPPPTPAKATYSIAAVRRSMSHESLSLCTESLGCETGTRGDFLDLPSFLYLCHQSEDAVADDDSAALQEQEEQEETATRELRAVQYHRARPQRAFPPPLPSMSRRRGDDAGPCLRMRPHRRDGRLVLEAVAAKPQGYLHAQREGGRLKLCFVDYSSASDSVLDHQKQMLQDKDVEEVVDDEEDEVEVEEEDEVEVVDRGMVVEVVAASGKAQRCSRIVINKFVGGAPVTTDDANTIPSPSRCCRATEDEIDSQKVTPGLRRVPSSTTTLAAAVAAASTGMQSDEKGEEVDDEEKESAPLVFTSRVGDREELVQSVRRCRQLRQRPLFIVESYSIIAA >SECCE5Rv1G0376670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873682603:873685622:1 gene:SECCE5Rv1G0376670 transcript:SECCE5Rv1G0376670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSQTMAAPTDAQLIQAQADLWRHSLSYLTSMALRCAIQLGVPTAIHRIGGTASLPDLMAALSLPSSKTPFLGRLLRLLVKSDVLASAEAGVYGLTPLSYLLVDGILVDGEARQMAFPLAATSRYHMEAALGLSDWFKKDVAEPPFDDVHGATQFEESMALLDPETDKLFHEALAAHDHLGIGTLLRECRDLFNGLESLTDCCGGDGTTARAIVKAFPHIKCNVLDLPRVIEKAPTDGIVNYVAGDMFHSIPPAQAVMLKLVLHFWSDEDCINILAQCKNAIPSREVGGKVIIIDIIIGSSLETMSETELLMDMIMFLCNRGRQRNENEWSTIFINAGFSDYKIVKKLGARGVIEVYP >SECCE5Rv1G0374200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862087964:862088749:-1 gene:SECCE5Rv1G0374200 transcript:SECCE5Rv1G0374200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPSLSDQVTMSMSMSAAGRGRPSRSASRIVARPADGFHLLRIDGYSQTKTILPGHKLSSQQFYVGGHSWRIDYYPNGRDESNSDAISVYLQLTDPTQSPQQARYKFSLLDQAGIPAYELPAETGSFTGVFPNVRAHYNLTIDAGDDEEESGPGCGHDELIGKEELERRRGDLIRDDCIVIRCDVGVTQIDLSCLAQDEIIGDGDHVHYPPPLYSGYGPPRRRQRQQRADDDEYVKWCVTQKPGGSLRGSPDPDGGDYYE >SECCE5Rv1G0372500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853135831:853138214:-1 gene:SECCE5Rv1G0372500 transcript:SECCE5Rv1G0372500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTLNEEKIIGYFKNKSILITGSTGFLGKILVEKILRVQPDVNKIYLPVRAVDAAAAKHRVETEVVGKELFGLLREKHGDGFQSFIGEKIVPLAGDVMREDFGVDSETLRELRVTQELDVIVNGAATTNFYERYDVALDVNVMGVKHMCNFAKKCPNLKLLLHVSTAYVAGEKQGLVQERPFKNGETLLEGTHLDIDTELRLAKDLKKQLEADVDSSPKAERKALKDLGLTRARHFGWPNTYVFTKSMGEMVLGQLQCDVPVVIIRPSIITSVQNDPLPGWIEGTRTIDTIVIGYAKQNLTYFLADLNLTMDVMPGDMVVNAMMAAIVAHSSSSVEKTKSHPEPNAPAVYHVSSSRRNPAPYNVLHEAGFRYFSEHPRVGPDGRTVRTHKMTFLSSMASFHLFMMLRYRLLLELLHLLSILCCGLFGLDALYHDQARKYRFVMHLVDLYGPFALFKGCFDDVNLNKLRLAMTSNRGSLFNFDPKTIDWDEYFYRVHIPGVIKYMLK >SECCE3Rv1G0183400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:649727577:649728828:1 gene:SECCE3Rv1G0183400 transcript:SECCE3Rv1G0183400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMPSDDASAEVRLEGEVSGEKVEETQDQNEGSGMPSPQEEEAAIKKKYGGKMPKKSPLISKDHERAFFDSADWALGKQGGSPNKPKGPLEALRPKLQPTQQNARARRSSYASADNDESLSLPAEELIQNDAPTEDKNKEQV >SECCE6Rv1G0453420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:882866415:882868919:1 gene:SECCE6Rv1G0453420 transcript:SECCE6Rv1G0453420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITVREEEAAAVVWQAVSLARCQGHAQVTPLHMVRVMLSAPSAGVLRAACVRSQSHPLQHSELQLCLDGALDRLAVIQYYGQQGVDPAPSNAFVAALKRAQMHQRRGRKVVELEQLIVAVLDDPSVHRVMRAAGFSASKVRASVENAIVTSSEQSHRASSGVSTCPILGSNKNRAGGRQPSLRTGPEIQAHATLRWQKFTALTADNLKILCDALELRVPRHRHIVPGISITVLQSRSGMSRRRARNKPSSSDGGGKTAIARDLARLVFGSYTEFTALQGNSDEPTLSGNKLALKRRRSPDDDVRARLFEAIVENPHRVILINGVNRLDRDSEMLIKSVIVGGTMKAACNGDVVGLEDAIVVLSSDVCDSRPVVSPSSRREKRRFSRHNHEEGDAAKMERRRLSWDLNVGVVDGEDEDDSLADDDGILNLVDGVFLFN >SECCEUnv1G0549390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:202902818:202903729:-1 gene:SECCEUnv1G0549390 transcript:SECCEUnv1G0549390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVDEIHKILRERIEQYNRKVGIENIGRVVQVGDGIARIIGLGEIMSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGGVVNALAKPIDGKGEIIASESRLIESPAPSIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQGVICVYVAIGQRASSVAPVVTTFHEEGAMEYTIVVAEMADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAA >SECCE4Rv1G0250630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:595476064:595479105:1 gene:SECCE4Rv1G0250630 transcript:SECCE4Rv1G0250630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAAGGEPQKQFLSIIRDFAAEKSHGERTVSGLKRRLDDVVSASDAATAELEAAKRAREAAETELRGSEVQASIANASIQALEATISHLQEEIAKLGSELEALKRTEDIEREEFLRQMHEMNARIRQFQQKASAELAERYSGPPSADGKQGKSAEGQNVSDMDETMDSEGMLTASVDQMNKMNAEVHVLEEEYQKDLLELEKLRHQLADVRAKRAHMEAVMKATKQLQELGGYPFHLSRNHFVRATIICIYTCSCCFFLRV >SECCEUnv1G0531620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17611463:17611951:-1 gene:SECCEUnv1G0531620 transcript:SECCEUnv1G0531620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRFFDTLALDSWNPFGTIFGTTATSGADVWLASDTTAFADTYIESRETAEAYVFSARLPAGVTKEEVKVEVEEEGKVLLIAGERNLRREAKSDTRHHVERSVATFFGRFHLPDDAALGQVRATMDDGGAQLTVTVPRVGAAVTMPEPAGAIEVVEASPC >SECCE1Rv1G0042150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:577392835:577393411:-1 gene:SECCE1Rv1G0042150 transcript:SECCE1Rv1G0042150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESLRLVCPPVSAHDGRLPRQYTLEGQGAKKDISPPLEWYGVPDGTQSLAVVVQDIDADVQVPWTHWVVVNISPEEKGLPEGFSAAGGNAGGNGGVQEGVNDWKQPGWRGPVPDSHGHRIQFRLYALDDVLSLGNKVTVDKVMEAIEGHVLGEAEITAVF >SECCE4Rv1G0291540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876874179:876878862:1 gene:SECCE4Rv1G0291540 transcript:SECCE4Rv1G0291540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIKSKAYQPQVVSLGPFHHGDRDLRPMEEHKCRALRQLLQRAERTIDELVNGVEDVVEQLEGAYMDLDSEWRADGGGRERFLAMMIFDGCFLLEVMRCTAADGKQVGDYAHNDPIFSPHGILYMVPYIRRDMLMLENQLPLLLLRNLVEVESGKPPNDDFINRMVLKFLAQSSGPLPPGIGLGLHPLDVFRRSMLASKCHKIRNLQETEEDNAIIRSAVELYEAGIQFKPSKTLSLHDIRFQGGTLSMPTVSVDDSTEYMFLNMMAFERLHAGAGNDVTGYVFFMDNIIDSAKDVALLSSKGIIQNAIGSDQAVAKLFNTISRDVVLEPNSALDAVQRQVNGYFRQPWNIWRANLIHTYFRSPWAFLSLAAAVFLLGMTVMQTVYTVLQFYGNDSNNMPPSAPSPM >SECCE5Rv1G0317210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:338513688:338514047:1 gene:SECCE5Rv1G0317210 transcript:SECCE5Rv1G0317210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPRGYIARRRRTKMRSFASNFRGAHLRLNRMITQQVKRAFVSSHRDRGRQKRDFRRLWITRINAATRVYKVFDSYSKLIHNLYKKKLILNRKMLAQVAISNPNNLYTISNKIKIIN >SECCEUnv1G0540970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:92660850:92665599:-1 gene:SECCEUnv1G0540970 transcript:SECCEUnv1G0540970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLAEMAKEEVAMLIGVTVGIKDLSIKLGDLKNFLADADRRNITDESVRGWVGELKRAMYLATDILDLCQLKAMEQRPSKDMGCLNPLLFCLRNPLHSHDIGTRIKALNKELYSICERGNKFKFAKLEAYQDLKVTRCLATDRKTDSLMERSGVVGEKIEEDTRALVELLTSEGGGDKTGLHMVVAIVGVGGIGKTTLGKKVFNDEAIQGKFTKKIWLSITQDFTDIELLSTSIIAAGGDLPRGSGALNRALLVDALKNAIENKKFLLVLDDLWGVDAWNKLLMTPFGYGGPGSRVLITTRHETITRSMKAAHCHHVDKLGHEDAWSLLKNQVLTTEENGHEVDVLKEIGLQIVEKCDGLPLAMKVMGGLLCSKEKSRSAWEEVLNDDIWSVSPMSDELNYAIYISYQDLPSYLKQCFLHFSLKPKKSVLSVREIVSMWICEGLLQGGSSSLEEEGKRNYKELILRNLIEIDPRFPSQLICNMHDVIRSFAHFMARDETLVAHNGDTARENLRSSNFLRLSIETKGVGSDETEWRYLKEQKLLRTLIFNGNLKIQPGDSLTNFPSLRLLHIESANIAALVESMYQLKHLRYLALTRTDMCRLPENIHEMKFLQHISLEGCESFMKLPDSIIKLQGLRFLDIDNTLVNSIPRSFRALTNLRVLCGFPAYTDGDWCSLEELGSLSQLNYLSLESLENVSSALLAAKARVNAKKQLTFLGLKCGGRVGDGLVPGEVSDSEKQIIEAVFDVLCPQPCIEHIVLKRYFGRRLPGWMASTAMVPLESLKILVLEHLPCCTQLPDGLCRLPYLEWIKVAEAPVINCVGPEFVQQYNQRQYSSSQLAATFPKLQKLHFHEMGEWEEWIWEREVKAMPLLEELRITRCKLGRMPPGLMSHAMALKKLIIWNVHGLHSLENFVSVVELDLHDIPELAMISNLPKLQKLEISYCPKLKTLKEMDALRRLQLTVPFWENQLPVYLQTVNPSHLLLTCNLEVLTSMADGESSSDWDKFSHIKHIQAYAEDGADEKKWHVFYTSESCNIQTNIHQDRLVEEED >SECCE7Rv1G0466200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:77079416:77080215:-1 gene:SECCE7Rv1G0466200 transcript:SECCE7Rv1G0466200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHTLLTPVVSKIFCTSLQAVLLVRRRPPAVTGGGFVVTDREQRVVFSVDGCGIIGASGQLVVRDGDGTAILFIHKKGGVVQALSVHNRWKGYLMDYGEPSKPVFSLQDPKPVLSCAAGDVRVTVEPKGRKRHWDYEVTGSFAHRACAVRSRTGHVVAQIGVKGMMAGRDFYHVVVQPGYDQAFVIGVIAILDNMNGESTRC >SECCE7Rv1G0507720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:764645480:764647189:1 gene:SECCE7Rv1G0507720 transcript:SECCE7Rv1G0507720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTRMSQLGAAGSFAALLAAVVCLVHAGGAAAAELCVDYYDCTCPDAYKIVQGVLVEAHQSDPRIFASLIRLHFHDCFVQGCDGSLLLDTFDGMESEKDARPNNGSARGFEVVDAAKAALEDACPGVVSCADILAIAAEISVELSGGPGWKVLLGRLDSFTSSKADAENLPGPFDGLDVLRAKFRNATLDDTTDLVALSGGHTFGRVQCQFVTDRLYNFSGTNQPDPTLDSTYRAFLSQRCPRNGVGSSLNDLDPTTPDNFDKNYFTNLEANRGFLQSDQELKSDPSAAGTTAPIVDRFAGSQEAFFSSFVNSMIKMGNIRPVTDPSQGEVRNRCAFVNSD >SECCE3Rv1G0172240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:288887258:288887761:-1 gene:SECCE3Rv1G0172240 transcript:SECCE3Rv1G0172240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCTDCPWTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVTKCYHFEWMDDYIQRLQGLGLLDSRGNAIDEFNLPHDSAAPAAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLIAISR >SECCE7Rv1G0480700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:272744362:272753954:1 gene:SECCE7Rv1G0480700 transcript:SECCE7Rv1G0480700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLLPRRHHRRLLQTLQPAAAAAGKLHQRLCSTAEASSPSLSIWRRKKEMGKEGLMAVAQLKRLAALPPAGGHQRLVEYMKMHVSRLLRTDLLAVLAELLRQDHVILSMKIYGVVRKEIWYRPDMYLYRDMLHMLARNKKVDETRQVWADLKSEGVLFDQHTYGDIVRVFCDAGLIDLAMEFYEDMRSSPEPPLSLPFRVILKGLIPYPELREKIKQDFLELFPDMIVYDPPDSLSDIDDEFRY >SECCE5Rv1G0354260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722733904:722741398:-1 gene:SECCE5Rv1G0354260 transcript:SECCE5Rv1G0354260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPDSKSDKSPEEFLCAAAEAGNDDAIAELISSGADPTYFDATGMTPLMRAATGGHAAAARLLLDAGAPWNALSPEGLSAGDLTSDPATYDLLLDHALRSELILGTVARRQAAPANSSDGVPAESYLDSRVSFSEDRVMDAESKAVMMEWERPLMEAHARAVCAAGGGKVLNVGFGMGLVDQAIQRYEPEEHTIIEAHPEVYARMLKLGWGEKKNVRILFGRWQDVIPQLGSYDGIFFDTYGEYYEDMREFHEHLPKLLNPGGVYSYFNGLCGDNAFFHVVYCQLVAMELAILGYSTQFVPLPVRDCLKAEVWEGVKQKYWQLDTYHLPVCQAESESE >SECCE3Rv1G0193680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:773771811:773774005:1 gene:SECCE3Rv1G0193680 transcript:SECCE3Rv1G0193680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAGVAQIDGRPVLQPAGNRVAAPEGAKPLKKSLQKSLSMPASYDNAAAAAAATAAPKNTGAGDFARAAAATPYLLPPTPAKAAGGKVAGARAAASIGADKNRKQAPRKSGAVLPVVTFAALEAFEHAGPAGSIAAAQREHVTQAQAQRKMRIAHYGRTASFSRVEGKVGATATATATAPAAALEEKRCSFITAYSDPVYVAYHDEEWGVPVHEDELLFEMLTLSGVQVGADWASILRRRHIYREAFSGFDVDAVAKYTEKQMASVSAGYGLDLGTIRGAVNNACRILEVRRDFGSFGKYVWGFVNHKPLSPGYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVLHSFMQAVGLTNDHLVSCPRHRVCSSSSSSSA >SECCE6Rv1G0431090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745609530:745610621:-1 gene:SECCE6Rv1G0431090 transcript:SECCE6Rv1G0431090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVREVLYMYSVVRQAYERLVSVCGSPEQAQNVAALLVWLDQGTISAIHHVPAMAPDAVAVVAEEANTVLKCLRHQLPVLPPIPLISTLCMQGGVLIEPGFFAIHQDLVVHGVAHFLDSAGKFVFDDRLHVLLRKSETGLVGNPSELIAPYTPQLVAVPEDCRSMFITFSKGMPPHREEIFQYFREKWGDCVVRVLMEKTKGGHMPMYGRIIFKTEAIVKLVLNGERLVKIFIGHRQIWLRKYVPRPTKATA >SECCE4Rv1G0282590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829594714:829595699:-1 gene:SECCE4Rv1G0282590 transcript:SECCE4Rv1G0282590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNVVWQPQVVDEMLRYYKEKIQSEGKQFVFKETHHEECAKQINAKFTTAFTQRQVYHKFHKLKGQWKIILEAKNLSGANFDDVNKIILYDETEVVRMKNNKDKRAKYINVPIANFDEMEFIFHDKHATGEFTVLQTPYDHVHARDKDFIGDIEKSAIDIEVDPATQYDSDCLPDDTNHESSSSKRPRGGKHDKGKRVKCEESVVQDMTRSLRDMSDTMRFTHVTNPNENLFKIIDEMEEYPLFVRLALQTSLATNEQVASMLKGRPMAAIQEFVRRWVGDNFPERVHVAPDV >SECCE6Rv1G0443550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:823233299:823235584:-1 gene:SECCE6Rv1G0443550 transcript:SECCE6Rv1G0443550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVERWTGLGSSVATFIFLWSVVQNYVPPTFRLYLSTWAAKLAACFNPYLQITISEYGAERFQHSDFFLAVEAYLSDACAPRARKLKAELGKDSKNLRVSVDDHESPLVPSTLNHEEVTDDFCGITIWWYASKRQSKANVISLYPGQDERRFYRVIFHQRHRDLVVDSYLPFVLGEGRAVTIKNRQRRLFTNNASGSSNPYRGKSVWRHVPFEHPATFDTLAMHPDEKEAVIDDLMAFQESKEYYAKVGKAWKHGYLLYGPPGTCKSTMIAAMANFLDYDVYDLELTAVKSNTELRKLFIETTGKSIIVIEDIDCSVDFTGKRRKDKKASSDKDSDNDDKPKLPIEPEKDDATKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRWGRMDKHIEMSYCRFDGFKVLAKNYLDVIEHELFGEVQRLLEETDMSPADVAENLMPMSKKKKRDPDVCLIGLIEALKQTKEEAAAAKVKEAEEVQAKKAKKEEEIEVKKAKEGDKEKDKAPEADNEDIKQGDK >SECCE5Rv1G0363270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:792091494:792092016:1 gene:SECCE5Rv1G0363270 transcript:SECCE5Rv1G0363270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASATGAVSKVKKYVVGRKLGGGPRKKAVARSLKAGLQFPVGRIGRFLKKGRYAQRVGMGAPVYVAAVLEYLAAELLELAGNAAKDNKKSRIIPRHLLLAIRNDQELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKEPKSPKKAVKSPKK >SECCE5Rv1G0349230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682467226:682469559:1 gene:SECCE5Rv1G0349230 transcript:SECCE5Rv1G0349230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAASFLSSLLGGSGGGEGPSGEPAATVASILIYPVKSCKGILVPQAPVTSTGFRWDRQWLVVNAKGRALTQRVEPKMALIEVELPPAAFDEDWQPTPDSCLVIRAPGMDTLKIPLVAERATLDDVSVWEWSGSAYDEGAEAAEWLSAYFGKPSRLVRFKEESEIRPTDPEYAQGYKLTFTDAFPFLMTSQASLDALNEILKEPVPINRFRPNILVDGCHPYSEDLWKTIKINKLTFDGVKLCDRCKVPTVNQENGILGTEPAETMLTFRSGEVIRPSHKNKHKVYFGQNLVCKESVSAKGKGGIVKVGDPVYVLQTYPSSDEVPA >SECCE6Rv1G0427100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:717796854:717797882:1 gene:SECCE6Rv1G0427100 transcript:SECCE6Rv1G0427100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTARPRARAPPPNPSLPEEITIWEILVRQPTLPLLDDLNTIGYGGHALDTIPTPFPFPLEHQAATDRLESVVRFDDAYFRIFACCDGLLLLSMASGYLALCNPATRQFARLPLPSGFIPLGMYPHTPTGEYRILLYLCTSNLAQSGSYILTLGSGQLPRHIGCMDVEGLSNTCGSVMFHGSLHWHHRNVITVFDTTTEFYRQMSAPLVPGTTNLFEMDGMLAASIFSDPTTSIDIWVAQDYANEVWAFKYRVNLPVADLTAQFGMINKRCCVVFASSDGHVLLLAKFGDWLLQLDMDGNLVTSFYHRGIGPIQNRLKQSLVQHTFFPTLEGYVVNAWPFI >SECCE6Rv1G0415530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:642296487:642299624:1 gene:SECCE6Rv1G0415530 transcript:SECCE6Rv1G0415530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPSSAPRLLLALSLSLLLTSAHQLTAPSPSKATAAGISPELVSTLSEALAAIKDVAPIVSSFPVVGGVLGGGDLRLSSAITDCLDLLDLSADELSWSMSAASPTTADAGAAGPVGTGDARADLRAWLSGALGNQDTCKDGLDDTDSVLGSLVSTGLQAVTSLLGDGLGQVAAGEEAASSARTRGHRGRGLGQEARHPHWLGARERRLLQKPVGPGGMPVDAVVAQDGSGNYTSVQAALDAAPSERDGGRYVIYVRRGVYRETVEVRKKKWNVMLVGDGMGATVISGRLNYVDGYSTFRTATVAVVGKGFIARDVTFENTAGPAKHQAVALRCDSDLSVFYRCAFEGHQDTLYAHSLRQFYRDCHIVGTVDFVFGNAAAVFQNCTLLARAPLPGQKNSITAQGRFNASMNSGFAFQFCNVSAHDDLLRAQANQTNKATATQTFLGRPWKAFSRVVFMESYIGAVVRPEGWLAWDNNQSTLGTLYYGEYMNTGPGAGLGGRVRWPGYHVTMSPTEASNFTVAQFIEGNMWLPTTGVRFTSGLLVS >SECCE5Rv1G0359000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:758840134:758841294:1 gene:SECCE5Rv1G0359000 transcript:SECCE5Rv1G0359000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAELCHDLLVEILNRLPPDEPGCLFRASLVCTAWRGLLTSTEFACRYREVHRTPPLLGFFENHDTFTPWFAPSSPAASPFPPLFPDRRDLHVLDSRHGLVLLRNPIRADDSDYAESLILWDPVGRRQWEFPPPDFASTVLYDAGVVVCAADNCDHLGCHGSPFLVGYVGTDCMSARASVFSSETNSWSPVVSCPHAGIELLRHLPKPLIGNAVYFIGVYDPGENIVLRFDLFNQELSKFDGPPPPIDQLRYALMKTEEGVLGCASMQGSRLCLWSTETGPDGAVAWMQRRVVDLRKLLPSNSFFRVIGFEDRVGAFYLKTDDGVATVDLKSGQVKKMSISDCNCNIFPYISFYTPGASMVSIFKSVLRLYMLSLLQFVDHLVQM >SECCE4Rv1G0262300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:706858544:706859077:1 gene:SECCE4Rv1G0262300 transcript:SECCE4Rv1G0262300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRFGMPIQAEAVPRKLADPFDFGGGHIDPDRAVDPGLVYDIDAREYNKFFNCTLGYLGGCESYYLNLNLPSIAVPDLKDHVMLRRTVTNVGPAEATYHLVVEAPSGIDVSVEPSVIKFTQSSSKSATFMVTFTTRQRVQGGYTFGSLTWSYGITHSVRIPIAVRTVIQNFVADTS >SECCE6Rv1G0392900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:270165848:270168031:1 gene:SECCE6Rv1G0392900 transcript:SECCE6Rv1G0392900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G29340) UniProtKB/TrEMBL;Acc:A0A178WA68] MDAPASASSPVEFLLRRPTPRRRRLPLAGAFFAPTALAGASLLRALASLATGLLAVPRPPSQPRNFAALARRLALLSALLDSLLLDAPDRFSDAANLCFRELYVVLFRADLLVSYVASAGRAWALLRGAHLAASFRDLDAELAVVFDVIPAASIRLSHDAAGHLDLLRSQCRRRAPAQYHDPDEAALRGRLLAAVQQFELGQPPDASPLKSLLSDIGISDAASCQAEIDYLEEQILSQEEDTDLLLVGGVLALLRYSLFSQFDPGNAKAARYWPSAGNLQRLPSWGGGCDDTSFSVPKEFSCPISLDLIRDPVVASTGQTYDRPSIIQWIGEGHSTCPNSGQALADNRLVPNRALRSLISQWCGVYCFQYDSPESNEGMAECVATACSSKAAIQANKATARILVRMLVESSDSSKAVAAKEIRLLAKAGKQNRAFIAELGAIPLLCRLLLSSDQIAQENAVTALLNLSIYEPNKTRIMEQEGCLWLIVSVLQNGWTTEARENAAATLFSLSVVHDYKKMIMNEPGALEKMACMLKKGTPRGRKDAVMALFNLSTHAESSARMLESSAIVALIESLRNDTVSEEAAGALALLMKQPSVVHLVGSSETVISSLVGLMRRGTPKGKENAVSALYEICRRGGSTLVRRVAKIPGLNTVIQNIMLTGTKRAKKKTSLIVKMCQRSQMPSAMSLGTSLRVVDHSLVGNSQLRRAASFGSGELSNPVSISVHVP >SECCE1Rv1G0031780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:446682506:446682943:-1 gene:SECCE1Rv1G0031780 transcript:SECCE1Rv1G0031780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAIFVALGLLLSAVAAHGCGPYCQPPVVVPTPPVVAPPPYHGGGGHGHSHGQGGQCSISVLNLRVCANVLGGQPGLKIGVPAHDQCCPLLQGLADLDAAVCLCAAVRANILGLHLNVHVDISLLLNHCGKTCPSGFTCPAH >SECCE4Rv1G0274620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785794784:785795296:-1 gene:SECCE4Rv1G0274620 transcript:SECCE4Rv1G0274620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIYILALLAFAATSAIAQLDTTCSPGYGQCQQQQMNTCAAFLQQCSPTPYVQSQMWQASGCQLMRQQCCQPLAQISEQARCQAICSVAQVIMRRQQGQIYGQPQQQQGQSFGQPQQQVPVEIMRMVLQTLPSMCSVNIPQYCTTTPCSTITQTPYIFPMAATCAGGTC >SECCE2Rv1G0116630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:766380266:766381303:-1 gene:SECCE2Rv1G0116630 transcript:SECCE2Rv1G0116630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSISLLDSSHQLIHKVCRKCWPSNSNAKLNVHSTAKSAGKSMRVKMAHLKPHATDFKRNHQAHEEDNVFYKLVYRLPESLSCLYTSQKPVRKKKQHKQGTVPSNRFGVILEWEGVVVEDDDPDLEPRVWYVLSLEEAKSFPPDEMLKEIEGMRTDQAISEVLSWSKDPTEIERLAARKEVIYQKLRGRFYQLRSGALDFLNALVDSDIPVALTASRPRMSLEEGIKAVGLQGYFDVVVAAEDFRRGKPEGEMFEVAAEQLGLEPDVCLVMGSSNLTTESAHTAGMRCVAVASRHPAYELQTANHVVRWLDQLSVVDLQRLANGEVLGRRGRRSDMDMEIVIEE >SECCE4Rv1G0254510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:638664987:638665712:-1 gene:SECCE4Rv1G0254510 transcript:SECCE4Rv1G0254510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHGTMLGGADSFSQLPLVVRPATLPPADATIRLFGCDFSNDVAAAGDQLPKAEAAAAAAEGETRKFECHYCCRNFPTSQALGGHQNAHKRERQHARRAHLEASLAAAYLPASAHVYGALFGGYGHHQAAQMPPPQYPVWPGMYGGVARSAAYGGMAVPGMVWRPTPVGGGAFAAAGRHDEATAAAVGSGDVAGKDGNNAVMSVVTTLPSCLTGGSPTEIGRSEMMGQKEGVVSLDLCL >SECCE6Rv1G0387270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:110226447:110227034:1 gene:SECCE6Rv1G0387270 transcript:SECCE6Rv1G0387270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRPQPDLYKSRSRTRLPTNNPHTRPAQVPQPHCTGRRRGEARRAAAMVEGGSGAGATSTCRRGGGGGGIVGPAARRCCGGGCGLGRLVRRLRRQGRQALCAARPASSSSSSSAAAALRGCQYDPLSYARNFDQSGFGDPDPDADAASLYYSYTFSSRFVLAPGSAASSTASVAAVAPAPNGLVVASRPTAASH >SECCE5Rv1G0370440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:842178267:842183625:1 gene:SECCE5Rv1G0370440 transcript:SECCE5Rv1G0370440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGACLAPTKSGSDLAMAEKQEQGLNVEEGSSGAAGKLVCVTGASGYIASWIVKLLLDRGYTVRGTVRDTADPNKTLHLRALDGANDRLQLFNANLLEEGSFDAAIDGCECVFHAASPVFFSIKDPKAELLDSAVSGTLNVLRSCKKASVKRVVITSSMAAVTFNGKPRTPDVIVDETWFSVPELCEKHQQWYVLSKTLAEEAAWKFSKDNELEIVVMHPTMVIGPLLQPTLNASVEVILNLINGSSSTYPNLAHGWVNVKDVALAHILAYEVPSADGRYCIVERVAHYLELVKIISKMYPNIPLPYKHGDDEPLVPTYQVSTDKIRSLGIELIPLETTIKETIESLKEKGYFAF >SECCE2Rv1G0123420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:823768771:823769562:1 gene:SECCE2Rv1G0123420 transcript:SECCE2Rv1G0123420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCSAPAPAVATSTRLILVHGTGHGGWCWYKVVTLLRAAGHRVDAPDLAACGADARRLRDAPTFEDYTRPLLDALRALPDGQRAVLVGHSFGGMSVALAAEELPDKVAAAVFLTAFMPDCDGPRTRVVEEVPVSDWMDSVVDDEHAPPSVFLGPDFVRRKLYQLSPEEDYTLCQSLARVSSYYVADQQQRPPFTAARYGAVTKVYVVAKQDLAMVEEYQRRMIAGIPVAEVREMAGADHMAMLSAPEELAGHLADIANTYT >SECCE7Rv1G0521770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874794416:874796185:-1 gene:SECCE7Rv1G0521770 transcript:SECCE7Rv1G0521770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELLATLLMGLLLLHLAGSSPPPAPVVCARGTSDCTVTNAYGSFLDRATCHAANVVYPRTEAELVAAVAAAAAAKRKVKVATRYSHSFPKLACPGGRDGTIISTLRLNGTVRLDAAARLITVDSGVLLQDLLRAAAAAGLALPHSPYWSGVTVGGLLATGAHGSSLWGKGGAVHEYVVGLRIVTPAPASQGFAVVRELGEGHPDLAAAKVSLGVLGVVSQVTLALQPLFKRSVTFVERDDADLEDQVAEWGRLHEFGDVAWQPGRGKAVYRQDDRVDVSSPGDGVNDHLILRPRPALGRVEARRVEELLEENGTDVARCAAAAAAADARQLQPHGFTNDGEVFTGYPVVGYQHRMQATGTCIDSPEDGLLTSCKWDPRLGATFIYNTAISVPLRNAAAFVADVKRLRDLNPRAFCSLDARGGLLARYIRASSAHLGKPEDAVDFDLAYYRSYTAGAPRAHADVIDELEQMALRKYGGLPHWGKNRNFAFDGAVGRYPKAGEFLEVKGRYDPDGLFSSEWSDQVLGIDGASPSVDKEGCAMEGLCVCSDDSHCAPERGYLCRPGKVYREARVCSSSFQPATGALRDEL >SECCE1Rv1G0060360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710013164:710014165:1 gene:SECCE1Rv1G0060360 transcript:SECCE1Rv1G0060360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSLSQPFLHPLSPSPPASAAATPPAPAPAPGPAVFTPASRPKKKPRSSGSNPAPKKPRLVPSVRGRPLSADGEVDAAIRHLRAADPALAPVIDAHDPPSFHCPHPPFHSLVRSVLYQQLAFKAAASVYSRFIALVGGEAGVLPDAVLALSPEQLRQIGVSARKASYLHDLARKYASGILSDDNIVAMDDRSLAAMLTMVNGIGAWSVHMFMIFSLARPDVLPSADLGIRKGVQMLYGLEDVPRPSQMEKLCERWRPYRSVAAWYMWRLIESKAAQTAAAMPVVPPAMPAPSEEFMLQQHQHQHHHHQHQQQQQQAAIQMLDPVQMLPGLG >SECCE4Rv1G0296550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903457137:903457675:-1 gene:SECCE4Rv1G0296550 transcript:SECCE4Rv1G0296550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIHSRVLLQALLLLICLATTTQCRIITNGMDSEKINLPYGLCANKTFVHSYCCLLTNVCYSTVDECQKNCKKYASMLAIGTPPLPTLSPLPSN >SECCE4Rv1G0238480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:379586019:379587756:-1 gene:SECCE4Rv1G0238480 transcript:SECCE4Rv1G0238480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRKLMEEHYNENHAPVNCSLCKETLRPEILDLHKSEQCTQRMVACAYCEYELPAIDIHEHQDVCGNRTEFCQTCKKYIRLREWIGHEMQCHVSSNGSVESSSARTIPEREVRPPPPARPARAVPAAQHRRLLFTIAVTGITFMVGSILF >SECCEUnv1G0538170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:71565125:71566303:1 gene:SECCEUnv1G0538170 transcript:SECCEUnv1G0538170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGVSVVDGDKECSCETSAVHADSDSGYHLLMVRGYQRTEEGVPTGDSITTGIFMVGGHYWYIHYYPNGLTPDCADYISLYVALVYDNDDAERGLAVEARFSFSLVDHVEKQNPMYICEASKTCTFSGGATSWGRNKFLRRDALERSSDLKGGCFTVRCDIMVVCQDSKIEDLSGIHHHFNNLLQTKVGADVTFEVGDERFAAHRCVLAARSKVFMAQLFGPMKEGTTTSTVIQIKDMEAKVFRALLIFIYTDVFPLPLREEDGMWEDEMSVVMEEAKEVKAEVQDELRLQCLQHLFVAADRYDLQRLKFLCEQQLSEHIGVTSVMSTLALAEQHHCQGLKEACFKFIQVQSPSCLQTVMSTNGWDHVYTTYPSVFKEFIANLASNQQK >SECCE3Rv1G0153860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:57506902:57508855:-1 gene:SECCE3Rv1G0153860 transcript:SECCE3Rv1G0153860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTSSALRLLPLLLILLLAATSEATTFKIINQCSYTVWPAALPGGGLKLDPGETWALNVPAGTSAGRIWARTGCSLLGQGGSCQTGDCSGLLVCTVSGRSPNTLGEFRLGQGQSDDDFFDISLTDGFNVPMDFLPVPVQGRSGCSKGPRCAANITSQCPRELKAPGGCNNPCIRSAESNCSYTINSVFFKQMCPDAYSNPNDFSTFTCPTGTDYQVIFCPLINQAISPTAESPMPAPPADVTPLTPTSMKLKAASVRRVVAVLAPVGSFILFTTVFLITFFTCKQRARRQNEMEEEEEFGELQGTPMRFTFQQLKAATEQFADKLGEGGFGSVFKGQFGDQRIAVKSLDRTGQGKREFSAQVQTIGSIHHIHLVRLIGFCAEKSHRLLVYEYMPKGSLDRWIYCRHDNEAPPLDWSTRCKIITHIAKGLSYLHEECTKRIAHLDVKPQNILLDDNFDAKLSDFGLCKLIDREMSQVITRMRGTPGYLVPEWLTSQITEKADVYSFGVVVMEIINGRKNLDTSRSEESIHLITLLEKKVKSDRLVDLIDNNINDMQAHKQDVTQMMMLAMWCLQIDWKKRPKMSEVVTVLEGAMDVVTNIDYNFVATGRANLGNDRSIYQGPGEMRPSQEDIQLHCEQ >SECCE6Rv1G0395020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:315712741:315719348:-1 gene:SECCE6Rv1G0395020 transcript:SECCE6Rv1G0395020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAARVVVLVRDAACYGAALADALRPSPGLTRGSSPFELPLDKYGLNGEKASGELVSFSDSSGSPQVSFFVLPDYRPPVAACAMNEILALISSQAPSIQPVLIVPFITRPSTCFHGMVHATKTGQLTTLHAAEIGASNEFTHLFVDGTTKPPPSLQIRSEPIQCLLEMVRVLKIPTVLLVTSGGQHQGKSSSGSDLEVLQCVGDHVAKHINLEFSKEIVIETGVEKSASIQEPWRELYL >SECCE5Rv1G0316610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:327020436:327024408:1 gene:SECCE5Rv1G0316610 transcript:SECCE5Rv1G0316610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVALLRGPTNLASPASRGPSSSLRYLANADSDVLQRGGSGESPTGRTEREVQGSEEEEEERWSLLALLLALLRKSFLGCREEGGQGEGGGCAMEIGWPTEVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINPENSQEELVRDRLNSGIVPYGIDVHCLSGLIKAWFRELPSGVLDPIPPEQVMQCQSEEDCARVAKCLPLTEAALLDWAVNLMADVVQEEKINKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIEKTLKDREESNLDNVSLPQKDPSDENGHHNPGFAVDSHHPEGSRRPSFFSEEPLLNSPAHSTEDKPNETNPTGGDSAPSGQTFMNTEDSCRWSQPLPAASATTDISCATTLNLLQGKGSRSLNSSRRTRKGKGQSGAPAAAPPTEKKSRGASIVSRLNSTVERIEAWR >SECCE2Rv1G0109250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:673855071:673855544:1 gene:SECCE2Rv1G0109250 transcript:SECCE2Rv1G0109250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHLTLHRHPMCAEIIEEFQKCHVDHPIKKFFGECTDLKIKLDRCFRQEKAVKRKANFEESKKFKERLQAYKKEMAEKENES >SECCE2Rv1G0079890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:124881698:124885114:1 gene:SECCE2Rv1G0079890 transcript:SECCE2Rv1G0079890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:[Pyruvate dehydrogenase (acetyl-transferring)] kinase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06483) UniProtKB/Swiss-Prot;Acc:Q9SBJ1] MMASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSAPTDRNLLLSAQFLHKELPIRIARRALELESLPFGLSAKPAILKVRDWYLDSFRDIRYFPDVRNREDELAFTQMIKMIKVRHNNVVPTMALGVQQLKNEQFSSRKLPPGFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPQPEPGVIGLINTKLSPIQVAQIASEDARSICMREYGSAPDINIYGDQNFTFPYVASHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGTEDVTIKVSDEGGGIRRSGLPRIFTYLYSTAKNLPDMEGPSEGVTMAGYGFGLPVSRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >SECCE6Rv1G0421050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:681490203:681491465:1 gene:SECCE6Rv1G0421050 transcript:SECCE6Rv1G0421050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSVEVPPYFLCPISLEIMRDPVTLATGITYDRSSIERWFSDGHATCPVTQQKLAEADREATPNHTLRRLTQAWCALHAVERFPTPRPPLDAGRVAAIVEEGHGAGRQQELAALREIKAIVAESDRNRRCVEATPGAVEFLVSVVRNHATVSKSAEDMPELSVDSPTSTSSPEEDALSVICSLKPSKKSLVRILEKHGDFLDTLVYMLRRPSYRSRCYGILLLKAMVSVMEPARLMAVRNEVVLEVVRVVSDRVSAKAVKAALNVLCRLCPWGRNRVKAVEAGAVTVLVELLLDEGGRHPTELAVVAIDHLCGCAEGRSDLVAHPAGLAVVAKKAMRVSVTTTESTVRALHAVATHSPTPAVLREMLAVGVVAKLLLVLQVDAGERARAKAKELLKMHARVWKDSPCLQAHLKAYYPC >SECCE4Rv1G0284130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838430301:838430654:-1 gene:SECCE4Rv1G0284130 transcript:SECCE4Rv1G0284130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLYGVAGAPPLIAAINTQLYAADYSDKDVKRYDKLNNRWITLGKLREQCVSNDSWGIAFRACGDRLIVIGGPSTYTGGTIEIHSWIPDQQPPVWNLIAKRSSKSFVYKLAVMGC >SECCE4Rv1G0221690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:51552907:51553140:-1 gene:SECCE4Rv1G0221690 transcript:SECCE4Rv1G0221690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE6Rv1G0433900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:762385983:762387422:-1 gene:SECCE6Rv1G0433900 transcript:SECCE6Rv1G0433900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKLEGNLPLYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIVDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARHGPSMMPGGSLEAYQYIEDILLKVSAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNGELQEVFAEWNKGELLSFLVEITADIFSIKDDQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVAASKIFQGDYSSGETVDKAQLIEDVRKALYASKICSYAQGMNIIKAKSTEKGWGLNLGELARIWKGGCIIRASFLDRIKKAYDRNGELANLLIDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWYKIANSKI >SECCE7Rv1G0494670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:586769627:586770247:1 gene:SECCE7Rv1G0494670 transcript:SECCE7Rv1G0494670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAITQMSAEAKRAGFESGTAADPGNAELWRRFVRFELEEGGGIEAARAVYERLLAALPDGETYMWDWFWREREFGDVDSQRRALQRWARWAQAQGGGFLRSKDGWWDYLEFEINNGGVERVRAVGEALLATFPMDPHAYVMYIRALAALSRHVEAEALARRGVKELSAFCRGHDEFIWRFMAVYLKRLRDRRSTAWDEDMFSD >SECCE3Rv1G0192990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:764632821:764634254:1 gene:SECCE3Rv1G0192990 transcript:SECCE3Rv1G0192990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAAAAATASTRANSLSRIFSSSSPIAKPPKRNPKTKSALKPKPTPTPKPPAADAGPIADQKSPKPLGARPAAKADSDGKHKLPKPLGLLIKALTRQRDPDKLVSEFVQASTVSSRFRDRNRVFEVAVSRLTSLGRQDGIEAIIEAQMPFLETSAEGFATRLIRLYGRASMPSHAAATFHKLPAQHKSNMTFNAVLSAYAEAGDFDGLTTAFQEIPDTYPSIVPTVYSFNVLIHALCQKPNVSAALEAVLLMEKLGVSPDIITFNTLLNGFCNTGRVDEAETVWEMIKERNLEPDAKCYNAKLRGLVAEGRIDDAAAVLEGLKKDGPKPDTVSYNELIRGYCKEGRLQEAKKLYDDLVKNECNPNKGTYETLLPHLLQAGELDCALTYCYKIFSDKRNLRVECGVLQDVVNALVDASRVEEAAKIVVLGRKQYYPRKGLRMPDSTKDSELRAETDEEEAISEEECEVEHETGK >SECCE3Rv1G0173070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:317439765:317440521:-1 gene:SECCE3Rv1G0173070 transcript:SECCE3Rv1G0173070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGENSESHGSGNVPAAPAAGAASASSGKQAPAAARGADGQSVVRRLQSELMALMMGGDPGVSAFPEGDNIFQWVGTIDGSAATAYEGTSYRLALAFPSDYPYKPPKVRFETPCFHPNVDNHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNDSPLNTQAAALWANQEEFRKMVEKLYKPA >SECCE2Rv1G0138680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:922697307:922697739:1 gene:SECCE2Rv1G0138680 transcript:SECCE2Rv1G0138680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKRAFEGGLPTCPMFSNLTSLVLSDWVITADFYPLHCILQRSDKLKELTVKLKMKECSTCKALPPTRRAPPSGSYPGIERIKIYCRKEHPGVGELVQALLPIAGNAKISIERP >SECCEUnv1G0543190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:109925115:109925987:-1 gene:SECCEUnv1G0543190 transcript:SECCEUnv1G0543190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTAAAAVRCHAHIIRRGLLPASSPLLPFASRVASSTPLRAQPLRFSLSPVPKSISSTSTSHIPVRSLFTGIVEEVGRVRRIGPPPTPPGGGGDAAPGVDLEVETKNLLAGTQLGDSVAVDGTCLTVAAIDTAASTLTFGVAPETLRCTSLGERVAGDDVNLERALTPASRMGGHFVQGHVDGTGEIAAFRPEGDSIWVTVRAPPEILNLLVPKGFVAVDGTSLTVVNVNEEEGWFDFMLVRYTQDNVVLPRKKVGDKVNLEADILGKYVVKLLAGRLEATTSKANS >SECCE1Rv1G0059630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707265710:707268633:-1 gene:SECCE1Rv1G0059630 transcript:SECCE1Rv1G0059630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASLMGPSSADAPTDGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKISQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVASNLVRLLAYQNKSMLQAGMIVGGWDKYEGGQIYSVPLGGTILRQPFAIGGSGSSYLYGLMDHEWKEGMTQEEAEKFVVKVVSLAIARDGASGGVVRTVTINEEGVKRSFHPGDKLPLWHEEMEPQNSLLDILAAGSSDAMVQ >SECCE3Rv1G0207370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:920158793:920161027:1 gene:SECCE3Rv1G0207370 transcript:SECCE3Rv1G0207370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLGGSGIGSVGMPPPQHQQLEDVMGLGDPMLVTMRNNYCQRILEHARRAVTARLHEGNRVPADWQRGLPEIARRIEKALFEKHPNKREYYNMTNGPVQPYFEFAVISLAQVQRRLRASSTTYAHGGMIPAPGVTQGDTHNEFVNTVLSLGINSSDDHSEVANSNLAIGAPDKEEEVSKEPKFSCPFCFEELVDPSSTICGHIFCHKCIKFSVQAQSRCPACWRGLSMTSFHRVYLPATMD >SECCE3Rv1G0168880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:227804793:227810950:-1 gene:SECCE3Rv1G0168880 transcript:SECCE3Rv1G0168880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFETPSGFAIFNCCESLIKDNALEEIWTHFILSGKAKSVVWLRHFRTSEDKSSAINQNTGVNESLTEMIMKCHCPGQKMAVGKPEYKKIIEESLKITCVSGPTVMELMWGIQICMPSLVPSEKAGLTEDDRFPMSHGLKKVLSRYDCDYVKPKMLNEPILMTAYVLYECDSFEDKKSEELDHLAALIKVMSGIDTKGWCSLKIATALKNIWCPEDAGNSCEIISEDDVSRLVNGADKYEVVLVKDACLKLSRQIVKAHDARITKRKLLKKYVAQAKKAYAA >SECCE7Rv1G0526060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:893779790:893784906:-1 gene:SECCE7Rv1G0526060 transcript:SECCE7Rv1G0526060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASRRWAALALALGAVSALLLLVALSGVAGLSGARLRSGGTAASTAKVVTAAASGARRWLRDSTSRLSSTATARSERADGSATVAGAVEDPEAVVSQVHMSIRNSTARRNLGYLSCGTGNPIDDCWRCDSDWHNNRQRLADCGIGFGRNAIGGRDGKIYVVTDPGDDDPVTPKKGTLRHAVIQDEPLWIIFKRDMVITLSQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGASHVWVDHCSLSNCADGLVDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYLKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTMWKAWNWRSEGDMLLNGAFFTPSGAGASASYSRASSLGAKSSSMVATITSGAGALSCHKGSSC >SECCE5Rv1G0316860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:331575824:331578861:1 gene:SECCE5Rv1G0316860 transcript:SECCE5Rv1G0316860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVLLKAKVGDGDGDGGDDLLLASRRHAVIAVARVLFAAANERCLRCISQAALGRSVTGTFCGDLLVGAMAHSWMLLMQGLTSLMFLCARADEYVRPPPSPLVLTAHDKPAAHPQQVHISTVGSNSMRISWVTDDRNAPSVVEYGQSRGNYTVSTTGDHATYRYFFYKSGAIHHVTIGPLAPSTTYHYRCGKAGDEFTLRTPPASLPIELVVIGDLGQTGWTASTLSHIGGADYDMLLLPGDLSYADTQQPLWDSFGRLVQPLASARPWMVTEGNHEVEALPVVGFAPFVAYNARWRMPHEESGSASNLYYSLDVAGGAAHVVMLGSYTEFEMGSEQYAWLERDLAGVDRRKTPWLLVLLHAPWYNTNQAHQGEGEAMRAAMERLLYEARVDVVFSGHVHAYERFTRIYDNKADSRGPMFITIGDGGNREGLALKFLKDHKSAHLSVFREASFGHGRLRIVNETSAVWTWHRNDDEYATVRDEVWLESLASPKLSMPTVGRHDDEL >SECCE4Rv1G0270740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:756496388:756496910:-1 gene:SECCE4Rv1G0270740 transcript:SECCE4Rv1G0270740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVHVPAAVEALLGHPVAALSERPAPRLTRLLVNVTVERSLWPVHVLLGADATVADLARAAVNAYAAEGRRPPLPADDSATDTAARFELHLSKYALDALDPEAKVVDLGSRNFFLCSNRRTQSSDDHLLLRSSLTCLDV >SECCE4Rv1G0251270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:601011460:601013616:1 gene:SECCE4Rv1G0251270 transcript:SECCE4Rv1G0251270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein EMB506, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40160) UniProtKB/Swiss-Prot;Acc:Q9SQK3] MLPWAATASSAAAALLHASPLPASHRAALPPYRPSPSLPPPLSIAFRAPARALPRGGGAFWEEPDDGSGSDYEGEGKQPSVRRSSPFPSPFPLSRLVATRQQEREELELRREIELLLTPEEEAILDQHETADVTKISSPKWHPLHTYALALQIPLMDKLLDSGVDIDLVDKDGFTPLHKAIIGKKEAVISHLLRKGANPHVTDRDRATPLHYAVQVGALQTVKLLIKYRVDVNVADVDGWTPLHLAVQSRNRDITKILLVNGADQTRRTNGGKTPLDLSLCFGRDFNSYDLAKLLKLVPANRGV >SECCE3Rv1G0166070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:182851446:182853266:1 gene:SECCE3Rv1G0166070 transcript:SECCE3Rv1G0166070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAASSHLHHLLLLVALLFLPFAAAAAPHRHRVPSRHLASLNASAPPTTFFEVDRPIRPPLGSAGPCSALLLSHSFGETYGRPPVTAAYAPPECLAAARARGASLALAVLEWTADCRGRQFDRIFGVWLSGAEILRSCTAEPRATGIVWSVSRDITRYAALLAEPGEVAVYLGNLLDKTYTGVYHVNLTLHLYFHPAPPPLTQHADLIVPISRSLPLNDGQWFAVQNATDVQSKILSIPPNTYRAVLEVFVSFHSSDEFWYTNPPNDYIEANNLSSVPGNGAFREVIVKVDDHMVGAVWPFTVIYTGGVNPLLWRPITGIGSFNLPTYDIDITPFLGKLLDGKEHNFGFAVTNALDVWYIDANLHLWLDHKSKKTVGSLISYDAPALALNVDSGFSGLDGKFVTGASRHISATGSVKSSYGEVTTTFYQRFSYVNSNVYTSNGTVQVVNQTIDAKSGVFVKDTSAVLLSEEVHKVFPLYIYTGTSDQVGDEYSLISLVKLGVNEKSVSGGRLGFSYRSLRNAQSARGTMKVKKNLVVSGLGQTHQVYKYVGTDGCYSRDVSSKNYTIISDNSGDSCSKRSPSSGVKFSSNTNQPARKKLLKL >SECCE1Rv1G0024400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:324894159:324898283:-1 gene:SECCE1Rv1G0024400 transcript:SECCE1Rv1G0024400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQEEEKAAGRRAGPHPLLRGQRRDGKYTHGLHPAQMEALRAMCGAFIPSLPAEETGAGGRADPPGGKDLERFYLASAADSNIPDEVAELMVTRCIREAALLAWVVLWVLSTRVGTLLLCGRLSLCGAAGELRRFADMPAERQEAALQRWNRTRWLFPLRIVFALVKILSHYVFYTMVDEKSENPHWKAIGYSVEEWQKDRAETPAAARPLDKGVVETRALNDTTLLRSLADRGLSVKTGAQHTVQCDAVIVGSGCGGGVAAAMLASAGYKVVVVEKGDYFAADDYSSVEGPSMERLFEKGGIFCTSNVTTMVFTGSTVGGGSAVNWSACIRTPGEVLQEWSRDHGVPLFASPVYQQAMNTVCDRLGVTDGCLKEGFQNTVLRRGCEALGLPVDAVPRNSSEGHYCGSCNFGCPTGDKRGTDTTWLVDAVKHGAVILTGCKAERFILQSNSGKDGRSKKCVGLIATCMSSGVTKKLRIEAKVSISACGALMTPPLLRNSGLKNWHIGRNLHLHPVSMAWGYFPENKQAVPITGKSYEGGIITSMHRVTERTIIETPALGPGAFAAMVPWESGRDMKERMSRFARTAHVFALVRDRGSGFVDCEGRLRFTPSRDDARELRNGLRHVLRILVAAGAAEVGTHRSDGLRLRCKGVRDEVLEAFLDEVTIEKGPMHSTADKWGVFSSAHQMGSCRMGSSPKHSAVDGSGETWEAEGLYVCDGSLLPTAVGVNPMITIQSVAYCLSKGIAESMTNGHKHY >SECCE5Rv1G0346500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:661552055:661556193:1 gene:SECCE5Rv1G0346500 transcript:SECCE5Rv1G0346500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKLEEELAVKHCRERSELLALAIRHRYTLADAHRAYADSLGRVGVALHDFVRGVQTLPPPPPEPTLHLPPGNRKGDGLPAASPANNPGGGGGGPAIASSSNGQAPPPAAKQVRINPDDEHIHFHSDGDSDSEDGHIKFHSDDEADPGQRRPEIVRSAGDPGQRPPQQQQQMGPPPYGSSAYGPPPSYGAPPGYGYGGYPPPPDYGGMGGPGGYDPGYGGMGGAGGNGPGYSSMGPPPPSSDGYDPPGYGGIGGYGQSFFNMNYARSQPPPPSVSHQHRPEATDATVQTFFNISYARGQPPPPSVSFEQRPEASDATVQTFFNTSYARGQPPPPSTGYEQRPQASDARVHYYGDGGAQPPPRGYNGYASPPQSSYNQYAYGGYYGGAAAPPPADMPSSSREEVVPPPPPSPPSVSTWDFLNPFETYGSYYDQPTVAPAPYTPSRSSKDVREEEGIPDLEDEDTEVVKEAYGEDKHPLNGHTGKGKAAKEEGRSSTGDELPRDSKSSVPSSSGSSLDDVHVVEKSVVGEQVRNEARQHVAGLPGTGSEKTYVDDNEVVLEIKAQFERASSSAGEVSKMLEVGKMPYYQKGSGFKVSAMMICGIPTMEEEFLRFEEDKAMGCGNLSSTLQKLYMWERKLLQEVKAEEEERLKYDRKRQDLQILDEKGAETEKIIATEKEIRKLSTRISIAIQVVNSISGKISKLRDDELWPQTCELIQGLMRMWDVMLECHQIQLHAISQAKNIDSMIDAARFGEDHMDLIKHLELQLLDWTTCFAGWVAAQKNYVSTLNDWLLKGVEYVPEETDDGVPPFSPGRLGAPPIFVICNNWAAGVARISEKEVVAAMQAFASNVLHLWEKHRSQQRQGMMANKGMDRGLRVMEKDEQAMRKALEAKNKKLVLVSDQTGVSLSAQVLHGAGPQAENSSLQLSLRNIFEAMETFTAASANTYKDLNLRAEEEKARVAGESGSSAP >SECCE4Rv1G0250810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:596426496:596428793:1 gene:SECCE4Rv1G0250810 transcript:SECCE4Rv1G0250810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSLLLPLALLALAASSAAVDNLEIDFYSKTCPDAEKIVREEMAKIIAAAPSLTGPLLRRGCDASVLLESTDVNVAEKDAKPNKSLRGFGSVERVKAKLEAACPGIVSCADVLTLMSRDAVVLAKGPFWPVALGRRDGRVSSATEASNELPPASGDVPLLAKIFASKGLDLKDLVVLSGAHTLGTAHCPSFADRLYNTTSENGTSGVVDPSLDSKYADKLRLKCKSVDDRAMLSEMDPGSFKTFDTSYYRHVAKRRGLFRSDAALLFDDTTRDYVQRIATGKFDGEFFKDFSESMIKMGDVGVLTGAEGEIRKKCYAPN >SECCE2Rv1G0080140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:127386188:127386463:1 gene:SECCE2Rv1G0080140 transcript:SECCE2Rv1G0080140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLEEYRGGDDDDARRDGGEKEEPAAAGYRTPRRAAPARDTGAGMVGACPPAPRKRRTTVAAAAPSVVARRREFYAGADLEAFFAAHDL >SECCE4Rv1G0228260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:133011028:133014177:-1 gene:SECCE4Rv1G0228260 transcript:SECCE4Rv1G0228260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTITQFILGLIVCNGHVIIYGSLYGNETDQLSLLEFKNAITLDPKQSLMSWNDSTHFCNWEGVHCRMKNPHRVTSLNLTNRGLVGQISPSLGNLTFLKHLLLPTNGFTGTIPPSLGHLHRLQNLYLSNNTLQGRIPSLANCSNLKALWLNRNQLVGRIPADLPPYLQVLQLSVNNLTGTIPASLANITVLNQFNIAFNNIEGNIPNEIAKLPALHILNVGSNQLTGMFQQAILNLSTLVTLNLGPNHLSGEVPSNLGNSLPSLQNFALADNFFHGKIPSSLTNASQIHIFDISKNNFTGSVLRSIGKLSELTWLNLEFNKLQARNKQDWEFMNSLTNCTKLNAFSVEGNHLEGHIPSSLSNLSIQLQHLYLGRNQLEGGFPSGIASLPNLIVLGMNSNRFTGTIPQWLGTLKNLQILGLADNIFTGFIPSSLSNLSQLAYLLLDSNQFVGNIPPSFGNLQNLEILNMSSNNLHGLVPKEIFRIPTLRGIYLSFNNFNGQLPTDIGNAKQLTNLDLSSNRLSGGIPSTLGECVSLEDIKLDWNVFSGSIPNSLSKISNLKVLSVSHNNITGSIPVSLGNLQYLEELDLSFNHLEGEVPKEGIFKNVTALRIDGNYGLCGGALQLHLMACSVMPSNSTNHKLFAVLKVLIPIACMVSLAMVILLLLFWRGRHKRKSMSSPSLDRNLPKVSFSDIARATEGFSTSSMIGRGRYGTVYQGKLFQDGNYVAIKVFNLETRGAPNSFIAECNVLRNVRHRNLVPILTACSSIDSNGNDFKALVYEFMPRGDLHGLLYSTQDYESSLDLIHITVAQRLSIVVDIADALEYLHHNNQGTIVHCDMKPSNILLDDNLTAHVGDFGLARFIVDSMVSSPDDTYSSSSIAINGTIGYVAPECATGGHISTASDVYSFGIVLFEIFLRKRPTDAMFKDGLNIVKFVEVKFPARISEIIEPEVLQDQPEFPEETLVAVKENDLDSVSSVLNIGLRCTKPYPNERPNMQEVAAGLHGIKEAYLRGY >SECCE4Rv1G0245150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:525395393:525396243:1 gene:SECCE4Rv1G0245150 transcript:SECCE4Rv1G0245150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGDNTVANVHSGIDSTNKTLLKSDALYSYILDTTVFPREHECMRDLRLITDKHPWGYMQSSSDEAQLLGMLIKMAGAKKTIEVGVFTGYSLLATALALPEDGKVVAIDTDRDCYEVGRPFIEKAGVAHKVDFREGTGLERLDELLVEDDGAATYDFAFVDADKPNYVRYHEQLLKLVRVGGTIIYDNTLWGGTVALPAGTPMSDLDTRFSAALRDLNAKLAADPRIEVCQLAIADGVTICRRVV >SECCE2Rv1G0135230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905867450:905869179:1 gene:SECCE2Rv1G0135230 transcript:SECCE2Rv1G0135230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytol kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04490) UniProtKB/Swiss-Prot;Acc:Q9LZ76] MAAARPALPSSPTSLLLARSISAPDLAAHRPRGPRRCLVAAAGVPAVAGALAASAPAGATSMLLRDGGATLLVTAGAYSLVRAFDALTERRLVQQSLSRKVVHVLSGVFFMASWPLFSNSTGARFFAAVVPFLNCVRLLTYGLGFFSDEALVKSVTREGKREELLRGPLYYVIVLLIIVIAFWRDSPIGIISLSMMSGGDGFADIVGRRFGSLKLPFNNKKSWVGSAAMFISGFLLSALMLSYFSWLGYIRVSWDQALGKLVLVALAATVVECIPVTDVVDDNISVPLATMLVAFLLFGNTAN >SECCE4Rv1G0214850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:1977757:1979337:-1 gene:SECCE4Rv1G0214850 transcript:SECCE4Rv1G0214850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDISLPQQWQLLFLVGILLPIISYLLVKKRSTEEGRLKLPPGPKRVPVLGNLHQVGPLPHRSLRDLARRHGPVMLLRLGAATTVVVSSAAAARDVMRAHDANCCSRPASPGPARLSYGRKSVSFSPYGAYWRNMRGLFAAELLGARGVGAAWAARREQVDRLMAALGDAAGPVSLDEHVFRVADGVIGTVAYGSVYGARAFAGKYEWFQQVLQEVVDMSASSSAEDFFPNAAGRLLDRLAGIVARRERIFRDLNGFFEAVLEQHLDPARPKPESGGGDLVDALVRICEEHGFTRDHVKAVVLDAFVGGVDTSSVTILWAMSELIRKPRALKKVQEEIRAVVAGNGNSDQRVQPDDLPKLTYLKMVIKETLRLHPPLTLLLPRETLRPVEIGGYDVPAGTRVLVNAWAIGREPASWGQDAEEFQPERFEAGGRHDKVDFHGAHLELMPFGAGRRICPGLAMGVANVEFTLANMLYGFEWELPEGAVAEKLSMEEVGRLTIHRKTPLVLVPTPYIPPESWLGGRTTA >SECCE2Rv1G0115040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:745565234:745571509:1 gene:SECCE2Rv1G0115040 transcript:SECCE2Rv1G0115040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLVAAATSRTLHLPASLRSLSSPPPVAHPGGARRRRAVLRCASVSEVAPVVSAAYGALLVGGGAFAYARSGSKGSILGGVSGSALMGLTYYLMQFSETKALGDAVGFGSAFLFASVFGIRLYNTRKLVPSGLLLALSLGALGVFYAAYLQDKV >SECCE2Rv1G0077960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:103128687:103130571:1 gene:SECCE2Rv1G0077960 transcript:SECCE2Rv1G0077960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSEGSAKIVRGRGRNKRKWTVDEDEELVRALCEVSTDPRFRAEGGGFKNCYTQGIEGLLAQRLPGRGIRASPHVDSRLKVLKRKFHAIKDMLASPGFSWDGSRKVIRCEKQRYDEYCRDNPRAKGMYGVPFPHFDVFDAVYGKDRAAREVVEVSEDATADMENGNSSEAGDDEAEEDRMCTGPSGRSLDATSSYEKQERCKNGSKRNRTESNGVSPGTFKDVCGHYRSASQHVDAMAEAMELFKDVHRHFQSVVQHASEMAAAMGAFKGAYDQFQSVVQNASTAATAMEQFKDAHDQFRSITQNGTATAAVIEPHADLQERLSPEVPQQDARVRAIAEMQKLGFTGSEVVSAASVFAKEPDQMGMFLALPEIYRREYILKMLNGGQPLHF >SECCEUnv1G0538280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72262701:72265624:1 gene:SECCEUnv1G0538280 transcript:SECCEUnv1G0538280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVMMSASTGAMNSLLGKLTTLMGEEFAKLKNLRKEVKFIKDELGSMKDTLEVLADVDKLDPQTKSWRDTLREMSYDIEDIIDDFMHHIGKKSESENHGFAKKTVRLLKKLRVRHQIAVAVLYENAANLVGVKRPAKELENLLKDENKKFKVVSVVGFGGLGKTTLANMVYGKIKIGFQCCFVSKENGQDMQKIARNYFNELVNRSLVQPVRFDGSGSVTECKVHDMMLDLILCKCAEENFLTKYNVRRLSVRLNGAANATLLLGKISLSQVRSVMMFGRSKNIPPLSKFKFLRVLFVKDKRTTDLTGMSELYHLIYVQIDGHGDDVHVPTPIRGLQQLETFDIMCCASVPSDIVHLPHLLHLCIGLRKGLPDGIGKMKSLRSLQWFDLSANSLDNIMGLAELTNMRELALIGNKVRHMDGLCSSLRKLGSLEVLFICTEGCMDGFSPPPRSLQRLAIASTFQLGCWFSRVPNWTRELHNLHCLRLTIDEVLTDDVGIVAELPSLTDLDLKVRRPPKEMIVIYGRRTFPALKRFTLALSSPSYLTFQAGAMPMLQWLWLHFSVEGWNQNEGGPAGIEHLHALEKVCAEIYNATIESEKSSAESAFRSAVNRHPNNPRILVGHHCYKY >SECCE7Rv1G0480460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:270570202:270571479:1 gene:SECCE7Rv1G0480460 transcript:SECCE7Rv1G0480460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAINYAALSPLQLPLPYLPPPLPPLLPLLSPPPPAAVDAGFQSRISPKILLIVLILAVIFFVSGLLHLLVWLLFRPTPRDPGDAGSSDANATAFHGQLQQLFHLHDAGVDQSFIDALPVFLYGAVVGAGGKDPFDCAVCLCEFADDDRLRLLPKCSHAFHVECIDTWLLSHSTCPLCRCSLLADFSPCGGGCSSLVFVLESGSEGSVSGRVDRPPPAHLSLVMEQDEGCESQTHSDVKEKDEVVVPVKLGKFRSQATEGGAGCSSNPDQDVRRCYSMGTYEYVMDQSSLLRVSVKPPAAKLSKPTMDKKESFSISKIWMRGGLRRKDSSAAPSGSSSRRASSFRLPSALQRAASDIGAPKRRADVVSPVTESEYNVSAWDKSGSGSIVDWDVESGTAADGLGSRADEAPSFARRTLLWIRGHP >SECCE6Rv1G0387390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:112158433:112160841:-1 gene:SECCE6Rv1G0387390 transcript:SECCE6Rv1G0387390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGPRWRICACGNIQQGTSSLHDAEVSSSRHGERKSRKYQGAYIDKDGEARTFDRKKISRKRGGAMRGRGWKYGSGFVDGVFPVLSPMAQDILELVQKGTDVAKVWEALDNVPPTHALWDDVLNVAVQLRLNRQWDPIISVCEWIVHRSSFRPDVVCYNLLIDAYGRRRRLGKAEAMYAALLEARCVPTEDTYALLLRAYCTAGSLHRAEGVISEMREHGIPPSATVYNAYLDGLLKARCAEKAVEVYQRMKRERCRTNTETYTLMINVYGKSKQPMSAMKVFNEMQSIGCKANICTYTALVNAFAREGLCEKAEEVFEEMQQAGHEPDVYAYNALMEAYSRAGFPQAASEIFSLMQHMGCEPDRASYNILVDAYGRAGLHQEAEAVFESLKRQGMAPTMKSHMLLLAAHARSGNVARCEEVMAQLHKSGLAPDTFALNAMLNAYGRAGRLDDMERLLAAMERRGTRDVGTYNVAVNAYGRAGYLERMEAAFASLEGRGLAADVVTWTSRMGAYARKKQYRRCLEIFEEMVDAGCYPDAGTAKVLVAACSDERQVEQVTAIVRSMHKEAKTLFTI >SECCE1Rv1G0045490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:610463647:610464894:1 gene:SECCE1Rv1G0045490 transcript:SECCE1Rv1G0045490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELRSGGAVRAQSTLCFSGALVDGPRIQQLLLHCAAALESNDVTLAQQAMWVLNNIASAQGDPNQRLTSSLLRGLVARACRTCGSPRADSMGPPPALGSQRAMSVTELADYVDLTPWHRFGFTASNGAILRAVAGRDAVHVVDLGVTRCMQWPTLIDALSKRPGGPPALRITVPSVRPAGPPLLGVPDEEIGLRLANFAKSKGVQLEFNVVNKRVATSSPSPAKLQTLCQELASVLSDPPALRLRDGEALVVNCQSWLRHVAPGSRDGFVDAVRALDPCLVTVTDEDADLDSPSLATRIAGCFNFHWILFDALDTSAPRDSLRRLEHEAAVGQKIESVVGADGTERSESGARLAERMRRKWFAGVGFSEDEVAEVRHLLSEHATGWGVKREEDMLVLTWKGHAAVFTTAWAPN >SECCE3Rv1G0192740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762117238:762120171:-1 gene:SECCE3Rv1G0192740 transcript:SECCE3Rv1G0192740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLVSVDKFSGGSQAYFLTHLHQDHTRGLGAAGGWRHGPLYCSPVTARLLPTRFPGVDLSLLRPIAAGASASLSLTSPTSGRPVSLHVTAIPAIHCPGSLMFLFRGDLGCRLYTGDFRWELGCDKARAAKKALLEALAGDTVDVLYLDNTYCHPSLNFPPRRVVAQQIVDIIRAHPDHEVILGIDTLGKEDLLLYIARALQMKIWVWPQRLLTMHLLGIDDNREIFTTKTSLTRVRAVPRYGLSIESLEALNTVCPTIGIMPSGNTWLWRNSEGKTKFSGKAPAKSTRCKGRGRGAGTIEMNYDPSSPPKLFEKDSYTLPYSDHACFAELEDFMQTVRPSTVIGIVSSSYCYVNPRGHFGHLCGDEACSDKSPVKNGGHAGNLTPVKNGGHAGNSTPVKNGGHAGNSTPVKNSRQTGNSTPKRRPSGSKTPRRRVIKISSPTLYRSRAIMKRRRYSCGAKIEEPEEPIPVS >SECCE5Rv1G0350210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:689111973:689117089:1 gene:SECCE5Rv1G0350210 transcript:SECCE5Rv1G0350210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MMAAAAASAARRAATGLFPLLLSSHSRALPRHGDLLALPSLVRPRRIPSHPAKLFFCSAAAASNGAAPEKARDMHLYNTRSRRREPFRPRAPGGEVGMYVCGVTPYDDSHIGHARAYVAFDVLFRYLRYLDYEVRYVRNFTDIDDKIIARANQLGEDPFSLSKRYSDDFLSDMAHLQCLPPSVEPRVSDHIDQIVTMIKQIIDNGCAYVVSGDVYFSVDNFPEYGKLSGRKLDDNRAGERVAVDERKKNPADFALWKAAKDGEPWWDSPWGPGRPGWHIECSAMSAQYLGNSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWVHNGFVNVNGQKMSKSLGNFITIRKVIELYHPLALRMFLLGTHYRSPINYTVEQLNVASDRLYYTYQTLRDCEESTQQVQSNSGDSLPFTTTHCVEKLHADFETSMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQEKRLESLAVLEEKVRMVLSVLGLMPSSYHEALQQLREKALRRACVTEEQVVQKIEERTAARKAKQFGRSDEIRDELAALGIALMDGPDGTAWRPSVPSSQQAVVTSST >SECCE5Rv1G0361340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:778872292:778875969:-1 gene:SECCE5Rv1G0361340 transcript:SECCE5Rv1G0361340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSALGQLSFSPSLSCSPKVKKVTKDDDAAAAGPRINNDITAPFLRLVTDEGHSVVPRHEALQLAARMGLDLVEVHRKTDPPVCKIMDFHKEKYNKDVKEKERLKTKSAIVLRGGDNKEVRFKAKTEIKDLKVKADAITRLMERGYRVKCMAMPTRNEAEDLGGPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKGGKKASKAMEDAGKGNPRNTSSESPATATDSADETSEHGLEVESVDKTPAYLSHESLAQKERQDRGVGGRNRDNMDSLSAGGNRINPGQGRSQSPERGLGSRSGNPHMEKREKTNQDMAPEQTNRYASRRNQMVGDNQGRPPQDPRRNENEGRNRFNYNQRPLKQPSPPPPRFSQGRPPQDPRRNENEARHRFNDNQRPLEQPSPPLPRFSYGRPPQDPRRNERGSHVPSNNNHVPRFQQSNQNSGPLADVGSPTPTSSSLGVFSSRTPATTSEPKKADGASAAGKPSDTAPAKSFGIFSYPRK >SECCE5Rv1G0307470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:112881042:112885349:-1 gene:SECCE5Rv1G0307470 transcript:SECCE5Rv1G0307470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLSPSMRSITISSSNGLLDLMKLKTAARHFSYRTVFHTVLILAFLLPFVFILTAVMTLEGFNKCSSLDCLGRRLGPRLLGRGEDGSMRLIRDLYRMLDEINSEDVPVDLKVAESFDDFIWDTKNNDYDLKSFALRLKATMETMDKELRSSRLSEQLNKHYAAIAIPKGLYCLSLRLTDVYSSNALARKQLPPPELVPRLSDNSYFHFVLASDNILAASVVVRSTVRSALKPERIVFHVITDKKTYPAMHSWFALNPLYPAIIEVKGVHQFEWLTKENGPVLEAIEIQHIARSRYHGNHLARTTAGDSPRVFAAKLQAGSPTYTSVLNHIRIYLPELFPSLSKVVFLDDDVVVQRDLSSLWDIDLAGKVNGAVETCRGEDSWVMSKRFRNYFNFSHPLIATNFDPLECAWAYGMNIFDLAAWRKTTIKDKYHHWVKENLKSNFTLWRLGTLPPGLIAFKGHVHPIDPSWHLLGLGYQEKTDISSVRKAAVIHYNGQSKPWLDIGFKHLQPFWTKHVNYSNEFVRNCHIMEPQL >SECCE4Rv1G0261530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:702422632:702426011:1 gene:SECCE4Rv1G0261530 transcript:SECCE4Rv1G0261530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGSQQEEEPRHLSSGDHESLKEPRQRKGGWITFPFLAVAILGLGVATGGATSNLVVYLIKEYNVPSVDAAQISTIISGSISVAPVAGAIVADAFFGCFPIVAVAMVMAVLSLVMFTLTASLPGLRPAACQLGAGPCEQASAGQMAALYAAVFLLCLSAAGARFNQATMGANQFESAAGRDVFFNWYFIFFYASTVLGATVIVYVQDTVSWTLGFGVSCAAGVVGLAALLLGARYYRQPPAQGSPFTGLARVVVAAARKRKVSVVASEELKFYYGLRRADDDEDVKTGGDGVVTPSDSFSFLNRAAVITEGDVDGASGSVLRAWRVCTVQQVEDLKTVLRIMPLWSAAIVLSISIGVQINFTILQALAMDRAVGRFTVPAGSMFVASLISVVIFLGLLDRVLLPLWRRVTGHTPTPLQCVGAGHALTVLSMAASAIIERHRTATVRALGEEGNPAWVSPLSAMWLLLPFAVTGAGEALHFPAQVTLYYQEFPPSLKNTATGMMAMIVALGFYLSTALINIVQRATTWLPDNMNASRLENLYWLLTVLVAINFVYFLTCAKLYRYQNIGK >SECCE6Rv1G0377990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:4352003:4353988:-1 gene:SECCE6Rv1G0377990 transcript:SECCE6Rv1G0377990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTFQKLLQPTRGPHRDSTPLRRFCGRAPTPPSPSGGDIFQWNSAITAHLRAGRVAAARRLFDEMPERNVFTWNCMISGFAQNRMLADARRAFDTMPTRNSVSWAALLTGYARSGRVAEARELFDRMPDRNVVSWNAMVSGYARNGMVERARELFDAMPSRDDVSWLTMISGYIRRRRVREARELFDRAPSPSTSICNALLSGYVALGCLKDAEELFGRMQRRDPVSWNVMITGYARAGRMQVAQSLFDEMPQKDTISRTAIMRGYLQNGDVDASWKVFQEMPDRDAVAWNTMIGGFVQSERVDDALRLFAEMPDRDLVSWNTILQGYVQQGDMASANNWFRRMPEKDETSWNTLISGYKDEGALALLSEMIRGGLRPDQATLSVVISICASLVALGCGKMVHLCAVKTGFERDALVMSSLISMYSKCGLIAEASQVFELMLQRDTVTWNAMIATYAYHGMAVEALKLFDKMTEDGFRPDHATFLSVLSSCAHKGYLYEGCRYFRSMQEDWNLIPRSDHYSCMVDLLGRSGFVHQAYAFTRKIPSDLQVNAWETLFSACNAHGDVQLGEVIAKNVLQAQPSDGGMYTLLANLYAAKEMWSSAANVRGFMKEQGLKKETGCSWVELKGEVVSFSSNDNTHPLIDQICQEVDNLSVMIEGAT >SECCE5Rv1G0361270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:778456761:778459037:-1 gene:SECCE5Rv1G0361270 transcript:SECCE5Rv1G0361270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVCGCGSGGGSSYSSSTAREGRARARARKKQKQKHEEEEEEKGPGPNPLDPRFSDYDPKEGDYVYTRFRHSKLDLDMESPVGPMHNTNRIFQDGLRMCNSANIVSVNIASSDYGYPLNVYGTIIARDSLDRQRVYLFQRAEDDCQNITSKNDALLLTGPKRGLLICDSIIFEVDLKVKDVNGRKVGDERVSKGIMEIDGVRRLSYPPKYQVQTEELVSMHSTLDLSYTFVRNAVEGTVEARILEGPVDYFHGKIVARTSSIPCDIMLHDSELAGTLTAGDGGILQTARRVVSVSIDETLLLTIAAATSGVRTVEFTPKRGSYDEEKITCGDYKMLVKVTWSIVRW >SECCE3Rv1G0202150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:870997151:871005979:1 gene:SECCE3Rv1G0202150 transcript:SECCE3Rv1G0202150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKEVCLEGFKSYAGRTVVPGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRAVRAASLQELVYKQGQAGVTKATVSIVFDNSDRARSPLGYEDSAEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKESALKTLEKKQNKVEEINKLLDDEILPALEKLRKERCQYMKWANGNTELDRLKRFCIAYEFVQAEKVRESALSDVKQIQGKIVELDESTEKLKADIDEMDKNIATLTAEKEAKLGGEMKVLSEKVDKLSHALIKETSLMDNQEETLRSEEKAAEKILKNIEDIKRSIVERDAAVKNAEDGASDMSKRAEDLTKEIDESEKEYQGVLAGKSSANEKKCLEDQLRDAKAAVGEAESGLKQLTTKISHSEKELKEKKAQMKSKRDEATAAEKELKARTKDLDAIKASMGSINYEEGQMEALQKDRSTEVEVVQKLKDKVRALSGELGNVNFSYQDPVKKFDRSKVKGVVARLIQIKDSSTATALEVAAGGRLYNVVVDTETTGKQLLQNGGLKRRVTIIPLNKIHTGTIPDRVQQAARRMVGAENVTLALELVGYDEEVKNAMAYVFGSTFVCRNMEAAKEIAFNREVGSTSVTLEGDIFQPSGLLTGGSRRGGGDLLRKLHELAKAEADLSDHEDRLSVIEQKIAVLLPLHKKYAELKSQFELKSYDLSLFQSRVEQNEHHKLGELVKKLEQELQESKEELKEKQVEHKKCVSTVSDLEKTIKTYGSEREGRLKALEKKIKSLKSEMQAMSKQLKAYQSERERLIMEKDAVANELASLEEQLITSKAQITALSETWGTHKSKVAATKLEYDQAESELNIGRSKLKECDSQINSISKEQQKIQQLLGDSNVERKKMENEVKRMEIEQKDCSSRVDKLMEKYSWIATEKQLFGKSGTDYDFASCEPHKAREELDNLQAQQSGLEKRVNKKVMAMFEKAEDEYNDLISKKNIIENDKSKIKKVIEELDEKKKETLKVTWLKVNKDFGSIFGTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPQSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQK >SECCE3Rv1G0206690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:910429327:910436595:1 gene:SECCE3Rv1G0206690 transcript:SECCE3Rv1G0206690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKGGGGGKKKKEVKKETKLGMGYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLKCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTVFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFDITFENEKGERSMVWQNSWAYTTRSIGVMIMTHGDDKGLVLPPKVAPIQVIVIPVPFKDADTTAIKGACESAVYTLNQAGIRADLDARENYSPGWKYSQWEMKGVPLRIEIGPKDLANKQVRIVRRDNGTKVDIPSTDLVEQVRVLLDGIQVNLLETAKAKRDACIKIISTWDEFIAALNDKKLILAPWCDEEEVEKDVKARTKGELGAAKTLCTPFDQPDLPSGTVCFASGKPAQKWSFWGRSY >SECCE5Rv1G0330200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:526375362:526375754:1 gene:SECCE5Rv1G0330200 transcript:SECCE5Rv1G0330200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYSSGGSSSGHLQAPELPLHLCFFLLVLFVFLGFSWYMSYESVVETFSDQGKLLLMVSPLALLLAVRLLSGGDGDGRRVDQLMSMSMPERDSIHRAGGSPWGVGLLLVLLIVMVSYQSNFREKWFAL >SECCE2Rv1G0068420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:23149461:23151105:1 gene:SECCE2Rv1G0068420 transcript:SECCE2Rv1G0068420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQAIYLVLALLLPVLLLKLITKRGSDGQKLPPGPWRLPVIGSLHHLAGKPLVHRAFADIAHRLGDAPLVYLKLGEVPVVVASSAEAAREVMKTQDVTFATRPWSPTTKILMSDGVGVAFAPYGAHWRQLRKICIMELLSARRVQSFRSVREEEVRRLVATISASAGEPVNISERLAVLIADMTVRAMIGDRFSRREEFLEVLQQGVRILSGFNLGDLFPSSWLVGFVGGSVREARENHTKGFELIECAIRQHEEVKAAAAVSNGDGNEGEQEDLLDVLLRIQKEGGHEVPFTMGAIKCLLVDLFSAGSETSATTLIWAMSELMRNPTAMAKAQAEVRNNLQGKPNVNEDDLADLKYMRLVIKETLRLHPSAPLLLPREPTKACKVLGYDVPKGTTVFVNTWAICRDPKHWDAPEEFRPERFESGEVDFKGTNFEYTPFGAGRRICPGMLFAQFSMELALAALLYHFDWELPAGGELDMEEEMGIAVGRKNDLYLHAKVLLPLN >SECCE1Rv1G0054260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673812809:673816792:-1 gene:SECCE1Rv1G0054260 transcript:SECCE1Rv1G0054260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVVAVLQMVASPILKKLLADASMYLGVDMASELHDLETSIMPQFELMIDAADKNNHRANLDKWIQELKQAFFSAEDLLDDYEYNRLKGEALAPRRKANSGKDPLPRHASTISTFVKPLHSVSNRWSNLSSNNRKLIHQLNKLKAIMAKGKEFRDLLCLPAGNTAEGPVVQAAAVPQVTSIPPPKVVGRDKDRDNIINLLTKSVGVESNSAIHSGLAIVGAGGMGKSTLAQYVYNDKRVQEHFDVKMWVCISRRLDVKRHTREIIESAVKGECPHVENLDTLQCKLRDILQNSQKFLLVLDDVWFGKSDEMEWEQLLTPLVSQQTGSKLLVTSPSNILPASLYCNKIVPLENMEHAEFLTLFKNHAFSGEEIRDHRLCEKLEDIGEKLASRLGRSPLAAKNNALKTDNLNDPRRALLWSYDKLDPRLQRCFLYCSLFPKGHSYDIRELVHLRIAEGFIDACNENKSVEDIGRDCYSEMVSVSFFQPDKESYSSKFYVMHDLIDDLAESLSKEHCFRLEDDMVAEIPCTVRHLSVHVGSMIQHMQSICKLHHLRTIICIDPVIDDVSDVFKQILQNSKLRVLYLTAYNSSKLPESIGELKHLRYLNIINTWISELPRSLCTLYHLQFLKFSDKLESLPDNLCNLSKLWYLEGHNDSGSVIDDPYNNALPQIPNIGKLTSLQQLYIFSVQKQKGYELQQLRDLDELGGCLNVANLENVTAKDEALESNLHRKTHIESLHLGWSDMDDINVEDSSHLEILEGLMPPPRLRGLTIKGYRSAKYPGWFLEDSYFENLETFRLVNCTVLDGLPANAKLFANCCSLRLENVPNLKTLPALPAGLKKLVIDNCPLPRAE >SECCE1Rv1G0013420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:104898813:104900054:-1 gene:SECCE1Rv1G0013420 transcript:SECCE1Rv1G0013420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATMVEILPEPPLMETETVVETLPELPLDVLMDIFSLLEIPDLIRASSVCSFWHSAYSSLHSQLGQYKRPQTPCLLYASEADGENVACLYSLAEKRAYKLTLPDPPIRSRHLIGSSNGWLVTADDKSELHLLNPITGQQIALPSVITIEQVEPILDSAGAVNNYKMWYLTPDEDPSKFSDCLCIKAFVFPDPPTGSYIVVLIHNPEQYLSFSRVGDYKWTSLPGENYEQCIHMDGLLYAFTETGGVYTFDLTGPTITRNIIAEEMENYISATDGYMYVVQAPWGDVLQVCRDFESTAEEFIKTKKMMLYKADMAAKELVEMHGLHDHVLFLGRSQSQCLSTEEYPQLKTNCVYFTDDMTYISKYKNDDRDIGILNLENDSTEEIVPQLWCNWPNPIWITPNITRMNMVFYK >SECCE6Rv1G0423200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:694675152:694678040:1 gene:SECCE6Rv1G0423200 transcript:SECCE6Rv1G0423200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRLLLLLLPWSCSSLAILLLGGGGGLREAAARTVPVEFLYPPFNLTYMHYIDTSGVFLRSPNATFSAAVFNAGSDSPSGGEESQMSRYFFSVLHDRSRTPVWAATAGSTIIQSIILSLNASGLYISDPADQSGPSWSTPRLAAPVAALRLLDTGQLALIDGDNATLWSTFDAPTDTLLQGQALPVGVPLTATASEQDLSPGAYRLLLTPADALLQWASDSDGGGDFVTYWALSSDPASVQDSNRAVRSMMVNASGIFLLADDGDGRDTVFSLRFASPPAPATGMLLKVDSSGRLRALSTAYSPTAARATLPAVWAAPASDCDLPLPCGSLGLCTPGNNGSSCMCPDAFTTHTTGGCSPADGSALPVLSDSCLAGNASSNSKSSAATPYSYTRLGDGIGYFASKFALPTTAGDALPACRDLCSGNCSCVGFVYRNSSKSCLLVHNQIGSVFRAGNSAAAAVAFIKTVLPAPSRGQGGGGGSSSLSTITIIFGIVLPAVAAVFITFLLYVLGVHWLKNRHGGAGANGKAKKKQHGHGGSSWFMLHMMPSLSSSRASSNVPSEKDESEDDDDEVLIPGLPTRFTFADLETATNGFKRQIGSGGFGSVYRGELPDRTAVAVKRMNNLGMQGRREFLTEIAVIGNVHHVNLVKLRGFCAEGARQQLLVYEYMSRGSLDQSLFFPRAAAAAAAAKKKRDVLEWPERLGVCVGAARGLAYLHAGCDRKILHCDVKPENILLDDRGGVKIADFGLAKLMSPEQSGLFTTMRGTRGYLAPEWLMNAPITDKADVYSFGMVLLEILRGRKNSKHDDDGDEHHTTGTTGSTTSASAASSDGGEATKLARSSYFPALALELHEEGRYLELVDPRLEGRADAAEVARVVRVALCCLQEEASARPAMTAVSGMLDGSMDVCVPRTEQLAYLRMYGRGLVDVRPGGWKGSDMTAGSSSWSPPSCVSAQQLSAPR >SECCE4Rv1G0289170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:864064982:864066166:-1 gene:SECCE4Rv1G0289170 transcript:SECCE4Rv1G0289170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEIAQGVEATPGHSVAPIDPVSKVLSDDDLLIEILLRLPPKPSSLHNASLIYKRWRRILFDPQFLRRFRKHHWKLLGFFYQQFNEVPMFTPILNQLDLFALALPENLFDHYSLGGIFLDCRDGIALVLDCARHQAIIWEPTTGRKHHVAFPLPVSHGFDTSVVSVAVMCSSHDINQHLHRDCCLNTFKLVLVCHEDLANQTKAFVCLYDSKSNIWGNIISIMDTFQIANAARPSIMVRNALYWLLSGANMLEFDFERQTLVVIEKPTAYAHVTGSSVDMSFQILRGEDDCLGLAVVSKLNPSIQLWGRKPNGDGIFSWVLQKCVQLDELFSRPARRKKCYPIVGV >SECCE2Rv1G0098100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:475281370:475287606:-1 gene:SECCE2Rv1G0098100 transcript:SECCE2Rv1G0098100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPPRNWAMAPPYHYHGTSQQEQAAPAAEDESGAGSGGQEAESRSLRIRELFPWMDEDYLRSCFTRSPELVAAVITRNKETKQSEGFGYLTFSDHATADRILQSYNGQKMPNADRDFSLSWVQYAGPDEDCAIYVGGLGFDVTDFMLHHVFKNRYPSVKSAKVIWDFLAKRSKGYGFVVFGDVNERTQAMTEMNGAYCSSRPMRIGPATFKSDFRTQGTYSDSNQNNSRLFVGQLDSSVTDEDLDKAFSPYGELTVKVIEGKSCGFVTYSSRASAVEAMTILNRSQLGDNIITVHWARPTPKKQDQWNGVDHGHPQSSGPGYGCCPEDPNILGYTGHPGYAYHQQQQPQQTSVQ >SECCEUnv1G0541850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98309834:98310913:-1 gene:SECCEUnv1G0541850 transcript:SECCEUnv1G0541850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSIVCTKLLCTNAHLGRRVADHHFKVYIRGSRNGIAILDSDKTLICLRNALHFIGSPSRQKGRSFFLKTNHLFIYEITEEMASYLRSYLRNVNSHCFDDSQWKIGALLTNSFANKKKIRSRKKKINFGLNQQPDCVVILNADRKSSVILEADRSQIPIPSLVDSTIPWESYKRITYPIPANDPIQFVYLFRHSIMKTMILEQNAISRETQSLRVTLSTGKSAGMRSAYYSTSSSSPIDEEENRAKALLEMRKKYPSGDGADADANARKAVLQAISKNPFFFVELITRFGPIDSESDRGVAGSHLAFAHRIEEILEFNGKSSGSLKDLMDLKLDLEDASKREEILLPYFSSKKEAKS >SECCE3Rv1G0171070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:263192803:263196067:1 gene:SECCE3Rv1G0171070 transcript:SECCE3Rv1G0171070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRFLGGVRPAAPPQPPVAPPPAQRQQQQTRAAMPRLWPRGERRGAGASGEVRGGEAGTTPEAEERRQGNQQMRAAMPRLWPRGERPGAGASGEVRGDEAGTRPEAEERRQGNWVLQMLRVQPRWADQADAEAAGGGGGQEEESPDVSGAERCASCGGGDEEEEGCAVGADEGGGEVFDRASFSRLLRKVSIEDAKEYSRMSYLCNIAYMIPKIQPKCLRRYSLQFVTTSVQEKDRANPDRKQEQSTEKGESPDKKPRVVKNAALGSKEEEGNGPAINPFGAYQVMSSAASYLHSRAMGINPFGSRTNGKNDPTTIMAIVSGENGEGLTLDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPSEWFICDDDQGSTRYFVVQGSETIASWQANLLFEPVKFEGLDVLVHRGIYEAAKGMYHQMLPYVRSHLRNYGKSAELRFTGHSLGGSLALLVNLMLLMRGEAPAASLLPVITFGAPCIMCGGDHLLRKLGLPKSHVQSITMHRDIVPRVFSCNYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEVLILQPDKRLSPHHHLLPQDSGIYYLGDSAGISLKLLQSAVSAFFNSPHPLEILKDGGAYGPKGTVYRDHDVNSYLRSVRGVVRKEVRRLREAERERWQLLLWWPLAVHGVLATGIGGWGRYGELADAVARGGKEAARQAQQHARLLALFLLPVKLLVLGALLAVRLR >SECCE7Rv1G0515670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:840379884:840381179:-1 gene:SECCE7Rv1G0515670 transcript:SECCE7Rv1G0515670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVVLLCALVVVQAVLLAASPADAGELVVGYYDKKCRGVENVVEWHVRRALKTNRRAGAALVRLLFHDCFVRGCDGSVLLDASPQNPHPEKEAPVNIGLAAFDLLEEIKAAVEDRCPGVVSCSDILIYAARDAAHALSNGNIHFDVPAGRLDGLVSSAAEAQAELPDSTFTVQQLIDNFARKDFDVEELVILTGAHSIGVGHCSSFTGRLTAPPEQIEPAYRNLLNHKCHQGANPAVVNNVRDEDYETVARFMPGFTSRVRKISDFLDNTFYHNNLARIVSFNSDWQLMTHTEARGHVHEYADNATLWDGDFAESLLKLSKLPMPAGSKGGIRKKCSIATHPLY >SECCE5Rv1G0366900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:816971693:816975242:-1 gene:SECCE5Rv1G0366900 transcript:SECCE5Rv1G0366900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) TAIR;Acc:AT1G01140] MAAAGGRGGPRRTTRVGPYELGKTVGEGSFAKVKIAKDTRSGATCAIKVLDRNHVLRHKMVEQIKREIATMKLIRHPNVVQLHEVMASKSKIYMVLEFVEGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSYGALKVSDFGLSAFSPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDPNLMTLYKLISRANVCCPPWFSTGARNLIKRILDPNPHTRITIAQILEDEWFKKDYKPPHSEHNEDVSLEDVDAAFDSSEEHLVAERREKPESMNAFALISRSEGFNLGNLFEKEMMGMVKRETSFASQRTPQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHSFYKNFSSELKDIVWKTESNTIAK >SECCE6Rv1G0412110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:612948682:612949032:-1 gene:SECCE6Rv1G0412110 transcript:SECCE6Rv1G0412110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEQAEKRGKVKKGWLAVRVGQAEQGDGFRRFVIPIAYLYHPLFQRLLEAARDTYGYDSAGPLWLPCSVDEFLRLRALVDRETAHSHSSSHRVHAGGHQQHSYSFAPCTRAKVSS >SECCE3Rv1G0146670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12047916:12048476:-1 gene:SECCE3Rv1G0146670 transcript:SECCE3Rv1G0146670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLGGMTVPCSFREFGCSATIPFTKRLTHEESCPHAPCHCPIPYCRLYANRGRCLREHIETKHCLVPYGDATAGSLSPVRVCDSEPVRLVFLDARAVFLLVVERSAPSGRVVLVVQLVSEPIKEEEEKDFKYKIEVHTRAGVLSLSGETQSVGRLMWPYQATASLFVSDDVWSPRDSPVYLKFK >SECCE5Rv1G0301070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29073604:29075151:1 gene:SECCE5Rv1G0301070 transcript:SECCE5Rv1G0301070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLHHRLPLLLLLLVLSTASASATHSPETATASASARTHHHHHRSPFGTATAHFHPVPAAAPSMHQNHLHADSQSLLSAGDIDPVLADAQDTGAVEAEAASPPPTRVLPVPDLAEATPQPQDEGFASTTPAAATTSTLHPLPATVATTASPPPPPAVSDAEQGLQQLARVLTSLGYNEMASEAPLLARAPPLARWPGAITVFAAPDAFLQASCPMCSRRHLLEQHIAMGYYPYSDLAAAATMKIPSASVGFCIKVATERGPFGIHYARIYADGVEVSHPELYNDGRYVVHGLHGFLRPLTHSCFDGPHHHHLAVTARSAEASAATAASVVRIMIRDAMARLRDGGYGFMALAMRVKFAELEKFANLTLFALDDPAIFVGGGHDYVSAVRFHIVPNHRLTSADLRRLRPGTTLPTLAGEGQSLVVTHYATDSASSKSNDDVRINYIPIKEPDVVVNSRIAVHGVYVPFPRLHLADLAVASATDQRNDTCGAGASFGNCASSAITSPKRQVAHGE >SECCE5Rv1G0321100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:397309097:397311843:-1 gene:SECCE5Rv1G0321100 transcript:SECCE5Rv1G0321100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRGGGGGRPTKADRLIHGAIDHFGRMGYAEADIRSTVGQLIEVYGEDASSFLKEDDYRVVQDALFEKQEQEEQQQQEEEPKQKEAAISEAPTASDMRIVDMHNEMPPGAELAVEGADPMLIDPPAPEATMARPAATGTSRARRPCYGWISESESDSDYEEYLASRRQATHAPIPGSG >SECCE6Rv1G0434690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:767064192:767065283:1 gene:SECCE6Rv1G0434690 transcript:SECCE6Rv1G0434690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLADWSSLPAELINRIADRFLATSDVDYYMDFRAVCHSWRSATQDPKNSPDPRFHPRHWVNINGASCRSGTRLLVNTATGRTLRQELGLLRHYNIINTTTDGLLILMDCTPPHNTCVLNPFTGYLIRFMAQRPGELVEYAALQSGSPPTIFFFCKDYMDDNWVIHESSRKVYMAQPDSESLAICEDRCAFPLIRLATSSVYSIGGADLGSVARRIFDLMRFYKADLAEISDNDYTMMSDEKSLWKLCVGRSFLTECAGKVLIIIKLAQGVVVYRLHTQNYKLEHVKDIGNCAIFLDLYCWCLCVNADKFPSVHANCVYYQKSRLAISNYVCLYLYNLKSEREERVDEEFEHLLLDQRLSRL >SECCE6Rv1G0440920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:807904952:807905468:-1 gene:SECCE6Rv1G0440920 transcript:SECCE6Rv1G0440920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGSGRGKAKPAATAKSVSRSSKAGLQFPVGRVARYLKVGKYAQRVGAGAPVYLAAVLEYLAAETLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIAAGGVLPSIHTTLLPKKAGKAKGDIGSASQEF >SECCE3Rv1G0209160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936927433:936928712:1 gene:SECCE3Rv1G0209160 transcript:SECCE3Rv1G0209160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFCCCLSTDDFEEYVHPNNPVYRQCISLRHLFHNMFGGYTAAFQRLDSRPSNPAQGAAPLASTNPSTNITESSLSETFHLVSRPPPYDIDPRYARVQREGLVSRREKSINLTHEESPALRRNGSSSGVEHLAAQKKRSSTDPEGEHKVRRSESTKSLSGRAYNSSYAVITSDDEDVCPTCLEEYTPENPQIITKCSHHFHLSCIYEWMERSDTCPICGKEMEFCESP >SECCE3Rv1G0170670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:256197412:256197756:1 gene:SECCE3Rv1G0170670 transcript:SECCE3Rv1G0170670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVLWGCCPPCAPDPAGADGGHYEELPVSVPPHVPRGLSRDDAPGPGLDQWEEGAYAEAVEQLTPPPLHCRDGAEITETGTGAGDAWPWPWLRAAFASVYERVAAPVSFCGRW >SECCE3Rv1G0202880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:878649961:878657252:-1 gene:SECCE3Rv1G0202880 transcript:SECCE3Rv1G0202880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDRGRYAPQHGWENNSAPDGYGAINEPDFRAGESYIGRRYVDEGFPSDRRGGFGPDIHDRNMYPPPPSAGTMWSQPRRNFDEEFATTKDYRRNKRIGSRDRGEFVAEFEDRYQGGEDNYERDHQYGRYSCDSDYERSRRDSSRRRLDSFEHERERKGLSHERDESPYVRHSRSRSRGRDNRSRSRSRSRSPRGKSRNWGQRDGFHDDTRFERRGEQDWDERRHDDLVAPSATVVLKGLSQKTNEDDLYQILAEYGPLRSVRVIKERPSGVSRGFAFIDFPTVEAARKMKEATGDNGLLIDGRQIFSEYSSKPTGGMSGDSFGQEHSTRSTYGRRTISTPCDWICTICGCMNFARRTSCFQCNDPRTDDAPPADAASSIQPFGKRGSEIGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGIRHEKNGQVLRVAYAKSTLGPASVASQSNSLAAAAIEAASFAQQYDAVGWAPKEYNAEDNVNGNSESQKDVSAPQSGFVWDEKSGYYFDSSSGFYYDGNTGLYYDSNVGVWYSYDQKTQQYLPCNESNSTKATGDMANESQSNGGKKVVISAPAATVKQSEKTSLPEAVQAAANAALAAEKKEKEKAKEIKLASKTNLLANKKKMNNVLAMWKQRNKEGQAAHVSLDDKEPSRSVVDKLNNSPSAIGFSLKSKPKSDFGNSKDMNLVAGYNSLGRETGGSQILDSDIKPRPVSNSLGTTVMGVIRGSGRGAVNTFHASSDAGGGISSDITASTSGLMTNTETHTASTPFKTDLSSLGSYASPAVSGSAKRRFSEAPGQSQYRDRAAERRSLYGSSSSLPNDGLDTTGEYSSRKGSSEMGSMPFPPGVGERSVGESDNTENYEVITADRAIDENNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKPGDVRAGLGSQQKRAADPSLEARAGDSYKTIIQKKAIARFREMS >SECCE4Rv1G0218570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:23004313:23004748:1 gene:SECCE4Rv1G0218570 transcript:SECCE4Rv1G0218570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSNATRMAAIFMVLMIMASTRSSSSCYAHTIEDGENSTLCFHVENCQRDTCQTACRFSSNPSTGAYCKLTNYCCCV >SECCE2Rv1G0107390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:648362789:648365907:1 gene:SECCE2Rv1G0107390 transcript:SECCE2Rv1G0107390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRTWPPPNPSPAPFSSRLRVSSDPHRRRRRRHSKKPKPEPPAPPPKNAPVCSGADFESLPPELLHRALSAVGAADVSAASRACRSWRDALQPLREAAALHAHGRRLKHGSAVPAGLDGERRVAARQSALVLFKRAARLGSAAAMVDAGLMCWEVGQREEAVGYYQAASELGHPVGMCNLGVSYLEADPPRAEEAVRWFYPAASAGNVRAQYNLALCLQNGKGIKRNQREAAKWYLRAAEGGNVRAMYNVSLCYGYGEGFTQDPVRAKKWLQLAADCGHRKALYESGIKLCAAGDKVRSLMYLELATRHGESAASHMRDVILESLSPAIAQRALSDADRWRPKSLSARR >SECCE2Rv1G0097800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:469181698:469184757:-1 gene:SECCE2Rv1G0097800 transcript:SECCE2Rv1G0097800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCWFPPLLLLFLALSALLFPPGAGAAAACHPDDLRALRAFAGNLTAGGDLLLRAAWSGRGGSCCAWEGVRCDGAGGRVTALRLPGRGLAGPIPGDALAGLPRLADLDLSRNALSGGVSAVAGLTGLRAADLSANLLVGSIPDLSALPGLVAFNASNNSLSGALWPDLCAGAPALRVLDLSANRLTGALPSSANPPACAATLQELFLGANSFAGALPAALFGLAGLQKLSLASNGLAGQVSSRLRELKNLTLLDLSVNRFSGRLPDVFRDLTSLEHFTAHSNGFSGSLPPSLSSLSSLRDLNLRNNSLSGPIAHVNFSGMPVLVSVDLATNHLNGTLPVSLADCDKLKSLSLARNKLMGQLPEDYGRLRSLSMLSLSNNSLHNISGALTVLRRCENLTTLILTKNFGGEELPENGNVGFHSLEVLALGDCALRGRVPEWLAQCKKLEVLDLSWNQLVGTIPSWIGELDHLSYLDLSNNSLVGEVPKSLTQLKGLMTARSSQAMAFTSMPLYVKHNRSTSGRQYNQLSNFPPSLFLNDNGLNGTIWPEFGSLKELHVLDLSNNFMSGSIPDALSKMENLEVLDLSSNNLTGSIPPSLTDLTFLSKFSVAHNHLVGPIPNGGQFFTFTNSSFEGNPGLCRSISCSLNQSGETNVNNEIQPATSISNRKNKILGVAVCMGLALAVLLCVILVNISKREAGGIDDEDDAGGACHDSYYSYSKPVLFFENSAKELTVSDLIRSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFRAEVEALSQAQHKNLVTLRGYCRHGNDRLLIYTYMENSSLDYWLHERADGGYMLKWESRLKIAQGSARGLAYLHKDCEPNIIHRDVKSSNILLNENFEAHLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQSLIATPKGDVYSFGVVLLELLTGRRPVEVSKVKGSRDLVSWVLQMKSENKEEQIFDRLIWSKEHEKQLLSVLETACRCISTDPRQRPSIEQVVVWLDSVSP >SECCE4Rv1G0243990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:508665498:508667072:1 gene:SECCE4Rv1G0243990 transcript:SECCE4Rv1G0243990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSKGKVCVTGASGFVASWLVKRLLESGYHVLGTVRDPGNQKKVAHLWNLAGAKERLELVRADLLEEGSFDDAVMACEGIFHTASPIITNADSKEEMLDSAINGTLNVLRSCKKNPFLKRVVLTSSSSTVRLRDEAEFPPNVLLDETSWSSVEFCESIQIWYAVAKILAEKSAWEFAKENNIDLVAVLPTFVVGPNLSPVLGPTASDVLGLFKGETEKFTIFGRMGYVHIDDVASCHILVYETADAKGRYICNSAVLGSDELVALLAKRFPSFPIPKSLPNIYGEQTYGYNTSKIRKLGLEFRGVEEMFDDSVESLKAHGYLREGTA >SECCE2Rv1G0106430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:636345355:636346664:-1 gene:SECCE2Rv1G0106430 transcript:SECCE2Rv1G0106430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKEIYTATENLHLSNIIGQGIAGKVYKGMLANGWPVAVKHIIKNEHAETFVREVTSLSHVKHPNLVSLRGYCDGQEECFLVYELCVNGNLSEWLFGKDKSLSWTQRLQIALGSACGLWFLHIFPEGCIVHRDIKPTNILLGVDMEPKLADFGLSRVIDIGVSHVNSEVRGTFGYVDPEYRHNHRVNAAGDVYSFDMVLLQLLSGERAINIMNTAKPMSLDKMASMLIREGNVLEFADPRLKGEYSVDAFDLCLKLALTCTSHKQQRPSMEQVVSRLEKALEVSMRDDDKRNNISVVESFA >SECCE7Rv1G0454140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:1519658:1521998:-1 gene:SECCE7Rv1G0454140 transcript:SECCE7Rv1G0454140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRPCKGAVQSSPPPCRCWPGFSRSRNLVAAAAAASSCAPRGAAAVACCWNRQQGGFRLVRGSPSFGRSTRGMQWAIKTMADDNPDNSGNSTRLFNAIQSFLKKLSGKLKKVSRGLPVKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEVIGALMYRASFALLGRMKSMISIFNYWKAGLTLGLFLDSFKYEVDELLESCSPFNFEVNIFTGLW >SECCEUnv1G0531780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17815184:17815920:1 gene:SECCEUnv1G0531780 transcript:SECCEUnv1G0531780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGACRYGNLFSEGYGTRTAALSTVLFNDGATCGQCYKIACDRKRADPLFCKPGVTVTVTATNFRPPNDALPNDNGGWCNPPRPHFEMAQPAWEKIGVYKGGIIPVMYQRVPCVKRGGVWFRINGHDYFNLVLVSNVAAAGSINSMDIKSSDSEEWMPMARNWGANWHSLANLTGKMLSFRLTTDDGHTLVLSDVVPKGWTFGQSFVSKLQF >SECCE1Rv1G0025570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:356216173:356219802:-1 gene:SECCE1Rv1G0025570 transcript:SECCE1Rv1G0025570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETLVQAMPLAPHRHHHPAPDPAGAKHRDDDPAAHARRTRTGIAAAADAGEEEDVYYARKMLQGVVLRPPSHLPQPEAPPGLTRAASTPATNGHVEGEEGQRHVERSNSAAAVVDVASIGRFFRGRRDVLSSAINRRISSLKETPAPPPGDACYGVQEIHLPNVKVTVRLKEAIAADADEDDGYGHSFSGSHIKGRVSFFSRSGCRDCAAVRAFFRQSGLPYVEINLDVFPEREADLASRAGAAARVPQIFLNEKLLGGLVVLNSLRNSGEFERRVREVAGRRCPDAAPRVPVYGFDADAGEEQEREDAMVGIVRVLRHRLPIQDRFVRVKLVKNCFSGADMVDGIVNHLECSRNKAVEVGKELARKHFIHHVFRENDFEDGTQSLYRFLEHDPAVPKYYNFRGSTNDGEPKPAAAVGQRMTKIMVAILEAYASDDRRHLDYARVAASEEFRRYANLARDLQRADVFALPAGERLSFFLNLHNAMAIHAVIRTGQPAGGGAVDGRSFFTDFQYVVGGYPYSLTTIKNGILRGNRRQPYTIVRPFGASDKRLELAETKVNPLVHFALCNATRSSPTVRFYSAQGVEPELRHAAREFLLDGGVEIDLETRTVHLTRIIKWYSADFGQDRDILRWILNYLDPTKAGLLTHLLNDGGPISIAYRDYDWSLNV >SECCE5Rv1G0362670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:787543593:787544506:1 gene:SECCE5Rv1G0362670 transcript:SECCE5Rv1G0362670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFSSPGAHPNADAVDFPSFDRQRKQERHALSANMYTVFALGHYNSRNPDAMFYPAAEPMEEPRAACVGFRQDFWYHVGFWARRRDATDDNEQKYFFAELRFERRSRRLVVETCTLLEKPMCRFKSRCAFCPDRFQILHPSDAEEFTCGKKRHKKKFFRERNMLGRPFMLR >SECCE6Rv1G0423940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:698193203:698194921:1 gene:SECCE6Rv1G0423940 transcript:SECCE6Rv1G0423940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSGNGICFPYDVLLDIVRRLPCRTLAESRRVCRTWRAIVDAHKLLLPHFFPRGPFPGIFTTNYGCADKSSFFAPSAPARLEELRGAHDGPVFRCPLFPHDSFSVLHCCNGLLLLRDRSERHRYVCNPATVRIASLPPLPDKQTWLFVEGMFLAFDPAVSRHHEVFLLPSGKILPEKKTQPQTWVEVNLEQLHLPMLFEEENLSQEDQEEIDITYHFDYVRDFINTTPPSDVISILVFSSQTNQWVNREFVPGHYAPRKLYDMVTAPHPSHVKIWKSAEYWQGSLYVHCWNHILLILRNSEGTYNMAQLPGKTCIDEHTSWSKLLESSVVASYERGVHYVAHDKIQLRVWTLIESNDGQVEWMLAHQAELSHEIPQWTEPRVPWKAVGNNKAIATLFEPYNIEAFMYDDEDGQSDTTDDRDGDHETDEAKYIHEADGGFDDTTNNIDDDGHSEDDAAHEDEDNKFKSEETFVSSWDSDEDNFIDLHESSENMSRDYKIIGLHPHKEVVLLQTHGAVTAYHIRTTRMQYLGHDLLRNPCTNAHGIGAAFPYRPCYVDALPATKLPYPRYSW >SECCE6Rv1G0379540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:14763983:14765053:-1 gene:SECCE6Rv1G0379540 transcript:SECCE6Rv1G0379540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAPFLLHHATTPVANLKLHIPPRSTSLHARHSTPGPVLCALTAPTSTTTTAVLQDEEPFSLERYMASKVVTVNEALDRALPPGHPERLLESMRYSLLAGGKRVRPMLALAACELVGGHEAAAAPVACAVEMVHAMSLVHDDLPCMDDDDLRRGRPTNHVAFGVSTALLAGDALLALAFEHLARGCAERGVPADRALRAVAELAGAVGAGGLAAGQVVDLASEGANVGLATLEYIHVHKTARLLEAAAVCGAIVAGGADEEVEGVRRYARYVGLLFQVVDDVLDVTRTSEQLGKTAGKDVAADKATYPKLMGVDGARAYAAELVASAEAELDRFDATRTEPLRHLARFIAYRQR >SECCE1Rv1G0054300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673841296:673844870:-1 gene:SECCE1Rv1G0054300 transcript:SECCE1Rv1G0054300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALFHAHGIDEIGQSVDAVRSTVLDLKRFSKAVKLAGFTPFLSAVDALNQCNAISEGIMTDELRNFLELNLPKVKEGKKAKFRVGVMEPKVGSHITEATGIPCESNDYIQELLRAVRLHFDQFIDQLKPSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVREWYGWHFPELVKIVNDNYLYAKLAKFVVNKSDLSEKDIPALADLIGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEMVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSDMSSSIFGEKLREQVEERLDFYDKGVAPRKNLDVMKAAIEEGMTNAVSEEDKEKENGGDMSAKKSKKKKSKSQADGDAMDLDKPASTAAAEETEKKKKKKKHKLEEPQDQEMAAANVDGEQDGTPKKKKKKSRDAAESVEPKTATEGKKKKKKSKTEADD >SECCE3Rv1G0192880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:763397262:763412852:-1 gene:SECCE3Rv1G0192880 transcript:SECCE3Rv1G0192880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLEQCRQACLRNCSCTAYASGNVSADRRADGSGCVMWSSGLTDLRVYPDFGQELFVRLAAADLGITSKSKKKHVIIAVVVCVSALAFLLAVAGVFFWTRGKKKARKTGSSKWSGGSRSTPRQYDASSHNDDLELPIFDLGTIAAATDGFSIDNKLGEGGFGPVYKGKLEDGQEIAVKTLSKTSVQGLDEFKNEVMLIAKLQHRNLVRLLGYSISGQERLLIYEYMENKSLDYFLFEKSNSIQLDWQVRYSIIEGIARGLLYLHQDSRYRIIHRDMKASNVLLDKEMTPKISDFGMARMFGSEETEINTCKVVGTYGYMAPEYAMDGVFSVKSDVFSFGVLLLEIISGRRNRGVYSYSNHLNLLGHAWSLWNEGKGAELADETMNGSFNSDQVLKCTRVGLLCVQENPDDRPLMSQVLMMLAATDTATLPTPKQPGFAARRIQMETETSSSKPDSSIFGSATVTIIEGR >SECCE2Rv1G0066440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14332683:14333406:1 gene:SECCE2Rv1G0066440 transcript:SECCE2Rv1G0066440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTALVLAVLLAIAVANAEAGSVVVGLAKCADCTRKNLKAEEAFKGLQVAIKCKNVHGDYESKAMGALDGTGAFSVPLASDLHGADCVAQLHSAASNAPCSGQEPSKIVPVSEGTTFGIVAGDHTATPSAASPECASMTLCGPIKKHIMEHFHHKKPVPPKPEPKPQPHPDYGPVPKPEPKPQPHPDYHPVPPTPTYGGGGGGYHGHH >SECCE5Rv1G0302020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34810867:34811925:-1 gene:SECCE5Rv1G0302020 transcript:SECCE5Rv1G0302020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQSSIAMVPSRCTAETQTARATVAVEVAGYSRLKGLGRGKYHRSPAFSIGGYEWCIYYFPNGSPDEASEGYVSVFLKLLTKNAEVRAINKWMLVNRVSGQSIVVLSRKGPDVFDRKKSWGVPKFMQATAEVESAYLQNDCLLIECEVSVIKETHNNHVPPSDLSDNLATLLKGKIGADVTFKVQGEVFSAHKILLAMRSAVFNAKFYGPMGDKGVQDIIIDDMQPAVFKAFLHFIYTDSMPSMDDLEDDDKREMVKHLLVAADKYAMERMKRICEGMLCKSIDVETVATILSLADQHHCSNLKDACIEFMLSSNRMDDVIASQGYAYLKRSCPDIIVDVFERTVKSRKI >SECCE7Rv1G0486660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:414918707:414932596:-1 gene:SECCE7Rv1G0486660 transcript:SECCE7Rv1G0486660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANWRPTQGSDPAAAAAGVDPNAPPAAGGDWRAQLQPEARSRIVNKIMETLKKHLPVSVPEGLNELQKIAVRFEEKIYTAATNQSDYLRKISLKMLSMETKTQQAPGNAQVIPNQNNSAPGLPPQGSNQAQTSVIPLMSQQQARQPNSSTSVQASSLANIGQNLPGVNQTSTMQNAPVMPQNTMNDGLAQGTSQDIYAAQRQMAGRQQQQQSQQLIYHQQQMLMKQKLQQNSLMQPHIQQQQSLLQPTQMQSSQQSMMQMSSGLHPGQSTVPQTQPMAMQSATQSGIQQKQLNSVQQSVQSLLQHPQQSVGRQQQQAQPSMHQQPSLQSPQPNIPLQQQQQQLMGQQPSLQQNQLIGQQNGAVEMQQQQRLPVQSNNLLNVQQTQQMQNQTSMPLHQPQQLRSQSNMSSLQQQQQNQHPQQLLGTVPNVSNMQRMHMLQTKVQQPQQQQHAQQPPMGLMQPQSQHNQLQQSQQHLMSQFQSQPNQLQQQLGMQQSSMQQRLQTSGGMLLQQNNMDQQKQFIQAHRGLQEASSSTSADSTAQTGHAGAGDWQEEIYQMIKSLKDQYFAEVSELFNKISVKLQHVDSIIPPQKPSEQYDRMKSFKIMLERILQLLQISKSTIQPAMRDKVPQYEKQIISILNSQRRKPVRPQIQQQFQPPAGQAPNSSISQQQQPSQTLQQHDSHTNPQASLSSMSTGLQSSNAAGIQHVPAPPTKNFSAPIQQNGANIQHQADSNLETAQGGNFNSLQHGSVSSALQQGSTVPMQGTMNTQLQTSSSMLSQNSMSTMQPDGNSMQANASSFQQLKQQQQDHQMMQSQQMKRQMFQQYQQKQQMLQQQLPIQQHLQKQQPVQMQVLQLHAGNDVNELKVRQGTAMKPGMYQQHLGQRSNYYHQQLKQSGAFPILSPQNNLQASSPQISHHSPQVDQHNPLPSQVKTVTPLHSAISPFVPSPSPSIAPSPIPVDSDKPHSNISSLTNTGQAGHQQTSLVPQTQSIAVNTPGISASPLLAEFTSVDGSQANMPTQAPTKSCAAERPLDRLLKALRTTQRESLNAAVSDIRSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITNDGSGASKKMKRDTSAMPLNVSSAGSVNDSFKQTLNVSSAGSVNDSFKQTLSVDAHDLQSTATSQAKWQKIEVNHALLEEIQEINQQLIDTELHVCEDDAESFAGTCEGAEGTVIKCTFTAVAVSPSLKSIFASAQMSPIMPLRLLVPVRYPKCSPVLLDKFLDEQRNSEDLSMKAKSKFGILLRGLAEPMSLREIARTWDACARKAIAEYAQKTGGGSFSSSYGCWESCVGA >SECCE5Rv1G0363220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:791717473:791719234:1 gene:SECCE5Rv1G0363220 transcript:SECCE5Rv1G0363220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHERHAPPSASDDEDDAPGPNDDEGTLVTTTASTTFHDVDEVIEVREVHPLSPPQQHPPFTPPTRTVSAASTAWDSASSHRSVTSEEQFMTMSREFTAMVAAGAGTGAANNNPNGNNNGNSNHPGGPYDGGPDQLTSIGEDELEEHNPLAIVPDSGRPFATPPSRSGGSSGRAARLDLEVVPAAGPPVEASQVKKEEVETKVSAWQTAEIAKINNRFKREEVVINGWETEQVDKASAWLKKIERKLDEQRAKAVEKTQNDVAKARHKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >SECCE1Rv1G0007320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39962192:39962794:-1 gene:SECCE1Rv1G0007320 transcript:SECCE1Rv1G0007320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTMDTPATSTDDHHHQTGHVASEPPAAKGGAAMSVTIVLLALLVASVAVFLMSSPPGVGGGSRPGAEPVEQAVGHGGVPGFNSRLDAFRNWAKLTWMKLQRPRSDDPRYDAGGHGIAGSAAEATKKSLEMGKETAEQAAATATGVAKDTVKGVAAPNSDAEL >SECCE2Rv1G0102800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:583747624:583750417:-1 gene:SECCE2Rv1G0102800 transcript:SECCE2Rv1G0102800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSNSDRDDIFFDAFDDISSAREPSSSDDCSTSGEGLAPREFEYDIWASEPMSVKERRQRFLEGMGLYDLGSTKVDLSQCQAEITTADTCADLQEKTIMSDISSLGSPIPENESAFDAACCIRDLDSGKRYMVHNGGQDGLASFLKDVATDKVLSLLEFESLVGVSRSVQKLLRRAYCQSPALAAETKEAIGGKKKDIKSLCKNLMKKRSFGGMCKTDVHVKSCTTSIPSRTKVQHRKKKNAEFSAVYMGQEIRAHDGLIRVMKFSPSGWYLASGGEDCVVRIWQITEVDAHSKMYGEENHPHEHVEKIKILKPKLEEGQGRALAVMPSKGFHITESPLHELHGHTGDVLDMTWSSSDCLLTSSKDKTVRLWKVGSDVCLGVFRHKDYVTCVQFNPTDERYFISGSIDGKVRIWDVLDKRVVNWADTRNVISAVSYQPDGKNFVVGTTGGVCRFYDQSGEDIQLDKELFMQGKKKSAASRIKNLQLCTSDSPRFLVTSTDSKIRVADGVDIVQKFKGPWKSKALSSPSLTSDGRYLISTGMDSNVYIWNFDNASSASQKGEAKSVRSCEMFFSKDVTTAVPWPGVHRDRHVKPSRLTEKSASGPALRRHGESRSPGTWFFADGMRGSMTWPEEKLTSAKPVNGPRLADCLSAISAAWNMVIVTASHGGVIRSFHNYGLPVTL >SECCE6Rv1G0377500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:2064589:2067279:-1 gene:SECCE6Rv1G0377500 transcript:SECCE6Rv1G0377500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGTIGVISGINECVNLFQWARSSISSLHSRWSGKQEQNLQDEVLHLQSGLQCLSDTLPAMYNIIDRAEWRSQKHCVAELLPKFSDAVYDAEDILDEFRWYEQKVTVEGIASQSPFIDFFDCVIQGSFNKVKGIQKRLENLSNLLEKMGLGEATQHFDKSVRPETSSFPIETIIFGRDQELNQVIKLLVVREDDSTTKTHSKRKRECHTINSSKRTSALINHVCNEQENGLAVLPIVGIGGVGKTTMAQHIYQQVKPLFEKTIWLSVSDDFDVKRLTKEALQSISGEERTGQLDSLQHALRKTVCQKKVLIVLDDLWDDALKENGQSWKRFCAPLKNVRKGSMMLVTTRSQKVADGVRTMESLKLNGLKDDDFWDFFKLCMFGCERFSNDHELEQIGRSILPKLKGSPLAAKTLGRLLRMNRHTTYWNNVLESELWELRQQEDDILPALRLSYMYLPFHLKRCFSFCGVYPKDHEFEKGYLAEIWAAEGFVEPQGDIPIQDIASQYFEDLVSRSFFQKVHGTYVIHDLLHDMVQMVSKNDCFILKNVSDFQKIPKNVRHLSVLCNPKFDYSKLFSLCKHEKLRTLICNMPLEDKVVAPVMNHWCTELGRMRVIICASTNGLPGSICNLKHLRYLEISRASPLKSLPSTFYRLYNLQILYIGECKMESLPSDFNKLISLRRIELCGFRYYLEYPLNCDALDAKQKKRYLHGLKVHRSSMMSRQNNGTEALQLLQPPAGLKSLQLRDYPDVSLPRWFQPQNLSSLISLANIGIFTSLMDLTISDCHNLSSLEHFLHPASIPAIKKIVVKNCERLVSVPTERFVELHCLEELEVVHCRNICSQSLVAPSLKRLVLGYSGNLADNIECCSLTSFFLYYSGHMSIQLQHLPALMGLLHL >SECCE2Rv1G0086530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:213273579:213275492:1 gene:SECCE2Rv1G0086530 transcript:SECCE2Rv1G0086530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEGLLAAVLLMSLLSSPLPSRAQASSLPVNVWPKPTSMSWAKPLAAMPVSASFRIVGTSGNSPYLVSATQRYTALLFAERYRPIVRPAVNVTAGGAALQSLTVSVSDLSAPLQDGVDESYALEILPTGVATITAATVWGAMHGLETFSQLAWRGRQGELLVAAGVRVEDRPLYQHRGLMLDTGRTYFPVVDILRTIDTMAANKMNVFHWHITDSQSFPIELPSEPMLAEMGAYGEDMRYTVKDVKRIVDFAMSRGVRVVPEIDAPGHTASWAGAYPEAVSCAGKFWLPDANDWGSRLAAEPGSGQLNPLEPKTYEVVANIIDDITSLFPESFYHAGADEVTPGCWKTDPSIQADMEKGGTLSQLLERYVRAVHPHVVSKNRTVVFWEDVLLDVEVNVSASAIPPATTILQTWNNGANNTKLIVQAGYRAIVSSASFYYLDCGHGDFVGNNSVYDDQRSDYKTDGGSWCGPFKTWQRVYDYDIAYGLTAEEAKLVIGGEVALWTEQADSTVLDARIWPRASAMAEALWSGNRDASGRKRYAEATDRLNDWRHRMVGRGIRAEPIQPLWCRTRPGMCDLVR >SECCE6Rv1G0404970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:525349161:525354542:1 gene:SECCE6Rv1G0404970 transcript:SECCE6Rv1G0404970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQGKGWNGWSTPSPGNQRAGGGAPPASAPLGKAKGTSQRAAELEQELHEYQYNMGLLLIEKKEWAAKFDEVTQVLTQKEEILKREQAAHLNAISEYERREENMRKSLGVEKQCVADLEKALREIRSEIAEVKFTSQKKIADAQSLEANLEEKSLEIEGKLHAADAKLAEANRKKSQADRDLEEAEARQRRLEKEKQYFETEKKAREKQLKEQEESLHDWEKKLQESQNRLVDLQRSVNDREERANENDKLCKIKQEELEEAKKTVESTKVILKTKEEDIAKRLNELRSQEKDADLKHKTLEKREKKLSEREEKASAREKMGLQKLIEEHEVKLEAKRRDFELELESERKSFEEKMKHREADLVKKEKDLNSRENKISKREQALNESKKKLEELQNDLDTKSKALKKWDESLKTEKEKLSEEKLQVDHERKQAVMYRSDIERLKATIEAEKKKILEEQDNLKLTEEERQEHSMLTAQLKKEIDEYRMRSNSLSEETEDLRKQRQKFEEEWEQLDEKRARLEEEAKVLKNEKTNLEKWRHNEEKRFKDTQDEMDAKYKEQQDNLALKEKALVDDIKHQREEIDEFLKRERADLQRNLQLHRHELEMEMANKLAIKQKELEQKEDELNKKRDFVENELKHAIDLNESKIQKITLEKQQLLREKEVLVEEKQKLETDKADIRRDIDSLHALSKSLKDRREAYNRDRNNLIDMFEKYKVCKSCGVSVFEGFGDLSLKDDADIDHPSLAVEGDDRSLNTDTLAQDTGTLVNSAGRFSLLQKCSRLFKFSPRTKAEQSSEQEAEVNIPFGARLEEASPSEADYEPTPVYQAANNSFDAEGLLFDSGARGNEESERLEIADDVQIESSVGVADNCIDAHGTQPFAGANDMAVDTTIASVDQNGKDSTAAPEVDLQPETSKQPKRRGRPRAVKRTKSVLAVLEDAKVVLGENFDEKNDDQEDSGTVGGTRKRRFAGPVISEDEEVSEAQSESVSVGGQRRKRRQPAGPSTQAPGEKRYNLRRTTVANAAAAAPPPEKKKAGRTGKKQTLETTADDTEGTSKADDSKRTGPSESADGASQLQDFSQAETVDAHATAGEEYGDIVVDGEQGEDAMPMTPSGSERGGVEDDDEDDDDDDSERRNKSIGKTLWSFFTT >SECCE2Rv1G0073320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:67081978:67083119:-1 gene:SECCE2Rv1G0073320 transcript:SECCE2Rv1G0073320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSASCISLVVLVALATAASGQLSSTFYDTSCPRALATIKSGVAAAVSSDPRMGASLLRLHFHDCFVQGCDASVLLSGMEQNAGPNVGSLRGFGVIDSIKAQLESICKQTVSCADILTVAARDSVVALGGPSWTVPLGRRDSTTASAALANSDLPGPGSSRSQLEAAFLKKNLNTVDMVALSGAHTIGKAQCSNFRTRIYGGDTNINTAFATSLKANCPQSGGNGNLANLDTTTPNAFDNAYYTNLLSQKGLLHSDQVLFNNDTTDNTVRNFASNAAAFSSAFTTAMIKMGNIAPLTGTQGQIRLSCSKVNS >SECCE6Rv1G0435330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:770700216:770702045:-1 gene:SECCE6Rv1G0435330 transcript:SECCE6Rv1G0435330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKKNRREICKYLFQEGVLYAKKDYNLAKHPQVDASNLEVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEFLRTFLNLPSEIVPNTLKKSAKPPSRPFGSGPPGDRPRGPPRFEGDRPRYGDRDGYRGGPRGAPGDFAGEKGGAPAEYQPAFRGAGGARPFGRGGGGGTFGSGPAAE >SECCEUnv1G0563590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:375894245:375894955:1 gene:SECCEUnv1G0563590 transcript:SECCEUnv1G0563590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARLVRAAPLLVRTAATAATADPAAALKAGDALRSRRRRFTEDDVAAYAGVSGDRNPVHLDDAFARGAGGFQRGRVVHGMLVASLFPALIASHFPGAVYASQTLKFAAPVYVGDEVVAQVQALHIKAAGARHIVKFATKCFSDDDQTLAIDGEAMAFLPTLQLNTEAIE >SECCE4Rv1G0272670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:771044906:771046776:1 gene:SECCE4Rv1G0272670 transcript:SECCE4Rv1G0272670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVIVLRVGLLFLLAMSASAQYPSSPSSPDSYPSPGSYPSPGYPDGYQGEGEAVPPRNVTCKDSERKRSGCTSTCPARCPQQCIVLCPDCKTFCNDEVKPPKPVPPPAMFVFGDGALDVGNNAYLPKTETEEGFPPQVSKSSSGRFSNGANLADTVATSIGFEQSPPAYMSLKGGLNMWGANYASTGAGIKISTNGERSISLPKQLENFKLTRSQMENKVGGDAKMRELLSKSIFLISIGGQDLDPRWNVESGYPREQTELQELMSLYGDFVTSLYDMGARKLAIVNVGLIGCMPQHYMYECDQSLNDKATAFGAALKPLMADLVSKKSGLSFSIGDFYGFTTAVFANPSNYGLVNTRDSCSQWGYPDWTYCYNPDGYWFWVPEFMTDRASKLTAAAFYYGPPQFTFPITYKALLEKK >SECCE5Rv1G0370650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:843044529:843045971:-1 gene:SECCE5Rv1G0370650 transcript:SECCE5Rv1G0370650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVHVYNQTSRTEVFSKWKERFVISDDLVIKPAATSTVLSFLPGFYGHRIQDAYEVEVDVGWTQVVSLLKACASSTTIFTDVFLAKRIDAHLMNTSVLTIQNPRRQEANQDPGPQMSIKLFFDRDDSKVMYAECKHDFVDLLLSFLTYPMGCILKNLAGTSHRFRSLNNLYSSADGLNTSGFLTGHCFGDKSTLLDPSLAPLKTHGIHGSEKAIAEWYYLCRTGKRHCSCAKDRPCHLCNLGFVNDHTYVVDDELRIHQASAMSVLKHWCKRNRDNVVEMDIAISKQEAVALLRAVINSETALTDAFKGRFQQEAQSLPPSKRRTSSPSVEKMQIFVKIFAGETITLDVASSDTVGAVRSKIQMRGRLMADCGLVYAGKCLKDPWTLADCGIHREATIHLEFYPRGS >SECCE1Rv1G0043290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:591479083:591480153:1 gene:SECCE1Rv1G0043290 transcript:SECCE1Rv1G0043290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPIACVGEPSGSASAISATSARGYHTLKIDGYWFTKTTPTGEFLQSSQFFVGGHCWRVYYYPNGKDSETADYISFYLKLDEIGTEDVKTMFTINFAKVAEKRLSWPWTSTTGNIFVGKQMWGYPKFIKRADLEKSEHLKDDSFTIRCDVVVFHDICTKQTSTPKFVFVPPPELNQHLGDLLKTKKGADVVFEVGGEMIAAHRCVLAARSPVFSAELFGVMKEGDTASVIHIDDLEAQVFKELLYFAYTDSLREAKEEEEDIMCQHLLVAADRYNIERLKLICEEKLCKYIDVGSVPSILALAEQYHCNGLKKACFDFLSCPAHRRAVVATDGFQNLCKNFPSLVMELIVMPSPP >SECCE2Rv1G0081630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:142530682:142532001:1 gene:SECCE2Rv1G0081630 transcript:SECCE2Rv1G0081630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLQALSLLLLASLASSAAPSPSGYRSTLTHIDSKLGFTKAELMRRAVHRSRLRAATMLPGYSTSSSKANTGPRLRSGQAEYLMELAIGTPPVQFVALADTGSDLTWTQCQPCKLCFPQDTPVYDPTTSSSFSPVLCSSATCLPIWSRNCTPTALCRYRYAYGDGAYSAGVLGTETLSFGYSAPGEAPAASAGGVAFGCGTDNGGDSYNSTGTVGLGRGSLSLVAQLGVGKFSYCLTDFFNTSLGSPVLFGSLAELVPSGGAAVQSTPLLQSPQSPSRYYVSLEGISLGDARLPIPNQTFALRADGTGGMIVDSGTIFTVLVESAFRVVANHVAEVLGQPAVNATSLDSPCFPAPAGERQLPAMPDMVLHFAGGADMTLHRDNYMSFDQEDSSFCLNIAGATSTSTSVLGNFQQQNIQMQFDITVGQMSFVPADCSKL >SECCE1Rv1G0058020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:696713897:696717420:-1 gene:SECCE1Rv1G0058020 transcript:SECCE1Rv1G0058020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEANAGGRGGGEQRSKSSDVMSFFSEYGDASRYKIEEIIGKGSYGVVCSAIDRQTGDKVAIKKVSNIFEHITDAARMLREIKLLRLLRHPDIVQIKHIMLPPSRRDYKDIYVVFELMDTDLHQVIKANDDLTKEHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDTWSIGCIFAEILTGKPLFPGKNVVHQLDMMTDFLGSPSPDIISRIRNEKARRYLSTMKKKLPVSFSEKFPNADPAAVKLLQKLLAFDPKDRPTAEEALADPYFNGLAKVEREPSCQPISKMEFEFERRKFTREEVKELIFREILEYHPQLLKDYNNGSEKTNFLYPSAVDNFRRQFANLEEDGGKGGAPERKHVSLPRTTTVHSTPVPTTNGPASQAPQRIPTARPGRVIASAIPTENTAFADRQTGRRMARDPAAPPAAAVVGYHLKPDSSDRQPEQQQQEVEKERARYRPAHHFRDARVAPEAEARPSAYHIPPFNGIAAAAGGYSKVGAAERMY >SECCE7Rv1G0467630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:89458714:89460357:1 gene:SECCE7Rv1G0467630 transcript:SECCE7Rv1G0467630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSGAQRRQPALWRRCRSLRQIKQVHTLLVLRGFLSDPSALRELLFASAVAVRGAIAHAYHVFDQIPRPDLFMYNTLIRGAAHTSAPRDAVSLYARMARLSSCCGGGVRPDKITFPFVLRACTAMGAGGTGAQVHAHVVKAGLESDAFVKNALIGMHASCGELGVAGALFDRRAREDAVAWSAMISGCARRGDIGAARELFDECPVKDLVSWNVMITAYAKRGEMAPARELFDQAPERDVVCWNAMISGYVRCGSHKHAMDLFEQMQRMGKKPDVITMLSLLSACADSGDLDVGRRLHSSLSEKFSGSGFTVVLGNALIHMYAKCGSMKSALEVFWVMRDKDVSTWNSIIGGLALHGHALDSIDVFKKMLKERVRPDEITFVAVLIACSHGGKVDKGREYFKLMKQQYRIEPNVKHYGCMVDMLGRAGLLKEAFEFIDTMKVEPNSVIWRTLLGACRVHGEIELAEHANRQLLKARSDDSGDYVLLSNIYASAGEWLESEKMRKLMDDSGVNKEAGRTVVDGSAKDQMQSFRQSKSHHGLKGFIG >SECCE4Rv1G0273750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:779646091:779646717:1 gene:SECCE4Rv1G0273750 transcript:SECCE4Rv1G0273750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTFLAAARGGSRNPTAGFEKVELAAGAFQVQSPYNVPESQRFLYRNSVRTFWVHRNDKPFNTATHTNPRSEVRLRFEGYGYVPSRTSGASVMQIHNQEGAAHSTVLMLHVYDGVLRFYSGTEVEPDIYNRWFRLNVVHDVWASTVAVYVDGKHKFGTNVTPSASYYFKFGVYMQHHDQSSCMESRWTNVTLYTKH >SECCE3Rv1G0211330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:948701882:948706252:-1 gene:SECCE3Rv1G0211330 transcript:SECCE3Rv1G0211330.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTQNARSGGLMEGEAQPPPACVSKVLDEDNLLREIIVRVGFPTSLVRAAGVCTRWLSHASDRALLRRFRELHPPRILGFYLAKREHPGGARFFPVLPLPPDLAAVVRRASFSLDTHERARRSASFSPDTHGRTDVVGCWNGSVLTLSQGENYSHGRSEIVSDVHSPLCSERGAAVTPALHLEFEAGICRLIKQLFFKEEGDGLSYFYVTVECTRYHTDSKVHVYMLQNGDDAWRKHLTLASDLLLYPRKSPKGVLVDNKIYLPTGNEIVVLDLMSSSLSTIQLPQGVGFSPIGTTMLSRADDAFGVYLIHIKELQLNIWLQNGDNWLLVDSICLSETSDNLLDDEPIDDILINHVGDYNEFVFLEMGRCTLYLDVKRRTLRKVYEMTTEEQQLGDIYPLMMSWLPSFPTLMDSPSRNAT >SECCE7Rv1G0516380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:845523302:845526170:1 gene:SECCE7Rv1G0516380 transcript:SECCE7Rv1G0516380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGSADSFEDIHAKVQVEKLPAVFYYTAVWCGPCRVMAPVIEKMSRQYPKIPVYKVDIDMEGLGNRLSTLKICSIPTFHFYHKGEKSSEVVGADVKKLEAAMESLHKQQ >SECCE2Rv1G0077650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:100822840:100824823:-1 gene:SECCE2Rv1G0077650 transcript:SECCE2Rv1G0077650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPHAALLLLFILAYFRGAYSSGSRSRFTSIISFGDSFADTGNLVMWADPVLPGLLLKNLPYGETFFGHPTGRATDGRLVLDFIAEAFGLPFVPPYLDKGGNFSAGVNFAVAGAPALTLTYLQGQNLTVNPAINSSLYDQLLWFQKMKPSLCKGHGTDCFRSSLFVMGEFGANDYRSFLLSNRTVEQATSYVPQIVDSISQGLEILIRHGAKYIVVPDIFPLGCIPTVLTKLASPSKVDYYRNGCLKSANRVGRYHNSLLRQRIKVLRHKYPHTKIIAAEYYRPVLAFLDMPGHFGLNSSTTLLTCCGAGGPPYNYDSDSECGRPGVMACADPSQALQWDGFHLTESAYRVVADGWLHGPYADPPIMHVARP >SECCE7Rv1G0474130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:167804279:167806585:-1 gene:SECCE7Rv1G0474130 transcript:SECCE7Rv1G0474130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSPLSRGPLGPLLGSGPLDPSSSSSSSGNSDESGGSSSGGDSDSSGGSSSSESPPSPSSSQSSTTQSTPPPGSSGSPPSPPSPSLSPPPATPAGTNNGSPPAQLPPPGSNATPSPQAPKHNGGGGGDSSESGGGSKGGGGSSGRGKGGSKQDDSPPVEAVVVGVVIGVVAFALLLCIAACVCCARRKKKRPPMAMPFYTDQHGNVYYANNMPNPWQQSGGPTDGHGGAGWHSQYPLGQGPLSEEMMMSGSQGTGSSMPPPSPAIFGSQSSFTYEELASATGGFSQANLLGQGGFGYVYKGVLPGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGASQRLLVYEFVANDTLERHLHGKGVPVMDWPTRLAIALGSAKGLAYLHEDCNPRIIHRDIKAANILLDENFEAKVADFGLAKLTTDNNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMMLELITGRRPVDPTNYMEDSLVDWARPLLARALSEGGSFDEVVDPRLENKYDRQEMERMAASAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGMKPGQSMIYSSDESGSYAANINRLRQVAFESSGEYTNEYSGTGESGETTQRHR >SECCE5Rv1G0372770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:854645383:854646942:1 gene:SECCE5Rv1G0372770 transcript:SECCE5Rv1G0372770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQWFNNVSGQNGTVQVAAVVDTPEKEVQCRSLLDAGEFRRQGHQVVDFIAKYYDDMGDYPVHPSVTPGFLRNLLPADAPSSPEPDAFTAALKDVRDIILPGMTHWQSARHMAHFPASSSTVGALGEALTAGINVVPFTWAASPAATELEMVVLDWLGKALHLPERLLFAGGGGGTLLGTSCEAILCTLVAARDRKLAEIGERRICDLVVYCSDQTHFAFRKAARIAGILRDHCRAIPTCHEDMFALSPTELEAALQADVEAGLVPLFLCATVGTTQTTAVDPIGQLCAVTAPYDVWVHVDAAYAGSALVCPEFTHLIDGMEAVDSFSMNAHKWLLANNDCCAMWVKKPNALVAALGTEQEYILKDAASEGHHVVDYKDWNMALTRRFRALKMWLLLRCYGIQGLRDHIRSHITMAKAFENMVKADQRFEVVTERTFALVCFRLRSQDKSGGNKTVNQLNRDLLEEVNAAASGPYMSSANVGGMFILRCAIGSTLTEHHHVSDAWKVVQDQATIMPS >SECCE7Rv1G0462720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:48267268:48274051:1 gene:SECCE7Rv1G0462720 transcript:SECCE7Rv1G0462720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller domain containing protein [Source: Projected from Oryza sativa (Os03g0835800)] MMQQLQPTRMHWARAVPSDFGGQAPAPRSGHTAVAIGGSKVVVFGGFADKRFLADTAVYDVENRLWYTPECSGSGPDGQPGPSPRAFHVAVVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSEMTGFGDLPSPREFAAASAIGNRKIVMHGGWDGKKWLSDVYVMDTMSLEWTELAVTGSAPPPRCGHSATMIEKRLLIFGGRGGTGPIMGDLWALKGITEEDNETPGWTQLKLPGQSPSARCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECVILDRVSVQWKRLPTSNEPPPPRAYHSMTCIGPRFLLFGGFDGKNTFGDLWWLVPEDDPIAKRDLVPDVGSDSNHSTVTGDAQQSALKESESQSDESPMLELAKRLGIPLSVEPSASFVDEISDRELVELSSRLIGESLPTTDQLACIQALRDHWRSSPSSSIQLQELGPLLRDYQRLIIRRFVENPSPTFLEREDRRLFHLKNASQLRMDDIPVLLGEYRTLLST >SECCE2Rv1G0077810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:102000998:102005190:-1 gene:SECCE2Rv1G0077810 transcript:SECCE2Rv1G0077810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEAEREAGEEPRRPLLPASSSPAAEHQHLGRSSLSALREGGGGWAEVSAAEVRSAASFSSSCSNYYPPAPYPHYDAVYPPSIHSAALSPAPSPAAIPPHRHEGLAIVPQGPYPYGGDHQPSEGIRRDVLDEVEVRQMLIEHVGHRCCWGSRPARTWKITSIKDCCDVYVGTLETFIEQRDAILKREPYHGGEVDGRDKGSVLGVWELDLRSEFPPLFVPEKEVMVKIPHSQVTERCSDCNGRGKVPCPVCNPGREYGFYMANQMTQCSVCNGRGSLAQQDESDSVCWMCNGQGMLPCIECGSRGLVTCRTCNGCGSLLEQSIARVRWETLTARKVSATAETATVPDEVFHRAEGLQLCNIQAYQCTPAFFADSYPLNQLSSEVVASRLPVPPSARVILERHIISVVPVTHVTMSHRKRSFSFYVVGYGRDVFVRDYPSRFCWGLCRCFEWLGN >SECCE1Rv1G0001900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6607380:6607960:1 gene:SECCE1Rv1G0001900 transcript:SECCE1Rv1G0001900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTNPSYYQSGVCQDIKHKEHLFHLYMNQIFDGTPNANQQATVKPGLPFGFGHTVANDWTIRDGPAADANLVARARGMHMGVGKFDENWLFCHNILFTDTRFKGSSLKVLGDFVSEKDSEWAIVGGTGEFAYAHGVVVAKILPNVPPAPARTWELHI >SECCE4Rv1G0284220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838624661:838625105:1 gene:SECCE4Rv1G0284220 transcript:SECCE4Rv1G0284220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFKKNTSAQCLLALALMATVISSCDAFGPQGGWCFMPFVRCDLDLCKRSCKGLFPYCEQIHGISSQCCCSPKKLSASIDKNSRP >SECCE2Rv1G0069300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27649703:27659040:-1 gene:SECCE2Rv1G0069300 transcript:SECCE2Rv1G0069300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G01400) UniProtKB/TrEMBL;Acc:Q9M033] MAVALPPAFPPHGLPQPDASAGNMGPRLLEVRGLRRLPLHELVPRLAELRADEAGPVRKVVVEMIGEIGSKHAVFIPDMMPSLLDLLNDETPAVARQAVKTGTDLFAKVLQELVIQGLFSSGGIDESLKSSWEWMLKLKSAVSLMAFQPTSNEGVRLLAVKFVEKTVLMHTPDPNITSDPPTQATEDMGFNIAWLRGGHPLLNVGDLAMEASQSLGLLLEQLKSPKIRSLSTSMIIVFVTSLSAIAQRRPSFYGRILPVLLSLDPANTIIKVQVPGAFHALKSAIDACLKCTHSSAEPWRARLLEAQNIINQGDSIAANDSNAGRSAGDTSNRAESLPLTETSTDNSNKRSLADDMNSILEDDGHSSKRVKQSHDSQAHSEEANKRNTEAASVDSSSNQPTPARTENSEAVYQLIGMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSGPEVDQQQHPSTSQSSVAPSRNLLSGRFPLLEALWKTISETDQAEAPPAKDSALVTSAAGEIIPVLASSPVPSALKTPKEENISSAVPLDIETVEAKVPTADATGLSMEIQGSSETSHASTEPQGTQEHSGSFVTSLPADNSSVGISLAQCSETRSPSSSTIDGSQSQFSSLNAPTSQCVLPKLVVTNVDLTDEAKDLLQKDAFLRILERDKQVESGGSKARLPLLSHLSVEFPLELDPWELLKKHVLSDYVNKGGHELTLGILNRLYREAEQDQDFLSSRTATSVYESFVLTIAENLRDMFPATDRSLGKLLCEMPYLPEGVLKLLEGLCSPGNNEKQDKDLQSGDRVTQGLSAVWNLIMLRPPNRDRCLDIALQSSINRIDEVRMKAIRLVANKLFPMASISKRIEDFANEKLNSVLEVIPAAESASAAEMATPEVHQDGGLENLSSVADALTLMSLYFALCTKKHSLLRRVFEIYGSLPQAAKQAVHRQVPILIRTIRSSPDLLGIISDPPADCRDLLMQVLQTLTDGAVPSQDLISSIKNLYSKTKDTEFLFPVMAHLPKDEVLSVFPNIVNLPVDKFQVALSRILQGSPQHGPILDPSEILIAIHVIDPEKEGIPLKKVMDACAACFEQRTIFTQQVLAKALNQLVEQIPLPLLFMRTVMQAIGAFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLIEHASQPNVRSTLPRSSLVVLGLAEDQQQQPAPEAQSSQNQAAETSSSAAEATTEVTQESSAAS >SECCE7Rv1G0511560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803925265:803926713:1 gene:SECCE7Rv1G0511560 transcript:SECCE7Rv1G0511560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQHFLVVAFPGQGHINPARALAERLARATPGARVTLSAAVSAHRRMFPSLASPDEEVQDGAISYIPYSDGYDHGFSLFAGDGDEAERYTEAFGRVGSETFSAVLDRLAARGRPVTCVVYAMLMWWAAEAARERGVPRALYWIQPATMLAVYYHYFHGCERLVTEHAAEPGFTVSMPGLPPMAIRDLPSFFTNLTDGRIVAAFGDIRRTFQQLDLDVGSGSSAGGSSQAMVLVNTVEELEAGALASVPGLDVFPVGPAVVSLFTQGEGGTGGTAAAVGDLFEHDEKGYMEWLDTKPARSVVYVSFGSMAAVSKRQKDELKRGLAASGRPYLWVVRNNNRGDGFDEGGDERGMVVGWCDQVRVLSHPAVGCFVTHCGWNSTLETVACGAPVVAVPQWSDQDTNARLIVQWGIGVRAETDVDRVLDAEELGRCLEMIMGDREEGAAIRVNSAAWEAKLRQAIADGGSSGRNLKTFLDQFANDA >SECCEUnv1G0533990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35503343:35504974:-1 gene:SECCEUnv1G0533990 transcript:SECCEUnv1G0533990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAAPIGLSWAPQLPSLAAAGGSKKGSAPSPSIDAQESLWKPSNELVDGLYVPPRDPRKVNKMARKSVKDTAGKGWFDMPAPSITPELKKDLEILQLRHVMDPKRHFKRSGKSKALPKYFQVGTVIEPASEYYSSRLTKHERKQTLADELLSDQKLKNYRMRKVREIQVARTPGGNQKWKNKGRQTFKRAKDRRK >SECCE5Rv1G0340350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:614395996:614396802:1 gene:SECCE5Rv1G0340350 transcript:SECCE5Rv1G0340350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADIASRSGQQQQGHRTVSSEPPKRPAGRTKFHETRHPLYRGVRRRGRVGQWVCEVRVPGIKGSRLWLGTFNTAEMAARAHDAAVLALSGRKACLNFADSAWRMLPVLAAGSFGFDSAREVKAAVAVAVVAFQRKQIIPVAVAVVALQKQQVPVAVAIVALQQRQVPVAVAVVALQKLQVPVAVAVVALQKKQIILPAACLAPEFYMSSGDLLELDEEQWFGGMDAGSYYASLAQGMLVAPPDDRARPENGEQSGVQTPLWSCLFD >SECCE1Rv1G0040210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:557173282:557175634:1 gene:SECCE1Rv1G0040210 transcript:SECCE1Rv1G0040210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVLNTGARIPSIGLGTWQIEPDAVGDAIYAAVKAGYRHIDCAPAYHNGKQVGLALKKLFEDGVIEREDLFVTSKLWFGDHAPEDVPEALETTLKDLQLDFLDLFLIHGPVRIKKGTTLSPENLLPPDITATWGAMEKLYDSGKARAIGVSNFACKKMDDLLAVARVPPAVNQVECHLIWQQDKLRKLCQSRGVHLSAYSPLGSPGSPLSVVSGASVLGNPVAISVAEKLQKTPAQVALRWGLQMGQSVLPKSTDEAMLKENFDIFDWSIPEDLMAKLSEIKQERLLKAEFAVHPLSVYKTLEDLWDGEIQSTSSSLE >SECCE7Rv1G0524480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886087732:886088728:1 gene:SECCE7Rv1G0524480 transcript:SECCE7Rv1G0524480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVFGTPISEQTVIATGEYEEPITQKDVADYAMKMINAGGKDVNAQKFVDNLKERYGNGIAVKCLIYNATGATLSLVTYNDWHGHIYDTPYPSDIQNGQWGAFLHVHPRGAAAGSAGAVVYRTSNDDTSCDWLFSWTVPYSGSNGVYTEIREEEHYPSVGSWDFIYNEKLENSNANSTDDNYGYVSKADIGEGSTMNARGVFQFPY >SECCE1Rv1G0040810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:566206223:566206423:-1 gene:SECCE1Rv1G0040810 transcript:SECCE1Rv1G0040810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKDVRIRVILECISCVRKGANEESTGIYRYSTQKNRHNTPGQLEFKKFCHYCRKHTTHHEIKK >SECCE6Rv1G0379150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:12335535:12336059:-1 gene:SECCE6Rv1G0379150 transcript:SECCE6Rv1G0379150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPCAASVLLLLLALAVATVADGVKKSIASEPKSIFPRPSGVIFRRPAAKGIIASEPTIPRTAEVDVSATCIGSLLELSPCLAFFRDAGTSKAPAGCCKGLGSIVRDQPACLCHIFNHTLERAIGVGIPVNRALALIRNVCSLTLPQDLMSSCGDNGGVPPLYVCPAPSA >SECCEUnv1G0527240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:710420:712432:1 gene:SECCEUnv1G0527240 transcript:SECCEUnv1G0527240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLVNVLSEWEIQLLVLLSFSLQVFLFFNGGARRNSTDALLRFSLWVAYLGADLVAIYALGFLSRHKDDTNGKDTLTKAHPLAFLWAPFLLMHLGGQDTVTAFAIEDNNLWLRHLLNLVVQVGVALYVFWESMMGRRHRSVLLPGIFVFISGIIKYSERTLALMYGDLKNDSQNTIGNVSNEDLNRLVVHGRYLGIFASVLKAAPGIRALFAGRTLHQMEVHHREVVTSHIHMDHLPKLLEVELDLMYDDIYTKAMVLRTKSGILFRCVSQASMGVAFVLFIVSNKHRYNREDLAITYVLFIGGFCLEICAVFILLMSPWTWAWLKARNCHWLARISSSLLCSDIGRPKIRPLWSNSMGQYNFLCYLGCDQHSSWLSRLVKKVTKISSLVVSRNKEVKPLLWMSKLLDTKHVEVDKEIMDSLIWIVCHYSPDESPMDARDWPKLGPLLNKLLPDYGASFGYAIVCFHIFTEAHLRSRLLPDLSDRDKVLVSACRKLSNYMLYLLVTYPEMLPVSGTPEPTLLFFLRKTIPHVSDRGASADILAMVNELLEELGLIEVDLSGTETLNEMRDLWTRLLIYCAGKSRAEMHAAQLSRGGEFLTFAWLLMAHKELGDVGRAFNFNFTSPPPPRPRSPSPPPRPRGKTSIVERVTTIKPDDGTGASTYRSYRRE >SECCE2Rv1G0131680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:886297552:886297890:-1 gene:SECCE2Rv1G0131680 transcript:SECCE2Rv1G0131680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILPKLVAVAALVTLICAVSVAQVPAAGGAPVCEGVDQNVVNACFKSFGEGMKIATADRNISAGNVIKVQVDCCIAFGGHSCLCKMKKVWKAQGKSAQDNVQCVRDKAC >SECCE5Rv1G0370390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841863981:841864937:-1 gene:SECCE5Rv1G0370390 transcript:SECCE5Rv1G0370390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTAIRASTAAAGSCSSPPAADHKAEEEAAAAVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDASSTDKGLLLSFEDRAGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAARDRLFIDWRRRPDVVLPPGHHRGFALPSVPFSPWMAHPAGPGGAGGRMFLQATTPATVYDYDAYQHHHQRRHIGYDAYGAAPGRQVLYYQPQQHYHHQHQSLVLESVPVRMGMAAAPGHPEPSAVATSGSKRVRLFGVNLECAADAEEDFSGPGRTATTALQLLSPASSSSSSSGKARCSLNLDL >SECCE1Rv1G0016760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:142241818:142245927:1 gene:SECCE1Rv1G0016760 transcript:SECCE1Rv1G0016760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMAASSSSSRGWAAVRRCPSPSLPARHVAFSSSSSFRCPIAGAGAPVLPLGIRGGRLPLPCPLLPPGGKNGASARRATAAAAAEEDGNPEADGIPRTLQLGAMILVWYLLNIYFNIYNKLVLKAVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLQQYAKILPLALIHMMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLFSVLLLGQTPSLLVVGSLVPVVGGVVLASMTEVSFNWIGFWSAMASNVTNQSRNVFSKKLLADKEETLDDINLFSVMTVMSFLLSVPLMLYLEGIKFSPSYLQSTGVNLQELCVKAAIAGTCFHFYQQVSYSLLARISPVTHSVANSVKRVVVIVSSVIFFRTPISPINALGTGLALLGVFLYSRFKKAKPKAKAA >SECCE5Rv1G0326300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:476464120:476469281:1 gene:SECCE5Rv1G0326300 transcript:SECCE5Rv1G0326300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTRRGARPLPRLWLLLVLVLATGLPRGGAVGLKLPFSPGDVLPILPRQVAWPVMNTLHSAVDLLPSFVAAVAPAAPSPAAWNGSCFAVNEAALELTPGDRNGTEIGGGVLRLKTASAQSWTCMDLYVFATPYRITWDYYFAAREHTLEITSWEEEAELEYVKQHGVSVFLMPSGMLGTLLSLIDVLPLFSNTGWGQNSNLAFLEKHMGATFEKRSQPWVANIMKEDIQSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDENGDLWVAESGFENEKGEEIIAIVPWDEWWEMALKDGSNPQIALLPLHPDIRSRFNESAAWDFARSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPLYAANMWNEALNKRLGTEGLDLQGIILETEKRGMSFDQLLTIPEQDEWVYSDGKSTTCVSFILAMYKEAGVFAPFTESIQVTEFTIRDAYMLKIFEDDRTRLPSWCLTEADKPPFCQILGEYRMELPGYNTIEPYAKMNENCPSLPPTYMRPSRC >SECCE4Rv1G0230660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:172252428:172253014:1 gene:SECCE4Rv1G0230660 transcript:SECCE4Rv1G0230660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRARPMTGLLVFMGVNLVLLSTITPVYDFVCFHPYWDRRRERRQREREALQGNGSLETAK >SECCEUnv1G0567200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:412762454:412763047:-1 gene:SECCEUnv1G0567200 transcript:SECCEUnv1G0567200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTAFLSIAPKISISANMSRVSIVASYGGTLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVPALRQTRVKYIADLGALAKTNPISAMTFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRLP >SECCE7Rv1G0504100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:719750147:719751412:1 gene:SECCE7Rv1G0504100 transcript:SECCE7Rv1G0504100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSLARKLRSLCIAGELSRAVSLLHRSAVCAVSSAYSLLLQECVNRRDARLGKRIHARMVSTGFRCSDYMATKLLIFYAKIGELGVARDMFDGMPLRDVVAWNAMISGCTRGREEAQAVEMFGLMRAEGLRPDQFTFASVLCACARMAALDHGRRVHGVMVKSAVTAVNVFANSALVDMYLKCSSAEDARRAFRAAPERNVTMWTALISGHGQHGHVREALALFGEMTRDGFHPNEVTFLVVLSACAHGGLVDEGLRHFSSMPSDYGLTPKGAHYAAVVDMLARVGRLRDAYELVKNLPDCQEHSVVWGALLGACRKHGDVRLVELAARRFFRLQPGNAGKYVVLANTYAACDMWDSMAGMHEAMKSLGIRKDPAWSAVEVQGKKHIFLARDTYHDEHWEIYEACNALARAITEQPLRV >SECCE6Rv1G0411510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:607986523:607987017:-1 gene:SECCE6Rv1G0411510 transcript:SECCE6Rv1G0411510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPAAAAHLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE7Rv1G0483460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:323278797:323280746:1 gene:SECCE7Rv1G0483460 transcript:SECCE7Rv1G0483460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAYACSRKLRGRLGNLSFVLPVTERDAAAANAAAGASTTSARAGSDRSARKGDHGSSRRSNGDGPAGGTEEEELVAKMTSPEFGRRYVLGKELGRGEFGVTRRCKDAATGEALACKTIRRHRRRGGRAANRKAAGSGGAEAAARAAAAAAAAHAADVRREVAIMRRMSARGGAAVVRLREAREDQEGSVHLVMELCEGGELFDRIVARGHYSERAAAKIFRTIVNVIQLCHSNGVIHRDLKPENFLFANKSEDSPLKVIDFGLSVFFNPGDRFTEVVGSAYYMAPEVLKRNYGQEVDVWSAGVILYILLCGVPPFWGDNDEKIAQAIIRGGLDFNREPWPRVSGNAKDLIRRMLDPDPATRLTAAQVLEHPWLKNADTAPNVSLGEAVRSRLQQFSAMNKLKKKALGVVARNMPVEELDKYVQMFHLMDKDKNGNLSLEELVEGLHINGQRVPESEIRMLLEAADTDGNGTLDCDEFVTVSLHLKKMTNEKYLAAAFRYFDKDGSGFIEIDELRQELGPNEQAILEIIRDVDTDRDGRISYEEFELMMKSGADWRNASRQFSRANFSTLSRKLCKEETSSS >SECCE5Rv1G0359510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:762441489:762443386:-1 gene:SECCE5Rv1G0359510 transcript:SECCE5Rv1G0359510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQDVNMDGSLTGYAPFGSSTTALSVHSEEETGTTVAVDGKEPSGRYILVAGRADEKDGVRLPIPAGVLKPGITYRVAGWISLGKGAAPGTSHPVRIHLDVDDNGNETLVECGAVCAQEGAWTEILGAFRLRTEPRSAAVYVHGAPAGVDIKVMDVRVFPVDHKARFRHLKDKTDKARKRDVILKLGTPTGAGAPVSVRVVQLDNAFPFGTCINTSVIQKPAFLDFFTNHFDWAVFENELKWYHTEPQQGHLNYADADALLAFCDRLGKSVRGHCVFWSVDGDVQQWVKNLNKDQLRSAMQSRLHGLVSRYVGRFKHYDVNNEMLHGRFFRDRLGDEDIPAYMFKEVARLDPEPALFVNDYNVERANDPNATPEKYAQQVAWLQSCGAMVRGIGLQGHVQNPVGEVICAAIDRLAKTGVPIWFTELDVPEYNVSLRAKDLEVVLREAYAHPAVDGVVFWGFMQGTMWRDNAWLVDADGTVNEAGQMFLNLQREWKTDARGDVDGNGDFKFRGFYGRYVVEVTTATGKQMLKTFTVDKGDNDAPLLVDLADA >SECCE2Rv1G0121560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:809404206:809405888:1 gene:SECCE2Rv1G0121560 transcript:SECCE2Rv1G0121560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBX2 [Source:Projected from Arabidopsis thaliana (AT5G21040) UniProtKB/TrEMBL;Acc:A0A178URR1] MDFDCNKAGESSAKHCSSICNEGTLIQANTLTHCGKAKKWNSLNKLNNPESSHGSLPRVTDPKEDGETGNDATASECSTMCFTDLPSALVCEVLARLDPKGLGVVSCVSTVLQTLATDHQGWKKFYCERWGLPNAPIGPLVPGGILDGRSWKALFVDREFQSRSFMGRFSVDVLRGHSEDVRTVYLLASANLIFTGGHDSVVRMWNMEEGLLIDESRPFGCTIRAIAADSRLLVTGGSKTFIQCWRAIEGASHLFHLSGIGTNQDSEFRLWGHEGPVTCLALDSTRIYSGSWDMTVRVWDRAEMKCVQKFMHADWVLALAPHGNTVASTAGRDAYVWDIGSGELSTIISNAHVGNAYSVARTHLADVLFTGGEDGAIRLFDVSEISHDENIKPAATWLPHSGPVHSLAFEYPWLVSASSDGRIALIDLRKILTPLNSSKRRFKVKPFDPSTVEPPQRMLHGFGCYLFSVGIGADRIICGGEDGTVRVWNFSEALEIEKKAQALRSLRQENRMRRRKAQVEMNANGRRVDHCSVAMKRNPLKGDKGVTWQIKRAINDKAKS >SECCE1Rv1G0042040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:576107604:576108797:-1 gene:SECCE1Rv1G0042040 transcript:SECCE1Rv1G0042040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLAPVAALLLAALLVLAASPASAARPRSSSKSTSAAGPHDIPAVFAFGDSTLDPGNNNRLPTLVRADHAPYGRAFPAGVPPSGRFSDGKLITDYIVAALGIKDLLPAYHGSGVTHANATTGVSFASGGSGLDDLTAHAVFVSTFSSQIADFQQLLSRIGEPQAADIAARALFILSAGTNDVTMNYYDLPFRALEYPTIDAYHDYLISRYQSYIQSLYKLGARRFIVAGMPPVGCLPMQKSLRGLQPPLGHGCVDLQNEEVGRYNAKLQKALAALEAESAGASLSYVDTYAPLMDMVARPSKYGFTQTGQGCCGTGLLEMGALCTGLLPQCTSPAHYMFFDAVHPTQAAYKAVADQIIKAHVSQFKN >SECCE1Rv1G0057190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692601671:692603008:1 gene:SECCE1Rv1G0057190 transcript:SECCE1Rv1G0057190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQDNTPRKLVVIYAPPGMPGHLFPAVELGKLLVAQGLEVTVVLGGEDNHGAGGGSFLAGIEAASPSLSFHCLPRATLPSDVPAGSFEANVFGLTRASNPDLRDFLRSASPVPEALVVDFFCGSALDVGAELGIPTYFFIITCISCVAFCLYQQVIHEQTTLSFRDLGADLLHVPGLPPIPADDLPGFILDRDSLSSKFFLDVSERLCNSQGVIVNSCRSLEPRATDAIVSGLCTLPGRRTPPLYCIGPLIRTGEVGTAERHECLTWLDGQHKASVVFLCFGSLGRFSAEQMKQMAAGLEASGQRFLWVVQRPTGGGHQPADELNTLFPEGFLHRTKERGLVVMSWAPQQEVLAHGANSVLEAVMAGVPMLAWPLYAEQRTNKVFLVEAQEVAAKVRWLIESDGGRELRQRARAAMRLAKEALDDGGESRTVLL >SECCE6Rv1G0438590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:791108444:791110708:-1 gene:SECCE6Rv1G0438590 transcript:SECCE6Rv1G0438590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39530 [Source:Projected from Arabidopsis thaliana (AT4G39530) UniProtKB/Swiss-Prot;Acc:Q9SVA5] MGGSLAQLLLSCLAGDRLRRVLPAAHARAAVSGLLPDLFLANLLLRGYSKLGRLGDARALFDRMPGRNLVSWGSAISMYAQHGREDDALALFAAFRGAANNHGEPPNEFLLASALRACTQSRAARFGEQVHGVAAKLGLDANVFVGTALVNLYAKAGRIDAAILVFDALPARNPVTWTAVIAGYSQAGQAGVALELFGKMGLDGVRPDRFVLASAASACSALGVLEGGRQIHGYAYRTAAESDASVTNALIDLYCKCSRLLLARRLFDSMENRNLVSWTTMIAGYMQNSLDAEAMSMFRQLSRAGWQPDVFACTSILNSCGSLAAIWQGRQVHAHAIKADLESDEYVKNALIDMYAKCEHLTEARAVFEALAEDDAISYNAMIEGYARLGDLTGAVEIFSKMRYCSLKPSLLTFVSLLGVSSSRSDLELSKQIHGLIVKSGTSLDLYAGSALIDVYSKFSLVDDAKVVFSLMHNRDMVIWNAMIFGLAQNERGEEAVKLFTQLRFSGLTPNEFTFVALVTVASALTSIFHGQQFHAQIIKAGADSDPHVSNALIDMYAKCGFIEEGRQLFESTSGKDVICWNSMISTYAQHGHAEEALRVFGMMGGAGVEPNYVTFVAVLSACAHAGLVNEGLQYFNSMSTKYTVEPGTEHYASVVNLFGRSGKLHAAKEFIERMPIEPAAVVWRSLLSACHLFGNVEIGTYAAEMALLADPTDSGPSVLMSNIYASKGLWADAQKLRQGMDCAGVAKEAGYSW >SECCEUnv1G0543260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:112003665:112004282:-1 gene:SECCEUnv1G0543260 transcript:SECCEUnv1G0543260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAEALTREDVLRRRRRRAARLLAAYRRLYWAMAEEVRARHRQYVWELGCSPLEAEQPLSEAKPGPAAAPRRKKCGVTGCKVRAMAMAKYCHYHILSDPNQVLYKGCGHIMIKSGGAQTGKSTHNTPILKASVPSLCNFHLQKSQKNISQAYKKVGFNPPSTGQISPDFSVLVAESVRQIQAKRREFRSAAAGKKYPKDGKVN >SECCE4Rv1G0251010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:598343526:598348275:1 gene:SECCE4Rv1G0251010 transcript:SECCE4Rv1G0251010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAPLLPPPASASSPAASVLRARRRRRAWRRPRGLLCWGALVAFFFLMNWWMFSRLQDPATRPRFRLRRHLPRAANSSLSTLEEVNATEKGERPHPVMLTRLLALAAHALAEADNRPEPKDLWKEPINATLWKPCSDQRDWEASGNISSREGTNGYIIISANGGINQQRVAICNAVTISRLLNATLVIPKFLYSNVWLDRSQFRDIYQEDYFIKYLKPDIRIVKELPLELQSLDLEAIGSLVNDTDVMKEAKPSIYVKKILPILLKNRVVHFVGFGNRLSFDPIPFQLQRLRCRCNFHALRFVHKIQETGALLVERLHGHMPHLSPLQDNLLGHFAGKSIPSGNRNESSKYLAVHLRFEIDMVAYSMCYFGGGKDEEEELEMYRQVHFPALTEIKRTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKRRTSIYIAGAEIYGGRHRMAAISRLYPALVTKETLLSPSELEPFRNFSSQLAALDFIACASADAFAMTDPGSQFSSLVQGYRMYYGGGDLPTLRPNKRRLASILVKNATIEWKEFETRVNKLIQQTKQVHERPIARSIFRHPRCPECMCRTDN >SECCE5Rv1G0333160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:554720555:554720962:1 gene:SECCE5Rv1G0333160 transcript:SECCE5Rv1G0333160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRPEGGRARKRAREEPDAADFPFDEAAAAADAGEAWRRPPGVFQFPWQKCRGGLGVAGGGGWELRDVFFHSLVDGGAAAIGVPGDRLVSPPPPSKQRALFDDVDAWLAAAADGEVDPVWRSAIRGAGPSASAV >SECCE6Rv1G0378990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11690584:11696200:1 gene:SECCE6Rv1G0378990 transcript:SECCE6Rv1G0378990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGATAASAARALRSRMLGDPIHHPSTAILPIPSARAACSAPSAASPAPIVAEAAAASVAVSSGARSASDVLRHYGSCYWELSKARLSALVVATSGAGYVLGSGSIVDIAGLCYTCTGTMMVAASANTLNQVFEVKNDAKMKRTMRRPLPSGRISPVHASMWATTVGVAGTALLACKANDLAAGLAASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAAASEVSLNSMILPAALYFWQLPHFMALAYLCRDDYLAGGYRMLSFADPTGKRTAWVSLRNCLYMLPLGLFAYNWGLTSEWFSFEASLLTTGLTIGALSFVLHPTQKSARRMFHGSLLYLPALMAGLILHRLPNEQKQHNNIHQTSEIAGVLRGAEPWDEEERARQRREELKHSRRAQSRPPVAYASVAPFPFLPVPVYVSPEAHEF >SECCE7Rv1G0496460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:612916967:612921124:-1 gene:SECCE7Rv1G0496460 transcript:SECCE7Rv1G0496460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSCLAPPPPRLPCGRVRLPPPSAAAERPSLRFKPGGAAHTKGWRLVHISCFRQEQDVSTTSDDSTGFKYNEQAEISGDPDLKEEDCGSPNRDDQNYVKGNWFVRMQKIKENLKETISRFWNERWAVPWTGQTITQVMFLWIATFWLVGSWIVPFLAHAAGFSKETLTHRGQALYSLVTDITEGLAGIAILHQCLGRFRPLPPGWFEFKLEGKWHWDVVMGCLLFPLVNLLSHINISLVHMSSGPVAGVSSVEQSIVARDPVAMALYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILLSAVAFALAHFNVQRVLPLIFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >SECCE5Rv1G0306490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:94466615:94470039:-1 gene:SECCE5Rv1G0306490 transcript:SECCE5Rv1G0306490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISAGIRAPPQGAYVYVPGSRLLRVPVPQLAAGGVRRRRLGVVVAAASSAASPDELHARGRHLHGFPEKSLLWNLIKDVEPLDLSVVQRDVPPETVDAMKRTVSGMLGLLPSDQFRVVVEALWDPFFKLVISSIKTGYTLSNAEYRLSLERILELSDDETECKERDSTEYSHSDLSLGGSILRLSEDDEATNESEKRDDNLLSENMGGLDSLLNAQAKEHILQLQSRLDSMEKELHELKKKNSSLQTQQFAGEEKNELLDYLRSLSPDTVIELSEPSCPGVQEAIHSVVHGLLATLSPKMHAKPPPSSENMAGGTLNYGNGDDDRAELVEDVSLPFQPLISIPRDHLARLLFWCMLLGHYIRGLERRLELSQLLEMSSDTRL >SECCE6Rv1G0444670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:830172367:830175896:1 gene:SECCE6Rv1G0444670 transcript:SECCE6Rv1G0444670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATVAARSRAATATAAAAWARLLSHRHVGAASASGSLPHLAGPRIAPQRRHLAFSSASPGGGGGRDREPQFNERTVYDLLAQLERERQKDREDRRRAGGGEEEEEDEEEKEDFLGVKPLIEKLERRNAKEASLPDESFLEPSDSESDEDDERFSSDSIRRRVDEFDRKCKRQSELLRSFAEADNLDDAHKIMTKIDKFEQRHLSLPLEYRVIGDMMNRLKDSTGKERFILLQKLNRAVRLMDCKEAYDPSNPANFGVIQHQQVGSPDDVIDNAGFDKEKQMIQGESLDDEDEEFNEAKEKDDMLIEKLNAIEKKIEEKLADLDHTFGKKGRVLEEEIKDLVEERNSLTDKKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKYTALLATGNYHGVVGFAKAKGPTAKIAIQRAYEKCFQNLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMCAAGRTVETVMYLAGFSNVKSKIIGSRSPLNVIKALFIALNAIETPKDVEQKFGRTVVESYLL >SECCE6Rv1G0408230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:569451055:569453556:1 gene:SECCE6Rv1G0408230 transcript:SECCE6Rv1G0408230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTATAACILVLLALANAAGAATRAPAPAPAPDCTEALVSLADCLDYVSPGTKSGRPSKACCGEVKTAVSTPATVDCLCAAMAAKQTPIPINMTRVLALPTACGQPASVLNKCHALPGGAPNGAPVPSPSSASGSGSATASPPKPNVAARSSSGAATVLLATVATSLLAFYYL >SECCE3Rv1G0167550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:204640007:204646037:-1 gene:SECCE3Rv1G0167550 transcript:SECCE3Rv1G0167550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVATVASLLHDPRTFSLQQDELDGRFADAEEKPAAASEEPAEAEEDEDDDEDEEYWSYHDVGEALDWLDAAEGPDGSTRLSATFSAAGSAAAARRPNAHGGMLARTLQPLSNRTQKLASHVRAAPLEEWEGRMNVGMSNSVTTAIRDSIRDTAIGKTRNTGKADRATVEQAIDPRTRMVLFKMLNRGVFNNINGCISTGKEANVYHATKTDGSELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVRAEGIRCPKPLLLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRETYFEIVTTMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALDFLKEDCLHVNDFFEKHGVAVMTVTELFNFVVDQNIADEDVDDYLEKVQQKILENGDTTADDDEIAPTVLVQTLDYVKQCEADIVSMSMMQRPSVGYEPTADKLYDQPLLGFVRTKNEHTENQEVRPAQNTPEEPLDLQTKCCSLENKEEDESGDSESCSSSDEDGSWQESTKMGPEERKAARKENKKKVKEEKRETRKTKIPKADKKKRKKMAKAKCKR >SECCE3Rv1G0189510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:726607049:726610874:-1 gene:SECCE3Rv1G0189510 transcript:SECCE3Rv1G0189510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEQPNPNEVVLGQEINGARVVTLNRPRQLNGINDRVVYLLAQLLEKWEQDDDAKLVIFKGAGRAFSAGGDLKMFYEGRSSDDSCLEVVYRMYWLCYHIHTYKKTTVALVNGLVMGGGAAMVAPLKFAVVTEKTVFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMITAGLATHFVHSEKLEDLEKQLLNLNTSDESAVRAVIEEFSTDVQLDQESILNKLSTIDKCFSAETVEEILKALDSEVSVDGNQWIAPVLKSMRRSSPTGLKITLRSVREGRKQSLQECLKKEFGLTMNILRSVITGDVYEGIRALSIDKDNAPKWSPATIEEVKNEDIDRVFKPFSSEHELQVPSDDSNRWSGKYEHTVYAKSSQ >SECCE4Rv1G0260380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:695231411:695232419:-1 gene:SECCE4Rv1G0260380 transcript:SECCE4Rv1G0260380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSLIGHDAKVLTEKKPEEIIATGVVESLQNFLRKCIIAVLSYGPMPKHIAFIMDGNRRYAKSRSIKQGTGHSVGFSALMASLIYCYEMGVKYITVYAFSIDNFKRDPSEVQTLMELMEEKINELLENKNVINKVNCKINFWGNLDMLPEPVRLAAQKLMASTAENTGLVFSVCMPYNSTSEIANAVTELCKERRDTIQGQQAGGRNGCGARSDISVADLDRHMYTAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPDPLWPEFSWRHLVWAILQYQRAYPYIEQNKDLAKKQL >SECCE6Rv1G0395650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:336626604:336633405:-1 gene:SECCE6Rv1G0395650 transcript:SECCE6Rv1G0395650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) UniProtKB/TrEMBL;Acc:F4I642] MLQPREADVPALFVVFIVLPVIAYFLLGRWHDSVSKKTRVGVLGQKAAEEAFKEETMACPDVILPGPSLRPMPYLRSVPSLRSEYHECATCRGPANTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQQWLVNGGSNSGGLSPTESSEQMPFLTNLNSPLPGGDSYLHDMNFDTVSEPSFATTDSYTLDTDIFLTDRSNMNESNQSLLSRVNSASVASCEKSNYSVDEETNSSEILSANKVSNNSYGCLDEKNGNHDFTYPLNNTVKQPNNCAPETTKCPKASITVYEPDMGVYLTSDMMSSCEGPYSSATESLQRSKSSGKYSGRGNVIYMKPPDPPGKVASSQKTQEVLALYQYNIHEKNTSGKNEQRSTKSSVSTSNNLQGCTGISKLGASKVGVLNKPSKFLKTSLVGLINDNKRSKVLFCYEDLVKFFQYEVRGVSPRGLFNCGNSCYANAVLQCLMCTKPLMIHLLLRLHSKDCCSKNWCLMCELERYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQAACLDGLGGEKQVEQSLQETTLIQQMFGGRLKSKVKCLRCHHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGDNMYKCGRCCAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPDMLDMVPFVTGAGDHPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVKAVSLNQVMSEGAYMLFYLRSFPRPPRIYIEKGLLPDPSSSYRHLSKSSKGSSKQEQKQTESLFTSDDQIRGIYDFRPEEEGYRHDQHAKLRSQNLYHTDDAFGDSVSMDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPITSIFGPYYAPDHPLGNFASCTRLSPSNPQTRYFPESMGFVSDSSLPTHPYGNVHIGRYPDRACAASAEPLASANQRSLYGRYNHSRDGFVQTSGFCHM >SECCE2Rv1G0118430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:782412052:782414382:1 gene:SECCE2Rv1G0118430 transcript:SECCE2Rv1G0118430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g79490, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79490) UniProtKB/Swiss-Prot;Acc:Q9SAK0] MLLRAGLPHLRRRAAALPLSLAPRRLSSEPPPGVEWIDTVDYLDETGEVLSSAPGARPAVPGADPTILSGSSAHPLPRPAAAARLAALALRHRSGPALSAALSALPSQPDPALLLLAANSLPASDPTPLLSLVGWARHQPWFVPSDDLSFIVAGRLSPATHSSDLMSLFDDALLHPEPASFPKTLNAVVSALSTHGLLEPAFYCFKRLRDAGFHGLQTPTYNVLLSLLLARGLAFKAFEVLDEMSVAGCELDKGTYELAVPALARAGRIDASRKLFDEMRQRDGVGPASPGVYSTMVDVLAKSGRLDAAMGMYREMVAVGHRVSTAVSTGMVEGLVKAGKLDAGMELWEEMRRGGLRPSFGLYTMVVEANARSGRLDVAAKLFGDMEKSGFFPTPATYACLIEMHASAGNVDVAMRMYHSMANAGTRPGLSTFTALLTMLANKKLLDLAAKVLLEMKASGFPIEVTASDLLMIYIKDGSTELALRWLRFMGSAGIRTNNFIIRQLFESCMKMGLYDSARPLLETYVGAAAKVDMILYTSILAHLVRCQDEDSERAIMNILSVSRHKAHDFMCGLFTGPEQRKKPVLSFVREFFQGIDYENEESAARYFVNVLLNYLVLMGQMNRARCVWKVAYENKLFPKAIVFDQHIAWSLDIRNLSVGAALVSTVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKSDVLMHKLNVMFPSSAPEARSLALPRSLGMSR >SECCE5Rv1G0299770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19983519:19988278:-1 gene:SECCE5Rv1G0299770 transcript:SECCE5Rv1G0299770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVSREQRWSLAGATALVTGGSRGIGHAIVEELVGLGARVHTCSENAAELEECRRRWEETELPVTVSVCDVSVRAEREKLMETAKQTFAGKLDILVNNVGRNVAKAAVECTAEEYAHLMSTNLESALHLSQLAHPFLLDASIAGGGSIVNISSVASSLGYPTLAIYCITKGGINQLTRSLAAEWAQDKIRVNSVAPGGISTELQKSVDPEVVENTVSRTPMHRLGEPVEVASMVSFLCMPAASFVTGQVIYVDGGRTISG >SECCE4Rv1G0289900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:869032976:869033485:-1 gene:SECCE4Rv1G0289900 transcript:SECCE4Rv1G0289900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATTIAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTDLLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE3Rv1G0147650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15395633:15396556:1 gene:SECCE3Rv1G0147650 transcript:SECCE3Rv1G0147650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWRIKHESLALRTNLVRKGIQIDDTKCLFCGRADEDGAHLFIKCKYVKEVWRQLAMEEQRIMLEEIISVHSMYDYLWELKEEKRLLVLTFWWLWWSNRNKLREGELPLTATDVVQRTKCCVLEYQHIFTAPPKKPSMDVWRPPEGDMIKINLDGSIIPGEEYAGWGVVARTADGKIVGARAGREINVCDAFAAEAVALSQAVYLAADLGMVRVIFETDSQLLMEAMDFHKLDSSAYAAVIEDTKFQLKMWFSSHDIVVCRRSANCVAHELAKLGRLSESHHCMQWDSDVPAVVVDCALADIGQHC >SECCE5Rv1G0333830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:562350108:562350882:1 gene:SECCE5Rv1G0333830 transcript:SECCE5Rv1G0333830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFPTPTLLVPKLSLPPLGPWRRNAVGAAAPWPHRGRISATTGGDAAPAAAAMTEEESKARKEQRKRCLRCGVLYLEENSPAACAFHGHITGEKGLFSLSPPHLGIDGEWSDKSGVIVYRWNDRGDRPNTGRANWKGRWSCCQERDEEAPPCRRGYHVSYDDGFTLF >SECCE7Rv1G0478640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:239846884:239849262:-1 gene:SECCE7Rv1G0478640 transcript:SECCE7Rv1G0478640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVDKLPFFDRRASPMEEADDIPRSGLLHLHGGHHHYQHHHQPQTALMAPEPSPPTTKQSSSTLAQLLKRVNETRSDASSPNSSPSHYTIELGGSMPGSTGSDSEHTSLTGVADGTLLPFVLKFTDLTYSVKQRKKGPCLPALPFRRGDAEPEAPRMKTLLDNISGEAREGEIMAVLGASGSGKSTLIDALANRIRKESLHGSVTLNGESMDNNLLKVISAYVMQDDLLYPMLTVEETLMFSAEFRLPRSLPTKEKKKRVQALIDQLGLRNAANTIIGDEGHRGVSGGERRRVSIGVDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGQTVYYGPPGSLSSFFSDFGKPIHDNENPTEFALDLVRELETMPNGACDLVEHNKSWQKRMGPKMKHADDGNGGKPALSLQEAISASISRGKLVSGATDGNVTVPSPSSAPASAVAKFANPFWIEMGVLTRRAFLNTKRTPEIFVIRLGAVLITGFILATIFWRLDDSPKGVEERLGFFAIAMSTMFYTCSDALPVFLNERYIFLRETAYNAYRRSSYVLSHTIVGFPSLIVLSLAFAVTTFFAVGLAGGAEGFFFFVAIVLASFWAGSGFATFLSGVVTNVQLGFPVVVSTLAYFLLFSGFFINRDRIPKYWLWFHYASLVKYPYEAVMINEFSDPGRCFVRGVQMFDNTPLSVLPAAIKVRVLRAMSSSLGINIGTATCITTGPDFLKQQAVTDLTKWDCLWITVAWGFLFRILFYISLLLGSRNKRR >SECCE2Rv1G0101440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:564930158:564931352:1 gene:SECCE2Rv1G0101440 transcript:SECCE2Rv1G0101440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rap2.6L [Source:Projected from Arabidopsis thaliana (AT5G13330) UniProtKB/TrEMBL;Acc:A0A178UKL8] MVSALSHVIRATPDQQQAYYPAGSAAVSGEQQHQHAAAAAAAIAEEQGRKRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFVVTRGIPDRLQQQHHYPATGGAPAMRPPPHQQQTVVPYPDLMRYAQLLQGAGSGGGAVNLPFGAMSPPSMSSSSSPHILDFSTQQLIRVSPASPAAAMSSSGTTGPSTSSSTTTSSSPGAAWPYTGEHKNNKDS >SECCE2Rv1G0130800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:878703879:878715199:1 gene:SECCE2Rv1G0130800 transcript:SECCE2Rv1G0130800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGATSPSHKRVALVTGANRGIGLEVCRQLASSGATVVLTARDEKRGAAAADALRELGLPDVLFHQLDVGEPSSAARLADFVRDRFGRLDILVNNAGVSGVRMDIGDPAALHQVRTSMSAAERLEWFNQRTTEPFEEAEECLTTNYHGTKNVTEALLPLLLSSSDARVVNVSSSYGLLRFFSGEELKHELDNIDNLSTERLDELSGLFLKDFKNGRLDHHGWPVGGFSAYKVSKALINAYTRVLAKKHTSMRVNCLDPGYVKTDINFHTGVLTVEEGARGPMMLAHVPKDGPTGSYFNGTEQAPFV >SECCE3Rv1G0152560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:48247403:48247975:1 gene:SECCE3Rv1G0152560 transcript:SECCE3Rv1G0152560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVRISCHADLQALQDRSGGRVFLKLVSLESLSTASDSYALLRNLIERRYWRCPEAQIGLLSLAAGVALQLDRIKHHLFPLVEAKLGDDGFPDALLLVKNAALALVPLAEDAKEIVKEWLVEEDESGKVQVVRALLERVGVVGSILALNVDEVVDRTRQFAFFLTRVPSVLREIDVALSTPVYFPHDSE >SECCE5Rv1G0298640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:13312659:13314395:-1 gene:SECCE5Rv1G0298640 transcript:SECCE5Rv1G0298640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSTAVTRANSAVLRMEDRVDFKCDGVNNESKERKGGHGIPKVSMVPLVFLIFYEVSGGPFGIEDSVKAAGPLLAIAGFLLFALIWSIPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYVKSSIPALGGGLPRTFAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGLIAIPHIEPSRWFEMDLDNVNWGLYLNTLFWNLNYWDSISTLAGEVENPKRTLPRALSYALVLVVGGYLYPLITCTAALPVVRESWTDGYFSDIAKILGGFWLHSWIQAAAALSNMGNFVTEMSSDSYQLLGMAERGMLPEFFAKRSRYGTPLIGILFSAFGVVLLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRMTHPSTSRPFRIPLGTVGSVLMIIPPTILIVVVMVLASFKVMAVSVLAVLVGFALQPALVYTEKKRWLRFSVREDLPDLLDPSAGAVEDETTPLVV >SECCE5Rv1G0321580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:406735693:406737224:1 gene:SECCE5Rv1G0321580 transcript:SECCE5Rv1G0321580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFKPNPLSLSVPDPALDRWLRDSGYLDLLDSSAPAPAAAAPTRANPAPTSSGAGAAADVLAFARTLASLFALNPFACLSAADLAAPTPSWSLAFIGAPGAASYSWPPTPTQARLRVQENVRRYARNYAALSILVFACCLYRMPMSLLGLLASLAVWEAVRYCRDRWRLAARAPGIGQALLHCAQIATAILLYVCNLQFALVYAIGLSYAVMMLHASLRKLTPSSLSIQANKNRRAQPRRS >SECCE1Rv1G0051760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:656708643:656711988:-1 gene:SECCE1Rv1G0051760 transcript:SECCE1Rv1G0051760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQAGDKCEGVRLFYRRYGRGATKVLLVIGLAGTHDSWGPQIRGLTGSMEPADGGDEPEPAARTDEEAADSAAAPATAPEEEEDVGEGIEVCCFDNRGVGRSTIPPHKSYYSTAIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAMAPHRLSSLALLNVTGGGMECFPKVDGQMLSLAFRFLRARTPEQRALVDLETHYTKEYLDEEVESCTRRAILYKEYVKGISSTGMQSNFGFEGQINACWTHKVTTKELDTIRAAGFLVSVIHGRHDVIAQVCHARRLAQRLLPVARMVDLHGAHLVSHERPQEVNNALMDLIKATKSATAPHEWSSEPENTSETGALISARPVTLTIRTGEAGNAAVAVYNLLAKLQLSFLYVIGLIVMGFEHMRNIVRVMKPVRVAAIESS >SECCE6Rv1G0446670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:845413824:845414649:1 gene:SECCE6Rv1G0446670 transcript:SECCE6Rv1G0446670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKILIRADLIGDKCKSKILSTAAKLKGIKSMDIDQDKCTLTVVGTVDPVQLLQCLRKSCFAADIISVEDDKPKEPEKKKDPCQEACEKACKDLCEKACCMKKCQMVATCNEACCETKCEMATCNEACCKKKCDMACNEACCKKVTPSCYPSHCTPGCYSSPCGLPGCHFYIYGGVVCKPPLGHGCNKGRSRGLGGGCGIQ >SECCE3Rv1G0201330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:863097075:863098130:-1 gene:SECCE3Rv1G0201330 transcript:SECCE3Rv1G0201330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGVSIVGQGQATGHAINVATGSGYHLLVVSGYSHIKATASAGAVILSLPFMVGGHCWRILYCPKGAPSDCAEDSVSLILFLVDKNVTEDLRVQVGFSFLGQHEKQDSAYIRAKEPCNFSSGNPCWVQKNFVKRDALEKSKHLNDDCFTIRCDLAMLPPPTIQELISNVLISKEGTDVTFNVDGETLVAHRRVLAARSSVFKAKLSGSMKESMIASVIQIEDMEAKVFRALLSFIYTDSLPEMEVDMLQEREAQEALWLQHLIAAADRYDLQRLKVLCEEKLCKLIDVSSVRTIFILAERHNCGGLKDVCLEFLKTPSNLKEITGADVFNDIIRTCPYLLKELIAKLAS >SECCE4Rv1G0290050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:870265388:870265833:-1 gene:SECCE4Rv1G0290050 transcript:SECCE4Rv1G0290050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKVYTIALLFIGCLVMVGQCRPEPESTYEDGHGNATTMVSSLDKNKLTLEWCVARDCETKGEPHANHCFCCLNAPGAPCFNSRVDCQSQCPSIPPGK >SECCE3Rv1G0149160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:22538936:22540429:1 gene:SECCE3Rv1G0149160 transcript:SECCE3Rv1G0149160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAITAVTTELVSRFISFLMNKYQSHTHTHLEEEKLVEKLQHLLIRARTVVEEADRRCIANSGMLIQLKMLSEALYRGHHVLDAFTFQQLLLDSSIIDEVSDSSSVSYLSFPFKRPRRTASKGKDISMHLELHGTLKSLEIVVANMAEFVVFLGGCERMSRRPYDAYLYTDHFMFGRHVEKQQLLGFLLQDNLVDDDLAVLPIIGTVAVGKKTLVAHVCENERVRSRFASILHLKGDSLLRVVEHGSTMSGSRFLLVVEFVSDVDEEDWTKFYSFVKRMGKGSRVIIISKLQRLARFGTVKPIYLSNLSYEEFWYLFKTLAFGSADPSEHPQLVRIAEEFAKIFHPGGSLVATNAFADVLRRNLDVQFWLCILSKTRRVIQKNLSEHGVYPYLLFEQGHPVDITDFALHPLSAVRIANCTNGSNRLTNNAPMKKETVTLGELLVNPNNRPKGEFSLLSWESRIPPYTRFEHFVASSVQDLPESVNLSGRKKRRVPI >SECCE4Rv1G0272020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:765576388:765576621:1 gene:SECCE4Rv1G0272020 transcript:SECCE4Rv1G0272020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMLAFLILSSSLADISGAGYATRLSWRSGGGKQEKMQQDEKVEQSTRTARKRETRPTQFAPEFDGLNCFESILST >SECCE1Rv1G0043090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:588625153:588632269:1 gene:SECCE1Rv1G0043090 transcript:SECCE1Rv1G0043090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGGAFCVVVLAVMLPCLDVALGQSTDPSEVDALRAIKGSLLDPMNNLENWNRGDPCTSNWTGVFCQIINDVLHVTELQLFKRNLSGTLAPEVSLLSQLRTLDFMWNNLTGSIPKEIGNITTLKLILLNGNQLSGFLPDEIGNLQNLNRLQIDQNQILGPIPKSFANLRNVKHLHMNNNSLSGKIPSELWRIRLLLHLLVDNNNLSGPLPTELAKAPALKIFQADNNNFSGSSIPATYSNIKTLLKLSLRNCNLQGAIPDLSAISELGYLDLSWNKLTGPIPTNKLASNITTLDLSHNMLNGTVPLNFSGLPNLQLLSVENNHLDGAVPSTIWNDIVLTGNRSLVLDFQNNSLKTIPTAFNPPQNVTVMLYGNPVCGNSSGAPIDSLCQPQSVNQQTSKQQQGSSLICSPCPSDKNYEYNPSSPIPCFCAVPLGVGLRLKSPGITDFNPYEGAFGESTTSLLKLYVYQLHVEHYVWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLPDIFGPYELLNFTLGSYADEYPNEASSGLSKTAMVAILASAIAAAFALSAVATVLIMRRRSRHRSRTVSKRSLSRFSVKIDGVRCFKFEEMARATNNFDLLAQVGQGGYGKVYRGTLDDGEIVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEADEQMLVYEYMPNGTLRDHLSSKAKLSPGFGLRLHIALGASKGILYLHTDANPPIFHRDVKASNILLDSKFVPKVADFGLSRLAPVPDIEGTLAGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGMKPIEHGKNIVREVNKAYQSGNISEIVDSRMGLCPPDCINRFLLLATKCCQDETDERPSMSEIVRELEVILRMMPEVDLNLLETTDTDSADISKALSTSSATGTSFVTQTSGSVNASSGVLSEVLAPR >SECCE5Rv1G0343030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:634596262:634596600:1 gene:SECCE5Rv1G0343030 transcript:SECCE5Rv1G0343030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKPKATVCAVMLVLLLLSSYDDGGVGVAEARICTGTSQHHSFPCISDKSCTKTCLSEHGAQWTAGYCKLRRCKCQKSC >SECCEUnv1G0548520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:188299304:188309423:1 gene:SECCEUnv1G0548520 transcript:SECCEUnv1G0548520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGAGEPSSSSRPGKEREEGTDDGNRKVEDEGDLGLELYGAEAGWVEARTSCPHLPAMPAASADELARVPAPDSHCSRCHHPSENWLCLICKDVMCGRFINKHMLYHYQETGHCIALSFSDLSVWCFACDSYLDAQSILELRPVYEVAHLLKFGERPPFRSLEVLDLSSGQNGGSSSSS >SECCE3Rv1G0195830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:803148332:803149947:1 gene:SECCE3Rv1G0195830 transcript:SECCE3Rv1G0195830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKFVRCDNCAKCVPKDKAIKRYRVQNIVAQEGISYFTEACVLEGYHLPKIYIKERWCVGCAIHLKRIGVRSRKDRKNRAPPENFRRRGPKPERQAPRPGRIGGAPGGVGGGSGGTGGGFGAGGAGGGFGAGAPAPNVAGDWPQN >SECCE7Rv1G0481090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:279094872:279095930:1 gene:SECCE7Rv1G0481090 transcript:SECCE7Rv1G0481090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIDSSCSTPFASAPSSPGRSPAIFGGGGYFFSAPASPIHHLLFSSSSVAAGAPNGGGYGGLGDAEFEFGGPGEHMISADELFQNGQIRPLTLSPLPDLDPDSDEDDSERRHGPARGREPTFRSGSVHRRARSMSPMRSPSPRLKLLSALVPAPDLGSVSLSTGAQEAPPPVTASSRSSSSSSTSSSSSSSARGSRRWVILKDMLLHRSKSEPGSAHPHEAPAAAAKPEKAWPFSPSWASRDKVIAKLRGAARPSPPSGTGAADSGGVGEGDESRTTRGQGRSKGRRRSTTVAAAHERLYAAPNRAQAEEMRRRTFLPYRQGVLGCLGFSSRGYGALRGFSKTLSPVFSR >SECCE7Rv1G0515390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839510522:839511574:1 gene:SECCE7Rv1G0515390 transcript:SECCE7Rv1G0515390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATSITDVARLMKPLKIDGFCTTVTMGDDDCVRSGRCAVGGYEWEICVYPARTAGSPDGKKWVALRLALLSEPNTPAVRANLCGRAVHWKYKTLQKKESGVFRRQKDYTPFVYLVSLSDVDNLGYLKADALTVECTITVLKESPVPTSPAKEIPVPSPDLHQNLGELLRTEMGADVTFLVCGESFAAHKNILAARSPVFKAQFFGEMTEKLSNDVEVEGMEAAVFKAMLHFIYTDTVPELDEQGLEVAAAAMMAQHLLVAADRYELDMLKMICENKLYSGITVDTAATTLALAEQHNCKQLKVSSVEFIVSIPEVLDAVLATEGYKDLVASCSSVLVDLLKSARGRNN >SECCE3Rv1G0198310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:830767645:830769335:1 gene:SECCE3Rv1G0198310 transcript:SECCE3Rv1G0198310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKDVGVTEVILAINYRPEVMINFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIQFHKSHGGEATIMVTKVDEPSKYGVVVTEDTTGVVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADQKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKSAAKLAAGAHVVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVGDEVYSNGGVVLPHKEIKSSILKPEIVM >SECCEUnv1G0551070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:228766114:228766740:1 gene:SECCEUnv1G0551070 transcript:SECCEUnv1G0551070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITDDPDRSPRDCATKRHHHHHHHSGGWRQRRLLIAAASGAASLLALCIILWLTLRPSAPRFTLLAATATSSNVSTGGDLVRLDAALMAHNPNARGAALYDGLRARASYAGFQLATAGPLPPFQQAQGDAVLSASLSSAAEASAEEAANGRSSALLRLRIEGRLRWKVAAWVSGGRALAAECVAVVAPSQLRAVVVQGTECAVTIE >SECCE1Rv1G0050170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644954273:644957233:-1 gene:SECCE1Rv1G0050170 transcript:SECCE1Rv1G0050170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDADEVEGARKLALGAFAKLSRYEPAASSSPPSAPTADLPPLLGCCFQLLRRLDHTDPGLAARCASRLRAFIHSISSRDPDASLAPALEVCFQNFMDISQLRNCTMLNYAMQEIVNVSTMAVSCQYELGLQLELMSHHFISSVQDEAEFEHFFSALSWSEKAMERTPELGLAGAISLVRKSYVFSMPVIAQAHFVLLASRCVGNGDLNLHLQVFENAMHAYLIYLPDLGVFDRTNAVKSHFSRFANQKLINSCIQDATNQKLNCQINRLLLFCKAHCDDGWHVKERNAFDILVSFIEENQHIFPEQSRQEAVIVVKKIVSNILGCAKQKETHESDAEVSEEMIYLAAVLRLMGSSFLEVLHSIRKMRVAGDRHHENHILLRISETVRLLGQYEANGLNIHGLFGMTGKSVENENASVSMLFHFASLLALCLRMRLGFLWKACIVMIMMAMNLVIDEDRSLSAFQFLIASKESAVSSTDQEDCLEVLQESAIVSIDQEDCLKGFALRKSSTSIALQYANLRKRKNCIQDEVGRDCVEDSRSKLVDGTAFFKSIPGYKKNSSEWDDIKDYVECEDGVDYASWLTQHRKFKEFRDAKWRSSKRPSADMSEIKREYGASKSKRLKKKASR >SECCE4Rv1G0259660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:689251973:689253647:1 gene:SECCE4Rv1G0259660 transcript:SECCE4Rv1G0259660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML48 [Source:Projected from Arabidopsis thaliana (AT2G27480) UniProtKB/Swiss-Prot;Acc:Q9ZQH1] MADYNRYGHGHGQGYGQQGYGYALSAPPAPTPSSSSSPYGNGQGYGYAPSAPPAPTSSSSPYGNDQGYGSATTPSTSSSSPYGYGYGQGGYPPPMGGGFGGAVAFPPGTHPDVERAFRAVDRDGSGSIDEGELQAALSDAHHRFSIRTVRLLMFLFSNAQLASPRSRMGPAEFVTLWNCLGQWRVVFDRYDRDRSGKIDSNELREALRGLGYAVPPSVIDLLISNYNNGVSHRGALDFDNFVECGMVVKGLTEKFKENDRRYTGSAALTYDGFLSMVIPFIVP >SECCE6Rv1G0439310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795829530:795832564:-1 gene:SECCE6Rv1G0439310 transcript:SECCE6Rv1G0439310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTAQAEQLLLHPDPEQRRRAPDCRHAWGLSAAVLLLLLPSAAGLLPPMLFCEPPPETVPLTLLAGAQEKGAVCLDGTPPGYHLQRGSGDGANNWLVHLQGGGWCSTVKDCSSRRNSELGSSNFMKPILFAGILSSDRQLNPDFYNWNKVYVRYCDGGSFSGDAEGQAPDGSTLYFRGLRIYEAVIDELMEKGLANATQALLTGCSAGGLATMLHCDDFSAKFPQEVSVKCLADAGFFLDVKDISGERTFWSVFDGVVQLQNIRKVLPKDCLAKKKPKECFFPAELIKSIHSPMFILNSAYDSFQVRYVLIPDSLAPDSSWLCCKHNIRNCNSTQIEFLNGFRNAMMDALKVVEDKEKWGLFIDSCFTHCQTVSDISWNSPFSPRLGDKTIAEAVGDWHCGCSERVKEIDCEYPCNPTCSRQLSR >SECCE7Rv1G0521200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873151880:873154349:1 gene:SECCE7Rv1G0521200 transcript:SECCE7Rv1G0521200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVQMGGGAAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKATKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVADKVVVTTKHNDDEQYVWESQAGGSFTVTLDTQGERLGRGTKMTLFLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDEPEDKEKKEGEVEEVDDDSEKKDESKKKKKKVKEVSHEWVQINKQKPIWLRKPEEISKEEYASFYKSITNDWEDHLAVKHFSVEGQLEFKAVLFVPRRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIELFFEIAENKEDYAKFYEAFSKNLKLGVHEDSQNRAKLADLLRYHSTKSGDDLTSLKDYVTRMKEGQKDIYYITGESRKAVENSPFLERLKKRGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDEETEEEKKRKEEKKAAFEGLCKTIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGAYMSSKKTMEINPENGIMEELRKRADADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFAARIHRMLKLGLNIDDQADAEEDADMPALEEEGAEESKMEEVD >SECCEUnv1G0529070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6399507:6401813:1 gene:SECCEUnv1G0529070 transcript:SECCEUnv1G0529070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAATRMLARRAAPSLLRSGAGPSPGLALPALLQGGGGGGRRWYRAAGGDPSPSLYEPPPTPANLGLSIVPEKKAFVVERFGKYLKTLPSGIHLLMPGVDRIAYVHSLKEEAIPIPDNSAITKDNVSIQIGGVLYVKIVDPYMASYGVENPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNTNIVKSINEAAETWGLKCLRYEIRDITPPDGVKKAMEMQAAAERKKRAQILESEGAMMENANKAKGEAEAILARSQATAEGIRMVSESFKTEGSTEAASLRIAEQYIRAFSELARSTNTMLLPSDAGNPGTMIAQALQIYNHTYKQKLTLGSPSPSKRAEEADLSLGMPSVSDLGTFQHQK >SECCE5Rv1G0351840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:705023348:705028466:-1 gene:SECCE5Rv1G0351840 transcript:SECCE5Rv1G0351840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAPGVARVRRALLAPCVTCPLCDGFFREASAFSECGHTFCRECIMKKIDDEEIEACPVCDVALGITPEEKLRADISLQAIRNYLFPPKAEVNAFEAPTITLPAKRKERSISSLVETPKMATQSTLTGRRTKAARRTITPHLFSLGKLPNKSEDREQKTEKAPAPKSTKMTISANKKQNSVNICEDGKNRETIDKEELQKPLPSLVVASGTKSQKSSSCLKESHKNKITTEDSPRESPEADSHDGITTQVWFSLVTSPNQVEAKLLPQLEKNFYRIKDGTMRVSSILRLIMKKLELASDDKVEILCHDESVCPSTTLHGLLERWLSCKPKDEVQRPVGAPANEFVMELRYRRCPGSRPLCCTMKAASLPCHCST >SECCE4Rv1G0273350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:776609615:776609908:1 gene:SECCE4Rv1G0273350 transcript:SECCE4Rv1G0273350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAVAVMCVSFVVVVALSGVVAQLDCRPQLLEPCDQAIRDGSHPSTLCCSNIKAEEACLCEYAENQKYRGYVPGPYFRQTSTSCGIHIGVCPIGV >SECCE3Rv1G0163340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:147162618:147164009:-1 gene:SECCE3Rv1G0163340 transcript:SECCE3Rv1G0163340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARCYALLVVAVVLTAQLCGCTASVGGDGFTVEFIHRDSVQSPFHDPSLTSHGRLLAAVRRSTARASDLARSYAGDSPHGAVSEVVYRRFEYLMYVNVGTPATRMLAIVDTGSNLVWFKCTNLRTAATTPAAVAGGARLVFNPSSSSTFGRVYCQSGTCHKLPGTSCDASSRCKYVRSYGDGSKTTGLLSTESFIFDDAPGGCVGCPQRPQLLVPNFSFGCSTAAAGTFQADGVVGLGSGDFSLVTQIGAAASLGRRFSYCLAPYYANASSALNFGARAAVTERGAATTALIRPDPRNPHYTVVLESVRIGNARFEHLSNVIVDSGTTLTFLDKALLDPIVEEMRRRIHFPRKRSPDRNLQLCYSVIGPNGKFYFYKFVPDVTLMLAVFAEAVTLKPENMFVEVQIGTMCLMMAPVTPQRPVAIIGNIAQQNFHVGYDLDKGTVTFAPADCARSYRSSATH >SECCE5Rv1G0371300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846612344:846615059:-1 gene:SECCE5Rv1G0371300 transcript:SECCE5Rv1G0371300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVALLSASSPSTSASSLLRRRPPPRRSACPRRAASRLSVVAAMSDDPIKEWILTEGKATQITGTSSIGGGCINAAQRYDTDAGSFFVKTNRRIGPAMFEGEALGLKAMYDTKSIRVPLPYKVGLLPTGGSFIIMEYIQFGRSRGDQSALGRKLAEMHKAAKSDKGYGFYVENTIGSTPQINTWTADWIEFYSKHRLGYQLKLISQRFGDSAIYEKGQQLIEKMHPLFDGAVIEPCLLHGDLWSGNISADTNGDPVILDPACYYGHNEAEFGMSWCAGFGGDFYSSYFEVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >SECCE1Rv1G0057960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:696299970:696302093:-1 gene:SECCE1Rv1G0057960 transcript:SECCE1Rv1G0057960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKNASAAAGKKDKPPSVSAMLASMDKPAPNARPAAKPKSSKPSKAPPSSSSYMGDIDLPPSDEEEDEADVAAVTAKAKAKPARATVDLNALAPSDKDAKKKDKREMMAAAAAEAAKREALRDDRDAFSVVIGARVPGSAADGDAAVDGNIKDIVLDNFSVSARGKELLKGASLRISHGRRYGLVGPNGMGKSTLLKLLSWRQVPVPKNIDVLLVEQEIVGDDRSATEAVVAANEELTALRAEQAKLEASNDPDDNEKLAEVYEKLNLCDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNDIIHLHDKSLHVYRGNFDDFESGYEQKRKEMNKKFELYEKQMKAARKTGSKAAQDKVKGQALSKAHKEVAKGKGKGKNVANDDDNVKPADLPQKWLDYKVEFHFPEPTLLTPPLLQLIEVGFSYPNRPDFKLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPAEGEARRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCADEQKSQIWVVEDGTVSKYDGSFEDYKDELMAEIKKEVEE >SECCE4Rv1G0228520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:136467221:136468720:-1 gene:SECCE4Rv1G0228520 transcript:SECCE4Rv1G0228520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAAGEKMSIGGEKKQQRKSGSSFWGAMALKSRSKPGGAGGSKNSRSERKTTTTTTTTTTTRSVGIGRSMTCPGSICGTKESAVLSRESCRSSGRNVSRTSSRSLKAPDNDILSVPVVASGPVVSASSSFNSETSVATTATTVSSSSSSSSALSSPLSSIVAGSRSFRKLSGCYYECHSVLDPRTSLVGGAGMLPCSDCDEFFVKAESLELHRATRHAVSELGAEDTSRNVVEIIFQSSWLVGKPRAAPICRIERILKVHSSGKTVERFEQYRERVKATAASSTDELARRSFPRCAADGNEILRFHCTTFTCSLGLAGATSLCRSSPQQCKLCSIIRDGFRVDGDGKIAMMATSGRAHDEAQTPLPGGGGGGEKRAMLVCRVVAGRVKKLVNSSNSSEESGCDSVSSCSDLDELSVFNPMAILPCFVVMYTVATET >SECCE4Rv1G0225760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:99601686:99603598:-1 gene:SECCE4Rv1G0225760 transcript:SECCE4Rv1G0225760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADNGRGAARTCQSGQAALAAGLLNRFAAATADGNLVFSPVSIHVALALMSAGAGGDTLDEILAVAGAPSRGELEAFIRDTVVGRTLADRSGIGGPCVAFACGAWSDKSFPLKQAYRDTIVQTYKGETWTVDFQDHPVEARKQINAWVANVTRNLITEVLDPHEQSRDTLKVVANAIYFKGEWRQPFDKEYTVDHCKFHLLDGSSIEASFLRRQRQHEERIACHDGFKVLKLPYNVADDNSPLYGLHNYKMRMSLPSFSMCVFLPDTRGGLTSLVDRLTSRPEFLHEHLPRALVPVGDFRLPKFKLSFRSSLVGILQSLGMRLPFEPSTTGLAEKMEDDDGEGVQLYVDDVIHKAVIEVNEEGSEAAAFTESDDEMGFSLFGDEPPPPKLVDFVADHPFAFFIIEETMGIIVFSGLVLDPSKEG >SECCE5Rv1G0355700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:733596012:733596613:1 gene:SECCE5Rv1G0355700 transcript:SECCE5Rv1G0355700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHMLVAVFAATALAVAFLPGLAVATEHVVGDDKGWTLDFNYTAWSETKQFVVGDTLVFKYNSPAHNVVEVGGPDFLSCTKPANAVVWTSGEDRVTLDKAGRKWFFCAVSQHCQNGMKLKITILETAPPTPQPTNPAGKLHARFGEAAAAVTALAAAVLVL >SECCEUnv1G0536330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63460205:63461788:1 gene:SECCEUnv1G0536330 transcript:SECCEUnv1G0536330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIALLLTVCFLGFYVPAPSLASSSNNNDFLRCLSASIPSQLVLMPTSPSFTPLLVSSIRNARLVAPATANPPICIVTPTNASHVQAAVRCGRRHSVRVRVRSGGHDNEGLSYRSATPNGEAFAVIDLAKLHAVHVNPHEATAWVETGATTGELYYRVATAAPGLGFPGSVCPTVGVGGIISGGGIGLMMRKYGLSSDNVLDASMVDANGNLLANKKAMGDDLFWAIRGGGGGNFGIVLAWKLRLVPVPPKVTFLKVAKTMEQGAVDAVTKWQTLAPALPDDLSIRVVIRNSQANFQSLYLGNCSTVVATMRRRFPELRVTSADCKEMSWLQYTAYMYFGDAVNSKPLEALLLNRSTTLGPFVKNKSDYVKKALTKETWKKIFLWPNGAASGKLILEPHGGVMGRIAADKTPFPHRSSVLYNIQYVELWNGKEVGGDVTPNWIGSLYDFMTPYVSKNPRGAYVNYRDLDMGVNKVVGGVTCYDTAKVWGKRYFGPRNFRRLAKIKRKVDASDYFRNEQSVPPLPLK >SECCE3Rv1G0164730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:165669180:165669816:-1 gene:SECCE3Rv1G0164730 transcript:SECCE3Rv1G0164730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHDMHGGHNGGVKGFVSGLVNGGKGHGYGSSYGQGHGYGGGHVQGYQQGYGGHGQSYEHGYGGGHAQHGHGGYEHGYGGGHGQHGHGHEHGYGGHVQQHGYGGGHAQLGYPPAAGAYPPHGGYQAHGYAPAAYPSHGGHHGHMGSYHTGHGGGHHHGGKYHGGKHGGKYHGGKHGSRWTR >SECCE6Rv1G0440280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:803413837:803420178:-1 gene:SECCE6Rv1G0440280 transcript:SECCE6Rv1G0440280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEYQCESDDEVAPPMWPNIGDVHQKQFRMEKPGKDHDALNDVEVREQPARVDLPRLMEIASSEKGASQMQYFVKHWEYKRANNARLMNEELGRLSKQRKEIEEKKQQILEEQRFQDENYYAAKRQVPILDEVYKNEWKPPSKKIDDHSRNQELKIDAEYNTISYWKERAIQLEEALEASLQRERALEEKLEQHIKNLQSHTPVEEFSGMLKRADYFLHLVLQSAPIVIAHQDADLRYRFIFNHFPTLEDEDVIGKTDHEILSGEGIDEMNNVKREVMAKGIPTKREFVFNTPLFGAKTFVTYIEPVFSKSGETIGVNYVAMDITDQVKTREKMADIRVREAIQKAKETELSRSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQYQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLEATTFRPREVVKHVLQTAAASLKKELTLEGLIGDDVPLEVIGDVLRIRQILTNLISNAVKFTHDGTVGIKLHLVDKQQAGCEIGNGQLHMRAHPASSVTTAAENSAASPRNCDKDASRCSNREDVCQNGVVSNENFREYHEGEVVWLQCDVHDTGIGIPEKALPCLFKRYMQASTDHARKYGGTGLGLAICKQLVELMGGTLTVVSYEDEGSTFTFMIPCKIPAKEENSDDPDDEAIARNNFTVNDIEGSFVFKPKVRPSLLSSGVPIMNNTKFFGSNLMCYDSTNVIEDHKPFSNGFISTKDNSGKCAPAASQSNGPNVSSIDEEKNDCSMVFELNSQAERVSSSRADTTSISGAVIQEGRKACKALEEKSLNKKSKCSPSSSKAKILLVEDNKVNIMVAKSMLVPLGHGIDIVNNGLEAIRAVQRHQYDIILMDVHMPVMDGLEATKLIRHFENTGCWDASVKPEDNEMIANSAISSDCVQDKKGKRVPIIAMTANSFAESADECLAAGMDSYISKPMNFQKTKECLQRYLLSQ >SECCE2Rv1G0110770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:693827553:693830008:-1 gene:SECCE2Rv1G0110770 transcript:SECCE2Rv1G0110770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEGQLAACWGKQDDEWRKGPWTTQEDKLLLDHVAQHGEGRWNSVSKLTGLKRSGKSCRLRWVNYLRPDLKRGKMTPQEESTIVQLHSLWGNRWSTIARSLPGRTDNEIKNYWRTHYKKGKPSKNIERARARFLMQRREMQQQTQQQQQQQKLLLGQGKDVDLAGATVAEDVDEKMDGAVGPAAATLADHGHEELIMQDVLDFLCPMSCALLHSAGQSGSCGGASTSDEYGSTEDDGATWGSLWNLEDVAHDGDGGACALW >SECCE4Rv1G0275860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:795766911:795771722:-1 gene:SECCE4Rv1G0275860 transcript:SECCE4Rv1G0275860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPGSCPSTAAPSAGGPPICLAGASGRAGSQDMLRPRLVLFGDSITEQSFRPGGWGAALADTYSRKADVVVRGYGGYNSRWALFLIQRIFPLVGIPPVATTIFFGANDAALLGRTSERQHVPVEEYRQNLKTIVNHLKECSKSMVILLITPPPIDEDGRERYARSLYGEDARRLPERTNEMAGVYAGQCIELAKEMDVQCVDIWSKMQTTEGWQKIYLSDGLHLTPEGNALVHKEVVQTLRGAGLKAEDMPHDFPHHSKIDGLHPEKAFH >SECCE7Rv1G0464360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:60147440:60152982:1 gene:SECCE7Rv1G0464360 transcript:SECCE7Rv1G0464360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSFSRSGPHPQGQGRRRQGARSPYPTPDNSTSFAGGRAPRGRRRRGGGYDDMSWQSSVSWQPDTSWAQPHGLGAAVGPWGLAGSDAASRRGPALFQRTARDYYLSRRSGPRTHRERSSSMSTVHRPSGAVSTVAGKRLELQSVVTDASRAAAVAPDVSFASNDDCSIAASVAPAAAGAVDRAMVRYSAGAGARTPVSREVSFSRDNHNKLYVTPAPVQRDVPSFGYDVSATSYSQSRSRYYGDDDAGGYEFDDEEEDDGEVELRAGKPVSVTGLFKYSTPTDIVLLVLGCIGAMINGGSLPWYSYLFGNFVNKIVASDKDQMMKDVRQISVYMIILAVVVVIGAYLEIMCWRIVGERSALRVRREYLKAVLRQDIGFFDTEVSTGEVMQSISSDVAQIQEVMGEKMAGFVHHVFTFIFGYVVGFRTSWRIALAVLAVTPVMMACGIAYKAIYGGLAANEEASYQPAGSVAQQAISSIRTVLSFVMEDRLADRYAEWLRKASPIGVKMGFAKGAGMGMIYLVTYSQWALALWYGAKLVAQQEIKGGDAIACFFGVMVGGRGLALSLSYSAQFAQGTAAAGRVFEIIDREPEIDPYGAGGRALSAVRGRMEFKDVEFAYPSRPESLILYNLNLIVPAAKMLALVGVSGGGKSTVFALIERFYDPTRGSITLDGQDLGSLNLKWLRSQIGLVGQEPVLFAVSIIENVMMGKENATRQEAIAACTKANAHTFVLGLPDGYDTQVGDRGTQLSGGQKQRIALARAIIREPRILLLDEPTSALDAESEAVVQQSIDRLSVGRTVLVIAHRLATVRNADTIAVLDRGAVVESGRHADLMARAGPYAGLVKLASDSGRSDPAVVPGTPGTPGAAGYNSFTDNSGYDVSVSKSRYAGIRAIQEEEAEAKDARGGKGAAKFSVSELWELQRQEGPLLILGFLMGINAGAVFSVFPLLLGQAVQVYFDPDTDKMRRQIGYLALAVVGLGFACILTMTGQQGFCGWAGARLTMRVRDRLFRAIMRQEPAWFDEEDNAMGVLVTRLARDAIAFRSMFGDRYAVLLMAVGSAGVGLGICFGLDWRLTLIAMACTPLTLGASYLNLLINVGARSDEGAYARASSIAAGAVSNVRTVAALCAQGGIVGTFNRALDGPSAKAQRKSQYMGIILGLSQGAMYGAYTVTLWAGAYFITKGWSTFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPTAIAGILSILKRRPTINEEGTKRRTIKDGKPMDVELRKVIFAYPSRPDVTVLNDFSLRVKFGSTVAVVGPSGSGKSTVVWLVQRFYDPLGGTVTVGGMDVRELDLKWLRGECAMVGQEPALFSGSIRENIGFGNPKAAWAEIENAAKEANIHKFIAGLPQGYDTQVGESGVQLSGGQKQRIAIARAVLKQSRILLLDEASSALDLESEKHVQEALRRVSRRATTITVAHRLSTIRDADRIAVVSAGRTVEFGSHETLLANHRDGLYAAMVKAEIEAQAFA >SECCE7Rv1G0501440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:682887129:682889242:-1 gene:SECCE7Rv1G0501440 transcript:SECCE7Rv1G0501440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHSLALLLLVLSTAVPDFASSSCAGGVRDDAAIVAAAFRYVRNFRPQAVPACRPVRELRLPSQNLTGAVAWAALANLSALAALDLSGNALQGAIPGGFWRAPALRSVDVSGNQLGGSLRVEPNPRLQSLNVSGNRFTVVAGVDGLPGLDALDVSANRIRAVPQGLRRLTRLRRLDLSRNAMRGRFPGDLPPLDGLRFLNVSYNNLSGAVNASAVKKFGPSAFIHAGNASLVFSKEKDSPAPPRRPQSHPPPPPPRGTKKDHARTAKSTATKAKRKKHMGVVAVAIVCGVASVIVLLCLVGSVACGVVRCRSRKSGDKEAEEKKVQWGEKEEDEVVVAAATSKGASSAPVVLFERPLMELTLADLAAATSGFGRESQLAERGGRSGSAYRAVLPGDLHVVVRVVESAMAGVGEDDNPAAAAAAFRELARLRHPNILPLLGYCIAGREKLLLYEYMEKGDLHRWLHELPAGRPDMDDAGGDIWEAAEDKRSISDWPTRHRIALGVARGLAFLHQGWAGSGRATVHGHLVPTNVLLSDDLEPRISDFGHLGGAGGDEEDATPEADVYGFGALVLELMTGQARWDEASVSWARGLVRDGKGLDIVDPRVHAGEAAEREMVECLRVGYLCTAHSPDKRPTMQQVVGVLKDIRPRAVDGGGDA >SECCE2Rv1G0064230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:2522060:2522782:-1 gene:SECCE2Rv1G0064230 transcript:SECCE2Rv1G0064230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKISLIDHTMASSSPSSIVIQMPLSPNTNGQPTAPATPKDAGDVPTTTPAAASAADKVMSSAANLAQLLPTGTVLAYQALSPSFTNHGKCFSSNQWLTAALVVVLTISCVLFSFTDSVLGHDQKLYYGIATLRGFNVFNFSDDEEKLQWTPAEFRRLRIRPLDFMRAVFTALVFLTVAFSDVGLQNCFFPGAGRNTEELLKNLPLGIAFLSSFVFMIFPTERKGIGYSDTTPRAKLT >SECCE4Rv1G0260890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:699399641:699400453:1 gene:SECCE4Rv1G0260890 transcript:SECCE4Rv1G0260890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMSPLHRVIDAARWDKERLLGRLIILVHAAFLDVGFVLHADGVGKPGRVPRRAGRTASTLSLVYAALRRRDAAVPLHMRAHGTHVVFYVWVPRFAQDPRPGTHWVCVDACAVAPLLSRGLDDTARALTDDADADADCTVAALWRELSEKLCWSTLAAVCPGSALLSLSVDIMLEILARLASLDLFRVASTCAGLGRLVADHDRELWKHRYKASFWRRWDGSCPMVHDASGTTWMKLWCKERRDHAEFGAKVREWRRQKLLRYKSRTGQ >SECCE2Rv1G0090340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:275621062:275625291:-1 gene:SECCE2Rv1G0090340 transcript:SECCE2Rv1G0090340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGASCSGVPVALRPAGTHTFLLPAAPPFVSKCTINRRDPRTASSCFGKAITPKRCFVVAGGLTWVDGVEEELMELQKTQEQSSGKLKKRPPLRRGKVYPQLPVPEHIPRPSYVGANVPQELPAVRQIHNAEGIAGMRAACKLAARVLDFAGTLVKPSVTTNEIDRAVHHMIVEAGAYPSPLGYGGFPKSICTSVNECVCHGLPDSTQLQNGDIINIDVNVFLNGYHGGTSRTFVCGEADESIKHFLKAAEECLEKGISVCRDGVNYRKIGKKISKLAYFYGYHVVERFVGHGIGTMLHSEPLVLHHANENSGRMVEGQTFTIEPILTMDKAECVTWENGWTTVTDDGSWAAQFEHTVLVTRTGVEILTKL >SECCE3Rv1G0146460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11472070:11473243:1 gene:SECCE3Rv1G0146460 transcript:SECCE3Rv1G0146460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLLRPSTLAPAFSPPAPRRPSHLDLRSSRRHHGVSVSLAASSSSAASPEVEKAPSSPSTPPPDESSDLSAVAESVKVLKEAAKTRKVPAAEVLAALARIKKAKLDTSAFFETLGGTESPGRTWKLIFTAQGSKLGKGSYFPVTAVQRFDAAGQRIENGVYLGRAGSLSFEGRLSWKKKILAFVFERVRIKLGPLGPLEIPLGGDDVGREPSTKDPFFLWFYVDEEVAVAQGKGGGTAFWCRCKRVEGQGKRPAFWYFGFNII >SECCE1Rv1G0008410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:47137498:47139987:1 gene:SECCE1Rv1G0008410 transcript:SECCE1Rv1G0008410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRLPRGSSSSTTLIPRLRLLRRCSTFTSTSSPSRSWSPRDAFAAATERIRAGTLSPEDAHKLFDELLGKATPVPERSLNGFLAALARAPASGNCIRDGPALAVALFNRVCREEAGPQVAALTVCTYNILMDCCCRARRPDIGLAVFGRFLRKGLKTDQTGANTFLKCLCYAKRTDEAVNVLLHRMSELGCVPNAISYNTVLKGLCDNSMSQRALDLLQMVARKGGGCFPDVVAYSTVIHGFFKEGETGKACSLFHEMMQQGIVPSVATYNSIIDALCKVRAVDNAELVLRQMVAKGAQPDTVTYNCMINGYATSGQLKEAAKMFREMKSRGLTPNVVTCNSFLASLCKHGTSKEAAEFFDSMTAKGQKPDIISYCTLLRGYASEGCFTDMIDLFNSMKSNGIAADCHVFTILIDTYAKRGMMDDAMHIFTEMRQQGVSPNVVTYSTVISTLSRMGRLTDAMEKFNQMVALGVQPDRAIYQSLIQGFCMHGGLVKAKELVSQMINKGIPHPNIVFFNSVINSMCKEGRVMDAHDILDLVIDIGDRPDDISFNSLIDGYCLVGKMDKAFGMLNAMESVGVEPDIVTYSTLVKGYCRNGRIDDGLTLFREMLCKGVKPTTVTYNIVLNGLFHSGRTVAARKMFHQMIESGTTVTISTYGIILGGLCRNNCADEAIALFQKLGAMNVKFSITILNTMINAMYKVQRKEEAKELFGTISASGLVPNESTYGVMIKNLLEEGSVEEADNMFSFMDRSGIVPSSRLMNDIIRMLLEKGEIAKAGYYLSKVDGKSISLEASTTSLMFSLFSRKGTYKEDMKLLPAKYQFFGGVG >SECCE4Rv1G0231140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:179282716:179284050:1 gene:SECCE4Rv1G0231140 transcript:SECCE4Rv1G0231140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seipin-1 [Source:Projected from Arabidopsis thaliana (AT5G16460) UniProtKB/Swiss-Prot;Acc:Q9FFD9] MDPGPHHPSTYNHHHDHYPFLPAAGPPTAGGDTLLFLLAIPAGWLVRLVAFLGERAISAVLTLVVLPGATLVGELRTVPAAAASLARRAAVGVLAAAFTFAALAVALVASLLLGFVLIRHWVEDPLTVRQPLYFDYTEPQPSAAVALAPPAGHSVRVSMSLLLPDSDHNRQIGVFQIKAEAIISSGSTIASATQPYMLRYKSAPVRLAQSALTIVPLALGMRSESQSATLKLLHYWEGHSRHKRTRLIRVSLQPRAMTVHLPQVYRAEITVQTALPWFKAVARSLKWTMCVWLSFWVYVILSLLAVRWVWPLALSAWDSHRGLSDHQVNGKTIAANLGGGDVDQSSHEGSSRGGAVKWRDRRGRRKAQQTSHGSMVELKLDEGSASSSAVAETDEVVDDDHGELPATPLSVSESC >SECCE6Rv1G0378760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:10264103:10264804:-1 gene:SECCE6Rv1G0378760 transcript:SECCE6Rv1G0378760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNALLKLWEMYQDSKSDRRKDNLESSLTIHHLKEEENNLEANYDKLVEDVHQLFNAQEDRVLDFRYLQFNMDNAEERKAEMTNSALSDMKTEMEKKEAEIFKMQEKYAVLINLTKAQATVIRNLKLNHLKEKEVLSVDRRNLQFQVDEFIKSQEKLTQENLQLKAHIGDLEKVHDKLNQENLQLKAHMGDLKKGHEKLTKDRAQFKLQIAGLLKAEEKNKQKMKGIQAILDE >SECCE5Rv1G0300160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:23199628:23202309:-1 gene:SECCE5Rv1G0300160 transcript:SECCE5Rv1G0300160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDRKTALELSVRAYAEKKTGPVVNPAIGTSFDSLDEAYEFYNLYSWEYGFGVRLAKSRLNVHRKRCMQEILCACAGKPMRENSRSSRCGCEAMIRLLRSNDNGWYISEFKPGHNHPLSVTCGQKMHWKSHRHIDRYTKDLVKQLRDNNVGLGKVFSIVGSFFGSVENVPFTKRSLKTLCRKLNKDQSDSDAMKTMEILADMKANDPDFNYTVQVDEDSRIKTLMWVTSRGCDQYRYFGDAITFDTTYRTNLYDMPFGLFVGVNNHFQSIIFGGVMMRDEKEESFKWVFREFIRMVGGKHPQTILTDQARSMEIAIQAEMPNTIHRWCKWHVLKKAKESMGVLWSKNSEFKLEFHKLVHHMITEDEFEQGWQQMLDKYSLKKHPFLTQIYEVRHKWAKPYFMGVFCAKMTSTQRSESANHLLKGYVPPGCPMHLFLKQFEKLQFDRLSEESFQEKRTSLSGVCLRFNLPLERHASKVYTRAMFEKFGEELYKCGAYVLDEIVPRRIYKSTHVEAASREKWSKVEFKIEVNEDESFFSCECGMFEHAGLVCCHSLQVMVHFRLAKIPEKHIMKRWTRQARDILPEHLVRYQKDRGPPASDTFRHHSMYMKALECVVLGDSNVECYEVFMRMMKEVHATLLPLSVTKDGLGLAERENEQLLVATATDASIQGNVVEDAESGDAYSNCSGVGVHQNKRQRGRPTTSRDKAPYEKHLKRSRFCTICRLQGHKCTTCPQRGDLPKAPRKLPKCSNCGVVGHRRNACGTKKVVSFEPNFL >SECCE3Rv1G0200720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:856792469:856794769:1 gene:SECCE3Rv1G0200720 transcript:SECCE3Rv1G0200720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLVSSSWLLFCSVFLTPVAADRRPSYIVHMDKSAMPTAHSNHREWYSTVLASLAAAAAADSPGAASGYQPRLVYTYDEALHGFAATLSASELHELSRAPGFVSAYPDRRSDITHDTTHSTEFLHLSPLGGLWPAAKLGEDVIIGMIDTGVWPESASFDDAGMSPVPSRWRGTCEPGVAFPASLCNRKLIGARCFNRGLVAANPGIKISMNSTRDTIGHGTHTSSTAGGSPVPCASFFGYGLGTARGVAPRVHVAMYKVIWDEGRYASDVLAGMDAAIADGVDIISISMGFDGVPLYEDPVAIAAFAAMERGILVSASAGNFGPVPGLLHNGIPWLLTVAAGTVDRTMFSGTVSYGNTTLTTITGFTTYPANAWVVGTKLVYDDTISACNSSASMANVTTSIVVCRDTGRVTEQLNIANEAGVAGAIFITDVLSFDDTMPLPAIFISSRDGPALLSYINSTMIPTVTMKFQQTILATRPAPVVAAYSSRGPSKSYPGVLKPDILAPGDSILASWAPVSPLGLIGQTSLASDFLVASGTSMACPHASGVAALLRAAHPDWSPAMIKSAMMTTASTNDNTFHPITDAGAGFDGNDNGTAASPLAMGSGQVSPNKAMDPGLVYDAGPKDFVELLCSANYTNAQIAAITRSSTAYNCSFSSNDVNYPSFVAIFGANATTGEMRFSRTVTSVGAGPATYHASSVSPSNVAVAVTPATLEFSGAGQKISFEVDVKLTAPTPGGKAAYGAVVWTDTSGKYRVRTPYVIL >SECCE6Rv1G0420370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:677159891:677161687:-1 gene:SECCE6Rv1G0420370 transcript:SECCE6Rv1G0420370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIEMTLPPGFRFYPSDEELVCHYLHGKVANQRFTGGTTGTMIEVDLHVHEPWELPDVAKLSRNEWYFFSFRDRKYATGLRMNRATRSGYWKATGKDRVIRSPRPSSSRSGRAAIVGMRKTLVFYRGRAPNGTKTCWVMHEFRIENPHCPPKEDWVLCRVFHKKKADTEYAMDGKPELSGGAVSGSNYLSSSSCNDPEQYHHSPTAPVPSIGAGGHHYELLPCDHHHPPGAAGVSLSEVDPFAGMPQLLSYDSILDFRQQLQGGHGEAAGLRDGAEDQCGGALIDLGLQVQEEHYNYNSLM >SECCE3Rv1G0149560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:26186538:26189434:-1 gene:SECCE3Rv1G0149560 transcript:SECCE3Rv1G0149560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHLAAASSPAATSTALRYGGGATSPTAGFARLNPAAHRPDKGLLALRGRRAVTPVVVAAGPAEAAPAAAVKQPIIVIDNYDSFTYNLCQYMGELGVHFEVYRNDELTIEDVKRMKPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRVPSGVMHGKSSPVHYDEVLGKAIFEGLSNPFTAARYHSLVIEKESFPHDELEITAWTDDGLIMAARHKKYKHIQGVQFHPESIITPEGKGIILNFARYVEEFEKQTSDSVGN >SECCEUnv1G0566340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:403521382:403521726:-1 gene:SECCEUnv1G0566340 transcript:SECCEUnv1G0566340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFLTIPASSVSSESSFFTGGRILDDYTSSVKLYLVKALVCGGSYIKGVHKDLNVMDVEEEDDHEDVEKVELPKSVADCNY >SECCE7Rv1G0474750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:176431791:176436410:-1 gene:SECCE7Rv1G0474750 transcript:SECCE7Rv1G0474750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDVATRSKSQKSSTVQNEQSTPTNPPTAYPDWSQFQAYYNVPGTAQMTPPAYFHSTVAPSPQGHPYMWGPQMMPPYGTPPPYATMYAQGTPYQQAPMPPGSHPYSPYPVQAPNGTVQTPTSGAGGSETDKSIKNKRKTPLKRSKGSLGSLDVVTVKDKTPPAKPLVSSSNEGSSQSESGSGSYSGGSSTNSKSGSHTKDGSEHGPTNDASNKGVTAQGTAVEPTQVSSGPVVLNPMMPYWPVPPPMPGQATGVNMGMDYWGAPTSVPMHGKAVPAPTSAPSSNSRDIVLSDPVIQDEREVKKQKRKQSNRESARRSRLRKQAEWEEVASRADLLKQENSSLKEELKQLQEKCDNLTSENTSLHEKLKALDSEKPNGNL >SECCE2Rv1G0079800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:123020931:123022390:1 gene:SECCE2Rv1G0079800 transcript:SECCE2Rv1G0079800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAALTVLALLGCMAREGQADYGHPPHTPCSPGHPSTPPTTATPPPASAPSLPASAGLVVGYYQKTCHRAEDIVRETVRGANAGIMAGLVRLFFHDCFIRGCDASVLLDVADPSSATEKFGPPNLSLRGFEVIDAAKARIEKECGNVVSCADVLAFAGRDATYFLSNKKVYFDMPAGRYDGLVSLINETLIHLPPPFATVEELKANFASKGLSADEMVTLSGAHTIGVSHCSSFDDDLSDRLNASTSDMDPKLMASLEKQCRSDTGSDNTVVQDIKTPNKLDNKYYKNVLSHEVLFPSDAALLTADDTNAAVRANAKHNNVWEEKFKAAMVRMGAIEIKTSADGEIRRTCRVLNTY >SECCE7Rv1G0505560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:738133600:738133956:-1 gene:SECCE7Rv1G0505560 transcript:SECCE7Rv1G0505560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSSTTLRSSIQPHLSSICSCTMPPPLVVNDAVDTTPHHLATSTPSPLLELLGAHHPQHLFPEQQFLPRRHLHGGYDAQDAAPAQSRLNFGLPSGRGLGVDSGDLGFASRKGNDVK >SECCE2Rv1G0106920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:640641805:640652465:-1 gene:SECCE2Rv1G0106920 transcript:SECCE2Rv1G0106920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGEGGGDATAPLISSDVPKRHLNIVRNGNEWTASAHVITAVIGSGVLSLAWSMAQLGWVAGPGMMVVFASVTALQSTIFADCYRSPDPEHGPHRNRTYAHAVERNLGSSSAWVCQLLQQTALFGYGIAYTITASISFRAILKANCYHAHGHDAPCSFDGSYYMLMFGGLQLLLSSIPDFHDMAWLSVLAAVMSFSYAFIGLGLGLASTISNGVIKGSITGVPMKTPLAKVWRVSQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKKASIISILVTTFFYLCCGCFGYAAFGNDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIYQFADRYFAERYPGSGFVNDFHTVKVPLLPPYRVNLLRVCFRTAYVASTTAVALFFPYFNEILALLGALNFWPLAIYFPVEMYFIQRKVPRWSTRWLVLQGFSTVCLLISAFALVGSIQGVITQKLG >SECCE5Rv1G0376920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:874856821:874857905:1 gene:SECCE5Rv1G0376920 transcript:SECCE5Rv1G0376920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVQSVQALASSPGALPPEFVHERPDATTFRGATPLDIPVVDMSSPDAGWRMAEAAREWGIFQAVNHGVPAEAVAELQRVGRDFFALPQEEKQRYAMDPASGKTEGYGSAAVQRSPGDKKTWSDFLFHTVAPPAVVNKGAWPENPRGYREANEAYCGHMRRLVREVLERLSAGLGLEEGAMAEAFGGVVLVQKINLYPPCPQPELALGFAPHTDLCALTVLVDNGVPGLQVCKDGRWHDVRHVPGALIVHIGDQIEILSNGRYRAVLHRATVSKDKTRMSWPTLVEPRKEYVVAPHPRLVVAGEIPSRYDYKAKRFEEYKHCKINKLPQ >SECCE5Rv1G0358990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:758611911:758612792:-1 gene:SECCE5Rv1G0358990 transcript:SECCE5Rv1G0358990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSAVADAVVVLLCLTIAVAVPLIDGQTVLSADLYPAPLRDLKRWYAAEFDDYLMAQPPAFLHGLFCLELAFIWPLSVATVYGVLARRRWAATTSLMVGVTTLTSMSAILGEMLGSGKATPKLLQLYVPYVVFSVVAILRGLCSCSAPPSPASSARKKRV >SECCE4Rv1G0272400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:768814373:768814747:1 gene:SECCE4Rv1G0272400 transcript:SECCE4Rv1G0272400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAAEFVDEVLKTEVKQSTLLTNVGLQSSRNNSGKATAAIAAHVHDLEQKLERSELQAEVMQEELAVIKMKAEQAEAAREKEFELLHKKSQEQDEKLAHLMALFGAKVV >SECCE1Rv1G0063040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:722617034:722626872:1 gene:SECCE1Rv1G0063040 transcript:SECCE1Rv1G0063040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWNYVVTAHKPTSVSHSCVGNFTGPHQLNLIVAKCTRIEIHLLTPQGLQPMLDVPIYGRIATIELFRPHNEAQDFLFVATERYKFCVLQWDAEKSELLTRSMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCVRPTIVVLYQDNKDARHVKTYEVALKEKDFVEGPWSQNNLDNGAGLLVPVPAPLGGVIIIGEETIVYCNANSTFKAIPIKQSITRAYGRVDPDGSRYLLGDNSGILHLLVLTQERERVTGLKIEHLGETSVASSISYLDNGVVYVGSRFGDSQLVKLNLQPDATGSFVEILERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSIRVVRNGIGINEQASVELQGIKGLWSLKSSFSDLYDMYLVVSFISETRFLAMNMEDELEEIEIEGFDAQIQTLFCQNAINDLLIQVTANSVRLVSCTSRELVDQWNAPEGFSVNVASANASQVLLATGGGHLVYLEIRDAKLVEVKHAQLEHEISCVDLNPVGENPQYSSLAAIGMWTDISVSIFSLPDLELIRKENLGGEIVPRSVLMCTLEGISYLLCALGDGHLFSFLLNASTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAFPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKYTQNSMEESEAHFIRLMDHQTFEFLSTHPLDQYECGCSMISCSFSDDNNFYYIVGTAYVLPEENEPTKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMTREDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMTAVEMIDDDIYVGAENSYNLFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRFRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHDHYVFLEKLQTTLVKFIKGVGSLSHEQWRSFHNDKKTSEARNFLDGDLIESFLDLNRAKMEEVAKAMAVPVEELSKRVEELTRLH >SECCE2Rv1G0130640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:877922600:877927054:1 gene:SECCE2Rv1G0130640 transcript:SECCE2Rv1G0130640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRIZ1 [Source:Projected from Arabidopsis thaliana (AT2G42160) UniProtKB/TrEMBL;Acc:E5KGE0] MFSLRIQSVELPDALAALTVAAADDVGTSSSAGGGTAASNPISPRSSNPLPSTTSATTPLELPGVTSAAPARNPRIHHTRGVLHLYRSSPASSYASAVAVAATATPSSSSSGPAAPPLPCDSLLPSWRGTRLLVLAVPTRLTPDDFVRFCGPYVEHASEIRVISDDGVEDRYSVLVEFEDQKSADGFYLDLNGWRFSSSEVEVCHVLFIVAVQYTSSTELAIIPPVGSTELPTCPVCIERLDQDISGIGATNCDHSFQCSCVSMWVSSSCPVCQFCQKLSEAPTNPTCSVCQTSENLWICVICGFVGCGRYKEGHSIRHWKGTQHCYSLDLETQRVWDYVGDSYVHRLNHSKSDVKHAKFSSKCEYPGNNCVNCMHDDSDMGGVMFSSKADTIVDEYNRLLASQLETQREYYEALLSDAKKEREHHISVAVDKTINDKLQEMQLKLENTMLEKKKVAEMNEKLTKSQDIWRQTVKGIEERERAQLKMKDDMILDLEEQIKDFKYSIKLQKSIAKSSHADDLKGGMLVPLAMESDSGKGKRSSRTSKRRN >SECCE4Rv1G0235850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:341449775:341450494:-1 gene:SECCE4Rv1G0235850 transcript:SECCE4Rv1G0235850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLLQPFFMSKTKGYAQILIGSRLFLTAMAIHLSLRVAPPDLQQGGNSRISYVHVPAARMSIVIYIATAINSSLFPLTKHPLFLRSSGTGTEIGAFSTLFTLVTGGFRGRPMWGTFRVWGARLTSVFILFLIYLGALRFQKLLVEPAPISIRAGPIDIPIIKSPVNWWNTSHQPGSISRSGTSIHVPMPIPILSNFANFPFSTRILFVLETRLPIPSFPEPPLTEEIEAREGIPLKT >SECCE6Rv1G0442090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814203647:814204108:-1 gene:SECCE6Rv1G0442090 transcript:SECCE6Rv1G0442090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGVVLNLHVPSASVAPATARRCGTASSSVRCGSARGAAPARPAGGGLEEDHYRTLRLAPAATRGEVKRAFHRLALQYHPDVVRRRGSGDDGQESSVDFERINAAYQRVMRNMREAEATLEYWRRRYGLADEDLDRYRRYLNNDDWFADL >SECCE3Rv1G0171270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:266404365:266418065:-1 gene:SECCE3Rv1G0171270 transcript:SECCE3Rv1G0171270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVEQEDAPRRRRPPVATEALRDRIVEKVKENRVTLIVGDTGCGKSSMVPQFLLEGNLEPIMCTQPRRFAVVAIAQMVAESRNCQIGEEVGYHIGHSNVSNLNSKRSKIVFKTAGVVLEQMRDKGLAALKYKVIILDEIHERSVESDLVLACVKQFMMKKNDLRLVLMSATADITRYKEYFRDLGRGERVEVIAIPSSPRTRIFQREVLYLEQIADILKMNSESLSTKYCSGEDADADAGLNSDVYQLIHELLLHIHRSDPDLENSILVFLPTYYALEQQWIRLSSVRSVFKVHILHRSIDTDEALQTMKVSKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDSAGLVWASKSQAEQRKGRTGRTCDGQIYRLVTGPFYNSLDDHEHPAILRLSLREQVLMVCCAESRAMNDPNVVLQKVLDPPNLDVIQDALDSLVQIHALVKPTSPRGRYEPTFYGCLLNSLPLSFDASVLALKFAEIGLLHEGILIGIMLDIQPLPILQPFGYQALCKIFRDNYFDEDSNLKIGKKEATLIGNLCAFQFWQRMFKDKYRQEYLKMVADTQEPTAYHAFFSKQEEVWCAFHNLLPAALNNISEIYDDVMCTLHRFRPSFLVEIDPPKYLQPSEFHHVCLHHKVLEPEDMNSLSLESESSHLGSQRKCATTPYVSPTDFGVTLTVVVLKALIKEMKTQLAEDKVVPCRERVSGYVQQTIESEMCVFFVRGSCTRGNTCPFSHSSRAPKPVCKFFLTLQGCRNGSSCSFSHDCGSSNSKTSSITSGICSQEDMPTAVCCAKLLPAGGDGHVLIMNDKNLQFSYKICHYYDPTKIVTCTPGLHSVESDLVTNGIMILQNVADPCRLILGGERKLPIPWAKLQRVFWFADFDSDESMNERVLLQKFFEHMAIKTLSETLTNLQVVLIMNNTKFVHLQAERLARECFYFLRESFMFDEGTLGWFSDAPSYPSGMQVSAPVAYVFNMHPPTGIQFGDYQTELRQALRRA >SECCE6Rv1G0412190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613324984:613327733:1 gene:SECCE6Rv1G0412190 transcript:SECCE6Rv1G0412190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGWKVLSDVKPYLAMVLLQVGFAGMYIIAVASLKAGMSHFVLVVYRNLVATAVMTPFALYFERGLRPKMTITIFIKVMGLAFLEPVMDQNLYFMGAKLTSAGFATALVNILPAVTFVLALILRMEKVRLRSLHSQAKIAGTVLTVAGAVLMVLYHGPIVQFPWTKGQHHATASGQGAGGAAAARDWLNGTILVIIACVAWACFFILQSNTLQSYPAELSLTVLICGIGSLMSGAIALVAERANTQAWVIGFDTRLFTAVYAGIVCSGVAYYVQGIVSRQRGPVFVTAFNPLCMIVTAVMGSVILKEEINLGSVIGAAIIVGGLYFLIWGKSKDEISKAGGSGKGAGELPLTLVTDGHGHGKQQEFGNGNGGHVLDVETRTTNGHYLSRDLPE >SECCE3Rv1G0186160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:681154226:681154993:1 gene:SECCE3Rv1G0186160 transcript:SECCE3Rv1G0186160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDDYDHRRVANLSPSPSDAPAPAQPYRRRLHSFSFPTLSWGTHRLLRCSKDPASAPPPPPPHTPSPDKEKARRSTDGGAGGGSPQRPPQRPWNLRTRRSATAAPGAVGPETAADAAAEHAPARPAETKKRGFSVMLSKEEIAQDFAFFRGTRPPRRPKKRSRPMQRQLDSLCPGLSLVDVTPDSYKIEER >SECCE2Rv1G0124700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833951986:833952612:1 gene:SECCE2Rv1G0124700 transcript:SECCE2Rv1G0124700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNIILDGLFRSGRTVSAKEKFRTMSESGIPVGIDTYNIVLSGLCKNNCTGEAIELFKKLRAMNVKIDVITLNIMISAMFKTRRIEEAKDLFATISAIGLVPSVVTYSLMMINFIKEGLLAEADVMFLAVEKANCAPTSRLLNHVVRVLLEKGAVVKAATYLAKLDAKQMSLDVSTISLNVSLFSGEGKLREHVKLLPVKYQPPEDSG >SECCE1Rv1G0056210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:685497801:685507227:1 gene:SECCE1Rv1G0056210 transcript:SECCE1Rv1G0056210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGEIIASAVGKQVASKLGEVATEEATLQWKFKDDVDVMVDKMQDLEAVLHDADDRLRRRGRDGEAVGRWLTKFKSVAYDVEDVLDDLDATELLKKSQPKLKLFFSWNNQLLQRVTMPHKLKNVRRKIEKIGKEGQALNLMRDQGPAEGSRNIETFAGICDESPESGVVGRDMEKSKIIRLLLDYNAREDISIIPIVGLGGLGKSTLAESVFVDKAVNNFEVRAWVHVSKEFGLRKIGTAIIKSINSSINLDNCSLQFLQENLKNELAARRYLIVLDDLWEEDGDKLERLKQMLQHGRKGSRVIVTTRNQSVVDKLSTGVLAHQRKICSVPNYDQIKLCVLSTDECWEVMKKTAFGPDDDKSDLEEIGREIAEKCWGVPLVAIALGQVMSELRTVEAWQKIRDTNIDLGHRDHKDTLERLMLSYYYMKLDFKMCFTYLAAFPKGFIIRSDRLIQQWKALGYIHGWDDGKRCINYLMGMSFLQIPRSSRIRSSPVHANAPQELTMHDLVHDLATIILGNESLVLNATKLRSKKKAKCHYCRHAQLINYQNQYKVFNYLPANIRSFDVRHSKKQQLPQKAFSRTKYIRVLDLSGCLAVGQSTPSKKLLPSSTIQLKLLRYLDASSLPITSLPESFHTLQNMETLILSNCSLETLPDSICSLNKLGYLDLAGNARFNKLPDNFHLLNKLIFLNMSSCSKLTELPDNFSLECLEHLNLSGCHELKYLPQDFGNLQNLRLLNLSDCYKISVLPESFCQLKHLKDLNLPDCHALIVLPEDFGDLSELESLNLSDCYKISVLPGSLCQLKHLKDLNLSDCRALIVLPECFGDLSELESLNLTSCPRLAQLPDSICKMTNLKCLNLSYCLGMLELPSALGDLNLQILDISAGALRHLPGSISEMTSLTQFVVTSGHPRVYAKAQEIKKHLNLPGRKVHRIDKGRQYSSIVELGDVNCPELLIGSLQHIKRPVHAETIKLRDKSCLRQLALDWSKKKMNADESLAESILERLIPARNLEQFMIQGYPSEGFPNWMSHISSHLPCITYLSLSDLGACDSLPPFGQLPNLRSLYLQKIPNIRRIGRKFYGEGGTCKKLRLLQLQSMDNLVEWRTTLSGKEDGEFLIPNLHNLELKDCPKLKFRPYPPRSMFWCLDNSDEVLAGRGGFGKLSSSILPCRMGIKNCSFRPGKWRRLKHFPTLEEFSLTSYHDLRALPEVISCFTSLRKLSLMSLEDLETLPDWLGYLPSLQVLGIKDCRNLGILPASMGNLTALTVLMLLECKGLYSLPEWLGQLSSLRELRIRDCPNITSLPRSIRNLTALEELYISGCSSLVGRSRGEDAYKVSHIPKVIFEPEEPNEEQGQEESQEAWMDRLSKKNGENPSRLREEREELESQMSDSDSEHLSHYGEEDSENEDEEQEDW >SECCE1Rv1G0009010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:51325484:51327031:-1 gene:SECCE1Rv1G0009010 transcript:SECCE1Rv1G0009010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLAPAPASKNVDGPASLERLRHMHKDVAELLRANTTPRRRRTKKPLPASPEEEGGYARYGCAFEDDGFAPPRMVWAKVKGYPWWPGQVFDPADASALALRERRANGAALVACFADRSFVWAGEPDLRPLRDDFPRLAAVGLRSNCKAEFALALDDALAEVARRVDAGLSCGCPGAAARKQVFPNPGLRKGASGAAVEADFARDALRGEALVGYVGALAVAPGAGADRLDHAIAAAQLAAFDRWRGKTVIVAAGRASRAATPKRTTRASGKDDGVDNGGMVLSATPGARRATTPKRTRPTGQGDGAAMVFSATRASLTTTTPRRTRPRGHDGGDASAGNRVMTRCARAAAAADAAFMRDVFRGDASVEYYASAKHMTPQAGADLAVAMAQPRALAGAATFVPDEHTATTHDTTEAAANANGAMDAAAKMARSAGGEDSGGDPGKWRTTSCISSREENSGCENDVGDDAMGSEYSSSSVAPSHETTVSSSRWKDHVIFLQFMAIVALLCRLMME >SECCE5Rv1G0364930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803558481:803558993:1 gene:SECCE5Rv1G0364930 transcript:SECCE5Rv1G0364930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMKRSREEQPLVSLVLSLSTDSSTTTSDNSTGSPPAAARKRVRRGTVVATSGEGEFVCKTCGRAFATFQALGGHRTSHLRCRHGLELGVGVGVARAISRERQRGEDKQQHDCHICGLGFETGQALGGHMRRHREEEMALDRWLALSDQETGHQAAADRLPVLLELFV >SECCE6Rv1G0388120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:129717393:129724449:-1 gene:SECCE6Rv1G0388120 transcript:SECCE6Rv1G0388120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSCSSPLTSTAALSASQPASPSSKRFLPFPSRLPRPGARLRAGSLREWSEFEDAVESKDLSRALRFLQSVEPASYPEAATNADTQVALPLSPGRDWEVLDTCIDADDMRLVGRAYQFLSDRGVLANFGKCKNIVLEGPREVTPTILKEMTGLEAAKLAPKKWGLSGNSRYVLATFFGGASFLLTQGVDVRPNLAAILALATGDALFLGGTCAAQISCFWPPYKRRVLVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDAKMEKELGEGHLSSTAFDRYCMILFAGIAAEALVYGEAEGGENDENLFRSLCVLLDPPLSVAQMANRARWSVMQSYNLLKWHKKAHRAAVKALESGHGLSVVIRRIEEAIASGR >SECCEUnv1G0537130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67669353:67669577:1 gene:SECCEUnv1G0537130 transcript:SECCEUnv1G0537130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCCGPSDVKVLPKNTSCLSSASSASSSPSKDFGDGGKKKQQQGVKKEKKKSNLDRAAMATPRFPFHYRPGLM >SECCE4Rv1G0214540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:889969:893561:-1 gene:SECCE4Rv1G0214540 transcript:SECCE4Rv1G0214540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSMETSPHLKLVSLALLLASFSMAAPPPSLVEEQAGALLAWKATIQSPPAQLRSWGRGNNTTWPCSWYGIKCSKHQARHQEVVITEISLRGLRLRAELEALNFTALSTLTSIRLPYNQIRGPFPPALASSLPNLRRLMLQENELSSEIPWQIKHLESLVGLNLSNNHLSSHVPTELGSLKRLVRLDFSNNNLTGPIPLSLGNCSKLTGLYLHANQLSGYLPPEVGYLVKLEELVLSKNKLIGSIPSIIGNLTKLTTLYLWDNQLSRCIPPELGYLVNLENLALSENQLVGSIPTAFENFTKLTLLYLWDNQLSEQIPQELGYLVNLEDLELSKNKLIGSIPNTFGNLTKLTTLYLWDNQLSGCIPQELGSLVNLENLELDRNKLTCSIPNTFENLTKLTTLRLWGNQLSEGIPRELGYLVNLEDLELDKNKLMGSIPNTFGNLTKLVALYLDDNQFSGHVPQEIGTLMDLKYLQFSENNLSGPLPPELCAGGMLKKLYAFDNNLDGPLPSSLTNCSSLVRVRLERNQIEGDISEMGVYPNLVYMDMSSNNLFGQLSYNWGRCHNLTMLRISNNNLSGEIPASVGQLSELGLLDLSSNRLEGELPSALGNLKKLFNLSLTDNLLHGSIPQEIRALSNLELLDLSSNNLNGSIKDPIEHCLKLRILKLNHNNYKGSIPAELGLLRSLHDLLDLSDNSFTGVIPSQLSGLTMLDTLNLSHNELNGSIPSSFQNMKSLTSIDVSYNELEGPVPNSKLFQGAPIERFKHNKMLCGVVKGLPPCNSATQSRGKHKRYKILVLAIVPTLISVVLISVILMVWNKRKKTSATNIDKVTQEEVFSIWSFDGANVFKQIVEATNDFSKKHCIGTGGYGSVYKARLGACEVFAVKKIHTIEDESCLNETIFNREIEALVQIHHRNIVKLFGYCSSSQGRFLIYEYMEKGDLAKTLKDNGTAIELDWRRRIHIILDVLNALAYMHHDCLSPIVHRDITSNNILLDLEFRACISDFGTAKILAIHGRNVTRLAGTKGYLAPELAYTENVTEKCDVYSFGVLVLELFMGSHPGDFLSSLSLATKNNVVCLQDLLDPRLVIPDAETAREIYGILSVAIRCLEPSPSHRPTARRASDKLSTIKACEDHVDYLHAGITFPAL >SECCE2Rv1G0106060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:629397002:629398493:-1 gene:SECCE2Rv1G0106060 transcript:SECCE2Rv1G0106060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPFPPLLCTAVSSYRATLLAPRALPCHAAARPMVSTSSSPPCIVPTLRRAGARAPPPSAAKRGEASSSDGEGTRALLQAALWGAEAAYILWLFLLPYAPGDPLWAISQATITDLVGLSLNFFFILPLINSAGVHLLESPVMHPVDEGLFNFVIAWTLLFAPLLFTDARRDRYKGSLDILWGCQMFLTNTFLIPYMAIRLNDADKNQPPPQTTKLSSVIVRDASGLGIAGGLVCILSIVWAFFGRADADFGGVLDRWQYAQDYVLTERLAYAFLWDILLYSIFQPWLIGDNLQNVKPKATEFVNAARFIPVLGIVAYLLCLEERKD >SECCE4Rv1G0280980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:824498415:824499797:1 gene:SECCE4Rv1G0280980 transcript:SECCE4Rv1G0280980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVANSTEHMGAAGTVGIVSRRMVRPSSGGTLPPSEDVHLTPWDLRLLPLYYVQMGIVLPKPPVGGKPLVDALASSLACTLVRYYHFAGRLAVKELGDGTVTISLRCTGEGAELVHAEAPGVAVADVVGSVHTSSPVDCALFSMNGVLNADAALESLPVLSAQVTELADGVFVAVSMNHSVGDGTNFWDLLNAWSEIHRGGDVDKKTPPPEHGRWFVKSSPVPIPLPFSQLQDVLRRVELPPVREAFFTFSAASVKKLKARANEEMAGIATAPISSLQALLAHHWRAVCRARRVPAGQETFYVLVIGCRGRVHGIPEGYVGSALVVGRAVCAAGEVQDKGLGWAAWQLNRTAADFDEQTLMEFLDRWVREPTFPSLGNLAAVGGLEMSNSPRFNVFGNDFGWGRPLGVRSGLGCDDKSDGKVSVFEGPDGGGSMSLEVCLAPDVMVRLLADKDFMDALE >SECCE3Rv1G0161960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:124438708:124440988:1 gene:SECCE3Rv1G0161960 transcript:SECCE3Rv1G0161960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGTTTQWDDIQRKLGNLPEKPAPFKPPPFAPRVDAAEQPRSKEWLDARDPDELEELEDDLDDDRFLEQYRRMRLAELKEAAKTARFGTIQPITGSDFVREVSQAPPDVWVVVFLYKDAIPECGLLETCLEELATKYAETKFVKIISTDCIPNYPDRNVPTILVYNNSAVKGTYVGLQKFGGKKCTPESVALALCHSDPVLNDGQGGGDSSRNNVMEGVRRKFIEKVVSQLETREDEDDSD >SECCE6Rv1G0426450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712778944:712785547:-1 gene:SECCE6Rv1G0426450 transcript:SECCE6Rv1G0426450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLARGPGALERRLLQAAAEGNLQLFKRTASALDGGKGRLREAVEAVTLEGRGSRALHFAARRGRMAVCVYLVEELRVDVDATDETGYTPLVHAIIAGTVDTFQYLLDHGANPDKPDGQGSTPLHLAAARGNCEIVKALLSKGVNVDSLCDTGTPLHTAAFCRQDGVMKILLDHHADCNKIFNTVYSPLIAALNVAGSLNVSPLKCVKLLIKAGADVKGVGTMSPLITAVSNGLTDCYKCLLEAGADPDVRDDFGHLPIEIAAYNNRRKDVEILLPVTSRTPYVQDWSVDGIIRWVKSMPSVENDPMNKMNPADLKLEGSKAYKRKDYATAVKLYSMAADRCPDDTTLYSNRCLCWLKMGEGDQALMDAGVCKIRRPGWAKACYLEGSAQMLLRDYEKACDAYLDGLKLDPANVEIENSLREAVNCLKTSHAPKKL >SECCE2Rv1G0067950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20978801:20979436:-1 gene:SECCE2Rv1G0067950 transcript:SECCE2Rv1G0067950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVERTIHNLIQSGMVMNAARSPYHGFIYVAFQERATSISHGNTARRAKEYGDVALARICGAIAADEKRHELAYTRIVGKLFEIDPDGAVRALAYMMRRRIVMPASLVTDGRDDDLFAHYAAVAQQAGVYTASDYRSILEHLIKQWGVKELAAAELSDEGRRARDYVCALPQKIRRLEEKADEHSRHKAQPTTSIPFSWIFDRPVNNTMG >SECCE3Rv1G0163350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:147194660:147196045:-1 gene:SECCE3Rv1G0163350 transcript:SECCE3Rv1G0163350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALLLVVLVLTARSCGCTAANAGDGGFSVEFIHRDSVKSPFHDPSLTLHDRVLAAVRRSTARPYTGGDPSGGVAEIVSTPYEYLMYVNVGTPPTRMLALVDTGSNIVWFRCRNGTSASGPPPPPAGGSPVSLVFDTSSSSSYGLVACGLPSCHAITDTSCDANSFCQYQSSYGDGSTTSGILSTETFYFDDAPGGCFGCRERPQLRLSRVNFGCATKATGNSFQLAGYVGLGAGNGSLVNQIGALTSLGRRFAYCLAPFYVDVSSIIDFGARATVTEPGAVTTPLIPSVVDAFYTILLTSVKIGGSTIAPPKSFPVIVDSGTALTFLDKALLDPIVEEVTRSIKLPRKQSLLKQLDLCYDMGVGGATQDWEKLFPEMTLEFGGGAAITLQARNAFAEFPVGTVCLAMSPVTDNQPVAIIGNIAQQNFRVGFDLDKRTVTFAAADCERSYPSPPASVSA >SECCE6Rv1G0389510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:154541024:154545512:-1 gene:SECCE6Rv1G0389510 transcript:SECCE6Rv1G0389510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTSESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDNESLFPQKLGTPFPPNFKDVVNTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTNEFGLIDKKELAPLQELIESIIVPY >SECCE6Rv1G0382370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:42033449:42034384:1 gene:SECCE6Rv1G0382370 transcript:SECCE6Rv1G0382370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTPTKAAASPGTGSPAKPSPSPAARRRQALRGGRRPSSTKRRASPIKSLASAPAAVASSFGRSVRFCRRRLIKVFARLAVLGSASKRRAGAAGFQRLRSSSPPPTPLFSPRAQRPGRVHAAALPPPSDPEKKTLFLDLDETLIHSQTDPAPARYDFTVRPVICGQAVTFYVCKRPGVDDFLRAAAEAFEVVIFTAGLEQYASLVLDRLDPTGALIAHRLYRTACRDDGDGRLVKDLSATGRAPDCAIIVDDNPNAYSLQPENAVPVAPFIDDANDQELEKVMRFLDAAAGFDDTREAIRYYKDLATAK >SECCE1Rv1G0009030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:51759983:51761146:-1 gene:SECCE1Rv1G0009030 transcript:SECCE1Rv1G0009030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDAGASPYFLQNQQQQLFHGINGIGADAAFPGDGGLALPDTHRSTLLYNLAVLKDKVQQLEPLVGIAVGRGHVVDPVVPGASAVVQEIIAAATSMLYAFQHLHGLGALTSVNNAATREGEGVADGRAKNGAADDDQQAMVDAMQLWQQQQHHGGSHDIPGNSTEAAAVSSSAQTTTAVDTTIIELDAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSKAALANPATRLFATSDEDAAAGRSRNNKYSCPQDGCRWNRRHAKFQPLKSVICVKNHYKRSHCPKMYVCNRCNRKHFSVLSDLRTHEKHCGDHRWVCSCGTTFSRKDKLAGHVSLFAGHHPVAPLAAGSGRHGKRSSFSTSSSDLVGNCSTNTGFSITPST >SECCE6Rv1G0418450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:663029129:663030295:1 gene:SECCE6Rv1G0418450 transcript:SECCE6Rv1G0418450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFWLYLGLQNRKLIKAKRNFFEHNGGVILQQQMRSNRGTAVGGGGRFKIFSEEELEKATNNFATDQVLGRGGHGIVFKGVLEDETMVAIKKSKMMEEFDIREFVREMLILSQINHRNVVKLLSCRLDVEVPMLVYEYVSNGTLYHFLHGKEPNTDTTLDTRLRIAAESAEALAYMHSSASPPILHGDVKIANILLDNNLIVKVSDFGASKLAPSDEVQIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTGKKAFCFSGPEDRSLVSLLVAATKAGTHEKLLDGQVRKEMGPEALEEVTHIMTRCVSVSGEERPAMKEVAERPEALRRYQRLPWGQAGGSDPEEGQSLFGRDMERGVEYMFGPQDVLDLEVGSTYTLSL >SECCE5Rv1G0354210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722388526:722388983:-1 gene:SECCE5Rv1G0354210 transcript:SECCE5Rv1G0354210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLHTTTATTTLALLLLLVLLAASSLRIAMAGSAFCDSKCGVRCSKAGRHDDCLKYCGICCAECNCVPSGTAGNKDECPCYRDKTTGHGARTRPKCP >SECCE5Rv1G0321930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:412675892:412681446:-1 gene:SECCE5Rv1G0321930 transcript:SECCE5Rv1G0321930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLSTVEETCVRDKAVESLCRIGAQMKENDIVDYFIPVVKRLAAGEWFTARVSSCGLFHIAYPSATDPLKTELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIREAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVVINSSKDRVPNIKFNVAKVLQSLVPILDQSVAEKTVKPCLVELSEDPDVDVRYYANQALQACDQIMMSS >SECCE4Rv1G0277090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:801584156:801585969:-1 gene:SECCE4Rv1G0277090 transcript:SECCE4Rv1G0277090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLFSSLFCDSASSENFSGHPGVERCPFLRNINGATTFSFSSALPVAARGGKGPIFEDGPGFESAFKLFHGQDGIVPLSGRSYVRDENCGESTDVKPEPALPFNPLAARAATISLSAFGPFGFNFFNGKGKKQNKKPNNLDQSHKKPNSPEKNSMKQKGGNPPSHEAMSDEWLENGQCPLARSYRAMSGVLPLVAKALQPPAGMKLKCPPAIVAARAALARTTLVKSLRPQPLPAKMVAIAMLGMAANVPLGVWREHTKKFSPQWFAAVHAAVPFIAMLRKSVNMPRTAMVFTIAASILGQTIGSRAERIRLKTLSARGTAGPATTVTLMYPDKSGSCSDAEGKAWDPLALKMPGSANAGAPAPTPSMCF >SECCEUnv1G0542770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:104194605:104197053:1 gene:SECCEUnv1G0542770 transcript:SECCEUnv1G0542770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTGESSPSSFPWEQGASGVGIGNFLEVGPLDVDLNPRNSTWLHKADVIFVVSPSSKLLLLHIYSSTSPFRCTPTGRPALMAWQWQDNPVGVGYSYAEDAGALVTSDWEAAADATALLKALAAEVPALRDGSPLFLVAESYGGKYAVTLGVSAVRAIRAGDLNLTLASATASSRPRISRLSYAPLLLDVSRLDDNAGDAAKADTVKEQIAAGQFAAAWKSWTDLLGYIDSKSARVDTYNFLLDTRMDPVKATSSSSGSEASNAQTIKYSTYLSRKKAASGPNTISGIMNGVIKEKLKIIPSNLTWQGISELVYNALVNDIMKPRIDEVDELLSYDVNMTVYNGQLDVICSTIGAEAWVQKLKWDGLKNFTSLPRQPLYCGSSNVTQAFVRSYKNLYFYWILRAGHFVPADQPCIALSMISSITQFPAS >SECCE6Rv1G0434980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:769193634:769195181:-1 gene:SECCE6Rv1G0434980 transcript:SECCE6Rv1G0434980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHANLAPAALAFPAHMPNSKLSNIRSRCTRRPPLPPSMAAYRPIRRTLPARITMSTPASGGAEQAGIPNTDRFRVIDFHHVEFWCADAAYTAGRFSFGLGVPLAAESGLSTGNTAHASRLLHSRSGSLALLFSAPYAHHQGDAVTASVPSFDADAARRFTADHGLAVRAVAVRVPDAAEAFHRSVEAGARPSFAPAELGHGFELAEVQLYGDAVLRFVSYPDDTAIPFLPGRFDHIVGNVPRLAPVAAYIAGFTGFHEFSSFTGDQVGTAESSLNVVVLADNSETVLLTVVEPVHGTKRRSQVETYLDHHGGSGVQHLAMASNDVLATLREIRGRSTMGGFEILPPPPASYYDDVRRRAGDVLSESQIQECQELGVRVDRDEHGGVVLQIFTKAAGDRPTLLLEFIQRIGCMEKDENGQEYQRGGCGGFGKGNVSELFKFIEDYEKSLDPPARLAS >SECCE6Rv1G0404260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:513983174:513983752:1 gene:SECCE6Rv1G0404260 transcript:SECCE6Rv1G0404260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG5 [Source:Projected from Arabidopsis thaliana (AT1G12060) UniProtKB/TrEMBL;Acc:A0A178WFD4] MGSYRYSSASQFFFAAGDPGPTARKPAPKTVRIPVTTPLDAAAARIQAAFRSRRVRRHVAAVRAADAEATRLERLLRRQETVDAVRGDDRERARFSEALMAVLLRLDAVPGHDPAVREVRRAVSRRVVGLQEVFDSVLAAPEADTYGVPASLAQVLEGIWGVGQAPAAPPPAAAAEEEEARRSGWGRFFGVL >SECCE4Rv1G0280800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:823472043:823473836:1 gene:SECCE4Rv1G0280800 transcript:SECCE4Rv1G0280800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPRRRPPPAVPISTGLLRQLRIAAGQASPRRLLLQSLALVLTSNLSSSHAAVSSRLLNSLLPHLPTGHLHLLRLLPFDHLTLLLLSSSSGPTRPAVSALHAIAVCSGHLPADLRLANSLLARYLALGSHASARRLFADIPRPDAVTWNTLLRACLRSGLLPSAHQLFDQMPQRDLVSYNSMVAGHAAAGDMAGARQLFDEMPERDVVSWNSMLAGYTRCGDMEEARRMFDTMPERDVVSWNSMLDGYAQAGDVKMARAVFDGMSRRSAVSWNIVLALYARVKDWRECLRLFDAMMAVGITVPNEKTFVSVLTACGSLGDLERGKWVHGLVRQRWERLVPDILLLTALLNMYAKCGVMETAREIFDSMGERSVPSWNSMIIGYGLHGQSEKALELFLEMERSGPRPNETTFVCVLSSCAHGGLVLEGWWCFDRMVRLYGIEPKDEHFGCMMDLLGRAGLLRDSESLVENLQGKASPALWGSMVSASQMQNSSKLGEFVGKKLIEMKPSEVGPYVLLSNIYAAEGRWDDVEKVREMMKQNGAEKDVGLSLVGGSGSEPRLGISVGRRDDGVVLSMLGEMGAHLKLPFEEPKRREVRA >SECCE5Rv1G0303900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:51541603:51542563:-1 gene:SECCE5Rv1G0303900 transcript:SECCE5Rv1G0303900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWTNLMKLQIEYKDEFVKKHGVKLGLVSCFVKVAVSALQNQPIVNAVVDGDDIIYRDYIDVSDDIGTSKGFVVPVIRDAEGMNFADIEKAINNLAKKATEGALSIYEMAGGTFTISNGGVYASLISTPIINPPQSAILGMHSIVQRPVVVDCSILARPMMYLALTCDHRLIDGREAVLFLRRIKDVVEDPRRLLLDI >SECCE2Rv1G0105950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:627349925:627352899:1 gene:SECCE2Rv1G0105950 transcript:SECCE2Rv1G0105950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MSIFRFILPTIERSRGWRCFATGIPSDSIAELNKEMESIFGESPSASPLGSNPPQQAVRPTPAARETQPGLTHVDCSGQAKMVDVSPKHDSERAAIASCRVLLGQKAFNLVASNQIAKGDVLTVAKIAGITGAKQTSNLIPLCHNINLSHVRVDLTLNEEDSSVVIEGEATTCGKTGVEMEAMTAVAIAGLTVYDMCKAASKDICITDICLQHKSGGKSGNWSRN >SECCE5Rv1G0301540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:31883170:31887463:-1 gene:SECCE5Rv1G0301540 transcript:SECCE5Rv1G0301540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRLLMPLLVLAGAAAAVAARPPFACAPGGPATSMPFCRRSLPLRARARDLVARLTRAEKVRLLVNNAAGVPRLGIAGYEWWSEALHGVSNTGPGVRFGGAFPGATAFPQVIGTAASFNASLWELIGRAVSDEGRAMYNGGQAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVSGRYAAAYVRGLQQPNGHAGGGPHTRLKTAACCKHFTAYDLDSWSGTDRFHFNAIVTPQDLEDTFNVPFRSCVVDGRAASVMCSYNQVNGVPTCADHSFLRGTIRAKWHLDGYIVSDCDSVDVFYRDQHYTRTHEDAVAATLRAGLDLDCGPFLAVYTEAAVAQGKVSDADIDAAVTNTAIVQMRLGMYDGDLATQPFGHLGPQHVCTPAHQELALEAARQSAVLLKNDGAVLPLSRAAHRTVAVVGPHSQATVAMIGNYAGVPCRYTTPLQGIGRYVKTTLHQPGCTDVACQGGNQPIAAAVDAARRADATVVVVGLDQKVEAEGLDRTSLLLPGRQAELVSAVAKASKGPVILVLMSGGPVDIAFAQNDRKIGGILWAGYPGQAGGQAVADVIFGHHNPGGKLPVTWYPQDYLHKAPMTNMAMRADPARGYPGRTYRFYTGPTIHPFGHGLSYTKFTHTLAHAPAQLTVQLAGHHAAAASSSLNSTKHLDADVRVSHARCEGLSIPVHVDVKNVGDRDGAHTVLVYASPPAAAHGAASRQLVAFEKVHVPAGGVARVEMGVDVCKELSVADRDGVRRIPVGDHTLTIGELTHLVTLRVELSN >SECCE4Rv1G0223240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:67415575:67416138:-1 gene:SECCE4Rv1G0223240 transcript:SECCE4Rv1G0223240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVRIEESNEMGTKVLPVVDNKGKQPLHPMPDEVVLPPTAEEDPKTPEGGDDEGMEEPPSNKRRNYVHYHQEDGPTHFCKVILAPKLECIPMPLDFTKHFVVVPTEFKLRNNTDCSWKVTVKLMNGRVTLDQGWATYAAVHRIKIDYMVTFKLLTLDTLKVIIFDDDGIEVVNKCGKHDEAFAAKD >SECCE4Rv1G0223750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:73459442:73461223:1 gene:SECCE4Rv1G0223750 transcript:SECCE4Rv1G0223750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHRRRSCLRRVLTIAGGVSAGLLLLAGGGTYAGVPLFSPSLLPLGPGADCSPPFAPPPFALSPLPPYLLSDLEADASPPQPETSLPRRLLPIHRSPPPPPCLPSNSESGADRSPPQHDDADAVLLPDGEILLLADAEPAGAKATCAFQGGASSPASALGSLPGSGRHAYVCLMPEPARSLQPLQAPLLLPASAASASADCPGRRALLDWSDRIAFSSATLDSGDVLVFAKGVNHAAGGVQCIYRHCGHGVVASFPAITSVQQVTRCPAPPMHLNSQNTELRVTVAATGEGPVPSIATYHRPQQSQGGLVVTPAPKNLICACTMVHNVSKFLREWVLYHAAVGVEHFFLYDNGSLDDFADQVAQLRSDGISISTVPWPWIKMQEAGFSHSAATHQSSCKWMAFIDVDEFIFSPNWIGSEKPSKSMLEAILPVDPDVGQVYLWCFDFAPSGQTSHPQEGVIQGYTCRRKIILRHKSLVLLAAVNHSLENAIHHFTLKDGFRSIWNLQARVNHYKYQAWSEFKQKFKRRVSAYVADWKDPINLQSADRAPGLGVDGVEPVDWAQRYCDVKDNLLQRLSARWFGNGLATLGSQDT >SECCE3Rv1G0145140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5786414:5786956:-1 gene:SECCE3Rv1G0145140 transcript:SECCE3Rv1G0145140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRATSVAMVSVILGIMSFGISVADADASFVARTCKKTKNALLCMSVLHIDPKSTYASTELELANIALKIAGDTANHNAKVIDDLAKKKKGTPEGGVLNVCLWAYQFTGNDLEVNVRTLLHGGDYITASNLVLGAKGVGDHCEYAFKGLKKKSPVANIDREMTERCGVAGELIALLIHN >SECCE2Rv1G0136200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910524916:910529229:1 gene:SECCE2Rv1G0136200 transcript:SECCE2Rv1G0136200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAALLRSSLPPRLRPFSSPAAAPSPLTGGGSSAPRAAQGRDFGGAAGSRTQLPESSPESPMLRAATEAALRRVSPGPATSVHAQFRRGPELRAPWLPLYIRLSKLPPAVPLGCVAAELDRWLRERRPLCEHQLLSYVRKLRNFKQHRRALELIDWMEARGADLLPGDHALRLDLLCKVNGLEAAEEYFLSLPDMYKSVKTYSSLLNCYAEHKPEKGLELYEKMRTMNIAPNTLVYKNLMSLYLKAGQPEKVLKTFEEMRGKGIQTDNFTYCILTESHIMVNGLESTKKFLEDLEKSIPVHWSLYTVLADNYIKLGQFDKAELALKKAEEVMDKGEMFAWHNLLSLYASSGNLSEVKRVWASLRLELKICSNRSYLAMLSSLKKLDDFNSMQKVFQEWEFDHQGFDMRIPNMMIQAYLAKDMTDEAEALRQAAMAQGRSDPMTFYIFAESYLEKSRTGAALQVWRDAEKIVNTPNWAPRPELVKRFLKHFEEAKDVDGMESFCACLEKLGCLDADARDALSRTYVAAGRTNPSSIAHHQMEEDIIETRPG >SECCE4Rv1G0277690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:805304051:805305651:1 gene:SECCE4Rv1G0277690 transcript:SECCE4Rv1G0277690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGAARAMPVQELAGALGTPDVPAPYVMRTHKDQQLAAAVVAPVPVIDHGRLLKKDDSADETAKLRSAIESWGLFMVSNHGVDAAVIESMRAASREFFRQPLEEKQRYSNLTCDEQFQSEGYGNDRVSSPDQTRDWTDRLYLKVEPEDERRIALWPAHPENFRDVLHEFTKKCGQLKNDLLRAMAKLVGLDDENYFVDQLGEKALTNARCSYYPECPRPELVFGLKPHSDGTVVTVLMVDDNVGGLQVLIDGVWWDVPIVPHTLLILIGDQIEIMSNGIFKSPVHRVITNAKKERLSVALDYSVDPEREIEPSPQLVNENRPALYRKVKVKDYIATYYNHFFQGEMVIDRIKI >SECCE2Rv1G0067210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18111000:18112025:-1 gene:SECCE2Rv1G0067210 transcript:SECCE2Rv1G0067210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHHQRPKKMVAFEGALTGRRFLGCPVSQDEGVNCGVVEWVDGPWPEILQRCLGRIWEMYHEQNLGRVKDKQAHEKEVGKLKKEIDFLSNNYSQLVEDVSKLFDYQDGKMSHDMDYTSQAINDLNEKKKQLEDHAKIELSMEKLKLAKEQRCILQSQADIIQNMRKAMKEVEGDRDLLKQEKKKLEYLIADLLNAGQASKDKLERIKAIMNE >SECCE3Rv1G0164260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:160922465:160923303:1 gene:SECCE3Rv1G0164260 transcript:SECCE3Rv1G0164260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESHAAANAFNGAPIRRSGEDVIVLSSATARPPRSVETVVLVDVEGEGQGQEQGQEQGQGQHMEMEAPPEKLYFKTRLCDKYEATGRCMYEDSCTFAHGSAELRPPVPPLSGFTVAGGARVVNGGGKVCFNFRDTGTCHFGDKCAFPHAAPSGHAIRLTGDQKVLTEERRSATPPARTSPGPRYAAPGSARAYPYPPVIPPAARDPLAQMTEENGGKKPNRLMLMSQRKLGGIYGDLLPENEEE >SECCE7Rv1G0457880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18918767:18925470:-1 gene:SECCE7Rv1G0457880 transcript:SECCE7Rv1G0457880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDPDHSPSPPPPPPRDWFFPPAPPFLPSSSRPLAPRTPFPSTSRSYKPYSPADRRPLPAPRPRSRSPHPSPDQQSPQPPSAPRLRGWDPRYAGVRRGDAPAASAPAPPAAAAPRAAAEKKPALAPRWSGMLSAAAILLCFASLLHRNFSLHDQVHHLRGQLDAATAKLQSCIAVMDSSLDTSSLFSYQSNDEFVPSRSLKNFSLLLSLSALYAPIVILKYIHLLSRLRRSGGSEVAFNKRLAYRVDIFLSLHPYAKPLVLLVGTMLLIGLGGLALYGVTDDSLSDCLWLSWTFVADAGNHANAVGIGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLILGWSDKLGSLLNQICIANESLGGGTIVVMAERQKEEMEADIAKMEFDMKGTAVICRSGSPLILADLKKVSVSKARAIVILAEEGNADQSDARALRIVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLVGMQFEDVLISFPDAVPCGIKMASYGGKIILNPDDCYVLQEGDEVIVIAEDDDTYTPAPLPKVRRGYPPKDFVGPKSPERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNDVHEIDRERKLIDGGLDFSRLENITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYKEAIGSDGYRRSLSEGSWMGEMQQASDKSVIISEILDPRTKNLLYMSKISDYVLSNELVSMALAMVAEDRQINYVLEELFAEQGNELQIRQSDVYLREDEELNFFEVMLRARQRKEVVIGYRLEDADRAIINPPDKASRRKWSPKDVFVAIAEKE >SECCE4Rv1G0285820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:846597327:846598436:-1 gene:SECCE4Rv1G0285820 transcript:SECCE4Rv1G0285820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELHPDIVDEVLVRLSRDDPAGFIRASAVCKSWLGTLTNPAFLRRYRDMHGTAPLVLGFLHNPVDRRLARFVPTTAFRPPAATDHGTTVVLDCRHGRALFYDYGSTEFVVWDPITGRERRMPDDVLDTCTNHAVLCAAGTGCDHSACNEGPFIIASAGADAWFVNSETGERGGPDGLYLDSKRYRLEEGRAAVLVAGALYFVCENAALLRYDVLGLGTQDLSVIEPPPGKFRGSKIIVRRAENGGLGLATLRHDVLQLWSAETHPGGDVKWAKMNRIQLRKLTPFKRPARLIGYAEDINVVFVRSDDHCIFTIELKSLLMKKLCEMDEVDDVFPYVCFYNTPTGTTSSTSSSLFG >SECCE1Rv1G0001530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:5110862:5113184:-1 gene:SECCE1Rv1G0001530 transcript:SECCE1Rv1G0001530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPVQAAPGSAYNLVETKPPLPAKLGPRGTVSKMASTYDMVEPMKYLYVSVVKARDLPTMDITGALDPYVEVKLGNFKGVTKHLVKNANPVWRQTFAFSFANLQSNQLEVIVKDKDTIRDDFVGRVILEVSEIPECILPDSPLAPQWYNLSDANGERFHHGHSLGEIMVAVWIGTQADEAFPEAYHSGAHPLSAEGLTNTRSKVYYSPKLIYLKVSVIAAKDLIGAENSKDPPVNPTIAKIQMGSQIRRTRPGQPPANPVWNDEFLFVACEPFEDPLVVTVEEKVAAGRDEPIGRIIIPVASNVPRIDLAARDDGTKHREHSKTFASKIHLKMSLETAYHVVDESTHYTSDLQPAAKKLRKSAIGMLEVGILSARGLGGNKSPYCVAKYGAKWVRTRTLLGPAAHAWNEQYIWEVFDLGTVITVAVFNNKNLDGHGVDERIGKVRVRLSALESDRVYTHYYPLMAMGGLKKTGELHLAVRFTCIAWANTLAQYGRPLLPKMHYTNPISVLQLNSLRFLAMQMVVTRLGKAEPPLRREVVEYILDADSHMFSLRRSKANFNRIISLFSGALAAGKWFDNICKWKNPLTTSLVHVLFLILVCYPELILSTVFLYIFLIGVWNYRRRPRNPPHMDTALSHAEQAQPDELDEEFDTFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLAMQGERAQSLLSWRDPRATAMFITLSFIIAIVLYVTPFRVVAVLAGLYLLRHPRLRSKQPSAPFNFYKRLPAKGDMLL >SECCE5Rv1G0371280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846605642:846606208:-1 gene:SECCE5Rv1G0371280 transcript:SECCE5Rv1G0371280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEREEAGVALTGRRARADTRHPVYRGIRFRAGKWVSEIREPGKASRIWLGTYRTPEMAAAAYDVAALALRGAQAAGPALNFPGEALSRPAPVSCAPDDIRAAAATAAAMVAGAGSSPPMEADGGSSGSGSCSAGEQGRVVDEDDVFEMPRLLASMAEGLMMSPPRLGAATAVDDDHDGGMSLWEHS >SECCE5Rv1G0324390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:446622112:446626779:1 gene:SECCE5Rv1G0324390 transcript:SECCE5Rv1G0324390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase type B catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G56740) UniProtKB/TrEMBL;Acc:Q1JPN3] MALKQKGSDAAAADTSKRRRVGFAGIDAGTEANECMQVFIARNPDEVGSADSTPIEPFDLNHFFGEDGKIYGYTNLKINVWISAISFHAYAEISFQETSDGGKGITDLKPVLQNIFGENLVEKDEFLEAFSKECQCISDVVTNGNSIKHDASDEDDLSVEIVRVELQGAAAYLYSRLVSLVLLLVEGSTPIDITEHGWEMLLVVKKAELESSASKFQLLGFAAVHHFYHYPDSSRLRISQILVLPPYQGEGHGRRLLEAINSIAESENMYDVTIEDPSDYLQYVRSSIDCLRLLTFEPIKPALGAMVLSLEQTNLSKRTRSLIMVPPADLAETVRLKLKINKKQFLRCWEILIYLHLDAENVKCINNFRACIYDRTKGELLGGASGTNGKRLVQVPTSFDEDTSFAVFWTKEGGDEDNQTVQQQPEDLATQEEQLNELVDNQMEEIAEVAKNVTSRGKDKLAA >SECCEUnv1G0533340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:28974624:28978995:-1 gene:SECCEUnv1G0533340 transcript:SECCEUnv1G0533340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGLMEQDLTKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDTPACDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHLIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIIKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGLEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVALSRRVEKHWRLIGWGQIQAGATLEVPPCPL >SECCE5Rv1G0355540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:732045104:732046321:-1 gene:SECCE5Rv1G0355540 transcript:SECCE5Rv1G0355540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGAAPGSVPPDADCDATLPVDLLLEIAARSDAVSVVRCAAAAKPLRRAILDRGFRRLLAQRATATSGYDPSLLRGVSYKIEDSDADRPARVVQAVPPDEPSVLRFNESLAFEPVASRDGLVVLRRLRPRPLFGQSIEEGPPGSVLRVCHSFTGAVSVLPSVAIRDYRKHALLSVDDNGRAFELLVADERLRIQIYSSREGRWGPVRAAQPRRQSRPFHDSYPLVIGRTVHWLCNPEPLPPGRHLSGPEPYIVAVDADTMEATVIDLPRGCTSRMTASMSHRGLLLAASVDGRLKVVVSETQVISMWTMLPPVEGEPSSPPRWIRQVLIDKQDWGVHSSVQFEGFGLRSGTVILYVGRVGLIRLNLATKEAVVVYHRSDTAYISQVCLHELDLSSLLQTMKPLC >SECCE3Rv1G0182980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:641172100:641181109:-1 gene:SECCE3Rv1G0182980 transcript:SECCE3Rv1G0182980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLCDPNPDDPLVPEIAHMYKTDRHKYESTARTWTQRYAM >SECCE5Rv1G0373850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861328591:861333787:-1 gene:SECCE5Rv1G0373850 transcript:SECCE5Rv1G0373850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLAFHPRRPWILASLHSGVVQMWDYRMGTLLDRFDEHDGPVRGVHFHKTQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHDEHPWIVSASDDQTIRVWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKSSSPADDIMRLTQMNTDLFGGIDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVLFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWVLAAHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDTVFYVKDRFLRFYEYSTQKEVQVAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELFIVPKDSAGRADYLQDAKKGSGGSAVFIARNRFAVLEKSSNQVLVKSLKNEIVKKSPLPIATDVIYYAGTGNILCRAEDRVAIFDLQQRLVLGELQASAVKYVIWSNDMESVALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYSTLNHIKYCLPNGDSGIIKTLDVPIYITKVAGNTIAFLDRDGKNKIITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKELDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFDRLAFLYLLTGYLDKVGFMSKIAGQNNNLMGQFHNALYLGDAKKRVEILENAGQLPLAYVTAATHGLTEIADRLAGELGEDVPSLPEGKTRSLLIPPAPLTACGDWPLLRVMRGIFEGGLDATGRAEQEEDYDDAGGDWGDEDLEIVDASNVIENGDAGHADESETNEEDGDEEGGWDLEDLELPPEADTPKARGPERSALFVAPTPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKALFLDAHMGSHTFLRAFASAPVIPVAVEKGWSESASPNVRGPPALVFSFAQMDDKLKAAYKATTEGKFPEALRQFLNILYTIPLLVVDSRREVDEVKELIEIVREYVLGLRMEVKRKELKNDATRQQELAAYFTNCKLQKVHMRLVLTSAMGLCFKGGNYATAANFARMLLDNGPNEAQAKKARQVLQACGDRKDAHQLNYDFRNPFVVCGATFVPIYRGQKDVSCPYCASRFVPLVEGQLCSICELSVVGADASGLLCSPTQSR >SECCE7Rv1G0457080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14086470:14087555:1 gene:SECCE7Rv1G0457080 transcript:SECCE7Rv1G0457080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAGPSGMGMNTVTTVMAFSVSAFFVLFVFTRLFCARLHLRAAAAAQASDAASFPAIHVERGIRGMEPAAVTTFPTVKFAAGDDSQRPPAQEESQCTVCLEEYEAKDVVRVLPHCGHSFHAACIDTWLRQHPTCPICRSTTKHRAAAGTMPPLYYAVAMTPPPFQEPTSSSDQGALHQADTATAAAGTEHMDATSTRLEILISDESASSGATCPMATIAPPFQVPASSSDQDALRQENLATASATVAVCTEMSTRLEIVISDEPASSDAPCPSADTAPSASEEHPCAETRRQSSQGNGTEHGQC >SECCEUnv1G0534590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:43428706:43429527:1 gene:SECCEUnv1G0534590 transcript:SECCEUnv1G0534590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSNADHYGQGHGPPSYPQRRPHYGGAPPSYGSSASFRGCCCCIFMLVIFLALLALAVALVVVLAVKPRKPQFDLNQVAVQYLLVAPPSPAASPTVAAAPAAAYLSLNITLLFTAVNPNKVGIRYAATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQSDAQDLIRDAALNDRVEFRITGDVAAKILVLGFSSPKVQVSVDCAIDISPKRQSVTYKQCGVDGLSV >SECCE5Rv1G0307960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:122577756:122580566:1 gene:SECCE5Rv1G0307960 transcript:SECCE5Rv1G0307960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQKNHKNAEMGNDVFRRIILNQVGRDMGLEEENVPCNTPRNSVNSRFGGSSARFAASTSGSTGAESVSPGEYVRDPGSILSLQPWIFKRSSAKNNEEMVVPGGSRAVGRGNNLVDGFRDGSTTEVSTRSPGLGSGPGRGRGALRSRRPQRHFINPLIATENSYIPQLYNDDFEFEECTFAPVPSPASARPFIVTDGRRIISKSRYEPVPVPFDIGFDKEESRNGSEVPESVVGIAPLPELNKSKRDGRVAQGTSSSLPGFQSERYSKPSKSAGLLERMLMFSSGVGIGIISSSLSSKKDLDSLNGTLKRMENLVQDLQDELEMKEGLTVKELPNETSGELDDGNIKAHTPDSMSMSKIEAELEAELARLELNITSNHLDEEPFDLIEIDQEFIGDIVQGELKSDMIRRDIADYSSDSDHGRDSRQSSPDYTHETNYPVSPRDLSLRLHKVIQHRLEDRIKELETALAQSQKQAQLHIRARQRVFSDRTCSNSDSGSSSNQDSPLFIQETSSSAEPFCLNLAGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVNEDYAVDRSLVWGLEDESATELKEDSTWEQAVKSGDPDRAQESDGDESGDEDDHDSEMLIQRIVERTKQGSPVLIHAQRMLFSVDD >SECCE6Rv1G0409230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:584264140:584266959:-1 gene:SECCE6Rv1G0409230 transcript:SECCE6Rv1G0409230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATASPHLHAAARRLSLASPARAARYTRLPSRRPLRYASLRLRASAAAEATPSAKEGAEELGFEEMAARTTRRYYMLGGKGGVGKTSCAASLAVRFANSGHPTLVVSTDPAHSLSDSFAQDLTGGALAPVEGTDSPLFALEINPEKSREEFRTINQKNGGTGVKDFMDGMGLGILAEQLGELKLGELLDTPPPGLDEAIAISKVIQFLEAPEYSMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRNKIASATSAIKSVFGQEVQQQDAANKLEQLRERMVKVRELFRDTESTEFIIVTIPTVMAISESARLHSSLQKESVPVRRLIVNQILPPSSSDCKFCAIKRKDQTRALDMIKSDPELMGLNIMQAPLVDMEIRGVPALKFLGDIVWK >SECCE4Rv1G0216720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12256689:12257741:-1 gene:SECCE4Rv1G0216720 transcript:SECCE4Rv1G0216720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPLLLALLLLCAAGAAAAPLPRFREAPHFTNSASARCPAPLPPASGKDAACSPRAAVHVAMTLDASYLRGTMAAVLSVLRHASCPESVYFHFLTAAGSPTRTAELVGAVRASFPSLAFRAYPFDESRVAGRISASVRGALDRPLNYARSYLATTLPPCVRRVVYLDSDVILTDDVAALAATPLPGNAAVAAPEYCGANFTAYFTPGFWASPALSRTFRGRRACYFNTGVMVLDLPRWRAAGYTAQIEGWMELQRRVRIYDLGSLPPFLLVFAGRIAAVDHRWNQHGLGGDNYRGLCRGLHAGPVSLLHWSGKGKPWDRLDAARPCPLDAVWAKYDLLRPRIGIDAVL >SECCE4Rv1G0265290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725334436:725334846:1 gene:SECCE4Rv1G0265290 transcript:SECCE4Rv1G0265290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE2Rv1G0123250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:822670363:822671623:-1 gene:SECCE2Rv1G0123250 transcript:SECCE2Rv1G0123250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSAALGVVALAAALLALCGSTEAHTQLGAYNKTCPQAEDIVFKEMTAVLAKSPGLAGSLLRLFSVDCLLGGCEGSILLDSTANNTAEKDSPLNKGLRGYDVVDSIKAKLEAACPGVVSCADVLALAARDSVRITKGPYIPIPTGRQDGNRSSAADVAPNTPKPGANITDLIALFARFNLTAKDLAVLSGAHTIGKAHCSAFSSRLYNLTANSNASDPTLDVNYTATLRGQCRPGDLATLVDLDPGSGTTFDLGYYRNVAASRGLLPTDAALLLDAGTRAYVMRQANATAPDEFFADFAASFVNMSKIGVLTHHKGEIRRQCSAVNPPSASSLAPVAMSAHALLLASCFVSIVTLALVL >SECCE4Rv1G0280620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:822553471:822554091:-1 gene:SECCE4Rv1G0280620 transcript:SECCE4Rv1G0280620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARATAAFSFFAVILIFVSMGAHAGGHGDKVKVVDLVVETCKNASSSCRNKNLNVTQEFCVQTLRSDKRSSEAKDIRDLSLVAVDILKIRVAAAGGKVKEALQKAKKGTEEALGLRYCQVDYDAAIGTLGLCDAMLREFHVPTGDADGPWFFELPECVEKATGHVSDCGHDLPMESQTLMNENEELIKLGDLNNVLLGPYDFDG >SECCE5Rv1G0329450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:516108810:516118849:1 gene:SECCE5Rv1G0329450 transcript:SECCE5Rv1G0329450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCDHCQDDCPYIQDPDTGITCCGMCGKVFDEHIFEVGPTFVKNSSGQSEIAGKIIEGVGSGCSISRERTEAKGRDEIWQIVHGLHVSGGDDIICTAHNFYKLALDNDFTKGRRISHVAAACLYIACRRGEKPYLLIDFSDHLQISVYVLGGVFLQLCQVLLLGEHPIVQKLVDPSLFIHRFTERLLGKRDNAVSDTALRIVASMKRDWMQTGRKPSGLCGAALYIAALSHGYDYTKADIAAIVHVCEATLFKRLVEFENTDSGSLTIEDFLAKANEETVPKCSAKYGEVLCEHKGAEHFSHGLCEECYYNFTELSGGLEGGADPPAFQRAEKKRHDAAKRAKDSSVVDATLCELHSSDVEHNITDPGKNCGGKSSTVSYSQTANDFEAPIDPEVEGENGKADSDPETLSDIDDVEVDGYLHNEEETQNKKIIWEEMNKEYLEEQAAKEALAAELAARGVSVGEGRPKKRKRNEDKNSMPTETPAEATCNMLKRKGLGSKINVEAVSGLYKTEDEVGKANGKDDVHFDEEYEQDIGYGETFDASYDYGHDADYNYEGYVDEGGGGGYDDHHDADY >SECCE3Rv1G0160330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:104338427:104338906:1 gene:SECCE3Rv1G0160330 transcript:SECCE3Rv1G0160330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEDSKSARVTDNLNSALTIHQLTEEKNKLDADYDKLVKDVHQLVGMQQDRVVDFSYLQSNLTYQHQCRVELVAGMKAEMAKKDADREKLNQKYELLCNLTSAQATVIQNLKLKNLKEKELLSEARQNLELKNAEFTKFEEKLTQQKLD >SECCE7Rv1G0504520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:724434599:724436200:-1 gene:SECCE7Rv1G0504520 transcript:SECCE7Rv1G0504520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSARRVAFVAAASLAVYWLLKSFLHAPHPALLPAAAALVAVAITVGAGGKRGSAPPGPAAVPVFGNWLQVGNDLNHRFLARLSARYGPVFRLRLGVRNLVVVSDPRLATEVLHTQGVEFGSRPRNVVFDIFTANGADMVFTEYGDHWRRMRRVMTLPFFTARVVQQYRAMWEAEMDDVVSDLRGDSAARGAGVVVRRRLQLMLYNIMYRMMFDARFESVDDPMFVEATKFNSERSRLAQSFDYNYGDFIPILRPFLRGYLNRCRDLQTRRLAFFNANYVEKRRKVMETPGEDKNKLRCAIDHVLAAEKSGEITPENVIYIVENINVAAIETTLWSIEWALAEVVNHPDVQRKVRGEIRDVLGDDEPIAESSISKLPYLQAVIKETLRLHSPIPLLVPHMNLEEASLGGYTIPKGSKVVVNAWWLANNPELWEKPEEFRPERFLGEESNVDATVGGGKVDFRFLPFGVGRRSCPGIILALPILALIVGKLVRSFEMVPPPGVDKLDVSEKGGQFSLHIANHSLITFHPIVSP >SECCE2Rv1G0077180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:97713492:97714993:1 gene:SECCE2Rv1G0077180 transcript:SECCE2Rv1G0077180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPATASRAAAFPTSADVALAGALLCLADSPPAPLLPTVSDELPSYSGSSSSYSVTSARSCVSDAARRSRPIDPLRVLAVIATLRRIDPKVLAKATSKLFQGEPAKRRKGVWIEVINGEEEGGEESERGSVVASEGSTITGAASSRSTATSGRCRRPPLASGGCESLVRRADSIMEWLSRPKAAPATETAIRAAVGDNAVTSKALRWLLKQKRGLRRAGTGGRPDPYVYMIAG >SECCE4Rv1G0272110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:766169430:766169669:1 gene:SECCE4Rv1G0272110 transcript:SECCE4Rv1G0272110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSSPAEIAGFGYATNLSLRSVGGKQVTEKKQLEEKVERTPWPEKKQEARPARFAPEFDGLNCFECIVSS >SECCE6Rv1G0412930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:619009610:619010049:-1 gene:SECCE6Rv1G0412930 transcript:SECCE6Rv1G0412930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASHGILSLALVVLVFLLSDIQNVKALVSEEADVITDYNQYTCTRVISHRGCTYPTCRDRCHAKLSAVGDCLADGRCRCSYYCNTPPSSATTKSSKTLH >SECCE4Rv1G0288390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:860677696:860678253:1 gene:SECCE4Rv1G0288390 transcript:SECCE4Rv1G0288390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGERKKSVTRSVKAGLQFPVGRIGRFLKKGRYAQRVGLGAPVYLAAVLEYLAAEVLELAGNAAKDNKKSRIVPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINPVLLPKKSAAAAEKEATKSPKKKAAAKSPKKKVAATKE >SECCE6Rv1G0402950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:491903174:491903959:1 gene:SECCE6Rv1G0402950 transcript:SECCE6Rv1G0402950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPSATSSPSAAGAAPPQLQVQAAAAPATESSPGAPASPHSPPVHLGDTVGTTTALAATSSGEPSPRSTGKHPFYRGIRCRNGKWVSEIREPRKARRIWLGTYPTAEMAAAAYDVAARALRGSDAVLNFPGAGASRPVPASASPEDIRAAAAAAAAAAQLYRPHGGEAPDGTASTAATAEQQRHQGIITSEGAADDRTPHHEMGNEDFMDEEAIFEMPQMLRNMAAGMMMSPPRLSPTASDEWPDPPGAGESLWSYHDP >SECCE4Rv1G0241140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448309748:448310218:-1 gene:SECCE4Rv1G0241140 transcript:SECCE4Rv1G0241140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLIQQKTETNPLLVLCQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIHWLLEASQKCPGRNMAFKLGSELVDAAKGSGGAIRKKEATHRMAEANRSLAHFR >SECCE3Rv1G0157140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:79958562:79959538:-1 gene:SECCE3Rv1G0157140 transcript:SECCE3Rv1G0157140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGEPSNKKSRLQEEKEEPMAVKEERPERRESQGGDGALAVVEEAMQVPWVAAEVNPLFYLCFACQLPLRPPVHQCEGGHRVCGRCHGDRCCTACDPPAAYSPFPFMDDALGAVRLPCCYKADGCGRKLMYHEAADHALGCAFAPCHCPAHGCSMWASPPALLDHIAAAHSWPVTEVGYGSPFRTAVPAPWRGGGTHLLVERNDRRLFLVTLSEFGDATAVSVVCVREGTAPRFRSTVWAKVASNTEETLFRRQSTVPSSSSGGNLPCGGPPVCLLVPPDFGSDSEDLFLGVRIDKL >SECCEUnv1G0548570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:190503488:190511733:-1 gene:SECCEUnv1G0548570 transcript:SECCEUnv1G0548570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIERIDNATNRQVTFSKRRGGLMKKARELAILCDADLALIVFSSTGRLYEFASSSGMEAILERYQEAKQEHCGVLNPKSEAKLWQREVTTLRQQVQNLQHNNRQLLGEELSGSTVRDLQFLVNQVETSLHSIRKRKEQVMDEEINELNQKGFLIQKENIELGKKLSIAHEQNRELQKKISGSTRSSGQQASGSSSKAVSGLLLATGPCEPRIIIDLELCQQEHEDE >SECCE6Rv1G0429130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:733530587:733531262:1 gene:SECCE6Rv1G0429130 transcript:SECCE6Rv1G0429130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRALPVVTLALRVLALLLLAASVIIIATAELRESIFDEEAVFTFKDLYAYQYVLAVGVIGCAYNLVAALFVAINVAGRRKMVGGGEAGTVLLICADVVCAVLVATGGAVGLGLTVEVHRRLGDILDSGSRTFFNRVDISCGALLLASLCVVLIVMISSFSLTK >SECCE6Rv1G0384130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:60997692:61004415:1 gene:SECCE6Rv1G0384130 transcript:SECCE6Rv1G0384130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPRRGPAYKTKMCALWQNGNCDRELCSFAHGTAELRRPPSSRPTFPPHHAGRRDYRGGDFRGRIERRFSPRRRHSPGRDFRGHRSLHDRRPTSRERESSFSRSPSRKSERRHEKKMDDGETNSSKSSPISDNNERKKEKVTSGDEKEDYEKELKQVRSDMEALRDDKSHLEIVLDEKMDEVRRISSQVSDLDQQLRREKEECHRMTSKMKKFLKAHVRFMKAREELKRSQARFERLGDMLASEILKRGANEEASSVHVDEDLNGPYERSPNATPAKKRSIPYSTSDEAKAAKKRRERDSDAVTRSDKYRSEGDITDYDKTSKGSDGTKSIYLKKRLWEDEKNKLGNAASSADQVKDSPVKHALPSTGMAARALYDLNEAVELDDRNEQIDALLENDADDRTRSPVMPPQPPGGQSAYEQYDDLDEEVYVDV >SECCE2Rv1G0091590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:326169740:326171777:-1 gene:SECCE2Rv1G0091590 transcript:SECCE2Rv1G0091590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPNSPSLFGTPSSTPSFGTPSTTPAFGTPSSTPAFGAPSSTPAFGVPSSTQAFGAPSSTPAFWTPTSSPTFGTPSSTPAFGAPPSSSPSPFGFQPQVTPSPSPFGFGGGSGGQITTQMAPVAPLPLSPSDRDIQAMVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSCRLKGWVL >SECCE3Rv1G0201700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:866824919:866825398:-1 gene:SECCE3Rv1G0201700 transcript:SECCE3Rv1G0201700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYRNLASSPPAPSGGEPEPAPSPVTAKEGKWEGAAVGAAAMVRNLSSASQRFAAVERSKSTSGHRGGGFQAVVRRAFSMRRQPPSSLSEGYWRIHDGLDDCDDDAEEVDEQKKHQAEAAEVPADAAASNDEISKEAKKKKRGHIFKACKKLLGLKHA >SECCE5Rv1G0336300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583642534:583644357:-1 gene:SECCE5Rv1G0336300 transcript:SECCE5Rv1G0336300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTANGTRRASRRQSQDADKVVVNLVSTPQAAGSRRGVSTSNAGARTSPIDVEALEDEVQVVSASQVPPQRRNRRTRRPPVTVVDVDVHAAREGASHDDNATGASHNTRNKRQRVAPVIDISPETGEGSSLQSKYAGKTSKEPVKVAPKEPIFTCPVCWNKLDEPATTMCGHIFCTNCIKQAIQFQKKCPTCRKHLKMNNFHRIYLPNTSR >SECCE4Rv1G0241700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:459268098:459268481:-1 gene:SECCE4Rv1G0241700 transcript:SECCE4Rv1G0241700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAEEQYYGGPRGAPHGLLLAVVVGLVVAGPLFLGDGGEAVTDAIAELLSPVGLLLLPVGLLLLIRLLSSDRGAAALSDVFAFGGSPDAVHRVGGSPIGVALMLLLILALLYYRSALFGGGGDDDE >SECCE1Rv1G0039020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:544822707:544823652:1 gene:SECCE1Rv1G0039020 transcript:SECCE1Rv1G0039020.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSIILSVVVGLFGVGSAVLGFIAEGTKLEPGDIRMSRIECVYPANPAFALGLAAALLLLVAQITVSAAGRCCGCCKPRGAAFSVSRRNVGVVFAVLSWAATVIAEIYFVQGAAWNAPVTREVNLGCYLIKDGVFRRAAILSIVATVLGIKSYFLLRAAAATAAAAAPGYPGAVAGPSSAGEPKPDGIAMGHPAPMYGQAPYAHYPPPPNAQGYGQYPPPANAQGYGQYPPPAQGYGQYPPPAQGQGYGQAV >SECCE5Rv1G0299570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:18997073:18997504:-1 gene:SECCE5Rv1G0299570 transcript:SECCE5Rv1G0299570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEIAFAAISVAVIIAIAYFLHTCSREATPVPMPPPPGAQETAAAGAGDVEMQQAGMDEAAISALPKVVVRGGAGEASASTSCAVCLGDYDRGDVLRVLPDCAHSFHRPCVDQWLRLRPSCPVCRTPPSTPAPAAPSPGQT >SECCE5Rv1G0349150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682158124:682160163:1 gene:SECCE5Rv1G0349150 transcript:SECCE5Rv1G0349150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWQSFDYPTDLLLPTAKFGRNKITGFSHQLISKKSLIDPGVGSYSIELQDTNGFILKRRNNPSMVYWRYASSTISSLNLLPVLKSLLDLDPRTKGLVHDVKYVDNNQEEYYMYTLRGESSSSAFVLLDISGQIKLNLWSQASQSWQTIYAQPDNPCDPPATCGPFTICSSKPHQSCDCMENFSQKSPYDWEFDDRTGGCIRNTPLHCTHERNTTSSTDIFHPTAQVQYPYNSQSVVSATTQRKCEEACLSSCSCTAYSYNNRRCSVWNGELLNVILAENIDNSGEHVLYLRLAAKDFQSSLRKNKRKPNVGVVIAASIIGFGLLMFMLLLLIWRNKFKRCSLLSISYDNQGSVGGIIAFRYTDLVRATKNFSKKLGGGGFGSVYKGLLSDSKTRVAVKRLDVAHQEGEKQFRAEVSSIGQTQHINLVKLIGFCCEGDHRLLVYEHIVNGSLDSHLFKVSNNGDAAALNWNTRYQITLGVARGLSYLHQSCRECIIHCDIKPENILVDGSFLPKVADFGLAACVGRDFSRILTSFRGTMGYLAPEWLSGVAITPKVDVYAFGMVLLEIISGRRNSTHETSYNTSTSSSNQHVEYFPVLAINKLHSGDVKSLVDPRLNGEFSLEEAERVCKVACWCIQDNEFDRPTMGEVVRVLEGLQEIDAPSMPRLLAAITKQSGVAI >SECCE3Rv1G0198180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:828566023:828570108:1 gene:SECCE3Rv1G0198180 transcript:SECCE3Rv1G0198180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HESO1 [Source:Projected from Arabidopsis thaliana (AT2G39740) UniProtKB/Swiss-Prot;Acc:Q5XET5] MIELVPNNDVLEKCIKDILSQIKPAEDDLNKRLSAIKELEVSMQPVAALKGAAAKPFGSFLSNLYSKSGDLDISVQLMNSSNLPINKKKKISILKAVRTALQKGGVYGYMEFIPQARVPVLQYVSNRFGISCDLSVDNYPGRIKSKIFYWISTLDERFGDMVLLIKEWAKAQNINDPKSGTLNSYSLCLLVLFHFQTCEPAILPPLEDIYEGNITEDFADMTLYNEKHLDEVCAANIAKFQSQNKEQRNESSLCHLLATFFHKFCHIDSLSSDVISTYTGQFKKIQDIPIRRKKPYSLFVEDPVERPDNAARAVGERGLLLIASAFSDAKNKLASLEHTDRNDLLAMLSTPGVCSKLGGRVIANNYTNTPQRTRQHVINVGAKVSNNQRRPRAKGFTGSRPVKNPTQANTVQNPTQVNTVQIPTQVNTDTAGRQTPGHHRNQDPPQVYANAAVHQPSRQPGLYTNHYPPSAYTPGRQTTGSYPSQSHPQAHTTWGPTGVPYENHNHQQAYTPVYQAARPYYYPSQQQPHTSGFQTPGSYQYEYQSQSPVHTGGIQRPGPYNYHGQPQGHTTGVHTPGQYQNGYYNQPAYTAGQGSHQNWRGAQYTPGHQTNRYYRNGVTTRYEPVAGGLQNGPARARDSRSQASSGRTEWRGSSQHQT >SECCE6Rv1G0386560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:97192692:97197728:-1 gene:SECCE6Rv1G0386560 transcript:SECCE6Rv1G0386560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os02g0177600)] MGSVPEESAAAAPEVVFRSRLPDIEIPNEQTLQSYCFAKMAEVGSRPCIIDGQTGASYTYAEVESLSRKAAAGLRRMGVGKGDVVMNLLRNCPEFAFSFLGAARLGAATTTANPFYTPHEIHRQADAAGAMLIVTEACAVDKVLEYAAGKGLPVVTVDGKRDGCVEFSELIAGEELPEAEEAGIHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFSKEDVLLCLLPLFHIYSLNSVLLAGLRAGSAMVIMRKFDIGALVELVRAHGITIAPFVPPIVVEIAKSPQVTAADLASIRMVMSGAAPMGKELQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFKVKSGSCGTVVRNAELKIVDPDTGASLGRNQPGEICIRGEQIMKGYLNDPESTKNTIDKDGWLHTGDIGLVDDDDEIFIVDRLKEIIKYKGFQVAPAELEALLITHPEIKDAAVVSLKDDLAGEVPVAFVMRIEGSEITEDEIKKFVAKEVVFYKRIHKVFFTDNIPKNPSGKILRKDLRARLAAGIPS >SECCE1Rv1G0038210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:536402817:536404751:-1 gene:SECCE1Rv1G0038210 transcript:SECCE1Rv1G0038210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRLLRVLPLFLALLLAGSPDGAAAAGGGGNGTCPLDLSYVPTFPWDPAPCAGAAPNMTACCQTLLSVFSIGLAGRLRATGHFRVPSAAASAACLADFAEGVAATPAELPGASLVQSCFPSPDEFTVSPSFCAGVTTAAEYRAIVGNASVAGLDAACGPDLSSNPICDRCQTAGIVATSPLIAASANATTESQLNCFYLTVMYAAGISSVEGPTSPTAAHCILGLGLSTPPSSNSKSNNAVIYATTIPIAFILLLSVLAFFVWRKTRHAKNKKRNHTIPEEGSEDRRPHLRPNTGSILFDIAELSKGTDNFADRNLIGRGGFGVVYRGVLADGSVVAVKKMLNPEMDGGDEEFTNEVEIISHLRHRNLVPLRGCCIVDDDIEDGKQMFLVYDFMPNGSLEEFIFQDKVGSSKRAALTWVQRRNIIMDVAKGLEYLHYGVKPAIYHRDIKATNILLDNEMRARVADFGLARRSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLVLEILSGRHVLDMTASNGPVLITDWAWTLIKAGQSREVLDDALSTCESPRGEAIERFVLVGILCAHVMVALRPTITEAVKMLEGDMDIPEIPDRPLPFGHNFLMFSEAGSNFSASPAISGPLMDNGDMLR >SECCE3Rv1G0158880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:91803234:91804358:1 gene:SECCE3Rv1G0158880 transcript:SECCE3Rv1G0158880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMASPLHGVVDARRWSAERLLGRLVIVVHAAFLEAGFSVPHRHRRDRDLSSTCRLPTEVGATASTLSLEYTAPQLLPRRDMDAAALRVCTDGRHIIFYLQLQVCSVRISTYWVCLDALSVAPLLSGHLDGTARRLLGNDGSPTAALWSTVSDGFSRRIFSDLCREHGVVPGRAPTFMSLPSDAKTAILERLADGKDLLMVESTCTELRRFVSDADRDRELWMPRYKAIRGHWRWWYCSLFDDSDSHSHDDLPETSWKEMFVRARRQWEDRLLVRPTPILIIRRFSILHTWRRFVRSARYSLGERPVDAAACFGEMTTDTEGHGDKSTTGRRHGHGKAPATGGHEKQKQQGRRTRTGTGAIHSPSSRYRWKHR >SECCE6Rv1G0441470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810975329:810976210:1 gene:SECCE6Rv1G0441470 transcript:SECCE6Rv1G0441470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKLVALGFVVLMSIGFTNASRMLASSSSAGGGGGGGGGGGSSSNGTGSGWGHGAGGGGSLGYGSESGGDSNKNYVAKGAGGGGGNGAGGGSQGGAGSGSGSGGGNGVGSSGSASAPSGNGYANAEGQGGGGGGGAGADGSSGSGAGDGAGEGYGESGIVTAPAPSAGGASSSDAGGSGTGGGGGDGGNGGGNGAGGGQAASDDTSGGNASGGGSGNGGGQGGGVAQGPSMGVGSGSGFGGGQTGSSGSFGQGYATGFGGGKGGGVGGSYNGGSGNGGGSGSGGGRGGYH >SECCE7Rv1G0464190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59399485:59400192:1 gene:SECCE7Rv1G0464190 transcript:SECCE7Rv1G0464190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGDELKLLGSWSSPYVTRARLALALKGLSYENVDEDVHNKSSLLLSSNPVHKKIPVLIHNGVPVCESMVIVEYIDEAFAGTGPPILPADPHERAVARFWAAYVDDKLVSQWTNSSRSKSKAGPEAMMEMLVAVETLEGALRECSKGRSFFGGDGVGLVDIALGSMHSWVMAIETMSGAKVFDPARTPLLAAWMERFGALDAARAVLPDVGRVVELAKIRHGQAAAASTSNNK >SECCEUnv1G0568080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:422513689:422514315:-1 gene:SECCEUnv1G0568080 transcript:SECCEUnv1G0568080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAGTDTSSIIVEWAMAEMINNPSIMARAQEEMDRVVGRDRRLEESDIANLPYLQAVCKEAMRLHPSTPLSLPHFSFEECEVDGHHVPANTRLLINIWAIGRDPATWEDPLEFQPERFLSGPAAKIDPMGNNFELIPFGAGRRICAGKLAGMVFVQYFLGTLVHAFEWRLPDGEEKVDMAETFGLALPKAVPLKAVVTPRLMPAAYA >SECCE7Rv1G0505260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:732796800:732797608:1 gene:SECCE7Rv1G0505260 transcript:SECCE7Rv1G0505260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSVQCYSPLLALLLFSLLVLPSQASKLHEEKVPLSFIVPDPSPVLSPLSAPPPVTGANDDDGLRPRLPTERWKRGRGEERRARGGAHAPALAPWSAGPARAPAPSFAPAPDSGSGAPVIESSPAVPVPRGVRDTATILPMPAPGVKRQDVGGAALARPGMAVPLVVGLVMMASLGALC >SECCE7Rv1G0522670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878921249:878923479:1 gene:SECCE7Rv1G0522670 transcript:SECCE7Rv1G0522670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASQVQHGLHELLLLMQARSPSPQAALVVSALLLCPLIVLLIARRLGTPLMATATVRAREEQLNKLPSPPSRLPVIGHLHLLGPLPHISLRDLADEHGRDGLMLLRLGAVPTLIVSSPSAAQAVLRTHDHVFASRAYSPVTDILFYGSTDVAFCPYGEHWRQVKKIATTHLLTNKKVRSYRHARENEVRLVVSKIREAAATGTAMDLSDLLNAFTNDVVCHAVSGKLFRKQGHNKLFRELVEANSSLIGGFNLEDYYPVLVKLEVIKRMVCAKARKVNKMWDDLLNSLIDEHASKPVSEHGREDSDFIDVLLSIQQEYNLTRDHIKAQLEIMFEAGTDTSFIVLEYAMVRLMQNPHLLNKLKTEVRSTISKGKEMITEDDLSSLTYLKAVIKETLRLHMPAPLLVPHLSMADCKINGYTIPSGTRVIVNSWALARDPNSWESAGEFMPERFLECGSAATMDYKGNDFPYLPFGAGRRICPGINFAIVTIEIMLANLMCHFDWKLPSESIEASISMTESFGVTVHRKEKLLLVPVVPED >SECCE6Rv1G0440940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:807980008:807980241:-1 gene:SECCE6Rv1G0440940 transcript:SECCE6Rv1G0440940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYVILHNMILEDERGLNLPCFYNDVGTRVQPERNPSRIHAFLQAHREIEDATTHGRLRDDLVEHHWQLDGRRIGP >SECCE7Rv1G0518440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859118296:859120678:1 gene:SECCE7Rv1G0518440 transcript:SECCE7Rv1G0518440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVNMKTTGTTGSILGHGAADGPSVLLLPFPGAQGHTNPMLQFGRRLAYHRLRPTLVVTRYVLSTTSPPGTPFRVAAISDGFDAGGMASCPDYAEYFPRLEVVGSETLRELLLSEARAGRPVRVLVYDPHLAWALRVARAAGVATAAFFSQPCAVDIVYGELWAGRLALPATDGRALLARGALGVELGPEDMPPFAAVPESQPVLTKTSIGQFDGLEDADDVLVNSFHDIEPKEAEYMELTWGAKMIGPTLPSYYLDDNRLPSNKSYGFNLFSDSAACMDWLDKHISSSVVLVSYGTVSNYDATQLEELGSGLCNSGKPFLWVVRSNEAHKLSEELKEKCKNNGLIVAWCPQLEVLSHKAIGCFVTHCGWNSTLEAIVSGVPLVGIPHWADQPTISKYVDTVWGMGVRARKDDKGCLKRMEVERCIREVMDGDRKDEYKRNAAKWMQKAKDAMQEGGSSDTHIVDFAAKYTST >SECCE2Rv1G0078540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:108632387:108633268:-1 gene:SECCE2Rv1G0078540 transcript:SECCE2Rv1G0078540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVLQLRSSDGKVLVAPAWDYRPAAAQALPLEMPVPSRALERVLQYWTKHSLAKATGESRESLARWDADFQRRLEEEGLAKEAASAAQELRRHGVDHGGRPHRHAATAASDVAAPAKAARADPVRAWCPLVHHLKGVNHGERSHAPAMPGAFHASAARPGTATTLPAAAGADSVDVRCAIRARGRQMAEDEESACHHRKRPASKAAAPVKKVSRPVGSKVIPAVVSRLITQLPVTAVAPVVKKMTPAVSTLRTRRGKGELSCKVPKQIRVTAAAPKKQPIPWLRPVVLRPC >SECCE3Rv1G0160490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:105075085:105078816:-1 gene:SECCE3Rv1G0160490 transcript:SECCE3Rv1G0160490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGSIPSPADAAAPPSAAATPREATLGRHLARRLAEVGARDVFTVPGDFNLTLLDELEAEQPSGGGGVRLVGCCNELNAAYAADGYARARAGGVGACAVTFTVGGLSAINAVAGAFSENLPVICIVGGPNSNDYGSNRILHHTIGIPDFTQELRCFQNVTCYQAVVNNLEDAHEQIDTAISTALKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEAAVETAAAFLNKSVKPVLVGGPKMRVAKACKAFVELADACGYPVAVMPSAKGLVPEHHSRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIGNGPAFGCVLMKDFLHALATRLKKNTAAYDNYSRIFVPQGEPLSSEPGEPLRVNVLFKHIQKMLSGTSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISFIGDGSFQVTAQEVSTMLRWEQNNIIFLINNGGYTIEVEIHDGPYNIIKNWNYTGVVEAFHNGEGKCYTAKVRTEEELKKAIEASLGPNKDSLCFIEVTVHKDDTSKELLEWGSRVSAANSRPPNPQ >SECCE5Rv1G0342750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633699013:633703951:-1 gene:SECCE5Rv1G0342750 transcript:SECCE5Rv1G0342750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSFFSWLVGKYPKIVGTIVDIYPASSSEEDEEEEAKAEEDQEDEDEEEEVEEEEDDEEDEEEEIIYDNLYLDMNEIIHKCFRLNNGLVYNWFFAYLDRVFRMVRPRRLLYLAVDGVAPMSKMTKLRQRYFKTAKYRADSEAEAILLTEIFRAQGKEVMPRDTYELENPVVKMPGTEFMEKISAALEYFIRERLNTDPEWKDIKVILSDANVPGEGEHKIMSFIRAQRSMDNYDPNTTHCLHGHDADLIMLALASHEVHISILREFNNPNGRIPARFYQFVDIWVLREYLELEMKTPGCKQDTERLIDDFIFICFLTGNDFIPRIPSLEINEFAVDLLIEVYKTTFIKMGGYMVNTDKIKDKHGAYLEVSRLEKFFHELSLCEEKILLKRYELQEKLLCKIQSEAAVKEWAKGEDRGEKKTSFAQHFFLPAETFQERNSDDVVRKNTRELWRTVSDILCNKDDLFKNGACKQDKIRPGWKSRFYREKFGAETSKEVGRLQTEMVQKYLEGLCWMLQCYFSEVPSWTWYYPFYYAPFASDFKCLSQFNISFTVDKPLRPFDQLMAVLPPEKHVSLCALPKCYSKLIGCEESTIHMFYPSEFEIDPDGKRFLSQGIAKLPFIDRELMLSATKMVENDLTEDEMARNNARQERIFLRNSQSLANNAAFVPTISDKPQKKLRIDTSEIGGWFSPAEKEVQSSALRKSKVQHSWPWSWIRDPDMTVSAMFFNPEAAKPISRLLDNVIVPDKTITEADIRKRPLWHTYPGPRPRPPHVTHRPETQWRASTPATPREEHKLAGVGWLGRGKGSAVATAEARQIGPSGYGRGSQGVDMAQSRGSRSDGGDEWAGGGGGGGAVKRPETEGRPVGLWARGGGRRGSGPARAR >SECCE7Rv1G0466730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:80845117:80849463:1 gene:SECCE7Rv1G0466730 transcript:SECCE7Rv1G0466730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSILLLAVIALLVAAVVQYLHKNFYRLYYSAYNLPPGNLGVPVIGSTFSLLRACRSNTDDQWFRDRIKKYGPVSTMSLFGSPTVLLAGPAANHFIFGNDGLILTQTGALRALVGRSVLALTGSELKLVRSALQGYLKPEMVRRYVSKIDHEVRSHIELNWVGRDSVMVLPTVRRLTLAIICSVVLGQESATIKGLCTDFVTLGKAILSFPVNIPFTRFKKGMDASAKIRKAITNMAHKREESLLQEANATSDNDFISYMLILRSQGAHSLTLEDIVDNALGLIVGAHETTSALITFMIRYLSSEPDILEKVTREQDEIAQNKNLEDALTWDDVAKMKYMWKVAMETLRTIPPVFGSFRTTTKDIEYEGYHIPKGWKVFAAQSVTHMDSQFFHEPNKFNPSRFEKSAPPYCYMPFGGGPRMCPGNVFARVETMVAMHYLVRQFRWKITCEKETYKRDPKPTPVLGLPIKLKLRPLAKNVQANTMDTGTG >SECCE2Rv1G0106500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:636897291:636897521:1 gene:SECCE2Rv1G0106500 transcript:SECCE2Rv1G0106500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSFFCAIFSFSRRSRRYAYVDDEDSDWEQPPAPGLRKVRSSDEDSGWWVGERDVDKKAADFIASFHQRSLVA >SECCE6Rv1G0434920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:768918559:768919716:-1 gene:SECCE6Rv1G0434920 transcript:SECCE6Rv1G0434920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVKKSQDERAASSFQSVALVVGSTGIVGTSLVDILPRHDTPGGPWKVYAVSRRPLPPWSPPPSPAVTHLRLDLADPAAVAEALTPLTDITHVFYAAWSSHPTEAKNREANSAMLRNVLSVVVPGCPALAHVCLQTGRKHYIGPYEAIGKIPVPDPPYTEDMPRLDYPNFYYDQEDVLFDELSRRDGAVSWSVHRPSVVFGFSPRSAMNVVASLCVYAAICRKEGAVLRWPGTRMSWEGFSDASDADLVAEHEIWAAVDPLAKNEPFNCSNGDLYKWKQLWPMVAERFGVEWAGYEGEDSRFMLADAMAGKEAVWAEILQENELVTTELQEVANWWFLDAVFNVESEHLDSMNKSKEHGFLGFRNTAKSFNAWIDKMKVYKIVP >SECCE1Rv1G0009720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:56625323:56630374:1 gene:SECCE1Rv1G0009720 transcript:SECCE1Rv1G0009720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGAVAPERPKQRRGGHLWKRAVLHFSLCFVMGFFTGFAPSSSSSWRPGGGAGTPPPVLAAEQLAASRVAGNRDQHISLAPPSPEGAAAAGGGGAVVDLDDDEESGPRRMLIVVTTTRSGAGERRRRRPELLRLAHTLRLVRPPVVWVVVEPAADAPATAEVLRGTGVMYRHLAFRPEENFTTAAAEAHAQRNAALAHVEKHRLSGVVHFADAAGVYDTHFFDEIRQIEAFGTWPVATMSAGEKKVVVEGPLCSASKVVGWFSRNFNDGTTRSVTYNTEVDLNPAGAAGTRAHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVQEVVLEDRTKLKGIPSDCSQIMVWQYDVPSSPNTPKTKTKTATPKTHHRRR >SECCE5Rv1G0361330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:778868724:778869272:-1 gene:SECCE5Rv1G0361330 transcript:SECCE5Rv1G0361330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEKTPKGKSGFFGVRAKPSGNFGVEFSDAGRRWSLGTYPTADEAAHAYDMAVWRAGRPKTDLNFPEIETRAVTEWLVPQGIRMEEMPATKKKRPAVVVTPGERNKAAMTRFVQEHPKYVQAEQEFYWKRDAEEKKKKKKKKEEEVKKEDEAGPSTVIPIESSSEEDEGFWGLSDDSEESY >SECCEUnv1G0527340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1086290:1090293:1 gene:SECCEUnv1G0527340 transcript:SECCEUnv1G0527340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGIALTPLLVSPLAPPSPRGSVAFGAAEAARRPRALRRVRCSAAAASGGGGGAGELSRAILLWRAAKLPIYSMAFVPLTVGSAAAYNHAGLFFARRYFGLLAAAVLVITWLNLSNDVYDSDTGADKNKKESVVNIVGSRAVTQYAANLSLLLGFGGLFWAFAEVGDVRFIVLVLCAILCGYVYQCPPFRLSYRGLGEPLCFAAFGPLATSAFYFSNSSRSISSGAVLLPLSKTVIASSILVGLTTTLILFCSHFHQIDGDRAVGKMSPLVRIGTKIGATLVTLGIGMLYTLLVAFGISRCLPPSCIVLSALTLPLGKWVVAYVQRNHDDDSKMFMAKYYCVRLHALFGMALASGLVLARNGILA >SECCE6Rv1G0377460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:1829250:1829477:1 gene:SECCE6Rv1G0377460 transcript:SECCE6Rv1G0377460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMAVGGDLKSSWPEVVGWDGFTAMIKIKADRMDVYIELHTVGDTVAPGEDDHRVRLFGDRVTGLVAQTPVVG >SECCE3Rv1G0153390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:53436700:53437278:-1 gene:SECCE3Rv1G0153390 transcript:SECCE3Rv1G0153390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTSSSMLCGALLLLLVLSSAIDVHGRSSSSAAPRSPLDELCLGLSGWYVTPYMCVSALCVDRSCRSARGLPELAVLATKLTVANATVAKASIESALAHSKDAKARKVMWSCLQLNVGIIPRLQWAARSVAAGRYSGVPEVMEAAYRDVSNGCLDLAAREVVALPKEEEKFFSMAYVVHAVLAEVQRRIG >SECCE1Rv1G0026030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:363947268:363959857:1 gene:SECCE1Rv1G0026030 transcript:SECCE1Rv1G0026030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAAASSSRRRMEAVRRHLLPRPPPVLSLNQLSAPEAGQSPVIIGGMVLDIHAKPSVPPHPGTTVPGMVKYIGGGVARNIAECMAKLGTQPLMISIIGDDIAGNFLLKYWRLAGLCTDGILQVPDVTTPVVSNVFAGNGELFAGVASVQAVETFLTPSWIYQFYRRISTAPLLMLDANLSPESLQAACKIAYESGVPVLFEPVSVVKSSRIAPIAEHITCTSPNEIELIAMANSLSTPGKYNFVKLEQCSNKAEPVDYLFEMLSPAMFFLLEKGIKLLLVTLGSNGVFICCREHVNFMKDQKRCKVTTFSTQLLEKLEGCSLSSMPVNLSREGSSRTSVFHLPATSASVVSLTGAGDCLVGGFLSSLCGGLDIMQSVAVGIAVAKASVESEANIPANFSVASIADEARRTLLSARQIWCQ >SECCE4Rv1G0281400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826346287:826348794:1 gene:SECCE4Rv1G0281400 transcript:SECCE4Rv1G0281400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGRQSSQLAAVENVLLDETADPVDLPFSLLSSITGNFSEAQEIGRVGFGAVYRGMLPSGRTIAVKKLFERFEILDKNFESEIACLVGVKHKNTVRFLGYCSETQHVMRQYEGRLVWADVRQRLLCFEYLPKGCLADYLSDKIRLALYLSLHFYYVVVWTTRYQIIKGICEGVHYLHQQRIIHMDLKPQNVLLDDNMVPRIADFGLSRRLSGSQSRAITDHKLGTMGYMAPEFLISGEITFKTDIYSLGVIVMEILMGHKECCSVKEVVESWTDMFGTSHSHTPLELLKACAEIGIQCTNYYPGNRPTTWFIIRGIQGNAEISNWPVTSDVGASTEGQTSIASELADELKLMDGSAATPSKLPPSTLEVERDDGKLSQERKRKASNVSVATRVMSILHADG >SECCE5Rv1G0367040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819141653:819148231:-1 gene:SECCE5Rv1G0367040 transcript:SECCE5Rv1G0367040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 5 [Source:Projected from Arabidopsis thaliana (AT5G51710) UniProtKB/Swiss-Prot;Acc:Q8VYR9] MAPAAAAGTPRGRRFAVAVFAVAVALALAPAAGRPDKETREKFYGSLVANGTHNATAGDNSIADMFGRVLDKEFSDSDATEVPDKNSFNNSISDHQAVLETVAVITHDKKNDTQQANSSRPFQIGDMFGSQNENSDDTETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATAGGIIFSCLGQPVIVGYLLAGSLIGPGGLNLINEMVQVETFAQFGVVFLLFALGLEFSLPKLKVVGPVAVLGGVLQIALFMFLCGLTAALCGAKLSEGVFVGTFLSMSSTAVVSKFLVEKGSTNALHGQVTIGTLILQDCAVGLLFALIPVLGGSSGIFGGMMSMGKLLLVLSIFVTVAYMMTWSFIPRFLKLMIQLSSQTNELYQLAAVAFCLLLAWCSDYLGLSLELGSFLAGVMISTTDFAHHTLEQVEAIRNLFAALFLASIGMLIHFKFLWNHVDILLAAVILVIIVKSIVITAVIKSFGYSIRTAFIVGLSLAQIGEFAFVLLSRASHHHLIGGKMYLLLLGTTALSLVTTPLIFKLIPVVTQLGILMRWFPSESGVQNEEKATMLDVYNRTL >SECCE4Rv1G0228280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:133467398:133470826:1 gene:SECCE4Rv1G0228280 transcript:SECCE4Rv1G0228280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDATGNAGGGGGANPRPKLPPPVAAAPAPSGRPASVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVAKEDGGEFACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYTERAAAQLIRTIVGVVEACHSLGVMHRDLKPENFLFASTAEDAPLKTTDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRTMLCRDPTKRLSAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLRELFKMIDADNSGTITFDELKDGLKRVGSELTEHEIQALMDAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSHACREFGLDDVHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGATGRRTMRNSLNLNLGDILNPSNS >SECCE4Rv1G0221330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:48309479:48309853:-1 gene:SECCE4Rv1G0221330 transcript:SECCE4Rv1G0221330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVVQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE2Rv1G0125340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:840279082:840279666:1 gene:SECCE2Rv1G0125340 transcript:SECCE2Rv1G0125340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIDSSVAAPRLFRAGVMDWHTLAPKLAPHIVASAHPVEGEGGIGSVRQFNFTSAMPFSLMKERLEFLDVEKCECKSTLVEGGGIGVAIETATSHIKVEPAANDGSIVKVDSTYKLLPGVEVKDEIAKAKDSVTAIFKVAEAYLIATMMLL >SECCE4Rv1G0240310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:447960478:447961968:-1 gene:SECCE4Rv1G0240310 transcript:SECCE4Rv1G0240310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVDEIHKILRERIEQYNRKVGIENIGRVVQVGDGIARIIGLGEIMSGELVDFAEGTRGIALNLETKNFGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVVNDLAKPIDGKGEIIASESRLIESPAPSIISRCSVCEPLQTGLIAIDSIIPIGRGQRELIIGDRQTGKTVIATDTILNQKGQGVICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVVAKMADSPATLQYLAPYTGAALAEYFMYRERHTLIIHDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGHIFLSADLFNAGIRPAINVGISVSIVGSAAQIKAMKPVAGKSKLELAQFAELQAFAQFTSALDKTSQNQLSRCRRLRELLKQSQENPLPVEEQIATIYTGTRGYLDSLEIEQVNKFLDELRKHVKDTKPQFQEIISSSKTFTEQAEILLKESIQE >SECCE7Rv1G0493570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:570669611:570674017:1 gene:SECCE7Rv1G0493570 transcript:SECCE7Rv1G0493570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSVEELASNLSTYKDQLREVRKYIKEKKDDAGISEYVDMEKELQEVITLTEELLATANPSESAQNDVGLSPPNHSAGVHSEALDDLSQSHEKFAVGTKVQAVYSEDGEWYNATIESLTPIGYFVSYEGWGNKEEVDPANVRALDVEAADALGQAEKEAEATKMALKRKVEQAATSDYQIRSLPTKLKIDPNDPEDVKAAKRKKIHAFKSKARFEQLDFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPEDHRGKVGVTGSGKGLTDFQRREKHLHLKDGSSGDTLDYEE >SECCE5Rv1G0315020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:283651756:283656734:1 gene:SECCE5Rv1G0315020 transcript:SECCE5Rv1G0315020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGLLVPIAVSLLLQKRRKVEKKRGVPVDVGGEPGYAIRNHRFERPVETHWEGVNTLAELFEHACKEYVYMPLLGTRKLISREIESSPDGRSFEKLHLGDYEWKCYAEAFKSVCNFSSGLVNLGRQNNERVAIFAETQAEWQIALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVVCGRKEFKKLIDIGWQLDTVKRVIYINEDGISAEVSIAQNSTSWSVKSFEEVGKLGAEAPVDANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPELGRKDIYMAYLPLAHILELAAETLMAAVGASIGYGSALTLTDTSSKIKKGTLGDASALRPTLMTAVPAILDRVRDGVRKKVDAKGGVAKKLFDIGYSRRLAAISGSWLGAWGVEKLLWDRLVFTKVRAILGGNIRFVLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWAEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDGRGLRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALAMSPYVENIMIHADPFHSYCVALVVAAQTELEKWALQQGLAYTDFADLCQKEEAVVEVLGSLTKAAKQARLEKFETPAKVKLIPDPWTPESGLVTAALKLKREVIRKTYEDDLAQLYA >SECCE1Rv1G0054330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:674617028:674618095:1 gene:SECCE1Rv1G0054330 transcript:SECCE1Rv1G0054330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSTSTPGVGWGVAALDHAVTAATPAARKNQRAAAANGDSNSPTASRYHRLDVLGAGTFGVVYRARDRRTGEIVAIKCLRTNGAHGRDVDRYLSDLASEVSALEACSGHPSIVRPRGSGHLGGEAFLAMEFVGPTLRHVMRHVRFGRRHTELEVRLLMRQLCAGVRWMNRLGLMHRDLKPENVLVDGHGNLKICDLGLSCNMADGPPYSNPVGTKGYRAPELLLGCTDYDEHVDSWALGVMMAELLAGKHPFHGRSDTEHLSEILDLLGMADIKEWSGYDGRRLPGGCQPGSFLRNKFPCPAEAKIVKGPPTLSEAGFEVLSGLLRCNPEKRLTAEQALKHRWFKEANPRATRS >SECCE3Rv1G0194790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:785350227:785354483:-1 gene:SECCE3Rv1G0194790 transcript:SECCE3Rv1G0194790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMDGGAAGRRVKVVGKVERLDGQSLTYPEFVDRFMKPNLPVVLTGLTSSWPSCEDWTLAGPDDRRRPNLPFLAQNFSSPCVQVADCSASEYTDHKRLEMSMQEFVDHWVRNSDTVSGSGHCEASSLYLKDWHFVKEYPDYVAYTTPPFFIDDWLNMYLDSHPMHRDSDIANHKNEVNCADYRFVYIGAKGTWTPLHADVFRSYSWSANVCGRKLWLFLAPSQSHLIFDRNLRSSVYDINEDVSEKQFPEFNKAEWIECIQEQNEIIFVPSGWYHQVYNLEDTISINHNWFNAYNLHWVWNLLHEDYKVAKEYIEDIRDICDNFEALCQRNLAANTGMNFYDFFNFITWFALANVIELYHVQEPEDAKVNSPEASHHYVYNLMQIRGVASKMISAEAFSIENLCVISEGNQSAMSDVTKILKDDGFRRLCMTLSEAYECIRRGQRISYKVRHLNQKGCLSVTCSKSDCNVVDRITFFMREIRGPEDLVRLIDTALPER >SECCE1Rv1G0038920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:543941237:543943799:1 gene:SECCE1Rv1G0038920 transcript:SECCE1Rv1G0038920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSWIRLHRATIILLLLALHLSLSLAAQFEGFDSDELPSAAAADVASSDDDDEGLDLDLPPPPPITVSVSAPSPPVTTTTTAANPNLTPNPTVTPPNPTPSLDFWDEDEFEGIPVPEAATSGDSSTPAESAPSDPSAEEAAEAAPATPRGPAELIRAFAVEIACVSFLICFVLNYFTGKRQNEAIALAWATKFATRDSIFDKNFSLLGTGDGKDTPLLLKEGQDVFKFYASGRRFCQGVLATMEMRARHDLLSKLLELVFPRKDTITFEVVMNEEAMDHVMVAVARKKAAKAMQKEERDLQKFASVLASAPAGKKWVADELAVVAESKEVAGDMITEAVLDQVLGEKAFEKMGKWFISLHFSDQLAGSYKKVLSFKFVLPDANNMADMTKLVALVPFYIDLIGRYKLSSHARSKTDAARTKAAQEAFRELQGVRQETLLRKKAEKKKLMEEAEAKLSAEVLRKKEEKERNRQMKKGAPKVKMLRS >SECCEUnv1G0530840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:14203618:14205427:1 gene:SECCEUnv1G0530840 transcript:SECCEUnv1G0530840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSTFAPHPLSLKPQLGPKPHRLHLAPFPRLRTLRRLAAAGEAPVEAPPKPADADPSPAASNGSAAAAPAAPVAKAAPVAAAKAEAVASPKFQDSRWVNGTWDLSRFGNTGGAVDWDAVIDAEARRRKWLEDSPEASSSEDAVVFDTSIIPWWAWIKRFHLPEAEKLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLVRKNEDIDNLKKLIDESTFYDKQWQSTWQDDSPSGPKK >SECCE4Rv1G0270620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:756014466:756015596:1 gene:SECCE4Rv1G0270620 transcript:SECCE4Rv1G0270620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAVATPLLAGLPDEITIWEILVRLPTKSLLRCRAVSPAWRRATSTRDLLLSHHARQPALPLLYSHNDVADGGQSLDVTLFDHRAGVAAAAADQFQRVARLKPAPLEGGIDGSFAPLFYPVASCDGLLLLSIDCDLSICNPATQQYAPLEQLDGFMTVGMYPHPPTGQYRLLLYHETDQLTVYVFSIGSNQPPRHIGRPDPLAGHGLLFRGSLHWHTGDRIMVFDTTTESFRQIRSPVAPEEGYGRLFDMGDMLGIFLLNDEKTTVDIWAMQDYQGEIWAFKCRVELPVAEIRDQCRNYSHYDEVIVFNGDGELLVLVGFEDWLFQVDVDGKLIAGFHARGLPSDNIVHKHTLVQHTFFPTLEGYVMNEPPFI >SECCE1Rv1G0031000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:437615626:437616927:1 gene:SECCE1Rv1G0031000 transcript:SECCE1Rv1G0031000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPDWLKLPSDLLEPIGRRTRDAVMGVATFRSVCRAWRAAVRPAPRLLLPAAQGSAAPRGGSEYALVYPLSRGWSIVVDARDVSCHLKHLPTGATAALPNLNAVRGGGATSEITHLGYEHAPDHEGPNLNAVPDGSATSDVTHVGDEHAPDHEEAPTEIDGGAHEDDWYRMFKIKMTVLDPVTLFFNTYLELSHCFTFAVHVPPGNPAASADGMVVMAYHLLHGHTGMVFCRPGDAAWTKVTNPNSQYVGFADFAYSDGKMLALDNKGVTVVFDAETLEALYQVDLPPATSNFRYKHSDGCAPDEFSCLRLVAVPGKVLLVKICVKSSRPEGFQIFELSSGPEKGDGGPVWRKVASDDIGGDHELFLDSYHATFGDARDGGGTRIYYFHDKYKSGAAYCYNMQDNKLECVYMLSEDNGGIYTTRPSWFVPS >SECCE5Rv1G0309770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:159570502:159574319:1 gene:SECCE5Rv1G0309770 transcript:SECCE5Rv1G0309770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLSLPAGSPVTVTVSPTRGNGAGGGSPGDGVVRRGSGLTSPVPRHSIGSSTTTLQVSPVRRSGGSRYASRDGADASAEFVQYTVHIPPTPDRTTASASTDAPAAEEEGEVLPQRSYVSGTIFTGGLNCATRAHVLSNSADGARPAASVNMSCKMRGCDMPAFLNAGRGGHPPCDCGFMICEECYMDCVAAAGNCPGCKEAYSAGSDTDDSLDEDDDDAISSSEERDQMPMTSMSKRFSMVHSIKMPMPSSNGGGKPADFDHARWLFETKGTYGYGNALWPKNEHSGGSSAGATTGFVGIEEPPNFGARCRRPLTRKTSVSQAILSPYRMLIAIRLVALGFFLAWRIRHPNPDAMWLWALSVTCEVWFAFSWLLDSLPKLCPVNRSCDLDVLADRFELPTARNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLTFEALAETASFARTWVPFCRKHGVEPRCPESYFGQKRDFLKNKVRLDFVRERRKVKREYDEFKVRVNSLTEAIRRRSDAYNAGEELRARRRLQEEAVAAGGALGPAPLAETGAVKATWMSDGSQWPGTWLTGATDHARGDHAGIIQAMLAPPTSEPVLGGEPAESGALIDTTGVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSAALREGMCYMLDRGGDRVCYVQFPQRFEGIDPNDRYANHNLVFFDVAMRAMDGLQGPMYVGTGCIFRRTALYGFSPPRATEHHGWLGRKKIKLFLRNPTMGKKTDRESEHESMLPPIEDDDHNQLGDIESSALMPKRFGSSATFVSSIPVAEYQGRLLQDMPGVHQGRPAGALAVPREPLDAATVGEAISVISCFYEEKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAMFATRRMKLLQRVAYFNVGMYPFTSMFLIVYCVLPAVSLFTGKFIVQHLSATFLVFLLIITITLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGGADDGEEDTFAELYEVRWSFLMVPPVTIMMLNAVALAVGTARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLICMIVSLLWVYISPPDGARPGIGGFSFP >SECCEUnv1G0530850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:14206237:14209896:-1 gene:SECCEUnv1G0530850 transcript:SECCEUnv1G0530850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MTSAHSKLYSDDVSLVVVVVDTNPFFWAGAALPFADFLSHLIHFVNSLLLLSNLNHVVIIAAGVSSCAYVFDSGNAGASGTADVAETLGKASRKVEEFVKQDARETASNGTVADGGAASLFSGALSLALCYIQRIFRSGTRHPQPRILCLQGSPDGPEQYVAVMNSIFSAQRSMVPIDTCIVGTQDSAFLQQASHITGGVYMKPQELSGLFQYLAAVFATDLHSRTFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKYHKKCSTCGSEFSRVSMPDLNSLPDQRQ >SECCE2Rv1G0088330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:242682844:242686943:-1 gene:SECCE2Rv1G0088330 transcript:SECCE2Rv1G0088330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) UniProtKB/TrEMBL;Acc:F4IW45] MNCNSTASHSFKYVTGAQNAWDMLSEEQSQKHITTGSGDLNGILGGGIHCKEVTEIGGVPGIGKTQLGIQLAINVQIPVDYGGLGGKAIYIDTEGSFMVERVYQIAEGCISDIMEYFPCRHDKSSSGQENLQPESFLAGIYYFRICSYTEQIAVINYLEKFLGEHKDVRIVIIDSVTFHFRQDFDDLALRTRVLSGLSLKLMKLSKSYNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWKGNERCAYLDKSPSLPVASTPYAVTSKGVRDAGSSSCKRVRVM >SECCE1Rv1G0035240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:497130194:497132740:-1 gene:SECCE1Rv1G0035240 transcript:SECCE1Rv1G0035240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMSKFFDSVGSFFSGGDNIPWCDRDIIAGCEREVAEAATEEQKNDSIMRLSWALVHSKQTDDVNRGIGMIEASLDKNTSPLQTREKLYLLAVGHYRNGNYVRSRQLADRCLEIQPDWRQASSLKKAIEDKIAKDGVIGIGIATTAVGLIVGGIAAALARKK >SECCE3Rv1G0164040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:156357587:156364511:1 gene:SECCE3Rv1G0164040 transcript:SECCE3Rv1G0164040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPFIYCPLAGDADDASDEALIKAAADGNLGRLKGIVKRLTKGNGDRSAVFSFNNNGLSVLHIAASCGHLEICKYLVEELKGDVNAPGYGAAALGASPFMGSAQSGDVAAVKYFLDRGGDVMKADDKGQTVLHHAVAAGCCKVTEFLLSKGVPVDIDFGRGTPAFLAAVNEQDKTLKILLDHHANPNVIVSGMVNPLCMALVYRSLKCMKLLIKAGADVNCKGCAMTPLLFATAHGGYTNYIQFLLKAGADPNIPDDLGRLPIEIAALRDCKEEVDMLFPLTSPIPNVRNWSIEGVISYAKIEDKKPMEQKHRERRKGFLKLQADTAFKQKEYKVASQFYDLAIDHGESATLYANRSVCKLLMGDGEGALSDALRCRMLRPDWAKACYRQAAAHMLLKEYKQACDAFLDAQKLDPGNAEVECELRKARELMKNPPAEGEQ >SECCE3Rv1G0153230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:52877682:52878251:-1 gene:SECCE3Rv1G0153230 transcript:SECCE3Rv1G0153230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTSSSMLGGALLLVVLTMATDVHGGLSSSAAPRSPLDDLCTNLGSHYVTPDLCVSALCIDPSCRSARSLPELAVLATRLTVTNATVAKASIESALAHTKDAKARTVMRSCMQLYVGAVPRLQWAARSVAAGRYSGVSEVLEAACWHVSAKCISLAGEVGLPKENSEFFTMAYIVQAVVDWVQLIIR >SECCE2Rv1G0103500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:590581191:590585332:1 gene:SECCE2Rv1G0103500 transcript:SECCE2Rv1G0103500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Serine/threonine-protein kinase SAPK7 [Source: Projected from Oryza sativa (Os04g0432000)] MERYELLKDIGAGNFGVARLMRNKETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEVVVTPTHLAIVMEYAAGGELFDRICNAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGGYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCKQLLASIFVANPAKRITMREIRNHPWFLKNLPRELTEAAQAMYYKRDNSAPTYSVQSVEEIMKIVEEAQKPPPSTTPVAGFGWAEEDEQEDGKKPEEEAEEEDEEDEYEKQLNEVRASGEFHIS >SECCE2Rv1G0112220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:707486052:707486974:1 gene:SECCE2Rv1G0112220 transcript:SECCE2Rv1G0112220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSGGHVVGVPVTSKAYGIEEESSTRDGAQSFRKADGDHLAVSLTHPSPYTSFGYKHSSKGQVVHWVSKLSRRAQGFREHVTLGPKISETVKGKLSLGAKILQAGGIERVFRKAFTAEKGEQLVKALQCYLYTTGGPIAGMLFVSTKRIAFRSDRPVTVTSPRGDVGRVSYKVVVPLKRIDKVRPSENADRPEEKYIHVATVDGFEFWFMGFVSYQRSCKYMQQVIGGAAELRQ >SECCE4Rv1G0229790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:153848465:153850048:1 gene:SECCE4Rv1G0229790 transcript:SECCE4Rv1G0229790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSSTAPGSNTPAGAVRRLPPRSAAAGQQLHALLTKHGLLHHPAFLGAVLSRLPASPSSLALLLSAPPGVLSPSLFCPAITAFSSSSVPHLSLVVFNHVSSLSLPTPLPTFPALLKSCARAFKLRSGASASSAAFAFKGLELHCRVLKLGCGADRYVQNALVSMYGKLGRLREARRVFDEMPVRNAVSWNALVGAHDVAGDSHGAERLSQETPDRNISWWNAEIVRNARAGDMEEASRVFSEMPDRDAVSWNSLIGGYVKLRRYKQALKIFQEMQDNGIEPTELTLVSVLGACAEIGEVELGKGIHSYLDSKGIAADGYVGNVLVDMYAKCGRLDLATQVFESMSTRDITCWNAMIVGLSVHGYSHKALKLFDSMKVEPDHVTFLGVLIACSHGGLVDEGRSYFSSMTADYKIVPDVKHYGCMIDMLCRYGKVAEAYQMIDDMPVKANSVLWKMVLAACRVHGLMDLANKAFCKLHELMPADDGDVITISNVYAEARRWDDVEHLRTRIIEHGVWKHAARSQVDVT >SECCE4Rv1G0217120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14358357:14360014:-1 gene:SECCE4Rv1G0217120 transcript:SECCE4Rv1G0217120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACPAATTARVGAAHGGGLWRRSGRGPGFLARPARGTSTAARASELQQAEAPAPAAAVPTHKVTVHDRERGVVHHFLVPQDQYILHTAEAQDITLPFACRHGCCTSCAVRIKSGQIRQPEALGISADLREQGYALLCVGYPSSDLEVETQDEDEVYWLQFGRYFARGPVDRDDYALELAMGDE >SECCE4Rv1G0259300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:684646226:684648318:1 gene:SECCE4Rv1G0259300 transcript:SECCE4Rv1G0259300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVNQGWTSSEVDEARSLIARLNTNKIMYDDNDEKNKKHNYIVNSLHAVFPSKTMKQVIDLYVDIAIEMHSTQSREVAHVTSGSPQNSFTFRDPVNGNYELPGEENGASSTHSVYAMGDHANENFGVRDEEATIMDNNGLSFGCAMEDTGITVTGEEPLMVENNKMEGLENNISIDQPVVAPHQWGFWTAGEHSMGGLVNENFKVQEDEDTAMDDNGFPYCCALEDTTITKTEEAPMMVDKNKMVVLENNTSNDRPVVAPHQRKLWTTEEHKSFLYGLEVYGRGDWKNISKHFVTTKTPVQVSSHAQKFFKRIQKKASSGTKRYSINDVRLDDNELLAANNISAPRQALSFTGLNNDPSFKLQAPTSSCTVMSNLTQCSPSIYNQQVGQQPMWSEQQMMGSVAAVMDGVGNYVPDGQQGSAYFYLGNI >SECCE2Rv1G0073340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:67145907:67147127:-1 gene:SECCE2Rv1G0073340 transcript:SECCE2Rv1G0073340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCLGLLVLVAMASAASAQLSPTFYHGSCPSALSIIQTAVQAAVNSEPRMGASLVRLHFHDCFVDGCDGSVLLADTGSFVGEQGAAPNAGSIRGMNVIDNIKTQVEAACTQTVSCADILAVAARDSVVALGGPTWTVLLGRRDSTTASKTNAENDLPPPTFDLQNLTTSFANKQLSVTDMVALSGAHTIGQSQCRFFRNRIYNEANINTAFATALKANCPQSGGDSSLAPLDTATPNAFDNAYYTNLISQKGLLHSDQVLFNGGGTDNTVLSFASSAATFNSAFATAMVKMGNIAPKTGTQGQIRLVCSKVNS >SECCE2Rv1G0103750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:594890220:594891284:1 gene:SECCE2Rv1G0103750 transcript:SECCE2Rv1G0103750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28605) UniProtKB/Swiss-Prot;Acc:Q8VY52] MPLVGSPRHGPPLKLAGRAHRRPPPRTIAPKCDISSPPPRLTRRAVSAASLLLTALPFPASSPQLPAASASETEAEAGGGEGAAPALELERYTDQDQGFTLLKPASWPKVEKAGATALFQQEGKGSNNIGVVVNPVRLSSLTDFGTPQFVADRLLQAEKKKESTKSAEVISTGERSGRDGLTVYEIEYSLDSTRGGMKRIFSAAFVASRKLYLLNVAYSDTEEKPLDKQTRLVLEEVLHSFDSV >SECCE6Rv1G0432820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:754630376:754631176:-1 gene:SECCE6Rv1G0432820 transcript:SECCE6Rv1G0432820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNAAEVQQTPQAQVGEHSQAAEKSEKKLELKPDEAKKLIEFMEKQYDEHVAKVDSFDDFYHAIYELIQKFCEERGQVQYRIPPKEKLHEVYTKHHKAGSGEVKREEFAKMSGELVKRDSFSFGKATTELLMFLFGAPMCALVAKRVLPGLGWLSDDTVIPLATSGAVAFLVHTKKL >SECCE3Rv1G0201290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:862311048:862311678:1 gene:SECCE3Rv1G0201290 transcript:SECCE3Rv1G0201290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQSGFGWDWINNKLSIDSEDVWLKYVAANKKVGFYKNKVIKNWDAITIIYSKDHANGEGAVTGAETVVEPTTEPNEASPEVPHKKQRTGDAILCLLGDMKGSFNDALKSLEPLPLPQVTPPAEILATLEMIPDLARGDILRSYGKLILSERLYQALLELPMNFRKEWLLMLN >SECCE5Rv1G0351320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:698130112:698132370:1 gene:SECCE5Rv1G0351320 transcript:SECCE5Rv1G0351320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAMRLASCPGASLQAATARPSSLGSPAPRPRPRCRWPRLRPSAAASDQQALLAALREQTDPEAALRMLNSALARDDFAPGRDVYEEIIRKLGTAGAFDLMKVLVREMRQEGHEAGPGVVQSFLEGYARLHMFDDAFDLVLNQLDMFGVQGDTVVVAYNQLLSVLMEGSKIKLLESVYTEMSNRGIKSDLATYNTVINALCRVHQVRTAVLMLEDMSSNGVAPDETTFTTLMQGFVEEGRIEAALRMKARMSEMGCSPTSVTVNVLINGYCKLGRVEDALSYVQQEIADGFEPDQVTFTTFVNGLCQNGHVDHALKVMDLMLQQGSDPDVFTYTTVVNCLCQNGELDEAKAVINQMVDSGCLPDVTTFNTLIVALCSENRLEEALNLARDLTVKGLSPNVYSFNILIDALCKVGDPHLAVRLFEEMKSSGCTPDELTYNILIDNLCSSGKLAKALDLLKEMEVSGCPLSTVTYNTIIHGLCNKLRIEEAEEVFDQMDVTGIERNAITFNTLVDGLCMAERIDDAAELIEQMISEGLQPNNITYNSILTHYCKQGNIGKAADVLQTMTENGFEVDAVTYGTLINGLCKARRTQAALKLLRGMRMKGMRPTPKAFNPVIQSLFRGNNVRDALNLYREMTEVGEPPDALTYKIVFRGLCRGGGPIKEAFDFLVEMADKGFIPEFSSFRMLADGLLNLGMDDYLISAIELIAEKANFRESDVSAIRGYLRIRKFYDALATFGRLLDINNPRWTYR >SECCE2Rv1G0070000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:32733203:32734186:1 gene:SECCE2Rv1G0070000 transcript:SECCE2Rv1G0070000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMPCLAVEHRCTGTNKSTTTVFSVAGKEPIAGGLDSDELTDKAFCPTPQGWLIVRDRLATVDTYLLDHHSHEKINLPPLTIDQDLLINCSCLLSDAPTAPGCVVLIVEPEEPIIRYHRIGQDDGWTEHEYDLGTQGDEIGGFEKIPMCETVACRGKFYFLSSPTELGVLELSPAGPAFSSVAVDGESYNCGETAKAFLVESEEELYMVRLLRSRDFNEANVYKMDFSEQQWRPVHHLGGRAFFVAPFNFGVSCLAGDQYGIQQNCVYSLVKLADKSFNMFNVEDGTVERRDIGEAYVSVAKHGTNPQHPMLGTQHRMLWMVPTNL >SECCE4Rv1G0225730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:99522771:99524247:-1 gene:SECCE4Rv1G0225730 transcript:SECCE4Rv1G0225730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYAGGVNTEENNQTVLSTLPKELPLDFLRTITAQFSDQRILGTGAFGTVYTGVMPDSQRIAVKKLAESAPVARDKAFTNEVQNIMALHHKNVVKLVGYCHEGQKKVVQNNGRYIVADVYESLLCYEYLPMGSLQKNLFDEPINMAWDARFKIIKGICDGLLFLHRIPIIHMDLKPENILLDNNMIPKIADFGLSRLFGQEQTRANTQNVVGSYGYIAPEYLYRGEISAQSDIYSLGLLIIETTTGEKNNPKQNEPSAREFIERVRQNWTEGHIASRYSKLNANGLQEVKVCIEIGLDCVRIDRKSRPSIESIVQRLDGRCASKRANQV >SECCE2Rv1G0064950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5860291:5860761:-1 gene:SECCE2Rv1G0064950 transcript:SECCE2Rv1G0064950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFLSVIFKIIVIALAGSSVLLTPGEGKYVCQGKCDDIPDCDKWCKSPGGYPKGGQCVPPLYQFCCCAE >SECCE5Rv1G0317340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:343011620:343014350:-1 gene:SECCE5Rv1G0317340 transcript:SECCE5Rv1G0317340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEEFYESLFDSAKGDAAAAKTRGQMIERKIEALEDMATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPFGEEAPLSAFCRTSVGEWDAFRSIDMDVEARFMQRMKQSSAKQKNLVNEDESIVLNAWRRIDCQTREAMKRNFLPELLEIYEERIRAFIEDTTDKDVLVLNVQDPFQRLLLHGVCEFYNVTSMTTGTVQDGKPWKTTTIKKRPGTGVAPMITLVSFLRTKKNGSHVVS >SECCE4Rv1G0263910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718414350:718415775:1 gene:SECCE4Rv1G0263910 transcript:SECCE4Rv1G0263910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNVVITRKAVLRPSPDSAWGGAMKVPITVFDRASTDGYIPTVFAWSTPAPTNGTLKDGLLATVARFPHLVGRFAVDDHGRKCLHLNNAGVLVIEATAGADFATALAHDASAHIAELYPKAEKELADEAVFQVQLTRYTCGGLVIGMASHHQVADGQSMSGFSASWATAVRTNSAVLPSPFLDRGATDNPRSPPLPVFDHGSIEFKGEHSSSRSYRVLPLGRIKKLAVHFPGEFVAELKAGVGAPCSTFQCLLAHAWKKVTAARDLAPDDFTQVRVAVNCRGRAKPPVPMDFFGNMVLWAFPRMRVRDLLSSSYPAVVGVIRDAVACVDDEYIQSFVDFGEAQRDVKLASTAATLGMAFCPDLEVDSWLGFGFHNLDFGGGPPCAFLPPDLRIDGVMILVPSCAAMGGAHLFLALDNEHVEAFKHICYSIE >SECCE2Rv1G0090710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:287416541:287437284:-1 gene:SECCE2Rv1G0090710 transcript:SECCE2Rv1G0090710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] flavoprotein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G08530) UniProtKB/TrEMBL;Acc:A0A178UJ45] MALRWALLRSAEISPGRKAALEYLHSLSKAQPTRPISCAGLHPAGRPFSTQAATTSSTPQPPLPPPHPEKTHFGDLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVIKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARQEAYASGLLGKNACGSGYDFDIHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLYCISGHVNKPCTVEEEMSIPLKELIEKHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVMVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRDRADSELLMAASA >SECCE3Rv1G0151830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:41926414:41926761:1 gene:SECCE3Rv1G0151830 transcript:SECCE3Rv1G0151830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVLMEYRPHMQIKRSCDEIAYRGMGYAETVGGSGSPVHVDSEDSSAPKCKCISLNSDVFDVKQEIFVPSKLSSFERRFLRKRFRAELGSVMYLLKRPEFLSIMPVSRAPSDG >SECCE5Rv1G0354240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722588650:722590164:-1 gene:SECCE5Rv1G0354240 transcript:SECCE5Rv1G0354240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASMLTTVFSPLALLRPSASFARLRSRAAPAAAVVVRAAAKSTTAAAAPKKKRAAPTGITMPRPVSPALQAFMGAAEVPRTEAMKRIWAYIKQNNLQDPDDKKVIVCDEKLKALFAGRERVGFLEIAKLLSPHFVKTP >SECCEUnv1G0564580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:384923221:384924375:1 gene:SECCEUnv1G0564580 transcript:SECCEUnv1G0564580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAPKSQGSRRPWQDLPSEILGLVLQRVPSHADRVSLHGVCRPWRTGVRLLAPLPALLPWLALRDGSFLSLPDGEVHRRVLLRDDNVAHRVSTGSTLFLVHSDDGCSLMNPLSRQITVPQSINLKCLSTRPGVLVDTDNIRKVVVMSDQAVAIRTRSRPNVTISIHRPQSTNVEWRWRPPQDTYYSVCDIPVFQDKLYVLTAMFGTVYPLCLYAMEIVSNNHVSVQRMLTMPKDDVDDRNVASLHHYLVASADRLLMVKQKAELFTMLPGPVIMPTLFEVFEAADLGSGGGCWRNVNTLMGRALFLSEGCSESLPAGDNPNVGARQDCIYFLNERNHFDARTRALCSHVYDMRDGTVSPLPFQTLVAHEGPLTGTWFFPADT >SECCE6Rv1G0436780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778985766:778987430:1 gene:SECCE6Rv1G0436780 transcript:SECCE6Rv1G0436780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPALCATSAAARLRLLLPSAPSALFIRTAAASAALPPARQRLLFSTTVPAGMAAAAGAKASTPPPAGGGVSERIMPHLLNIYGSCATAQDFEMYAPNATFEDPLMRAHGVKQIKSAFYTMPKVFGESKITEYTIKENATGPGKSQILIDNKQHYKVFGKDVDLESLITLDVEDGKVVRHQDWWDKKPLKNSETVSFPLLGRLAQTSRRGAMLLTHVLMGFGKDPTP >SECCE1Rv1G0019600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:239273840:239291953:-1 gene:SECCE1Rv1G0019600 transcript:SECCE1Rv1G0019600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKVGVGLVCLLFLLIGKVSAVAQTEIGHVRVAQEAPDVKLEDAGTNDASKGGIVSVYVVAWSTLAMAAATGLGAVPFFFLELETQWAGLCNGLAAGVMLAASFDLVQEGQMYGSGSWVVFGILSGGIFIWLCKKILEQHGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFVGSKGLSQGLLVTIAIAVHNIPEGLAVSMVLSSRGVSPQKAMLWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEATPSQVASAGTLAVAFMETLSTVLQGFTDGHGLEDTSGFLVSLVFGLGPLFGGIILVAFSLAFNMPHPLLTGVASGIAFRLASWRPLQLVMSLKMGLFTTLFLLLGGSIFYHLVEASILMVAKHKKSSVNIITSSSGLSLSVLTQQSLLACGCVFLHAYAEGLALGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCVYGATDSWRGALAAAALTGLAGPSAAISAILAKIGYDGLDYWMVIACGALIPSFGRVFRRSLRLDVRKSVCGLLIGFGFAWVCLMSTRFICLHTPYCNSAPEAVT >SECCE6Rv1G0448930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:859109410:859111373:1 gene:SECCE6Rv1G0448930 transcript:SECCE6Rv1G0448930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLTPRQPAKAYGGDGGAYYEWSPAELPMLGVASIGAAKLSLAAGGMSLPSYSDSAKVAYVLQGKGTCGIVLPEATKEKVLAVKEGDALALPFGVVTWWHNTPDSATELVVLFLGDTSKGHRPGQFTNFQLTGASGIFTGFSTEFVGRAWDLKQDDAAKLVSSQPASGIVKLSAGQKLPQPVAEDRKDMALNCLEAKLDVDIPNGGRVVVLNTANLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGPDGKRVLETRIEGGSLFIVPRFHVVSKIADASGMEWFSIITTPNPIFSHLAGKTSVWKAISPEVLEASFNTTPEMEKLFRSKRLDSEIFFAPN >SECCE5Rv1G0351120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:696614843:696623246:1 gene:SECCE5Rv1G0351120 transcript:SECCE5Rv1G0351120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGGGDEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRTEPAGKDHSVQKMVLGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHADIGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSVFKEGHLLSGSDDAQICLWDITANGKNKTLDAYQIFKFHDGVVEDVAWHLRHEYLFGSVGDDHHLLIWDMRSPAPTKPVQSVVAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVLASVAEDNILQIWQMAENIYHDEDDLPSDEPAKAS >SECCE1Rv1G0045810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:611979520:611981819:1 gene:SECCE1Rv1G0045810 transcript:SECCE1Rv1G0045810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRAALLGAVVLLCALRHGGAQRYEAIYSFGDSISDTGNLCVGGCPSWLTTGQSPYGETFFKRPTGRCSDGRVIVDFLAEHFGLPLLPASKAGGDFKKGANMAIIGATTMDFGFFQSIGLSDKIWNNGPLDTQIQWFRKLLPSACGKDCKRHLSKSLFVVGEFGGNDYNAALFSGRTMADVRGYVPRVVSHIIRGLENMIRLGAMDVVVPGVLPIGCFPIYLTLYGTSNAGDYDGDGCLKSYNELSAHHNSLLRRSLGNLQRTYPHTRIMYADFYAQVIQMIRAPQNFGLKYGLKVCCGAGGQGKYNYNNKARCGMAGASACSDPQNYLIWDGIHLTEAAYRSIANGWLKGPYCSPRILH >SECCEUnv1G0535580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:59139709:59142088:-1 gene:SECCEUnv1G0535580 transcript:SECCEUnv1G0535580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTGGVEKILRIYDMNRPDAAPRELDRTPGSVRTVAWLHSDQSILSSCSDMGGVRLWDVRSGKIVQTLETKAPVTSAEVSQDGRFITTADGSSVKFWDANHFGLVKSYDMPCTVESASLEPKSGSKFITGGEDMWVHVYDFFTGEEIACNKGSEDGTIRIWPLNPANADDTEAPSANGKPKAAAVAAVANEVARKIEGFHITKEGQAEA >SECCE4Rv1G0218440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:22348909:22350271:1 gene:SECCE4Rv1G0218440 transcript:SECCE4Rv1G0218440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERHEQWMAKYNRVYKDGAEKEQRFEVFKANVAFIESFNAGNHKFWLGVNQFTDFTNDEFRATKTNKGLKRNGARAPTGFKYNNISTDALPTAVDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKLSTGKLVSLSEQELIDCDVHGVDQGCEGGEMDDAFKFIIKNGGLTTEAIYPYTAQDGQCKTKSISSGCVATIKGYEDVPANDESSLMKAVANQPISVDVDGGGVIFQHYSSGVMTGSCGTDLDHGIVAIGYGITSDGTKFWLLKNSWGTTWGESGYLRMEKDISDKSGMCGLAMQPSYPTK >SECCE3Rv1G0164290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:161364232:161365072:1 gene:SECCE3Rv1G0164290 transcript:SECCE3Rv1G0164290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKVFGHPMLTNVARVLLFLEEVGAEYELVPVDFRAGEHKKAQHVQLNPFAKMPGFQDGDLVLFESRAIAKYILRKYGGTAGLDLLGENSGIEELAMVDMWTEVEAQQYYPAIAPVTVVDESLERLRGVLGIYEARLEKSRYLAGDSISFADLNHIPFTFYFMTTPYASVFDEYPKVKAWWEMLMARPAVQSVCKNMPTEFKKGA >SECCE2Rv1G0081490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:140723402:140725954:-1 gene:SECCE2Rv1G0081490 transcript:SECCE2Rv1G0081490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAEGMDRLDMDGDFVGGRFGRDGEFYYQSRRERAPQTRDDALYGVFSVGDSDYDSEEDEASRRRRRRKRRMDDSEPDLTRPVQFVSKGISAPKPEEEEEQQRPGLGQAASSSGTAAAAASEEDAEEDQEPYIDLPTGFGQRIAEGARARREEKERQQESAKRRRGALGAGFEPGKPAPPPGSLESNTKVAKMMAMMGYKRGEGLGKNAQGITAPVETTLRPKNAGLGSVEGFKEPKAFTPKENLPPPPPPPPAKKEKQRWSKKASAKKDQVLTKNELLARRAEQEQDEQPTFVQKVIDMRGPQARVLTDLKGLSTEHEMEANDVPMPELQYNVRLLVDETEADIVRLDGQLRREQEKVASLVREKEKVAKQEALQKHQLQVMETIADVLEKVRVDDTAGLLTLGGLLKTFQELKVRYEEEFKMCSVAWVACRFAHPLLIRVFQGWQPLQNPLFGLEVMSSWKDLLQGDQPYDFSDATESMAPYAQLVSEVILPAVRISGTNSWKARDPEPMLRFLESWERLLPPIVLHSILEHVIMPKLTAAVESWDPRSESVPIHVWVHPWLPTLGQRIETLCHSIRYKLSSVLQLWQAHDSSAYAVLSPWKGVFDPASWEDLIVRYIIPKLKMALQEFQINPASQKFDQFNWVMIWASAVPVHHMVHMLEVDFFSKWQLVLYHWLSSPNPDFNEIMNWYKGWRGLFPPELLANERIRMLLTGGLEMMNQAAEGHELAQPGARENVGFLRATEKRQFDAAHQASQYPSYHAVPGAAMGDMSFKESIQAYAADQGLLFMPRVNKFYNGMPVYEFGTVSICIDSVKRLLYAQLQEGTERWSSVSLTQLLEMNRMARPR >SECCE5Rv1G0299280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:15715816:15716337:1 gene:SECCE5Rv1G0299280 transcript:SECCE5Rv1G0299280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGEKDRLAGVPEEDEMAAAATTAMPARHKDESTSPLPHAKNKKGSSSSSAGSQEEKKKGSSRRGKEKRFPKAGSQEEEKKKRMPVEMVNGILSWEKPPRFRMFKSAGFFNKLRDELFEYQQQVKEEVEEKGYAELPADYVEYTKEADIKAYRKAYLKVFGTEPPPELCSL >SECCE7Rv1G0466860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82081719:82083375:1 gene:SECCE7Rv1G0466860 transcript:SECCE7Rv1G0466860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKQNMHENGTIMIQFGQQVPNCESSASDSPQEVSGMSEGSFNEQNDQSGNRDGYTKSDEGKMMSALSLGNSETAYTPPKPDRTHPFAISYPYADPYYSGAVAAYGAHAIMHPQMVGMVPSSRVPLPIEPAAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKSRKPYLHESRHQHAMKRARGTGGRFLNAKEKSEASGGGAASARSGHAGVPADGGMFSKHDHTLPSGDFHYRARGGA >SECCE2Rv1G0071080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:40249409:40258858:1 gene:SECCE2Rv1G0071080 transcript:SECCE2Rv1G0071080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MGVEDYHVVELVGEGSFGKVYKGRRKYSRQTVAMKFILKHGKSDKDIHNLRQEIEILRKLKHENIIEMIDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGKGSIVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSANFKSFLKGLLNKLPQSRLSWPALLEHPFVKDDSMDLVADTQSTPFEVKRSEATRKADEIQTSRNQPSAAELPSRNAANNTEHDHDKQKGSKKVGPTSTTDDHHGSSPGAISDAPSECTALDKLEKASQTVNGASSIIGDNAVLSTILSPIKNWLRNPPSSPRELNIDGANQSLRIVKNLIEAGSYHSCAAIDDIICLFLEFTSFIIRMKLSGAYSLVVKCLAIARKLLDTSEGAVLNSYGRHWSSLCDLYSQILVSTVDPSGRISRESTACLALMLSRVISVLKASISSESPNPVEESLINIIDHARKSQLLELLCECLIASGSDIISGSTNMVPAACEACKAIWYLAHAVDIVSLGAHNFSFPLASSWRQGHPKLDGKMQEQDSLPDSNSSSLINIFVKSFLASRPMQIAVYHCLHNGLESAIHASLQLIARACLLNPSFCAIMCGPMNSSSDANEIEYGGDGTIVSDMFSLLSLCGSYLNKESKQNSNQKCKLSNPHALVVHCCLALATIAACLKSEGKSSASIILTSSHKKQRSRLSVLAHLSSADDTVKSCLQPHCASATLALSTLISLENGGQTRSSLCETALALFPRMATLHTLLKLWLSDGSEELCRYNAGLLNLFGLRDGSIGLLETRLKWGGPLAVEQACSVGIPQLLIRLLTDGFSREPSDGKEVSTHRSGLSPLGVAWTLSALSQCLPGGVFREILYKREHLKLLTDMLSDMHLKALAAWTGLGGGKKGVRELINSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNIGSPGGRIGTENKEMLKTIEHNMPQYFQVLLEVGVPGCILRCLDYLNMEDISRPLAIVAKMVGYRPLALQLLREGLLNPSRVAKLLKGPLAKETLLDFLMIVSDLARMSKDFYEPINKAGMVEYLKNFLSNEDPDIRAKACSAIGNMCRHSPYFYGPFAANKVIELVVERCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTKLLLAPEEDKTKGNAAGALSNLVRNSDILCGDIVSQGAIQALLKLVSSYSAVALSPSRKDALTESPLRIVLFALRKMCDHTVCRLFLRSSELLLMIVHLRQSPDQTISEYASAIASKANQA >SECCEUnv1G0559690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:340349051:340349383:1 gene:SECCEUnv1G0559690 transcript:SECCEUnv1G0559690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDPEGYETELRQLQRHFESSVFLFRQQAALSSSSSGGGGGETAKELGDLALFLAHVAPFYPNDLADLPDHIGGLLDTNARALPSGLRVHLVQALILLVNRKVNSCSIL >SECCE4Rv1G0253220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:624203831:624217409:1 gene:SECCE4Rv1G0253220 transcript:SECCE4Rv1G0253220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAPHEEFEANDGEASKYAEHVPKLAEFLCSFHSDDLCRILIHPESTLHFPLVIDFAELLDFDPGLAKILYNDPKDFLPDFDKAAQRAQSEVWRIIRKGEALGNPDESRPAAVQKKFVHVRVNVCGSPLEFPESSPSIGKVRVKHRGILLTLKGTVIRSGGVKMIEGKREYQCRKCNYRFPCCPELEAGNRITLPPSCPSMSSKGCQSSSFQLIGDSITCHDYQEIKIQESVQLLDVGSIPRSMPVILMDDLVDLVKAGDDVIVTGILSAKWSSDVKDVRCNLDPMFIANYVRRTNELKSGIDIPEEIIKDFELFWAENRATPLEGRNKILKGICPQIFGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISVAKAGLVTTLSTRTTVFGATNPKGQYDSNESLSVNTTLSGPLLSRFDIVLVLLDKKNPELDGIISSHILAQSTKAEENKASDAAVKSTQPLGLKEWTLPCLRRYIHYVKQRFKPVLTKEAESVISGYYQLQRRDGTHNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAIAAILCIESSMTISAIIDGAGNALNSNFKENPDQEYIKEEEKIRDKLGDYLY >SECCE6Rv1G0399310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:435672210:435672737:-1 gene:SECCE6Rv1G0399310 transcript:SECCE6Rv1G0399310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTRSVATERAYHHFAPASTRGLTGYPATASGPVTSDDFDESDVWGSFNPAEEAEQPARSGAELPRVRAVPAARPSRKTKPVGGGGGGAAHGSLPVAIPDWAKILGDEYQGHQAGDWELDDADDEDVEGAPVVPPHELAWRRRAASLSVNDGMGVGRMLKVRDAVWKKTGFQA >SECCE2Rv1G0123220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:822621405:822623042:1 gene:SECCE2Rv1G0123220 transcript:SECCE2Rv1G0123220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPISLVGPTPADHESSSQLEILLREVGLYETSDELAAREDVLRDLRAIVDRWVKRLTAQQGFPDGMVEQATALLIPFGSYRLGVHGRGSDIDALVVGPSYISRDHDFFAVLGGVLAETEAVTELQPVPRAHVPVIKMRFRGVQVDLLYASVCLPVVPRDLDLRDRSVFRGMDLASARSLNGVRVADELLRLVPDAGAFRTTLRCIKHWAKARGVYSNVMGFLGGVGWAILVARVCQLYPNAAPSMLVPRFFKIFAQWKWPNPVLLRDIEHDDGGELVLRLPVWDPRRNPRDKSHIMPVITPAYPCMNSCYNVSHATLRTITEQLQIGNGVCQEILKAGGAGGWDALFQPFQFFKAYKSYLQVDVKVAGGENDLREWKGWVESRLRQLVTRVEMATAGMLLCHPNPKAYAAKPHDLHCTSTFFVGLSKPQPEQQQQPQVPFDLRATTDGFKQEVYTYEFWRPGMELEVSHTRRKDLPSYVLDQILPAGHLKRKRAAESGSSPPLSSASGEVKRVAAAGGTGSAPERKRQCCPSNILPSASVLGVV >SECCE3Rv1G0191430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:748292773:748293860:-1 gene:SECCE3Rv1G0191430 transcript:SECCE3Rv1G0191430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGMVVVGCCLLVALALACGVASAQTSGTGQPIKLPPKATLQTITIPKNSSKRLYAVTCPERRRIPCVVSCPSRCPNKCLAYCKYCMSFCVCDLIPGTSCGDPRFTGGDGNTFYFHGKKDQDFCILSDEALHINAHFIGNHNPAIKRSFTWIQAIGVSFGQHRLYVGARKAAVWDEEEDHVHIVLDGEAVDVDTVKNTRWVSKALPALSVTRTDTVNAVTVELDGVFSISANAVPITEEDSQIHSYGKTGSDSLVHLDLGFKFHSLTKSVDGVLGQTYQPEYVNKVDISAKMPIMGGAPKYLSSSLFSTDCAVSKFRSNNAAGPVVTFAS >SECCE1Rv1G0001810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6344666:6344881:1 gene:SECCE1Rv1G0001810 transcript:SECCE1Rv1G0001810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFRGLAPKTKNVVVAGGLTGFVFGVYYYTMWAVGSTDELQVTIDKFEDLKKKEEAASAAAASASTPGSS >SECCE2Rv1G0126330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:845772747:845773223:1 gene:SECCE2Rv1G0126330 transcript:SECCE2Rv1G0126330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINIPDGKFCLGDAGYACRSGVLPSFRKTRYHLNEFCGRNYPRTARELFNLGHSILRVTVERAFGALKNRFKILNQKPFHPYTQVKLVLTCCILHNWILQWGFDEHVPEEEEVEPDHVVSSGHGVEAFDNDAWKNKRLRWAEAMWLNRGQCRI >SECCE5Rv1G0301280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29857210:29858313:1 gene:SECCE5Rv1G0301280 transcript:SECCE5Rv1G0301280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRKLTSAIVAQDETRTHVIKIDGYSRTKELLKSGECTTSIPFMVGDHTWVVRYYPNGTTNGPGYISVYLILDSDDAKDVKAKFTFSLLDKGGEPVPSYIVTDAVEQIFESKGAGWGFHDFIKQEDLERSVHLRGDSFRIRCDVTVVKKIRSEETHANQFVVVPPSDLHRQLGGLLKSKDGADVAFQVGGEIFSAHRSVLAARSPVFKAELYGAMREKADEPIVVDDVQADVFKSLLHFIYTDSLPESTDNEGATQDDVVTASHLLVAADRYGIERLKLICEDKLCNNIDCNMVATSLALADQHSCDGLKEACFEFLASPSNLEAVIASEGYQHLKSSCPSVLKELVARLLPVELTAARDIIRSM >SECCE1Rv1G0005790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28278237:28279636:-1 gene:SECCE1Rv1G0005790 transcript:SECCE1Rv1G0005790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTSPAAAGDLSIRVLTRRLVKASETSIQPRVASFSNLDLYPGEEQASIVCIYPKLPNAGDFQAVVTTFESVLPSVLNVFYHYAGRIRTNPSSGLPELLCANQGAELVVGEVDATMASLDYGLAEQSLKKMMLPYAEDVTLSVQLLSFACGGFSVVWGNNNIVNDGHAITIFIDDGAPNHDRSVLRPRRPPSYKASTGDKLATYDHHRMVNALTAQDSFVERLYYVEASDIATLREAASSKLQRSSRVQAVSAYLWKALAGVVAASRVREERCCMGWMVDTRRRVKSPELAAAMRNYFGNAALYALADATVAAMVRETITSIDYDEYAQDLVDWVEEHKTERMIEKGILGLGTPTLNQTVFASFPLDTDFGFGDALLAMPMWDFERLSSGYLSVGARPGGDGSWLVNAYIWPCLAAALESDQQRIFKPLTADYLGLT >SECCE7Rv1G0478720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:240603077:240604457:-1 gene:SECCE7Rv1G0478720 transcript:SECCE7Rv1G0478720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPARPTKEVLPPALGAVSEPPPLFDGTTRLYICYICPFAQRAWVTRNCKGLQEEIKLVAINLEDKPAWYKEKVYPQGTVPSLEHDGKVTGESLDLIKYIDTNFQGPALLPQDPAKRQFADELIAYADAFTKALYSPLISQVAISDEAVAALDKIEAALSKFSDGPFFLGQFSLADIAYVTILERVQIYYSHLRNYEIAKDRPNLERYTEEMNKIEAYKQTKNVPLTLLEAAKRHLKIA >SECCE1Rv1G0062200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718628923:718631422:1 gene:SECCE1Rv1G0062200 transcript:SECCE1Rv1G0062200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAARIIPSAPHPHLHRLRGRLLLPNPHARRGGAGQRVVVVVRAVSGDGGPSYLDMWKKAADRERRSAELAYRLQASPPPEAPPQAEVDVERRTARFEEMLRVPREERDRVQRTQVIDRAAAALAAARAVLKDPPPSSPSPQAQTGVTGSGQDFGSLTAAKGADDQGSGQGSAPAASQPAKVTNSGDSSYPSKLGTPGPDFWSWLPPPVDSTSVPRESDTAVLKPAKKVDSFSSQPEMLMEKERSADFLSLPFVTSFFEKKEDRSLPPLQSFAEPQNVGSEAKPVAVADAEEAFETEFSNNAAEAARALSTSDDMSSSHGMDPDGSKWWKETGVEQRPDGVVCKWTVIRGVSADGSVEFEDKYWEASDRFDHKELGSEKSGRDARGNVWREYWKESMWQDLTSGLMHMEKTADKWGKNGKGEQWQEKWWEQYDSSGKAEKSADKWCSLDPNTPLDVGHAHVWHERWGETYDGSGGSVKYTEKWAERSEGDGWSKWGDKWDEHFDPNGHGVKQGETWWEGKYGDRWNRTWGEGHNGSGWVHKYGRSSGGEHWDTHEPQETWYERYPHFGFHHCFENSVQLLSVPRQQPPKNFKPAGKRVDAS >SECCE4Rv1G0250840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:596706476:596708601:1 gene:SECCE4Rv1G0250840 transcript:SECCE4Rv1G0250840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADGAADRRQVIAGHGSVDDDGKPKRTGTVWTASAHIITGVIGSGVLSLPWSMAQLGWVAGSVTLFLFAVVTYYTSALLADCYRSDDAVAGKRNYTYMEAVESYLGSRQVWFCGLCQYVNLVGTAIGYTITASISAAALYKADCFHKNGHSADCGVYTTTYMAVFGISQVVFSQLPNLHEIAWLSIVAAVMSFSYAAIGVGLALAQTISGPTGKTTMGGTEIGIDVTNSAQKIWLTLQALGNIAFAYSYSMVLIEIQDTVKAPPAENKTMRKANLLGVATTTAFYILCGCLGYAAFGNAAPGNMLTGFGFYEPFWLIDFANICIVVHLIGAYQLYCQPIYAAVESWAAARWPGSDFVVRQYHPFAGGNFSVSMFKLVWRTAFVAVSTVLAILMPFFNAILGLLGALAFWPLTVYFPVEMYKRQSKVERFSKKWVVLQSLSFTCFAVTVAVTVASVQGITQSLNNYVPFKTKL >SECCE5Rv1G0338260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597080122:597081989:1 gene:SECCE5Rv1G0338260 transcript:SECCE5Rv1G0338260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLASPVLPFPDPAPPLDNDDLLREILLLLPPQPSSLLRASVVCKRWHRLVSDPGFLRRFRAHHRKPPLLGLFSVDTDGFCPFIPTLDPLDRIPAARFSLPRCCRHQSSHFVECRHGLALFLDRIYPEAVVWNPISGHQRRVTFPPEFGNARDRDILCSAVLCAAGEDDNGHVHGDCDLNSFKLALLRRGEQDRTIVLACLYESKSGEWGNVISTSTTTTTLFVWRKPSVLVGDALCWLLGGGGIKGDILELDFEMQKLVVIDKPGDSSLADCVRSCLQIIQTEESNLGLIIHTGPSLRLWEHKVNYDDVATWILHKTIDLIEHLSIVELKWSLILLYDEDHNVIFLWAPYADSSFMIQLDSIQFGNCYRTNFEATISQKSKFCYTTCVPYTSFYTADWATGGRVDGPEMLSSTRPDCPNR >SECCE2Rv1G0081570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:141845449:141846762:1 gene:SECCE2Rv1G0081570 transcript:SECCE2Rv1G0081570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLQALSLLLLASLASSAAPSPSGYRSTLTHIDSKLGFTKAELMRRAVHRSRLRAATMLPGYSTSSSKANIGPRLRSGQAEYLMELAIGTPPVPFVALADTGSDLTWTQCQPCKLCFPQDTPVYDPTTSSSFSPVPCSSATCLPTWSRNCTPTALCRYRYGYTDGAYSAGGMGTETLTFGSSAPGEAPAVSAGGIAFGCGTDNGGDSYNSTGTVGLGRGSLSLVAQLGVGKFSYCLTDFFNTSLGSPVLFGFLAELVASGGAAVQSTPLVQSPQGLSWYYVSLEGISLGDALLPIPNQAFALNADGTGGMIVDSGTIFTVLVESAFRVVANHVAEVLGQPAINATSLDNPRFPAPAGERQLPAMPDMVLHFAGGADMTLHRDNYMSFDEEDSSFCLNIAGATSTSILGNFQQQNIQMLFDITVGQMSFVPTDCSKL >SECCE2Rv1G0079310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:117648987:117650322:1 gene:SECCE2Rv1G0079310 transcript:SECCE2Rv1G0079310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAAVPLQFVVAAFLALSVAMIASTSPAPANRSSCYKRMFSFGDSLIDTGNFVVHYSNASGPVLALPYGETFFGRPTGRWSDGRLVVDFIVERMGFPYWPAYLQAAAGKSPAEEFRYGTNFAVAAATALSQDFFIKKKLSIDQFPPIPPYSLDVQIGLFKKLLAMLGSTKQERKEVMESSLFLVGEIGANDYNHPFFQNRTLGFVRPLVPLVIRSIALSLEALIELGAKTMYVPGIFPLGCTPQYLALFPGDDRDPATGCLRWLNDLVLVHNRMLKAKLEELRRDHPGVSITYVNTYDDVLTFIAKPAENGFAVETALKACYPVLETGPAAVPCTDPSTYVSFDGLHMTEAAYKIMARGVLDGPFAAPPIMSTCNHTC >SECCE7Rv1G0504340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722364377:722366274:1 gene:SECCE7Rv1G0504340 transcript:SECCE7Rv1G0504340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGAGKAAAVCVTGAGGFIASWLVRRLLSRGDYAVHGTVRDPSDPKNDHLRALDGAGERLRLFKADVLDYSSVASAVAGCAGVFHIASPCPAAKSTNPEVELLAPAVAGTLNVLRACREAGVRRVVVVSSVGAVFINPKLPEGPVLDEHCWSNEEYCRTTENWYCLSKTLAEREALSYAEKTGLSVVTVCPSLVFGPLLQPTVNASSLFLIKHLKCEGADAMEDKVRNMVDVRDVADALVLAYESPEAAGRYICSAHARKVSETVSIVRSMYPNLNYPKKYVQVGDQKVLSSEKLRRLGCKFRTLEEMLKDSVESYMAAGILN >SECCE7Rv1G0458130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:20098193:20098426:-1 gene:SECCE7Rv1G0458130 transcript:SECCE7Rv1G0458130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERLLNLPCFYDNVGTLVQPERNPSRIHAFLQAHREIEDATTHGRLRDDLVEHHWQLDGRRIGP >SECCE2Rv1G0123810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828010240:828011392:-1 gene:SECCE2Rv1G0123810 transcript:SECCE2Rv1G0123810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCCHDAGVKKGPWTEEEDKTLVEHIQKRGGNVGSWRGLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFTDDEERLIITLHASLGNRWATIAMHLEGRTDNEIKNYWNTHIRKKLLRMGVDPVTHQQLPPDHHLDSASAALLPEALLWAAAAASLGGLDTGALRQAQLLQHLLQTIGSNNNATNLIANLAATNSVLNSSSSIVPNLLLQDKFNTLSGMNYLQPGYLCNTSNFAEQDVVQQQQQLINDMSPGTSSFAAAEPADQLCNTAAFAACDVAPAIDMLPVQEFASLIEPMEQLPNLCSLESDSFWKELLEDGYRL >SECCE3Rv1G0209320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:937969079:937969558:-1 gene:SECCE3Rv1G0209320 transcript:SECCE3Rv1G0209320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINILDDKFYLGDAGYACRLGILPPFRKTRYHLNEFSGRNYPRTAHELFNLRHSSLRVTVESAFGALKNRFKILDQKPFHPYSTQVKIVLACCILHNWILQWGFDEHVPEEEDVEPDYVVSSGHGVEAFDNDAWKNKRLEWAEAMWLNRGQCRI >SECCE5Rv1G0334250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565165447:565165917:-1 gene:SECCE5Rv1G0334250 transcript:SECCE5Rv1G0334250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKRTAKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGIKTRSNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGSGGAIRKKEATHRMAEANRALAHFR >SECCE7Rv1G0465810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:74078196:74081309:-1 gene:SECCE7Rv1G0465810 transcript:SECCE7Rv1G0465810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATGLSSAARFFFSLSFSLSLLCCAVVSNAAGGSGGEAAALLAIRASLVDPLGELRGWGSAPHCGWKGVSCDARGAVTGLNLAGMNLSGTIPDDVLGLTALTSIVLQSNAFVGDLPVALVSIPTLREFDVSDNGFTGRFPAGLGACASLAIFNASGNNFVGPLPADIGNATELETLDVRGGFFSGTIPTSYGKLQKLKFLGLSGNNLNGALPVELFELTALEQIIIGYNEFTGPIPAAIGKLKKLQYLDMAIGGLEGPIPPELGRLQELDTVFLYKNNIGGKIPKELGNLSSLVMLDLSDNALTGAIPPELAQLTNLQLLNLMCNRLKGGVPAGVGELPKLEVLELWNNSLTGPLPPSLGAAQPLQWLDVSTNALSGPVPVGLCDSGNLTKLILFNNVFTGPIPASLTKCSSLVRVRAHNNRLNGTVPAGLGRLPHLQRLELAGNELSGEIPDDLALSTSLSFIDLSHNQLRSALPSNILSIPTLQTFAAADNELIGGVPDELGDCRSLSALDLSSNRLSGAIPTSLASCQRLVSLSLRSNRFTGQIPGAVAMMPTLSILDLSNNFLSGEIPSNFGSSPALEMLSVAYNNLTGPVPATGLLRTINPDDLAGNPGLCGGVLPPCSANALRASSSEASGLRRSHVKHIAAGWAIGISIALLACGAVFLGKLLYQRWYVHGCCDDNVDEDGSGSWPWRLTAFQRLSFTSAEVLACIKEDNIVGMGGMGVVYRAEMPRHHAVVAVKKLWRAAGCPDQEGTVDVEATAGGEFAAEVKLLGRLRHRNVVRMLGYVSNDVDTMVLYEYMVNGSLWEALHGRGRGKQLVDWVSRYNVAAGVAAGLAYLHHDCRPAVIHRDVKSSNVLLDPNMEAKIADFGLARVMARPNETVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPIEPEYGESNIDIVGWIRERLRTNTGVEELLDAGVGGRVDHVREEMLLVLRIAVLCTAKSPKDRPTMRDVVTMLAEAKPRRKSSSATVVATVVDKDKPVFSTSPDSGYL >SECCE2Rv1G0080610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:131399812:131401687:-1 gene:SECCE2Rv1G0080610 transcript:SECCE2Rv1G0080610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTALSHHFIPLPSAARPAAAASFACGPLRAVSALLAPRRRLLLPVAVAVSSEFGTEDAEEEQSEGEGGGDSEAEYSEDLKVFVGNLPFTVDSAQLAGLFEQAGSVEMVEVVYDRMTGRSRGFGFVTMGSAEEVAAAVEQFNGYTFQGRPLRVNSGPPPPRDEFAPRTPRTMGGGGGGGSFDSANKLYVGNLSWGVDNSTLENLFSEQGKVLDAKVIYDRDSGRSRGFGFVTYGSADEVNNAISNLDGVDLDGRQIRVTVAESKPREQRRF >SECCE7Rv1G0455920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8659369:8663967:-1 gene:SECCE7Rv1G0455920 transcript:SECCE7Rv1G0455920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A' [Source:Projected from Arabidopsis thaliana (AT1G09760) UniProtKB/Swiss-Prot;Acc:P43333] MVRLNADLIWKSPHFFNAIKERELDLRGNKIAIIENIGATEDQFDTIDLSDNEIVKLENFPFMNRLGTLLINNNRITRINPNLGEFLPKMHTLVLTNNRLTSLAEIDPLASLPKLQFLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERADAAQKFHSKEAEEAAKKVAAKAYTPVVMDAQGTTKDQGPKVVAPTPAQITAIKAAIINSQTLDEVTRLEKALSTGQVPAEFALPKPDTNMAEASEEAEAEKMETDGQDQEEGGADEQKQADESTPIQED >SECCE1Rv1G0062720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:720993859:720994419:1 gene:SECCE1Rv1G0062720 transcript:SECCE1Rv1G0062720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAMVMMDQNFLTALVSSLLMLILGPLIIDVILVSKKIWSFLHTLTKYLAHDDTLVIDFMVLDDSPASPEKVAYGGGLTSGDIEIVTARLGLTGWRYKGCEGISVVDELMDGKQGSEGELEEAFCVFDRDEDGFICAGELWNVMRRLGWKEGAMYEDCVRMIRPFDEDGDGKISFLEFRRMMENAV >SECCE6Rv1G0433520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:760113857:760115386:1 gene:SECCE6Rv1G0433520 transcript:SECCE6Rv1G0433520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSFLELWLALVCLVLLCYFHIRSSRKNPLIPTDWPLLGMLPALLINLPRLHEWVTSLLSVSQLNFRLTGPPTSGRNLFFTSDPANVRHVFTSNFPNYPKGPELAEIMDILGGGIFNVDGDSWHRQRAKAQLLMSGPRFRAFVSRCSRRKVERDLLPLLAHVATAGTGECDLKDVFLRLTFDTTATLVFGVDPGCLTLTFPEVRFARAIDDAMDVILVRSVLPLSWWKLVRWLGVGYERKMAVARRDIDLFIGDAIAQRRDAVKAGRVIEDDDLADLLSSYIDDDDASTTADVFLHDTTMNLMFAGRDAIGSALSWFFYLLTMNPRVESKILEELDTIKASTLDSMVTYDPDELGRLVYLHAALCESLRLYPPVPFEHKAVVAAEPLPSGHEVRPGDKIVVSLYAMGRMEAVWGEDCREFRPERWIGEDGKLRYVPSYKFASFNTGPWTCLGKDMAFMQLKVVAAAVVRNFEVEAVPWHVVEPNIYIILHMKSGFMARIRRRQVINS >SECCE7Rv1G0465580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:71541761:71542189:1 gene:SECCE7Rv1G0465580 transcript:SECCE7Rv1G0465580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHLLLIVFMASILQAATSDTAYDVLAQNNFPRALLPLGVKSYVNHGGALQVSLPNSCDFNVTVAGGQHKIRFDSLVSGVIQPGSITQLGGVRIQLEWDFPAFHTVERVGDKLRFTGGDHAALFDQSFPVSNFVQSPRCN >SECCE5Rv1G0318470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:361268565:361272107:1 gene:SECCE5Rv1G0318470 transcript:SECCE5Rv1G0318470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLFLFLRLVTSAGAAESFPATAIFVLGDSTASCAATTLSLNLTSPSSFSSPCLFHSGRRRLLPDILAAKMELPPSPLISTLNGSAAAAAMGVNFGGEEGESAGAGVFRMGAVGQQLRLAAETLQLLRLEAATPGEASAAVAGAVFVVSFGADAYARLLARGSEADASAPKHGRRGFARLLAGRVARAVQELYEADVRRVVVLGVPPLGCAPRVMWEGLHLVDGRGCVEEANELVQGYNARVEAQLDALRPELPGADIVFCDVYKGVMEMITNPAAYGFEEARDACCGLGPFGGTIGCLTREMACPTPQGHVWWDLYSVTETVNTLLADWAWSVPPSTDSNTSVCRPITLQQLAGRADAPPPPVMV >SECCE7Rv1G0471500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:131121469:131121822:-1 gene:SECCE7Rv1G0471500 transcript:SECCE7Rv1G0471500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLLLIATIGVVSVIATPAVALDGKWQPIKNVTEPHVQDLGTWAVVKLDSVFNGRRRYKQVVRGEMQIMTEGVTYRLDVQVSQMSGKDAMYKAEILEKDTPFSTFRKLVSFAKPN >SECCE3Rv1G0209180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936956898:936959665:-1 gene:SECCE3Rv1G0209180 transcript:SECCE3Rv1G0209180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTRPSAPAAAAALLAVAACCAALLAAAAPPQHKHVRISGNAGDVLEDDPVGKLKVFVYEMPRKYNHYLLEKDNRCLYHMFAAEIFMHQFLLASAVRTMNPEEADWFYTPVYVTCDLTQQGFPLPFRAPRIMRSAIQYIAATWPYWNRTEGADHFFLAPHDFGACFHYQEERAIERGILPLLRRATLVQTFGQRNHVCMQEGSITIPPYANPQKMQAHLISPGTPRSIFAYFRGLFYDMGNDPEGGYYARGARASVWENFKDNPLFDMSSEHPSTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEQISVFVAEADVPRLDSILASVAPEDVLRKQRLLASPAMKQAVLFHQPARPGDAFDQVLNGLARKLPHPDGAFLKPGHKVLDWNAGLDSDLKPW >SECCE4Rv1G0296590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903602596:903607875:1 gene:SECCE4Rv1G0296590 transcript:SECCE4Rv1G0296590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAIGSLAVRSQASYCLRRAAASPPTLTPPPPPPPSFRRPVTVPRRSFAAPPQHLKKAVKKDDDDDAGPRLNNDITAPFLRLVTDEGHDVVPRHEALQMAARTGMDLVEVDRKSDPPVCKIMDFHKEKYNKDAKEKERLKTKSAITLRGGDIKEVRFKAKTEIKDLKVKADAITRLMDRGYRVKCMAMPAANEAEDLGGPLSRLLGLIQDVCTVESGPHLDSKHAYVIVRHVKFATKKGGKKASQAMEDASKGSPRTATSESPPATTDSGDDTSEHGLEAEDVDKTPAYTPRESSPQKKGQDRGFRGNNRGNLDKSIGAGGNRIHPAQGGPQSSQPALGSRSGNSQREQTNNQGMTAEQTNRYASRRQQPGGVDSQGRSPQQDPRRGEHEDRYQRPPLEQPSPPPPRFNQGSRPPQQDPRRNENNEDRYRRPQDNQRPPLQQPGPPPPRFSQGSRPPQQDPRGNERGSHAPPYNSQRPQFQQSNPNTEPSAGNPASTAARSVGVFGSRKPATASEPKKTAPSGGDGKPAADTGPAKSFGIFSNPKKG >SECCE6Rv1G0402760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:488085743:488086645:-1 gene:SECCE6Rv1G0402760 transcript:SECCE6Rv1G0402760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPGISVKKRHGGAGFALGCGCKDAKSVSVVSASPSPSGAGTPTTTETRRRGVRANPSASTTTGTLTSASSSSLLWEDAVAEFDCGDDGRFKMESSAATQSFSGLLRELNELEQSVVSWGRKSHLNRDKKASTPPLEHMKATMKSGVKGGDVTTGGAKGYRAGDSVEPGTVDARVEVGLDGSVAVVKQSEDPLSDFRQSMVQMIVENGIIAGEELRRMLRRFLTLNAPHHHDVILRAFAEIWDAVFAASYVPVPPTAPPHKYARQDEPAAGGPPMPRTPPRHHHSPSPSPSPSPSAWRV >SECCE6Rv1G0421490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:684148923:684149765:1 gene:SECCE6Rv1G0421490 transcript:SECCE6Rv1G0421490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVAHDDGSPPPPLHLLEVTVISAQDLHRRRHRVRAYAVAWIDGTRRLRTEVDLAGGADPTWNDRFLFRVDAGFLKSETAAVVVEVRATRRFGADVVLGRTGIVVSSFVRVRSPRFAAAAPAVAVAPTGRQVAALQLRRPRSLRPQGMLNVAVTLLGAAQARAVAPIYHMPGSPDAFAMKDLVAMRPAPLLCEITEEGAAEARDQDYHARRQRPLVEHSGPLDPRGAAIEQSKLAMKLEKWRVDLSPDHGDHDGRAGGRSGRWLRRTSCFGRQESWER >SECCE5Rv1G0326110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:473790847:473791845:1 gene:SECCE5Rv1G0326110 transcript:SECCE5Rv1G0326110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRCEAENLKGLAGVSPFPLDRGLAPLLLFDDQHDREASDDDTIFLYSIPKKQLLGRRADGFRGHRYWLTPQGWVLMLHLESHNSFLWNPSTLERISLPRDEENLLQAAQSSRCLLSLKPTDPDCVVLVADLAHAHIYYCHAGGNQWFEHEHEHGKIFNEGVTTLGGKFYAYNMYHDEHDQIVALEFSPHPAFSTRGLLQRCGPIGYIRFHCGILECRGELFVLSFCYHGISVRHVARIVVHKLDVSNGAWMKVDTLGDMLIFYDSCRGYGASFDALQLGLRKRDCIYFLMPEDKALYVYDMKRGTTAMHNPGPSLRDSLVPQFLLPSASI >SECCEUnv1G0569500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:443766213:443766584:-1 gene:SECCEUnv1G0569500 transcript:SECCEUnv1G0569500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLTGRQEELCLELSLRPMAPEPHVGFFLCVYCDRKFVTSQALGGHQNAHKYERSIAKRRRQDAAAQQGAPAAAPDERLPCYRGRFVSPAGTAAPSVKAHKHGRSWSEYGGAVVDVDLSLRL >SECCE7Rv1G0507550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:763321353:763322354:-1 gene:SECCE7Rv1G0507550 transcript:SECCE7Rv1G0507550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELPNLNAPVKQEIVVHNGGAQGRGGGGGATVEASEHGTRRTEATVRIEANMLDCPICSSPFKPPVFQCKGGHLSCGSCVAKLPWKQCQRCDHGGDFHGCPFVDAFVSSARIKCDHHGCDRQVTYHKLDDHKSACPLAPCKCPVPGCGFEGAPPALPLHLSAVHCMPVHAVQYGKVLQLEVPVSEPRRLLFAEGDGRAFLVVGGSLGLGVPIALSVVCIRAGTSPPPHYVAKVWANGPSVAANDRTDTVRADIQVTSSKEPGTVAVEELTFLTVPHKLLAGAGPFRTVSLHVRIDKIIF >SECCE7Rv1G0526150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894113684:894114274:1 gene:SECCE7Rv1G0526150 transcript:SECCE7Rv1G0526150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAASSFGECFQFFPAEMYHQQQLQEGTLEAVLWQPVTAPAPAEPGEPRNNGAPGRAVVAAARKRPLRTDRHSKIRTAQGVRDRRMRLSVGVARDFFALQDLLGFDKASMTVEWLLTQSKPAIDRLADGTRGGAAAAAGTSRERGAASSSTGCFEDAREDEHDVRDLMKSAGGEGELDWFMSEAAAIGRPMEGLE >SECCE4Rv1G0296820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904858064:904859673:1 gene:SECCE4Rv1G0296820 transcript:SECCE4Rv1G0296820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVKRWLYIPSVEEAIPTLPSAGTQSRASTSEAGGNIGVWKALVDAVKILDDREETEKNHPGFIIVISNTGDESIPRSQEYLKPKYVIHAFSFHNSDARTTRDMHYLASSSRGIYASLHDHRNQLTEAFTACIKRITSTIGIQTKVEIKCSHPSVSLSTIDSGGFGPRIGEDGRSVSIEVDNLYAGTAKNFMFYLDNAHDNLSDLLEVKFKCRGNVDDQVVVVKNGSDGSAEVMEDMARAETCKIISEIADPYYDMAAEKLHKCLKQASMKAQAAGDAPLSGEMEKMAAILHRKMRNQYPDAVDPSYVKKLLEDRLSNMLSWLSFQALSEQPPRIPWRSSFQPELLHNYPHKKRE >SECCE2Rv1G0134860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:903480446:903483531:-1 gene:SECCE2Rv1G0134860 transcript:SECCE2Rv1G0134860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVVSAATGAMGPVMGKLLALLGDEYRRLRSIHAEIKSLTRELETIDAFLENMAEAEDPNPQDKAWMKEVRELSYDVEDNLDEFMARVIADKSTKPDGLLDNIKSKLKRLKARHEIAKAIQDLKKQAIEVSQRNKRYRDHAISNTSRSPKIVDPRALAIFEDVSKLVGVDGPKKELLQRLADDQQQTKLVSIVGFGGLGKTTIANQVYQELKGQFEYRAFLSVSRNPDIVNVMSNIYGQLNHGYSGGGTEILQTLITKILDFLQNKRYLIVIDDIWKVDDWNAIKCAFPRTSPGSKIITTTRINDVAESCCSSFSGHIYNLRPLNMMQSRQLLYTRLFNSEEKCPSDLKEIADQILQKCDGLPLAIIAISGVLAHKASKKDKWNQVKDSIGRALRNSSVEAMVNIIALSYLDLPPHLRTCLLYLSIFPEDHIIEKENLIKRWIGEGFICKESSRTMYESGEIYFSDLINRSLIQPTGIGGRCSEVRSCRVHDIVHDFIVSKAVDENFVTVIGVPGVNHDPQMKVRRLSLQNNGEIPACLIVSNARSLHVFGRNAKIPSVSQFGLLRVMDFEDCWQLIDDDLAGIGNLLHLKYLRFKHTRALKALPEELARLQELQIDIDGRVYEMKIPEAFQRLVCNNVALHLNGGRKVPDEIASVQGLRVLEGLSVYLQSVEFLKGLGQLKNLRRLSMVLIYSHCGRGNWKAKLKQAASSVCELGKAGLESLHLYIDEEVVEIFEMDSWFSVPPYALRELVIEGKSVTRVPTWMASLVNLEKLHLRIYKMVEEEVKILGGLPCLRHLCIEWAEYEYDDMFDDLPMEVVEAAVRKAMEDHPNRPTLVWTTEWDQRERDELLAGMDFFSSKQLFLQNTFFRYILSRYLAISQQT >SECCE4Rv1G0252780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:618435056:618437157:1 gene:SECCE4Rv1G0252780 transcript:SECCE4Rv1G0252780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQDVVLRPDMGGIAAAAAMPASSSRAIFACRGAASTSLRRRSAVDDEYSARSPCATSWVVQAMRASSPARSPAVDEYATWTRKHPSALASFEQIAAAAKGKQVVVFLDYDGTLSPIVADPDTAFISSEMREAVRGVAKHFPAAIVTGRCVEKVCSFVGLSELYYAGSHGMDIKGPGSNAEEVLLQPAREFLPVIAEVYEALVEKTKGTPGARVENNKFCLSVHFRCVDEKRWSPLAEQVKEVLRDYPDLRLNEGRKVLEIRPSIMWDKGKAVEFLLQSLGFDGRSDVLPLYLGDDRTDEDAFKMLRKRGHGLGILVSKCPRETDASYSLQDPTEVMEFLHRLVQWKRRRSSSSAMRARV >SECCE3Rv1G0205800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:902569380:902572727:-1 gene:SECCE3Rv1G0205800 transcript:SECCE3Rv1G0205800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPARQSTGSGGGASAPADVDVVTPTGRRKIAAHSSVLASASPVLETILERRLQRLRESGKGGRAVVRIRGVTDDVAAAFVRLLYAGSRRGEGEVDEDVEKYAEQLLVLAHAYRVPWLKRWCQEAIGSRLTPGTVVDALQLADLCDAPQLHLRCMRLLAKEFRAVERTEAWRFLRDNDPWQELDVLRQLHDTDMRRRKWRRKRAEQKVYMELSDAMDVLRHICTEGCTEVGPVGQAPAKSPCPAYATCRGLQLLIRHFSRCQSRASCSRCQRMWQLLRLHAALCRLPDGHCNTPLCTQFKLKEQQKEAVSASAGAKAGDGSDGRWGLLVKKVKAVSVMSSLGKRSPPSESQCC >SECCE2Rv1G0122330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:815302587:815304032:1 gene:SECCE2Rv1G0122330 transcript:SECCE2Rv1G0122330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGQYPKNLEPNLRILAQKYNENKLVCRRCYARLPPRATHCRKKKCGHSNQIREKKRFMSKFGSDS >SECCE5Rv1G0331730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:540991725:540994038:-1 gene:SECCE5Rv1G0331730 transcript:SECCE5Rv1G0331730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTSLCLLLLICVAAWRTDASQEARLRELILSKRASTRSSSSSVDEPRFGNTGTGSLRAEHSVSDQSDLRDADKIAALPGQPPNGVGFSQYSGYVTVDEKNGRALFYYLVEAATNAATRPLVLWLNGGPGCSSFGYGAMGELGPFRVNSDNRTLTTNEHAWNNVANVLFLESPAGVGFSYSNTTSDYDKSGDQRTSEDAFLFLLNWFERFPEYKGRSFYISGESYAGHYVPQLAATILSHNTSINLQGILVGNPYLDDNMNTKGVMDFLWSHAVISDEVYANITKSCNFNLSDGSACSDAMAAYDTANTLPFDIYGPVCIDTPDGKYYPSRYVPGYDPCTGYYIEAYLNDPEVQKALHVRTTKWAGCTDLHWKDSSASIVPTLKWLMGHGLHVWLFSGDFDSVCPFTATRYSIHDLGIAVAEPWRPWTANKEVGGYVEAYTGGLVFASVRGAGHQVPYFQPEKALILFSSFLKGTLPRYEKGQ >SECCE6Rv1G0389650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:157233687:157235213:-1 gene:SECCE6Rv1G0389650 transcript:SECCE6Rv1G0389650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTMAPTQQALAAAATSQPPHFVLVPLAAHGHLVPMVDLARLLASRGSRVSLLTTPLNARRLRDGGVTDKAARAKLLLEVVELPYSPADYGLPPDCQNADMIADNAQMLPFFLALRELAGPFEAYVRALVPRPSCIISDWCNSWTAGVATCLGIPRLFFQGPSCFFSLCDLNAAADGLHERIAAAEDREPHGMSVSVKVGNGRPHGFFTSPGCKELRHDAVAAMRTSHGVVINTFLDLEEKSVACYEAALGKPVWTLGPFCLHNRDGEDRASRGGNKSGVSERAIVAWLDKQAPESVVYVSFGSVARKLPKQQFEIGHGLEHCGRPFLWVVKESELASPEARIWLEDLQARTSVRGLVVRGWAPQLAVLSHRSVGGFVTHCGWNSVLESIAHGVPVLTWPHFADQFLNEQLAVDVLGVGLRIGVTTPVMILNDDAAAPVPRGDIARAVSELMQDGEEAEERRRKAKEYGAKARKAMEKGGDSYESLTQLIETFMHVEAKTMNIAAA >SECCE1Rv1G0009170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:52964990:52965361:-1 gene:SECCE1Rv1G0009170 transcript:SECCE1Rv1G0009170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSVRPSLGGRSVVVPLIRCPFCPARVKFYVSNTEKHEGWVFYRCPVSDHFWHWELEYIVYLLDNHFLVGNEAVDALGAADDKREELM >SECCE1Rv1G0032060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:450284868:450286027:-1 gene:SECCE1Rv1G0032060 transcript:SECCE1Rv1G0032060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFTNAIALVALLSVLFTSVRSAVNYDTAVARSYNSGWLPAKATWYGAPNGAGPDDNGGACGFKNVNQYPISSMGACGNEPLFAGGEGCGMCYEIKCEYSNNPSCSGQTRRIVITDMNYYPVARYHLDLSGTAFGSMAKNGLNDQLRHAGIIDMQFRRVPCNFPGMKVTFHVQRGSNPNYLAVLVEYVNVDGTVVRMQLMQTRNGSPTGYWEDMRRSWGSVWRMDTNRPLQGPFSIRITSDTGKTLMANNAIPAYWQQDKAYWSNIQFY >SECCE6Rv1G0383900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:58442921:58445290:1 gene:SECCE6Rv1G0383900 transcript:SECCE6Rv1G0383900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAMKDQAVALVRRAVQEDDAGDYAAALQHYVQALDYFAAHLRYEHNPRVRDAIAARLPGYVARAEEIRALLDGSPAPCGPGADGVAAATRKKGSRGGGDEDDERASERAKLRAGLHSAIVSEKPNVRWSDVAGLDGAKQALQEAVVLPVRFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLLSKWMGESEKLVANLFDMARENAPSIIFIDEIDSLCGQRGEGNESESSRRVKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQRMLKVHLGDTPHSLTKSDFESVAHRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFRSEEGGYSTWTPCGPKQPGAVQITMEELAAEGMAEQITPPPISRTDFDKVLARQRPTVSKAELGVYTRFTREFGEEG >SECCE1Rv1G0032850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:460405945:460406877:1 gene:SECCE1Rv1G0032850 transcript:SECCE1Rv1G0032850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALAQTSRANLGLALNHGALVASPSWKLYHNPHYLSSRGDSSGPSRRSPSRPKHRTPMIVANFDEDGGGGMEQQLGYGDGGVTSVSELLARVEELAAELEFERRMRRKVEALNEALSAELAEERRRVEAERARMREELDEERRMLRVAELWREERVRMKLADARAAVEEKLREVADAGHRAADATAAAEGCSCKSGSPIGGKASSANVWQQSPASSQHGLQSPASGQHSQSHRREVTGGENPHIRRGIKGSVEFPRAVRLRPRGEERVDLVSNIECQRAQLRVLMRHRSPAAAGMPGLVGAAPNNLVV >SECCE7Rv1G0489970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:468908154:468931141:1 gene:SECCE7Rv1G0489970 transcript:SECCE7Rv1G0489970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAEAAGSSTAATAVTVVLFIVLLVAALLLRQSLRRAAERREEVRRLAGLAAEESELAERESVLAYCSELFPGVLHAADVPEAPMWAPREVISPQQQQQEEEFLEAPAPPPAAAGVCAVCFRPTTFRCKQCKAVKYCSFKCQISHWRKGHKDECLPPSAYAKPDDGAAISVAKEGNVEHRRASEENVVAGVQPVAEISVPVAAESETSGTNHVVKSSNDKRKDTPFEEVSATTEIPEKGNSDNVVKLRQITPQVAFFDSKRTESNTKSTNFVGNSSYVKDLDEILVHKSQASAPKMSGLTSSIHEDISVRRKDHGNAEDADVSKDCSRINHIRKPADRSYSQMAATAVPAPKSSRTSLRVEVEPCKIKATGKEKSEGLKLVTPVLAVDKVSSIHGGCSVAPNSSKRADNPTDRGGSAANNLASSLKKIVRQQTTPKVVRHYPSELTLFPYDVFIKFYDKVELRPFGLHNLGNSCYANVVLQCLMFTRPLTTYLLGGLHSKNCSKKAWCFMCEFERLIVEGKQGKTALSPTGILSHLHEIGSSFGPGKQEDAHEFLRHSIDAMQSICMKEARKGGGHCLAEETTLVQLIFGGYLRSKIKCTRCHISSEQYERMLDLTVEIDGDISSLEEALQRFTSTEVLDGDNRYQCIRCKSYERAKKKLTISEAPNVLTIALKRYQSGKFGKISKAIRFPETLNLSCYMNSTDDNSPVYSLYGMVVHHDVMNAAFSGHYVCYVKDAHGKWYKIDDSQVKPVSLENVMSKCAYMLLYARCSPRAPNSVRHAMMAKDPSYAKKAKHKPNLEQTPLQRGSLNRHQGGELHRDHITDNLTRRFDEFGEAPYPAAESPSPSESSSLFSNSDAGSHSTVSTDSSESTRNSTSTDDYEQYFFGSSDQMYLGGPRAAHEEIVYTTYSRSRSALGTSSSGQEVDEERSLEQRFEDSRGGWLEGGESSPLSDQRKQHLLTEQYRQFGGREHDPGEARGSVLLRRSAREKTAQTFY >SECCE7Rv1G0504850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:727226042:727229449:1 gene:SECCE7Rv1G0504850 transcript:SECCE7Rv1G0504850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESPDRDWSELPIDILCDVLKLLECPDLLRSAAVCSIWYKAYCMQRCISAFPRHQTPCLLYCTEAAAGRLKALGMYSLSEQKAYTLPFPELPIKNWIGSSHGWLVTMDDKSDLMLLNPITGNTIALPPVTTMEHVKPVLNGDEVLEKYEVFYYDGELPRVVDEDTSILSLEEYGHMVYLKATLSCNPSVGGCIVMLIHQPYGQLSFVKVGDSSWNWLNMGINYTDCIYHDGWFYAVTHGGAIDACNLSGPSVIHKRFLRRIIRTVSKCHIVQAEWGDVLQIIRTEILDPERPDSRTWIPEIKVYRIDFDEQKCVSITDIGDYALFIGSSTTSCLSVKDYPDLMPNHVYFTDDDDGAHILEKDYPPKVGIYNIKNNTTVNVGHPELWMNWLPPIWLTPSLEKAGSQDNNGGAGNSIAVINNQLETTELVSIN >SECCE2Rv1G0134490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901868598:901869113:-1 gene:SECCE2Rv1G0134490 transcript:SECCE2Rv1G0134490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTIFFAMAAMAVMSTASAAIYNVGEPGGAWDLSTNYGTWASSRNFQTSDQIVFKYSPQAHDVLEVSKADYDSCSTASPVTTLTSGNDVVTLTANGTRYFICGFPGHCAGGMKVKIDAMPGSSSSSPAPANGPSANNAPPPTPVSAATSVEATRFGLAVLLAFVGLMA >SECCE3Rv1G0198360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:830946634:830948409:-1 gene:SECCE3Rv1G0198360 transcript:SECCE3Rv1G0198360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPVTADDLVEAMREFVWFPPPRPSSEFFSRFSAPRSCSKWISRLECNLYYYGSNYFILIILIGMSFLQEPVAILTAFATSLSIAFSSDSFAVTSTYKVISIVRKFSPDLAAKMRPYINSVRQGRSSIKGSTHICGRPLSMWVFALFLFEVSCIFWVSSCNLRIILWALYVGLLVTLIHASFRERNLKGRLKEFRKEFREAW >SECCE6Rv1G0413300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:622759689:622763247:-1 gene:SECCE6Rv1G0413300 transcript:SECCE6Rv1G0413300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDSTVQSDIKLWPFKVVAGPGDKPMINVQYKGEEKQFAAEEISSMVLIKMREIAEAFLGTTVKNAVVTVPAYFNDSQRQATKDAGVIAGINVLRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEEMNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYSYNMRNTIKDEKIASKLSADDKKKIEDAIDAAIQWLDTNQLAEADEFEDKMKELEALCNPIIAKMYQGAGADMEGGMDDDTPAASGGAGPKIEEVD >SECCE1Rv1G0042330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:579387409:579388699:1 gene:SECCE1Rv1G0042330 transcript:SECCE1Rv1G0042330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRALAVLCLVAVAASVLPVPALAVPEGGDDGCEAESAGRDKAQALRLKIIAIFCILAGSAIGAGLPSLGRRFPALRPETDLFLAVKAFAGGVILATGLVHILPAAFDALRSPCLTGGPWKRFPFAGLVAMLAAVATLIVDTVATGYFHRTNAKRAAAVTDEPTIDDRAARGELESASDAHHHGHAHGMSVLAAPPDGDELVRHRVISQVLELGVVVHSLIIGMSLGASDFPSTVRPLVPALTFHQLFEGIGLGGCIVQAKFRLKSVVAMGLLFSLTTPAGIGVGMAISSVYDESSPTALVVQGLLEAAAAGILVYMALVDILAEDFSKPRVQSRARLQLALSVSLLLGAGLMSLLAVWA >SECCE3Rv1G0145970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8920961:8922543:-1 gene:SECCE3Rv1G0145970 transcript:SECCE3Rv1G0145970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHVSEDPDKSGNAEPLPQPQIPLWSTAADEELIYAKKEIANAPLVFGDPDLYAPLFRNVSVFKRSYELMERLLKVFIYHDGAKPIFHSPELKGIYGSEGWFMKLMEADQNFVVRDPNKAHLFYLPYSSRQLRQKLFVPGSHKLALSIFIKNYIDMISAKFPYWNRTKGADHFLVSCHDWAPYTTKLHDELRKNTIKALCNADVSEGVFIRGRDVSLPETYLRSPRTPMRDIGGKPAAERSILAFFAGQMHGRVRPVLVKYWGGKDADMRIYTRIPRQITRRMNYVKHMKSSKYCICPMGYEVNSPRIMEAIYYECVPVIIADNFVLPFDDALDWSAFSVVVAEKDVPRLKEILLAIPESRYITMQSNVKKVQRHFLWHPKPVKYDIFHMILHSVWFSRVNQVHQVEQ >SECCE2Rv1G0100880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:549417218:549425078:1 gene:SECCE2Rv1G0100880 transcript:SECCE2Rv1G0100880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSFDINVLLREAKSRWLKPSEVYYILLNHERLQITHEPPNKPPSGSLFLYNRRVNRFFRKDGYAWRRKKDGRTVGEAHERLKVGNIDALSCYYAHGEQNPYFQRRCFWMLEPAYDHIVLVQYREVAEGRYYSTLSNGSAGSLSTLSYPNDIHGKHGSTSDFSEGNESHQSSVTEVSSCSANKEYNHDRGILLSIPELQQSTVMGIPELDQTSLERSSEFCMVNNNDSTNTSGLTQALKSIAEQLSLGDDDYIYINQACSLDFTTNTEAADVQGNQTSNNLGDNEANQIRPEGTHGFGRGISPSWENVLQSDLGLPASSTYQFGAHYQQSSEYQSPGGLDSSNLQLQISAAKTFLLGSEDPINSPSYNFIPRDEGINGINTLSAHDSSLESCLNPDWQRTTPVTLQNSSYQSNSCGYEIAEFFDNGQFEPSSKEDTRLTLKQKQQFSIREISPEWAFCYEITKVIITGDFLCDPSNICWAVMFGDTEVPVEIVQPGVLRCHTPLHSAGKLTLYITTGNRKVCSEIKDFEFRAKSTPSSFTDFAPSSMKSIEELSLLAKFARILLCDNGSSAASGDDSQPGQSPKLKMNEENWQRLINELDVGCENPLSRVDWIMEELLKSKLQQWLSLRLQGDDGTCSLSKNEQGIIHLISALGYDWALSSVLSAGVGINLRDSNGWTALHWAAYFGREKVVAALLAAGASAPAVTDPTAQDPVGKSAAFLASERGHVGLAGYLSEVALTSYLASLTIEESGISEGLAAIEAERAVESISQRSALLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRRRQHKDARLKDEYGMTQEDIDELAAASRLYYQHHVSNGQFCDKAAVSIQKKYRGWKGRKNFLHMRRNVVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQSVMIEAEEGEEEDDDDFDDDEAVKIFRRQKVDESVKESVSRVLSMVDSPEARMQYRRMLEEFRQATAELGASDKATSSILDNDLLVEIDKFTC >SECCE2Rv1G0104810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:611942669:611943289:-1 gene:SECCE2Rv1G0104810 transcript:SECCE2Rv1G0104810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFSGRSKLRQKNGGGKSQADASASPKAKHKDGRCRALCCGASRLSVSSSASCSSLDAPETTRGRMSDIAHGMVQARLHSMVDAASADVRSSTTGRGTEPADQRRRWPCGCSCASGGGVCYERSAPKPRERKPCVVLVAVDRRTYEPREEFRRSIAEVIAAKRMAEPAELRALLNCYVSVNAREHRAAILDAFHEVCTGLFACKG >SECCE7Rv1G0469040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:105126641:105132091:1 gene:SECCE7Rv1G0469040 transcript:SECCE7Rv1G0469040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 22 [Source:Projected from Arabidopsis thaliana (AT5G06530) UniProtKB/Swiss-Prot;Acc:Q93YS4] MDSIMERPMVDGNGGAIGRSKSDQLPPAPASASTAQSLSRTASAETVLSTADVASLSRKSSFGKRSASGGSGAGGNSHGRSHIRKSRSAQLKLDMEDLVSSGAALSRASSASLGFSFTFTGFTPPPQDMMSSAELAPFSDDDVDLEAANTTRRKSLMAEPTLPIYLKFAEVKYRVAVKGTPREILSGISGSASPGEVLAMMGPSGSGKTTLLSMLGGRATAVDGCISYNDEPFGKSLKRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTREQKKERAMDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQLLHDIAETGKTVITTIHQPSSRLFHKFDKLILLGRGSLLYFGKTAEAMPYFSSIGCNPLIAMNPAEFLLDLANGNTNDVSVPSELDDKVHMENQNPQDTNSKINLKPSAQDVHEYLVDAYEHRVAHKEKTKLLAPLPISDDMKATMTSSKREWGTSWCQQYSILFCRGLKERRHDYLSWMRITQVIATSIILGLLWWHSDPTTPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYKLSAYFLARTTSDLPLDLFLPIIFMVIVYFMAGLKASAMHFFLSMLTVFLSIIAAQGLGLAIGATLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYDPVPDILMTSVPLDNGVTEVGALVAMIIGYRVLAYLSLRRVKASNG >SECCE4Rv1G0216640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12151520:12152005:-1 gene:SECCE4Rv1G0216640 transcript:SECCE4Rv1G0216640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSSASLQSLAPQNDGAHQRIPIEDAATASPPMTDAVGVGADTTDDIDGRRLRRKISNRESARRSRARKLRHLDDLRALAASLRGGRRELAARVHAARSRVAIVLHANNELGAEAAALSRRLEVATHRALALNQLYAAAAGLGVIGTFEQAAASLIAWS >SECCE4Rv1G0262570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:708607816:708608148:1 gene:SECCE4Rv1G0262570 transcript:SECCE4Rv1G0262570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSMSSKMASRKKPAPKLDVTFCCPFCNHPDSVACTIDLKLLVASAVCCICEEAYHTAAHYLTEPVDIYHDWIDACEKANQGVQLQTREYDKRRRRVGGGDDDDSDA >SECCE7Rv1G0523150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:880611681:880612673:-1 gene:SECCE7Rv1G0523150 transcript:SECCE7Rv1G0523150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNKEVDALVQKITGLHAAIAKLPSLSPSPDVDALFTELVTVCVPPSPVDVTKLGPEAQEMREGLIRLCSEAEGKLEAHYSDVLAAFDNPLDHLGMFPYYNNYINLSKLEYELLARYVPGGIAPARVAFIGSRPLPFSSFVLATRHLPDTMFDNYDLCGAANDRASKLFRADKDLGARMSFHTADVAKLAGELATYDVVFLAALVGMAAEEKAKVIAHLGAHMADGAALVVRSAHGARGFLYPIVDPQDIAGGGFGVLAVCHPDDDVVNSVIIAQKSKEVHANGLRSGRRGQYAHGTVPVVSPPCRFGEMVADVTQKREEFANAEVAF >SECCE1Rv1G0042600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:582241079:582241756:-1 gene:SECCE1Rv1G0042600 transcript:SECCE1Rv1G0042600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRETPKARSGAATPSCPQSPLRITHDGEFYARLLTRESSLANPSFRYYGAGPAAVPFGWESQPGTPKDASCRRPLPAAVPAITPPPSYHARTAGDSGRLGRRSKGAPAGDRSCKYCCGGYRRLKWIKIGFLAAVFRRIALGKSRVSSSPSSSAASVHSSSSSTRWLFSGGSSSCQEEAGGHHYQYYEAPARTGVLCLGVRPSPWMVKFCGGRREPVWVQGWP >SECCEUnv1G0538870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74410380:74411345:-1 gene:SECCEUnv1G0538870 transcript:SECCEUnv1G0538870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFEVVAMLAMAAMVIGTVRAAQCGSQAGGATCPNCLCCSRYGWCGSTPEYCGDGCQSQCSGCGGGVAPVTPNPIGGGVSSIISRSLFNQMLLHRNDPGCHARGFYTYDAFVAAAAAFPGFGTTGGIVTRKRELAAFLAQTSHETTSEWPKAPNGPYAWGYCFKQELNPTSNYCTPSTEWPCAPGQSYYGRGPIQLSHNYNYGQAGRAIGADLLGNPNLVATNPIVSFKTAIWFWMTAQPPKPSSHSVITGQWKPSATDQAAGRVPGFGVITNIVNGGIECGHGHDNRVADRIGFYKRYCNMFGIGYGDNLDCYKQSPFA >SECCE6Rv1G0418990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:665876902:665884224:1 gene:SECCE6Rv1G0418990 transcript:SECCE6Rv1G0418990.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCFGAGVKTNLKVKINCLYGPIFRTSLFGEDLIVSMDKELNNLVFQREEKLVQIWYPESVMRIFGADSIISKLGSFHRHMRSLVLRLFGPENLRLVILHDAQRSVQTSLLSWLNQPSIELKDATASMIFSITSKRLISYDTSNSDGKLWKQFDAFFQGMLAFPLYIPGTAFYKCMQGRKYVMKILRKMLDERMRVSRRESVDFLDLLIDDLKEEKHLMNEKIALDLLFLLLFASFETTSSAITATVKLLTDNPKALQELTEEHENIRKKRVDPDSEITWEEYKSMKFTSHVIHEALRLINIAPIMFRKATEDIHVKGYIIPKGSKIMINPSTVHLDPTIYQNPNAFNPWRWKGTAEPVSGASKEFMAFGGGLRLCVGSDFAKLQVAIFLHCLVTKYRWKMIKGGTMVLSPGLQFPGGFHIQLLPKA >SECCE4Rv1G0243300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:500301163:500301942:-1 gene:SECCE4Rv1G0243300 transcript:SECCE4Rv1G0243300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTMPRQIAVLLLLLVSTRAASAAGAGACAAEKFPAGKTYAKCEDLPQLGAALHWTYDEAKSALSLAFVAAPAGANGWVAWALNPTGEGMAGAQALVALKGSGSAAPTVRTYNITGYVPLGKASTPIAFPATDLAADSGSGGKIRLYGKLQLHSGMKAVNHIWQVGTSVTAGAPDKHAFAAGNLASKSKLVLSGKAASATSPAPAPAPMAGGSSGSDGGASATTAPSAGKSPSAAAAVGVSAPALLVLALMGLLAVV >SECCE4Rv1G0244680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:519097263:519099637:1 gene:SECCE4Rv1G0244680 transcript:SECCE4Rv1G0244680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGSFGGGSSEDAGTPLLLPVHRDDATTTSSSRLRALLAHKYPAIASGPVACAAICALVDLGGAHGAAPRNMLGVLAWVFLWWATDAVPLAVASMAPLFLFPAFGISSADAVAKAYMDDVIALVLGSFILALAIERYHIHRRLALNITLRFCGDPVRPSLLLLGITGTTAFVSMWIHNTACTVMMMPVATGILQRFPRGGAGQGEEDDEVRRFSKAVVLGVVYASAVGGMATLTGTGVNIILVGMWSAYFPEKEPITFSSWMSFGLPMALVMFLALWVTLCFMYCSNNTGKALSAYLDGSHLRRELSLLGPMAFAEKMVLAVFGGLIVLWMTRNLTDDIPGWGVLFHNQVGDGTVTIMMATLLFIIPSGKNEGEKLMDWNKCRKLQWNIVLLLGAGFAIADGFRTSGLTGILSDGLRFLEGAPTLVIVPVACVFSGVITEFMSDDSTTTLVLPLFAELAKSIEVHPALLMISGAIGAQLSYLFPTGSPSNVVGFSTGHITIKDLVATGLPLKVVGVAALTVLLPTLGSVIFGMDNKS >SECCE2Rv1G0088560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:246471310:246475664:-1 gene:SECCE2Rv1G0088560 transcript:SECCE2Rv1G0088560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPAARGGRGGNAGLADPLLATNDDSEGARHVFGAKAKYWVRADEKEIAASRECGGKDGRPLLYRTFKVKAMLVNTYRFLNLARLTAVIVFFAWRVQHPDSDAMWLWWISVVGDFWFGLSWWLNQVPKLNPTICIPTIPLLRQQFDLPDGGSNLPVLDVFISTVDPVEEPMLHTMNSILSILATDYPVDKYATYLSDDGGSLLHYDGLVETAKFAALWVPFCRKHHVEPRAPESYFGMKIRPYMGNLPQEFLDDHRRLRREYEEFRTRLDALFTLIPQRSQAHGREDAKGGGGKATWMADGTQWPGTWTEPAEGHRKGDHAGIVQVMLSQPSSEPQLGAPASSDDSPLDFSAVDVRLPMLVYVSREKRPGYDHQKKAGALNVQLRVSALLSNAPFIINFDCDHYINNSQAFRAAMCFMMDRRDGDNVAFVQFPQRFDDVDPTDRYANHNRMFFDATMLGMNGIQGPSYVGTGSMFRRVALYGADPPRWRPDDVKVLENPNKFGKSMTFINSIPVAANQERSVMSPVSLDEPATTELADVMTCAYEDGTEWGDGVGWVYDMATEDAVTGFRLHRTGWRSMHCDMEPPAFCGTAPINMTERMYQILRWSGGSLEVFFSRFCPLLAGRRLHPMQRVAYTNMTFYPLSALFVVCYHLLPLMWVFNGRFYIQKPYPTYVMYVLVIIISNEVIGMVEIVWAGLTLLDWFRNEQFYMICATGVYPTAVLHVVLRSLGLKGMSFKMTAKQLATGARERFAELYDVQWAPLLIPTLVVIAVNVVAIGAAVGKAIVWGWSAGQVVEAASGLMFNVWILLMFYPFALGVIGRWGKRPYVLFAMFVAAFAAIAAVYVAVLAALAGNLPYFQLGHWSIGGAVTLPSRWFD >SECCE7Rv1G0514040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:829606529:829607677:-1 gene:SECCE7Rv1G0514040 transcript:SECCE7Rv1G0514040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARAYLLASLAAFYLVALATPQVTADMTDEVNLLWGKCNVLRDGAGRQTVAMSLDRSTTSGFSSKIKYLFGRIDMEIKLVAGNSAGTVTTFYMMSEGPWQYHDEIDLEFLGNSTGNPYTLHTNVYARGVGSREKGYRLWFDPAQDFHTYSIIWTQQHIRFLVDNKMIRQVKNKMMNGAPYPNYQAMRVFSTIWNADDWATQGGRVKTDWSQAPFTAYFRNYKASSCSQGQNSNICGQSSPNGLFNQQQDQMQEQQVKEADAKYKVYDFCDDSKRRIGSSEDCRSQ >SECCE3Rv1G0191450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:748304648:748305118:1 gene:SECCE3Rv1G0191450 transcript:SECCE3Rv1G0191450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGGHGMGMGGAAPAPAAAHGGKRMHYMHMTFYWGKNSEILFHGWPGSSGGMYALALLVVFALAVLVECLSSCRWLESRDRPVAAGVARAAVHALRVGMAYVLMLALMSFNVGVLLAAVAGHAVGFLLFRAGLFGGQAQVEDGTKDYLAPAACC >SECCE4Rv1G0265440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725919752:725920483:1 gene:SECCE4Rv1G0265440 transcript:SECCE4Rv1G0265440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPPSPRTTAQDIIEIETTSSDLGWLYGSSACIDARIQSPFSLDDRETRSEAGRSPPNATPEIAAASPTVARSPCAEPEPDTPVHIPMPTPPGSPAASPPRSPLFVARQPPLLPAPSSTPPRRPTNRRKTLAGVAGFNMTRCSPRLRAKNRKVPVAQMAERLLCQRLGIIDEGQHLTEDAIGKFVDMFQGRLPDIAVSALRALFNLDCDLATAVEDALLEHGGEAGPELQVSAEEVAGALA >SECCE2Rv1G0124140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:829895766:829898888:1 gene:SECCE2Rv1G0124140 transcript:SECCE2Rv1G0124140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLEFLEAQGSTRPELAEWYAALADLYQRKLWHQLTLKLDQFLALAVVQAGDALIQLYNHFISDFESKINLLKFAHFAVVVSRQYSDKDAGINYLEGVISKLRDTKESRVEEPILYVKMQIASFLLEKGNQKECKKLVDEGKTTLDSMDDVDPSVHSTYYWLCSQYHKVCQDYSEFYKNALLYLAYTTVESLSEPFKQNLAFDLSLAALLGDNIYNFGELLAHPIIHSLVGTSVEWIYHILQAFNSGNLASYQELCKVHATALSAQPALVQKERELLEKINILCLMEIIFSRASQDRTIPLSTIAEQTRLSVEDVEYLLMKSLSAHLIEGIIDEVDGTVHVSWAQPRVLGIDQVKSLRDRLDTWVGKVHTTLLSVEAETPDLVAS >SECCE3Rv1G0165500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:175332117:175335588:1 gene:SECCE3Rv1G0165500 transcript:SECCE3Rv1G0165500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSRQLSVCVLLLLALAGQQAAAAKKYAAIFNFGDSLVDAGNLVVDGIPEYLATAKLPYGMTYFGYPTGRCSDGRLVVDFIAQELGLPLLPPSKARNATFHHGANFAITGATALDTSYFVAKGLGKTVWNSGSLHTQIKWLQEMKPKICSSPEECRGLFRRSLFIVGEFGGNDYNSPLFAFRPLEEVHEFVGDVVNSIGEGIEKLIAEGAVELVVPGVLPIGCFPVYLSIFRKQPEMYGGKSGCIKDLNTLSWVHNVALQRKIVELRKKHADVRIMYADYYTPTIQFVLHAEKWGMLRQKPRACCGAPGVGVYNFNLTSKCGEPGAYACDDPSNHWSWDGIHLTEASYGHIARGWLYGPFADPPIVGDRNLE >SECCE2Rv1G0129090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:866550794:866551750:-1 gene:SECCE2Rv1G0129090 transcript:SECCE2Rv1G0129090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIELDHKDMVHDSAIDYYGKRLATASSDSTVKITNIGGASAPSQLVATLTGHYGPVWRVGWAHPKYGSILASCGYDGRVIVWKEGATGQWSQLHVFDNHKASVNSIAWAPYELGLCLACGSSDGTISVISMRTDTGGWDAATIERAHPVGVTAVSWAPAAALGAMVGSDQLVHKLVSGGFDCVVKVWEFVNGGWKLESALVSDMHKECVRDVSWAPVLGLAKSTIASASQDGKVVIWTSGKGGGKWEGKLMRDFEAPVWRVSWSLTGNILSVAAGEGDITLWKESSDGQWESLWTKASEEPPQEQEQAIEEAMQ >SECCE4Rv1G0218190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:20172951:20194348:-1 gene:SECCE4Rv1G0218190 transcript:SECCE4Rv1G0218190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGTGAADRVAIGVCVMEKKVFCSPMEQILERLRAFGEFEIIIFGDKVILEDPIEIWPKCDCLIAFCSSGFPLQKAQAYAALRRPFVVNELEPQYLLHDRRKVYEHLEKYGIPVPNYALVNREYPYQELDHFIEQEDFVEIHGKRFLKPFVEKPANGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPMEKQMARDVCNAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACIMRKIFLDAKAPHLSSTIPPTLPWKSKAPDQSTEGLTRQGSGIIGTFGQSEELRCVVVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGQESDSDAEDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIKVPEHNGEGEEDRPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIISNAKANNTNGSVEFPWMVDGAGLPPNASELLPKMAKLTKQVTAQVKLLAEGEEEKLALTSSFSRYDQAKALGKTTIDVARIAAGLPCGSESFLLMFARWKKLERDLYNERKDRFDITQIPDVYDSCKYDLVHNAHLNLKGLEELYKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLMGKVLIDLRNTREEAICVADPKFTEDEALFLPTKEVEHQQKMQVRNEDGRRSSTTSEKSMDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLHGEDSLVCQSTLDRLHRTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSETSPLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAPTQALGYFSKGAGVLERLASFWPFHKGAANGKIAEKAVNCQK >SECCE7Rv1G0496330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:611331475:611332578:-1 gene:SECCE7Rv1G0496330 transcript:SECCE7Rv1G0496330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAAATKGGAGAGKKKGSVTFVIDCTKPVDDKIMEIASLEKFLQERIKVAGGKAGNLGDSVTVARDKSKVTVTSDGAFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRSVYELRYFNIAENEGEEED >SECCE1Rv1G0050710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:649059864:649061201:-1 gene:SECCE1Rv1G0050710 transcript:SECCE1Rv1G0050710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPDDVVVEILVRVTEVAALFRCTATCRRWRLLIADRSFLRRRWPEGVSLQSSLLGFFALQQPREEPTEGSSTATPMPFFTPVPCSPHRLLAIPDQPRGSRAVVLASHRGLLVVRFVPLDAISMFEKTMIMSVCDPIAGTSRRLPPLKCSRSFRIEGCTILTGADCRPTKRRGAATPSVYSSFKVFIISYDGRYNLHVFASNELGWRTYRNWFEPVEYAGRVVPQQINIVVCQGMAHWLFKYSSDFYTLSVCAKTTEMSITKLPVTWNHLGFKFSSALLNVTNDGRLSLLGLYRDCTRVEIWTHQGDSKSEDGMADCWQHTKTIELIQPKQSTVGLVQCVCVSEMSGKLLVKDNQGCMYIVDLQTGAMETVTDWFCGIVTMAVPFEMDWPTFFMSRLAGGRIKRNKLRGAISKIASTFCKPIVVAIIFGLIVMRWDYEELQES >SECCE1Rv1G0020050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:252221747:252233541:1 gene:SECCE1Rv1G0020050 transcript:SECCE1Rv1G0020050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNVSTVYISVIDDVVAKVREDFITYGVGDAVLNELQALWEMKMLHCGAISGNIDRSRAPPASAGGAPGAGATPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPGIDTGMYNIPTGPSDYAPSPISDMRNGMGMNGSDPKTGRPSPYMQPPSPWMNQRPLGVDVNVAYEESREDPDRMMQPQPLTKDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGCADQVAEFVGSKDNALQVWNSIMNKQESVTKTLPIEERTIPPVLPQRDGIQDDYNDQFFFPGVPTEDYNTPGESSEYRTPTPAVATPKPRNDMAGGDDDDDDDDEPPLNEDDDDDDEIDDLQDGDEEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKASGEFDF >SECCE3Rv1G0192670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:761632325:761633348:-1 gene:SECCE3Rv1G0192670 transcript:SECCE3Rv1G0192670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWFSFPGNDGRAIPREWAVAAGLDATPFNMHLLKNVGRPSPRTPEEFDAYHIRHLARWALQHYNSSHPGAKFRFPDQPTTEAKAACVGFREDLWYHLNFLACLKDDGEEQSFFAELRYDRCSSTIIIETCTILEKPFCPFRSSCTLCPDESKILHPSDVEVGCGKEGQQEEFFRERCGWNGHVKEFFSPREMLAIPFKLGGPVPRYRLE >SECCE7Rv1G0497810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:631099264:631100401:1 gene:SECCE7Rv1G0497810 transcript:SECCE7Rv1G0497810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLAQVTDDLIKGRELATQLQGLLRDSPEAEAGLIMDQILHAFSRAIHAATSASERSSDAQSEVTDGASGGGKRKPASAAAGGNRRACRRRTQQSSLVVTKSIKSLDDGQAWRKYGQKEIHNSKHSRAYFRCTHKYDQQCTAQRQVQRCDDDEGMFRVTYIGVHTCRDPAAVAPHLLHHLTGAAQGLHAGCHLISFAPGSAATATHGTTTSTAMGSGLQGMKPESGDQEEVLSSRTPGSSALHSTAAPLTWPDQGDVTSTRQYGGDVNFGFGEYLDDYTSLGDLVSYVLDH >SECCE7Rv1G0489800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:462792598:462792813:-1 gene:SECCE7Rv1G0489800 transcript:SECCE7Rv1G0489800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKKSREHKASQERSDRKSGSGMSGDSKKGGRDSKFTWEGADGYTDEDLDLVANKGTGTGASASGNNKS >SECCE2Rv1G0071910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:48018126:48020360:-1 gene:SECCE2Rv1G0071910 transcript:SECCE2Rv1G0071910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTNLILLPLLLLATLSATPSQCYVSPSAASVHQSATQTSTYHTYIVLVETPPSGVDEEGHRRWYETFLPSSHVGESGEPRLLHSYIEVFSGFAARLTEVELDVVAKKPGFVRAFPDRTLQLMTTRTPEFLGPRNDTGFWSDAGYGKGVIIGLLDTGIYAAHPSFDDHGVPPPPTKWKGSCKAVRCNNKLIGAKSFVGDDDSYDFYGHGTHTSSTAAGNFVTGASDHGVGTGTASGISPGAHIAMYKVCTRDCHDSVILAGMDAAIKDGVDVLSLSLGSMYGVGFNYDPIAIGAFNAISKGIIVVCGAGNRGPTPKSITNDAPWLLTVAAGSVDRRFDAGVHLGNGKRMDGEALTPAIKATSKPYPLLYSEEHDFCQYEDPSSVAGKIIVCQASTPASQSPDIASLMAAGAAGVVLFNDEAASYTIALHDYKARVVQVTSADGVALTAYATSATKDAVATFTYNNTVLGVRSNPVVASFSSRGPSSNAPGLLKPDILAPGLNILAAWPGPSFKIVSGTSMATPHVSGVAALIKSLHPDWSPAAIKSAILTTSKIVNNIGGSILNERHGKASAFDRGAGHVNPVRAADPGLVYDLGVTDYAGYICWLLGDIGLRTIVRNSSLTCGKLPKVEDVQLNYPTLTVSLASTPFTVTRTVTNVGPAGSTYAAKVDSPSSMTVRVSPETLVFSKVGEKKTFNVTVICQGVGESEIFVEGSLRWVSKKHVVRSPIVAIRGVGGQAPAPSP >SECCE7Rv1G0502040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:692374319:692380044:1 gene:SECCE7Rv1G0502040 transcript:SECCE7Rv1G0502040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDARRWQSPAAAAAAAEAAEEDASGGGAGPSRRPPRRGLHRASPYGLGPRRWLPKPPAASSVFPAASRDHAAADDNRMGQNESMGVAHEASRVTHENKSMEPNTHAITNVALTFSNEANLLPDGDYINRSDGSELAEIEKIIKKKQFSRDETERLIEIMRSRTPDLHVDNQRATQSFTKETETTPFSNKLVIPAKPDERNWGTDMFAQPNVHDATSPIELARAYMEAQTSASVHESQKRKFRALSHGVEIENSASKIFPKVAMDSPVRWPGSVVRDHTNHYLTPQSNKRRALPPASSRAPYTGSVFRRSVKRTGHLDTYSNSSGRPQLSTPFSVGSKAMLEDKMTSTDGVLGVQPSTSSERAHADAIGTDTPLTFTLERPHGKGTIESGSTTGRIPVADNISKHAAVSVHPKSSQTAQKILQHLERTIPSPTVKPELRRTAKRTIPPVVISSPYKMPDSVTNNAPRQNSLNERASAYQAISDVKKVQEPPSSSNCEEPAPKIQSPVATPEVAEMTSSQHPSKPDVATAPAATVSDKSTTNGFMFSFPVTKTSVSLPEPPPTPTFFSPPKRSLPADAQDIPKFNFGSSSPTGNLVFSVDSASGSAGAEEVAPTFKFGSDKKRELSFDVAGKDAVVS >SECCE4Rv1G0246460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:544755422:544757796:-1 gene:SECCE4Rv1G0246460 transcript:SECCE4Rv1G0246460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGALEEERPWADGEEEEEEEEEDEGGATVFRGTNYSLPRTIAALTLWLGGIHFNVLLIFASLFLFPLRLAALVVALQLIFMFTPLNDEDKLGRRIGRFICKYAMGYFPISLHVEDYKAFDSSRAYVFGYEPHSVLPIGVAALANHVGFMPLPKLKVLASSAVFHTPFLRQIWTWIGLIAATRKNFYSYLSAGYSCVVVPGGIQEILHMDHDSEVAFLNSRKGFVKIAMQAGCPLVPVFCFGQSKAYRWWRPGGKLFVNIARALKFTPIIFWGRYGTPIAFSAPMHVVVGRPIELKKNPLPTIDEINEVHGQFVGALQELFEKYKTKAGYPGLHLRVL >SECCE2Rv1G0107580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:650615536:650618707:-1 gene:SECCE2Rv1G0107580 transcript:SECCE2Rv1G0107580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGRYTHKKDDGICNSVCGEPTSKAVMAMSRLKCALRGFDFRVLLALLIGVPLVMLMIYAHGQKVTYFLRPIWESPPEPFKIIPHYYNENVTMENLCKLHGWKVRETPRRVFDAVLFSNELDILELRWNELSPYVSEFVLLESNSTFTGVIKPLYFKENRHRFRFAESRLTYGTYGGRFMKGENPFVEESFQRVALDQLIRIARIEDDDILIMSDVDEIPSGHTINLLRWCDDTPKILHLQLRNYLYSFEFFLDDKSWRASIHRYVSGKTRYAHFRQTDELLADSGWHCSFCFRHISDFAFKMKAYSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGNIPSTFSAVHLPSYLLQNVDRYRYLLPGNCMRESG >SECCE1Rv1G0063680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726424853:726426334:1 gene:SECCE1Rv1G0063680 transcript:SECCE1Rv1G0063680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPSLISEYDLGAEGDLFKAPEPIIEEPLLALDPVAAAISMMSGGDNAMDDSIKVSDMGLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEDVPGELRAASSTVAATGECSLQKSVSSGCLNSADWMNGSAVRPNFLDFQGLDFEAAFGLRRAYSEGDIQNLGANTPRPGIAANVQASGERLVTISDLKSEERKQKLNRYRKKKIQRNFGRKIKYACRKALADSQPRVRGRFAKMDDGDMLKPRK >SECCE2Rv1G0105280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:618211185:618219609:1 gene:SECCE2Rv1G0105280 transcript:SECCE2Rv1G0105280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVVNDGPAPDYGGRLTLSVLMTCFVAASGGLIFGYDIGISGGVSQMEPFLRRFFPHVLEKMAVAKHNDYCLYDSQALTAFTSSLYVAGLLASLVASRVTKAIGRQRVMLVGGALFFAGGAITGAAMNLAMLIIGRMLLGFGVGFTNQATPVFLSEMAPTQWRGSLTAGFQIFLALGILIANLTNYATARISWGWRLSLGLAGAPAVIIFLGALFLTDTPSSLVMRGQADEARAALVRVRGAGADVDAEFQDIVRAVEVARESEEGAFHRMATRREYRPHLVLAVAVPMFFQLTGVIVLSFFAPLVFRTAGFGSNAALMGAVILGGVNLGALMLSTLVIDRYGRKVMFMVGGIQMIIAQVAMAWIMGAQVGKSGDAPMARPYGVAILVFTCMHAAGFGWSWGPLGWVVPGEIFPVDIRSAGQAMNVSIGLGLTFVQTQSFLPMLCSFKYATFAYYAAWVAVMTVFIALFLPETKGVPLESMATVWVKHWYWKRFVQPQTKSADALT >SECCE3Rv1G0157290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80733635:80737223:1 gene:SECCE3Rv1G0157290 transcript:SECCE3Rv1G0157290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVTGAMGALIPKLFQLLNEEYKLQKGVKQDVEFLTKELPSMHEALRKVADVPRDKLDKQVKIWADEVRELSYIMEDVVDSFLASVEGSEPAANSHKLKELLKKMGNLLPKGKARRKIANKIKGIKVQVREVADRRDRYGVNAAVANLAAAPTTVDPRLLALFKEETELVGIDAARDEITKKLTDGVGDVTKQQLKILSIFGIGGLGKTTIAKVVYQGLQEKFMLKAFVSVGQKPNVKKVLRDIFFELDKEGCRKSNAQTLDEKQLIVELQELLENKRYFIVIDDIWDVEAWEIISCALKDSNRGSKIITTTRSFEVASKSGEAYQLKSLSPGNSEKLLYMRLYGGKSKCHFDHPLEMSEKILQRCGGVPLAILTIASLLEGKAREDWSKVYDSIGFGHGKNQNVENTRKILLFSYYDLPYYLRPCLLYLSIYPEDYTIGKETLIWKWVGEGFIEEEQGIGQYELGERYFGELVNRSMIQPTLGSYGSCFVIGCRVHDLVLDMICLLSNEENFVRVWDVNDQRISCQSNAHRLAIQKRVLEQDDSLANMCTPQLRSFSSTRCDIRVMPSLSSFGALRVLALEDCSFIGDGSYHLDHLGSLVHLRYLGMRRMPIDKLPEEIGNLKFLQTLDLVDTEIEELPRSFGLLRQLKCLHFESKIRGTVGMHLLGNLTSLEELWLTVDIWSPEFVAELGKLTMLRKLILLTFHWMPLDDSQIKALVNSLGKLQKIQVLDMFFASDVSIGPQGWEGYVPPRQLREFTLRTWNDILPAWINLSLVPNLTSLWFQLKEVKARDMEILGSFPELITLVLTGLWLSDSQAFLPDVMGGLFLKLRYFRTPAPLRFLQGAMPSLEFLEYTFLQVHKLKRDSSFVFEFGSWENLHSLQKVEATIRTGAAQENEDEAVVALELAANQHPNRPNLTVRIR >SECCE2Rv1G0097520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:462422448:462426506:-1 gene:SECCE2Rv1G0097520 transcript:SECCE2Rv1G0097520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNDIGVAAGINILSAVGFLLVFAVVRIQPINDRVYFPKWYLKGTRSSPRHIGNVLSKFVNADVSTYLRFLNWMPAALKMPEPELIEHAGLDSAVYVRIYLLGLKIFVPITVLAFAVLVPVNWTSGTLGEDEEGLSYDQIDKLSISNLGQGSKRFWVHIGMAYVFTFWTFYVLYHEYKVITTMRLHFLANQNRRPDQFTVLVRNIPADPDETVGEHVEHFFAVNHREHYLSHQVVYNANALASLVEKKKGLQNWLVYYENQHAKNPEKELIIKTGLWGLWGEKVDAIQHHKTTIEELCKQEDEERQKVISDPKAIMPAAFVSFNCQWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWPNLAIPFVELSIRRLIMAVALFFLTFFFMIPIALVQSVANLDDIERVLPFLKPIIQRNGPRSVIQGFLPGIALKIFLIFLPTILMAMSKIEGHVSLSGLERRTASKYFLFIFVNVFLGSVVTGTAFQQLHSFLNQPANKIPETIGESIPMKATFFITYIMVDGWAGIAAEVLRLKPLIMFHIKNTFLVRTEQDREQAMDPGSLEFGSTEPRIQLYFLLGLVYAVVTPIILPFIIVFFGLAYLVFRHQIINVYNQQYESAAQFWPDVHGRIVTALVISQILLIGLLSTQEAEQSTVALLPLPVLTIWFHYVCKGRFEPAYIKFPLQEAMVKDTLQRANDPTLNLREYLKDAYVHPVFRSGDMYELLAMDEEENPHLVATKRRSRMTTPVDSKLNSSSGTNEGEFSRLRPG >SECCEUnv1G0528110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3213851:3215028:1 gene:SECCEUnv1G0528110 transcript:SECCEUnv1G0528110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALSRLCPCCFSSPATLAATTTTERSTSDKKQTERTKKQWVDEKGNRCFMLLPRGLSIAWVEDPSYWAWLPAPPGEGSTGDAPGEEVVELKNVWSLEVHGKLEQSQLTPGATYEVAFEVMLKQGCAGWQVPVDLQLELPDARAQERKESLEKKPKGQWLQLKVGDVEVEKGQQGGELVVTLSQDGGHWKSGLVVRGIRIAPKT >SECCE4Rv1G0226500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:110590571:110592454:-1 gene:SECCE4Rv1G0226500 transcript:SECCE4Rv1G0226500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPHPVLTTQLTLLLLLLLRNAAGATAASSSVNPFTAKAAFIRYWNRKVPNNRPHPAFFVSKLSPLPAADAASFPSSLPDITARLPTLCSKAALLCPSSDVASLADRKGQFKSYSDVNFTNYGSGAGAGTDGFSSYSPDVNVADDSFRRYGRDSSGRADSFTGYEANANVVTANFTSYAGGATGGSGSFTAYAADTNVPESKFTNYDAGANGRTRGFTSYSQEANHGESNFAGYGKSANSLRETFTSYGGDTNTLSSGFANYGESANGATDTFTGYGVEGNVPENTFRSYGAGGNAGVDTFKGYRDDANVGDDSFASYAKGANGGAAEFQSYGGSANQGSVAFKGYGEGTNRNHHIGFKQYAGAGDNTTFKGYAKTGVDFKEYHNTSSTVSVSAEATTSEHQHMKWSPEPGKFFRETELVAGNRMPMPDIRDKMPPRAFLPRDIARKIPFEPNAVSEVFRVPLDTAMGKAVASTVAECERAPSQGETKRCATSAEDIVDFAVEMLGSDIVVHSTASTAGSGADIRLGNVTGVHGGNVTRPVSCHQSLFPYLVYYCHSVPKARVYEADITAVDSGQKINHGVAICHLDTSDWSPAHGAFIALGGKPGKIEVCHWIFEGDMTWTVAD >SECCE3Rv1G0191850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:752043722:752051126:1 gene:SECCE3Rv1G0191850 transcript:SECCE3Rv1G0191850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPSTPRLGEAGAASPGAAEQMFAALVGDRAYPISSEFWRQLLELPLTQQWPRDRVLQACHAFAQNNYHTKHLAKILIHLAWCLQECTSASSVSSSVYRKAINAAYISSIFLKFIIENAKADNWQELCLDIDKDEKGLEKFPSDQSVEYFLMKGVLNYIGSVDVSPESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQDSSIVASVVQKLLLNFVRRPQIPSNGSHPVFSDDGGPGVLQRVGSAAANFVLLPYYTFNYFVSASAEGATSQLADNSLLVLLILIHYRKCISMNESIPTDGVYMSDSNTNVKDAPAFHENPYCKALNNAKDIQFDHADVEGNAQNGPVVRLSFASLFDALGTCLKDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIILLILSQDSTFNASVHKLVLPSVPWYQERLMHQTSLGSLMVVVLIRTIKYNLSKLRDVYLHTNCLAILANMGPHAHRLSAYASQRLVSLFDMLSRKYAKLAELKNDKALKVMSDQMETDIISDDTSTELHIYTDFLRIVLEIINAILTYALPRNPEVVYAILHRQEVFQPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLELINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYAWRLILSRGFSFNPGAINLFPVEIHVDDSPSSEQKV >SECCE6Rv1G0377290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:924306:927769:1 gene:SECCE6Rv1G0377290 transcript:SECCE6Rv1G0377290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRRCSHRPPRRAAPLLPAIHRVVMSSAPATPSSSPAAEYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFSPSPATPLPNPPPASAVPYPALFHAPPPPARPLSLDSLSDLLFHSLALSAWKSAGASTWSLRVNPSSGNLHPTEAHVLLEDPREPGRLVLSHYAPRDHLLEARATLGRSALLPPRATAVLALSSVFWREAWKYGERALRYCNHDVGHALAAVALAAATLGWDARLLDGLSDQDLGRLVGVDKGSPAPAPPDELKMVSGKKGPAPWVERQHPDCALLLFPAGSEEPEVDYGRMSDALREFDELEWVGKANALSKDHVVWDVIYKTAEAVKKHQPVPGERFSVSPWNTSTKLSEGLYKDLTAQEVVRRRRSAVDMDGTHVMGKEEFYQILLHCLPSGEVSPGERQGPQSALPFGVLPWDAEVHAALFVHRVSGLPKGLYFLVRNEEHFDTLRHAMRQDFEWARPEGCPDGLPLYRLMKGDCQRLAMQISCMQDIASHGCFSLGMIARFEPVLDDKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEVLGLKDLEFQSLYHFTVGAPVVDNRIMSLPAYPGPGIDA >SECCEUnv1G0538020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:71124822:71126351:-1 gene:SECCEUnv1G0538020 transcript:SECCEUnv1G0538020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLPAFLDSSNFLNTDNNQLQLQQAGTNTHSTTTSSPVGPGDEGRDNNNPKGFLATAVAGFSTAGGGDDGCCGAGNGDSSGSRNRKSISMSERARLARLPHPVPGLNCPRCESTNTKFCYFNNYSLTQPRHFCRSCSRYWTRGGALRNVPVGGGYRRHAKRRANPKVVSATSRATTIGTSSVTSTMSSSTTYAPSTDTAPPRLQYPMFSSAPSLESQLADRFDPANLGLRFPVRLLFAESDSYMMDGCAELHHDYAHVNTMEQLSAAHDSFPFMHAMDHQMFGLPAEAMPITMATMHGMFHQGLQSVGGVNGDEIGGQQLHHLPAKRNHKHQDYPSNRGMYRDVVNGNGGSGYI >SECCE2Rv1G0080740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:132740228:132746309:1 gene:SECCE2Rv1G0080740 transcript:SECCE2Rv1G0080740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:Projected from Arabidopsis thaliana (AT3G28970) UniProtKB/TrEMBL;Acc:Q9MBG8] MARPMGSTGFEAALAVCPAAAQAYSKYCGIVSGCTNANPREGLADLSRTIDNMEGMRDGIFGDIHKLMSVLEFDDVSQFNSFYDFVFFISRENGQKNITVQKAIAAWRIVLVGRFRLLDRWCNFVEKYQRHNISEDAWQQLLAFSRCVNEDLEGYDPKGAWPVIIDDFVEHMHRIYRPNDCSSAMESQCSISNTFRGLNLLPGSKRKCPTQFNSSEESVELSEALRHSVQLTPSKRLKESSTPTRYGVWERDAGTPFFNSTPDCREDMNLHNSRGCLQNSPRVIEDGFSKGFEGCISMKCSF >SECCE7Rv1G0473200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:154932509:154935205:-1 gene:SECCE7Rv1G0473200 transcript:SECCE7Rv1G0473200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLADLVNLDLSDCTDKVIVEYLWVGGSGIDIRSKARTVNGPITDASQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDNLLVMCDCYTPQGVPIPTNKRHNAAKIFNTPKVAAEETWYGIEQEYTLLQKDVNWPLGWPIGGYPGPQGPYYCAAGADKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGIAASDQLWVARYILERITEVAGVVLSLDPKPIPGDWNGAGAHTNYSTKSMREAGGYEVIKKAIEKLGKRHPQHIAAYGEGNERRLTGHHETADINTFKWGVADRGASIRVGRDTEKDGKGYFEDRRPASNMDPYVVTSMIAETTLLL >SECCE2Rv1G0115230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:747535507:747537660:-1 gene:SECCE2Rv1G0115230 transcript:SECCE2Rv1G0115230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIGIRMATLLLAGLAWCGVLVATAGEVNGVFNVMDYGARGDGNTDDTKAFKDAWTAACGARGWATTLLVPAAKSFLVGPTRFSGPCASSRITVQVMGTITAPSARAWSEKNNWLVFYQVHGLTVTGGSTGLLDGRGQTWWGEKCKGHADCISKAPTALAVMNCADVELSQFRSKDSPQMHIAVSHSNKVHLTQLTITAPGDSPNTDGVHIDRSEDVRVTRSTIGTGDDCVSIGPGSRFVTVDGVVCGPGHGVSVGSLGRNGATESVEYIDVKNVQFINTQNGARIKTWQGGRGYARSISFTDINFTNADHPVVIDQFYMDRHRHHVPNMGAVALSNITYTNLKGTSRRKTAVEFDCSESGSCTNIHVNSVAITAAYGGETVARCQNAQGDTSGYVYPRIPCLR >SECCE5Rv1G0328070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:499597845:499599967:1 gene:SECCE5Rv1G0328070 transcript:SECCE5Rv1G0328070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESQPAEEPEPLASPGAAAGAPIYDVYMEALDVPAEEPEPFASPGAAAGAPIYDVYMDALDVPAAPVVLDDADTEEAVAAAVEAERQAAMAADEEMAHALHMQDLVGAEEWELRDLDLERPLGDGDHPASSRAGVGFGARATAENFLESGTFESIHEVESGTVFLGNSRIPEPLECLESLPSPSTVRVVAASPARQTILSQDAGGSSSSQQAPGHGVAANVPSVTPPVGFVRSTRRCGALCAIAPRARPIGPADDPVSVKRRALLADAASSVMFPAMEEGDDNDWYEGIVLDAAESLEQPEKQGLLESLQLCLPRSDEPCKPAVASAGEEEEEEEGGFSLPKFCQRWGVSPSELEPDAPGPSMTKVPPLADDEVPTFDCGICMDTLPVFDLFHGLPCKHKFCATCMTTYVEGRIRASELPIPCPDPRCKGKENAVLHPEKCKKAIDYGAFGDWGARLTESALPPDRRAYCPNRRCGVILETSGQAEPAMAPCPACKHLLCATCGMEWSPEGAAGEHDCAKGPDAALVRSLAQERRWKQCPSCRMIVERSYGCNRMTCRCGFVFCYQCGRPMNRGQLGEAGLLEPCRCHDAGLAFAFAHHHQAHHVELNVPPLQPEEVEALGIIDMNRLPVWDEEPVAPADGLRRRLEVLDGIHQPGPQDDPDVDMEDFIPLDR >SECCE2Rv1G0086310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:208785143:208811656:-1 gene:SECCE2Rv1G0086310 transcript:SECCE2Rv1G0086310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCDNAPSPLPDGMHKPRPYRASPWGDFFLTYQPCTPSQYLSIEGRAEAKKQEVRQIIVGTASSNDLLKKVELVDTLQRIGVDYHYQQEIDELMRDIDNDEYKMHDLYTTSLRFYLLRKHGYPVSSDVFTRFVDKNGNIGSTDVISLLGLYDAAHLRSHGEKILDHAMTSTKRLLTYMVNHLDPTLAEEVQYALEYPLFRRLHRIEAERYISAYEKKHTRNETILEFAKLDYNIVQSLYCDELKDLTIWWNGFDIKTDLTWARDRMVEMHFWMMGVFFEPHYSYPRTVLTKLITLVSVFDDFYDNYSTTKESTMFTTAIDRWDEQAAEQAPAYMRPFYKSTVSTVNCIEEDLKHQKNKHAELVKKLVIDVANGYNAEVKWRDQQYVPSKLEEHLQISVRSSACMHISNLAFLLMGDVTSSETVQWAWTYPRIIRGVCIIGRVMNDIMSHEREQVSQHVVSTVQTYIKENGCTVEQAKEELNQVVEEAWMDVNEGFMQPTAHPFTVLSRAVNLARTMDFMYKREDTYTTSHSLKKTLDSVYVLQMDV >SECCE6Rv1G0381610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:34055645:34056034:-1 gene:SECCE6Rv1G0381610 transcript:SECCE6Rv1G0381610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSWLRVLKRGAVPRDAAQLVGIRGFAAQAKGKKGGKGGAADAKPVLSKEMKSTTVFGANILKEGSDPKIQPDSEYPDWLWHLVDKRPVLSELRRKDAKTLPYEDLKRFVKLDNRARIKENNALTAKN >SECCE4Rv1G0246920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:551037893:551042275:1 gene:SECCE4Rv1G0246920 transcript:SECCE4Rv1G0246920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISRSGYGSDDEDSTPAMRRLRRLSLHLLQPSDRPAPEGADALVPAACAGKRRAGGLDVDAAALTAYLRGRHWATQELLYRFFVERPELHTPVELPMAAHRELCFRQMTALVREAGVRPLSLMADDPDEYFAVMEAVGGLDISLAVKFGVQYSLWGGSIINLGTKKHREKYFDKIDNLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIIDTPNDGAIKWWIGNSALHGKFSTVFARLILPLQGKGGEPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVARDGKYTSSLPTINKRFAATLGELVGGRVSLAYSSVGILKVAVTIAVRYALLRQQFGPPKQPEISVLDYQSHQHKLMPMLASAYAFHFSRQYLVDKYSEMKKTNDEDISADVHVLSSGLKSYITSYTAKSISICRESCGGHGYAAVNRFGGLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQQKFKGGTLSVTWNYLRDSMSTYLSQPNPVTARWEGEDHLRDPKFQLDAFRYRTSRLLHSVAARLQKHMKTLGGFGAWNRCLNHLLTLAESHVESVILARFIEAVKSCPDEKTREVLKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLVDYLSYQVRLVARELVDAFDLPDEVIRAPIGMQSEAYAQYTQCVGF >SECCE5Rv1G0360230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:768212679:768213752:-1 gene:SECCE5Rv1G0360230 transcript:SECCE5Rv1G0360230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATLEAKAAYPSNMPGSLAFTTEAVARMMRQWNYREGSGLGARGQGVIAHVEPVVLPDPNAGIGHDETRHDNGLQDTPPVVEDEWSRRWRNLSRALSLERECCDKTIALLCSLELQGDGGSAETADALAVVVESTKVFDGERTPGTWKASLPPATIRYIVDEVITPRMAADAREWEPLWDPNCQHCLRPWIPLVGHLPDSLYHTVESKLITYADHHHIVSSLKKYLHPIQWDAFAGRHLLPRLARQLRELRITPPKQMDSSLLTVMAWAPLVRAPDMVSILEAEFFGRWEEALLHWLRSAKPSLGEAVAWCTGWRNEFTPELLANERVVARLQAGAAMVHRETQGLNRIVGYRVV >SECCE2Rv1G0125420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:840570117:840573928:-1 gene:SECCE2Rv1G0125420 transcript:SECCE2Rv1G0125420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRGNRNARARRAVKAMKLLGISREQTARVLKRLVELYDDNWQLIEAESYRALADAIFDEQANGGPANGGGQGQGQDELDLELETGTMPEMSTPEDDDQRPSTSLAVVRKDPDNHISAPRSRTDSRANPLGPDPTQAASPTQTRALSKQMQMQMMDEDFQQPVFLREPKPEPIDMDAVDCLNVQPGLIHRSRKRSAPSSEFLALPLPEQTPKQISEGDKNRTIQSCRNREMPTLSVEPASSTINNGTGSGVGNVQESPCFDVDIASSPRGDVKMSLKFNIDPSKFRMPALEAVFKMVENKCLSSPDFSIRGVMTEMCQCILQQGTEHTVEHNAQSDVVGNGSLSENGINRKQEAREEPLVSKGLESGPANSTLAQQHHLALSISRTIHDVTDISKGEEKLRISIIDESGRDKCPPSFYYMPTNVVFQNALVSIFLEKIGGEDCCSECFGNCLRAPAPCACARETGGEYAYTLDGLVKPAFIDECVSMNRFPEDHHRVFCKTCPLERSRNQASPEPCRGHLVRKFIKECWSKCGCNMQCGNRVVQRGIRCNLQVFFTEEGRGWGLRTLDDLPKGTFVCEYAGEILTNTELHERAIQNQNMQNASYAHPILLDAGWCSGGAPKDEEALCLDGTFYGNVGRFINHRCCDANLAMIPVEVETPDHQYYHVAFFTSKKVEAFEELTWDYGIDFDDERQPVKAFECLCGSRYCRGGRRHLLRKERRSRVTGTAR >SECCE3Rv1G0143950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2895292:2898928:-1 gene:SECCE3Rv1G0143950 transcript:SECCE3Rv1G0143950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVISNTALTASLVAKFLLRAGIEKVIISLSTEVKMIEDVLCRLAEVSWCRVDPQPQVKIWAGQVRELSYDMELVVDKFGRTPSSEGFMEKMGSLIKKGNTRHQIISREIKPIKARVRLLAESGRRCGFFDIVHNPADSDGGDNGTIKLDRLLAFRKRGEYLVHIDQGKVKELMKLLSDGDDVSDKKLKMVSVVGFAGLGKTTLVKSVYHKIQMDFDCKAFVVAGRNPNLKKVLRDIISHLTMNNSYSNTCTLDEQELIRKIQEILEGKRYLVVIDEIWKENSWENIKHAFFTENNLGSRIITTTRIVSVSKACCSTANDSVYRMEPMSDDDSRKLLYTRIFGTVSRCPQEFEQVSSDILKKCGGVPLAINTMAPYFTDFPWMKPKDEWHFLLKSIGCAGIEDSSWKEMQRIVSFSYIHLLPHLKTCLLYLSIFPCGHPIERDRLVKKWIREEIFTRKTKLMQDSLEEAASWFLDELVNNNVIQPLEYNNNCEVITYLIYPVMHDVLKSIAKAGNFAALLDDEDISRTHRMNFRNFSIYCPDSENLISLSSMAFDGRVRSLTVFGHANQLLLRYFKGTRVLDLEGCKSIERADLEYICSSMVLLKQLCLAKTDITELPPQIGNLQYLEGLDVGGTGITQLPTEIGKLQHLKTLDVRKSRVKELPDEVVQLTRLVHLLIGDNESCEGVKLPHGFGKMTSLEQLGTIDLRKYLASSLTELVELRNLKEIAVLLSNEPEDTRMNDALLSSLSSTRLRSLVVCNDFRLSTLHSSSTYNLILKKLTVVRRSLKVPIGITAQHFLRKLDIKVCRLEEDDLEILRQLHDLQNLIVRLEVLPTKMIHISCEGFAKLESFYVDCRMPRVMFKEGAMPKLEHIELKMYGGSANEEHIDIKNLLSLQKVTLRYSKWCATSKGVRETTEAVKTECKEHQNKITLCIAEEEKNGICNTETEEVFQENRVASSSRMTEIVKEEEEEEDTQEGGLHESSPAIASCSGTSEIEEVVE >SECCE7Rv1G0507030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:757599873:757601894:1 gene:SECCE7Rv1G0507030 transcript:SECCE7Rv1G0507030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVATYHYQALKEALAASISTAGDVRRPHALAVLSGLAGNGYVVSLLVSRYFRLGAANAARRAFDAVPRPRAASLPVPAPPKPLLYNAMIRGYLALGLPGLAVGIFREMACPPDRHTYHLAVTACARASEFELGRRIGSEACSRGLSTDLLVGTALVVMYSEAGDMGAALTVFDEMLHRDDVVWNALIAGYARANRMGEALRLFARMRMVDGVSPTEATLVSLVSGFASYGSSKVCYMMHAVVIRSGFHLNVCVCNALLELYLYSGCLREAVMLFRQMEVKDSITWSTMIGGLVRNGRPNSALNLFHWMLSNSAVSATRSILLNVIAACAELGEWEQGRWIEQSYVLADSSEFNRDPSLVTALIYMYARCGQLDSSIALLHGVAAIRDDIVAWNAMIKGCGVLGQVGKAIGFAVEMQRVGIDPDAVTFLEILPMISSIPSLKKGMEAHGQIVKRGFQSERTIANSLITMYGRCGDLRHSFDTFSGIVDKDVISWTSMIQVYAWNGHAAEVVQLFELMKKTEVKPNRYTFLAVLSACKNTGLVEEGMDLLKFMEEQYGLEPDVEHISCVVDMLCRTGRLADAYNLIKSTISERVDNHILWGIVLSASRLSGDLVIGEAAARHLLSLDPENRANYKMLADIYVSLGRRDTADNILRLSLSRGLDSKPGCSWTEGG >SECCE3Rv1G0167760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:208081554:208083046:-1 gene:SECCE3Rv1G0167760 transcript:SECCE3Rv1G0167760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKMRKLPSAGWLFLLAANLGWAWALTPSSSPAGLSARRYDSIFSFGDSYADTGNNPVVFAANSVFNIVMRPPYGSTFFGHPTGRNSDGRLIIDFIAQRLGLPLVPPSLAHNGSFRRGANFAVGGATALDAAFFHDGSAPGNKFPLNTSLGVQLQWFEALKPSLCRTTKECEAFFGRSLFLVGEFGVNDYHFSFDTKSLQEIRAFVPDVIRTISMAIERLIKHGATSFVVPGTIPSGCTPHILSSFAKDDPAEYNSTTGCLEDYNKLGMHHNLLLQEALEKLRGRHPDAMIVYADLFGPIMDMVESPRKYGFEEDVLTNCCGGPGTLICGDEGANVCEKPAARLFWDVVHLTEAAYRYIADGWLGSIDPPASARESRHQLVR >SECCE4Rv1G0261480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:701887931:701889994:1 gene:SECCE4Rv1G0261480 transcript:SECCE4Rv1G0261480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFHDRQHVWLRSRVHGTYLNADSDGKSVSLRQRRESLKAAWAVHIYQGDVPYLLLYSAAYGRYLASTATPAPLAHLGFRAEQRNYDQPVVPAIMWRAAWTGFGDEVLLRNVGGRYLRANGKHTGKYLRWNNGVTVDDVDKVSTMMHWTVEPIPLRGPDMPQPGFAAPIPSRIPRELSVMLGRERGAWRLIRFVRASAEGEYSEDGNGWSEFHFRGRYVYHLRNELVKRINAEVHLFELAMCVRAGRYGRLTPLITNLPHGCNGETLQIVVYLSETPAYDELRHPDVYSQ >SECCE3Rv1G0150760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:34072186:34073631:1 gene:SECCE3Rv1G0150760 transcript:SECCE3Rv1G0150760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSGAESGRPPHVAMLVTPGMGHLIPLAELAKRLAARHGITATLITFASTACTTQRAFLASLPPAIASMSLPPVDLSDLPHGASLATLMSEECVRSVPVLTGVLTGLRETTRLLAYVADVFGADSFDAAVAAGVPRRYLFFTGNLHWLMLILHLPEFDDSMPGEFRDLAEPVRLPGCVPIPGTEVMSALQDKSNPSYRWMVHHGERYRHAEAILVNSFDALEPDAARVLSEPESGRPPVYSIGPLIRTDASNVPPAACLDWLDWQPPKSVIFVSFGSGGSLPTEQMHELALGLELSGQRFLWVVRSPSDEGTLHANYYDAESKKDPLAYLPEGFVERTKDVGLVVPSWAPQAKVLAHEATGGFLVHCGWNSVLESLVHGVPMVTWPLYAEQRQNAVMLTEGAGVAIRVPANKKKEEIAAVVREIMAGQDEGAEVRAKVAALQKAATEALMEGGAAMTALDEVVHKWTCHEDENMTEVV >SECCE1Rv1G0036200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:507128230:507132344:-1 gene:SECCE1Rv1G0036200 transcript:SECCE1Rv1G0036200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPRRGKDGTTAASSSSSSSPSCKFEEEARMTGDALLVLDGLVQVFPQVNFSTLIEVSISFNGDADAAADYVIHNVLLNSKADDNNANTNDDSDIHGQHQVFSDTNTSFAIPPVDDGTIPESVQFYAVNENSAEDGEGSMGEQLMQSPAAASTSGQDGLPEESNSDSVLAGAQNSVVDHEKAHSENEQKERNYSDHATKDHCDEQIQCPSSEENQAEPTSEDNSKLHGDDSPDMNARSNYSVSPESIDDAISVENCKKNTLLSNVAAISEMLEEVELSEAETKHVVSEASQAGNDILVKAAKLKEMSTLAAEENNKVAAEVFAEKSILASEAQGLQSWLFDISEERNHFMSVIDEMHQTLQRRLDLAEAERAAAEMEMVEREKMAQEMLKEQEVLLDAAKEESKKLEQQAEENAKLRELLMDRGHVVDALHGEMLGIFGNITQLQCRVDMRLPADEQRQLASSILSSSVESAVGQFPAEEPLQLAPMSFPSPVQSAHSGLIYVDEPLELDSSSLAGSVVSAPSKLLPVGESLDQTSSSLVGSVVSAPSKLFSVCEPLQLASSSLSSSVRSAISESSWSSATESNSVFNDDEEIAVASPSDNFALDDTWDVVEEDEECVC >SECCE4Rv1G0225930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:101562563:101568923:-1 gene:SECCE4Rv1G0225930 transcript:SECCE4Rv1G0225930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVENTSKRKAPELDSEDSSAAAVLDGQQSVPASAAKRQNLARSCIHEVASPNGYDLSKDEAVHGTLSNPVFNGDMAKTYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAVAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSHEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVGWVIFDEIHYMKDRERGVVWEESIVFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDENSQFREDNFLKLQDSFAKQPSQLDGKKSGGPKASGRIAKGGNTSGTSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTEEEKDSIEQVFRSAIFCLSEEDRGLPAIELMLPLLKRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSNRFIGSGEYIQMSGRAGRRGKDERGICVIMIDEKMEMSVIKDMVLGKPAPLISTFRLSYYTILNLLSRAEGQFTAEHVIRNSFHQFQYEKALPEVVQKITRLENEASLLGSSGENDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPSASSSLPPALSASRNNNYIVDTLLHCSSSSSESGLRSKPCPPRPGEKGEMHVVPVPLPLVCGLSSIRISIPSDLRPPEARQNILFAVQELGKRYPQGLPKLHPITDMGIEEPELVDLVHKLEDLEQKLCSHPLHKSDQSEQQLSWYQRKAELNHEIQQLKSKMRDSQLQKFRDELKNRSRVLKMLGHIDGDGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASVVSCFVPCEKSSEQIRLRNELSKPMMQLQEAARKIAEVQRECKLDVNVEEYVESTCKPYLMDVIYCWSKGATFGEVTEMTDIFEGSIIRLVRRLDEFLNQLKAAAEAVGEVNLESKFGSASESLRRGIMFANSLYL >SECCE5Rv1G0329810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:522404331:522405723:1 gene:SECCE5Rv1G0329810 transcript:SECCE5Rv1G0329810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPTEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTTTK >SECCEUnv1G0558110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:329822224:329823836:-1 gene:SECCEUnv1G0558110 transcript:SECCEUnv1G0558110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRGNTLLGDLPKELIDSILVLLPSKDSWRSITSTSEFLVEHHHRQPSLPIINGQGWPSSFVLFRQAGTRSSNKKLWPFNPGTRFRSNSCLQGTCDGFILVKWGYQLYVCNPVIRKHVILPQPQAEQACNRVSGFYRHHPTKEYRVLLVSTPCPGKSNLYVITVGSTEPRHVTVKMPIVLSSYVEHRFLVRLLPGSYCPPPVQHRGSLHWCPPYGAGDIPRGIGDIIVFDTEAESFRWMHTPAQPYRDKKLFEMKGELAFWGGGCSTLNISLAIIDVWVLQDYQAGIWAFQYRIDLSTLEASRQLYLSSYKKKKKTPLHSALQWFNDVVLLNERELLIRFNSEYVLRCDTDGKVLGTVHIGKSQYRMMLTQYRLSESIIPIPSNDMQEDDEVPPFLGHI >SECCE4Rv1G0233580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:244535929:244543853:-1 gene:SECCE4Rv1G0233580 transcript:SECCE4Rv1G0233580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATANGGARAEEAASAPSVSSSAPFCMGTRPEELAARLAARGPGGVGGPGGGRGEGGEGEHERVLALREIKNQIIGNRTKKLLYLRLGAVPAVVATLAEPGASPAALVQAAAAAGSFACGVDDGARAVLAAGAVGHLTRLLAHPDDKVVDACARALRMIYQSKQAPTFDVNNEKNMDFLLSLLDSENENVTELAANIISYSCNSNTEQLALCGAGVPQKLVSLFGGSMNLRDACLESMTAVIRNNWEVASTFASMDHGKAFRSIVGLIHDRSPRTRLLACLCLIALGHASPCHFPDRQIKTKLILVLLELIEEPGHVGDEAPLALTTLIKDSVELQKQAFSTNAVAKLSNHLLANTLETRRAVTILLALAELCSKQEESRSQLMSGQVSTLILDALKHDCVDIRVAACSCLKNISRSSKVLSAGRLSCDTVIAPLVQLLYDSSTSVQIAALGAICNIAVNLTPRKSVLLHSGVVSQLVHLSKSMDPTLRLKSVLALRNIMFLMNPKDKDLILKELTVSTLSSLICDSEHSVQEQTLALVQNLLDGYVDSVNYIIGEDGMVINAISRQLNSASATGVCIQGMLVLANMAAGDELNKEAVMDVTVPHRGDRIKPSFVVYFLQSKDKQLRVATLWCILNLIYPNSDSSSTRVARLQNAGVISQVKNMINDPCLDCKLRVRMVLEHCLDNAAAGFM >SECCE2Rv1G0108750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:667610922:667612379:-1 gene:SECCE2Rv1G0108750 transcript:SECCE2Rv1G0108750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQALAPPPPEPRQLSLADLRAVSVLGRGAKGVVFHVVPEDGGGDIAMALKAVSREAARHKKGGGSGGGGGGAGDGHRRIWFERDVLLALRHPLLPALRGVLATDAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALEYLHSIGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPILPPEQDAPKPAPVPSSPSPNTGKPKKAAMCFRFRSGGATKPAVSADSPSPPSTSRTASSSSTSSTATTASSTVSGARTPAKSNSFVGTEDYVAPEIIAGSGHDFIVDWWGLGVVLYEMLYGRTPFRGQNRKETFYRVLTKQPELVGEKTPLRDLIARLLEKDPAKRIGARGIKAHPFFHGVDWDRILRVARPPYIPALPHDDDGGEVLDVEKVVHETFAANDVEATATTTGHDGKKPSPETETGAGDDGERRMMDPSKDGDFSVFF >SECCE5Rv1G0355120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:729286526:729287915:-1 gene:SECCE5Rv1G0355120 transcript:SECCE5Rv1G0355120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDATLKSYIEQNGTGGNWIALPQKIGLRRCGKSCRLRWLNYLRPNIRHGGFSDEEDRIILSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQHQFARQAANGGHKEEASEGAAGNNGFAGGAYNWHQHAMAMPPRPMPGSMVEGNRTGEGVDESIRKLLFKIGGSSFAALPAPPCLPPPIYGESPNFVVPSVHTAPLNEGGIHCSGVLPALELDESFQFNQVKLDGLECFFGMGDQSMRWNEVSPLLCPNTTVASSSQGMQQYCFVEEPVDLGMQ >SECCE3Rv1G0159500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:96605616:96609002:1 gene:SECCE3Rv1G0159500 transcript:SECCE3Rv1G0159500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALTSSRRALHTLHRHLLLRPTPFPSPRAPPTPIPRHFSAPPAPSSSRFFTTARPDARPPRHGLLAPQKHKAMQRLVGGLRSFASGGGAKLAPLGQGVKGLGRPVEAAKNAAARYREAVGLQVEAFWRRNYLLLVGAGGVIICIALWRVMFGIASTFVGLSEGMAKYGFLALATAMVAFAGMYARARFTINPDKVYRIAMTKLNTSAAILEVMGAPLAGTDVRAYVMSGGGPKLKDFKFRVGGKRCFLIFPIKGSERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLVGDEQEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDAEDERKEREEAEEEAAEALRQEENRLREEAKERQRREAENLEKGS >SECCE6Rv1G0422360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689558709:689559767:-1 gene:SECCE6Rv1G0422360 transcript:SECCE6Rv1G0422360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSSPASAVSGSAPAPPHVVEDCMGIVQLLSDGTVRRSLDYSHLPMLRHVPSDLPVQWKDVVYDAGHGLRLRMYRPTTAGRAEKNHPKLPVLVYFHGGGFCIASFEWPNFHAGALRLAGELPALVLSADYRLAPEHRLPAAHRDAETVLSWLRDQAAAGTDAWLAECADFGRVFVCGDSAGGNMVHHVAARLGSGALALGHRVLVVGCVILWPYFGGEERTASEAEAEAMAPSSEFDPGRNFHQMWRLALPDGATRDHPAANPFGPESAPLDDVPFPPVLVAKAGRDRMRDRVAEYVARLRAMGKPVELAEFEGQGHGFFVFAPFGDASDELVRVVRQFVCTCTATSHR >SECCE1Rv1G0018220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:183220701:183226111:-1 gene:SECCE1Rv1G0018220 transcript:SECCE1Rv1G0018220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLLFLLPAPSGTFSKPLPSPAPSLPRRHVPSISATRRLVAGVTAARRDLLRRGMQRSDLVSELELAKDKKQQAGRANAIFWILLLNFGLYVADHLLQIRQIKALYLYHAFPSWYQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGNFALWMSYILTGAGANLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTVTGAALQVNNISHVSGALIGAALVFVINRIPLSSNDDNPKASKDNKDKRS >SECCE5Rv1G0318960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:369281095:369283179:-1 gene:SECCE5Rv1G0318960 transcript:SECCE5Rv1G0318960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLSLRPPPPAVANILPSTAAAAQNRPPLLRARRTAGPPPPSAAAAEFPAGSVPGSGTQMPPRRRRLVAGIDQDELLDPDALADPDSSFYEINGVRVHHKVCAHEEDGDTSDQSSGSAVAKSKVGLPIVLLHGFGASVFSWSRVMRPLARIAGAKVLAFDRPAFGLTSRARWSGDDTKPLNPYSMAFSAMATLAFIDQLGAGKAVLVGHSAGCQVAVEAYFEAPERVAALVLVAPAIFAPKKGEKENSVGEQEGADKKDSDDNNATPNPFARIWRGFLGMCMWLAGLVLKLVMAVQDTVRALSSKVLVAFLRSSLAASLVRLIMDKFGVTGVRNAWYDPSKVTDHVIQGYTKPLRSKGWERALLEHTISMITASTPKSRVPVSKRLSEISCPVLVVTGDTDRLVPASNAERLARAIPGATFEVIKNCGHLPQEERAEEFLSVVERFLQRAFGTPDEHVFQAAV >SECCE4Rv1G0256110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:654321783:654324548:-1 gene:SECCE4Rv1G0256110 transcript:SECCE4Rv1G0256110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDDFLNEKNPRPLDEDDIALLKTYGLGPYSNSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGAGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRAMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >SECCE7Rv1G0522760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879160942:879162642:-1 gene:SECCE7Rv1G0522760 transcript:SECCE7Rv1G0522760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSGCLIMAATMALAVVLLATPSECARAFLVLGDSLVDNGNNNYLMSTARADSPPYGIDYPTHQATGRFSNGLNIPDIISEHLGAEPTLPYLSPELHGEKLLVGANFASAGVGILNDTGIQFMEMVRMSRQLQYFHEYQGKLRAVVGASQAAQIVSRALVLITLGGNDFVNNYYLMPFSLRSLQFSLPDYVRYLISEYKKILVRLYDMGARRVLVTGTGPLGCAPAQLARSRGGGCDVDLMRAAELFNPQLSRVLEELNARYGSGTFIAANTFRIHFDFINDPAAYGFRTATEACCGQGPHNGIGLCTALSNVCTDRDQYVFWDAYHPTERANRIIVSQFMTGSLDYVSPLNLSTALHIDASLMD >SECCE6Rv1G0437110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782469382:782470719:1 gene:SECCE6Rv1G0437110 transcript:SECCE6Rv1G0437110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSFSMGVVAAAAVLVVLCAAVSAAAQPRPPLPKNSHMITPGRFGKRKQVLSCDIPKDGTSPCVATCDSRCPNECIVMCPGCKTYCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDQDFCVLSDADLHINAHFIGKRNPTMNRDFTWIQALGIRFADHRLYMGAQKTTKWNNDVDCLELTFDGELININADIGAKWQSTTVPGLIVTRTTVTNGVRVHLKGVFDIMAKVVPITEEDSRVHNYGVTDDDTLAHLDIGFKFYDLTNDVHGVLGQTYRSDYINKLSVSASMPVMGGVASYISSDIFATDCKVARFGRSAGISMVTTTAN >SECCE1Rv1G0044380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:602385920:602386591:-1 gene:SECCE1Rv1G0044380 transcript:SECCE1Rv1G0044380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGVDLPSQFLFPHPEMPGTFGDLLADAAATCTHTHTCNPPGPSAAAHTHTCLHTHTHQLLAAGSEDDDAARPRRPLGNREAVRKYREKKKAHAAFLEEEVKKLRAANQQLQRRLQGHATLEAEVVRLRGLLFDVRAKIDAEAAPFQQQCSVGSVVCADPTLCFNNGNSEVGGACWEESSAPVSVDCRFDEDGSGNGGASREIDALEQPVRPMDVVELCFPS >SECCE4Rv1G0258600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:678216160:678217690:1 gene:SECCE4Rv1G0258600 transcript:SECCE4Rv1G0258600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLLAVALSAAILVVVPVSGAERPSVERELDAARAAIRRAARRHGNNASSAPGTWFRGDDVDYALLARVYRNPAAFHRSYVEMERRFKVYVYEEGEPPLLHLGLCKNIYTIEGRFIEQLELLAPPASGVRTWDADRAHAFFLPFSVVQMVELAYRPLSYDLAPLLSLVGDYVRVVASRHPFWNRSAGTDHFMLSCHDWAPDVSKGDPELYANGIRALCNANTSEGFRPGKDVSIPEINLYDGDTPRQLLGASPGLSARPYLAFFAGGRHGHVRDLLLRHWKGRDPATFPVYEYDLPSTTGNSSSHKRRGRDRQSNYFAYMHRSRFCLCPSGHEVASPRVVEAIHAGCIPVLVSDGYAPPFADVLRWESFSVSVPVADIPRLKEVLEGIPTAEVERLRDGVRLVKRHFTLRQPPERLDMFHMILHSVWLRRLNFRLDH >SECCE4Rv1G0219570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:32792891:32799270:1 gene:SECCE4Rv1G0219570 transcript:SECCE4Rv1G0219570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSSSPSALSFRLASRSGGGSRPPPSRVAAAASAAATTSPEAAAPLRADLAPLAPAPLMRVVPESLQGASGALVGVPGRGAGAEGEAGGLDGPGAMEYLTAVLASKVYDVAVETPLERAAKLSDRLGVNLHIKREDLQPVFSFKLRGAYNMMAKLSREQLNNGVICSSAGNHAQGVALSARKLGCDAVIVMPVTTPEIKWRSVERLGATVVLKGDSYDEAQSYAKLRCEQEGRTFIPPFDHPDIIAGQGTVGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLCHGQRVVLEHVGGFADGVAVKVVGEETFRLCQELVDGIVLVSRDAICASIKDMFEENRNILEPAGALALAGAEAYCKYYGLKGETVVAISSGANMNFDRLRLVTELADVGRKREAVLATFLPEEQGSFKKFAELVGRMNITEFKYRYDSNGKEALVLYSVGIYTDHELKAMVERMESSELKTVNLTDNDLAKDHLRYFIGGRSEVKDELVYRFIFPERPGALMNFLDTLSPRWNISLFHYRAQGESGANVLVGIQVPPEDVDEFQSRADNLGFEYMSEMNNEIYHLLLRSPNKV >SECCE7Rv1G0466340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:78319966:78320383:1 gene:SECCE7Rv1G0466340 transcript:SECCE7Rv1G0466340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTSASVLLTVALVISLLLALGHPLVHARHVKDPSGDSSSVKKGLRQGSNMKLDAGKTKTVENVRVEKSPEGSVEPSFDKNHGSLGVESDKVTVLARRGEPPKPHPKKHN >SECCE4Rv1G0253520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:627383927:627385348:1 gene:SECCE4Rv1G0253520 transcript:SECCE4Rv1G0253520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRTLPRGRAAVLRAVTGCRGRREEQALHCLVCKLGLASDVVLATALLVRYGKRGLLAPAQRLFDEMPHRDAVAFNAMLAALGASGRAADAQRLFDRMPEPDRTPASWNTMLTCYCRAGDLASATAVFEASLRATMSSVVSWNAMIDGYCKAGRMDAARELFDRMGSSLRDVVTYNTMMAGYLRRGDPAAAIALFRRLTQDEGQTPRPTAVTIATVVTACTQAGDFDFGRAVHLSTRQMLGTSTDAVLSNGLMDMYFKCGSVDRALEVFSTMPGAPNLFCWNTVIAGLGRNGRGEDAVRAFRDMVETSKKNSEVRPDSVTFVALLSACSHSGLVCEGREVFAEMLPAHGVAPGAEHYGCMVDLLCRAGLLGEAVRLVRTMPVRPNAKVLGCLLLHARSSGEDDEVDGVTVSEWAARRIAELDVRDGAAYGLSNLYASLQRWDHVERHRSGLVTAAVASGKEPGRTSYDPHVR >SECCE3Rv1G0201640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:866026712:866030129:-1 gene:SECCE3Rv1G0201640 transcript:SECCE3Rv1G0201640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMRRSVTALSLSQSALAPSSRPGHRQIRRSLCSGAWQEGESEAAVRDVVCFGSGSLDEVGSRLDRLNFAISPALVRRVIDSCSERSDSGRRLLRFLSWCRSKDPAGLGDEEHDRAIAVLARMGDLTAMKIAVGDGEKDGRRMLPETFTAVVEALVKAGKEDEAVRLFRGLERQKLLPQQGPGAGGEGIWCSSLAMVQTLCMKGYAREAQGVVWHHKSELSAEPMVSIVQRSLLHGWCVHGNAKEARRVLDDIKSSGCPLGLPSFNDFLNCVCHRNLKFNPSALVSEAMDILSEMRSYGVTPDSSSFNILLSCLGRARRVKEAYRILYLMREGEEGCSPDWVSYYLVVKVLYLTDRIIRGKRLVEDMLESGVLPTAKFFHGLIGILCGTEKVDHALDMFKIMKSCELVDTHTYDLLIEKLSRNGRFEVAKELWDDATKNGIVLGCSEDLLDPLKTEEQQQDFGVLLKQGAEGRVFLSTFVGRKCVIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARRLGVPTPVLYAVDPLPHTLTFEYVDGLCVKDIFLGFGSNGINEERLNDIATQIGNAVGKLHDGGLVHGDLTTSNMMIKNSTNQLVLIDFGLSFTSTIPEDKAVDLYVLERALISMHSSCGDVMEKILAAYRKASRQWCATTNKLAQVRQRGRKRSMVG >SECCE2Rv1G0104330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:605104297:605106020:1 gene:SECCE2Rv1G0104330 transcript:SECCE2Rv1G0104330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTLEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKQWLSEIDKYANDSVRKLLVGNKCDLAESRAVDTAVAQAYADEMGIPFLETSAKESINVEEAFLAMSAAIKKSKAGSQGGLERKPSNLVQMRGQPIQQQQQKQKSSCCST >SECCE7Rv1G0476300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:200691869:200695659:-1 gene:SECCE7Rv1G0476300 transcript:SECCE7Rv1G0476300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLLHPLMLPLLLLLILPLSAPWTAADDDGAVARSAFPMDGDVAWVVQVSDLHISAYHPDRAADLVSLLGSALRTIRPHLLLVSGDITDAKNSKRTTSRQDEDEWITYKKTIDAIVAKGGIDKRRIFDVRGNHDTYGVPYRGSKLDFFSTYSVNSQLDRLSTINSIMLQGDRSYLFLGIDDTMSVGIRFPSNLFGHPTEKRIEAVNSELEYWASHSNFPITKVVFGHFPMSFTTSSEGGQRYESVFARQSISAYLCGHLHAKVSKQLWRHHQMRTEERSSSFWEWELGDWKESRLMRILAIDGGAVSFIDHTLNQPFQTSILITYPTDSRNMNILESNKGPPRNDINALVFSEELIVNVSARIYDSHNEFKIVEEMTLQLVASSSGHKPFFHAKWNAKNYRSPSPTRYWVQVFVLDSNGKKTLSERRPFSVEGKIAILHRPWLNRLIFEVEWEDAYKVLLWSNLAFTILLLFIPQILYHFLKKRSSYQRWALSILTSPVQQQKAWFWLVWFLMEGANSKPFCLSLSLYVFWITQMPWFWGHATSENGEIAQMYVSGWSIPSFGMTNYKLSSPDVMVITLPFLYLVVVPVVVLAYGLFAERAAAYLRYHRRAEERANTADTTATAACFLPGSPGPGALTNLSCKNKIKFVLKKFFGGWTRRIILLACLITAMIHLKLSSMLMSAYGSTPVALSPPLTWMPLLLLSVAAYCTMLPVG >SECCE2Rv1G0124310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:831820986:831825364:1 gene:SECCE2Rv1G0124310 transcript:SECCE2Rv1G0124310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRRESRSAMSAWRLRPLMLFLFLLSVLPRGALSQIVLPPPSPPSTVARSITCHSIQHPFGVKGKALQPGFEVTCGRNNEAMLQIDKHSYKIDDVSVKGGFVVILAGPIHQVCYDRKGKQMKATGIGNISLEQTPFSFSNRNKLAATGCNYRLVANFGNSLAGDNHPQSTSCSTWCDKSYDTMDCRLNLACCQAPMPTNATRDFTLKLDKVEEQEDMGEENGTCSAAFLLAQDEKVFRGARGGVQRPLKDVLLPAGDHRMILDWAVGRGTCHQASIHNLAQRHCNSMSRCIDATSGAGHLCKCQAGYDGNPYAPDGCVDIDECRASDSNNCTFQFQDFCHNTEGGYTCSCPRNWTGDGYKTGIGCKEAISPSGSPMQQPQGLNVCDHPEKNPCTYSEYCSDEQGVVCDCPFGMSGNGQKSGSGCFPIYIALGVGLALVVIISGTALCCYWGMKRRKVRRKRAQLFRKNGGLLLQQRFTAITSQGKDSSAKIFSAEELKTATNNYNETRILGRGAYGTVYKGVLPDETVVAVKKSRVFDESQVEQFVNEITILSQTDHPNVVKLLGCCLEIEVPLLVYEFISNGTLFQHIHNRSAPRSLTWEDTLRIAAEAAEALAYLHSTSSIPIIHRDIKSSNILLDENFVAKISDFGASRSVPFDQTHVTTLIQGTIGYLDPEYFQSSQLTEKSDVYSFGVVLAELLTRQKPISVGRPEESCNLAMHMVIVVNEGRLLKEIEPYILEEADEEQLCAVAQLSVRCLSMNGQERPIMKEVASVLDELRRSFTKEQTMRKTDEPYDEQGNLLREASSTSSRHRSEGSTQLSMEAEMKASCQTPR >SECCE1Rv1G0043680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:594678760:594680712:1 gene:SECCE1Rv1G0043680 transcript:SECCE1Rv1G0043680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKKAKKSGENINNKLQLVMKSGKYTLGYKTVLKTLRSSKGKLIILANNCPPLRKSEIEYYAMLAKISVHHFHGNNVDLGTACGKYYRVCCLSILDPGDSDIISTTTTTQ >SECCE3Rv1G0213050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:958138449:958140518:1 gene:SECCE3Rv1G0213050 transcript:SECCE3Rv1G0213050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDAVQWWEEWQLRMLVLASLVIQYTLFITSNMRKFSIRSWIRPIIWVAYLGSDAIAIYALAILFNRQKKHQEDGHGVLEVLWAPVLLIHLGGQDSITAYNIEDNELWTRHILTAVSQVTVAVYVFWKSWRGSSGDQNLLVAAILLFIPGILKCFEKPWALKKASINALVSCPDALGNKSSVPKIMRSINSQKLKIDPLEEFICKATTDAAPKQGLKSNPNKLLVDLTSPSCNDRLLMLKYMSSLGEEKVCSELRLFLVNCFHFLYTKTQAKIDDFMIGSIFYSMMGLSRLLIFAAIGLFHHSHQEAYSDSDVKVTYALLCCTAILEFFSPVVMAMLYIRGDGGVVSQYNLIGFLVRNKKHSKMMKIVGVLGCNDFLHQRWRMRSSPSSSNITILVLGYLKDGWKHHITDVSSYRKFNDNRGQGILERQGIYQELGWSLDGAFDESVLLWHLATDFCFYYGTRHSSLDERAARCREMSNYMMYLLFLNPEMLMAGTRRYLFTAAYEELKGLIVEGDKLEEGEVTRSIIAAVADGGGLPEPADDDSPQQGGIVRDARTISKVLSGLPEGNMWKVIEGVWVEMLCFSAARCRGYLHAKGLGTGVEFLTYVWLLMYYMGMETLAAKLQRADHHHRPSGGEHGDDTSSSHAGTDATGQEQAAGPSRSSVGGADKEHVAAYDGSHATGEEIV >SECCE1Rv1G0031280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:440794533:440796719:-1 gene:SECCE1Rv1G0031280 transcript:SECCE1Rv1G0031280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDFAAANRRVMQDLTKKYGVTPETMDYVTLGPVCVEPRRIVKVPRPPIPAAGAEGPPTRYPRAEPSPLEAALLDTVYRHHLQALSMMDRGMVAGHARGMMLAGYAYGLHDPVCNILANCLWHDTVFPASSSQEVQPMMLSCKAIFRMARRSLDALVAFMTAYAPALSAEEAMSYLSRSHGNLHHAAVLVDREGVLHANRMDDAFRAAILAAHLPSDSAREAQFFFIVNCAPTDAFLAKHGIHGHPLAFGLSDLRPQSNSSLIVLEYVKLAASSLALTQPTPSPPSLSQGAYRALRIKMHAFKRDQEFCLDIVNIALKKLAFQFGELYQIHLLCGKSLVTLVPDSYYHVNFLASRESEPNQPKLFFAEVRASLQDVDDVTLCCPVTLSERTGGCSACEFMGMKLIHPVYEEFNGQCDFTKGRDPHIAELFRKDPTSWFDVPLIDDYIFFELDQHPEVTTFLETTYSYMSDDQVDLSLLWFENHQP >SECCE1Rv1G0010760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:65678396:65679499:1 gene:SECCE1Rv1G0010760 transcript:SECCE1Rv1G0010760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICDLRAANVASSEESSYVIKIDEYSRIKRQTERGKYVKSTPFSVGGHDWVVWYFPNGCHTEKYEAGFISVFLALNSAASKNVRANVGFSLLPVGKEGEPVGSYNKTTQHIFPSKGSDWGFSNFIKKADLEGSEYLRKDRLRIMCDVTVVKYGHKGNRLSLVPPSNLHQHLGDLLKSMDGADVTFHVGGESFLAHRAVLAARSSVFRAELFGAMKEDAGRPIEISDMEPDVFRSLLHFIYTDSPPIRMMTNEGEARRDAAMAGHLLVAADRYNIERLKLICEQKLCKLIDSDIVATSLALAEQHNSHRVKEACFQFLASLSNLEAMMASDGYEHLKSSCPSVLKELAASFLPAELKAVKDIIMTT >SECCE4Rv1G0246480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:544892195:544901933:-1 gene:SECCE4Rv1G0246480 transcript:SECCE4Rv1G0246480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMSHLQKQRAQYSPELPPCLQGTAVMVEYGDAPIAADAADAHVIGRAFPHTYGQPLAHFLPKTANAPDATVITEHPAVRVGVVFSGRQSPGGHNVIWGLHNAINSHNPSSTLIGFLGGTDGLFAQKTLEITDEVFSSYKNQGGYYMLGRTRDQIRTTEQVKAAMTTCQALKLDALVIIGGVTSNTDAAQLAETFAESKCSTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECALQSHPNMVLLGEEVAASKLTISDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHDKGVSIENISSHLSPWASALFDFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVETEMNKRLEEGTYKGKKFNAICHFFGYQARGALPSNFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKSPVDQWKCGAAPITSMMTVKGWSRGPAASQIGKPAVHMASVDLKGKAYELLRQNSSSLLMDDIYKNPGPLQFQGPGADAKPISLCVEDRDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAALSSMAHVTELLTIMSSPSYSGQATI >SECCE3Rv1G0156110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70173852:70174796:-1 gene:SECCE3Rv1G0156110 transcript:SECCE3Rv1G0156110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRNQRFSLLKQHIYSTLNQHLIDYPTPSNLSYWWGFGSLAGISMHHTPHVDLAFNSVEHIMRDVEGGWLLRYMHANGASMFLIVVHLHIFRGLYHASYSSPREFVRCLGVVIFLLMIVTAFIGYVPPLGRMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHHLLPLILVGASLLHLAALHQYGSNNPLGVHSEMDKIASYPYFYVKDLVGRVASAIFFSIWIFFAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKAGGVAAIAPVFISLLALPFF >SECCE1Rv1G0032450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:455552031:455552264:1 gene:SECCE1Rv1G0032450 transcript:SECCE1Rv1G0032450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE5Rv1G0352840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711843102:711845874:1 gene:SECCE5Rv1G0352840 transcript:SECCE5Rv1G0352840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSSSSTTSSSKKLRILLIPFFATSHIEPFTDLALGLAAAANPGATVEATVAVTPANVPIVRSLLDRHDAAGSVQIATYPFPAVDGLPRGVENLGKAAPADSWRIDAAAVSDALMRPVHEALIRGQSPDALVTDLHFVWNVRIAEQLGVPCVRFSVIGAFSSLAMRHLELLAPDVAGGDPDPDVVAVVPRFPGPPVRMPRTELPEFLRRKVEVDCSTTNTFYAAQADCFGLAVNTFSDLEQHYCEMHMRQGYVKRAYFLGPVSLRPSPAAMGGGCVDWLDSKPDRSVVYVCFGSFAPVSDAQLRELALGLEASGKPFLWVARAEKWTPPEGWEERVKDRGLMLTTWAPQTAILGHQAVGAFVTHCGWNSVLETVAAGVPVLTWPLVFEQFITERLVTEVLGIGERLWPHGAGVRSTSCAEHELVTAEAVARAVTAFMAPGGPGDAARDRPLHVLAAPAGRHEAALLPVSRSAQSSTGCKNQEEETIDAGAPVGSAEAGDQFSSQVQCNRW >SECCE5Rv1G0332870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:552829602:552832306:1 gene:SECCE5Rv1G0332870 transcript:SECCE5Rv1G0332870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEIKSKKQRNDECIINRLPGDLIERIFLRLPVSTLLRCVSVCKHWHNFIRDPQFVVSHLQCAPRDVLLFFQQESVSGEPYPSDAILIDQAWSPSTRAVPVIGPDDFLFGSCNGLLGLYTKTSTIKIANLATGECLHLEKPAKNVKGDHFSFYSFGFHPVTKEYKITHFLGDCVEGRPHNKDRFSTIQVYTLGDEKWKDIRTPEALSLISVRNSGVVNVDGKMYWLTEEMLASWQHAVMSFDLWEESFAMIQLPAAREDHDYFGPRKFWIRDIDGKICIVTAQTSRYGPKALAGELQIWTLDNTVEQQRWSQKYNIKNPPNYIPGPHFVHRDRILAQLCSDNAYAYELFGENFDVNLCKGVNLLDFSPRKPYNMQSYICVKSLVRLDVYKKAGVVHRPKQQEGWQLKKWETWKRRLSKSVDMQCRMHKFEHGLLELAEMMRKSCLLMKDKQHNIAEHVLTELKQVLQHKPDNPNQLRSIRRLNWVEYNQAQEKLMVRLSKMKDMMKVVRQALDNIFGIGSHMLDQDASVAGASSSGGHDKEEQGSLQH >SECCE1Rv1G0049720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642847351:642848085:-1 gene:SECCE1Rv1G0049720 transcript:SECCE1Rv1G0049720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEQRGRVFVLTLTGDGEHRLGHPLIASLRSALASVLAAAQAQPQGPGAALVTVAEGRFFSNGLDIGWAGASRARLGELVDALRPVAADLLALPMPTVAAVTGHACAGGFLLALCHDYRLMRADRGVLYMSEVDIGLPLPPYFVHVLRAKISQAQALRDVVLRGKKLRAPEAKEMGIVDVVCPGAPETAAEALKLAEQLAARKWDGAVYASIRVSVFPDACRAVGIAVESDEEKSRHFASKL >SECCE1Rv1G0025290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:353079894:353087312:-1 gene:SECCE1Rv1G0025290 transcript:SECCE1Rv1G0025290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESGMNTQGGDRPFFDFLESCLRNKAEMVILEAARAITDLNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFDYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDALKPRIFVLLRRCLFDGDDEVRDRATLYIKLLDGEATVGETEKDVTDFLFGSLDVPLANLEASLRNYEPSEVPFDISSVPKETKSQPLAEKKATGKKSSGPASAVNGPVPTIDASYEKLLSSIPEFAGFGKLFKSSTPVELTEAETEYSVNVVKHIYDGHVVLQYNCTNTIPEQLLEEVVVFVDASEAEEFSEVASKPLRSLPYDSPGQTFVAFEKPEGVLATGKFSNTLKFIVKEVDTSTGEAEDEGVEDEYQLEDFEIISADYMLKVGVSNFRNAWESMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTDVVQNNSRSHTCLLSGVFIGNVKVLVRLSFGISGPKEVAMKLAVRSDDPEVSDRIHEIVASG >SECCE6Rv1G0430570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:742834118:742836926:-1 gene:SECCE6Rv1G0430570 transcript:SECCE6Rv1G0430570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAGRRAAVDVEDLLVRVKNGAGPELGAVAREVAALAGEGRLGEGEEGGVLVPALLARLAGAGDAEARVLVMAALRRLAGCAGAETKERMASIDALSSIVRSLSRDVDERREAIALLLDLSDIPQVRQRIGRIKGCIVMLVTLRNAHESGTYDDAEKLLHILSCNPQNVLLMAEAGYFRPLIHYLKEGSDMNKILMATAISKMFLSEQMKSSLGEDGAVEPLVEMFKSGNHEAKHSALAALRNLSSSLQNAELLINCGITGPLLQLLCSVTSVLMTLREPAAAILSTIAQSDRILLHRDAAPQMLSLLNLSCPVIQLHLLRALNSICGHTNAKRARHKIRQNGGVQLLLPFLREKNGGIKVAALNLMFNLSKDASQELAEQIRETHLDILVKIIASPTPGIDEKAAAVGVLSNLPVADKNITKFLTQANLLPVLISLLEANISASPSPQKMWLLEGIAGVFTRFTVPRDKKLQSLAVGHGVVPWLVKLLSEGSVKAKSKSATSLTQLSQNSVALHKAKSPRWLCVPPSAESYCIVHDCQCTVKSTFCLVKAGAVNPLVQILEGEKREADGAVLEALATLVQDEIWENGSRAIEKASGVHALLRVAEAGELTSQDKAIWILERIFRLEEHREQYGGIAQALLIDLAQKGDPVLKPMIGRILAHLQLLQTQSSYF >SECCE5Rv1G0357120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:743952682:743954988:-1 gene:SECCE5Rv1G0357120 transcript:SECCE5Rv1G0357120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21300 [Source:Projected from Arabidopsis thaliana (AT4G21300) UniProtKB/Swiss-Prot;Acc:Q9STE1] MYVLSRRFRDAVAVFSSLPRAAAASALPWNWLIRGLTMAGHHRFAVLFYVKMWAHPSAPRPDGHTLPYVVKSCAALGALALGRLVHRTARALGLDPDMYVGSALIKMYADAGLLGGAREVFDGMAERDCVLWNVMMDGYVKGGDVASAVGLFGAMRASRCDPNFATLACFLSVCATEADLLSGVQLHTLAVKYGLEPEVAVANTLVSMYAKCQCLDDAWRLFDLMPRDDLVTWNGMISGCVQNGLIDDALRLFCDMQKSGLQPDSVTLASLLPALTDLNGFKQGKEIHGYIVRNCVHLDVFLVSALVDIYFKCRDVRMAQNVFDATKAIDVVIGSTMISGYVLNGMSEVAVKMFRYLLEVGIKPNAVMVASTLPACACMAAMKLGQELHGYVLKNAYEGRCYVESSLMDMYAKCGRLDLSHYIFSKITSKDEVTWNSMISSFAQNGEPEEALELFRQMSMEGVKYSNVTISSILSACAGLPAIYYGKEIHGIIIKGPIRADVFAESALIDMYGKCGNLELAFRVFEFMPEKNEVSWNSIISAYGAHGLVKESVSLLCRMQEEGFKADHVTFLALISACAHAGQVQEGLRLFKCMTEEYQIAPRVEHLACMVDLYSRAGKLDKAMQFIADMPFKPDAGIWGALLHACRVHRNVELAEIASQELFKLDPHNSGYYVLMSNINAVAGRWDGVSKMRRMMKDKKVQKIPGYSWVDVNNTSHLFVAADKSHPDSEDIYMSLKSLLLELEREGYVPRPDICYTTQPDNNTQVQQ >SECCE1Rv1G0031880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:447362001:447364490:-1 gene:SECCE1Rv1G0031880 transcript:SECCE1Rv1G0031880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLRLAVVGAGAAGLAAARELRREGHAPVVFERAAAVGGTWLYASPAATDPLGAAATHSSLYASLRTNLPREVMGFLDFPFTAARGSVVDARRFPGHEEVLRYLEDFAQRFDLYGLVRFQTEVVGVRREAGGRWAVTSRKLGEKGEQDEELYDAVVVCNGHYSEPRVASIPGADAWPGKQMHSHNYRVPEPFLDQVVIIIGASASAVDISRDIASVAKEVHIADRSAPTSTCEQQPEYDNMWLHSMIDHAQGDGTVVFQDGSSIKADVIMHCTGYLYDFPFLGDDSTITVDDNCVDPLYKHVFPIEVAPGLSFIGLPWKVIPFPLFELQSKWVAGILSGRIKLPSKDEMMEDIKAIYSRRETRGWPKRYTHNFSGGYQFEYDDWLAEQCGHPPIEEWRKLMYAANAKNKGARPERYRDEWDDDGLVVLANEDFKKYL >SECCE2Rv1G0139360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:926598531:926599619:1 gene:SECCE2Rv1G0139360 transcript:SECCE2Rv1G0139360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQCKLSATAVAQSEGRSYMLKLYGYSSAKRLLKCGECVTSPPFSAGGHNWVVRFYPNGDLAEDGDYYMVVHLVLDSDSMNVMVKIRSFIAVNDLQLFNLNIYHHIFPLKGSSVHDLFERAAFEMYVIDDCLNIVFDISVIKNTPGKETMGNQFVVVPPSNLHRHFGNLLESMDGADVTFHVGGQKFMAHSSVLAARSTVFKAELLGSMKEKVGSPIEIHEMEAVVFKSLLYFIYTDSLPALEMASSQSEARLDVTTAGHLLVAADRYNVERLKLICEDKLCNHIDVNMVATSLALAEQHSCSGLKEACLQFLASPTNLEAMMASDGYLHLKTSCPSVLKELVARLLPDTMKAAKDIIMTI >SECCE3Rv1G0188470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:713566159:713570289:-1 gene:SECCE3Rv1G0188470 transcript:SECCE3Rv1G0188470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGKDGNPLKNYRIGKTLGIGSFGKVKIAEHIKTGHKVAIKILNRRKIKNMEMEEKVKREIKILRLFMHPHIIRLYEVIEAPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVQYCHRNMVVHRDLKPENLLLDNNCDVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSGPARDLIPRMLVVDPMKRITIREIREHPWFEAQLPRYLAVPPPDTAQQVKKIDEESLGKVINLGFDKNLLVESIHNRLQNEATVAYYLFLDNKSRTTTGYLGAEYQEAMESSFSPITPSETQSPAHGNRQQPYMESPVGLRPHFPADRKWALGLQSRAHPREVMTEVLKALQELNVYWKKIGHYNMRCRWSPPGFPGQENMSHTNYNFSAEPIETDDLGDRLNLIKFELQLYKTRDEKYLLDLQRASGPHLLFLDLCAAFLAQLRVL >SECCE6Rv1G0405110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:527134206:527143887:-1 gene:SECCE6Rv1G0405110 transcript:SECCE6Rv1G0405110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDPPVMQADCQLQNDMEKVSSISYDRKHTLSCNDHGWQASDVHQTNVVISCNPVEVNNFSGSSTNEKVDGSSEKSSVSLDGLSEVNFFVPHKEKNVQDVYFNDVRFQLNASLENNGSPGDVNCSKHCINKDDLHHSQEEMCSPSNIVTGLRSCQSNGDVLPSKGKKMTEVEVKYNVNVDAESKEFGTDLVNKKVCNDMAGSHDVQKELSCPLQGLSERACDGLVSKIFSQEENETSVSPKNGMDMLVHNNSCNGNTNDVGREMDTRSIEEEDLAVALWVKWRGKWRTGIRCCRADCPLSTLKAKPTIDRKTYIVVFFPQTRTYSWVDMLLVLPIDEYPVPLVNGTHRKWRKMVKDLSVPRRFIMQKLAISMLNFSDELHTEAIIENARKATAWKEFAREASCCRDYTDLGKMLVKLQKMILPDYTSCHWLQNSSDLWGQKCNIAHDAETVEILAEELRQSVLWDKVDELWNAPMQPELVPEWKTWKQEVMKQFFSSHAVGNTGNFDQSNSYDDPGMDQQARRKRPKLEVRRGEPHFSHVNDANCSILSEGPHGNNFPSGPITDGNMEALASMDQNNTVTFLSNSGPHEIAESGCINPALQNARHEFDSLKNSRQCSAYIETKGRQCGRWANDGDIYCCVHQSMHFVDRREDKGLSSDGMVCSGMTNLGRQCKHRAQHGSIFCKKHRSQTSLDTVSSDNLFSSSGGLQKREESPKGMEKNSNSSAICIVDAERVSSSQVPLQIQLTSTMAAEISGDKACGLENTDMFYPMSTSMAKANSDTDLCIGILSHDNIVECQDYAKRHTLYCEKHLPKFLKRARNGKSRLISKDVFINLLKGCVSRQEKICLHRACEFLYWFLRNNFSRQQSGLGNDYMPQILAEVSKDTDVGEFLLKLIASEREKLTNLWGFGTNGSQQIIPDNQEGSMVVLQEERTDHSAGLKCKICAQEFSDDQCLALHWTEVHRKETRWLFRGYSCAVCMDPFTNRKVLEKHVQEKHGAQYLQYSILFRCMSCDSNFLNMDLLWQHIVSDHAHEFGLLDAPQRPKGQSVRTEGTSFKALYDNHDLGKDDGSQKLTCRLCGLRFDLLADLGRHHQVAHMDQGTVGHVPPGRGKYQLNRGRHYYSAFKKNLRPTGSLKKRSSSGIEKHFDISSADLSMITSQIAEPETANLGKLVDFQCSDVAQTLFSKIQKTRPHPSNLDILSVARSVCCKTSLLAALEVKYGTMPENMFVKAAKLCSDIGIPINWHQEEFTCPMGCKSGYSSNTLPPLQLTPVDFPVVASVMNPPENDGTWGMEEYHYVLDSEHFMWKLKNETVVLCEDVSFGREKVPIVCALDVDAKESLHVKPEELLQHCSSVPWQGFHYVTTRLMDSSFVDSESYMIGCACSHAHCSPEKCDHVNLFDSVYENLVDMYAMPMHGRFAYDENGKIILQEGYPIYECNSLCTCDASCKNKVLQQGLLVKLELFRTDNKGWAVRAAEPIPQGTFVCEYVGEVLKDDGTMRNAESEAKSGCSYLFDIASHIDRERVKTSGTTAYMIDATRYGNVSRFINHSCSPNLSVRLVLVESKDCQLAHVGLFASQDIAVGEELSFDYRRKLQSGDGCPCHCGAQNCRGRVY >SECCE6Rv1G0425630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:708543617:708544297:1 gene:SECCE6Rv1G0425630 transcript:SECCE6Rv1G0425630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRACPCWVWLIVAGLISTVAFVLIFVFVIPGDTFYSIAIDSASGLDPPSPTDFSLARPEFNLTFRVTTDGLRRTVCVDAGIYVEVSYRCVPLAASPATPQRICVAPRKVRDEPMFARGARIRLPGYMMDSLAADIRSGVEAFGVTLKQSSGGDRAGEAENVARCGARRVGDVPARCDTLYTCPDGDPSRSAPDTAASPRSTPDTAASPWSTPDSAAIANASRP >SECCE2Rv1G0128570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:862889516:862889890:-1 gene:SECCE2Rv1G0128570 transcript:SECCE2Rv1G0128570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLITIPSVAWLRRAVRRWRARRSTSAPVPAGHVAVCAEGARFMVRLAHLSHPAFLELLRQAEEEYGFPSGASGPVTLPCDGDLLRDVLRRVSSSSGSEEPRRSSFRRRRGDSRPLLQGVAFP >SECCE7Rv1G0466360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:78401146:78407635:-1 gene:SECCE7Rv1G0466360 transcript:SECCE7Rv1G0466360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAAPVPCDGRIRSFEDFARVHQFLLIAAGVPPSLHRRLYRKLADEVFDGGESFSVEPCEEGRQRRLVLSSEAPLGREADVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDRRTEVEESDEQDSEKSGSLEHVLQVLEKEKTRIQERGSDFAAWLELEELGIDDDMLVALDLSANFPNLVALNLWGNKLQDPEKVMQEIGKCGTLKALWLNENPILNKCTEKVVLDGLPELEIYNSHFTRKAREWALGFCGDIVGAENPCLSVGNISLDNIVTLDLSDRSIHKLPEVFSSSKLSSLSKLNIRGNPLDQMSGDDLLKLFSGFTQLQELEVDIPGPLGDSAISILESLPNINLLNGVNASTIVENAKHVVDSALKPRVPEWSPEESLAERVIGAMWLYLMTYRLADEEKFDETPIWYVMDELGSAMRHSDDANFRISPFLFMPEGKLASAISYTILWPICDVHTGEECTRDFLFGIGEDKQRSARLTAWFHTPEKYFIQEFRKYQEQLQSTSICPTKEAPSTKSVRPSDGRPLRVFTDIPQVEEFLTRPEFVLTTDPKDADIIWTGTQIDSELKSSLGLTDQQYMNQFPFEACLVMKHHLADTIHRAWGSPEWLQPTYNLETHLSQLIGDYSVRKRDGKDNLWIMKPWNMARTIDTTVSGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVLVRSICPLDILLCDVFWVRLANNQYTLEKTSLFEYETHFTVMNYNGRMNHMNTPEFVKAFEKEHQVKWLEIHESICIMMRRVFESASAVHPEMQNSFCRAIYGVDVMLDDKFKPKILEVTYCPDCTRACQYDTQAIVGNQGPIKGSDFFNTVFGCLFLDDLTNVSPL >SECCE3Rv1G0207230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:918930696:918932332:1 gene:SECCE3Rv1G0207230 transcript:SECCE3Rv1G0207230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGAATVFLSVVSLVILVSFLSRKTPASSKKKRPPGPWCLPVIGNLLHLVTSQPQAALRDLARKHGPVMSLRLGQVDAVVISSPAAAQEVLRDKDLTFASRPSMLTSDIILYGNMDIAFAPYGAYWRTLRKLCMIELLSAHKVRQLAPVRDGETCSLVRKVGAAGRAGEPVNLGRLLCSCSISITAKATFGRLCDEELQKQYMPVVEVAVKEGGGFSAGDLFPSLWFVDVATGLTRRLWRTRRQLDAIFDKMIAECEAQRAEKKKTAAATKTTGDEEEHLLNVLLRIRDEGELEVPISMTSIKAILFDMLTGGTETTSSAAEWIMSELMRNPAAMAKAQAEVRRTFDGKSPEDHEGLIDKLRYMKMVIKEGLRLNPVLPLLLPRLCGETCEIGGFEVAKGTKVIVNAWAMARSPEHWPDAEEFRPERFDGAAAADFKGLQFEYLPFGSGRRMCPGDTFGLAVLELIVARLLYYFDWGLPNGMRPDELDMDMIVGSTARRKNQLHLLASPYRELPVEI >SECCE1Rv1G0039740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:552225995:552227569:1 gene:SECCE1Rv1G0039740 transcript:SECCE1Rv1G0039740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAGADSTTCHVAAHALASPVAAVFFASAVCTLALAVLLGSMRLRPPWWCACAVCEAYLTASWTGEFDNLCDWFAHLLRREPGRTVHVHVLGNVLTANPATVEHMLRGRFDNYPKGAPFSAILADFLGRGIFNVDGDSWLFQRKLAAAELAAPAIRAFTASVVASELRCRLIPLLHSASAGQGGEEKLLDLQDVFRRFAFDCICKISFGLDPGCLELSLPMSAFADAFDTASMLSARRATAPMHVVWKLKRLLNIGDERELRDAIGLVDDLAAEVIRQRRKLGSATASGDDLLSRFMGSINDDKYLRDIVVSFLLAGRDTVASALTAFFLLLSDHPHVADAIRDEVSRVTGVGREIDDHPAIATSEKLKDMHYVHAALYECMRLFPPVQFDSKFAAGEDTLPDGTPVAKGTRVTYHAYAMGRMESVWGADCAEFRPERWLRDNQFVPESPYRYPVFQGGVRVCIGKELAVMEMKAAIVAVVQSFDIETVGRSSRRPKFAPGLTATFAGGVPVRVRRRARASG >SECCE2Rv1G0119590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:792621011:792622746:1 gene:SECCE2Rv1G0119590 transcript:SECCE2Rv1G0119590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMAERRSRHGHGHANVVPFGAGGLQHGEHEQEKVKEKKLDMSGLAMDTLPHLTMSLGHITTLDLSNNNLESIPESMIARLLNVVVLDVRSNQLKSLPNSIGCLSKLRVLNVSGNLLQSLPATIEECRALEELNANFNQLTRLPDTLGFELHSLRRLSVNSNKLTSLPFSTSHMTALRALDARLNCIRALPEGLENLVNLEVLNVSQNFHFLRELPYGLGLLTSLRELDISYNSISVLPDSMGCLAKLNKFSAVGNPLVCPPMDIVEQSLDAMRTYLSSRMNGTGVNAKKKKGWLPRMVKYNTFSARMTPGRKSAHDNSEGFSMSDYHSLNGAASPGFLSMLSPRRLFSPRRDSPKHH >SECCE2Rv1G0108480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:664360336:664361591:1 gene:SECCE2Rv1G0108480 transcript:SECCE2Rv1G0108480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAGDSNASAPLLQATKISIPASSTVGAAEAALLGKGRYKVWALAAIALLALWSMSAASVSLRWSAGDLAAVVSGDLDAPLRDDLDSLEMEEREKLVGRMWDMYTRTSDEVRLPRFWQEAFEAAYEELAGDDMQVRDAAISEIARMSAHRLELEQPVNANEV >SECCEUnv1G0545940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:147954618:147961328:-1 gene:SECCEUnv1G0545940 transcript:SECCEUnv1G0545940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDYHQQQSIVGGRAAVHHGHGGGGGGTVEAALRPLVGGAHGWDYCIYWRLSPDQRFLEMTAFCCSAEFEAQVASLADVPSSIPLDSSSIGMHAQALLSNQPIWQSNGGAPGPDLLAGYEAAAGGGEKTRLLVPVAGGIVELFASRYMAEEQQMAELVMAQCGGGGQGWQETAAQGFAWDAAAADPGRLYAAASLNLFDGAGGSGSGEPFLAGVQEDGDAGVGWQYAAESSEPPSTVAQVHQQLHGLGVGRADSGSERSDMQLGDPDDNVDGETQRGSGKDGGGKRQQCKNLIAERRRRKKLNGRLYTLRSLVPNITKMDRASILGDAIDYIVGLQKQVKELQDELEDPNPPGGAGGDSKAPDVLLDDHPPPGLDNDEDSPQQQPFPSAGGKRPRKEEAGEEEEKEAEEQDMEPQVEVRQVEVKEFFLQVLCSHKAGRFVRIMDEIAALGLQITSVNVTSYNKLVLNVFRAVMKDNEAAVPADRVRDSLLEVTREMYGGGGAWSSTLPPPAPANAC >SECCE3Rv1G0201320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:863091755:863092840:-1 gene:SECCE3Rv1G0201320 transcript:SECCE3Rv1G0201320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSIIHYGQASGYSIEVSAASGYHLLVVNSYARPKYCHGSTGTVIRSLPFKVGGHRWCIQYFPNGNAAECAGSVSLFLALLDENVTEPLKVQYDFSFVDELEKQDPAYIRANEPHGFSSSHPCWGYDGFIKRDALEKSKHLNKDSFTVRCDLVIATTVDLSIKVPPSTIHQHINDLLVSKEGTDVTFNVGGETFVAHRCVLAARSTVFKAELFGPMKEGTVASVVQIQDMEAKVFRALLSFVYTDSLPDMEIDMGKEKESAPEALWLQHLLVVADRYDLQRLKVLCEEKLCKHIDIKSVKTIFTLAERHNCHELKEVCLEFLKAPANLKEITTADVFDDIVRTCPFLLKELMGKFAS >SECCE7Rv1G0522820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879304112:879305244:-1 gene:SECCE7Rv1G0522820 transcript:SECCE7Rv1G0522820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMAKIGDAASRCTSIDLSDLFSAFTSDIVCHVVSGKIFRKQVHDKLFWELIDANALLIGGFNLEDYFPMLVNLGIIKRMVCAKARKVNKMWDEILNNLIDEHASKPMSKHNNEENDFIDVLLSIQHEYNLTRDHIKAQMAIMFEAGTDTSFIVLEYAMIRLVQNPHLMQKLQDELRSSIPKGKEMVTKDDINILSYLEAVIKETLRLHMPTPLLVPHLSMIDCNIKGYKIPSGMRTIINSWALGRDPSSWENAEDFLPGRFIGGGSAAIMDYKGNDFAYLSFGTGRRMCPGFNFGIATIEIMLANLVYRFNWKLPEESMKGGISMTELFGVTIRRKEKLLLVPVVPED >SECCE4Rv1G0228190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:131788476:131790481:1 gene:SECCE4Rv1G0228190 transcript:SECCE4Rv1G0228190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSLSCRFLTIALCDAAEPWQLGSQDAATPMMQGIIDLHHDIFLFLILILVFVSRMLVRALWHFNEQTNPIPQRIVHGTTIKIIRTIFPSVILLFIAIPSFALLYSMDGVLLDPAITIKAIGHQWYRIKFGGRRASTQPYEYSDYNSSDEQSLTFDSYTIPEDDPELGQSRLLEVDKRVVVSAKTHLRMIVTPADVPHSWAVPSSGVKCDAVPGRSNLTSISVQREGVYYGQCSEIRGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN >SECCE2Rv1G0127770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:855935027:855939874:-1 gene:SECCE2Rv1G0127770 transcript:SECCE2Rv1G0127770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLAAASHCHRHHILLPARPSPGCFNLRTPNRGRTCPAFPSVLCSSSSSASPQPTAGGEEEAEDGEEGRGRRLSKQSSWEATDGQGDDYLYRLGKEADNMNIAVGARSGIVDDLFVGKFLGRDSDIVFDYRQKATRKFEYLQGDYYIAPAFLDKVAVHIVKNFLANNLNIKIPLILGVWGGKGQGKTFQTELIFKAMGVEPVIMSAGELESERAGEPGRLIRDRYRTASQVVQNQGKMSCLMINDLDAGLGRFGNTQMTVNNQIVVGTLMNLADNPNRVSIGQKWRESDITHRIPIIATGNDFSTLYAPLIRDGRMEKFYWQPTREDIVNIVHRMYTKDGLSFEEVSSIVDTFPNQALDFYGALRSRTYDQAILQWVNDIGGYEQLGEKLLKRKNREKLPTFIPPKPTLDALIQSGNSLVEEQAFVMNSNLSKEYMKNLED >SECCE5Rv1G0336940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588007535:588012722:-1 gene:SECCE5Rv1G0336940 transcript:SECCE5Rv1G0336940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKAVDDAMESAVGAHFSGLRLEALRLPAPSGPSSPSSSTSAGAAAAAALSNGLAHAHADVASPSSLRQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESASAQDYNFDHPDAFDTEQLLECMGQLKRALPVNVPIYDFKNHRRCSERFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDVSSVLDQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGMHDLCKVFRNVFVVQSTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQIITPTGSVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPMDIDERHVLLLDPVLGTGNSANQAIDLLRRKGVAEERIIFLTLISAPEGIQCVCTRFPKLKLVTSEIDTGLSEEFRVIPGLGEYGDRYFGTDN >SECCE2Rv1G0074110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73625562:73625867:-1 gene:SECCE2Rv1G0074110 transcript:SECCE2Rv1G0074110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVWVFKNGVMELEQEATSRKALVYVPANETMRSLEALERRLGSLGWERYYEDRAVVQLHKRGGVDLISLPRDFSRLRSTHMYDVVVKNRDHFKVVDL >SECCE3Rv1G0196220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:806763670:806764335:-1 gene:SECCE3Rv1G0196220 transcript:SECCE3Rv1G0196220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTPQPLLPVTNPSSGGGGSAPSSGGSLTDSALATPAFRLFLSRVSDTARRSLADRRPWTELIDRSAISRPDSLSEATSRLRRNLGYFRVNYAAVVAFSLAASLLAHPFSLLVLLSILGAWCFLYVFRAPDQPVVLFGRTFTDRETLLGLVVSSLLAFFLTSVASLIISGLLVGGALVAVHGAFRMPEDLFLDDSSSVSSGNTSHRLLSFLASPGSGV >SECCE2Rv1G0079820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:123196180:123198138:-1 gene:SECCE2Rv1G0079820 transcript:SECCE2Rv1G0079820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGTIVFASVGVTSFGFDVFSVAAPQTPESASAAGLAERRHTDGVSVNFNAQFADDGGEEVAFVSERTGSAGLFLCRPGSGRAVPLPAVEGSLFHDRPTVRGGRLYFVSAHEKPAAWFRSWAAVYATDLGSSKDDTVRVTPQGVADMSPAVSASGELLAVASYGERPWAFDFQELETEVAVFRAADPARRVVVAARGGWPTWHGEGTVFFHRVADDGWWSVFRVDISPETLEPRGAETRVTPPGVHCFTPAAVGRGCRWIAVATRRKGRAQRHIELFDLETEQFTSVTSLLNPELHHYNPFFSPSGERLGYHRFRGAGAPGDSLIPYRQPVRSPVSSIRMLRVHGSFPSFSPDAKYFALNGDFFKSPGVTILRADGSKRWVLAKQPNLFYTSWSPSESGVVFTSVGPIFETPKATVRVARIEFDTSDLTDDRGDLDVAVKELTRPEAGNDAFPAVSPCGRWVVFRSGRSGHKNLYIVDAARGEETIRRVTEGEWIDTMPSWSPDGEMIAFSSNRHEPANAAVFSIYLVRPDGTGLRRVHVAGPPGSAEADKERINHVCFSPDGEWLLFTANLGSVVAEPISGPNQFQPYGDLYVCRLDGSGVLRLTCNAYENGTPTWGPALGVESLSLGAPAADDSLGEFDEPLWLTCDV >SECCE5Rv1G0340640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:616439692:616440399:-1 gene:SECCE5Rv1G0340640 transcript:SECCE5Rv1G0340640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGLEILSSSSNENASTAKRPAGRTKFRETRHPVYRGVRRRGNAERWVCEVRVPGKRGARLWLGTYATAEIAARANDAAMLALGGRSAARLNFPDSAWLLAVPSAHSDLADVRRAAVEAVADLQRREAAGGSITATAAEEASCGAPAESSSESDDAGSSETSKPSADGEFAVPGGMDIEMLRLDLFPEMDLGSYYVSLAEALLMDPPPVATGTGAYWDNGECGEGATEFALWSY >SECCE5Rv1G0332910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:553008811:553009533:1 gene:SECCE5Rv1G0332910 transcript:SECCE5Rv1G0332910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVDCELDCGDVDCELDCGDIDCGECLSVCCSSACDGGGYGGGAWPRPYHSYHHHNSGCNRCTCFCCCLLLVILVLLIFMPYAVVFPAHVTVEDASLARLALAGPNGTMLAYDVSLAVAVHNRNWASLAKLGAVDAELRFAGARIAGVRMQGQGSSREIQPAKTDVYHVAAAGESGQLGSDGVAEFVKETAAGGVFRLELKLSGEVRYPPHTRVHRLEATCPLELPLSSPALTKKVECV >SECCE5Rv1G0324260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:444887637:444891527:1 gene:SECCE5Rv1G0324260 transcript:SECCE5Rv1G0324260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWANRPRSSLLASFLAASCLLVAGSPQELHEASGSRILHQTKPHVHEVHCSRERSRAAWKAVDEYLMPFVEKEKYELPSKCRLRPENDMFREQEQHKIHYDVNEWRCGFCKKSFRAEKFIDQHFANRHNNLLDNTQGRCLADLCGALHCDLTMEFKKPKSKCNAAAASRNRHLCESLADSCFPINQGHSASRLHEFFLRQFCDAHTCNGGSKPFPKGGRKQTNRFYLALCILTMLLLPVFYLIVFLHQREMKKGDQVFKRIGKTAHKKKPS >SECCE1Rv1G0058510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701050001:701050267:1 gene:SECCE1Rv1G0058510 transcript:SECCE1Rv1G0058510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSSSRSTSPSSDSEWSKKENKMFEEALAYYGVSAPNLWEKVASAMGGTKSAEEVRRHFQILVDDINNIEHGRIPFPKYKTQGFWT >SECCE4Rv1G0250990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:598145908:598146183:1 gene:SECCE4Rv1G0250990 transcript:SECCE4Rv1G0250990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSNSFGTSWADQWDTGADPSPRARGNGNGGNGDGKKGGVEKTKAAAATGLKKVKAGTAQGFQWIKDKYQKKSAGKNGKQGAGSEVAAGY >SECCE3Rv1G0182770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:636625188:636625436:-1 gene:SECCE3Rv1G0182770 transcript:SECCE3Rv1G0182770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAHPVGETTPTTYGSVGGGENRTRTDLRSREDQGNIQIDKVQDKVEDAASRKVDDSAFAARKEPGQAGDAGATGTGA >SECCE5Rv1G0336310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583645270:583647328:1 gene:SECCE5Rv1G0336310 transcript:SECCE5Rv1G0336310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARDRRRRGRAPGAAAAAQDDGEEQHLNPFLSDAAPSSSRVQFRNVASRARWVEEAGAAEVVDSKGKLWLTTGVTRGGKLYYNVEEIGFLAERGALIFLDDEGGTIGMEDIYGKIAGGKYGCSWDAFQAYKHLKLLGYIIGRYGVPWTMKHSPTCETADSLESMPDTDQSFDRADGVCNGITKLLKEMHIDGLRPSFEVYLPNSKFRKSSPGAPCFLLSMLRDKPPSRDELEAVESKCDGIPLKFCQVDNGRVSFLSFDKVLLPSLP >SECCE7Rv1G0514240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:831472152:831475428:1 gene:SECCE7Rv1G0514240 transcript:SECCE7Rv1G0514240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGTTASPATKATAMPFLSSSSFSLRASKSSNLWPTRRLPVNVRCVSSSPAVAYTTKVSRNANIAKLQAGYLFPEIARRRAAHLLKYPDAKIISLGVGDTSEPIPEVITNAMAERAHALSTIDGYSGYGAEQGEKKLRAAIAATYYSDLGIEETDIFVSDGAKCDISRLQILFGSNVKIAVQDPSYPVYVDSSVIMGQTDLYQQDVQKYGNIEYMRCSPENGFFPDLSSVPRTDIIFFCSPSNPTGAAASRDQLTQLVKFAKDNGSIIFYDCAYAMYISDDSPKSIFEIPGAKEVAIETSSFSKYAGFTGVRLGWTVVAKELLFSDGHSVAKDFNRIVCTSFNGASTISQAGGLGCLSPEGLEAMQDVVGFYKENTKIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKAHVVTTPGIGFGPSGEGFVRVSAFGHRENIIEAARRLKQLYK >SECCE3Rv1G0163120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:144942879:144949002:-1 gene:SECCE3Rv1G0163120 transcript:SECCE3Rv1G0163120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B [Source:Projected from Arabidopsis thaliana (AT5G22110) UniProtKB/Swiss-Prot;Acc:Q500V9] MAAPSAAMRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDEALDLLLDELDKEPLKSSILDRDAVHRVVSLLVDAEEAVDAASPSATSVQSALRVVDSFVVPRFHYDPIKKVFYEHTGRLALHGEAGDKAALYRDRYQVLLQRLARDKYFSKPAFESVMTENESCEITSIQSLVGCTGRRWIMGVISQLEERQFYLEDLTGAVPIDLANAISLEPLPCFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDRETSLSLLMGLDFFGGGVIPTEEALRLSSLENKAVNDMFVILSDVWLDSYETMEKLGVVLDGYESVEVVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIASRTRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLIEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPDMIVLADKSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES >SECCE5Rv1G0338630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:599236177:599236739:1 gene:SECCE5Rv1G0338630 transcript:SECCE5Rv1G0338630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPHGCRRRVGGTLEEMLQRRQMERLPALRLALVSLLAFCCLIHASRAAVSFPPAAPRAQLQETDTILASSGADGQAVVTGDVDRGVVSRRMEMGMELEDYPGSGANDHHSPRWQQERRN >SECCE1Rv1G0059220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704325233:704325964:1 gene:SECCE1Rv1G0059220 transcript:SECCE1Rv1G0059220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTAALATELDGLLAMARELEARVDGDQGAPGEARELCAELAASVDRAVRLAGSSPRGGNAGGRASVNGQLRSGRKAAAVRAQVRVASMQDLGPLDDGLSWRKYGQKDILGAPYPRAYFRCTHRHSQGCQATKQVQRVAADPLLFDVVYHGAHTCAQAAVLVGTGQQPPASFGQEQQQQNSPAAVPEGIQWPAEPVMPPSFPSSPASSWCQLTGGYGYAAGGGLGADMEFDELYLNLSEFF >SECCE2Rv1G0136010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910011556:910013898:1 gene:SECCE2Rv1G0136010 transcript:SECCE2Rv1G0136010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRAPAERSTAASLHAHVLHLHQCGGAGAGNLLRRAHAAALTSGALAASLPLAGALILSYAAVADLPSSRRLLLHHPLRLRSAFLWNSLSRALSSASLPAEALRVYNLMLRSAVSPDDRTFPFALHAAAAAADAHAAKGLELHAAALRTGHLADVFAGNTLVSFYAACGSARDARRAFDEMPARDVVSWNSLVSAFLANRMFDDARRALVSMMGSGVPVSVASLVSVLPACGVEQEQGFGLAVHGLALKTGLDSVLNLGNALVDMYGKFCQVEASMRVFDVMPERNEVSWNSAIGCFLNSGLYGDVLAMFREMSEHGVMPGSITLSSLLPALVELGYFDLGREVHGYSIKRAMDSDIFVANSLVDMYAKLGSLEKACTVFEKIEAPNVVSWNAMIANLVQNGAETEAFRLVIKMQKDGEQPNSITLVNVLPACSRMSSLKIGKQIHAWSIRTGLVFDLFISNALIDMYAKCGQLSLAQNIFDLSEKDDVSYNALLLGYSQSPWSFESLNLFKEIRSAGIEYDSISFMGALTACTNLCAFKQGKEIHGVLVRRSQSNHPFLANSLLGLYTKGGMLDTARKIFNRITEKDVASWNTMIMGYGMHGQIDVAFHLFDLMKDDGVDYDHVSYIAVLSACSHGGLVEKGKQYFSQMRAQNLEPQQMHYACMVDLLGRTGQLTESVELIQDMPFHANSDVWGALLGACRIHGNIELAKYAAEHLFELKPEHSGYYTLLINMYAEAGRWNEASKIRKLMKSRKVQKNPAYSWVQSGNKLQAFLVGDG >SECCE6Rv1G0422820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692069554:692070894:-1 gene:SECCE6Rv1G0422820 transcript:SECCE6Rv1G0422820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINTLQSCSMFKGAKAEARRGKASGGRSFGCWASTFMDGNGLRLGLDENPDAIISGEWPENFSLLSYDDLRAYLQSQQQQQQPPAHAADQQRGPLLREAMSTPVLMATAEQTLVEVEGQFQLVSGLPVVDSARRCIGVVVKSDRVRASHGSKTKIADVMTSPAITLSCDKTVTDAAALMLKKKIHRLPIVNQDNQVIGIVTRDDVLRALEAMLKI >SECCE2Rv1G0075730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:85338905:85339916:1 gene:SECCE2Rv1G0075730 transcript:SECCE2Rv1G0075730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVKHVVVVAKAKKSRAEPASSSFASHFSAASTAQRLAGKVAVITGGASGIGKATAAEFVRNGAKVVLADVQDDLGRAVAAELGADAACYTRCDVADEAQVAAAVDMAVARHGRLDVMFNNAGISGSLAPVPLGSLDLADFDRVMAVNTRAMLAGVKHAARVMTPRRRGSIICTASAAGVLGSVTPHPYSVSKAAVLGLVRAVAGEMARSGVRVNAISPSYILTPLVMRTMEEWYPKATADERRRILEEDVNEMEGTVLEAEDVARAALYLASDEAKYVNGHNLVVDGGFTVGKAPNMPAPAL >SECCE4Rv1G0216570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:11785348:11787693:-1 gene:SECCE4Rv1G0216570 transcript:SECCE4Rv1G0216570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPELPAAAMELGQVLGFAPPASKDPRSGGFTQAAPYPYPSPFLDEQKMLSFSKAAAAHQPPSSGMDFGRSNEQRLLLARSKMPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFRPSDRVTWRPVYQGFTNADSDPEPGRCRRTDGKKWRCSKEAMAEHKYCERHINRNRHRSRKPVENQTRKNAKETPAAGSLSAAVSQGGCKKAKASDELKPGSVSYWTDSLNRAMVSKARGNNPEEGNSAPLLNSTNQQHTLSLLSQLKQQNKPDKLSPAVDSESISSNTVLNPWERSNQQSSKDVSSMTLHDRGCLQSVLQDFSMHKNDKIESQKNNASVPSTFYSSTEGPRISCLASNMMQVQEDCISSSWEIPQGGPLGEILTNSKNTDDLTNKCESRSYGWLLSLDEHEM >SECCE4Rv1G0293190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885001979:885007988:1 gene:SECCE4Rv1G0293190 transcript:SECCE4Rv1G0293190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQLLRHSRKLRNLRSVVDCERTSLARYFSTGSGSSAIKENGVEKRIGGARFSQNKQPGKQLETVKVSLGGVNGSTTCRGMLINRVPSAVTGLNGSLSCGRVASARSFSSSADLPPHQEIGMPSLSPTMTEGNIAKWLKKEGDKVSPGEVLCEVETDKATVEMESMEEGYLAKIVQGDGAKEIKVGEVICVTVEEEGDIEKFKDYKPSAADAPAAPSESKATPEPAEPKAEEKVPAKAPEPKAPKAEEASRSGDRIFSSPLARKLAEDNNVQLSSVKGTGPDGRIVKADIEDYLAKGGKSESFAASGLDYTDIPNAQIRKVTANRLLASKQTIPHYYLTVDTRVDKLIKLRGELNPLQEASGGKKISINDLVIKAAALALRKVPECNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVVRDADKKGLGTIGEEVKQLAQRARDNSLKPQDYEGGTFTVSNLGGPFGIKQFCAIINPPQSAILAIGSAEKRVIPGSADGQYEFGSYMSVTMSCDHRVIDGAIGAEFLKAFKGYIENPTTMLL >SECCE6Rv1G0434950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:768985962:768989972:1 gene:SECCE6Rv1G0434950 transcript:SECCE6Rv1G0434950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAANKAPGSGGARRVLLFPLPFQGHINPMLQLAAVLHARGLHVTVFHSAFNAPDPARRPAGYRFVTVGASVPIEDLVPTGSHGNFAEALLRINERLEAPFEDRLRKLLVEEEGAPACLVVDSNLRGMQVVSHRLGVPTLVLRTGAAACLLAYMAFPALCDKGVLPPQDHLQLNMQLDGLHPLQQQDMVFSDTIPHETMSTLIERIVESSMCSSGVIINTFSDLEDADLQKIIDGLGVPVYAIGPLNKISLGAQSSLLAQDRTCLDWLDKQEVGSVLFVSFGSLASMDQEELVETAWGLVNSRMPFLWVIRPDSVQGTGKICLPDGFEEEARGRGMVVSWAPQQEVLGHQAVGGFWTHNGWNSTLESICEGVPMICRPHFGDQMINARYVQEVWKIGFVLEGKMDRDNIQRSVKKLFCHEEGGEMRRRANNLKDKATRCIKKGGSSQTGIDLLVNCIMALPSSI >SECCE1Rv1G0037530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:526007313:526011610:1 gene:SECCE1Rv1G0037530 transcript:SECCE1Rv1G0037530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAARSLALFSSAASLGLGRASSRLALSSSPSHSGLLPRPALAFSRRASFAATAVVMGKAGAVDAVADAGMDAVQRRLMFDDECILVDEQDNVIGHESKYNCHLMEKIESGHALHRAFSVFLFNSKYELLLQQRSTTKVTFPLVWTNTCCSHPLHRESELIEENCQGVRNAAQRKLFDELGIQAEDLPVDQFIPLGKMLYKAPSDGKWGEHELDYLLFMVRDVKLNPNPEEVSDVKYVNRDELKQLIKKADDGEGGIKLSPWFRLVVDNFLMGWWDHVEQGTLKEAADMKTIHKL >SECCE5Rv1G0298020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:8918303:8924270:1 gene:SECCE5Rv1G0298020 transcript:SECCE5Rv1G0298020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLLRQQLAAIVPVDAPTDAELDERATLVKIKPTCCDGWICLICQRLNEPKDNLLCKIPPFKCGTTDCKGKCPGTAGMNINDCEVNGVRTSFEIRDQGPNPTCAANALLAAMDASIRIEGALRAVTICLPLNIVDLFAKYYPLFREGVGNEVVEADRKRRIPNLLRIAQLLGVKYVCASECVQTDRVLKLKSWFLLRTTTTEVDKLVRLIASGFPLLVGMRTGRCFKMTANKELYRGPDKEKNHAVLLIGVEVNQLFLKDEETGQSTNVHRVLFRARDSHGVDAHKCAELTGYGGDVYLLPEDLGSHVFGFRLEIPSYLTVAP >SECCE5Rv1G0356960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742790947:742793006:-1 gene:SECCE5Rv1G0356960 transcript:SECCE5Rv1G0356960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGGIPSSPAPAPMPAVAAPLEDENLLPEILVRLAPLPSALPRASLVCKRWRRLLTDRPFVRRFRAHHLGGPPPLIGFFQEVTRKPDPHKPAPDAPNVLSFTPAMDPPDRVPFGRFSLRLHDGSGRSNLGCRDGLVLLIDPKAADIEVLVWDPVTGDQHRFVVPHALDDRQGTEILNGAVLRTVGVLDERPFRFRVVMAGIDRPNKRLFTCIYSSETGKWGDPIWVSVEHTSSAPAIVDMRISSTLVPVGKCSDGSRSFRAMRMDGGELGILELLDSNLQLWKRKIDGDGVVSWVLGKTIGLEELLYIDKRKMGPMMLGYCEDNNVVFIWTHHGIFMVQLESLEVYKPPVEIYYCLVHPFTSVYTADMDIGGEPDEAKLLCNAMHRVTL >SECCE3Rv1G0159140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:94207016:94207977:1 gene:SECCE3Rv1G0159140 transcript:SECCE3Rv1G0159140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKPASEVGVGGDVEAHKERKGLWSPEEDERLYTRITRHGVSTWSSVAQLAGLRRSGKSCRLRWMNYLRPDLKKEPISKREEETIISLQKSLGNRWSVIAAKMPGRTDNEIKNYWNSRIRKRQNTSAGGKGDSGLAKQGDLPAADDKASVLAATGEKEKEPAGSTATAAAAPPVPGLPTRFPLFACQLLGGSGGDAAIAAAGTTGTESTTTHENNGAGSESDVSVGNGGGGGQDGREGHYYYCAVDGDIDMIHLMSFDDLLEYPAGDLVMDAWDQNGLYSTNTGSSVD >SECCE4Rv1G0214890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:2080103:2081052:-1 gene:SECCE4Rv1G0214890 transcript:SECCE4Rv1G0214890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLDHEHYTNHIKDHKEDEPFLNNPIKHYEEMSVIVGASMATRKYAKGSSDPLGTYVIDLEEPKANKAAAPHEEVAQSPTCGESAAPKLKKAKTNPSTEDRMHATIMASSERLAVAIEKLVSSANPAIDGLWDEMKELSGFDLDSLAHYYAYLVDNPRVATAFKVLGDVQRKVWVSRYVKSTFPEAEA >SECCE5Rv1G0344110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:643548960:643549808:1 gene:SECCE5Rv1G0344110 transcript:SECCE5Rv1G0344110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTAAASNKGNGASSTSSMCRQLTKLFPLHLIIVLLLGVATNGRPEAPAARSFVFHNACAHPVWVGALNGATSPRLARTGFYLASGATDAVAAPSSGTWSGNFWARTGCAVDASTGRLACATADCGTGGVACAGHGPAPPVTLAEVTLAAPGGGGLDFYDVSLVDGFNLPLSIAPDNNGAGGACRAAVCAGDVNAVCPSDLRVVSGSGEVVACKSACNAYGSARYCCTGDYSTPAKCGPTNYSQVFKAACPAAYSYAYDDASSTFTCAGAATYHITFCPIT >SECCE1Rv1G0045740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:611536655:611537608:1 gene:SECCE1Rv1G0045740 transcript:SECCE1Rv1G0045740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSTSSAADERVYCPECNRATEVVLDHGTGDTICTECALVLDAHYIDVVSEWRNFADDGGGEDRDPSRVGASGDPFLAAKLSTVIDCTSKPKRSSTNGVAANVPPRLSVPDAEAASDQTLVDGFRGIADMADRLGLVATIRDLAKETFKKLDEAKGCPRGRKRDSVYAACLYIACRNLGMPRTYKELASVTAGGVAAKKDVGKMTTHIKKLLGEEDGQVMDIGVVSASDYLRRFCSRLGLGNQEVRDAQEAVRRVEEGLDVRRNPESVAAAIIYMVVQRASASRSAKDVSVATGVAEGTIKEVHKDLAPHAQMLFG >SECCE5Rv1G0334060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:563641786:563642292:-1 gene:SECCE5Rv1G0334060 transcript:SECCE5Rv1G0334060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPQAAAMASEAGAGVDADAEAYRIRPLELGDISRGFCDLLAQLSPSAPLTEDTFRSRFAELAALGADHLVLVAEDAGTGRISAAGAVLVERKFIRRCGTVGHVEDVVVDAAARGRGLGERVVRRLVEHARARGCYKVILNCTPELRGFYAKCGFVEKNVQMGLYF >SECCE2Rv1G0084070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172717870:172719027:-1 gene:SECCE2Rv1G0084070 transcript:SECCE2Rv1G0084070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVCTSWRRATKPAVPCPSPRLLPWLLLPLAQGPSFACIMSGGGRHILDLPEDARRARFFGSYEGRWLFLALRRTEGHVLLNLRTRERIPLPDVEYGDIRLVGQGANRSLVRGPPRPVVMLAATLSSAPAVDGGACVAAAILTMQRRYGTGKWRYVCFWRLGSRLAIDQEEINSPCGTSPEDIVYLDGRFLVLTKGEHLRAYTVHDEPDPIRGGDLRAECRLYLTGRDKKMQDGESRAGYLVESRGELLMVVKEWMDDGAASCIQVFVLTAVPHDNPQLTRVWTRLERLDGRLLVISPGCSRAYECVDFSAGCIAEGVYFLDDRTYYNTTYFGAYFNLQTNSDEFTCTDNGSCNLLLASPHQCFPRKPGEGFSSSYSPPVWLLH >SECCE4Rv1G0278920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811442706:811446329:-1 gene:SECCE4Rv1G0278920 transcript:SECCE4Rv1G0278920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPGNAGPSSASRPANQAVSLREETSGKTQADVPSLLRIQHLQRLGAWASGEAGVGLIGALLGRRLATNAEAAGIPIGSSTFLCQRCESILQPGFNCTIRIKNNKKKAKRRKKSNPGQNSVVYACHFCGDQNLIRGSGKGIVKGLLSSRNPVSTSIMLKGDNMNVPTVATTKGIEHSVTAALQLEPSRLKTPTLEKDKQGNGPKSNHPGDSKTEKGAVFSMVDCGQLPAAHEDIPQKIEVESAHDKCTNGIEPVSSKNTTTCEPDVTSQAEFLVGSNFVTPRKTKLAEETAPKASAEAWKTRSTLNSKGQNCGSVAGKTPGSYSKSASNTKPAPGDSTQPAGSSRKRARKGWTTLKQIAEKEELERKQKMDNFVIPFFMQ >SECCEUnv1G0551700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:238434176:238434760:1 gene:SECCEUnv1G0551700 transcript:SECCEUnv1G0551700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKHLCMAKFKEGVVVDDIIQELTKLAAELDTVKYFGWGKDVLNQEALTQGFTHVFVMTFASAEDLAACMGHEKHCAFAATFMAALDKVVVMDFPFVFVKPAPQPA >SECCE4Rv1G0291110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875918784:875919813:-1 gene:SECCE4Rv1G0291110 transcript:SECCE4Rv1G0291110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSSNASALVALLLSALVASVSSAANYDTPAARSYNSGWLPAKATWYGAPTGAGPNDNGGACGFKNVNKYPFSSMISCGNEPLFDGGAGCGSCYEIRCVAANNPSCSGQPRTVVITDMNYYPVARYHFDLSGTAFGAMAKYGLNDKLRHAGIIDMQFRRVRCNFPGMKVTFHVQRGSNPNYLAVLVEYANVDGTVVRMELMQTRNGRPTGSWEPMRRSWGSIWRMDTSRPLQGPFSMRITSDSGKTLVANNVIPAYWQQDKAYWSNVQFY >SECCE7Rv1G0505250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:732218658:732221784:-1 gene:SECCE7Rv1G0505250 transcript:SECCE7Rv1G0505250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAASSRHGFLRLVAAAAVLAAFGVGAARAAGSSDDRAMLSVASLFPGPACPTTAERGPSAAASARMRIVHHHGPCSPLADAHGKPPAHDEILAADQNRVESIQRRVSATTGRGKLTKRAAPVQPGPKKSPGHSASSSTPSLPATPGRALSTGNYVVTVGLGTPASKYTVVFDTGSDTTWVQCRPCVVKCYRQKEPLFDPAKSSTYANVSCSDPACGDLDSNGCTGGHCLYAVQYGDGSYTVGFFAQDTLTIAHDAIKGFRFGCGEKNSGLFGKTAGLMGLGRGKTSLTVQAYDKYGGAFAYCLPASSTGTGYLDFGPGSAGNNARLTPMLADKGPTFYYVGMTGIRVGGQQVPVAESVFSTAGTLVDSGTVITRLPATAYGALSKAFAKVMSASGYKTAPAYSILDTCYDFTGLSDVALPTVSLVFQGGACLDVDVSGIVYAISEAQVCLAFASNGDDESVAIVGNTQQKTYGVLYDLGKKTVGFAPGSC >SECCE4Rv1G0266830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:733807382:733808857:1 gene:SECCE4Rv1G0266830 transcript:SECCE4Rv1G0266830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVRVVNVTRVHPARATAAADLELAFFDMAQIAKIPIQRLFFFDGPGLPPFLSVVSALRSSLADTLAVFFPLAGELAFRPSSGAVVVDCSPAAVSSGVRFVEAEYLGSADDMRRMAQEEEHDTEAFSQLVPDLDVAQLPARVLAVQVTRPAAGHGAVAVGVTILHAVADGQAVWQFMRAWSTASREGAGALGGLVPPPTFDRSTIRQHPIAAELSRTFLRFFAPALPLLRPPSSTSSTVDTAKQIRRTFVLTADRIRSLKQQCAPPSGTAGQGQPPASTYVAVSSLVWASLVRAKSMEHADDTHFLVAADCRRRLRPAPGEGYFGNCIRPCFARANAGELRGEGGVARAAAAIRERVREDLERSPDPLEGMERVLDVVRGVPRERLASVGSSHRFMAYETDFGWGAPSRVELVSMFSAELVTLLGARDAGAVQVSVALRRPLMEAFAANFLALAESS >SECCE6Rv1G0451190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871471764:871475348:-1 gene:SECCE6Rv1G0451190 transcript:SECCE6Rv1G0451190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MPPPRLLLALLPLLLLLLPAPSPASPDRDIYALAKIKAALVPSPAATASPNPPLADWDPAATSPAHCAFAGVTCDAATSRVVAINLTALPLHAGTLPPELALLDSLTNLTIAACALPGRVPAGLPSLPHLRHLNLSNNNLSGPFPAPDVPGSPYFPALQVLDCYNNNLSGPLPPFGAKHAAALRYLHLGGNYFSGPIPPVYGDVASLEYLGLNGNALSGRIPPELARLARLRSLFVGYFNQYDGGVPPEFGGLRSLVLLDMSSCNLTGPIPPELGKLKNLDTLFLLWNRLSGEIPPELGELQSLQSLDLSVNDLAGEIPASLAKLTHLRLLNLFRNHLRGGIPAFVAELPDLEVLQLWENNLTGSLPPGLGRNGRLRNLDVTTNHLTGTVPPELCAGGRLETLVLMDNAFFGPIPESLGACKTLVRVRLSKNFLSGAVPAGLFDLPQANMLELTDNLLTGGLPDVIGGGKIGMLLLGNNGIGGRIPAAIGNLPALQTLSLESNNFSGELPPEIGRLRNLSRLNVSGNHLTGAIPQELTRCASLAAVDVSRNRLTGAIPESITSLKILCTLNVSRNALSGELPPEMSNMTSLTTLDVSYNALTGAVPMQGQFLVFNESSFAGNPGLCGGPLSGGSSNDGACSSDSQGGGGGGGVLSLRHWDSKKMLVCLAAVFVALVAAFLGGRKGCEAWREAARRRSGAWKMTVFQQKPGFSADDVVECLQEDNIIGKGGAGIVYHGVTRGGAELAIKRLVGRGVGGDRGFSAEVGTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVALEAARGLCYLHHDCAPRIIHRDVKSNNILLDSAFEAHVADFGLAKFLGGAGAAGGASECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVHWVRKATAELPDAAAAVLAVADRRLSPEPVPLLVGLYDVATACVEEASTDRPNMREVVHMLSQPALAAAAATADNVARPDDDLILSF >SECCE1Rv1G0048490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:635484319:635485122:-1 gene:SECCE1Rv1G0048490 transcript:SECCE1Rv1G0048490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLETEVPLLVYEFISSGTLYDHLHVEGPKSLSWVTRLRIATEIASALAYLHSSVSIPIIHRDIKSSNILLDESMTSKVSDFGASRYIPTDKTGLTTMVQGTIGYLDPMYFYTGRLTEKSDVYSFGVILVELLTRKKPFSYFFLDGDGLVSHFVKLLADQMLVQILDPQVIEEGGKEVHELSILAASCIKLNAEDRPTMRQVEHTLEGLVVSKKFIQNNVEVEKISENDITMMNCWLKKERKSPPECSRRYSMEEEILMSARYPR >SECCE6Rv1G0424080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:699152856:699156022:1 gene:SECCE6Rv1G0424080 transcript:SECCE6Rv1G0424080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDSEMPHPDAHAAAATRPRAQLGDEHGPASVYRVSALEKAIAGFEQRETEAVVNPTVGTVFVSLDEAYEFYNIYSWESGFGVSYGPSSVDSHGTKCIQQFVCACEGKPTKDINSFRRCECTASILLLRSRDGGWCVCEHQVGHNHPLSKRCAQRSSWQCHSSIDKNTRGLIRQLRENNVPHIADNEAKDLLSEDLRKTVETFARIKAKDPTFEYSGLQVDSNCRVRPLLWTSGQSVQYQYFGDVIIFDTTYRSDVYGMPFGLFVGVNNNFETIVLGGVLMMNEKMESFKWVLSQFFRLMGGKHPQTILTDRCEAIEEAVVEVLPSTTHRWFKWHVLGRAKEYLGYHYTKTSSFRVELHRILTDTLTTDEFERAWEMLLEKHGLENHPFLQEIYEVRHKWVKAYFSDTFCATLTSTQKSESAKHLLKQHVPRDCSMELFVQQYVELRSDQEPDYGFDDKETTMDEIVLKTNLPIEKHASEVYTRPVYELFVQAIRESESYMVEAVIPNLKYIARHPSSETREKWSRVEYEVNVREDGEAFMCVCKQFEHTGMLCCHAVKVMIHLGVREIPRLHVMPRWTSKPLQCQMHCRGEPQDDTCQQCRHSVLHDKLFGLARRACKDERYYEVLMSGLDRMERGMAAAEAAPPPRRAKPASRKSRRRKR >SECCE2Rv1G0130630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:877791664:877791951:-1 gene:SECCE2Rv1G0130630 transcript:SECCE2Rv1G0130630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVAVPTEFRLRNNTACSWKVTVKLMNGRVTLDQGWATYAAVHQIKIGYMTTFKLLAPDTLKVIIFDDDGIEVVNKCGKHDEALAAKD >SECCEUnv1G0543040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:106761907:106762416:-1 gene:SECCEUnv1G0543040 transcript:SECCEUnv1G0543040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSGRGKGKAVSKTVSRSSKAGLQFPVGRIARYLKIGKYAQRVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGAVTIAAGGVLPNIHTTLLPKKVGKGKGDIGSASQEF >SECCE1Rv1G0062080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718420745:718422758:-1 gene:SECCE1Rv1G0062080 transcript:SECCE1Rv1G0062080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGEYERYVAMAATAAGAAMVINGLMNELLPSELRDALTLSTADVVRRLRARLSPTHTVVIDEAEGLAPNQLFDAARAYLASLTATASAARRLRASRVDEAHGILVTMDHGEETLDVHDGVTYTWRLVSRDLAGNSGAFAGHGSGAGHNGRRGPHGGCHRSFELSFHKKHKEQALASYLPFVVDAAKAIRDRHRDLKMHMIEYDAWTPVDLRHPSTFDTIAMDGDLKRSVMDDLERFVRRKDYYRRTGRAWKRGYLLYGPPGTGKSSLVAAMANYLKFDIYDLELTEVKSNSDLRRLLVGMSNRSILVVEDIDCSIDLPQRGHEGGERRGRHSFTGGEDNEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYKDRLDPALLRPGRMDMHIHMGYCTTESFRILARNYHFVEDDHTMYPEIERLMEEVPITPAEVAEVLMRNDGADAALNDLIGFLKAKRGEVGASKGVKHHGNNKVGKYEQTMVLYCTPEYFRGVSRDYQSLKDRAMIPEVEQMLSEVPTTIEEVTDVLGRNNGGADAAIRDLIGLLKAKKGDAGENNLANQDGNHNGDDK >SECCE7Rv1G0464770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:64032642:64034068:-1 gene:SECCE7Rv1G0464770 transcript:SECCE7Rv1G0464770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSSTMVKPVYSTPHPLVGEKVPLTVFDRAALDIFVPTVLAYPAPAPSNEALREGLLKAVAPYPHLAGRLALDDQGRRFLHVNNEGVLLIEVAVPVDLADVLVDGRMAAGVEDLYPPIPEPEENIGAALLQIQLNRYKCGGLVVGISCHHHTADGHSMSMFFTAWARAVREGKDYTTPTPFLDRARTAVPRSTPTPVFDHRSLEFTCGDGDAYAVVPMDRIKNLTLHFTAEFVADLKSRVGARCSTFQCLLAHVWKKLTAARDLKPEVFTKVRVAVNCRGRADPPEPMDFFGNMVLWAFPRLQVRDILSSSYGSVVGVIHDAVARIDDEYVQSFVDFGGLADANGEELVATAAAPGTMFCPDAEVDSWLGFRFHQLDFGTGAPSAFVPPDLPFEGLMIFMPSRKANGGVDLFMAVAQEHVAAFEQICYSLD >SECCE6Rv1G0383890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:58437356:58438778:1 gene:SECCE6Rv1G0383890 transcript:SECCE6Rv1G0383890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent alkenal/one oxidoreductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23740) UniProtKB/Swiss-Prot;Acc:Q9ZUC1] MQAFLAYSILPNPCSRSCFPRPTSRPQFLLSSVSGSRTSAAATARRCGGPGRSVVTAASSSPAVAATATDVPGTMKAWAYEEYGDASVLKLDEAVSVPAVGEDQVLVRVAAAALNPVDSKRRMGKFKATDSPLPTVPGYDMAGVVVKVGSQVKNLKEGDEVYGHISEKVLEGPKQFGSLAEYTAVEEKLVALKPKSIDFAQAAGLPLAIETAHEGLERAGFSAGKSILVLGGAGGVGTLVIQLAKQVFGASKVAATASTPKLELLKSLGADVAIDYTKDNFEDMPEKYDVVFDAVGQGEKAVKVVKEGGSVVVLTGAVTPPGFRFVVTSDGSVLAKLNPYLESGKVKPVVDPKGPFPFSQVVEAFSYLETGRATGKVVISPVP >SECCE2Rv1G0087160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:222896733:222898933:1 gene:SECCE2Rv1G0087160 transcript:SECCE2Rv1G0087160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDEVTETGGGGDFAAKDYTDPPPAPLIDAAELASWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDPAVNSAADAACGGVGVLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYMIAQCLGAMCGVGLVKAFQSAYFVRYGGGANTLAAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKEKAWDDQWIFWVGPMIGAAIAALYHQYILRAGAIKALGSFRSNA >SECCE6Rv1G0408280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:570012606:570015122:-1 gene:SECCE6Rv1G0408280 transcript:SECCE6Rv1G0408280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPSSSPSPAPIPTLASAGMRDAAEDSSDSPPSQMSEDGDGGGDSSGSGGAGGGDRWAPDLRGCGNGGGRWAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGVRAVVLKGKPRFADFSLVPQGWGAYVSPWVAALGPAYPRLERICLKRMTVSDDELALIPTSFPLFKDLSLVCCDGFTTRGLAVIAEGCRHLRALDLTEDYFHEEESEVVDWISKFPECNTSLESLVFDCVSVPFNFDALEALVARSPALRRLRVNDHVSIEQLRRLMARAPQLTHLGTGSFRSEPGPGGALSVSELAASFAASRSLVCLSGFLDVNAAYLPAIYQVCANLTSVNFSFAGLTAEEFIPVIHHCVNLRTLWVLDTVGDEGLQAVAETCSNLRELRVFPLDATEDSEGSVSDIGLQAISEGCRKLESILYFCQRMTNAAVIAMSENCPELLVFRLCIMGRHRPDRITGAPMDEGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKHGKLIKTLSVAFAGNSDISLQHVFEGCTRLQKLEVRDSPFGDKGLLSGLNYFYNMRFFWMNSCRLTVKGCGDVAQKMPNLVVEVMKENEGEMDTVDKLYLYRSLAGPRDDAPAFVNIL >SECCE7Rv1G0474300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:169332199:169334679:1 gene:SECCE7Rv1G0474300 transcript:SECCE7Rv1G0474300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTSLSLAFCPLFRPTPQPQFQPRHLDPQFPKPLRRLAPALSCAAVPDGVAIDDIIERDWSFLNASGSQLPRALAAGALSPASRVLAVTPTASFVSALLSESPCELLVAAHESLYVLGGIKEEHDQVRCFHLEGGGGGQGGGVVEAVPGRFDAFDVVFVCYFPGMGVSPAALLRSLAKRCCKGARVVIFLDQGRQSLAQHRRENLDIVFADLPSRSSLEKAADGSKFEMAEFVDESSLYLAVLLFQG >SECCE4Rv1G0263240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:715296439:715298897:-1 gene:SECCE4Rv1G0263240 transcript:SECCE4Rv1G0263240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISKKKSKRGKSKHAKKVAAQRNGANLPQQKPSNAPSSSSDPEDNAALEDWAATRIQNAFRRHKARRTLRCLRGVKRLRIVGQSNPVTKQTSATLSYIQSWNKLQAELRNRRAFMVTEGRNRKKKQENQVKLDAKLQNLQVAWNGGSNTMDEIVARIHLREEAAVKRERAMAYAFNHQWRAKSATSQGNFNYGVGNGGWGWSWMDRWIAARPWEPRSMVTPENTKKGQSKKDNTSTNQSALKLQGAISLSNNTSDRKVPKKKSSPSPDKKKPVAKTEQKAVAKTEQKPKAAGPPKAKSKDMKRNQEKQQQPAVPAITA >SECCE4Rv1G0288910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:862527889:862532419:-1 gene:SECCE4Rv1G0288910 transcript:SECCE4Rv1G0288910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFAAAPAAGVFAAARPSVAPRRRVSGRGASSSSRAAGAGRVRLVRAPPPRAVGGDGGGDLPALDKWDMMELEFGRFLGEDPKLTLAKILLKKSDPDASSLDVEKLVASKKDTLDVILKEFMEANKQDKTATTGEAVSATMKEKQPLGVSRPAVAKPKLDEASLAMIRPVGSKPKQVEPPLTLVRPAASKPKVNKPSLTLMRPMGSKPKVQAKLVQDSWPSKESLAAGTEKSEVGSNATEDIVDVTLRKPVVHQSEDDEQEPELKIKPDLDLKMRKDMDEDLSNISLLQKPEATKETANALGSTLVAAGEDSNEREEGLQQAEESLTQQIDSPALDNPSVTDNNFSMQAFLQGRPRKDLPVETSPSQVDAEKKSDGDDKKGYVDNGGNVLSSTLEDITESDWTRLEHYASTGERVEVELINCSAKGFVVSLDSMIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKQSMSMEEGSEVNDRSIETESVSEVAHQDQGTLQSRLKFEELLRTYDEEKSKFLSSFIGQRLRVSVVLADRNSKRLFFSMRPKESDELIQKRKSLMAKLNVGDIVTCTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYRIGQVVDAKVIQLDFNNSRIFLSLKDVKPSPPVGALEAVIGDEASIDGSLEPAQADFEWPEVDSLIEELKNIEQVKDVYKGRFFRSPGLAPTFQVYMASLVGQKYKVLARYGNNVQEVMVETSLDKEGLKEAILMCTNRVS >SECCE7Rv1G0480650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:272242826:272244526:1 gene:SECCE7Rv1G0480650 transcript:SECCE7Rv1G0480650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKDKGVEPSEFEDTVAQAFFDLENGNQELKSDLKDLYINTAIQMDVVGNRKAVVIHVPYRLRKPFRKIHVRLVRELEKKFSGKDVVFVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGAKVIKIYLDPKERNNTEYKLETFSAVYRRLCGKDVVFEYPVTETA >SECCE7Rv1G0471760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:133874845:133876083:-1 gene:SECCE7Rv1G0471760 transcript:SECCE7Rv1G0471760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSWVLLERRIDIEHDANKTGGESSIFAGAAKKGAPSRQDIADANLAYLRILKPDARFADPPELSSLRILRPTEFTPGICEHISSAYVASADKNLVALFAGPYRPGSGLKGGYLIYDARKNSLSMIPQPPHDYNRLDVGGGGAVVMCLEDGSYVLGELTKVRGSNPTEAVICTWHSSTANWVVTKVASFPSELSYPGHLFRADTCFAFRGSSLCWVDLFKGMVVCDLRAVIQHGAGPECHFVPLPGECPTYDRGQRKQPLRPEEFRNMACVGGSIKFVTMDGYGERPGTEVTMTVWTLSPDLSSWKKGTVYHVVRDIWASEGHLSLGLPRVLPFFPVLSVEEDDVVYLIFTDLDIAVGGRILEYKTQYLLRVDMQHNKVSYHPKSREEMPFQLFHNDILASECSSYLQS >SECCE2Rv1G0133160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895088623:895088895:1 gene:SECCE2Rv1G0133160 transcript:SECCE2Rv1G0133160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRGAKAKDNIRGGVSQGKKHLPTSAAAVAERRRAEKAEEGLRTVMYLSFWGPN >SECCE1Rv1G0031460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:442819878:442830001:1 gene:SECCE1Rv1G0031460 transcript:SECCE1Rv1G0031460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDAPPPTAPSASSSSSASPSLFGGGGGELFESGPSPLVFLPLLLIQGGGMDLSRVGERLLSSVRSARSLGLIPPTPAPTPSRPEVPARAAAAAAAARAIAGLPPHERINLPSNSEDLVSIYGSNPQGPAVAELEEVFYEEEFDPIKYILANISEGAGDATYFDKQSTLRLAQLDKIAERLSHHVMGHHEEMVKGMQLVMELEQDLKVANVICMNGRRHISSSKNEVSRDLVVNVKSKKKQALLDVLPVLTELRHAQDMQMELETFVEKENYFQAFQLLPEYLQILENYSGLSAVQEMGRGIEAWLARTIRKLDNHLLGVCQTFSEESYLTVIDAFALMGDIGGMAEKMQSFFLQEVLSRTHIVLKEMLEEEVGNNTQRNRFTYSDLCVQVPESKLRPCLLKTLESIFSLMQSYYAIMSFCPEAKNNTSQSPSETSADSGRSHSSAVANQDDVAATKSDRMPSSVSNPDASTSGTDAPFYQLRTDATKLVAYTFERGRRNLWQLATSRLSVLLACSAVSSTSIYQFLKNYEDLTIFILSGEAFCGFEASEFRQKLKTVCLNYIVTFHRQNIYALKMVLEKESWTIMSAEASQIISLAGLTGDGAALISPTSRSSTLPKDYFRGNSTATNTGRQSNGFASWLNIGNPFSFKLENGSAESPKGNVLFNSSAGNGNNSPFDEENEDLLADFIDEDSQLPSRTLKTKIVKGNTSHWKDGDISSQTGSSLSLLRMMDKYARLMQKLEMVNVELFKGMFQLFGIFYHHIYETFGYQDRSQSGKPLPDSQSFRLKAALSKITQDSDQWIKPQNSLYPSSSPLSIGSTIAQMDVMPTAPPSSMFTSYGLKERCAAAETVSLVARVLNRSRAHLHSVLSQNNTSVIEEFFGTLVDTVPDLAEHIHRTSARMLLHINGYPDKIANAKWEVKELGTDHNGYVDLLLGEFKHYKTRLDHGGISKELQHLLLDYGIESIAEVLVEGLSRVKRCTDEGRALMSLDLQVLINGLQHIVSSNVKPRLQIVETFVKAYYLPETEYVHWARSHPEYSKSQVVGLVNLVATMKGWKRKTRLETIEKIEAAA >SECCE3Rv1G0147520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15301062:15305177:1 gene:SECCE3Rv1G0147520 transcript:SECCE3Rv1G0147520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGHVAENKDPLSWGAAAAELAGSHLDEVKRMVAHFREPVVRIVGAGLSVGQVAAVALAKVGSNVTVELADEARPRVKACSEWILDSVANGGDIYGVTTGFGGTSHRRTKDGHGLQVELVRHLIAGIFGTGSNHELPSEVTRASMLVRINTLLRGYSGIRFEILEAITKLINKGVHPRIPLRGSITASGDVVPLAYIAGVLTGRPNAQAITHDGRMVDAAEALKIAGIDGGFFKLNPKEGLAIVNGTAMGSALAAMVLFDCNILAILSEILAAVFCEVMYGKLEYTDHLIHKLKHHPGSIEAAAIMEHILAGSSFMVHAKMVNSMDPLLKPKQDRYALRTAPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVQRGKALHCGNFQGTPIGVSMDNTRLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGLKGIEIAMASYCSELQYLANPVTTHVQSTEQHNQGVNSLGLISARKTAEAVDMLKLMSSTYMVALCQAVDLRHLERNIKISVNRCVADVAKKVLNSSCIEEKLMSAADRVDVFSYADDPCSTNYPLMHKLRSVLLEHALASRSNDDDVLSKISKLEEELGLVLPHEVEAARVAVEKGTASIPNMIKGSRSFPLYRLVREELGCVFLTGEKLLAPGEECDKVFVGISQGKLINPMLECLKEWNGEPLPID >SECCE7Rv1G0505370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:734425104:734425919:1 gene:SECCE7Rv1G0505370 transcript:SECCE7Rv1G0505370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLPLSSAAPSFRLAATPGNGVPRLAVTSARSAARVSRRSMRLKSLSVRCEQGSKGGPGLDVWLSRGAMISFIGAVGVELTTGKGVLQNVGLMAPLPALALGLTGVVGVVTAFIIFQSGSSD >SECCE6Rv1G0443390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:822662996:822665633:-1 gene:SECCE6Rv1G0443390 transcript:SECCE6Rv1G0443390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDTAATKRESEAEAEEPVRKKAAGATKTAKFDPWLMDSFDQMFDDARLKHAVARLNKANPGAPPVVPEELTEERRAALLKEDTEWRMKNKDKEIAAARKYIAEQARAPPSPEEAADPSKDKWQLDYDLGREELEMKLAKRAGFEGFDFERNTRIPAMCFAENPMPDDTNHRLTAQIFSVKVAGLDGDLQWPLDVFGMVAVRDKLDYSRNVIFNRTRDNCQTLTEQDPYLVLVGPTRAVVYERFVYFEAMLKVKGSAESEDTDLSLVFERFLCCEKFNLCVSFGKCMLRSCVSSSLYTSKLSTLELTCGLVVSSVEATIAMQIVKGSWPDGSRGQLTTCIGSVGDMNVMLLDSGEEKALVVAADGTIELSRRVVSVERFGQLIVRGVVSRGGRDHDEGKASFAPLDAGRSRGMLDLGGMCKLEITVTWSRILKHPPVGGLPPIS >SECCE5Rv1G0373720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861088405:861090010:-1 gene:SECCE5Rv1G0373720 transcript:SECCE5Rv1G0373720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSVQAMVAATGGDDVPARYLRPEAAADAVTGAGKDQIPIIDHRRLLLELDRHGEESSRLHRACQDWGFFQLVNHSVPDDVVEGMKASIQEFFQLPADTKRRFAQQQGRLEGYGQLFVVSEDQKLDWADMLFLYAQPPESRNTELWPDQPATFRSALDRYSCAVKEISDSLLAAMSENLGLEREVIADRCVGGLQSIRMNLYPPCARADKVVGLSPHSDADLLTLVLQVNHVQGLQIKRNGSWLPVRPVEGAFVVNIGDILEILTNGRYRSIEHRVVVDPREERLSVAAFHSPNTRAMIGPLKELMVRADGEDEAYATVEHERLRELFFATKLEGKSFLERMKLPSSSS >SECCE2Rv1G0128250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:859284464:859284776:1 gene:SECCE2Rv1G0128250 transcript:SECCE2Rv1G0128250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRWSQKSPGLKILWIWTLGTAAIMITNVVRTRVNDMQMILREEDEAAGSGGGGGGPSGERVMRDDELN >SECCE7Rv1G0478800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:241996095:242005254:1 gene:SECCE7Rv1G0478800 transcript:SECCE7Rv1G0478800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATPFDFDFDHKRDAYGFAVRPQHLQRFREYAKIYKEEEDERAHRWKDFLDRLADSADLPSTPSIDAAAAGDAESAEKSAKEEHHEAENTDNNKNLECLKEADGNEELGEVNGKPGDINGVTGNLEKPKEDSSCRGADCDEDDEEEEGEAEERSIKIECVDEVGDNDESIEAKGNPEDFEDVTGNSEKLIAESSADCVTPNKALEELKEINEGSEEIKVINGGSEGFEDQNGGSKELGEVNNDNFKRFEETPFDKGLLDELEPIKVESWRRVRASLSIIERMMSARVVKRNDAANAISGKVATQLASIEEDRAVEETHEGNSAEESYEAEKVDRSQDGAPGDSTSATLGGDNGGSYFPWREELESLVRGGVPIALRGEMWQAFVGVGARKITGYYNKLLDERTDVLDEKDLKDQVVNEQKSSPKKDSKPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDEYFEGYYTEEMIESQVDQLVLEDVVRERFPKLAKHTDILGVQVTWVTGPWFLSIFINMLPWESVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSVKEMGLRELREKHRPEIIAAMEERSKDRKSWKDKKGLATKLYSFKHDPSSVCPQVDSKEGADGLQVNGDSGPTSLGSYLTGSALENELDEGIDLQDQVTWLKVELCKLLEEKRSAELRAEELETALMEMVTQDNRRMLSAKVEKLEAEVSEFRKTFEDKQEQEKAMLEILVRMEQEQKVTEDARIAAERDAAEQKYAAHLIQEKYEAVLIALSQMEKRAVMAETMLEATKQYQAGQVKAIQTFAPKSPHADLGKINQEPNQDNKKVGLLSRGLGWLEKSKGKSNPTETNEG >SECCE4Rv1G0291200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876101412:876102566:1 gene:SECCE4Rv1G0291200 transcript:SECCE4Rv1G0291200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCIASRLLSTPPTFRVSSPHSLLSAAAAPISPSQRFAVEEYLVHTCGLTRPQALKASAKLSHLKSPANPDAVLAFLAGLGLSGADIAAAVAKDPRLLCAGVDRTLAANVVGLTGLGLSSSDVALFATIAGEPFRFKSVVPKLQYYLPLFGSSENFLRALRKSSHLLTSNRDRVVEPNVALLRECGLAACDIAKLCMVVPRILTANPELVRTMVACAEALGVPRGSGMFRQALQAVSFKSEDKIAAKAKFLKKVFRWSDAQVSHAVCKAPIVLRKSTSSLQQRSDFFLSEMGLEPAYVAHRPALLSYSMEGRLRPRYYVIKFLKANGLLDQRRDYYNIVMLSDKVFLERFICPHKKAAPHLARDYAAACKGEVPASFRFT >SECCE6Rv1G0381060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:29316756:29317978:1 gene:SECCE6Rv1G0381060 transcript:SECCE6Rv1G0381060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTEVKTRADPKVEIQEKGEVFFFYRPKVDKEEAHSPDDVQRMYVVLRPESAPGRAVEEKQAPDSGKEGKKRKTRHGGDEEGQADGGNEGGHGKEEVNVEEKPLLRLIVMGKKSLPDPAKHGRPFWGYVDLVTTDIEDIKDALKGAEYDTATRGKRHLAAARAMGEGVYRIVKHEGRGGRPHTHLVYKLELPSRGGGGDEGGVGEPQEAMNVEPEASFLVQIKNPEQRGGGRGGGGGGGFGGLQGKRKAAFPEHLQGRFGSNRYAPADPPDLLNYEGCELLLISASDDVEEELGLELQTETEEETGEGAGDDGGEGGRAGAGCSDLVKMFGEVADVKPLLSGSWD >SECCE3Rv1G0148630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19437843:19438298:-1 gene:SECCE3Rv1G0148630 transcript:SECCE3Rv1G0148630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRSNVFDPFADLWADPFDTFRSIIPAISGGNSETAVFANARVDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKNDKWHRVERSSGKFVRRFRLPEDAKVEEVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >SECCE1Rv1G0037410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:522841121:522845278:-1 gene:SECCE1Rv1G0037410 transcript:SECCE1Rv1G0037410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGLERIKNESVDLENIPVEEVFENLQCSAAGLTSKDGQDRIAVFGPNKLEEKKESEILKFLGFMWNPLSWVMEVAAIMAIALANGGGRPPDWQDFVGIIALLLLNSTISYIEESNAGSSAKALMANLAPKTKVLRDGKWSEQDASILVPGDIISIKLGDIVPADARLLLEGDPLKIDQSALTGESLPVTKNPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLRAIGNFCIGAIAIGMIVEIIVMYFIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSKQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFAAGVAKDEVLLFAAMASRVENQDAIDAAMVGMLADPKEARAGIQELHFLPFNPVDKRTALTYQNIADGTWHRVSKGAPEQILELCNCREDVKNKAHAIIDKYAERGLRSLAVARQEVPERSKDSSGGAWEFVGLLPLLDPPRHDSAETIKQALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQSVDESIVSLPVDELIEKADGFAGVFPEHKYEIVKKLQQMKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLPEIFITGIVYGAYLAVTTVVFFFAMTSTDFFSEKFHVRSLRGNKDAMMSALYLQVSIISQALIFVTRSRRWCFQERPGLWLCFAFIVAQIIATVIAVYCNLPFAHIRGIGWGWAGVIWLYSIITFIPLDLFKFAIGYALSGKAWDTLFENKIAFTNKKDYGKEKRELQWATAQRTLHGLPTATPDNTQQERSNYGELSEMAEQAKRRAEMARLRELSTLKGKVESAVRLKGLDVETVDNHHYTV >SECCE3Rv1G0174810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:404729762:404735210:1 gene:SECCE3Rv1G0174810 transcript:SECCE3Rv1G0174810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSRFSLLVVACVLEICCEVSRLLFFLWEPSHYSFPLGVSFKTVNCVMEMSPELLYGQNVYVPTAVNSYTYCYAEVGSPMDWYNRQNSLRYDAQDVYYPAFQTDGTQCVYYATPDNGSVHPSYSPYPMDPGFIVDGSYLPQEYVPDTDPTCQVVPSSYYIPSVLPYAVDSVLGITATSLHPSSVAFIPSMPAYAATSTNHVLPSMALVAPKNDVVVNLPVQSTTVSSKQFQNHSMIPIVQLHKPLPMKQEPGNGSMVSVKPLHTPQASINVVDRPMGAAKHSPKAKLSGNDCFACVGSDPQKWASAEKFQPTSKSSCQLKAHGSSNAEKHSGQRSPAVVAKSYTSRLVVGNSDGTILIRPDQYNGNDLRVDNPYAKFFVIKSIGEADIHKSIKYGVWSSSSSGNSKLDCAYRDADRIAKRNSTKCPVFLFFSVNGSGHFCGLAEMVGPVDFHKDMDFWCQDKWTGCFPVRWHIIKDIPNYTLQHILLQNNEHKPVTHSRDTQEVLYVPGISVLKILKDIKVKECLFDDFMRYEEDEARIKQRRWSKLSHNAPDFVPVSQRKSDTSDLQLPKFGGVLIDRTLEIQNMSEKSHDCNGIKQQDAIERQVGSEAGKENGHQENHCNGKQDNEKAPRSSTSQPQTSSLKTSDGKQQYWKKVENPKLNPDGAAHGSSKLRENGVNISSAIVRLEAPEDVSIVAKVGSLTISSKTRKADDKSPLVDVVTIGTLPIRVNKFVA >SECCEUnv1G0530690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13854306:13860017:-1 gene:SECCEUnv1G0530690 transcript:SECCEUnv1G0530690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRELPLPMCFELLLGKDHGRWPPEARLICAAHDGSVRKIKKIAKELDVHGHGIPATVANTTYMGMNALDAASGRGSLPVYRYLVEEVKMDVGNPDTAQGFTPLEYAVQNGHLPAVRYLLDRGADLHQQRSGLTLLHTAAVHGRSEILKFLLSKGADVDALSNAGTPLTLASLRGHASIMKILLQHNADGGANVSGDNPLAKAAEKGLTEAIKCLVEAGANPNVIDRFGRLPIELAAEYGTREDVEILFPFTSPISTVANWSVDGIISHVQMEMKQLEDGSFVKKKMYDIKHQADEAFKKQDYQNALVFYTQALKMDNFDAKLLSNRSLCFLRMGDGRRAYEDAAECTKLRPKWAKAHYRKGAARMFMKEYDGAYSSLSRALELDPESEEIEKLFWEAMELK >SECCE4Rv1G0241980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:466953464:466960955:1 gene:SECCE4Rv1G0241980 transcript:SECCE4Rv1G0241980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPAWWAVLLLAMADAVAGRFVVEKNSVQVTSPESLKGKYECAIGNFGLPQYGGTLQGWVVYPKENRQACKEFDVSFKGHKSGARPNFVLIDRGECFFTTKAWNAQLAGAAAILVVDSKDEPLITMDNPEDTGTKHLENITIPSVLITKKLGEDLKKSAENGDMVSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKSFRGTAQVLEQKGYTQFTPHYITWYCPEAFTVSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLHQVCVFKVANDTGKPWLWWDYVHDFAIRCPMKEKKYTHECASHVIKSLGLDMDKISKCVGDPEADEENPILKAEQDAQIGHGKRGDVTILPTLVVNNRQYRGKLDKGAVLKAICSGFEETTEPAICLSEDVQTNECLENHGGCWVDKANNVTACKDTFRGRVCECPIVKGVKFVGDGYTNCEASGVGRCEINNGGCWKETKNGKTISACSHEESEGCKCPQGFKGDGVKSCEDIDECKSKSACQCNGCSCENTWGSYECSCGGNNMLYMREQDTCISKQAASSVGWSFMWVIFFGLVFAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDSQEGANQQQHVAHAGDI >SECCE7Rv1G0523020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879907583:879913677:-1 gene:SECCE7Rv1G0523020 transcript:SECCE7Rv1G0523020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMASIKKERVRKIILAAISSSHLPMKLELVDTLQRTGVAYHFGKEIQELLRGMQGDEQGFGDDLHITATRFYLLRKHGYHVSPDVFLKFRDDQGNFASNDVNSLLALYNAAYLRTRGEEVLDDAIVFAKSRLQCMLEHLEPELAEEVRCTLETPSFRRVERVETRRYIPVYEKKTTRDEDILEFSKFDFNILQTLYCEELKALTIWWNDFKSQTDLKFARDRIVEIHFWILGVVYEPRYSYSRVMLTKLIVFVSLFDDFYDNYSTTEESNIFTAAMERWGEQAAENLPANLKALYINILNTTNDIEEELKRQKNKNSELVKELVINTAKCYHAEVKWRDEHYVPTTVEEHLQISVRSSACMQITSFVFISLGDVTTREVLEWALTYPKIIRSVCIVGRIGNDMVSHEREQISEHVTSTVQTCTKEHGISVVEANEKLKVIIEEAWMDIVHECLHRKQPMLLLEKATDLARTMDFMYKREDAFTLPSSLKVTLTSLYVNYV >SECCE4Rv1G0234830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:304926509:304928817:-1 gene:SECCE4Rv1G0234830 transcript:SECCE4Rv1G0234830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVNARQGAPTDGNSVIESLLVDIYPLKIYLTESMYRMMWGYFFPGDEQHPQKRQELFKVSTTAGTRRVKKGTLVAETNSPSNQSSFDRTWEENVAESVANELVSQIQGQSNAQTESHDAAKDSKLVRAARSAREEKKPVEPNEVKQSRPQKMMDFRNIKISQVELLLTYEGLPFAVSDVRLLMDTFHREDFTGTWPRLFSRVKKHIVWGVLKSVTGMQGKKFKAKSTSQKEPIAGLIAASDLNLSDSDGDESGNSDQLPAFLRKPSDGAGDGFATSVKGLFSSQKKKAMHFVLKTMKGDGDQDLQGERSENDIEFSPFARQLTITKTKKLIRKHTKKLQSKVPQNAGSQQEHGSELPPRGPSGHHTDSSSSSSSSSSDNDEPQQVEMSPKDQAA >SECCE4Rv1G0224070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:77015703:77016476:1 gene:SECCE4Rv1G0224070 transcript:SECCE4Rv1G0224070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLNEEPDHNNGDPVYCTQHAAANAEVVAESPNPPIMQKVGATATEAMEADGQSSRGIGAPGNTQVPPAVATGSDGHTLDGTGAAGDTGGTVPNGLAGENDDEVWSHPREPYVGMRFDTLEGAQEHYKAYSLQIGFSIRMNTSRKSVYTGELIKQQFVCNKFRKPKVDDGRAEKIHVLDDIVEQIEDVNEEEDIVFVDDESKTKKRSKKRKRDSIVQTGCKAKIVVKVINGRWEVIYFVGEHNHPLVDKPSLIKYL >SECCE6Rv1G0383050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:49598562:49599461:1 gene:SECCE6Rv1G0383050 transcript:SECCE6Rv1G0383050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVAATLPPLLPTPARCLLLTPPPAVYTSRVELDSKKQQPGRASMSQSWIKDKADLPGRASRSSSWATDKTLRRAGTLNAGGERLGRTETPRENWKRPASRAPSVDRCDKKPRPPAEMVAASQASFLADPAPSVGLSEKKEMPTTEMVADSVVTSFAGPAPSIDRSEKKAEPTTEMVADSEAVTLFAGPVASVDWSEKKPMPPTVVEASPLAGLAPSIDRSEKKPEPLTKMKVDSGASFFAGPTFIMSPDPSELPMPTFIMSPDPSELPMPTFLYKHKLAKVVARLVVPVLLDQQED >SECCE1Rv1G0049170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:639266532:639270620:1 gene:SECCE1Rv1G0049170 transcript:SECCE1Rv1G0049170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPWLLLLCLSLSVGGVLQALAQPDSKGFISIDCGLQGETGYMENTTKLSITPDNGGLTDDAGTCHNISAEYVTPLMGKSWFNLRSFGAGTRNCYTLRSLVPGLKYLVRARFMYGNYDGLHRLPTFDLHIGVNFWRTVNISSPFAAKFVEVIVVVPDDYVQVCLINTGAGTPFISGLDLRPLKKTMYPQVTAAQGLVLLTRFNFGGDENTGVRYPDDPHDRMWFPWVNSSSWTEISTTRRVKYEADSPFEAPMAVMQTAIRPRNASHNIEFDWEPQLQPNDPSPGYIIIMHFSELQLLPSNAVREFYINLNGKLLNRDIMRPPYLYGQASYNTFAIRKSYYIVSLNATANSTLPPIINALELFSVIPTTNLSTNSQDVSAILAIKAKYHVRKNWMGDPCGPGTVMVWDSLTCSYAIASPPRITRVDLSSRGLNGDISSSFANLKALQYLNLSNNNLVGSIPNVLSQLTSLTVLDLSSNQLNGSIPFGLLVRVQDGSLDLRYGNNSDICTNGNSCQLPTKQRSKLAIYIAIPAVLIVVIVVVVLFCFVRRKCQGSINNSVRPRNEMMTSYASGDDLYGDGSLQLESRQFTYEELKMITNNFERVLGRGGFGYVYDGFLEDGTQVAVKLRSHSSDQGDKEFLAEARILTRIHHKNLVTMIGYCKDGEYLALVYEYMSEGTLHDHIEGSKLEGQCLSWRHRLRIALESAQGLEYLHKGCNPPLVHRDVKTTNILLNAKLEARIADFGLSKAFEGDNKHVSTTTLVGTPGYVDPEYQATMQATAKSDVYSFGVVLLEVVTGKPAILREVVSISIIQWARQRMAQGNIESVVDARMCGIYDVNSVWKVVEIALKCTEYASTQRPTMTNVVMQLQECIELEEGRTVEDANDGTYTSGGSDNPNLSYDAYFDDQSIDMDKKNTAFQPEHNVKRVTAMSTGPVAR >SECCE3Rv1G0173540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:343262633:343263142:-1 gene:SECCE3Rv1G0173540 transcript:SECCE3Rv1G0173540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCFDNKHATGNYSMGPGVPLGTPIVVEDKDKPNVMEGEGTTDEVLQHLPGSNFVLPTASATQDPSPTSNKKRKRASGLTEEDSIQCSNMTDAMREIASAINNTCHAETHPDLYNAVMDLLLFDQDERLAVLDYLTEHKAKGLNFVKMSDEVRKASFKRILKANPDLL >SECCE7Rv1G0509990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:785552110:785553154:-1 gene:SECCE7Rv1G0509990 transcript:SECCE7Rv1G0509990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLQRLRHMLLTTGAGGGHHQLSSAAAMPATGPCYGAAVPSQRGHQPYAHLFTLPPPPLTSSTAEQYSEFLAMPAADLAKTGVRTDGAQEMSTNKRKREERSSVLGAADVLAAHIQQQTIDVDGILLKHARKMWTTLAEQRQSHTRLIVSTLEARAAKRLKAMDEEIERIRRMNWVLEERLRNLFMEAQMWRDAAQSNEATTNVLRGDLQRALDAQAVGGGGCGHGQEDDAESCCWGENQVPFCAEEEVGTPAVEERPATGAGRCKACREGAAVVLLLPCRHLCVCAPCAAAAQACPACGSAKNGSVCVNFP >SECCEUnv1G0547550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:172162004:172164682:1 gene:SECCEUnv1G0547550 transcript:SECCEUnv1G0547550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCGRGALRLVLIAVSAAFLTYNAVLSSRSLLPLPTASFPAATTASSRRLAAGAGSGARRRLFHTAVTASGSVYNTWQCRVMYHWFKEARRQAGGADMGGFTRILHSGKPDEFVREIPTFVADPLPDGADQGYIVLNRPWAFVQWLQKADIPEEYILMAEPDHLIVKPIPNLSRDGRAAAFPFFYIEPKKYEKVLRKFFPENQGPITNIDPIGNSPVIIEKESLSRIAPTWMNISLAMKKDPEADKAFGWVLEMYAYAVSSALHGVGNILHKDFMIQPPWDLEIGDSFIIHYTYGCDYDMKGKLTYGKIGEWRFDKRSYESKPPPRNLPLPPNGVPQSVVTLVKMVNEATASIPNWESYAAE >SECCE2Rv1G0079710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:121993156:121994784:1 gene:SECCE2Rv1G0079710 transcript:SECCE2Rv1G0079710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAALALLALLGSVACQGDNGSPGVSPAYSPSSAPASLKTVSYPPATSPSQPMPSPIVMSLSPSPLAQPPSRGLGAPSQSPPVYPPSVSQPASPPPGSSSAPSASSPEYLPSPSPSVSSQTPPSTYSPDPSPPTNSPSPPSPSPSQPAYPPSPSPINQRQPNPPSPGPSSYIPNPSPSPSPSPDSPAPAPYPPISNSPSPSPPVSGLSVGHYSNSCPNAEAIVREAVKNATDKNRGTGAGLIRLFFHDCFVRGCDASVLLNTTGSGEPTELEGPPNLTLRGFEVIDAAKAALEEACPDVVSCADVLAFAGRDATFFLTNRTAHFPMPAGRYDGRVSFSNETTLNLPSPFSDLQQLNKSFHAKGLSLEDMVTLSGAHSVGRSSCSSFHDRLPANSSDMDPEFASSLRKQCSSSDPTTMQDFKTPDDLDRQYYQNAVDHKVLFTSDAALMASNETARMVLDNAHVSGLWEKRFAAAMVKMGAVGIKTSADGEIRKKCWIINKV >SECCE7Rv1G0460120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:29359460:29362356:1 gene:SECCE7Rv1G0460120 transcript:SECCE7Rv1G0460120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASTIMVIFIASIFFPAVRTLAGASSGRSMLLPGCPDKCGDVSIPYPFGIGAHCAAISLNSYFNLNCDSTVDPPRPTVGDPDVAAEIADISLKHGEMRVYSPVNHICFTSNTTFTKFTTGYDLKHTPFLPSPSRNRFTVIGCNTLGLITGYKETAGHYVTGCYSNCEGINNTSEGAPCNGMGCCEVAIPTNLTSLEIIFDMTQSRVWNFNPCFYAMVAEIGWYTFSQQDLAGTLGFIDGRAKRGATTVVDWAIRNGSCPEKGKDTHTDYACISTNSYCMAANNGPGYLCQCSGGYEGNPYLLNGCQDIDECTLRKQDPKYEDLYPCRQGVCNNTPGNYTCICKRGTRFDGTKFGCRSLLSSDQKLVIGLSISATLVMALACLLLMQFQIKRHKREKDEYFRQNGGLKLYDEMRSKQVDTIRILTEKEIKRATDNYNEDRVIGCGGHGMVYRGTLDDQKEVAIKKSKAVNDDWREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFVSHGTLSQFLHGAIHRLPIPLAIRLKIATQSAEALAYLHSSTSRTILHGDVKSANILLDDKFNAKVADFGASALKSMDESEFIMFVHGTLGYLDPESFISHLLTEKSDVYSFGVVLLELMTRKKAIYTDNFNGKHSLSYSFPLMFQRKTHHVMLDSEITDDAGMVVLENMAELATQCLSQRGDDRPTMKEVAERLEMMRRLYSHATSACEHNCFARSYGAPSSVAVPLDETTRGTIDMSELVEDLAR >SECCE2Rv1G0067960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:21131985:21133440:-1 gene:SECCE2Rv1G0067960 transcript:SECCE2Rv1G0067960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQSSWLLRHPCPLAMPWTRTRNNIGHQVTNITYWRCSRANNGGRITQDLSMSTSNCSAQPAQLQGEAVQVAGVSKRSTRTARGAAVPVRHEEEGTDDEWLMYLEPAKLEVFDHLEPWAEANVVPLLKPSEVAWQPTDLLPDLASLGADGFHAACSDISARAASLPDAHLVCLVGNMVTEEALPTYQSIPNRFEAVRDLTGSSGTAWARWIRGWSAEENRHGDVLNRYLFLSGRVDMRQVERTIHNLIQSGMVMNAARSPYHGFIYVAFQERATSISHGNTARRAKEHGDIALARICGAIAADEKRHELAYTRIMAKLFEIDPDGAVRALAYMMRRRIVMPASLMTDGGDNDLFAHYGAVAQQAGIYTASDYRSILEHLIKQWGVEELAAAGLSDNGRRARDYVCVLPEKIRRLEEKAHERNGKKAQPMTSVSFSWIFDRPVNISVA >SECCE2Rv1G0067030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:17377354:17378106:-1 gene:SECCE2Rv1G0067030 transcript:SECCE2Rv1G0067030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLEILSNVRASGDGRELKEIRHLWQLRKLNVVIEDRNNHLERLLRAIGDLKDCLRSLSITISYNTRCKSTLSNKEMHDLLRQSPKHLERLSINGVTQSGQLLELLAKGSDELAKVTLTGTLLEERDLMVLAVLPKLHSVRLRSNAYNGRELTFKKDVFSQLKYFLVEGSNKAVTDIKFEDGATIELEKIVLSSTNIRFLSGIDNLPKLKELELEGNQSLLSFSQDKASPEQSAKSGSAEQNTKGEATE >SECCE6Rv1G0436540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778159721:778163843:1 gene:SECCE6Rv1G0436540 transcript:SECCE6Rv1G0436540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTFVFVITLLLPIFTLAVQALRRRTNQSPPLPPGPPALPIIGHLYLFRGPLHRRLTRLATRYGPVFRLRFGPKNVVVVSSAQAAEECLGVHDIVFANRPQLPTGKILSYDWSTMGTASYGDYWRHIRRIGVTELLSAHRVQQYADVHTRLARSMARRLYRSAAGGRARVELKSRLFEMLMNVMTSMICARTYYGTDGEEILEVTDEVQWFRTMVEETMTFIGASTVWDYIPTLVRWLDIGGFGRQLWRLRESRTKFVQGLIEDERTKMEEGTTTGRTMIGALLALQHKDPEDCPDQLIRALCISALEAGSSTSADTVEWAMSLMLNNPKVMVKVRDEIDSYIGKPIRLIEAADLLKLQYLRCIIMETLRLHPPTPLLVPHESSTDCTVGGFYIPKGTMLLVNTSAIHRDPKIWDNPTNFIPERFEGENGEGNMAMPFGMGRRRCPAENLGMQMVGLALGTMIQCFEWERVGEELVDMTDGFGLTAPRAVPLEAFYKPRQSMITLLSEI >SECCE5Rv1G0300220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:24166168:24170193:1 gene:SECCE5Rv1G0300220 transcript:SECCE5Rv1G0300220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18370) UniProtKB/Swiss-Prot;Acc:Q9SEL7] MALQPLLRLLLRPAPPPPPPTRRSPHLPAPSSTRRGTAAALLLLALAAPPPRPARAEPDGEDDVDEPHVVRLFEEATPSVVFIKDLLVAPPPRGRNGGAAQPVEDEEGGATVEGTGSGFVWDSLGHIVTNYHVVAKLAGDGSESHRCKVFLEDSSGTSYSKEGRLIGYDPTYDLAVLKVDVDGDKLRPALIGTSQGLRVGQSCFAIGNPLGYEHTLTTGVVSGLGREIPSPNGRVIRGAIQTDAAINAGNSGGPLIDSYGHVIGVNTATFTRKGSGISSGVNFAIPIDTVVRSVPNLIVYGTDVSNRFY >SECCE6Rv1G0430270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:740548721:740550085:1 gene:SECCE6Rv1G0430270 transcript:SECCE6Rv1G0430270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQDEGSSSSVTSSPLHNFSNMPLHPHPGANAGAGATPPWMLRELRSDERGLCLIHLLLNCAAAAAAGRLDAANAALEHIATLAAPDGDAMQRVAAAFAEALARRALRAWPGLCRALLLPRAGPTPAEVAVARRHFFDLCPFLRLAGAAANQAILEAMESEKIVHVIDLGGADATQWLELLHLLAARPEGPPHFRLTAVHEHKDLLSQTAMALTKEAERLDVPFQFNPVVSRLDALDVEALRVKTGEALAISSSLQLHRLLATDDDTSVTPAAAPTDKERRRSSPDSSGLLSPSTSPADAFLGALWGLSPKVMVVAEQEASHNTPGLTERFVEALNYYAALFDCLEVGAARGSVERARVERWLLGEEIKNIVACDGAERRERHERLDRWAARMEGAGFGRVPLSYYALLQARRAAQGLGCDGFKVREEKGTFFLCWQDRALFSVSAWRGRRFD >SECCE1Rv1G0035120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:495768382:495771793:-1 gene:SECCE1Rv1G0035120 transcript:SECCE1Rv1G0035120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKGVLGFDYGVVQAPLGPDISGPELAAAVANAGAIGLLRLPDWPAPDHVRELIRRTRSLTEKPFGAAIVLAFPHEENLRVVLEEKLAVLQVYWGEFPKERVDEAHRAGVKVLHQVGNLEEAAKAKEAGVDGIIVQGHEAGGHVIGQEGLLPLLPRVVDLVSDSTVLVIAAGGIVDGRGYAAALALGAHGVCLGTRFVATEESFAHLLYKQKLIEMNCTDYTNVFGRARWPGAPQRVLKTPFYVEWKNLPDHETEENQPIIGHSIIHGVHKDIRRFAGTVPNATTTGDIDSMAMYAGQGVGLITEIVPAREVVERLVAEAQRVIGEKLSGFPKSSE >SECCE1Rv1G0057640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694455392:694456035:1 gene:SECCE1Rv1G0057640 transcript:SECCE1Rv1G0057640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSAENLGIKGFDEGDAVDPTSLLTEECDVLIPGALGGVMNKDNANAIKAKYIIEAANHPTDPEADETLAKKGVLILLDILANSGGVMVSYLEWVQNIEGFMWDEEKVNRELKTYMTRASNIVLII >SECCE7Rv1G0490500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:494817521:494823083:1 gene:SECCE7Rv1G0490500 transcript:SECCE7Rv1G0490500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLPQTNAAAHAEVLGDAWDYRGRPAARSTTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTATMHVGNAEAANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAIQASGVTILTISTAAPGLRPPACTAEGGGIGTCSRASGAQLGVLYLALYLTALGTGGLKSSVSGFGSDQFDESNSTEKSQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRPWGYGACAASIAVGLVVFLAGTRRYRFKKLAGSPLTQIAAVVVAAWRKRGVQLPADTSMLYDIDVGKVAAIEGASTKKSKLKERIPHTKQFRFLDHAAINSDPAGEPSKWRLATLTDVEEVKTVARMLPIWATTIMFWTVYAQMTTFSVSQATTMDRHIGPSFQIPAGSLTVFFVGSILLTVPIYDRIVVPVARRLNGNPHGLTPLQRIGIGLVLSILAMTSAALVEVKRLRVARESAVPEGAPVPMTVFWLIPQFFFVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVTVVHKITGDRRPWIADDLNKGELYKFYWLLAGVCLANLVVYLFAARWYKYKAGRPGADGSVNGVEMADAEPCLH >SECCE4Rv1G0278600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:809766012:809766576:-1 gene:SECCE4Rv1G0278600 transcript:SECCE4Rv1G0278600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVCEMRVSNEGFDATECGCKLTPVTRVCNEGFDAGRRFLSCPYEGLNSCGYLKWMDDAWQGRSRVVIKQLADDNQKLQNALLDKEHDIQRMKKERNKLAEQRKSREKIDLFVVLVVFASLVTYALVAIVSRGSV >SECCE7Rv1G0473710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:159896998:159899976:1 gene:SECCE7Rv1G0473710 transcript:SECCE7Rv1G0473710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVGEEEEEEEEAEVERPMLEDERKGKQGKGRRYGLVDYRALPAYMRDNEYILRYYRCEWPLPQVLLSVFSIHNETLNVWTHLIGFFIFLSLTIYTATKVPNVDLQSLQNLPDILRNADLHKIQAELAACLPSLPHFSDLQKMKDELRSSWNSIDVLPSLSRWRLLELLSNCLPDRFTHSNETNLSVLESMKEDIVTVIAPHFIRPISRWPFFAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCHPFFCNLYLSFITILGLATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVFHKLILFWHQPEALITTGYEILMGLFYGIGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHAGLLYLKWRDQQGC >SECCE5Rv1G0354320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722892336:722893493:-1 gene:SECCE5Rv1G0354320 transcript:SECCE5Rv1G0354320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTKAAALVAVLAMAVLGLATEGQAQLQNGFYTGKCRGNDVEAVVRGIVKARFAQDSAIVAHLLRLLFHECGVNGCDGGLLIDGPGTEKTAKPNLSVKGYDLITTIKMELEKRCPGVVSCSDIEILATRDAVNASTGQGYAVRTGRRDRRRSVATDVNLPGQDFTVPQAAAFFRTLGLSSDDMVVLLGAHTVGVTHCSMIKKSRLYSYGGKAGATDPSMDPELASTYKTYVCPNTASSDNNIVFLDDRSSASKLDNSFYKMLQRRRGALMVDQNLYGDGSTRWMVDRLANTDHFRWLFPQALAKLGEVNVLTGTQGEVRRVCTKFN >SECCE1Rv1G0033560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:468882786:468911866:1 gene:SECCE1Rv1G0033560 transcript:SECCE1Rv1G0033560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEREMAPAIGSRQLTVLREFRPHGLAAEEADGGGPEARPLQDYDYFLFDPSVAASPAPAPEDEAAPSSVADGDHELFIRGNRIIWSTGSRVHKRYASPNTVIMACWCRMEALSDALLCVLQVDTLSIYDVTGEVVSIPLPYAVSSIWSLPFGILLQKSSDGGRMVSSSSSLLNARDLTRPNKEFGLNYNVACQAQTQESVNKSDGTIISSHLILKHPLEVPQATYFEERSRLSMMKDFDEKTIWTSDRIPLMASYHKGKFQHSVWQVDGATYQEAMDDNAMLSIPRDISQHKFAFRKIWQGKCSQSAASKVFLATDIDGMPTICFLLHEQKTLLAVRIQVDESNEEAFGDIKSHMSWNIPAFAAAPVVVTRPRSRVGLLPFTDILILTPENDLLLYSGKQCLCSYSLPTEFGKGVFSNYELNSEVKNLYSSVKITSIADAVEGRVNVTCSNGLMLRCSLRKYPSSSLVSDCITAMAEGLQMCFYSHFVSLLWGDNDPACLYSSSHVDSEWESFSSEISKACAKYERTLPTKSSKSSSTAWDFLIDSKYHAQYCKRSPISGASFLPMSYGTSSIGFHSFLQDEHSSDAAFYIRFMRETLDTLHALYENLKLNVLRKQDLGCLASLLCRVASSLGESSYVDYYCRDFPHNLVDFHSPASATALKTPPCLFRWFENCLHHGCHLSNLDDIPALMRKQKGSAVSWGRKVVSFYSLLLGAERQGSNLSSGVYCEVANGSARNTEELAVLAMVAEKFGRQQLDLLPIGVSLVLRHALDKCRESPPDDWPAPAYVLVGREDLATAKMGSARKETGFGNNDNLTSISVPYMLHLQPVTVPTASDVPTSEVLNSEDTDAVYRSVEDGMEHIFTSTTQLRYGRDLRLNEVRRLLCSARPVAIQTSTNPTASDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEALVFPKIILAGRLPAQQNATVNLDLSTRSVSEFNSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHEHLRVLTMTDAYRYLSQEHDITTLGLLLGLATSHRGTMHPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGRGSDAFGFMDTFLDRLFEYIGSKEVYHEKFLNATIAADDQSGNTGQMMDGSQINVDVTAPGAIIALALIFLKAESEEIASRLSIPDTYFDLQYVRPDFVMLRIIARNLILWSRIQPSIDWIESQIPETIKVGVSSMSEEAIDCDEFDAEALFQAYVNIVTGACIAIGLKYAGSRSGDAQELLYSYTVHFLNEIKPVSVRTPSILPKGLLQYVDRGTLELCLHLIALSLSVVMAGSGQLQTFRLLRYLRGRSSAEGQVNYGLQMAVSLATGFLFLGGGTHSFSTSNSAIAALLITLYPRLPTGPNDNRCHLQAFRHLYVIATEPRRVQTVDVDTGLPVYCPLEVTIAETEYYDETNYCEVTPCLLPERSVLKNVRVCGPRYWPQLIKITPEDKPWWRSGDKTDPDPFNGGVLYIKRKVGSCSYSDDPIGCQSLLSRAMHEVCDTPSTSCSTQLNRASHSSFRVDQLVSTFSANPSLIAFAKLCCESWKDRSNGNFQDFCSQVLYECMSKDRPSLLQVYISFYTIVESMWEHLKIGQFPFYDSLFPSSLKVALAYSGALVDGRISSGGIIQATFLESLVKRVDNIFAELPNLRANFVSYLGTGKWPDAQSDAVLLSWYLQWYSIPPPHVVASAVEKIKPRAPTGVSMLPLLRLLLPTTHLVGLMEIEKLQMMPMRS >SECCE3Rv1G0204070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:889477826:889482881:-1 gene:SECCE3Rv1G0204070 transcript:SECCE3Rv1G0204070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAPPPRALSAELRRAPLAAALAPPRQGTRARASWPLHRQEIVCSRGRLISTNFNSRSLLCPPCHCAQVALADAKIAYQADPDKHAGVLAYELVQGNLVQWNSFMDKSIPDPPTAVLLHGILGSGKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASIKKRGPHTVASTAFDVLKLIGQLRLSPRVLVGHSFGGKVALSMVDQAAKPLARPVRVWVLDATPGKVRSGLDGEDHPAELIDFLRRMPVQVNSKQEVVDALVKAKFSVDVARWVATNLRRSSPSGSRSSPSYSWIFNLNGISEMYKSYEDTNLWRIVENVPRGVHINFLKAERSLHRWALEDLQRIHTAEEVAADEAGGVEMHVLEDAGHWVHADNPDGLFRILSSTFRIETSLRGRE >SECCE1Rv1G0041900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:575291448:575292401:-1 gene:SECCE1Rv1G0041900 transcript:SECCE1Rv1G0041900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSTCSAADERLYCPECHRATEVVLDHATGDTICTQCALVLDAHYIDEVSEWRNFADDGGGEDRDPSRVGASGDPFLAAKLSTVIDCTSKPKRSSATGVAASAPPRMSVPDAEAAPDKTLVDGFRGIADMADRLGLVATIRDLAKETFKKLDEAKGCPRGRKRDSVYAACLYIACRNLGMPRTYKELASVTAGGVAAKKDVGKMTTHIKKLLGEEDGQVMDIGVVSASDYLRRFCSRLGLGNQEVRDAQEAVRRVEEGLDVRRNPESVAAAIIYMVVQRAGASRSAKDVSVATGVAEGTIKEAHKDLAPHAQMLFG >SECCE5Rv1G0328840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509923296:509927069:-1 gene:SECCE5Rv1G0328840 transcript:SECCE5Rv1G0328840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWGRQGDSDSEEEVDEVESEQGSDSEKSEAGDGGRDGSKNRYLNKYTQDSDDSDTESHRVIRSLKDKRNDEMKATADQMRNAMKINDWISLQECFDKLNKQLDKVVRVNESAKIPNGYITTLVLLEDFLAEALANKEAKKKMSSSNAKALNAVKQKLKKNNKQYEDLIQKCRENPESFEDDVADDKDVDDDDDESGDDVVDPDKIGSDSEDSGNEDDVSQDGGAWEKKLSKKDKIMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRVERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNVWKKCVNNMLLVLDILQQYPNIVVDTSVEADENETQKGADYDGTIHVTGDLVAFLERIDSEFFKSLQCSDPYTKDYVQRLRDEPLFLVLAQNVQEYQERVGNHKAAAKVALRRVELVYYKPQEVYDAMRKLAEQTEVIMEDGDIEAGEEHQAADDNRGPPPFIVIPEVVPRKPTFPESGRALMDGLMSLIYKYGDERTKARAMLCHIYHYAISDEFSVARDLLLMSRLQDGIQLMDISSQILYNRVMAQLGLCAFRAGLIADAHGCLSELYATGRVKELLAQGVQYSRYHERTPEQERLERRRMMPYHMHINLELLEATHLICAMLIEVPYMASSTYDKRRPMSKTFRRLLEMSERQTFVGPPETVRDHVMAATRALNKGDHEKAFSVISSLDTWKLLRNKEHILEMLKLKIKEEALRTYLFSYSSCYESLSLDQLTTMFDLSEAHAHSVVSKMMMHDELHASWDQPTKCIVFQNVDQTRLQGLIFQMTDRLSVLVESNERAYEARTGGALEGAPPRRRGDQDSSNMGKWQENFVSSQGGRRGGGRSGYGGRGGSGQGGGYQNDRGGQGSRGGYGGGSRFQDGRGRNQSGSSSRGDGNARMVNLNRPGRN >SECCE3Rv1G0202740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:876858107:876864947:-1 gene:SECCE3Rv1G0202740 transcript:SECCE3Rv1G0202740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGVLAAVRWSTACSALLNAAAASTGAAVAALALRGRCGGALGPAAAAASAASAARLLASAVAGFAQGLAASAIAAGAIGAHVDSDRDLRHLSRLRYNRWLWWARFGMVITVLQFVLALYLMCIIVKDFSSGKSLKECFSGHDKDVNDWKNILLMIFLVVMWVGTIVQCATGSDVLRWRSFYATHDIAWRAHYREVFDHGLREVLCCLGRVKYSSVLEDDDICVVAKLLGDIMAYRASGTGHLELIAGFSLLQKSKMSTVLSREQVEAPHDLIQEAILFHPFAEAAYTGPLLDFGRNPLMFPCVWLNRQGILTPWTRARRPILEGDNWWRGHAAAFLKYVNVPPEVLRKGRVSQTKREAAYFVIVLHNLRTVVIAIRGTETPEDVITDGLCRECSLTMDDLDGLINSDQLLPQVKEAVLSSFPHYGHAGIIESARELYTKLEGQSIHQDKSELMTAGFLSSLLGAGCECDGYNIEIVGHSLGGAVAALLGIRLYKQFPKLHVFTYGAAPCVDFVIADACSQFVTSIVHNDEFSSRLSMNSVIRLRGAAIKALSKDTSPNSTKVGKLVSGFTSNKRHDEQHAVVRCASSGALQTVSDAKQINGQIHGKSVMHTVRGGVFLFGQAISCLVNTPKHRISSTAAINYELGRSRTTLTYNGEKLTVASRGVLDGAPSGEPSDAYRDDKFPEAGLDESGSSYRLPHSNNGTELSSAPDHTCTISLSEGQLPDVYLPGLIIHIVPIKNGTCPLRKTLVTRHKNKSYKAFIANRLDFMDLVVTPRMFLDHLPWRCHHAMQRVIETRKRNQPIHNSSTGEDSM >SECCE6Rv1G0429650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737112481:737114075:-1 gene:SECCE6Rv1G0429650 transcript:SECCE6Rv1G0429650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDVASMFAVALFIGALAAAPTSVQSIGVCYGVIGNNLPSRSDVVQLYRSKGINGMRIYFADGQALSALRNSGIGLILDIGNDQLANIAASTSNAASWVQNNVRPYYPAVNIKYIAAGNEVLGGATQSIVPAMRNLNAALSAAGLGAIKVSTSIRFDAVANSFPPSAGVFAQSYMTDVARLLASTGAPLLANVYPYFAYRDNPRDISLNYATFQPGTTVRDQNNGLTYTCLFDAMVDAVYAALEKAGAPGVKVVISESGWPSAGGFAASPDNARTYNQGLINHVGGGTPKKREALETYIFAMFNENQKTGDPTERSFGLFNPDKSPAYAIQF >SECCEUnv1G0559960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:343362148:343363009:1 gene:SECCEUnv1G0559960 transcript:SECCEUnv1G0559960.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVKKPERHFVLVHGLCDGAWCWYRLATILRSAGHRVTAPDLAACGASPVRVEEVHSFAEYSRPLMTDAVAAVPPGEKVVLVGHSYGGYHLALAMEAHPDKVAVAVFVAAAMPAAGSPMSHQLSQIMEETAAVTGGAETFLLGPERLSRRLYQRSPAEDLALVRPARWFLGDAAMTESVLTADRYGAVRRACVVTEEDATWAAESQRRVASRCPGVEVAAVEGADHMPMFSTPHRLAAILMEIADKHI >SECCE7Rv1G0507280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:760481045:760481638:1 gene:SECCE7Rv1G0507280 transcript:SECCE7Rv1G0507280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGFSSGALGGGGGLRPFAGVRVIHTNGYVEDFAAGEDGAPVTVARATASSPSSCRYVLCSSAHLLQPGRALFRPDDALQPGTVYFLLPHSIFQAESSAVDLACLMNRLTALARKGGGPAPSAVDALFSGDAQHSRGSSPETTEGQTAKPPRPAAKSCAAAASSGPWRPRLDRIDESMGRSSMRSASTLSNRSSQD >SECCE1Rv1G0003970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:15939367:15941383:1 gene:SECCE1Rv1G0003970 transcript:SECCE1Rv1G0003970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAATATMRLSSPFKAPPLRPPCHCVVPSRRGPRRAGMAVSAAAGGSPPTVLVTGAGGRTGQIVYKKLKERADQFVARGLVRTPDSKGKIGGGDDVFIGDIRDPGSIAPAIEGIDALIILTSGVPKMKPGFDPSKGGRPEFYFEEGSDPEQVDWIGQKNQIDAAKSIGVKQIVLVGSMGGTDLNHPLNKLGNGNILVWKRKAEQYLADSGVPYTIIRAGGLQDKDGGVRELIVGKDDEILKTETKTIARADVAEVCIQALLFEEAKFKAFDLASKPEGEGTPTTDFKSVFAQIATRF >SECCE4Rv1G0215110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:3148023:3151357:-1 gene:SECCE4Rv1G0215110 transcript:SECCE4Rv1G0215110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G52880) UniProtKB/TrEMBL;Acc:F4J849] MASGKHFKYIVLGGGVSGGYAAREFAKQGVQPGELAVISKEAVAPYERPALSKAYLFPQNPARLPGFHVCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSSAGATFTYEILLIATGSSVIKLSDFGTQGADSNNILYLREIDDADNLYAAIQAKKGGKAVVVGGGYIGLELSAVLKMNDLDVTMVFPEPWCMPRLFTAEIAAFYESYYTNKGVKIVKGTVAVGFDADANGDVTAVKLKDGSVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAVGDVATFPMKIYNDERRVEHVDHSRKSAEQAVKAIKGKEAGSAVAEYDYLPYFYSRSFDLSWQFYGDNVGDAILFGDADPGSAKPKFGSYWVKDGKCVGAFLEGGSPDENAAIAKLAREQPPAASPAELKAAGLQFASSKI >SECCE6Rv1G0379480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:14016031:14016560:-1 gene:SECCE6Rv1G0379480 transcript:SECCE6Rv1G0379480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSPAAAEKEATKSPKKKTTTKSPKKKVATKE >SECCE7Rv1G0463570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:54738909:54739274:-1 gene:SECCE7Rv1G0463570 transcript:SECCE7Rv1G0463570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKEGKYRGVRKRPWGKFAAEIRDPERGGSRVWLGTFDTAEEAARAYDRAAFAQKGATAILNFPGEAGRMSGGSSSSAAATAAASRRVPDTEKVELEYLDDRVLDELLAEDYYSSKKK >SECCE1Rv1G0058650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701389666:701391873:1 gene:SECCE1Rv1G0058650 transcript:SECCE1Rv1G0058650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAGLGAYGHYYRHHPLIRFLYLGAITLFLPIVSDVVSTIQNPYTITVYPKHDRVILSNCEADGHVFLVILWIGLVQIVGANATTIVAGDSREGRSIAPPAVQLVKAIWTSYLAYMMIESGLEPYGYNVKWSQDRQLSLLDIFLLALPYALILAKLLFKYYAWYKASRSLAFGRNPRLIVGYMEKLQVGIHYAELAISEHDVPPPLIVMREDTVLVEKQPHGYSLAGMNINNGLLTIDRVWQLDDTLLLRRSKDLCLAFALFKMLRCRFASIGYCLFVIIFLVITFRGYRALDYEQIYCHPVHCHPVSSSTYTYTSESKAWVSFGRLVFDVLPVCLLGALGVLVEAREIASYICSNWTKVALICGYVKHTSWQQSPTIRKCIGHILHTRCKLLDRWEDKMYQCSILALHPSRNPIALLQRILRLPDQKKKVPRAVKAAVLDAVRNSINRTRLGSNGAAPRHLLVGSNILWTFHGAKGAADTMLVCHVATSILEMTRSRQQLPSDHEIVATHLSRYCAYLVACCPKLLPDDHVWCRSLYRAVKKDAGRVLTGHGITVSTSEAEYQWLVELLSARSNHQVLKDGAELGQRLAELLEGEEVAWKALAGFWSKMIVNIAAACDNIDEHAEAVARGGELVTQLWALLAHIGSDDHDMAAAAATTHGSPDVV >SECCE4Rv1G0215700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:6086390:6088964:-1 gene:SECCE4Rv1G0215700 transcript:SECCE4Rv1G0215700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSWASIARMLLLLITVPAVAAIGDPLAMIGMPNCNTTCGKVSVPYPFGMGPAHCYRSPGFKLTCDHGSSNIPRLLLGAGRSGMLEVVDIFLDPSSITIRTVQSVNTGGWSGQWRLGGTETTGGLSYFLKPGYNELILTGCNVKAALRGNGSLISGCTSLCGAGSVKPDIYCRPNGNVSACTTIGYCKSPIVIASTSLGMELKRLNYCLPNPKESDLPVNVLIAQTGWFDLQQYLDVVLNITTSDHKDQLTIPVILGWGVIHGPANYPIIRVECPEHTAQSICKSTNSECRQAALGGAYSCRCMNGYEGNPYLTGGCQEVKVNECNQNGTYGCLGDCEELQGLFRCHCPRGTRGDPTIPGGCVKSGNLGLIIGFSVASGPCILLLVLGALLITRDLKQRKTMALRHKFFSQNRGQLLKQLVSHRADIAERMLISLEELEKATNNFDQARRLGGGGHGTVYKGILSDLHVVAIKKSNIVVKREIDEFINEVAILSQINHKNIVKLQGCCLETEVPLLAYEFISNGTLSDHLHMEEPRSLPWKDRLRIMGEISKAIAYLHSAISVPIIHRDIKPSNILLDDTLTAKVSDFGASRYILIDQTGTATAVQGTIGYLDPMYYYTRRLTESSDVYSFGVLLVELLTRKRPSLCMSSEGDWIVTRFVELHEDGNLADILDPQVVEEGGNEVEEVATLAVSCMKLVAGERPTMREVEMAMEALQQPKERVLGDLAAASLNYPATSRRAKQSETSRCYSLEEEFMVSARYPR >SECCEUnv1G0558750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334264601:334265056:1 gene:SECCEUnv1G0558750 transcript:SECCEUnv1G0558750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGVEMELRKRTIDYNPKRTRFRKQHRGRMKGKSFRGNRICFGRYALQALEPAWITARQIEAGRRAITRYARRGGKIWVRIFPDKPVTLRPTETRMGSGKGSPEYWVAVVKPGRILYEMGGVSETVARAAISIAASKMPIRSQFIRLEI >SECCE1Rv1G0016250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:136274592:136277236:1 gene:SECCE1Rv1G0016250 transcript:SECCE1Rv1G0016250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEHKGETSSGMYTYKHRGDKGVDIHEIFVKKSRTRVLLSYCGLILLLAIVCRSLLGKEKLCLESVWSVTFGVLVAKCLQYKPVKKESVVIMPSFGVQLEIHFWSGRVDRHFVPIGKILKPLLNECVTPVTCYWSLALLLRDEEELKLVFQKFRPPVKMLVPIWRALCAFTDNECTSQRSAVSKPNRSEA >SECCE5Rv1G0330360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:528001368:528001742:-1 gene:SECCE5Rv1G0330360 transcript:SECCE5Rv1G0330360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVEIVEQVLKTEIKQSTFLRNVGLQSSRNNSGKATAEVAAHVRDLEQKLERSELQAEVMQEELAAIKMKAEKSEATRDKELELLRKKSQEQEEQLAHLMALFGAKAV >SECCE2Rv1G0141510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935803794:935807551:1 gene:SECCE2Rv1G0141510 transcript:SECCE2Rv1G0141510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSLTLTLLEKITNNFSKERLLGHGAYGKVYKGVHDGQEIAVKLLNNNMQTIDDEQFVHEFSNLMMLNHPNAIQLVGYCYETRREHMDFKGKIVFGETTYKALCFEYMPKGSLQKHLSDECNGLDWQTRYKIIKGACEGLKYLHEGFGEPFYHLDLKPDNILLDKNMVPKLADFGLSKLFGEEQTRVTQSTIAGTIGYMPPEYLYGSLVSNKLDIFSLGVVMTKIIAGHNGHDELLDQVQGNWRRRLQTTCSSPEPLEAQCQQVKRCSEIALSCMETNRHKRPSIVDIIHELNKTEHFIEKITYYEQELSPPSTSTSNVVQHVASPPPSTPSPAPSPTVFYIGSSTPRRRPPPEISYVMPPPPPPPPPSGGLTEFFRTFIQRKPKINGCAHKFPYQLLAAATRDFVVDRMLGEGAFGAVYKGTLMLQGKEVGVAIKKNTHTMSDQAKAAFYKEVEIMSPLSHRNIIRLVGWCDERNSLLLVYELVEDRNLQARLYGHGACVAAELSGARAPGSALDLDWHKRYNILHGIASGLEYLHNNCAKAVMHRDIKPANVMLDRDSNAKLCDFGLVTQLTHAITSRSTNNVIGTEGYMDPAYKSTGQVTKGSDVYSFGVLLLEVVCGVAPNLIGNPPKNSLIEKVRECCERNAILDAADQRLRGNFDEEIKGVLLIGLHCVETSRGDRPSIRIVLADLVSIAAKSTSHSRRTSEVVGAEV >SECCE3Rv1G0167460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:202968226:202968734:-1 gene:SECCE3Rv1G0167460 transcript:SECCE3Rv1G0167460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQQEVYFVFMNFDPVYERLRADRTKQGSATLDAYLSQKHDKLLAKLLQPDTYWKKSSLAIVDGFAVEITDTQASVLRSAKEVRVVEKNQELA >SECCE1Rv1G0055440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:680132574:680139070:1 gene:SECCE1Rv1G0055440 transcript:SECCE1Rv1G0055440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPATADQAAGSPSTTTTANSSSLRRRAALSVNTSAAGGGGGRGMERERKEADEEERDVERAGAEPVSPAGRLFREAHFNCYIVALLGLGAPVDVAAARAGLEATLVRHPRFCSVQVSDDVKKNAKPRWVRTTVNLDDHVIFPDLDPAATSADPDRALEDYVSSLSTRPMNHSRPLWEFHVINFPTSEAASAVAIRMHHSLGDGVSLISLLTACTRSATDPSRLPALPAPPPPRRSGGAVPPLSAGARALAAWVWSLVALAWNTLVDVALFVATSWFLRDTPTPFLGSPGVEFRRKRFLNCTLSLDDVKLVKNAMKCTVNDVLVGVTSAALSRYYFRKTGETNSDKRKPRKNIRMRSALLVNIRKTPGLHTLAQMMDPSKDNAVKWGNQIGYIVLPFHIAMHDDPLEYIRQGKKTADRKKRSLEAVFTYWSGNLVVKLLGIKAAAALCYGMFTNTTMSFSSLAGPTEKVEFYGHPIVYIATSVYGHPHALTVHFQSYMNIMKLVLAVDDEQFPDSHQLLDDFAESLRLVLRAASARS >SECCE3Rv1G0210730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:945010250:945010827:1 gene:SECCE3Rv1G0210730 transcript:SECCE3Rv1G0210730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGAQVLAAFTLGFLLMAFCAEARVCMSPSKLYKKSPCKNVGCTAACHKEHFKGGYCSSKKSIVGDELNEDNDGNFFRKPKPKPKWCICTFKCKSKVKAPPPPLDPEVPVPPAEPELPDPKKKPPPPYAREVSEPPSGEDKKKKRTAATDQ >SECCE7Rv1G0511800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:805121474:805125698:1 gene:SECCE7Rv1G0511800 transcript:SECCE7Rv1G0511800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAQDYAAAAMAYAQAQQQPPPQYGFHPQAQAQYPHHPHAGPPYAAPMPQYAPYPRAMPPQQLYPHLPPHQQPSPYPPPHGMPGHASQPPHPYMHPPPFESAPPAPAPPPADPELQKRIDKLVEYIAKNGPEFEIVIRDKQHDNPDYAFIFGGEGHAYYRYMLWVTGRPPMAPYPPGSMHMMPPMGPMSHGPPPMHQPGYPPFYDQHQHFGAHGHGEYDTGVAFKGLSGPLPADVAAELHDVLTNLNGTKESIKGAKAWFMQRAPFAPALAEALRERVFALEDSERQLHIVFLVNDILFEGLQRRTNIQDLDNEAIAFQSVLGSMLARIYNNPQNKDENQNRLEKILQFWGSKEVYDQETVANLEREMKGGISYPSAPQHVSPDPSTFSGSAKPSKWSSAPPEMEKAPQPVPSAQFPGNQHPAGVYGQTTFQGSLPVQPSLLPPALPQSTAPATANDPTPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTSIPPSTVPESEILERVTRFFKEIGEENPSEGPMKEGEPGDYDDYERELPARKGGACIPPPASLHVNPETGMRSDGSFDSKPGSSGRLGLGASADPSEGSHQYGDVYSSYRKQRSSNYHSSISSRAVAPR >SECCE5Rv1G0298250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10814056:10815594:1 gene:SECCE5Rv1G0298250 transcript:SECCE5Rv1G0298250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGDDEKPPSPNAGSKDGLVSMAIPAYNKKDVGLTKDTVTAMVEIRATSSAAMTPMREGLDLVAVLDVSGHKIESVKKALQFVIMKLTPVDRLSIITFDSTARRLNPLRCMTPAAQTDLKAVVDGLQLQNGGGTDIMAGLELGLAALRGRVHTESRTANVFLMSNGQTASGDPREVDPGEVAVYTFGFGAGSDHKLLSDLAKRSTGGTYSAVPDGSNLSAPFSQVLGGLLTVVAQDVQLCLEPNTADGDVEKMTVAPGTDYTTTTDDKSGLITVKFGTLFSGEARKVAVNFTLRDSDETEEYDAVLAEARHSYAAQKTRQAPEVIMMLRTPNPSSPAVAGAVENRSVQAEEVRRLHADTINGASLLADAERLEEAREKIVDAQNAVEDIVLLDDGENMASALRAELQQLLTFMESQELYNQRGHPYALATVTSHGRQRTAAARGEAGEVTSLYATPRMNSYLEQVKRFEEKPAESVPSADDDDV >SECCE1Rv1G0033820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:471944761:471949418:1 gene:SECCE1Rv1G0033820 transcript:SECCE1Rv1G0033820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAAATAPSELDGSIRGEGEVAAKRLPSATSAAAPAEKESLEELERRYAPYARRDAYGPMGRGPLGAAEAARMAVAAAVLLPLRVVAGVLVLVAYYLVCRVCTLWVEEERAGGEGEGYARLQGWRRACVVRCGRALSRAMLFVFGFYWIREHDRRFPDAEGKYLDQSELLERPGAIVSNHVSYVDILYHMSSSLPSFVAKRSVARLPLVGLISKCIGCIFVQRESKTSDFKGVSGAVTERIQRAHQQKNSPMMLLFPEGTTTNGDYLLPFKTGAFLARAPVQPVILRYPYKRFSPAWDSMDGARHVFLLLCQFVNHLEVVHLPVYYPSEQEKDDPKLYAENVRKLMALEGNLILSDLGLAEKRVYHAALNGNNSLPRALHKKDD >SECCE6Rv1G0433800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:761734968:761737670:-1 gene:SECCE6Rv1G0433800 transcript:SECCE6Rv1G0433800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLCFLLASTATLSAAVTASPYSSACSSLPPAHDRHTDGDDAVALIRSFRISAGQFSGGADGLFSRDGDPYDPRPFHFFPHRASRTDEPALVHLTATLTLIGPRSWRSGGRHHYSEAASIAFVLDGYHSSTSLELCMVGAGTEHAADGSLKLYPDVVLRLHVPSPPSLADPFVSGSMEGSSDLGTIRLLAYAEGDHYKYDSERAACSPGASRQPAGGSHRALHGVNSVCAHLKEQLMISYRLDHGRAPFPRMHINQMQCAADGAAVRAYAVLSNDTEPNERGSRRRRFLVGEEALVADGHWDQARRMLCLRACRVMLRSPPSAPVVVREVECGIGMSFWFPAVWTMRDRRVVAGMLWNSSQADVEAEPIHGVITASSIDDQRSTINLSDVKYNYNDTMLDEAKKHHRQLSKGKKVRGSYSFPDFNYTNTDSEFSFHGLDFTGGRAYPVTIGSAMVARNILAADDFFSQHWAVHGSRRADAISRRAVVDDMTQTLLNVSYVIRYSAPRDKIRVHPANVASYSNYSDSFEERKILAEGIYDRKRGILCMVGCQERNGSTDCQALVTVQFASLDSKAQALGTGAIISLRDKTDRLFFEKIDFILHGMYSRQAANAISRMDMESIMLVASTTLSCVFTILQILHTKRNPEAAPATSVTMLAVLTMGYLAPLLLTSEALFASRRSQYYDSYPTSRRLEMNEAMMGVPTLIAFVLQLRLLQLAWSGRRTSTMSEKTVLWICLPMYVLEGVVAAVVHVIKVRAALRAAPWEINMGGWPAEIWQDLVSYAGLIQDGFLLPQVILNASLGGSRARAISPWFYMGGTMLRLMPHVYDVVRSQIYKPSMRSSSLYASPPSDIFGATWDVVIPCGAALLALSLFLQQRLPGTEALPSQRRRSDGYEMASNI >SECCE5Rv1G0330010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:524000089:524002237:1 gene:SECCE5Rv1G0330010 transcript:SECCE5Rv1G0330010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:[Fructose-bisphosphate aldolase]-lysine N-methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G14030) UniProtKB/Swiss-Prot;Acc:Q9XI84] MAALHHLVLPHRLISGHGPPPVLRFSRRPRPLLHLLPRAAAASTGASASATAVSAPSDAALQYFRRWLSSQGAETGSVAPAVVPEGLGLVAARNLPRGEVVAEVPKKLWLDADAVAASDLGRVCGSGGDLRPWVSVSLLILREAARGGDSLWAPYLAILPRQTDSTIFWSEEELLEIQGTQLLSTTTGVKEYVQSEFDNVEAEIINANKDLFPGTITFDDFLWAFGVLRSRVFPELRGDKLALIPFADLINHNGDITSKESCWEIKGKGFLGRDTVFSLRTPTEVKSGEQIYVQYDLDKSNAELALDYGFTEANSSRDSYTLTLEISESDPFYEDKLDIAELNGMGETAYFDVVLGESLPPQMITYLRLLCLGGTDAFLLEALFRNKVWEHLELPVSRDNEESICQVIQNACKSALAAYHTTIEEDEELLEREDLQSRQQIAIEVRVGEKKVLEQINDIFKEREQELDDLEYYQERRLKDLGFIGDNGDIIFWES >SECCE7Rv1G0458980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23792260:23793120:-1 gene:SECCE7Rv1G0458980 transcript:SECCE7Rv1G0458980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCWLLLQFLAFLLPAVSATSCHADDLRALQGFARNLSGGGVLLRAVWSGVSCCGWEGVSCDGTSGRVTALRLPGRGLAGPIPGASLAGLAQLEELNLANNKLIGTIPSWVGELEHLCYLDLSNNLLVGEAPKSLIQLKGFATTRRSLGKAFTNMPLYMKRSRRTLQQQQPNIISGTNNKVRSGRTNVVSGNDNTVIFGNDNTVAGSNNTITTGSGNTVTGSNHVVSGTKHIVTDNNNVVSGIDNNVSGSFHTVSGSQNTVSGSNNTVSGSNHVVSGSNKVVTGG >SECCE7Rv1G0506290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:747599113:747601232:-1 gene:SECCE7Rv1G0506290 transcript:SECCE7Rv1G0506290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGEHTVALLARLPAEAAEAYTTDGSLDFDGNPALKNRTGGWRACRSVLGTEFCYCLAFSGISGNLVTYLTGDLGQSNVAAARNVSTWQATCFLMPLGGAVVADSYCGRYRTMVVSCFIGVAGMLMTAFSAYLPLLLKNGMSDMVSVQGFVLFLGLYMIAIGLGGLRPCLMSFGADQFDDGDPSERATKGSFFNWYVFNMNCASLISSTGVVWVQDHYGWALGLTIPALVLAAGLSCLVAASRTYRFQRTRGSPLTRVCQVVVAAVRKFSVDTPADSSLLYDMPEEYHLAGVPRIQHTTDLQFFDKAAIVVASDKEVEAAAAPPRTSPWRLCVVTQVEELKILVRMLPLWATVVFFYAVSAQVTSTFVEQGMAMDATVGSLRVPPASMSTFDILTILLLVPLYDRAFVPAARRLTGREKGISELQRIGAGLAMPVLAMGAAALLETARLRAAPNSTSVLWQAPQYVLVGVGEVLTTIGQLDFFYSQAPAAMKTVCTALGLLAIAAGGYLSSFLLTTVQWATTTGGAPGWIPDDLNEGHLDRFFWMMAGLGCLNLIAFGSCATRYKFRKGC >SECCE1Rv1G0052040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:658374160:658377409:-1 gene:SECCE1Rv1G0052040 transcript:SECCE1Rv1G0052040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGGGGETKAAFARIYDALKEELLRDPAFEYTESSHQWIDRMLDYNVLGGKCNRGLSVVDSYKLLKGVDVLTEEEMFLASTLGWCIEWLQAFFLVLDDIMDDSHTRRGQPCWFRVPQVGFIAVNDGILLRNHISRMLRLHFKNKPYYADLLDLFNEVEFKTASGQMLDLITTHEGEKDLTKYNIGVHRRIVQFKTAYYSFYLPVACALLLSGESLENYGAVENILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERANESQKSILFENYGKKDPECVAKVKNLYKELNLEAAFHEYESESYKKLIADIEAQPSDAVQKVLKSFLHKIYMRQK >SECCE4Rv1G0286580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849725380:849726761:-1 gene:SECCE4Rv1G0286580 transcript:SECCE4Rv1G0286580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGECTNTAGGHLCRCPRGAQGDPRIRNGCIRSSLGLSVGVGVGSGAALLTMVLGAILVTRKMKQRRAKMLKKKFFKQNRGHLLQQLVSQKADIAERMIIPLVELQKATNNFDKAREIGGGGHGTVYKGIMSDLHVVAIKKSKVAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVQEPAPSLTWEDRLRIATETARALAYLHSAVSFPIVHRDIKSQNILLDGTLIAKVSDFGASRCIPVDQTETATAIQGTFGYLDPLYFYSGQLTEKSDVYSFGVLLMELLTRKKPCSYRSSEEETLVSYFTSSLAAGKLVHVLDPQVVEEGGKEVEEVAVLAVACVRIEGDHRPTMRQVELTLESLGTSHDSFVMHDMDVPKYPVIEGTNMEETSRQYSLEAEYLLSSRYPR >SECCE6Rv1G0434700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:767154162:767155838:1 gene:SECCE6Rv1G0434700 transcript:SECCE6Rv1G0434700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLGQLLVMGGAVVGRAVVQAYRQAIVNAQRTGAAQEAVNGIRRASKAMTEQEARQILGIGEKTSWEEIVQKYDTMFEKNAKSGSFYLQSKVHRAKECLESVYHEKPDIMN >SECCE2Rv1G0075570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:84933182:84938614:1 gene:SECCE2Rv1G0075570 transcript:SECCE2Rv1G0075570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGWLSLLCRPRRGGRAAVLPPPNPDPPPPQPKGEENHSASDKVIMENILPNGDFSEDLCLWHSNGCHAFVAVEGSGYHNGIKPHSGSKYAVVTHRTQTWQGLEQVLENISAGTKYIVTAYVRVHGEFHEPVGVQATLKLESDGSPTNYHPVARILASQECWEKLEGSFELTTIPNRLVFYLEGPPAGVDLLIDSVTISCKKAESKTSSLVGETANIILNCDFSEGLHSWHPICCHAYVASQWSGFLDGIRGDSGENYAVVSKRTESWQGLEQDITDRVSAGIVYAVSARVRVDGNIHGKAEVKATLRLQNPDGSTHYNPIGRVLASKEKWEKLEGSFSLTNMPKCVVFYLEGPPAGADLIIDSVTIARSEHKQSKEVKSPSGIETVIKNPQFEEGLSNWSGRGCNISRHEFTAYGNVKPVNGSYFASATGRVHSWNGIQQDITGRVQRKVSYEISSPVRIFGSANETEVRATLWVQEYGREQYLCISKNQASDKRWTHLNGKFLLHAPFSKVVLFIEGPPAGIDILVDGLVLSPARKLHAAPRPKIENVLYGANIMQNSACSRGLAGWSPMGSCRLSIHKESPHMLSSILKDPLNQKHISGHYILATNRTDVWMGPSQVITDKLKLHTTYRVSAWVRAGSGGHGRHHVNVCLGVDDQWVNGGQIEADGDQWYEIKGAFKLEKKPSKVIAYVQGPPPGVDIRVMGLQIYPVDRKARFEYLKDKSDKVRKRDIVLKFQGLDAVNVFGSALRIQQTENSFAFGSCINRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGKINYKDSDELLKFCQKHNKQVRGHCLFWEVEDSVQPWLRSLHGDHLMAAVQGRLHSLLSRYKGQFKHHDVNNEMLHGSFYQDRLGRDIRAHMFREAHKLDPSAVLFVNDYNVEDGCDSKSTPEKFVEQIVDLQERGAPVGGIGVQGHISHPVGDIICDSLDKLAILGLPIWITELDVSAENEHIRADDLEVCLRECFAHAAVEGVVLWGFWEAFMFRNHAHLVDSDGTINEAGKRYLALKQEWLTQTNGDIDRHGEFKFRGYHGSYTVEVATPSGTVTRSFVVDKENPVQVVTLNI >SECCE5Rv1G0361240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:778378233:778379625:1 gene:SECCE5Rv1G0361240 transcript:SECCE5Rv1G0361240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPELMDELVEEVLLRLPPDDPASLFRASGVCKPWRSILAGPRFRRRYREFHRTPPILGLFQQGDSFVPTSAVVPAHPGQPYWYAMDCRHGRALFAHFGATFDLIVLDPVTGHQHRVISPFDYSVSVSAAAAVLCAAQGCNHHGCQGGHFHLAFVSTDQVGAISAWLYSSETAEWSEFTSSIYHSNALLQPLGVSSVLVGDALYFNISDIVECQLGTLRTSMLKKPVDGRGRLMTAEDGGLGFAAVVDVTNLTLWSMETAPEGATGWAKLRVIDLKAMLPDGALLIPALEGGLLGIAEGTQVIFVSTCVGSYMVDLKSRQVRKLSCPGKIIFPYMSFYLPAMEAASTGQGQ >SECCE7Rv1G0474430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:172031399:172046839:-1 gene:SECCE7Rv1G0474430 transcript:SECCE7Rv1G0474430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVGEEEEERILLACATPPENFDECTGDGSVDFRGHPIVKHNTGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATIADSYWGKYRTIAVFSTIYFLGMSALTLSASVPYLQPPQCIGSFCPQPALHQYLIYFVGLYMIALGAGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFSINIGSLISGTVLIWVQEKYGYGIGFAIPTFFIALAIGSFFLGSEVYRFQIPGGSPLTRACQVVVAAIYKRNVDLPDDSSLLYECHGKRSAIEGSRKLEHSSEFSFLDKSAVILGSECGGFHNPWRLCTVTQIEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMTLNNQVGSFKIPPATLSSLDVISIVAWIPIYERFIVPIARRLTGKERGFSELQRMGIGLFVSTVAVAAAALVEIKRLESARSEGLVHQKVPVSMSILWQAPQYLLIGVGEVFTSIGQAEFFYNQSPDSMRSLCSAFALVTVSLGSYLSSFILTLVTYLTTRGEQMGWIPDNLNEGHLDRFFWLIAGLSSLNFLAFLYFAQQYKCKKASVL >SECCE4Rv1G0281290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825664404:825665021:-1 gene:SECCE4Rv1G0281290 transcript:SECCE4Rv1G0281290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLEKGEVFERNTKSRLDGHPEATAEAGSVFTDDLILEILSRLPARSVHRFKCVSVAWRDLIVDPANRKKLPQTLAGFLYTSYSSGHRHHFASISGSAAPFDPSLPYLQPNKYMDMAQVDACNGLLPSSSTAAARRTRCILGLAQKMIAVLWCAIPPLQGGSSCPLSLLRQKSDIALLQLWLLIRQSRRISTFFILRRTMWQII >SECCE4Rv1G0234370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:277777386:277791698:1 gene:SECCE4Rv1G0234370 transcript:SECCE4Rv1G0234370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSARPIFEITSHTLTKLLTALNECTEWGQVFILDSLSRYKATDARDAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLDTFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDELLANISTLSSVYHKPPEAFVSRVKAAPRADDEEFADAGETGYSESPSQGVDGASPSSSTGTSSNVPVKQPAAAAPAVAAPIPDLLGDLMGLDNAEPTASSGPPLPVVLPSTTGQGLQISAQLVRRDGQIYYDISFENGTQGVLDGFMIQFNKNTFGLAAGGPLQVPPLQPGASARTLLAMVFSQNVSPGAPNSLLQVAVKNNQQPVWYFSDKGSLHVFFGEDGKMERTSFLEAWKSLPDDNEFSKEYLNSVISSIDATIEHLAASNVFFIAKRRNANMDVLYLSAKIPRGIPFLIELTAAVGVPGAKCAVKTPNREFVPLFFEAMESLISSK >SECCE6Rv1G0406800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:550532587:550533699:-1 gene:SECCE6Rv1G0406800 transcript:SECCE6Rv1G0406800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKFGFGPNLPPAFKFDPTDDDIVAYYLLPRALGLTNPYGHAIIEEDPGSAPPWELLRRHGGDGEIEHAFFFGPATDGGRKKRTVKGGGVWQGQKATLRTATLLGPGGVELDIAYKRYDLTFKLKASTGYVMHEYEITSPPLPGKVLSRINISSNSKKEKAPGAATGADQQVLLPNPELPGTSYYDHDAAAMASSGEGLGDTQAGALHGGNGGDTADADYCYTPLNCVLPDGQYINYDPSFTDQMFAAMKSDSQGFTGAQPDAFYGCGMVETNGAYYDPSQFVPVPSQEVAPWPNQLDQSYSYSQYYAGDAAVMWSGGEQQAGGSLCGHNDDGGVIQTESADPTFSTCDGGEENPDYEVSRRQRRRLE >SECCE3Rv1G0160430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:104863742:104866150:-1 gene:SECCE3Rv1G0160430 transcript:SECCE3Rv1G0160430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMRELHLGFVDLSNQGGGWCTALARYTPNLHVLSMPYCELTGPICGSIAGLQSLSVIDLQHNHLTGPFPEFFAEFSSLSVLQLSYNDLIHGWVPPSIFQHKRLVTIELHQIPGLSGTLPNFSADSNLENLLIDNTNFSGAIPSSISNLKSLKKLGLGAQGFAGDLPSSISELNLLSSLQVSGLEVVGSIPPWITNLTSLEVLEFSLCGLHGPVPSSICELSKLRILSLYMCNFSGKIPPCVFNLTRLETLELQSNNFSGEVELNSLWKLPNLSDLKLSNNKLNVIDGEDVSSFVSFRDIKFLLLASCNISKFPNVLKHLNGIYVIDLSNNHIQGTMPQWAWETWTNSHLFYLNLSHNIFNSVGYHTFLPLGALDVLDLSFNKFEGPIPIPRSSGSVLDYSGNHFSSMPHNISTQLEETAIFKASGNQLSVNILPYFCGTKIQFLDLLHGTIPLRLMEDGNALKILNLKENQLQGEIPRDINNNCVLEVLDFSGNWIQGQLPRSLSSCKKLEVLDVGNNRINDSFPCWMSGLPGLQVLILNSNGFFGQVTHSVVDDKNTCEFPSLRILDLASNNFSGTLTEDWFVKLKSMMVNTANGTSAMKYISDLQRPRQEYQVATKLTYKGYSITLPKIARTLVHIDVSNNAFHGSLPQAIGELVLLNILNMSHNSLTEEIPSQLGRLKKLESLDLSSNELTGVIPQEVASLDFLGTLNFSNNKLEGRIPGSPHFQSFSNSSFMRNDGLCGPPLSKKCSNETTPYTTIDPSKENSADIVLFLFSGLGFGVGFVVVIIGTWVLPIRKRS >SECCE7Rv1G0504530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:724458188:724459048:-1 gene:SECCE7Rv1G0504530 transcript:SECCE7Rv1G0504530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNVEACVLLYGEGEAVPQVFPSHAEAVLILNRYKNMPEGNFKKTVSHERFLIQYFYKLHAKGHKLQSVCEDNETRILLHKAMLRSNLSSLYSLNIEDLTNVGRKLEVILQGMGESITKISFQPPVFQPQEQYVTNTMDMGSPAMYHAPPPAPYVIDYMHTESQSTYQAPPPAPYITHNIDMGSSTMYPTPSPVPYDTSGMDMGPPMMFKAPPQQQEESLNMMRYGGDLNALVYRGYNSSGRNDTSTCTVFPSGDINLKKSFEVGFGWQFSGADPEASSSSPFPPM >SECCE6Rv1G0414270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:630978686:630982192:-1 gene:SECCE6Rv1G0414270 transcript:SECCE6Rv1G0414270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGDGCGGAGPAAPGGGDLYAVLGLSKECSDADLKLAYRKLAMRWHPDRCSSSSGTKHMEEAKEKFQEIQGAYSVLSDANKRFLYDVGVYQEEEDSDDSMQGMGDFLGEMAHMMSQTRPARQESFEELQQLFVDMFQSDIDSGFCNGPAKGHYDPFQRQTQTFSTSPSSSPSPPPPLATEAEAASCNGINKRGSSAMGSGKPPRAGEPGVGYGQSEFCFGISDAKQAPRARGGNTSRRRNGQKQKLSSKHDVSSEDEMLSPQQPRVV >SECCE6Rv1G0394470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:297334826:297362614:-1 gene:SECCE6Rv1G0394470 transcript:SECCE6Rv1G0394470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MVALASLSSLCLCGSARRRSTSASTSISCCVLATPSGRGSHESRIPRRRFRRTEGATKSMEDSVKRKMEQFYEGVDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSTTPIFASSFTMELIKKRLKEFGIFLSSRLKSFKVRNRFQAGPFEVEPIRVTHSIPDCCGLVLRCGDGTIFHTGDWKIDESPVDGKIFDREALEELSKEGVTLMMSDSTNILSPGRSTSESVVASSLLRHVSEAKGRRVITTQFASNIHRIGSIKAAADLTGRRLVFIGMSLRTYLEAAFRDGKAPLDPSTLVKAEDMDAYDPKNLLVVTTGSQAEPRAALNLASYGGSHALKLSKEDVLLYSAKVIPGNESRVMKMLNRLTDLGPKIVMGKDAGLHTSGHAYHDELEEVLQIVKPQHFLPVHGELLFLKEHEFLGRSTGIKHTTVIKNGEMLGVSHLRNRKVLSNGFVSLGKQDFKLMYSDGDKAFGTSTDLCIDERFRIASDGIIFVSMEIFRPEPASPQSGLKGKFKITTRCLWLDNGRLLDALYKAAHAALSSCPLNCPLSHMERMVSEILRKMVRKYSGKRPDVIVVASENTTVGFTEEVINKSSGKFRASAASRHMSLVDYEKTRPENPERETEESIPEVMRTTPDDATTSSNGESFFSPDLHQPKTLDHFWESFKSPTAVKIARIVNASAQGNKPKLGKISIIDKDSSTSAPAPTKSSRKNKWKPEEIKSLIQLRGEMNEKFQTVKGRMVLWEEISGSLLKQGITRTPAQCKSVWTSLLQKYEKSKKDDESMQTWPYFLAMDSFLSCEGEMATK >SECCE4Rv1G0232660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:207111160:207114009:1 gene:SECCE4Rv1G0232660 transcript:SECCE4Rv1G0232660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENTSGRQVTYSKRRSGILKKAKELSILCDIDLILLMFSPSGRPTICIGDKSPIDEVIAKYAQQTPQERAKRKLESLEALKKTFKKLDHDVNIQDFLGSGGQTVEELSSHLGALQCQMADVQKRLSYWSDPEKVENIDHIRAMEQSLKESLNRIGIHKENFAKQQLMGLQCAAAQFQNDMQLPLGLTGDPNPSSWFHNGGSDGQPPMMLPEDPSLLHQRDIGCSTSTSLQSYPGYFSMSKQSTDTAGGEQQHQQAAAVQQHQHQQPEFSQGDCLTSLHLGAQFPYQSAFDHASLLNDRLFRPDMELHVDNAAASAMDFVGGHYEMPRPGDEASFQNWASAACSATMYDHQQQPPSAQLIVQNMTESLTVSSLQQQL >SECCE6Rv1G0407320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555763745:555767305:1 gene:SECCE6Rv1G0407320 transcript:SECCE6Rv1G0407320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPMASAGDTEARRPLLARRHKDGEDEDDGDASFSGAVFNLSTTIVGAGIMALPATMKVLGLVPGLTLVVLAAVLTDASIELLMRFSNAVGAASYGEAMGDAFGALGRGLLQLCVVVNNIGVMVVYMIIIGDVLSGTSSSGKHHHGVFEGWFGPNRWNGRFAILLITTLAVFAPLTCFKRVDSLKYTSALSVALAVVFVVITAGIAMIKITRGQIPMPMLFPDVHGTWASIFKLFTAAPVLVTAFICHYNVHPIHNELKDPAQIKPIVRGSLVLCSTVYVTTSFFGFLLFGEETLDDVLANFDSDLGIPYGGVFNDAVRVSYALHLMLVFPIVFHALRLNMDGLLFPSARPLACDNRRFAALTAALLAVIFLAANFIPNIWDAFQFTGATAAVSIAYIFPAGMALRDRHGIAKKRDKVLSVFMIVIAAVSNGVAVYSDASSL >SECCE2Rv1G0069200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27413032:27414169:-1 gene:SECCE2Rv1G0069200 transcript:SECCE2Rv1G0069200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSSLVVPVASAALLLICCLTAGNAAAAASSGGGGLRLNYYSESCPRAEEIVKEQVRSLYEEHGNTAVSWLRALFHDCTVKSCDASLLLETDAATGLVSEQASPRSFGMRNFKYVDAIKSALERECPGTVSCADILALAARDGAAMLGGPAAIPMRTGRRDATESQYGEVERYIPNHNDTVSAVLSRFASMGLDAEAVVALLGAHSVGRVHCSNLVARLYPAVDGGIEPAYGAYLRGRCPTADAREDPRDVAYARNDRATPMVLDNMYHKNLLKGRGLLLVDQRLVSDPRTAPFVRKMAADNGYFRETFAAALVRMSENGPLTGGQGEVRKDCRFVNAK >SECCE7Rv1G0499080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:650226881:650228215:-1 gene:SECCE7Rv1G0499080 transcript:SECCE7Rv1G0499080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVAMRLVGNAGERARKHAKRAAGLNKKASELATLCGVPVALVCTGAGAGASPLVWESEEGVLERYRRAVPPEARAGHTHRAYLETELGKRKAKLARARHGCPAALPDWDEALNDMTLDDARELLQAIDAALRATGDRMQALGLPADRGHGPLDLQVTPDSSVDAVMPEQLGHGGDVPWAGGYPVDMDDAGGFQHLQMVPYDGGNNDGILERFPREHGFQMQPGCGDFQSAGGNYSGGCDGMIAPGLANADYNYSGCGDRMLAPDFADAGYKYNYSGGGEGMLTLGPANAGCNYSGGGDGMLAPGFANAVCNYSGGGDQMLAPGFGNAGYNWPDLTMWHTDKVCDAAMPPGYYPGFADGTLPPEYYSAEVATGGDYVNTLPSGYGPVAVGMGVGDNFTNLESSYTAAHWQAETFQRSDASASTSTSTGELLSAASSPHYLY >SECCE1Rv1G0046120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614317893:614319404:-1 gene:SECCE1Rv1G0046120 transcript:SECCE1Rv1G0046120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAISAVAGELVSRFVSFVTDRYLVSSCSAQSEEKQLRKMQRLLLRARTVVEEADGRYITNPGMLAQLTMLTDAMYRGYWALGASGYMSLEETETTPMEEGGVRNSSPPKCLRTVHGSAKKNKSMYLVDLQGEVESLEDVIVGMMEFVVLLGGCERMLRRPYDSYLYSDNIMFGRYAEKQKLLNFVLQQHGSPGGSPAVLPVIGGPVVGKRTLVAHVCKDERVSSHFSSILHLNEDSFRRIADHGGIMPGKTLAVVELVADVDEEDWAKFRSTIATSMDDGSKVIIISRLKSSERLGTVEPIFLNSLSYEEFSYLFKTLAFGSADPAQQPRLARIADEFARELRSEWSLVATNILADVMRRNLSVHFWLCVLSRLRRLVERNFSMFGEHPKDLLQRRRQVDVTDFVLHPGAPPLRVVPSRARGSSGTEAAAEREAALPRVRLGDLVMDPGVRPQGDFIVLSWESRMPPYTSFVHFVPSGDGAPGAVERSTLLSGKKRPAFSL >SECCE2Rv1G0098130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:476442549:476443085:1 gene:SECCE2Rv1G0098130 transcript:SECCE2Rv1G0098130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRSCRYGSERLLYPVQASGHHVAGGADHLADLEEEDVWSVLAAPAPDSNRSTGRQPDQDRRGRWTAGGLSLAFEATASTPAGRHHHHVASSAPVKVPEWPAARFPAGAGEHGYGVSCREEEGEWMAPHEYLQAQARSSGRGTAAPSVFEGVGRTLKGRDLSRVRDAVWSNTGFFG >SECCE7Rv1G0479580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:255032437:255035485:-1 gene:SECCE7Rv1G0479580 transcript:SECCE7Rv1G0479580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWAIFVLQVQLMDITDVLLATQSHDGQIRNVAEGNIKQFEEQSFPQFLQALSAELSNDNKPPVSRRLAGILLKNSLDANDLARKEKCTQRWISVDLAIKSQVKGSLLMTLGSPVSDAHRSSSQVIAKIASIEIPLQGWPELIVSLLSNMTKPDASPSLKQATLDAIGYVCEEISPKDLEQDQVNAVLTAVVQGMNHVENSSGVRLAAVKALYNALDFAETNFQNESERNYIMKVVCETAISKEADIRKAAFECLVSIASTYYDLLEPYMQTLFELTANAARTDEEQVALQAIEFWSTICDEEVAIQEDAEEAGDVSSACHFHFIEKAIPLLVPMLLETLLKQEEDQDEDDGIWNISMAGGTCLGLVATAVKDAIVPLVMPFIEGNITKPDWHSREAATFAFGSILEGPSVEKLAPLVHAGFDFLLNATKDQNNHVRETTAWALSRAFEFLHSPTSGVSVVTNANLPRVIEIMLTSIKDSPNVAEKICGALYFLAHGYENAGSMSSVLSPYFGQLVSALLATADRSDSNNSRLSASAYETLNEIVRCSSIADTLNMIVLLLQEILKRLNQTFEFQIASSEDKEKQSDLQALLCGVVQVILQKFSNCDDKSVIIQFADQIMVLFLRVFSCDSSNVHEEAMLAIGALAYATGPEFVKYMPEFNKYLEMGLQNFGAYQVCCVSVGVVADICRALDDKVLPYCDGIMSALLKDLSSPELHRSVKPPILSCIGDIALTIGEHFEKYVPYTVPMLQGAAELCSRMDLPDDDSTEYKNELRRSIFEAYSGILQGVKNSKSELMVPYASHIFQFAELVLRETSRDEGLTKAGVALVGDLADALGPSIKLLLKNSNFHSELLGRCSQSDDEQLRETASWVQGVISRVLVS >SECCE1Rv1G0058910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:702168284:702171311:-1 gene:SECCE1Rv1G0058910 transcript:SECCE1Rv1G0058910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACFLSGPSPLARPPPRQPARHSLRRLAAARPPAGPLALPASPRPRGPVRDLVAGPVAEAARRALAAAAGPLVVALASAALLLGDAGAASAFVVATPRKLQADELATVRLFKDNTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKLGHIVTNFHVIRGASDLRVTLADQSVYEAQVVGFDQDKDVAVLSIEAPKDKLRPLPVGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIQFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDAYGRLILGDIITSVNGTKVANGSDLYRILDQCKVGETVTVEVLRGDKKEKIAVVLEPKLDET >SECCE2Rv1G0117050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:769492146:769493804:-1 gene:SECCE2Rv1G0117050 transcript:SECCE2Rv1G0117050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPQPPLAAYKHFCRVCNKGFTCGSALGGHMRAHAVTDDGPGADDDDDEPVSSARGGEDGPSTAGAATTHVYALRANPNRLTRGCQVCKNCGKEFSSMELFLEHGKCTSGEEEDADGSPPPSVADEEEDASLASGWSKGKRSRRAKSIAGGGDDTMPGPSTAPSGEEEEEDLANCLVMLSSSKADQASAAAQDDPEPRAPASKEHGRRPHQQPQHFPIVVAPPDQAIMLPLALPAPQPQYTSSLPRGLFECKACKKVFTSHQALGGHRASHKKVKGCFAAKPESSVSGTPHHHAAAAGPSDEKGDAAAVDVIHASGSVEARTNADASTGGDTNAGTSGAMPSLSMAITTTDHEPPVAALAIAPFKKKAKMHECSVCHRLFASGQALGGHKRCHWLTSGTGEHANITSLTAEGLVAAAGHQLTLRPMMDAPEPALDLTIAANPLPLMASARVAEAGTSSLPLDASPSLYFQPAAAPSNPSHQNKMTATSSHNANDAATPREAAEDEADSTAVKKAKLSDLKDVSAAGETTPWLQVGIGSSSAGGDGKSACE >SECCE1Rv1G0036140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:505737728:505738318:1 gene:SECCE1Rv1G0036140 transcript:SECCE1Rv1G0036140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERIASTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKETLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGXXXXR >SECCE2Rv1G0068050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:21733687:21738509:-1 gene:SECCE2Rv1G0068050 transcript:SECCE2Rv1G0068050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSTSLLRLTFLALGAALVLLVARSAFRLPLAVDAPAASFLHGAAGCTRFSPWACRSPRTEKSPPRPRRPSHESDVPRHPLDPLTVTEVNRARELLRAHPPFASAPSALAVHALALDEPDKPAVRRWRKGAHALPPRRAVAVVRFQGESHVLAVDLAAGSVAPLPVPASGYPTMTMDEQNALCAAPFGDPAFNATIRRRGVRLADVACLPISPGWYGPAEDGGRRLIKSQCYSTEGTANFYMRPIEGLTVLVDMDTRKVVHISDRGAGIPIPAAKNTDYRRAANDNDDGADRFGYQTVRAPSMEPAPEGPGIQIEDGHTVRWAGWEFHLKADARAGLIVSSATVQDPATGARREVMYKGMASELFVPYMDPTEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARYMDGVFVAADGRPYVRENMICVFERYAGDIAWRHSESPITGMDIRESRPKVTLVARMAASVANYDYIVDWEFQTDGLIRIKVGLSGILMVKGTPYSHMNQVRQNEEMHGTLLSENVIGVIHDHYVTFRLDMDVDGADNSFVRVEMARQDTTPGESPRRSYLKATRHVASTEKDARVRLKLYEPAEFHVINPTKKTRVGNPVGYKVVPAGTAGSLLDPEDPPQKRGAFTNNQIWVTPYNKSEEWAGGLFVYQSKGEDTLATWSERDRPIDNKDLVLWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILKQRPTMEKDLPICAATA >SECCE4Rv1G0250260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:590510743:590510997:1 gene:SECCE4Rv1G0250260 transcript:SECCE4Rv1G0250260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKSKFVVRVILMLAITALFVGSSSARPLGGNVQTAESAAGVVTGESILQFLQRLYLQNLQVGPGASCKTNSSNGGCPPPPSG >SECCE6Rv1G0402620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:485748292:485749533:1 gene:SECCE6Rv1G0402620 transcript:SECCE6Rv1G0402620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTATSRFSKGEEEVEEEQEEASMREIPFMTAAAATSAAAPSASASASTPASASVSASPSGSSPPFRSGDDAGASGSGGGGGSGSNMAEAVEKEHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLDSAANEKGLLLNFEDSAGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRGTGEAARHRLFIDWKRRADTRDPLRLPRLPLPIPLTSHYSPWGLGAGGRGFFMPPSPPATLYEHRLRQGFDFRGMNPSYPTMGRQVILFGSAARMPPHAPAPLLVPRPPPPLHFTVQQQGSGAGVTAGPPVVLDSVPIIESPTTATKKRVRLFGVNLDNPQHPGDGGGESSNYGSALPLQMPASAWRPRDHTLRLLEFPSHGAGAEASSPSSSSSSKREAHSGLDLDL >SECCE6Rv1G0419160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:666736974:666738909:-1 gene:SECCE6Rv1G0419160 transcript:SECCE6Rv1G0419160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERLNYEENIETAAAGGEDRVGTFPDELLQTSFRGGNLLDRMSKRLHNEGNMERAVAGGEDRISALPDELLQYVMSFLLSRDAVRTCVLAGRWRTLCKSVPALRIDDPESYDGASGSSMFVDELLRLRDPTPLNVCDISSDCLEDPDSDTDWAEEAFRHMEPWLQYALSCQVPVLRICFPSRVINMTLVSSHLKRLHLYRMEFEGCALDFSSCQVLEVLEMKACHIHVNLLSQSLRHLKIHNTGFSFNLCARISAPKLLSFTLGTFWGLIPLVDSMPSLVAADGTLEEACDDECCRGNKSCEGCDAQASKTDYPLALGSLSVATNLKLKISGPRKLSIFSMDLKWRTMFSKLKMLLLNKWCVDDDFNGLVYFLQHSPILETLTLLLDFESSEDYHFNKTDESCNSKEQSLLSQYLTKVKIICCTEEDVIVHRIVKILCTHGVPFEKIEIE >SECCE3Rv1G0164270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:160923837:160926962:1 gene:SECCE3Rv1G0164270 transcript:SECCE3Rv1G0164270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 12 [Source:Projected from Arabidopsis thaliana (AT4G25600) UniProtKB/Swiss-Prot;Acc:Q8GXT7] MGSGSGALLVLVAACLSLGPPYALASSRKFGLDIAQPKLLNSTSGSFTPSSHVDFDPSKSKRLSWHPRVFLYEGFLSHMECDHLLSMARRKIGSSVLVNDGATNISQNNIDAGLIFRLADSEDIVVSKIEDRVSLWSFIPKEHGESMQVLKYGANQSDPNKEETQSSSGANSLVTILMYLSDIKQGGETVFPRSELKDAQAKEGTPSECAGYAVKPVKGNAILVFNSRPDGVTDKDSQHESCSVLEGEKWLAVKHMRASKIDNSKSSPVSEDDDCTDEDDNCVNWAAAGECDKNPVFMIGSPDYYGTCRKSCHAC >SECCE4Rv1G0284100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838277924:838281888:-1 gene:SECCE4Rv1G0284100 transcript:SECCE4Rv1G0284100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVATAAATSFVEWMVPKLFDFLDKNHELRKNLERDIKFIKDEFAMISAVIQDEQNSRHGRGEEVHQEWIRMVREVAHAIEDCIDRFMHRVRRAKTGAGWLRRAVHRVKTVKARNEFAMAIQELKKISEDASKLRGAYCSSTTSSPGRSQTETAAATEDDEDDDHSAASCPVPMGMEDPRDELLDLIRQQQQLKVITIVGFHGMGKTLLANHVYNYKAIQTQYEARAWLPPAKLGGTAANLLREILGQLGHPTDGRLTKLQERIKECIGTKRFFIVIDGLRKAGYWHDIKVAFAGLSGRFLVTTTIQRVANTCSGSAVHDHVYTMATLANQHSRQLFFKEIFQDDELPPDVEELGSEALKKCDGLPLALVTTARFLQSAGNPTPMKWAKLCTDLGTYLESDELFSRMRSVLVQSYTSIDSQVARTFLLYLSTYPSGRPIKRSTLIRKWLAEGFSPGNITNNALDAATSCFDKLVDRSIIQPIDASGDSTEVKTCHTHGMMLEFVIRKSMSDNFLTLCNQPSAPPLPSKIRRLTLHHATPREVNDLSLVRSLTVSSETHPSILDFSKYELMRVLDLEEYDHQLLDSHLKLVCSNLLLLRYLSLGAAVTALPKNIKKLQFLETLDVRRTKIDILPTQVMELPFLLHLFGKFKLKQDVGAHRMSKLQAWLSANSKLETVAGFVVDSNKSQGFAQLMDHMKHLIKLKIWYDSCADASSTSTLSKAIKGFIERSTDFMTSHALSLNLSGERSQDLLNFSLGTGKSYYLSSLKLQGGNMYMFLLVSVCERSRRAMH >SECCE4Rv1G0267210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735247797:735248341:-1 gene:SECCE4Rv1G0267210 transcript:SECCE4Rv1G0267210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTATITYAHLENKLALARRCSREATLAGAKAVAVATVASAVPTLASVRMLPWAKANHNPTGQALIICTVTGMAYFITADKAILSLARRHSYESPPPPLKDTSFAGAAAGVRPGPPAFFSP >SECCE4Rv1G0223260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:67764403:67768219:1 gene:SECCE4Rv1G0223260 transcript:SECCE4Rv1G0223260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLPRAPYLASLGKPTARGSSSSLRLPAMSSSAPAPAATAAAEPEGSRPRKMPVLLFDVMDTVVRDPFYHHIPSFFQMSMKELLESKHPTSWSEFEMGMINEGQLAEKFFNDGRSFDLEGLKACMVRAYEYVDGVEDILYSLKQNNYEVHAFTNYPVWYQLIEEKLKLSQYLSWTFCSCHIGIRKPSPDFYLHAVDHLNIDPGNCIFIDDRMVNIEAALSVGMVGLHFKNAEALKNDLCSLGVKLAPLVLEDETEVQ >SECCE5Rv1G0305570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:74207826:74208089:1 gene:SECCE5Rv1G0305570 transcript:SECCE5Rv1G0305570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTTTASDVAGVWFFGELSAALRGKKRQAGPTVIHAEQQHQMGGAAHDKKAAAAAKPSVVDRKEAGGGGMSDATVYLLLDRFAPS >SECCE5Rv1G0361430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:779453545:779454885:1 gene:SECCE5Rv1G0361430 transcript:SECCE5Rv1G0361430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAMTMARPRKKSRQSKPKQRDAPKEQKLDVVVPTGNPGSSGLAALAVGLARRLAGGSADDNLVFSPLSIYTALALLAAGARDATLDEILGVLGVRSRSELENFVSHMAADALQDRSASGGPRIAFACGIWSDLTRRLKPAFREAVVGTYKAEASSVDFRGAPETARSKINAWAAQVTRNLIDSVLPAGSINPATQVVLGNAMYFKGKWEDQPFDKRDTARKPFHRLDRSQVDVPFMQSWESQLVAVHDGFKVLILPYEMAAPDDHEEHHDPWVHSNSEHTQFSMCIFLPDAHDGLFGLLDKIASRPGFLQDHLPRQQIALRKFRLPKFKLSFHSSVVTVLRKLGLQLPFCLDGDLSDMVEDDGSGLPIVVEDVIHKAVVEVNEEGTEAAAVTMVVAGKKCARRSWRPPPPKVDFIADHPFAYYIVEEATGAVVFAGHVVDPSKE >SECCE4Rv1G0265530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726129705:726131291:1 gene:SECCE4Rv1G0265530 transcript:SECCE4Rv1G0265530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVEAEKSITLQPTHFVLVPLMGQGHTTPMADLACLIAGCGVRVSLVTTPVNAARLRGLADRARNAMLPLEIVELPFPPTDDWLPRGSSDNSDNVLRLFMSLYRLAGPLEAYVRSLPWRPSCIISDSCNPWTVGVARSIGVPRLTFTGPSCFYSLCDFNVAKHGLLHSGDVVPGMPASGYSPNYPRPVSATVSKDTWAAAFLTCMPAWGKFVEEVREGIRTADGAVVNTFLGLEEQFVTCYEAALGKPVWALGPFVLNSQNEEARAVEQSAATAWLDMMDQSTVVYVCFGSLTQMLPKQLYEVGHGLEDSGKPFLWVVKESETVLPEAQEWLQALEARTAGQGLIVRGWVPQLAILSHRAVGGFVTHCGWNSLLESVVHGVPVVTWPHFGDQFLNERLVVDVLGVGVPVTPFDDDKVVNPVMRGHIARAVSELMGDGAVAMERRRKCKEYGKRAHGAIANGGSSHENLTRLLHSFMPSGSKEL >SECCE5Rv1G0330460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:530232357:530233235:1 gene:SECCE5Rv1G0330460 transcript:SECCE5Rv1G0330460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYYDIDDILMEEELISVVFQVTANGVGMLDPGAERNSVEKGAKIDLPFWLAHGMLSLEQAVSINVPPCFTQKTRKEIQADAACVDLRVRCPYFYELGCKIVPL >SECCE6Rv1G0408090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:568167665:568169596:-1 gene:SECCE6Rv1G0408090 transcript:SECCE6Rv1G0408090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTATAACILVLFALANAAGAATRAPAPAPAPDCTEALVSLADCLDYVSPGTKSGRPSKACCGEVKTAVSTPATVDCLCAAMAAKQTPIPINMTRVLALPTACGQPASVLNKCHALPGGAPNGAPAPSPSSASGSGSATASPPKPNVAARSPGGAATVLLATVATSLLAFYYL >SECCE4Rv1G0262710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:709670916:709674528:1 gene:SECCE4Rv1G0262710 transcript:SECCE4Rv1G0262710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESRRSRMPVYHSLPAYAILLLLSWPFSSMPLCASDNRLVAGKPLFPGSVLVSQDGVFALGFFSTKKLHHYVGIWYNGIPERTVVWVSNRAAPITTNLSYANLAVTSSSDIALSDSDGRVLWTMKSNINIHMDASVSVEAVLDNTGNFILRSLDDSAILWQSFDHPTDTLLPGMNLRLSHNTLPLQHLVSWKGQQDPSPGEFSYGADPSSLLQCFVWNGSRLHRRSPVWTNYLYVGGFNESTIYMALHHAGNEVYMSFVMPTGSFIMLVRMEIDYSGKVNILSWESNMSEWRAMYAEPQHECNMYGYCGPYGYCDNTEVVPVCKCLDGFEPRDGEGWIAGSFSQGCRQKEVRRCTHGDGFLAFQGMKVPDKFIHVPSRSFDECMEECRSNCSCVAYAYSKMSNMDTDEDDTRCLVWMGDLIDMENHTQGGENLYVRTKRLQGNMRKIKTLEIALPVVSSFLILICVGLIWIYGSRGNQGSKVVWERLMRRDTGNYNEVADSNPEFPILSFMAIAAATNNFSESNILGKGGFGNVYKGTLEDGKEIAVKRLRVGSAQGAVEFKNEIVLTSRLQHRNLVKLMGCSIHEDEKLLIYEYLPNRSLDYFIFHDTRKSLLNWPTRFKIITGVARGLLYLHQDSRLMMIHRDLKASNILLDAEMRPKISDFGTARIFGVNEQEGHTNRVVGTFGYMSPEYAMEGIISVKSDVYSFGVLLLEIVSGMKTGTTTPTSSRARTHNLIDYTWSLRKDGKVMDLIEPSIMEGCSLVEALRCIHIGLLSVQDDPDARPLMSWVVASLDNEDIELPQPKEPMYYFAHRNYGDGENHVHDMNLENLKGC >SECCE5Rv1G0323500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:437106403:437107068:1 gene:SECCE5Rv1G0323500 transcript:SECCE5Rv1G0323500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAAAATMLEAGVGRFRGPSLAALLAEMWAPLAVALAALATLPSLLGRLQVLILRLRSRGKEVISSHISTYYSSGDDESDSDGEDDDDDESSDEAATSSSGEEEEAVRRIGYFEGAAEGLDGCFPWGGAVVRTWQDLPRRFSSVGCGGAARFPSGGGVQAVRLWGASTASGEGSGQAWWDADDSGRGAVAEAAPVVLGWRREHAARRRQRPVRVLLPSEQ >SECCE6Rv1G0435040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:769352871:769357696:-1 gene:SECCE6Rv1G0435040 transcript:SECCE6Rv1G0435040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAEKALATASGGARRVLLFPLPFQGHLNPMLQLADVLHARGLRVTVFHAAFNAPDPACRPAGYRFVTVGAGVPTAGLVPTGSDADFAGALLRINERLQGAFEDSLRAVLQEEEDEEGARPACLVLDSNLRGMQVVADRLGVPTLVLRTGGAACLVAYMAFPALCDKGLLPPQDHSQLNMTLDDLPPLRLQDMVFSTTTPHETMTTCLERIVESAKCSSGVILNTFNDLEDVELQKIIDGVGVPVYAIGPLYKISSGAQSSLLPPDQTCLDWLDKQEAESVLFVSFGSLASMDQEELVETAWGLANSHMPFLWVIRPDAVQGSVKVGLPDGFEEETQGRGMVVSWAPQQDVLGHQAVGGFWTHNGWNSTLESICEGVPMICRPHFADQMINARYAEEVWKVGFELDGKLDRVNIERGIRKLLCEEGGEMRRRANDLKDKATRCIKKGGSSQNMVDLLVNWIMSLPSSI >SECCE2Rv1G0111920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:704849031:704849264:1 gene:SECCE2Rv1G0111920 transcript:SECCE2Rv1G0111920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLQAHREIEDATTHGRLQDDLVEHHWQLDGRRIGP >SECCE5Rv1G0326790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:486307584:486309319:-1 gene:SECCE5Rv1G0326790 transcript:SECCE5Rv1G0326790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVDRSSTSRGRGKNKRNWTSDEDDELIKVLYELSLDPRWKADGAFKGGYLVLLEKHLAEKCPGRGITATPHIESRVRHFRKKFGALEVMLSKSGFTWDGNRKMIQCEKAQYEAHCKIHNEAKGLYGVSFPYFEQLAAIYGKDIATGESAEGFGEAVGNLEKEIAMDEEENEEDDMISTGTARRSTDTQSTETTSSKRQKKEPRPKRATGPSDPFATMLQDVNSQLNSVTQHVGTMATSFTAALAREAAQEDPQQKSREKAISELSRLAFTGSEIVEAATIFAKAPEHMNMMLVLPDILRRDFVLKMLSDERKKQG >SECCE7Rv1G0469610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:111789914:111794337:1 gene:SECCE7Rv1G0469610 transcript:SECCE7Rv1G0469610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNPPPQQGEPSSSADPKAKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADDTCEEPKVRMNKTVRKNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPVKREDEERLDDVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLFGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVELEHISRDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALTTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDVESRHQIFKACLRKSPLAKDIDLSALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRKDNPEAMEEDEVDEVAEIRAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPDQPAAGTASAAAADPFASAAAAAEDDDLYS >SECCE7Rv1G0493430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:567440888:567445444:1 gene:SECCE7Rv1G0493430 transcript:SECCE7Rv1G0493430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELERVKPHLHVDCAQPPATTWQRKFDDEGKKVAMLSMATDILTIIPLIFKMLRLHVEGIAKNQVAVYDPLRKWMDNCYRGVPLGGLGSGSIGRSYRGYFQQFQIFPRIYEEKPVLANQFSAFVSRPGGKSYSTVLSAPTADLLKGIDKAGIGSWDWKLKEKNCNYHGLFPRSWTVYDGEPGPEIKITCRQISPFIPHNYKESSFPVAVFTFTVQNSGSTPADVTLLFTWANSVGGRSELTGNHTNSRIKARDGVHGVLLRHRTADGNPPVTFAIASQETGDVRVTCCPSFAMGPSSPGGRDQFTAQDMWDEVKKHGSFGEAAGGAPTASSRAGSSIGAAVASTTTVPAGGTRVVSFALSWSCPEVKFPSGRTYHRRYTKFLGLDRDAAAEQLAHDALLEHMDWESKIDEWQRPILQDKRLPEWYPVALFNELYYLNAGGTIWTDGMPPKKTSFASSKYGSATESFSLDGFRAGDPAVDGILRAMVTAEERLESSSAFGTALLGDGEENVGQFLYLEGMEYHMWNTYDVHFYASFSLLSLFPEIELSLQRDFARAVLLHDPRLMRTLDGVDVPRKVLGAVPHDIGLADPWFELNAYMIHDPSRWKDLNPKFVLQVYRDVAATGNVAFAAAAWPAVYLAMAYMDQFDRDGDGMVENEGRPDQTFDLWSVSGVSAYTGGLWVAALQAAAAMARIVADRGAEGYFLERYKRAQRVYDGELWNGSYFDYDNSGGATSKSIMADQLAGQWYARACGLEPIVEEGKARSALGTVLDYNVMRVQGGAVGAVNGMRPDGAVDASSLQSKEVWVGVTYGVAAAMVHEGMTKAAFRTAKGAHDAGWGRDGFGYAFQTPEAWTSDAGGGYRSLHYMRPLSIWAMQWALSPPELHRDLRVVPGSVSAVASGAEVDLAREKFEKVASMLRLPEEVQHKGYLRAIYQVLRQILLPES >SECCE6Rv1G0387400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:112162063:112165652:-1 gene:SECCE6Rv1G0387400 transcript:SECCE6Rv1G0387400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase IMPL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31190) UniProtKB/Swiss-Prot;Acc:Q94F00] MARYLLPSTTTAASASSLPRNARASPAPILALRALASRSKHARAIMAVASDQPAAPSKYPKMAAPTTGPVPADELLGVIQAAAKAGAEVVMEAVNKPRNIQYKGVADLVTDTDKLSESVILEVVTKNFKDHLILGEEGGLIGDSLSEYLWCIDPLDGTTNFAHGYPSFSVSIGVLFRGKPAAATVVEFCGGPMCWNTRTISASSGKGAYCNGQKIHVSPTEKVEQSLLVTGFGYEHDDAWLTNINLFKEFTDVSRGVRRLGSAAADMSHVGLGITEAYWEYRLKPWDMAAGVLIVEEAGGVVTRMDGGEFTVFDRSVLVSNGTVHDQLLERIRPATEDLKKKGIDFSLWFKPDNYPTDF >SECCE6Rv1G0442790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:819366665:819372038:-1 gene:SECCE6Rv1G0442790 transcript:SECCE6Rv1G0442790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFLLVEDLGLNVFWKKGDKHADASKLPAYTLGGGVCTFPDFLKSEIKSSLHHLNDDSDVTVSREGWYHPKSIKQYYDLLNSGLFSDCTVKVVVANTSSGVKGYKDQDLYNKYIDIGDIPELSAICKKDSGIEIGAATPISKTIEILEQEAGSKSSPNGSVVFRKLAEHMSKVATPFVRNTASIGGNIILAQKFPFPSDIATILLGAASTVRLQVYSETLDVTLEEFLEQPPSDPSTLLLSIFIPHSASDSQKESKVIFETYRAAPRPLGNAVSYINSAMMGHVSQKSCGDLVLSYLHMAFGAYGTEHAIRATKVEQHLNGEVLTPSVVLEAVRLLRETIVPMEGTSHAEYRVSVAVAFLFSFLSPFAKGIKGPGRTPSIGSASSSDTDDPCNLQLSSRRETVSSDDHKPVGEPIQKYAVELQASGEAVYVDDIPAPKNCLYGEFIYSTQPLAYVKNIKFKPSLASEKVLTVVSAKDIPSGGQNIGSSFMFGDEPLFGSPVAEYDGQALGVVIAETQRYANLAGKQVVVEYDTKDLKPPILTVEQAVLNNSYFEVPPEKYPKQVGDFSKGMAEVDHKILSTEVKLASQYYFYMETQTALAIPDEDNTIVVYSSSQYPELAQSVIARCLGIPFSNVRVITRRVGGGFGGKAFRSYTVATAAALCAFKLRRPVRMYLNRSTDMIMIGGRHPIKAYYTVGFKSDGRITALHLDILINAGISTDASPLMPDTMMSGLKKYNWGALSFDIKVCKTNNTSKSVMRAPGDTQGSFIAEAIIEHVASVLSLDANSVRQKNFHTYDSLVMFYPESAGEASTYTLHSIFNRLLTTSSYLHRAESIKHFNNCNKWQKRGISCAPLIFKVAPRPAPGRVSVLNDGSIVVEVGGIEVGQGLWTKVQQMTVFALGQLWPDGSECLLDRVRLLQADTLNLIQGGLTAGSTSSESSCTATLEACNMLVDRLKPVMEKLKQQSGSAVSWDALIAQAIKDNVNLSSSAYWVPGQESSTYLNYGAAISEVEIDVLTGAITLLWSDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFINEEHETNADGLVVSDSTWVYKIPSVDTIPKQFNAEVLNTGYHKNRVLSSKASGEPAVVLAASVHSAVREAIRAARKEFGSSELIFQLDVPAPMTHVKEMCGLDIVDKYLESLSAHQSRAAA >SECCE1Rv1G0043340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:591699825:591702370:-1 gene:SECCE1Rv1G0043340 transcript:SECCE1Rv1G0043340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGRSMLLSLLLFAVTLSLLEMYRGWFAASELKTIAGGFVSSLLFLLLLTFIGNYQEASGVRTGWGAVVVAELVALIVAGTVHRVCITTCFLFSAGILYEVDKLSGMILARSESKARRY >SECCE4Rv1G0216130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8941503:8944483:-1 gene:SECCE4Rv1G0216130 transcript:SECCE4Rv1G0216130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDETPTKNRLSWSKTIVRKWFNIKTKAKDFHSDYGVEQVGMQWRTSFSERDVCKTKKSRTERLPRKNSDRDCRAGNGIDRAYITNTQDYRVFAGTWNVGGRSPSSHLNLEDWLHTSPAADIYVIGFQEIVPLNAGNVLLTEDNGPAKKWVSLVRKTLNNLDLQGSAAYNYHTPSPAPEPIAELNVDFERSSRRQKNSSFFHRRSFQSLGRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMRCGGSSDDENMDEESPGGTFFSPMPSGYGAPLSTDDNNRRLVNSKYCLVASKQMVGVFLMVWVRSDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDELRRNADVVEILRKTRFPHAHGARDVKSPEAILDHDRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRFGRVFAGWKEGRIYFPPTYKYSYNSDRYAGDDMHPNEKRRTPAWCDRILWYGRGLNQLCYVRGESRFSDHRPVYSIFTAEVKLPSQAQFGSFTRSSSLMGVDELPTYPTYPRSYTDINFY >SECCE6Rv1G0452830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879485890:879487662:-1 gene:SECCE6Rv1G0452830 transcript:SECCE6Rv1G0452830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLALLLRATGLLFCFLISQAASTSHGQASVSEACLSSERDALLSFKASLFDPAGRLSSWRRGDDCYQWKGVRCSNRTGHVIKLNLRNINTDGYPLDMSRLLSLSAGEMSSSLATLQHLRYLDLSYNDFNGTSIPVFVGSLSNLRYLNLSSALFSGTIPSQLGNLSKLQHLDVSWNCFYGRLQAGDLAWLPRLSLLTHLDMSYVDISFVRDWVHIFNMLPSLKVLRLPGCELNTTMSASNLHSMSNLTHLEVLAISDNNFYASLTHNWFWNLTSLKELYLSYCGWDGPIPSELRNMKSLQVIDLSTNYLDGLLPNNLEDLCDLKVLILNGNNVNASMDEFMDRLPRCSMDTLQELSVGETNMTGNLPVWIGNMTNLSVLEAYGNMLTGTLPVGVGALDNLKTLGLGSNNFSGVLLKEHFASLHNLEFLDLGYNNFSAVLLREHFASLSNLEWLDLSYNNFNNFSLRNLKLLDLGCNNFSGVLRKKYSASLGNLKHLDLSYNKLNSVLTEEDFAGLLNLEYLDLSHNSLKLAVNQKWVPPFRLKVAGFASCHLGTQFPVWLRWQTDVDILDLRYANLDDVIPDWFWVIFI >SECCE7Rv1G0471300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:129395678:129397823:1 gene:SECCE7Rv1G0471300 transcript:SECCE7Rv1G0471300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTRSMQSSTSMSKSKAMAKIMEEVEQEEEDDEAEDPSLSCGCFFCAMKQPDARLRRASVAAFFRELPYSEHDDGGAVAAVWRAAMDAPDDPELPSLGAIRCMSLLLARALADAAWCRRGQNACVPYYAAHVLGSYTIRSSAHAELAMAAGAVRPLLALLGGAMTWVERRAAARALGHLASYDATFPAVARCADEAVPLAVRAASTCIGDVYANYVALAPSKRPKYQRDLLTRGLVGAGVDAAEDRKAEEWASQLQCWSLYFLSCVASRDPSSHATICQDPGFLSELCRMWGGLANGDSPAGVGLLRLLCRSAVGRGAIAACPDALYGLCDLARSSDDWQYMAIDCLLLLLDDRETWHAVADATAPCLVDLAELRRLGPRRRLGDAITSALLLNDDEDGHVRVRALGREAKEAVASLREVKIVRKEREEAMSRDELFERKLLAKEKKRQGNDMFWHGEVDGAIELYTEALELCPLSGRRDRLVLHSNRAQCRLARREADAAASDATRALSLARPANAHARSLWRRAQAYDMKGGMARESLLDCLAFAGAWIDRRKHGGQPTAARGANQQKLPYCVARMIGKQMGVTGLFAGVSAGGRKVGRDDRMPRCSDGDDDGDEEEDDDGDDWDHDGSEEEFYDTELRFCRSGSGLPIMAEETWSSRLAPREKKMSTVNSLVIS >SECCEUnv1G0541550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98026132:98026851:1 gene:SECCEUnv1G0541550 transcript:SECCEUnv1G0541550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSYYNLFFMSKTKSYAQILIGSRLFLTAMAIHLSLRVAPPDLQQGGNSRISYVHVPAARMSIVIYIATAINSSLFPLTKHPLFLRSSGTGTEIGAFSTLFTLVTGGFRGRPMWGTFRVWDARLTSVFILFLIYLGALRFQKLPVEPAPISICAGPIDIPIIKSPVNWWNTSHQPGSISRSGTSIHVPMPIPILSNFANFPFSTRILFVLETRLPIPSFPESPLTEEIEAREGIPLKT >SECCE2Rv1G0086850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:216450681:216452804:1 gene:SECCE2Rv1G0086850 transcript:SECCE2Rv1G0086850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGNDGLCVAKPRSADPLNWGKAAEELSGSHLDAVKQMVEEYRRPVVVMEGASLTIAQVAAVAAADGARVELDESARGRVKESSDWVMTSVANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGSDGHVLPAATTRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNAVAVAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASIVLFEANILGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVKNAVKNCVTRVARKTLITNDMGGLHNARFCEKDLLQTIDREAVFAYADDPCSANYPLMKKMRAVLVEHALANGEAERNVETSVFAKVAKFEQELCATLPQEVEAARGAVENGTAAEPNRIVDCRSYPLYRFVREELGTVYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNGEPLPIC >SECCE6Rv1G0387550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:115979108:115981594:1 gene:SECCE6Rv1G0387550 transcript:SECCE6Rv1G0387550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVAAFALVALCLAHSFPASAAAETDAVSARRPLRGSNTVVSAQGKFEAGLFSPGSSGRFYLGVWYKNIPVQTVIWVANRASPLSSAASAELRVSADDGSLELFGASEGVVWSSSRSNLSSSESSNNTAVIRDDGNLVLLGGGNSSNVLWQSFDHPTDTLVPGAWLGENKLTGEYQGLTSWRNAEDPAPGMFSNTVDRNGTSEFFYFWNRTRVYWRSGVWTGRVFALVPEAVNNVLFNQTYVETPAYRRLSWALYDNATITRQVFEGTGQAKQYIWVPASQRWQFFWAAPTVQCDAYAVCGAFGVCDQRSQPSCRCPPGFVPASEQDWALSDWTGGCRRNSSLACARNGNGSSSTSADGFLALPNVKLPDDSLAVGAQSKTECESACLDNCSCQAYTFSGGGQCAVWHGEFRNLQQLYADSGASGSSDLYLRLSESGLRDLSKANKKNEGGLPLQLVVGIVLACVAAALIASALLAWFLLSRRRRRRRRLDSMANEAGSSLTVYSYGDLRAATKNFSDRLGGGGFGSVYRGILKSGDTVTEVAVKKLEGLRQGDKQFRTEVNTLGRIQHVNLVQLLGFCSSADEKLLVYEYMPNGSLEGYLFKGSGGSCPSWRDRYGIMLGVARGLAYLHDGCRECIIHCDVKPENILLDKDLCVKLADFGMAKLVGRDFSRALTTMRGTIGYLAPEWISGLPISAKADVYSFGMVLFELISGRRNTDSSGGGGRDSGDDASDAMEAEAGWPVSTFFPVWAAGKVAAGEAGAVADPRLRGDVRGEELERACRVACWCIQDQEAHRPTMAQAVQALEGVVHVDMPPMPRTLQNLTLA >SECCE7Rv1G0467600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:89413668:89417809:1 gene:SECCE7Rv1G0467600 transcript:SECCE7Rv1G0467600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDRNREIDGEMVSTIASCGLLLHSLLAGFARKAGAAAREDPRRVAHSLKVGLALALVSAVYFVTPLFNGLGVSAIWAVLTVVVVMEFTVGATLSKGLNRAMATLVAGCIAVGAHQLAELAERCSDQGEPVMLTVLVFFVASAATFLRFIPEIKAKYDYGVTIFILTFGLVAVSSYRVEELIQLAHQRFYTIVVGVFICLCTTVFLFPVWAGEDVHKLASSNLGKLAQFIEGMETNCFGENNIAINLEGKDFLQVYKSVLNSKATEDSLCTFARWEPRHGQFRFRHPWSQYQKLGTLCRQCASSMEALASYVITTTKTQYPAAANPELSFKVRKTCHEMSTHSAKVLRGLEMAIRTMTVPYLANNTVVVAMKAAERLRSELEDNAALLQVMHMAVTATLLADLVDRVKEITECVDVLARLAHFKNPEDAKYAIVGALTRGIDDPLPDVVIL >SECCE4Rv1G0216900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13313515:13316045:1 gene:SECCE4Rv1G0216900 transcript:SECCE4Rv1G0216900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVLMVAIAALLCMAAAGGTPPFSCGPGAPYVPFCDQRVPVERRAADLVARMTLAEKVSQLGDEAAAVPRLGVPAYKWWSEGLHGLSMWGKGMHFRGAVQRVTSFPQVLLTTASFDDRIWYFIGQAIGVEARALYNIGQAEGLTIWSPNVNIYRDPRWGRGHETPGEDPITASKYAVAFVRGLQGPSPTTLQTSACCKHATAYDLDDWRGTVRYNFNARVSPQDLADTFNPPFRSCVGEGQASCVMCAYTAVNGVPACADYNLLTKTFRGEWGLKGYVASDCDAIALVHDGQHFRPTPEDTVATTLKAGMDMNCGNYTQVHSMAALRQGKMKEWDVDKTLINLFSVRMRLGHFNGDPRSNPLYGHFGANDVCSPAHKNLALHAAVSGIVLLKNDAGMLPLRRWTVGSVAVIGPNANDPGALLGNYFGPPCETITPLQGLNGYVKDVRFEPGCSDTACWSAATGKAVAAARSADHVILFMGTSHVQEEEGRDRTSLLLPGQQQILIEAVASAAKRPVILVLLTGGPMDVTFAKFNPKIGAIVWAGYPGQAGGLAIAKVLFGEHNPSGRLPVTWYPEEYTRVPMTDMRMRADPATGYPGRTYRFYRGPTVYKFGYGLSYSRFSRRLATSGMAGHQKNLLAGLTSMAAADGGASHYDVEEIGAEKCEQLKFPAVVEVENHGPMEGKHSVLMFLRWPNAAGGRPTSQLVGFQSQHLEVGEKASLTFDVSPCEHLSRAREDGKMVIDRASHFLFVDEEDEAEISFDV >SECCE2Rv1G0089390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:256637750:256642212:1 gene:SECCE2Rv1G0089390 transcript:SECCE2Rv1G0089390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYTKMQFFWIELRSARTQGAGNAIRVQQSLLYSNFPMRPGSGRGRELSPSKLPIEDHASEVSSSEGSVTMGLSEFTALALNDMSPKLQSPYWSNVCYDTGSSETESETVVENPEEESEFDFLREKELQEMEDYLQENTFDTISEGLDKILAVERSTLAAKFDNMSAAERADMTARSSYFRKRLPPLWWLPMPADSETQPLQATEQALRNEPSRQCSLRRQPRCEVPDEEIIQNGKNWMTKEVMLAFEEYAERSTDLRGLHWQIEELRHQCFNVEYYHKVFHHYNFTVKIESPKSSDSRVALFFAEVKEIFGRKYYFCCSLEPNENGQCYACHNQGVDDLRHPATGGYERGTMDIGFPFTCMD >SECCE7Rv1G0507850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:766095694:766098871:-1 gene:SECCE7Rv1G0507850 transcript:SECCE7Rv1G0507850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLILAMLGMAEARASAVVRSLDGSTSQPLNRLIGQSSNLSLSCANRCGHLTFSYPFGIGAGCFRNPEFSLICNHTTNPPKLFLHDGSNTSTTQVVDNIYPNGMSVNFWGKQLQFSSFFVNFSKTIPMKSGLDAYNMSWTPGKAFSLLSAMSLSVVACDLDVYLVRKDLGSRELVCKVSCPSIEIAEQVYRQDPHGPGSCSFTYSALKVPSLEFQFVPHKTSKITTHSIFNVLWDTIDITINVLIIWSILDQTSCSRSMDDSNYACVSHHSKCTVPLADKGYICQCISGYEGNPYISGGCSAVHEYNSRPLKANCSRRCGNISVPFPFGIEEGCSARKTFKLNCSDTTPPVLWHNNGFVDVTYINVNEGLLGIKYKSSVGDESFNIMLQSSEADEPNLYVNPLESASVQWAVANLTCQEAEKNTSGYACVSVHSFCLGVISSMEGYVGYRCACLRGFEGNPYIPDGCEDIDECERTPGLCKGNCQNTIGNYTCTKCPDHTEYDITKMQCTPVRKQNFYLGIVIGLSSGLGILLFSLTTIFLVQRWKRDVQKKLRRKYFRRNNGLLLEQLISGDENASERTKIFSLEELKRATNNFDPARILGRGGHGTVYKGILSNQHVVAIKKSQIIREGEISNFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFESLHHDSSNMVSLTWNDCLRIASEAAGALCYLHSAASISIFHRDVKSSNILLDTNNAAKVSDFGASRIVPIDQTHVATNVQGTFGYLDPEYYQTGQLNEKSDVYSFGVVLLELLIRKEPVYTAESGMAEGLCNYFLSEIRSRQPKEIVAAQVLEEATEEEINGVASLAEKCLRLKGQERPTMKEVETTLQQLRMNRTNSSQVDPAVEYEMQGHQFMAMNLAGRTYHVTSQRSQNACYSLEQEFLASASLPR >SECCE3Rv1G0161040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:111614832:111618960:1 gene:SECCE3Rv1G0161040 transcript:SECCE3Rv1G0161040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIAVHGQTNRGKPNQTSHLPCGSVFFCTPSEQFTSTKGKNRAKMRKETKKVNVRSAFIIDNSTHRDGSIYKNSFLQKICSVTNRDETQLEPMMLSDPTDCFPDRERCAVHSARNMMQIFSLKLAEVSANTSSVQLYGYIAVRDCLDSLLNYIVNRSRDDPITVQKGSLIEMTGPKRGITMTSPVLVEFDMRIRKGEREEDDLQLIDGAIDCELTTTPPCPFTNRINGDCGAVDITLALIPRAVEATIDVIISKVQTGFSLSLSSFVFVGGSHQGIELFCGIIGESCGLTRRYVIAVDKDSWMHLMFNIGQKGSEIGDLEHHCRFKADIHGCTCRQIILEHASISLKVTWSIVR >SECCEUnv1G0549320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:202019501:202020880:1 gene:SECCEUnv1G0549320 transcript:SECCEUnv1G0549320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYQELPCGGQVLDIDTALKDGILGCGPEPGDGALADGGKQPVELRKMMDELDAAGDGGGDEVVPAVFICPISLEPMVDPVTLCTGQTYERANISRWLALGHRTCPTTMQELWDDALTPNATLRQLIAAWFSRRYTRFKKRSADYHGRAADLVHGLRGTAVPKRHPLKGQARVAALRELRSLASTHQSVTKAIAEAGGVSLLTSLLGPFTSHSVGSEAVAILVSGVPLDADAKAALMQPAKVSLVVDMLNEGAVDTKINCVRFIRILMEEKGFRPETVASLSLLAGAMRLVRDKRHQDGVAAGLELLNSICVVHRPARSMIVSIGAVQQLVELLPELATECVEPALDILDALASVPEGRTALKDCPRTIPNAVRLLMRVSEACTQRALSMLWVVCRMVPEESAPAALEVGLAAKLLLVIQSGCGPELKQQASELLKLCTMHCTSTVFLAKCKLTKTIQ >SECCE5Rv1G0300370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:26033286:26041687:1 gene:SECCE5Rv1G0300370 transcript:SECCE5Rv1G0300370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVRIRGGKLETERGARAKKRRLVEDHFTSPRSPGDLAVRSSNSHPETLFAIFEPDEAQSGAKQGRVCDAIESVTEESSDPPSSPIYEPYIPDELAADRVARAAFKHAKAKYEAEEDRLADLFTMDHYWHKPSSCLRTDSRLLSIRELARDAILSAAKSVILLSSFLENQPLNKCCGLWFQRDGRRKTALVLTSAHLIRKDDIHKWKWQWTGEYHQNAKVIVHLLDNKTTPGQLIYLQEHYEFAIYEVQVDKPVHLPTFNDRVHSGQDVFRLGRDANLDIKITHGNLAYDIPSRYERCHYMYFLRDASSLPLLHDDGGPIIDLEGKVVGLVNNQINETFVPSSILHKCFDFWRRFNCMPRLHLGMTFSPIKFLDPICIERMTRKHNIDSGLIVEQVSKESHAEKIGIRKGDVIESFNGKHISTTIELESMLIDICWDHFDQAKKLNTGKDVSVKKLNTEKDVLVKIFDATKLRPRTRNLTAIVSDRGEDIVKGVYPIMGEEASYDS >SECCE5Rv1G0348380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673550868:673552034:1 gene:SECCE5Rv1G0348380 transcript:SECCE5Rv1G0348380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPMEEPLVGCNNTVETGGPKESLVLTEFKKQLCLAGPLVAGCLLQNVVQMISVMFVGHLGELALSSASIATSFANVTGFSLMSGMASSLDTLCGQAFGAKRYHLLGIYKQRAILVLTLVSVVVAVVWAYTGQILLLFGQDPEIAMGAGSYIRWMIPSLFAYGLMQCHVQFLQTQNIVLPVMESAGVTALSHVPVCWLLVYKLGLGKKGAALANGISYLANVSILAIYIRVSPSCRSTWTGLSKEAFRGILSFMKLAVPSALMVCLEWWSFELLVLLSGLLANPKLQASVLSICLNTAEYS >SECCEUnv1G0547640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:172245963:172248302:-1 gene:SECCEUnv1G0547640 transcript:SECCEUnv1G0547640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAPASPALPHGGHAAAAAATGGTARGVRRLQYTTLALTFAAYAAFHASRKPPSIVKAVLSAGWAPFTGPEGTHRLGELDVAFLAAYAAAMFAAGHLADRADLRVLLGAAMLASGAASAALGAAYFLGVHSLPFFLAAQVASGAVQSAGWPCVVAVVGNWFGRASRRGTIMGVWNSHTSVGNIAGSLLAAAVLDFGWGWSFLVPALLIAALGVLVLLFLVAHPGDAGLDMEAIMEVEMNGEEVELLVEDKKEAEVDDDELELEMGSQLPTAIGFLEAWRLPGVAQYAFCLFFSKLVAYTFLYWLPFYIRNNAVAGQFLSHKASGILSIVFDIGGVLGGISAGFLSDAIGARAITSALFLLLSIPALILYRTFGSISMRHNIALMFISGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIVSISLALVFLIRIARDEIVAKIGARR >SECCE5Rv1G0354760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:726612276:726615110:1 gene:SECCE5Rv1G0354760 transcript:SECCE5Rv1G0354760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSQCAAMLMDKRHRPPRPPASGPGAGSGGGRRLQESKLREALEEASEDGCLTKSRDAALLDDGDGGEEGAGSGSVSRSRSLARLNAQREFLRATAVAAERAFLSPDALPALAEALATFLSMYPKYASSADVDRLRDGEYPHLDKVCLDYCGFGLFSYLQSCSPADSSVSFTLSEITANLSNHALYGAAEKGTAEHDIRSRIMDYLNIPESEYCLVFTVSRGSAFRLLAECYPFATNKKLLTMFDHESQSVNWMAQSARDKGAKAYSAWFKWPTLKICSTELRNQISTKKRRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMSCLQSPNGGTGAGMVRILPVFPQYLSDSVDGFDGVQDGLEDDMIIPIEEESLTMNSHQASQLPAFSGAYSSAQVREVIEESELDQDSSDRDGASTIYEENESVSVGEVMKSPVFSEDEMSEGSFWVDLGHSPLGSDHSEQGKLGSPLPASWFSGRKNAKKTSPKVPSKLARSPVYDNHVVSFDAAVRSVSQELEHVKEIPEEDCSYNGKVSEDCSYTGKVSEIEECQDGHENKRFVKFSCDNGRTQGSSASVFGGYAANGNGSTSEICSENQVEAKDSAIRRETEGEFRLLGRREAPNSRFNGGRLFGVEEAERVPSMGRKVSFTMEDSRLCRNADAGETSGYAVGEEEEDDDAYSDYDEIQDGRREPEIICKHLDHVNMLGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGDGVPLVYIYGPKIKYERGAAVAFNIKDCNTGTSLINPETVQKMAEKEGLNVGVGFLSHIRLMDNQKHGVADVGLSSSLCRPTSNGRHEKKNSKNAIVGIEVVTASLGFLTNFDDVYRLWAFVAKFLDPLFLEQERLSSIPEDAER >SECCEUnv1G0528710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:5654581:5657587:-1 gene:SECCEUnv1G0528710 transcript:SECCEUnv1G0528710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPESGAAAGVAGGGACEGENPTSGRPPPGFDGVRVKAMRGAIQGHPLAHESQVQGAQMDGYRTSLNQGNSGTFWDERQVSTPAEEQQSPAQQSTRSVRSRRRRTKNFSDKEDNMLVLAWLNIGMEVAPGNEQVRSYWQRIYSYFHRNRNFESDRNQNSLTHRWSTIQEHVQKFCWCYERIGCRNGITEEERIVQALGVYKSEEKKAFGFLHCWNTLHAHQKWTDRLSQKKQKTTSNSSPGTFALGTNSIRLDDDAEARSPKNEDSGSDDGEDCEHELQVQAMECTLQEQPLDHKSHLQVATMDGYTYRSLLNQGNKEPFWDDRQLGSSSAEEQQSPIEQAVQSMATNIKRTENFSDKEDYMLVLAWLNISMDASQGNEQTCSTYWQRMHCYFHENRNFESDRSQNSLLHRWSTIQEHVEKFCRCYDHVGRRGVMTEKDKIVQALEVYKAEEKKAFGFLHCWNTLQLHKKWTDRLSQTKQKTTSNSSPDTSNSSWPDGEAEVPTPENEPLERPIGSMAEKEQLQPCKSSVSPNDNIYMEAADHLWSNKRVAESVKELEEDEHFEQACALEEERIANGKDMIAHKRKKLEVKERELEFKRKKLEVRERELELQRRLEDGRIMDMDISAMTGRQQQFYMSLQNEIIARRCNSSK >SECCE5Rv1G0363380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:792583406:792585129:-1 gene:SECCE5Rv1G0363380 transcript:SECCE5Rv1G0363380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMPYFGEPSLISEYYLGAEGDLFKAPEPIIEDPLLALDPVAAAISMMSGSVNAMNDTIKASDMSLSEALYKCEKELMDESAIEETISELLDIKIPMLQVEDVPGELRAPSSAAAAAGSEYSLQKSVSSGCLNSADWINGSAVRPNFFDFDFEGLDFEAAFGLRRSYSEGDIQDLGVNTHRPGIAANVQASSERLVTISDLKKEERRQKLNRYRNKKIQRNFGRNIKYACRKALADSQPRVRGRFAKMDDGDMLKAKK >SECCE4Rv1G0228850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:140141733:140142458:-1 gene:SECCE4Rv1G0228850 transcript:SECCE4Rv1G0228850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSASLPSIPHCTESKVEVELQGLQTCIFSPSATIDTMCGGLRSLGDIYSSIEEMMSLPSNQVGLSLPLQRKMVEEELDRSLVLVDLCNAMQESLAELKMSIQGLRLALKRGDGAAVQLKIESFVRLVKKAQKPFKKITSGKATAEGCGTVRLLAEARDMAVCLLESTPRLLQKQIGVANGSKWSLVSQRFQKKRVVCEAAQLQALERGVADLENGVQSLFRRLIHSRVSLLNILSS >SECCE2Rv1G0080750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:132747130:132752301:-1 gene:SECCE2Rv1G0080750 transcript:SECCE2Rv1G0080750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEIGGALLFALAAAAALFAAVCTGAVDFSHPPAVGGRVDFRETISWFIGVFDGSSSSSGAGGVSLAEVCELWVRVRGRVIAPALQVAVWACMVMSVMLVVEALCNCVVSLGVKAVGWRPEWRFKWEPLAGDDEEKGGARYPMVLVQIPMYNELEVYKLSIGAACELQWPKDRIIVQVLDDSTDPFIKNLVELECESWAVKGLNIKYATRSSRKGFKAGALKKGMECDYAKQCEYVAIFDADFQPEPDFLLRTVPFFVHNPKVALVQARWSFVNDTASLLTRVQKMFFDYHFKVEQEAGSATFSFFSFNGTAGVWRAAAIKEAGGWKDRTTVEDMDLAVRATLKGWKFVYVGDIRVKSELPSTYKAYCRQQFRWSCGGAHLFRKVAKDILTAKDVSLIKKFHMLYSFFLVRRVVAPTVACILYNIIVPISVMIPELFIPIWGIAYIPTVLLVVTAIRHPKNLHILPFWILFESVMTMHRMRAALSGLFELSEFNEWVVTKKTGNNFGDNEVPLLQKTRKRLRDRVNFREIVFSVFLFFCASYNLVFPGKTSYYFNLYLQGLAFVFLGLNFTGTCSCCQ >SECCE2Rv1G0130120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:873595060:873598318:1 gene:SECCE2Rv1G0130120 transcript:SECCE2Rv1G0130120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSMALLAAAAALLLLVSLAAAADMSIVSYGERSEEEVRRMYVQWMAEHRRTYNAIGEEERRFEVFRDNLRYIDQHNAAADAGLHSFRLGLNRFADLTNEEYRSTYLGARTKPDRERKLSARYQAEDNEELPESVDWRKKGAVAAIKDQGGCGSCWAFSAIAAVEGINQIVTGDMIPLSEQELVDCDTSYNEGCNGGLMDYAFEFIINNGGIDSEEDYPYKERDNRCDANKKNAKVVTIDGYEDVPVNSEKSLQKAVANQPISVAIEASGRAFQLYKSGIFTGTCGTALDHGVATVGYGTENGKDYWLVRNSWGTVWGEDGYIRMERNIKASSGKCGIAVEPSYPTKTGENPPNPGPTPPSPAPPSSVCDSYNECPASTTCCCIYEYGKECFAWGCCPLEGATCCDDHYSCCPHNYPICNTRQGTCLAAKDSPLSVKAQRRTLAKPIGAFSGIATDGKKSSA >SECCE6Rv1G0378500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9240368:9242335:-1 gene:SECCE6Rv1G0378500 transcript:SECCE6Rv1G0378500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDEIPHWFKFVPNSKSVDGKRLRQSATPVDPVSKVLDDDNLLIEILLLISYPTTLICAALVCKRWLCHASDPAFLSRFHKLHPPCLLGVYVKTRANRSRFVPMLPQPPEIDALISCASSILDAHKLILDDWNDIIFTKTFSNCDEEPKSIHIVRNPLCPARGMVIVPPFPCYGHQDGNFYKFCQLIFEEVGDGLSYFCVKSGLYNDGRKSTVYVYTLQEGVWCLHTSATTQLPCRPQILNSLLVGNKIYMASTQSEILVLDLTASSFSTFQVPQGLVIGYKRTMLSRAYDDSGVYLIHLNGLQLCIWLRTGDNWLLVDTICLREMYAKLRMPDLTDWVWINQVGVNAEFVFLKMGRCLVYVGIKSRKLCKVYEMTSDDRWLGRVHPCMMIWPPAFPELKDGPASDVM >SECCE7Rv1G0496980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:619413413:619413625:-1 gene:SECCE7Rv1G0496980 transcript:SECCE7Rv1G0496980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGSLAPKTKNLVVGGGLTGFVFGVYYYTMRAVGSTDELQVAIDKFEDLKKKDADTTIAANASTPGSS >SECCE5Rv1G0362510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:786966753:786968422:-1 gene:SECCE5Rv1G0362510 transcript:SECCE5Rv1G0362510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSATLCGLLVVVLCLTSSLAQAQILFQGFNWESWKTQGGWYKFMQGKVGDIASTGATHVWLPPPSQSVSPEGYLPGQLYNFNSKYGSGADLKSLIQAFRRKNISCVADIVINHRCADKKDGRGIYCIFEGGTSDNRLDWGPDEICSDDTKYSNGRGHRDTGGGFDAAPDIDHLNPRVQRELSAWLNWLKTDLGFDGWRLDFAKGYSAAMAKIYVDNSKPSFVVGELYDRDRQLLANWVKGVGGPATAFDFPTKGVLQEAVQGNLGRMRGSDGKAPGLIGWMPEKTVTFIDNHDTGSTQRLWPFPSDKVMQGYAYILTHPGIPCLFYDHVFDWKLKQEITALARVRSRNGIHPGSTLDILKAEGDLYVAKIGGKVITKIGSRFDVGKNVIPSGFKIAAKGNNYCVWEKTGL >SECCE6Rv1G0436500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:777760352:777768320:1 gene:SECCE6Rv1G0436500 transcript:SECCE6Rv1G0436500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEPFNRLVRLTARAFYDDISIKGDTQAKTSRGDNRGMAVVVLDGLTRRQWVREEDLAKSLKLHSKQLRRVLRFFEEEKLVTRDHRKESAKGAKIYSAAAAAAGDSQPTKEGEEKVKLHTHSYCCLDYAQICDVVRYRIHRMKKTLKDELDSRNTVQHYICPNCKKRYSAFDALQLISYTDEYFHCENCNGELLAESDKLSSEEMGDGDDNARKRRREKLNDMQQRIDEQLKPLQAQLKRVKDLPAPEFGSLQSWERLNLGAFAHGDSAAAEAARNAQGQYNGTPMPYLGDTKVDVELAGSGVKAEGDESGRDGTALKVLPPWMVREGMNLTKEQRGESSNTSKGDEKSEVKDEKKQDSKEDEKSLQDEYLKAYYEALKKRQEEEDAKRMQQEGQAFSSEIHSERQVGMKAKHEDENGEDEGVEWEEEQPAGNTSEEPYKFVDLNAEAPESGDDEEDEIDWEEG >SECCE4Rv1G0256610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:658055811:658056979:1 gene:SECCE4Rv1G0256610 transcript:SECCE4Rv1G0256610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHLQVQRQQLEFPPGFRFHPTDVEIITSYLVPKVLNKAFDPIAVGEVDLNKCEPWELPEKANMGEKEWYFFSQKDRKYPTGIRTNRATAAGYWKATGKDKEIFHHATACLIGMKKTLVFYKGRAPRGEKTNWVMHEYRLESGKQGTPGLPTVTTATAINASSKEEYVVCRIFHKSTGLKKVVMSSYAIPMPMSMGAEQQHGFLESGTLPTFMGYGAATSLVPPSSLPSASSYQMHDVGANSSMMGSVVLPMMDDHYFGNHHYQNMATAPRPLMSFYHHDHQQQEQQMIQMPMQMQMAADEGLMVSVKPGSAPSSIVSQEDTLAGLSSNGVATTTDEISSVNMGTDGMWKY >SECCE2Rv1G0132670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:893671666:893674408:1 gene:SECCE2Rv1G0132670 transcript:SECCE2Rv1G0132670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGDETAQPPPKRPRHAGDQPEPEPAPAPARVQLNPADCNLDFDVGAGGLRGEALHGGGFAYCWSGARATAGVRGGGRYCFGCRVVAEQAVEMDDTEAGQRHLCRVGVSRGDDPVGGLGEAGGRSFGFGGTGKLSHQGRFFDYGARFGVGDTVVCAVDLDSKPMASIGFAKNGEWLGVAQYFDAGHKGFGLVDAPVRPMQWESAIFPHVLLKNVVVEMQFSREDGLEPVDGYEPWTSSLVDGNAVFGPVFPEQKECEVTMMVGLPASGKTTWAEKWVKEHPEKRFVLLGTNLALDQMKVPGLLRKNNYGERFDRLMAHATQIFNTLLDRAAKVPRNYILDQTNVYKSARIRKLRPFANYHKIAVVVFPSPSELNSRAAKRFQEMGKDVPAEAVDQMTANFVLPLSKDMPGSKEPFDEVIFVELSRDDAQRDLDVMKRLLPRASTPSHGNFSNQMVSSAYTGTVSVAGPSPSLGFEPLMNYSYGQGVHAPGAPAGYSNAPYQSQSSYSNAPYQQQTYASYPNPSYPSTADQHQVLRSYPGTHQAYGSYPSAPLPGYGSQNAYVSQGYPSPYSSPDYATNLYQTPEPAGDYGYGGSGYAPPAPAHAQPLPQAVQQQMPYRRDGASSYQPYGQQPLDARYANTSSQYGAAAPAPMPPPPRGAILLPAPGPLPSAPPPPPYYMNAQPGRW >SECCE4Rv1G0229160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:143601862:143603334:1 gene:SECCE4Rv1G0229160 transcript:SECCE4Rv1G0229160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILFNQTGKMVKICCLGAGYVGGPTMAVIALKCPDIQVVVVDITKSRIDAWNSDTLPIYEPGLDEVVKQCRGKNLFFSNDIEKHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILTHNSNGINFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGQKAVQTLKAVYAHWVPEDQILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSEVSYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVANKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAKISIYDPQVTEDQIQRDLAMNKFDWDHPVHLQPMSPTTTKQVSVTWDAYEATKDAHGICIMTEWDEFKTLDYKKIYDSMQKPAFVFDGRNVVDSEKLREIGFIVYSIGKPLDGWLKDMPAVA >SECCE3Rv1G0186410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:684078242:684082400:-1 gene:SECCE3Rv1G0186410 transcript:SECCE3Rv1G0186410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MAAAAAPVASQAQAVLRGRLCDPAFVHSRLRSSPDTNYSKLKYLVSSSVSEACNNSVLLLGPRGCGKGAVLDMVLEDLKEEHPDAISVIRLNGMLHSDDNCATKEIARQLCLEHQLSFSKMASSDDNTEFMIDMLRECGLAHKTVIFVLEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGMSCRLDADQLLEKRVRSRFSHRKLLFVPSSLDDIQRLMEHLLMLDKGSSLPTDYVTEYNSMLTSIFSNKKFKGILDSLTDTDATTSNILRFLFRVVSYMDMESGLLAMECFTNALSSMQRQPKMDSLQDLSILELYILVCMNRLEDKEQKSYNFNTIIKEYKSIQDAYKTSDKYATTVCFRAFEHLLDRELITFADTKGRNVALEYRPVKLLISSRELAQSLKLNTTCPTVLQKLLDRERYM >SECCE4Rv1G0292970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884269267:884272646:-1 gene:SECCE4Rv1G0292970 transcript:SECCE4Rv1G0292970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEVIRADTIDVAVEKILVKLQADTTSSGENVIYFDGWDGLGASAVLQGVADRLTISNEQSTRPTGPEFENIIYIDCSKWVNRRAVQREIAGQLKLPNWVIDMFDKQDEEDDFNGLDQISCSEIAQVVREIDQTTRNQRFLVILHNGGNEEIDIFKFGRFRLDPKMVDNVKKSATTNVLLLASSDGRDPQELWSYLVCQEATQICCNMHVHGIIDPAIAAKCVIYMLKQFWIGSHVIDYDWAVHTSNYWVCNGIITLADIDKAWQVGDVLQREVRLLNIHNRPNDELIIMPSSHQARSAEHMSYWISTGICGFVQNNSSVIHDNMFQHSQRLNVLKLSWCTFNFSSPPFMCCHSLRFLWLDHCQDLPTRSTIDHQHTDAYKEELDHSTTTSWECYQSLWVLDLRYTDCERILSAQMMDLMTQLRELNVMGAQNWDMSHLQGRVRNIRKLRVTKSTCCFNHDVLTEMESLELLDFSGNTITQGKKSLFGPTNNSSLETVIVGGCDGLNIISFRGCKELKNLLLKGSLGSLEEMELSGTSVKTLDLRGVEDKSFHKRIILLGCEKLRAILWPLNAKQNGWSKVLLHIDTTSPSTSPYRGEAPLSHANADRSLHQQKEEMFKDGWKISLTDTRLLRSLSHFKTYLDELYIHIDVGPAVNVGGGNIQGTRNDKLVQVHPHTSTILDSKYRDALKDGPIPAMLMWDCPKITSRKWTQRTCIVKVIVHGEGSKILEDAPHDIICDCVRSLHVYDNPSIVSVCAPPQGIRWVRLKWCRVERCPKLHTIFTIPQNDWFFNFCDLETFWASQLQSARYIWDKAVRNTFQNLNFFHLDHCPRLVYVLCFSIWSGFTFTGLKTLEIVYCGDVREVFHLGPEHHKQDIILEFPKLRHIHLHELPMLQRIGGRWMSAPNLETIKIRGCWSLKHLPAIGRDTKPPKVDCEKEWWDNLEWDGLEKYHHPSLYEPSHSLYYKAQLPRGTVLR >SECCE2Rv1G0120930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:803249434:803254139:-1 gene:SECCE2Rv1G0120930 transcript:SECCE2Rv1G0120930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTAPSFSLGLGFDDTVPADADDGGDPREEARGYEAPDAPSFSLGLDFECYDDEDGDEPRIPSGGRSEEPAQRYEAPDAPSFSLGLDFDDDGGASEPQIPAGARREEQPRRYEAPDAPSFSLGIDDGDDGGASEPHHLPAGGRREEQAPRYEAPDAPSFSLGIDDDDDDFVAAGTLDPDPLPPQPETNRLRRLRRGPAPGYEAPDAPSFSLGIDDDDNFVAGGALDPDPLPPQPETNRLKRLRRGPAQRSMAPPPPPPRAAPPVATEASPDFSRKAAPLGDIGSYEDEIESFSDEEPPRGMTTSVGSCRTSSNSKFSLLNRSLLMTQSSSKAKTTKSTPMSNSVASKPLEESCTKKLLPKITISPLRKIYFVDSDTDSDDNRKQTKPKKPVSPIKKRQESMHKYMQKKPILQQNSKSEGSTVVQKSEDMMKDNWATPALDDFCSEYFKSVQDSRPSQQTKTNSISGSKVSRPYSSVSEVGKHFQHESTSTGVVLKENLTDNQPPAMHYFFHRDQMVQNLVRERLKYFVPIGAGTSEGNDYGVEENLNNRSQFSQSGGANDRWVTPNSRISVPTDFGKRRVHAGGSQSGSGHWFTGEDGRKVYVSKNGQELTGRDAYKTYKKESGKGFGNFKKKKSTVKKEGSARAKRGSSTAKKASSSSTAKRSSGAKRKR >SECCE6Rv1G0438050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786943446:786943709:1 gene:SECCE6Rv1G0438050 transcript:SECCE6Rv1G0438050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTCMLYFEAHQNHAKFQDKHASVRKKEEDAVGAKHVQAHKKQVKFQDNHANDIKIDDVSKDVDTVALDFINRKHTSWTLQKSTTM >SECCEUnv1G0557420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:323503739:323508528:1 gene:SECCEUnv1G0557420 transcript:SECCEUnv1G0557420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETEMPPPAAGLDSAVREPNHTEASSPSPAAGGGANGAATKVQKVYRSYRTRRKLADSAVVVEELWWQALDFARLNHSTVSFYDGPEPETAASRWSRVSLNASKVGQGLSKDAKALKLAFQHWIEAIDPRHRYGHNLHFYYDFWSQTQAGQPFFYWLDIGEGKDVELPECSRALLKKQCIRYLGPQEREYYEYIIKDGKIINKISRESLDTSQGPKGTKWIFVMSTEKKLYAGQKERGVFQHSSFLAGGATIAAGRFTAENGVIKSIWAYSGHYKPSAENLNNFMSFLEENGVDLKEVEVRSSTKEDYYEDPVPNITENPAAAAMMASNTPQLILPSNMVEEDKASGPSPQTEADEDNNIRVEQARPAYQRTLSGGLQSPRDAVVSQNAILERVNSKSKSKSYQLGHRLSLKWSTGNGPRIGCVKDYPIELRMQALEMVRLSPRASTPPASWRVPSCLSPTLPTPPLVPLQASLPQPS >SECCE7Rv1G0526460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:895184762:895186279:1 gene:SECCE7Rv1G0526460 transcript:SECCE7Rv1G0526460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILPISMALVLLVPLLMYLRASCRSKNPVALPINWPILHMFPSFVANLHNMPAYFTLVLTGSGHNFRAHGPPGTGMRFFITCDPANIQHIFTTNYPNFPKGAGFAAIFDIMGGSLFTIDGEPAHRMRAKVKGVLNGPRLVDNLASYCINKVKNNLLPLFAHMVSIDTPFDMQEMMSRFMFDLSATSLFGVDPGLLSSDLPPMDAAVALDTVMEVGYFRHVMPTSCWKLMRWLNIGPERKLSTAHTVLQSFVMEMMERWKNNACHTGNDDSGAGIMPPFLNDPDYAGDELVLAMIISYLLAARDTITATLTWVFYNLAQNPNIVSILRNELSPIASGKAASSASAMVIFEPEETKTLVYLRAVLYETLRLYPPGPVECKKAATDDIMPSGHKVRSGDTIFISVHSMGRMEGLWGNDCLNYNPERWLLDDENNLRYVPSHKFLAFNSGPRICPGKEIAIMQMKTVIASTLWNFDVELMKGQNIQPKPSCILKIKNGLMLKLKKRHM >SECCE7Rv1G0483330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:320789580:320797081:1 gene:SECCE7Rv1G0483330 transcript:SECCE7Rv1G0483330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVSVAKSVLNGALGRARSAAADEVSLRVGVQRDLAFINDEFEMMQTFLMAADEDRAQKKLVKTWVKQVRDLGYDAEDCLQDFGVHLQKTSRWCFLRTLLARRRISKDIRVLKSRVEDVSQRNLRYRLIEDSTSKPATDSERSNISREATFCSDGPRITVAKKDEPKVDLCQLITTDDQALRVISVWGEAGDDLKKLSVIREVYDNQEIRTKFGCRAWFKLMNPFNPNDFLQCLLRQFYWGSLQENGKTQQGTATGVGVLKKMEMVAADGHLIDEFDRYVNENRYLIVIDGLSTIVEWDWIKTCFPDKKNGSRIIVSTEQVEIARLCTGHSAQVAKLELLSSRQPLYLFYDKPESSSNNVTSADSIQVVVTDHIVEEDQSVSMCGTSSHDLFPEKDSNTAIGKSLTRNWTMKAALEQPQLVGREAAMSEVIKLIREEGDHLHVISVWGMGGMGKTTLVRSVYGSEELSGMFQKRAWVTILHPFNREQFFRSLAIQLHADDTGKDVSLMGDGTEKRLQVMEITDLIKETFRLLGRLTYLIVLDDLSSNTEWDSIILHFPKDEMASRIIITTREASVASRCSKKYKLRSLGDDAALDLFKKKVFSGTEMVDLTGTMRDQAELILKKCDGLPLAIATIGGFLATKPKTSLEWRKLNDHISVELENNPELEMIKTVIMSSYDGLPYHLKSCLLYFSIFPEDKNVRHGRLIRRWIAEGYSREIRTKTAEEVAEEYFTDIVNRSMVQQLKTRAPYTGKITDSCQVHDLMREICISKSDEENLVFVLDEHCTLQPTDKIRHLVVRRSWSRDQKKKVLGSVLDVSHIRSLTVFGEWKPIFISKKMRLLRVLDLEDTENLRDHDLAPIGKLHHLKYLSLRGCLYIYHLPDSLGNLSELETLDIRSTFVVTLPASIAKLSKLKYFRAGLVPTDDVLPSSYVREIDQPLKEFGLAAYKSYVQKKSIHDLDAVPYLFFQARIWLRGWDEHGMKVPRGIGRMKALHTLGVVNIARARAMPKELKKLTRLRKLGVTGINKKNCKELCSAIVNHGRLMSLSMRAEGEPGLEGCLDGLSPPPDSLQSLKLYGYLVKLPTWIEQLQMLTKLTLRSTQLEYHAILVLAKLPNLAILRLCDGSFRWAELNFHFCPESFKSLTALELEFLPGLKSVEFEENAMPKLELIRVLHCFYGGADGFSGIQFLSSLKEVSLKGHKGDFNEKFKKDLREQLSKNSNKPNLKMEDMAKWLSAAPAPAPIVNSKFETNN >SECCE7Rv1G0503810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:715925000:715925556:1 gene:SECCE7Rv1G0503810 transcript:SECCE7Rv1G0503810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRSAATVRLCLLCLALLLLTRDVHSRNLAGAVVQQEKHKHSHGGHGTATLEPWGEEGSNAGAKRGQLQRDPTKWEEIHTDYIYTQDVKHP >SECCE3Rv1G0163740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:151550284:151551472:1 gene:SECCE3Rv1G0163740 transcript:SECCE3Rv1G0163740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSTLLSPPRVDVDGEDPSTLVRGTPEWLRCAGLVRRALEANGCVAVGCRRRVQPELRERMLVAMAELFALPPETKRRTGAADGPYRAYMEKRDYAACHHEAFGVLNAAAGGCEEARAFVARAWPDGKVRFLEALTSTAGEMTRLARVILAIVVDSYGLSYRSDKIVAATDTNFRMLRYHNDTTRTSSSDEQPAVGLAAHVDGSYLTVLFQNDVDGFELRTREGGEWVRVHSPSPELGVTGGCTRRCTWWLSPVAGREDRLSCGVFLLPSKNLVVDAPPELVTVDAPRRFRPFEYIDYLRFKHAGGNGEEVLDRFAGI >SECCEUnv1G0538180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:71615457:71622112:1 gene:SECCEUnv1G0538180 transcript:SECCEUnv1G0538180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHDGEPAADELPPPPPLPPNVVPIIAEDAAVAASESEPPPPPPPSKPAKPRRHIMARPPNGLGKKGQPIQLLANHYKVSVKPSEEFFNHYYVNLKYEDDTPVDSKGIGRKVLDKLQHTYHSELADKDFAYDGEKSLFTIGALPQINNEFIVVLEDIGSGKTAAGSPGGNNGSPGGGDQKRVRRPYQAKTFKVELNFAATIPMAAIGHAIRGQESEHSLEALRVLDIILRQHSAKQGCLLVRQSFFHNNPSSFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDKIDWQKAKRALKNLRIKTTPANSEFKIVGLSERNCNEQMFPLKRRNGDSTETVEITVYDYFVKNRGIELRYSGNLPCINAGRPKRPTYFPVELCTLVPLQRYTKALSTMQRTSLVEKSRQKPHERMSTLNDALKRSNYDADPMLKACGIQIAQNFTQIEGRVLPAPKLKAGNGEEFFARNGRWNIARKKLFRTSSVKRWSVVNFSARCDLRGLVQDLKRVATGMGLEYEDPHTVIEESPALRRAPVARRVEEMFAQIKAKLPGAPLFLLCLLPERKNCEVYGPWKKKCLADFGIVTQCLAPQRVNDQYLSNLLLKINAKLGGLNTLLQIEAARAIPIVGKVPTIILGMDVSHGQPGQSDRPSIAAVVSSREWPLISKYRATVHTQSPKQEMMASLFKPRGTEDDGLIRESLIDFYTSSGKRKPDQVIIFRDGVSESQFTQVINIELEQIIEACKCLDDKWEPKFTVIVAQKNHHTRFFQTNSPENVPPGTVVDKQVCHPKNFDFYMCAHAGMIGTSRPTHYHVLHDEIGFSGDELQEFVHSLSYVYQRSTTAISVAAPIAYAHLAAAQVGTFMKFEDMSDTSSSQGGGHTSVGSAPVPELPRLHEKVRSSMFFC >SECCE4Rv1G0215950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8420044:8422514:-1 gene:SECCE4Rv1G0215950 transcript:SECCE4Rv1G0215950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAEGAAGGLGTSEFALPDGVLAVLPRDPYEQLDLARRITALAVAGRVTGLEREAARLRDGAAERDRENAELRDRVALLDRALQETNARLRAALEDNIQLSKERDSLAQTSKKQARDLHKLESFKRHLMQSLRDDSTSPQETVDITTCDQSVSSKTSSCGDGSISHTAANLLNASGDLGSTTREVARTPVQKYALSPHINQRLTPEATPNIMSTSASPRGMSTAATPKLVSGATSPSRIRIDGHMSMTPWYSSKQSSAANSPPRGRPNPGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREETLKKAEEIFGPDSKDLYLSFQGLLNRSLP >SECCE5Rv1G0373060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856038351:856038806:1 gene:SECCE5Rv1G0373060 transcript:SECCE5Rv1G0373060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTFSAACLLALLVANTFLAGEACGSCKHQTPPPASPSPPPPSPPSTTPCPPPSSGGGGGGKSCPTDTLKLGACANVLGLVNVGVGKPPSGGGDKCCSLLGGLADLEAAVCLCTALKANVLGIVLNIPVKLSLLLNYCGKTAPKGFQCA >SECCE7Rv1G0518250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:858368201:858369262:1 gene:SECCE7Rv1G0518250 transcript:SECCE7Rv1G0518250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRDSIPAMSLAAAAAALYARAASSLLRPGLPRLAALLPVLALLAAAPLAFASSAIVRGVAAFFLAWLGAFKVALLAAGRGPLDPALPVLPFLFTALLPVKLRRAGAAGGASEAKSVSLVSCAVKVAVMAALVSLYRHNARLHLYARLALYGVHTYCFLDLLLPCIAAAAGALGMETEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRARAGNPAGVLASFAVSGLMHEGMVCYLSLRRPDGGMTAFFLLHGACCVAEGWCARRWAAMGWPSPPRAVATVLVGLFVAGTSFWLFFPALLKDGVEERFLEEWAAVAAFFQDAGGKIASLYGQRSTVMKTESAVDS >SECCE1Rv1G0025050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:339904162:339904626:1 gene:SECCE1Rv1G0025050 transcript:SECCE1Rv1G0025050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALVVFFLLLLPTGEAQERRQVEAHPHGLPFESPLALSPAAYEFFHPSERARRVAGAPELAPRAQPRGSVVRGAGASAASVARADQEEGGVAPVNTARRGGAVRAGVVAGVFVGAAVVVLAALGLAYAVARRRVGVAQGDTEAAGAPKSNA >SECCE5Rv1G0323960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:441096838:441101686:-1 gene:SECCE5Rv1G0323960 transcript:SECCE5Rv1G0323960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTEMLAAAAVSQVARKIGEIIGAAQGEVTLCCSFSDDLESIKDTLVYLEDLLKNAERNSFGSDRANLRHWLAQIKSLAFDIEDMVDDYYSSKEQFEGSSSYAQKGSLFCSLSNPMISKVSMVHKMKSKRELLQTRQHLPNQYHFISHINSVVDFDEKQTTSYRNSDIALFGRDRDLENLMDMIMQKSVGEISIISIVGPTGLGKTSLAQLVFNDARTKTFSFRIWVHVSMGNVSLEKIGRDIVSQTTERIEGNMQLQSIKNAVQTILNKYSCLIVLDSLWGKDEEVNELKQMLLTGIQTESKIVVTTHSYKVAKLVSTVPPYKLSALSEDDCLNIFSQRAMAGRGDPLFREYGEQIVRRCGGTPLVANFLGSVVNAQRQRREIWKAAKDKDMWKIEEDYPEDKISPLFPSFKIIYYNMPHELRLCFVYCSIFPKGSVIDKKKLIQQWIALDMIECRHGTLPLDVTAEKYIDELKAIYFLQVIERHQTVGEIFNASEEMLCMHDLAHDLARSVAGEDILVILDAENERHNRFCDYRYAQVSASSLQSIDSKAWPSKARSLIFKASGTELEDVSEVFSVNKYLRVLDLSECSVNEIPGPVFQLKQLRYLDASTLSITTLPPQFSSFNKLQALDLSETELMELPSFLSNLKGLNYLNLQGCQKLQELKSLDLLHDLHYLNLSCCPQVRSFPESIENLTKLRFLNLSRCSKLPTLPNRLLQSFASLCSLVDLNLSGFEFQMLPEFFGNISSLQYLNLSECSKLEELPQSFGQLAYLKALNLSSCPDLKILGSFECLTSLQFLNLSNCTSLEYLPLCLKKLQNLDVSGCQDVIVQSCPNNSGSSPSHQLSEQAEQVRLSIVISEIIPEAPATGDLKGKKKLASASGLDVVPEVITKPNETGDTSLIPGRRFSLSSSHSCSFASSSRAPLAYVSSSDVSKNNNLVPNGEITGTQFNEKCQEPQDLVKDVLITEENICSLDTPVHAHEVATVEGDDDGHVIKYNAVYQHNIRCNGPNQGVVVQQSN >SECCE5Rv1G0332000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:543996244:543997070:-1 gene:SECCE5Rv1G0332000 transcript:SECCE5Rv1G0332000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPAERTWSPMATEANPYAMPAPAQKSAKETVKNALSLWGRKVGEAGRKAEDLSRNTWQHLRTAPSMTEAAVGRIAQGTKVLAEGGHDRIFRQAFSAPPDEQLRKSYACYLSTSAGPVMGVMYLSTARVAFCSDAPLSYEAHGHAGDNTEWTYYKVAIPLHRLRAAIPSASKLKPAEKFIQLVSVENHEFWLMGFVNYSSAVVHLQEALSGFHNLQA >SECCE6Rv1G0388460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:136192591:136195889:1 gene:SECCE6Rv1G0388460 transcript:SECCE6Rv1G0388460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKERSVNVSGKPRHSLDVNRPNDKKGAGGGGGAGGSRSAATVRRLKMYKLRPMRDRGGKIVKHDLQSKELPNTRIEPDRRWFGNTRVINQKELEYFRQELQARLTNNYSVLLKSKQLPLSLLQDHQKQARAHLLDTEPFEHAFGPKGKRKRPKLSSLDYESLIKKADDSQDAFEEKHASSKLPKDEEEDGLRDLVRHNMFEKGQSKRIWGELYKVLDSSDVVVQVLDARDPMGTRCYHLEKHLKENAKHKHLVFLLNKCDLIPAWATKGWLRTLSRDYPTLAFHASINKSFGKGSLLSVLRQFARLRSDKQAISVGFVGYPNVGKSSVINTLRSKTVCKVAPIPGETKVWQYITMTKKIFLIDCPGVVYQNNDTETDVVLKGVVCISTCALFVFSF >SECCE3Rv1G0168100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:214676544:214676999:-1 gene:SECCE3Rv1G0168100 transcript:SECCE3Rv1G0168100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLMDKAKGFVAEKISGVQKPEADLSDMSVQHVGRDGATLSGRLDVRNPYSHTIPICEISYSLKSAGRDVASGTMPDPGSLVASDTTSLDIPVKVPYDFLMSLVKDAGKDWDLDYEMRVGLTVDLPIVGNFTLPLTKAGALKLPTLSDLF >SECCE2Rv1G0102870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:584972139:584974273:1 gene:SECCE2Rv1G0102870 transcript:SECCE2Rv1G0102870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTITTGQSTKAFSFSARHGQPDQSTESFPSRSQIKTESFPQDLGLLQPTLPLPRSDHPRRRRRRGSAAMTGSVANGVAAPGGPGLAEKKAAAAPLPEELAPEVVEGAREIVLGRNMHASCFAVKEPDADDEFTGEREATMAGVLARYRRSLVERTKHHLGYPYNLDFEYGALSQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWDLEKDEYWGYITNCGTEGNLHGILVGREVYPDGILYASRDSHYSVFKAARMYRMDCVKVDTLVSGEIDCTDFGKKLLENKDKPAIINVNIGTTVKGAVDDLDLVIETLEKSGFKDRFYIHCDGALFGLMMPFVKQAPRVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLNHINALSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKDAGISAMLNELSSTVVFERPKDEEFVRRWQLACEGSISHVVVMPSVTVDKLDTFLNELVEKRITWYQEGKCQSPCIAADVGQENCLCTLHKT >SECCE7Rv1G0473610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:159491725:159491958:1 gene:SECCE7Rv1G0473610 transcript:SECCE7Rv1G0473610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRVILHNMIIEDEIGLKLPYFYDNVGSRVQPERNPCRVQAFLEAHRQIEDANSHGQLRDDLVEHQWQLAGRRQGP >SECCE1Rv1G0013670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:107324366:107324629:-1 gene:SECCE1Rv1G0013670 transcript:SECCE1Rv1G0013670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAHRLPGKGKGPSSGSGGAPMKKRKRVLAPLLPPGTAVEVLRNGAWVGGGTVTIRNGRTYMVRLLDGMTVLMSRGRVRPAATE >SECCE7Rv1G0519260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864299314:864299704:1 gene:SECCE7Rv1G0519260 transcript:SECCE7Rv1G0519260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCMMNIGKGQLGANKVLICLWALWLVTLLVLSSEEMGTDACDRQISQTWPNTTCIIRGTCNKYCRREKFDRGICKELNYCFCYRNCAFESI >SECCE4Rv1G0253200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:623900259:623907279:1 gene:SECCE4Rv1G0253200 transcript:SECCE4Rv1G0253200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLIALPLTVGMVAATLRYFAGPAVPLHVLATVGYAWLCSLSFVILVPTDIYTTITGNQKGDVGFFWSWSYWSTFVLAWAIIPTIKGYEDAGDFTVKERLKTSIRANLLYYEIVGSIGFFGIVLIIIMHHDWGGAILGFAMACSNTFGLVTGAFLLGFGLSEIPKDIWRNADWTRRQKILSHMIAKMAVKLDNARQEYCNTIVVVQATSKQMSKRDPLRPFMDIIDNMLAQMLRDDPLFKLSGGNKLAENDMDYDTDEKTMAALRRRLRIAHEEYCRCKSKYTTSVMEALELEDTVTNYEQHDADGWKYVSDLRESRSGTLGSFLDHIEFIWRCILLNRLLKVLSVLLGCISAAILLAEATLLPTGVHLSLFSVLINAAGKQEILVQVVAFAPLMYMCICTYYPLFRIGMMVVYSLTPGQTSSVSLLMICSMVARYAPAISYNFLNLVHLGGDVRTTFEKRMGSIDDAVPFFGRNFNRIYPLIMVVYTILVAGNFFGYLFEIFGSWKRFKFWTEEEEDTNGFDPSGVMILQKERSWIEQARKLGEQVTPLARNFSSVSEDVESGTVLQGVEKVVVMKAAPHSPKREGGAQHKYSSITAQPSSQQSVKQVKEETRATSVLLEAGDSENPSPATPDPSAGATSRWASMKAGFRSFRSGMSSKRLLPSSLSRTSSSTSDSLDEIFRGLKRHSSNPRVDAEYLDEDDSALETDRAIR >SECCE7Rv1G0522010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:875816627:875818992:1 gene:SECCE7Rv1G0522010 transcript:SECCE7Rv1G0522010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESQPLQAPTAEPHGAGAADDQAGPGAPPAVVPGKEFTRTSKGLVVVLIGGYVLLQLLPSSLNYLAIIPAKTIPFVWTVFTAGYIEQVLPGAIGSSLGLLFCAKDIEPVWGRKEFLKFIILINSICGILAFCIAVALYYVTGKESFLVTPLSGFHGALAGFLVGLKQLLPNLELPMCFFWKIKAKWMPFFVMSFSTIMAFIVPDSINFLPTLLSGMYVSWLYLRYFQKNPLTGLKGDPSDDFSFPSLFPDAMRPVTDPVANLFDRMLCARSKPSEIALPVTDPTKASRRRERGERVLEERMAADHAADAEAPAHSAED >SECCE4Rv1G0267930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:738096539:738098386:-1 gene:SECCE4Rv1G0267930 transcript:SECCE4Rv1G0267930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRDLRLAVLLLFSAAVLLSGVPAARAQQETDHEEEFSYLLDAENGPAHWGDIKEEWSACGKGNMQSPIDLASPRVSLVRSLGYLNHSYVPANATIINRGHDIMLQFEGDAGSVSIDGTPYYLRQLHWHSPTEHSVNGRRYDMELHMFHESAHGKAAVIGVFYQIGAHDAFLHKLEPYLEMIADRKDREEKMGMMDPRGARGKASVYYRYVGSLTTPPCAEGVIWTIVKRVCTVSRHQLELLREAVHDDMEKNARPRQEVNSRDITMFRPFEQNRH >SECCE2Rv1G0106140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:631039337:631041166:1 gene:SECCE2Rv1G0106140 transcript:SECCE2Rv1G0106140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAIRHRPRLQPPTETPPAPSSPLPWYAAPRAPPSHSPSTTEADPLIVAASEVALTLPVHPAPLPATAPAPLLRLLPAFTSAHFLSLLRSNPLSLPPLPLLSLFRLLLLASPPGLFRHTPSSFLSMSHLLLLHRLPDLARPLLRLLVSRLGRSSPPRLLPLLVPAASPGDPAPLVSELAAAYADEGLLTDACSLVLLALRGGTRLPAPVCFGLMSRLPTTPEAYTFYLQLLDAGMPPEVRQFNVLMRDFVRLGDLAGARKVFDEMRTRSLQPTVVSFNTLISGMCRARDLDGADGLYKGMTQMGITPDVYTYSALIKGLSSSGRMEDATMMFGEMRDRGVKPNAIVFTTLIDAHCKDGDVEAGMDLYQDMRAKGVMPDLVAYNALVNGLCRARNFKAAECIVEEMKGTGLKPDKVTYTTLIDGCCKDGKLDTAMDIKQKMADEEVTLDEVTYTALISGLSKAGRPVDAERVLVEMMEAGLEPDNTTYTMVIDAFCRKGDVKTGFKLLKEMQNKGRKPGVVTYNVIMSGLCKLGQLKNAGMLLHAMLNIGVSPDDITYNILLDGQCKHGKVTDSEELKSAKGMVPDFGVYTSLISELVKKKPAKSYHDR >SECCE4Rv1G0250970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:598107367:598108473:1 gene:SECCE4Rv1G0250970 transcript:SECCE4Rv1G0250970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRSVSPLLALLAAAATVLAVLMSAPVSARTLPEGLPDIKPLLSNPWSAFQNLSGCQFGDERQGLARLKDYLSRFGYLPAPPAKFNDMFDADMESAIRTYQQNFGLEVTGQLDAATVTKMMSPRCGVADIINGTSSMGKTVHGRNLYSYFPGSPSWPRSKKSLKYAITAATETTIDRATLSKVFASAFARWSAATTLNFTETASASDADITIGFHSGDHGDGEAFDGPLGTLAHAFSPTDGRFHLDASEAWVAGGDVSRASLDAAVDLESVAVHEIGHLLGLGHSSVEGAIMYPTITSRTQKVELASDDVVGIQSLYGGNPNFKGVTPPATSSEETHSAAPRALSGPWSGLAGVAVAVGLALALSC >SECCE3Rv1G0196310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:807899429:807900067:-1 gene:SECCE3Rv1G0196310 transcript:SECCE3Rv1G0196310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGPGPSSSVVGGGSAPDVAPKPPAQLSRYEAQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLRYLDQFGKTKVHVAGCAYYGQPSPPAPCPCPLRQAWGSLDALIGRLRAAYEESGGAPESNPFAARAVRIYLREVRDSHAKARGIPHEKKKRKRAPQAAEASGSSAGAGAVFDRDVEGSAGDGALAASAAQPGAGDSGSTAPSIS >SECCE3Rv1G0181880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:619430565:619439045:-1 gene:SECCE3Rv1G0181880 transcript:SECCE3Rv1G0181880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLLRWGASSLHAHSSPPPPRRLFSALRRPPAAARYEPGSRVMLKGMDYPELEKWVQSQGFRPGQAMMLWKCLYGNNVWAHCHDELAGLNKDLRKMISDHADLKALTVKDIITASDGTRKILFSLEDASVIETVVIPSARGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARKLFSDEFGSVTNVVFMGMGEPLHNVDNVIKASSIMVDEQGLQFSPRKVTVSTSGLVPEIKRFLSESNCDLAVSLNATTDEVRNWIMPINRKYNLSTLLGTLREELRLRPKSIVLFEYVMLAGVNDSVDDARRLTELVRGIPCKINLISFNPHSGSQFKPTPDEKIIEFRNTLIQSGLTVMVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQAAL >SECCE4Rv1G0277960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806543686:806545396:1 gene:SECCE4Rv1G0277960 transcript:SECCE4Rv1G0277960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKRLHARLLRRGERRLQPLLLRVLAAGNLRYAALLLATTSPPSATLHDRLLQALAASRSPLLLRSFSRAHRLRLLTPLSFTFLLSSAPSPAPFALSAHALLAKSGHLASGDAFLGSALVSFYARNRLLRDARRVFDEMPRGDTAVCNALLSAYARAGLLDAAEKLFGEMPDRNVVSWTAMVSGYAQNGRHEEAVGAFLEMWEGAGVQPNELTVSSVLPACAAVGAMELGRKVEDYARGEGHLSNVYVANALLEMYAKCGSIQRAWQVFQGIGRRRDLCSWNSMIMAFALHGSWREALALFHKLRMTGVKPDGITFVGVILACTHGGLVDEGKLLFNSMEAEFSLTPRIEHYGCMVDLLGRAGLLNEAYSMIVSMPVEPDAVIWGALLGACSFHGNVELAETAVNKLIFLEPQNTGNLVILSNIYASSGKWDGVAQVWKLLKEKDHKKSAGYSLIELDGRMHKFLVEDKSHPRFEEVYSILDSVTMLMKLVRLENEEEVEQMFLSPVEI >SECCE7Rv1G0461520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:38217514:38218161:-1 gene:SECCE7Rv1G0461520 transcript:SECCE7Rv1G0461520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRSSTSGPLLVLLFLASASVSASLLGGRAKGPVVDVKASCAKTDAPIACNSFLSPEPDTKTADARGLAEIAMKVTARLGGEVGSYARRELDLVKDNPTWQCLDECAEDIEDALSHLDDAEGGVDDAKFSQVREYIDLSEQDTWSCDESCRDTPPSPVRTELLRKNVDFEKMMNITRQLIKLVDGSASPVLPGLPVLPGLPKPAILPKPAILP >SECCE7Rv1G0503280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:709080739:709087668:-1 gene:SECCE7Rv1G0503280 transcript:SECCE7Rv1G0503280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTTAAAPAPAPPLAPLIAAQLKFLLTNSSLPVKVVQIWSGCSNGRYADRFTLGIPFCLDYVYWDFLYNAMHPKVAPDVIFGQGDEGFQPLVDYDESGNGGKSCLAHWDYRDPRGLLCLVEELRLLYVEYQKKLVEEVDDARLKFEISTVLAKEGIEVSVLSSTGRPDEVKFAVPLLDLDLKMMVPGCPWKLPQKIHLQAIFPVSSGYLSVPSAPGLKLTSTPDLKSLFSVDGVKLPAWVDGMCMAEYIPTLEEKLKLQVVDASASIGCRRRFIEALAPAFGRPLEADPIFCRKATVLSISGIFTFLVHFVIPLQFPKQQPILTLQSCQHCNSQGIPITSPPNNSYPWSPRWEVTEMVDRIYDYLADECQNFKKLCSDGFPQAK >SECCE4Rv1G0263730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:717780665:717783826:-1 gene:SECCE4Rv1G0263730 transcript:SECCE4Rv1G0263730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGAMGPVIRKLGELLVRECNLKKRVKKGVQSLITELEMMHAVLRKVGEVPSDQLEEPVRIWAGKVRDLSCDMEDSVDDFIVRVDEGSSNKPTNMRNRVKKFLKKTTKLFGKGRALHQICDAIEEAQDLAKELADLRKRYELDMCSTSNGATIDPRVLALHKHVGELVGIERTRDELIKTLICEDGSSEEQSKTISIVGVGGLGKTTLTKAVYEKIKAQFDCAAFVPVGQKPDIRKVFKDILHGLDKVKFRDIHNTTKGENLLIEDISEFLVDKRYLIVIDDIWEEEIWRYISCALYKNKLHSRVITTTRNVSVSEACLSSSDDMIHRMKPLSDEDSQILFHRRIFQREEKCPQDLHVVSRDILKKCGGVPLAIITIASLLVSSQWVKQKHEWMHVYNSMGRGVTQSGIAKDMKRILSLSYYDLPPHLKTCLLYLSIFPEDFEINRDWLIWRWLAEGFIQCDKKESSLFKIGESYFNELMNRSLLQPAYINEEGSVLTFRIHDMVLDLICSLSSQENFISILDNDEWHAPNLQRKFRRLSLHNIKAKVQNEQFDNTSLSKVRTFAIFTPVTCDWLPSLSSFQFLRVLDLGNCGSRESSSGIGLKYVGNLIHLRYLGLRNTDVRELPMDICKLQLLQTLDIRYTSIKELPATVVQLTNLICLYVNYSLRLPKGMGNLTCLEVLDSIGLSSSPHIVKELSHLTEVRTLGIDCDNIDEDLMDILIKSLGNLQKLQNLRIDAGGRLLDRMDESWVPPPNLRCFVSSGPPFPAWFSRLPKWVNSRSLPHLSRLEIDVEELQGDDIQIIGMLPALRFLRLCASRVMGRLVVRADAFPSARCCIFREFRTGPCLFPPGAMPRVQRLKFEVSTPSIASGEVDCGLGHLPSLEHVQVCLKREDSSDEENETAMAWLRSAAEAHPKRPTIEIKHNNWELSW >SECCE5Rv1G0362060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782448787:782451267:1 gene:SECCE5Rv1G0362060 transcript:SECCE5Rv1G0362060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSILSSFSSWFVNPRRNPLARIHMLTISNRLKNYGLRYDDLYDPYFDLDIKEALTRLPREVVDARHQRLKRAMDLSMKHQYLPDDVRALQTPFKSYLSDMLALVKKEAAERKALGALPLYERTLP >SECCE2Rv1G0123380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:823699768:823702620:1 gene:SECCE2Rv1G0123380 transcript:SECCE2Rv1G0123380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPDESATQSQDQGCGSYPFSFPSQPPDIRNWFSSYQYESPEVPELVVDPPGVDNGSETQDPLVYRVAEHSFLRHAPLDDATDLKRDCFGSQAENAEVSARRDILPIGTKRKQSLRGLFGAGFLDDHEEATQTEEAGVVSPVQRSAVDPPWNRNWVGLQNRKRSHEDMVEYSELPTDSESTCIAETQAESRAALPVLGSAVDPPWNCNWIGLSNAKRIHEGVVEHSELLTDSEGTCIAETQENPRGGQEIYHRKRPFNCGGTSLADIGEGFIEDGTEPSNLPVNSHSKGLTDAEKTAQSLPGLFEAGFLDNHDEATQTESRVVLPVLRSAVDPPWDCNWIGLRNRKRSHEGAVEHNELLTDRESTCVAETQVNPPGGQETDHSKRTVNCGGTRLAADTEEGFLQDHIEHCNLPVDSHSKGLADAEKIKQSLRGLFGEGFLDNGDEATQTESRVVSPVLRSAVDPRWNCNWIGLRNRKRNHEGAVEHSELLTDTESTCIAETQVNPPGGQQTNHIKHPVNCGGSSLAAATGLEDGIGHSNLPDKFPSKSLAGSEKPKRSLRKLFGAGFLDDCDEANESETRVVSAVQRNAVQPLSNCNVAGLPHIEHIHEGAVGYSELPADLDAISTAETRENPPAGQVIKHSRLPVNCGSMSLAADTEDGFLEGIENRKPPVNSQSQVQADTEKTGIKHHILPANSYGIGSAVTEESFPGDEISRSKRKLEHKKTEETAAADGFVAIRTKVKQAEGCRTNKIHKVSTGRENTTLQENRCISAGTTPLGQGSTRSPLSDRTNISEVAGAPSEEISGKWKHPRKGKPYVGPPMIQLRLEQWVRRV >SECCE4Rv1G0242820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:490012639:490012902:1 gene:SECCE4Rv1G0242820 transcript:SECCE4Rv1G0242820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYSIQTQKMIIAATRTLHNYVRLHDKEDIHFLRCERDPDYVPTIRERYKKYVIPPNASYSSTPAESGPNMDLFRHELATAIALSW >SECCE5Rv1G0347660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:668920146:668929704:-1 gene:SECCE5Rv1G0347660 transcript:SECCE5Rv1G0347660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATHDGDGDFAATPAATPAPARGRGGVYQVSGVPVEFPYKPYGTQLAFMGRVIATLDRGRRQGRSHALLESPTGTGKSLSLLCAALAWQRYYPLRAPPAPAAADPLLHGGGFLPDDTQQQPTPGVPEKPPAAAKKKNAPIIYYATRTHSQITQVVRELRKTSYRVRMAILASRKHYCVNKTACMADSIDEECKRLLDDKVHGCPEFKNAQKLSRHPSLQTGGSYEVHDIEDLLKVGRQVKGCPYFAAQTMAETAQLVFCPYNYLISPIVRRAMDINIAGSIVILDEAHNIEDTARDSGSVDVDEESLSSLKEELAKLATDQAVGKIYQPLHDIIEGLSTWIADQEGDPRDNEFDHPPSFWTGENAVKELQKAGITPAYFPILQECATKAVKAASDTESEGDHLTGRGAVTLESLFSSLSHFFGRNGRHLYDYQLAIQRFAHREGTSAFGVRNVMSLWCLNPAVVFQDIADLTHSVILTSGTLSPMGSFASELGVQFDACMEAPHVIDADSQVFATVLSCGPTAHRLNASYKTADAYSFQDELGASIEEICRIVPGGALVFFPSYKLLDKLKVRWSQTGQWARLNAQKPVFVEPRGSSEELEPVLNGYYNAILGKVPLKKGRGGAKQIVKNRVRKNSSQESAKGGAAFLAVCRGKVSEGIDFSDDNARVVVIVGIPFPNINDVQVKLKKKYNDSYKSSKNLLSGSEWYCHQAFRALNQAAGRCIRHKFDYGGIILIDERYQEERNLLHISKWLKNSIKHYGSFQETMDKMEKFFQKAGEHVKIKHQEMSTKNKLDDDLLTSHGDKRKSPWPEPIFSKHSVLQNNQKGKLDDNLLTSHGDKRELPWPEPDSSKHSVLQSNQKVKNERGSLKVSNIDVVGVDHKNYGRPCTSSEACHVSSKSSDFTKKKSSPVPGSLSTACQLPPSHKIQNNLEDESDIGGNHQVDINVIDLEICDSISGHAKLTIFTPPEDRSQEPTLVEGTSAEDPVASPSIHSEVNTSAAMDNGEDQVVGVSASLSTANRNQSCVSTSAGTPERTANRGYHLDHESSMDRSVNSQYQKRRRFNIPVSCCTGTEHSRPSLNPSNPSSTSFCNTDSAVSMVPGDLKRTDGLCCKSLKMSRCENFKLERSHKPAEFASNKSAGTKLDIRCTTCKTPLGLQKDGFLVSSSLASPSKFYLTYLLRHGLSTVGFAQDLMSSPPAVVNVLVCDASSLNQGILGKFCSEGSAHQSGVWSEKDGCVYKPVACPFCPRENSFATVLGAQVLAADASNLESLNKVLLFADRLDLKNEPSNEQVARDHTVASNPMGTDASKPMSPPPVIDLESFAYKPVKKEPVSLSTRRSKLRLPSTNRSTKPQLVHNVEDS >SECCE2Rv1G0096780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:444761952:444762584:1 gene:SECCE2Rv1G0096780 transcript:SECCE2Rv1G0096780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLQCSGSTHMPLPLFFLSVLLLLPFMESAHSDAGRLPLRLLEIRTSKEQEGGCTGEMMKMERRGLIGSRPPRCERVCMSCGHCEAVQVPIVPQDHKQRAGRENQDAASDIGAAMLTDYRVNGGMSDYKPLSWKCRCGGMILDP >SECCE1Rv1G0013860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108700288:108701340:-1 gene:SECCE1Rv1G0013860 transcript:SECCE1Rv1G0013860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAIVADRASGYHLLTVDGYSCTKTLPTGKSLKSHQFAACGHRWHIDYYPNGQTSESADYLSFFLCLDDNVVGSTEVKARHGFWFVDAAQKEEALPSLPSGAAVRNYAGTSGWGTSKFIEREAFEKSKHLKDDSFTIRCDIVVINGFSTKMAEAAAPKFVSPPPSDLNQHLGDLLKTEKGADVVFQVGSETFAAHRCVLASRSPVFSAVLFGAMKEGDTSGVIHIDDIEAQVFKALLYFAYTDKLLETKEEDEDTMFQHLLVVADRYDMERLKLVCEEKLCGYIDVGTVATILALADQHHCVGLKKACFDFLSSPANWRAVVATESFQHVYMSCPSVLTKLIAMPSAE >SECCE2Rv1G0092700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:365546381:365553593:1 gene:SECCE2Rv1G0092700 transcript:SECCE2Rv1G0092700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPPAPRRPLFDLNVAMEDFEEEEEEVVEVEEVVEEEEEEEEAPEEMIMEEETTAAPAEEEDAIVEKEVVEEAGMSGEGGEDEGRRKKRKEYEVFVFGLPREAMEDDVAAALTEAGEVEEVRLVRDPAEPQLNKGFAFVRFAEVWQARWAANDLRTAMIKGKACGICKNNDNETLHLRNICFDWSKDDLAEKLKTFELENLEDINLIEHPDRKGRNRGYAFLDFSSHVDAVAGFLKLQKRDLFLGTDVRAQISFSNTISQDDKVMEKVKSVFLDGLPPHWDEDDVREKLGKFGEIDNIQLARNMFTAKRKDFGFISFTTRQAALDCIDIVNKGRFGEGSGKIRMKATLQRPKPTFKKPSWQGDNHMLGVRRGFIGKNYGDREPHPNRFRHLGPERRPYSNHSNYPGSRIREGYVGRLPPMAVDDGERPVSVREYRSYYRRDSTVPDPSHKYGRTHLGSRIREGYVESRYDNKYPKHKHAAYEAPSMQGDEFSRSKYRHSYLERAHDESCPECIRGDHNSSAYQSGHYSSADKAGHHYQCQNVEEFAATSGGSEKGYYNKTEHELTVASTSQVAPPHKEPYRGVEQELLPSSPAMCNCSGCYKGQKSAAAPSSSQSEITRGHSNPHVAVPHRRIAKPFHDQRSFVPDEYDEVEYTVRERRGRYLSARDGRKYSRQGR >SECCEUnv1G0559070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:335845786:335847338:-1 gene:SECCEUnv1G0559070 transcript:SECCEUnv1G0559070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLGTVPTVVVSSAEAAREVMKTHDEDCCTRPVSPGMKRLSYGLNNVGFAPYGAYWQAMRKFLVVELFGVRHAKATWHARQHQVEKLMSTLSGLAGEPVALKEHIFRLADGVIGMLGFGDMYNSDKFPHHKSLEHVLEEATHAQASFSAEDYFPNIVGCLVDRITGLAARRERIFKQLDTFFEIIIEQHLDPRRVKPHNGDLVDRLIGLWKEKSGTLSITRDHVKGIIFGTFIGGSDTASVTILWAMTELIRKPRLLKKVQNEIRGVVGGNERVRRDDLAKLGYLKMVVKETLRLHPPATMLLPREAMRDIQIGGYDVPAKTRIYVNAWAIGRDPASWPDDPEEFKPERFEASEIDFKGAHFELTPFGAGRRICPALSMSTATVEFTLANLLYCFEWAVPEGTAVSMEEEGKLIPLLKTPLVLVPTVYRRPVSMHEPLSKLICSQIGSYIG >SECCE3Rv1G0151950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:43084542:43085281:-1 gene:SECCE3Rv1G0151950 transcript:SECCE3Rv1G0151950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAYAPPMASQVMKSGLVCSKPRGLSGASLTRRPRFVVQAVKSDKGTYQVVQPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEIGLAHGYLLVGPFALTGPLRNTPVHGQAGTLGAIGLVSILSVCLTMYGVASFNEGAPSTAPALTLTGRKKEADKLQTAEGWSQFTGGFFFGGVSGAIWAYFLLYVLDLPYFFK >SECCE5Rv1G0368000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826099065:826101586:-1 gene:SECCE5Rv1G0368000 transcript:SECCE5Rv1G0368000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATHVLRRLLRRNHHRIISGIASVPLIRQHYLTPNSPYYNPTLDPGGARASALSSPPYRPFGSPPAGVASLSSFSILAGEEEAEVLDMERGTVRCAANYVPLTPLSFIERAAAVYGDRPAVVYAGRRPTTWREVRDRCVRVAAVLATRFGVARGDVVAVLSPNVPAMYELHFAVPMAGAVLCTLNTRHDAAMVSTLLKHSDAKVFLVESSLLGVGRDALKHLADSRSGPASIPVLITISDDIDFIDPDACENEYEALIKSAPSNFDIRWPANELDPITLNYTSGTTSRPKGVLYNHRGVYLNTIATALAYDITPMPTYLWTVPMFHGNGWSMTWAVAMQGGTNICLHHFTARVIFDSIARHEVTHMGGAPTVLNMIVNAPAVDRRPPSAETVVRVMTGGAPPPPRVLAGMEELGFVVYHIYGLTETHGPATVCTWMPEWDALPVEERARLKARQGFHHIAMEDADVKDPTTMESVPHDGKTVGEVMFRGNTVMSGYYKDVDATKESMAGGWLRSGDLAIRHPDGYIQVKDRAKDIIISGGENISSIEVESIIFGHPAVLEAAVVARPDDHWGETPCAFVKLKDGTSATETEIIAFCREKLPHYMVPKTVVFEDLPKASTGKTQKFILREKARAMGSLTKAKNSKL >SECCE3Rv1G0199370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:844674381:844678701:-1 gene:SECCE3Rv1G0199370 transcript:SECCE3Rv1G0199370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12 [Source:Projected from Arabidopsis thaliana (AT3G10070) UniProtKB/Swiss-Prot;Acc:Q9SR71] MDAPPPTQPDAAAPPPSTAAAAPPAAPPPNPAPPVSTAAPPTSEPTPAPAPTPTPAPAPAPAPAQTLEPPTPIPATARPPAPRMRPPYTHLASPITMSSSSSAGAAGASSTASAMARGGVAIGLPAHPRGAQTPMGYTGFVPPPPLAHQFSPMHRGPDQAPLPTPQLRQPAPGIQNIGMIGSLNASQMRPGPISGSQQPRPGLPSSATPSSSGSQMPGSQKTPMHSLTRPMSMGSPAAALQQTPANMSSPFRPQQRPQVPQQRPQIPQPRPYHAAQSAPVASQQNAVSGQQQLPQQQLLQQQPQHQHQQQQQVLQQQQQQVLQQQQQQQVLQQQQQQQVLQQQQQQQSQPQSSSQQNQQNTTLKNQQQAARTPVSLTQKPDTPATLQATNMQLVDMASADAASGESSNRLLSKRSIHDLLAQIDPSERLDPDVEDVLIDIAEDFIESVGTFACSLAKHRKSTTLEAKDVLLHAERSWNITLPGFTGDEIKLYKKPHVNDIHRERLTLIKKSMASEGNIKTSAAQATANQKNQAPKPPATGSP >SECCE2Rv1G0135710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908265100:908267977:-1 gene:SECCE2Rv1G0135710 transcript:SECCE2Rv1G0135710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLPSSHHFVLLIILICLLVHHCGAVYDVESTAGSGKLLSAKLKLVGGSAEFGPDVKSLTLTASLETDNRLRVRITDTDHQRWEVPQDVIPRPAPKDVLLDSTGNPSMPNNSTMSSASSDLTFTIHASPFRFTVSRRSTGDTLFDTSANLVFKDRYLEVTSALPADRASLYGLGEQKKQTFRLQHNDTFTLWNGDVTWSDQPDLNLYGSHPFYMDVRSGGAAHGVLLLNSNGMDILYGGSYVTYKVIGGVLDFYFFVGPSPLDVVDQYTQLIGRPAPMPYWSFGFHQSRYGYKNVADLDGVVAGYAKARIPLDAIWSDIDYMDNYQDFTLDPVNYPSKQLRPFVDRLHNNGQKYVVTVHPAIKRQTAPHEDLFLKRNGANLVGEVWPGEVYFLDFMSPRSTQYWARKISEFRRTIPVDGLWCDINEPSNFKDWQPLNPLDDPPYRINNSGFHLPINYRTVPVSTVHYNGVSEYDAHNLFGLLQAQATHAGLLRDTARRPFVLSRSTFVGSGRYAAHWAGNNVARWDELAQSINTILNFGLFGIPMMGADICGFNGNTTQELCSRWIQLGAFYPFARAHAEKTTLRRELYVWEPTARSARKALGMRYRLLPYMYTLMYEAHMTGAPIARPLFFSYPQDANTYGVDRQFMLGRGVLVSPVLQPGATTVDAYFPAGRWFSLYDYSLAYTMKVGKRVTLPAPADLANAHLAGGNILLLQHANLTTSASRQSEFHLLVALAENGTASGELFLDDGDSPEMGAVGGSWTLVRFSCDREESKGMVTTKLSSHVVQNSYAPSRAQVIGKVVFMGLQSAPKSFTIYVNSVQLKAAHTKSRTGGVFSVSGLSLAIGQKFEIKLVMSH >SECCE1Rv1G0034350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:481525974:481527109:1 gene:SECCE1Rv1G0034350 transcript:SECCE1Rv1G0034350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPLQCKTLVLRVSIHCEGCKKKVKKVLQSVDGVYRCDIDARSNKVTVAVTGNVSADALLKRFRRSGKNAEQWPEQQQQPADGTQSQRPGEIKNDVIRPDKPGGTGTADKPASGFAGAHAAEQSNSKATPEEDPNKVTRETAKPAQDDTESTNADAGGDDDVSHRSKEPTAEHCNGPQRKRKQLLPEDKPVDAISTVVVAAAASDQGSHTCHSPPHTQQQQPPPVHVLSYSMARPSASAAYYAAAPAPAAPGARSLPPQELPYTHPPCCYYSQPSPFTPQTGAASPARYSYADLFSDDNANSCTVM >SECCE6Rv1G0440260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:803336030:803336761:-1 gene:SECCE6Rv1G0440260 transcript:SECCE6Rv1G0440260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAEFFAVSHRAGAPMVAPAHGLINGTAPHSPWQSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDGDRDGQAAGDADGEKAAASGAARPALDFLEHVVVIMAGDERPTFLAKPAASRAAEVELATAAAAASALAGAEGQEKKVDGQGSEASSHIGADSASPSHDHHDATSRSRGHDQDAASQSGDHHYDDQDAASPSGDHHYDDQDAASQSRDHHHDDQDAASQSSSTTALQESLQ >SECCE6Rv1G0430640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:743765956:743766522:1 gene:SECCE6Rv1G0430640 transcript:SECCE6Rv1G0430640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMQSLARKLSLPSPKRGKKHQDGGGKRGISRSEAPSFASSSTSSSTEDALARTSTPRSVLPAEISRRELEAVLRRLGHGAPSDDELDAVAAIAAAGEAGPEDELMEAFNVFDADGDGRITAEELRGVMVAILGDGCSLDDCRRMIGGVDADGDGFVGFQDFARMMMPSTSATAAVTAATDGPRFL >SECCEUnv1G0545590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:143917786:143920926:-1 gene:SECCEUnv1G0545590 transcript:SECCEUnv1G0545590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAVEGAAAALRSVLSRAQHAAARSGRAPESVRVVAVSKTKPVGVIRGVYDAGHRCFGENYVQELIDKAPPAIELSEQVFLLQLPEDIEWHFIGNLQSNKAKALLAGVPSLDMVESVDDEKIANRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVGLAKHVKLSCPNLVFSGLMTIGMLDYSSTPENFKALTSCRKEVCDELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >SECCE6Rv1G0380790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:25787654:25788880:1 gene:SECCE6Rv1G0380790 transcript:SECCE6Rv1G0380790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDKLLDKQKQILLDKIERGEMPTGRGKNQATSLVRPGDTRWGSHYTTLSRIESMWDAVIEVLGIVEEDVRVPCRAGGLVHQMKTFSFVFILKMMLKILRMTNDLSLLLQKKDQNVVQAMSLVTDVRTRLINWRNDGWEPLLEDVKAFCTKNEIPIPSMDDTFTKWGKSTKGGRNNVTADHFFRVDTFYVAIDSITTKFDHRFNEVSSELLQNFSCLDPRDSFSRFNISKLARLTEIYHEDFSSYDREHIQDHLELFIIHMRRIEDFRDCHDIASLAKKMVELERHIMFPTVYRLIELALLLTVATATVERAFSAMKIIKTELRSKMSDGWLNDLMVCYIERGIFKSLDLGKIKEDFHKKGRALPLPGSSTRH >SECCE5Rv1G0363150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:791266023:791266664:1 gene:SECCE5Rv1G0363150 transcript:SECCE5Rv1G0363150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSSEARSDGADDAEPGQSRVTRAKRPCPDASDVGALPVAVPVARVIRAAAAASRSMPARHGGYGPSVAVGMAGAHSWAPYSAVVPALRSLLLMNLKEATSLGAAREAIAGLYGHATPFGPSRRFPAGEVYVCLDRVPLALTMQRIVQPLVTAEAAGMFGEQLVDACSNYISGISSALLELTRVDDRPGISPVLYDRAIFESVFLLTWAEP >SECCE6Rv1G0403970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510940048:510940497:1 gene:SECCE6Rv1G0403970 transcript:SECCE6Rv1G0403970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFKLVKYIPRIKKKKSGLRKLARKVPTDRLLKFERVFKAQKRIPMSVFKAQRVLDEIRWRYYEETVMILNLMPYRASYPILKLVYSAAANATHYRDFDKANLFITKAEVSRSTIMKKFRPRARGRSFPIKKSMCHITIVLNIVKKSK >SECCE7Rv1G0508910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:775983163:775983432:-1 gene:SECCE7Rv1G0508910 transcript:SECCE7Rv1G0508910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDKARSTSQEEVNRRRDIERSRAEARRKVEQMADTVQFNDPWIHFSDVTKSPEELLQARQQAWRYQAHLIEMARRRDYAQEMQIHG >SECCE3Rv1G0185090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:670910701:670912245:-1 gene:SECCE3Rv1G0185090 transcript:SECCE3Rv1G0185090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPKPAAMGVQAASKGKFRIPVDTDNKATEFWLFSFVRPHMSAFHLSWFSFFCCFVSTFAAPPLLPLIRDNLGLTGKDIGNAGIASVSGAVFARLAMGTACDLVGPRLASAAIILLTTPAVYCSAIIESASSFLLVRFFTGFSLASFVSTQFWMSSMFSSPKVGLANGVAGGWGNLGGGAVQLIMPLVFEAVRKIGSTQFVAWRIAFFIPGIMQTFSAIAVLAFGQDMPDGNYRKLHKSGEMHKDSFGNVLRHAVTNYRAWILALTYGYCFGVELAVDNIVAQYFYDRFNVNLHTAGLIAASFGMANIISRPGGGLMSDWLSDRFGMRGRLWGLWIVQTIGGILCVVLGVVDYSFGASVAVMILFSFFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQVIFFRGTKYKTETGIMYMGLMILACTLPITLIYFPQWGGMFAGPRNGATAEEYYSKEWTEEERAKGYSAASERFAENSVREGGRRAASGSQSRHTVPVDGSPAGV >SECCE1Rv1G0036590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:510684572:510685310:1 gene:SECCE1Rv1G0036590 transcript:SECCE1Rv1G0036590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGLKSLASGLLFLNLIMYVVVAIIAGWAINYSIDDSAHSLKGASPPVRLFPIYFPMGNLATGFFVIFALLASAVGISTSLTGLRDVTEGYPASMMSAAASAIVTWTLTLLAMGLACKEISIGWRPPSLRALETFTIILAGTQLLCVGSLHAGANAAIVQTPMGVIARV >SECCEUnv1G0529210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6928743:6931148:-1 gene:SECCEUnv1G0529210 transcript:SECCEUnv1G0529210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGRGVFPNKPTLPPGPKKRAPPSQQTLLPAAPPPQPPSSLPLDSFLLHLTAAPAPAPVPRRNHQQAPHHHPTPTPASSFLSPAAQALVLDLSATPLPALPAFLASRRDDLLRAADLPSLLKALELSGHWEWALALLRWARAEGGAEGAAALEMVVRALGREGRHDAVCALLDEMPLPPGTRLDVRAYTTVLHALSREGRYDRALRLFDELRREGVAPTRVTYNVVLDVYGRMGRSWPRIVALLDEMRAAGVEPDGFTASTVIAAAGRDGLVDEAVAFFEDLKARGHAPCVVTYNALLQVFGKAGDYTEALRVIKEMEDAGCKPDAVTYNELAGSYARAGFYQEAAKCLDTMIGKGLLPNTFTYNTIMTAYGNAGKVDEALALFDRMKKNGFVPYTNTYNLVLGMLGKKSRFPAMLEMLGEMSRSGCTPNRVTWNTLLAVCGKRGMESYVTKVLEGMKSCRVELCRDTYNTLICAYGRCGSRANAFKMYDEMTAAGFAPCLTTYNALLNVLSRQGDWSAAHSIISKMKSEGFKPNDMSYSLLLQCHAKGGNAAGIEAIEKEVYQGSIFPSWVILRTLVIANFKCRRLEGIERAFLEVKARGHKPDLVILNSMLSIYAKNGMYSKAMEMFESIEQSGLSPDLITYNSLMDMYGKSNEPWEAEKILKRLRSSQSQQQQLRPDVVSYNTVINGFCKEGLIREAQRVLSEMIADGVAPCVITYHTLVGGYASREMFGEAREVVGYMIQRKLRPMELTYKRVVDSYCRAKRYEEARDFLAVVAETDPKLLGALAARIESARLGR >SECCE7Rv1G0470380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:119983577:119986342:1 gene:SECCE7Rv1G0470380 transcript:SECCE7Rv1G0470380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKTKRPGWKSLVPLQLSRKSTMRFFLFPKVQASGQSPNDTPVYLNVYDLTPMNGYIYWAGLGIFHSGIEVHGVEYAFGAHDYSTSGVFEVEPRQCPGFRFRRSIYLGTTCLDPIQVRQFMELQSVNYNGDSYHLIMKNCNHFCKDMCYKLTGSKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSQPEDSEKRRLRNPLSCFSSISSQKALPPSSSPFPPSPVKEPLPSSSSRKSSTASLKSR >SECCE5Rv1G0335230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:571596028:571596807:1 gene:SECCE5Rv1G0335230 transcript:SECCE5Rv1G0335230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWRESYLDLILIPLGLLLPALYHAWLWRAVRRRPLSTAFGVYSAARRLWAAGMMRDNDDKKGVLVVQSLRNVIMGSTLMATTSVLFCTGIAAVLSSTYSVKKPLSDAVFGAHGEYMMALKYVVLLLVFLFAFLCHTLTICFLNQASFLINTSCISLASADDARLVGVQLPAGAVSDYVGEILERSFTLNFIGNRLFYAGVPLLLWIFGPVLAFLSALVMIPILYNLDMVNVAAGRGTKEHSSGCVNGKANGNGCVQV >SECCE1Rv1G0035460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:498812003:498813536:1 gene:SECCE1Rv1G0035460 transcript:SECCE1Rv1G0035460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRNLLSSHHSRKETRPSTPTKIRSMGQAIAKAKQEAGRATQKADKDGKAAAANHNDAKEQLIQFMDNNYEEKVKPTKNFDEFYHAIFELIEQFCEEQGQLQYRMPSKDKLAEVYKALRKPDGVNLTKGQFHTITENLVTVHSFSFGKAAFDVLVVLFGAPMCALLVKRVVPGLKSFSDDVVIPVATSGAVVYLAKTNKL >SECCE3Rv1G0187580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:702379105:702384042:1 gene:SECCE3Rv1G0187580 transcript:SECCE3Rv1G0187580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) UniProtKB/TrEMBL;Acc:F4ISP4] MSRALLSHILHRPPLLASRSGVRGGALPSGLRALRLNCSAAEATALADEAPAPPARKKRVVSGVQPTGMVHLGNYLGAIKNWVALQDLYETFFFIVDLHAITLPYDAPELSKATRSTAAIYLACGIDSSKASIFVQSHVRAHIELMWLLSSSTPIGWLNKMIQFKEKSRKAGNENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREISERVNNLYGGRKWKKLGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDLSRINLLDPKDVIVNKIKRCKTDSLPGLEFDNPERPECKNLLSVYQIITGKTKEEVVSECQDMNWGTFKATLTDALIDHLQPIQVRYEEIMSDPGYLDSVLLDGAGKASEIADATLNNVYQAMGFLRR >SECCE5Rv1G0371890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:850459044:850463061:1 gene:SECCE5Rv1G0371890 transcript:SECCE5Rv1G0371890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMADIEAGGRRATDSDTATLIPNTTSGSLEGSSSNKATKTTRFRDDDEVVEVTLDVQRDGASVQGVRPVAAEAAVAAARKRYDRSKSTAAVALKGLQFVTAKVGSDGWAAVEKRFNHLQVDGVLLRSRFGKCIGMDGSDEFAVQMFDALARKRGIVKEVLTKAELKEFWEQLSDQGFDNRLQTFIDMVDKNADGRITVEEVKEIIALSASANKLSKIKERADEYAALIMEELDPKNLGYIELESLEALLLQTPSEAVARSTITHSSKLSKALSMRLAPSKDTSPLRHYWLQFTFFVEENWKRIWVAALWVSICIALFVWKFIQYRNRAVFNIMGYCVATAKGAAETLKFNMALVLFPVCRNTITWIRSKTKIGAVVPFNDNINFHKVIAAGVAVGVVLHAGAHLTCDFPLLLHASDAKYEPMKPFFGEERPPNYWWFVKGTAGWTGIVMVVLMSISFVLAQPWFRRNKLKDSNPLKKMTGFNAFWFTHHLFAIVYALLIVHGTSLYLTKEWYKKTTWMYIAYPVFLYSCERIVRLFRRHDSVKIQKVAVYPGNVLALYMSKPPGFKYRSGQYIFINCGAVSPYEWHPFSVTSAPGDNYLSVHIRTRGDWTSRLRTVFSEACRPPTDGESGLLRADLSVGITDSNARFPKLMIDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQRGESVGGTEPEGSSKGKKKPFMTKRAYSYWVTREEGSFEWFRGVMNEVAEKDKDGVIELHNHCSSVYQEGDARSALIVMLQELNHAKKGVDILSGTTVKTHFARPNWRSVFKRIAVNHENQRVGVFYCGEPVLVAQLRQLSADFTHNTNTKFDFHKENF >SECCE5Rv1G0329120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:512555108:512559336:-1 gene:SECCE5Rv1G0329120 transcript:SECCE5Rv1G0329120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVVDTTLVTPSEPTPRHTLWLSNLDLAVPKTHTPLVYYYPAPSPAGDGDGDGEGETKEEFFEPERLREALARALVPFYPLAGRMATGPDGRLEIDCNGEGALFVVARADFTGDEMFRDFEPSPEARRLLVPFAASGDPPCLLAMVQVTFLKCGGVAVGTGMHHVTMDGAGAIQFIRTWTTLARGLDAASVYPSPPVHDRTVLRARSPPHATFEHPVYSPSNLNGLPRPFVTRVYAVSPKLLTDIKSSCAPGVSTYCALTAHLWRAMCVARGLPPDAESRLRVPANIRQRLRPQLPANYFGNAIVRDLVTVRVGDVLSQPLGFVAERIKRAVARVDDAFVRSVIDYLELESEKGSQAARGQFMPETDLWVVSWLGMPIHDADFGWGCPKFVAPAQMFGSGTAYVTQAPDKDDGVSVLFALEPEYLQCFEKAFYGE >SECCE6Rv1G0398920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:427838148:427847388:1 gene:SECCE6Rv1G0398920 transcript:SECCE6Rv1G0398920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQSGEEVAIKLESVKSRHPQLHYESKLYMLLQGGMGIPHLKWFGVDGEYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLLLADQMIARVEYMHSRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFIRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKKLFRDLFIREGYQSDHIFDWTVSRQVAENNRLRPSGRTAGLMGPSAERAERIAARQDVPDRLSGSVETFARRTGSGSGHPGENTKHRSLLDSLLAPKMAVDSEKRRPTSSRNGSTSRKALLSSSRPSSGEPSDPTRTSHLIPTSSGSSRPSTTQRLHQSTGLEARSSSLSKNARNIHDDPTIRTFERLTISADRRK >SECCE3Rv1G0154660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62100970:62102652:1 gene:SECCE3Rv1G0154660 transcript:SECCE3Rv1G0154660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASSAVGRLQAAAQDAASSSSSSARSASAAFSDQLLVPREAGRMVSLSACAKFGAVSFVVGVMVGFTLNKRLRRWAAKLLKKIKDDN >SECCE7Rv1G0525660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:891920150:891921580:-1 gene:SECCE7Rv1G0525660 transcript:SECCE7Rv1G0525660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLALVLCLLAVPVLAFLRSYNRRARAEATIHIVSDPGVAHRALIENADDLSSRPAAIFPVFLASLRDGRRNDNMTTASYGPHWRALRCNLASGILHPSRLASLAPLLQEAAEALVGDLSARGEGEGEGEGERAAALRVRGPVTAAAFALSTRLCFGDVVDGGHRRAMGRVTRDSMVAIGELSSRFDGSMLSQLVNWRALRRISALLDRQADLYRPLIAAAAARKQSDSGLCCGGVFQPYVDSLLDLRVPADDGDARRALRETELVGLLFEFLGAGTGSVVTCVEWALAHLIEHPEVQDKLRREINDDADAGGKSLRAGMPYLNAVVLESLRMHPPVPLILRAAHGEGAKAAGGAAAVPAGGLRVMFNLGHIGRDKKMWAEPDEFRPERFLAGGGAEDVGPTPGSKEIRMMPFGAGNRQCPGVGMGMMLIKCFLAALVRDFEWAPDCTGRTDMTELDGFLKMMKKPLSARVTQHT >SECCE4Rv1G0223390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:69312758:69316386:1 gene:SECCE4Rv1G0223390 transcript:SECCE4Rv1G0223390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGEKEERVTMEVTDEMLKTMEVGLAFRDYIGRISSMDFHMKATNYLVTASDDESIRLYDIQNAVCLKTINSKKYGVELVCFTTNPTLVLYSSKNGWDESLRLLSLNDNRFVRYFKGHLDRVVAMSLCYEKDSFLSGSLDRTVLLWDLRADKAQGLLRVQGRPAVSYDDQGLVFAIAYGGYVRMFDARKFEKGPFDIFSVGNDESEANMIKFSSDGRRLLLTTKAGFIHVLDSFHGNNLATFNVKPALTNSTLEASFSPDGNHIISGSGDGSVYAWSVRSGKKVARWGSTDNEPPLVRWAPGSLMFLTGSSELSCWVPDLSKLGSFAVTK >SECCE1Rv1G0027530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:383804470:383809506:-1 gene:SECCE1Rv1G0027530 transcript:SECCE1Rv1G0027530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MERLKSAVPADIRRAVGEGTTRDLPSTTSLLLAFLDGLPLFHQVIGELTDPELALCRKDKGRAAELKGRGNACFSRREFEQALGFYSQALRYAPISSDGTDDILVHALYVNRASTMHKLGLLEECLRDCDRAISVSPNYAKAWYRRGMVNASLKNYSSAMHDLEVALSMEVTPSGKSNIEQELKLILLKPQCVNEVGRSSSDCKDSGLAHTEPHKVVLECIATPNKGRGMASPNDIPPTSLIHVEDPLAAIVLKSCRETHCHYCFSEAPADVVFCPLCTIPVYCSKKCQEQAVGGISWNQDTYLESNSNAVDLGILSLTSTRCKAPNSKQIAEHRHECGGAHWAAVLPADIVLAGRLMAQYIDSRMLTGKSSAISGPNLDLIQHYDVDSPTSKLESHIYAIVLLLCLQKHYKPDLPWKEETLSQLVLLICQVKVNSIAIVCMKSMDGGQGLKKNKGYSAADDGVMCSVEQVKVAQAIYMSGSLFNHSCRPNVHAYCHSRTLFLRSTTYIKSGSPIELSYGPQAGEMNLVKRQNSLQENYKFTCQCSGCSELHLSDLVIDSFCCPQSSCLGAVSESICYKSEENCVHVSVDESDICKLSLPHVSKVDEDIEKVGKLFFRNNVDLKIDPGYCTSCRSRINLASAVATSEKAASKINRFKELAVIDEISEIPITDVLRSLQQIKKLRHPYSKALAQAEDAIAEAFAKIGDQEQARKHCEASIMILKKLYHPKHIAIAHELIKLVSIELSLGDRTSAAATFAQAEAIFSLYYGPDVERILPYVDALKRTVSGGFIGAPWGR >SECCE1Rv1G0045470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:610331948:610332244:-1 gene:SECCE1Rv1G0045470 transcript:SECCE1Rv1G0045470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSWWRGFRRGSPEQQPAKGAGGAQAQVAVPGTHGAVEVLRQRQPDATVFEFGSAAESGAAVTLAGYCPVSDELEPCRWELVPATGEGAPQFRIVF >SECCE1Rv1G0026570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:372200585:372201385:1 gene:SECCE1Rv1G0026570 transcript:SECCE1Rv1G0026570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQRQRIHPVDLEAGQARPSAPLVPPGSSFRSDKGDPAAAADRRPPHHQPLPPPPRRVAAPLPLPPPKRRRGCCCRFICCVVVTVVLLAVLAAVALGALYLVFQPKAPRYSVDRLSVSQFQVDPTLTASARFDVTVTAANPNSRIGIFYERGSSLSVWYDTHRLAQGALPAFYQGRRNTTVLGVVMAGQAQLGSAVVAALRDEQQTGAVPLVFRADVPVRVELGSLKLWTVTSRVRCDLVVDSLLNASSQIKIKASNCKFSLKL >SECCE5Rv1G0312290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:223319749:223320902:-1 gene:SECCE5Rv1G0312290 transcript:SECCE5Rv1G0312290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFLRQLPHAHGPTAVNVRLRRSHSLHSASSLLPCRCGCLTHMAEDPSHPHQRAKEEDTVPTSQQQAEQHELTQQLPPAPSLPPRDAQEPSTSSSSCGNTSSWLQLGIGQSASSSLRGKRTRNDYEAGPSSSIQPDASPPLSELGLSLFPAGSSLPSVAAGTVVAAAPPPAHEAGTWFVLQAAHNQRRDPPLPQVHRSYLRVRDGRMTVRVVMGYLVSKLGLEDNSQVCHIASVSFPCNLSALFLCA >SECCE7Rv1G0499390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:653756359:653757417:1 gene:SECCE7Rv1G0499390 transcript:SECCE7Rv1G0499390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTYAELVRATSGFASENLLGTGSFGSVYKGTTMNGDQEVIVAVKVLNLHQRGASQSFVAECETLRCIRHRNLVKILTVCSSIDFRGLDFKALVFEFMPNGDLDQWLHSRLLEDGSHGVLTLTQRIDIAIDVASALEYLHHNMPVPIVHCDLKPSNILLDNDKVAHVGDFGLARFLHQDGTSLSEISSGWATRRGTIGYAAPEYSQGNEVSVYGDTYSYGILLLELLTGKRPTDGEFLQDLKLHSYVEIALRDQAANLVDLCLLSSLEEGTTIRAACITSVLNIGILCLKEQPTDRMQIGDATRELLAIRDKYRTHLLSEGGSI >SECCE3Rv1G0182250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:624642307:624644319:1 gene:SECCE3Rv1G0182250 transcript:SECCE3Rv1G0182250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLYFRPNTTSQARAYRDHLLAHLDQCASRAHLAVLHGRLIRAHLGPDPSAAGRLVALLASPVARHDMPYARRVFDRMAQPTAFVWNCMIRGYNSCDAPADALTLFRAMLRRGVSPDNYTMAVVVSSSAAFAGWKSRSTGDAVHSFVRKTGFASDVFVMSGLVNFYGSFRSVDDARKVFEEMADRDVVSWTLMISAFAQCSQWDEALRLLAEMQSQGTKPNKVTVISLLSACGQEQDVDRGRWVYARIDEYGIEADLDVRNALLSMYVKCRCMSDALKTFQVMPIRNTKSWNTLIDGFVQNGKHKEALRMFEEMSSDGIVPDVITLVSLLSSCAQLGDLQKGRYFHNYIKDHGIHCDIILYNSLINMFAKCGDMAAAEMVFQNMTQRDTVSWTAMVCGYVKGLQFTTAFSLFEEMKVLDVVASEMALVSLLSACSQLGALDKGREIHTYIEDKSVTTDVWLESALVDMYAKCGCTDTAAQIFSTMQHKRTLTWNAMIGGLASNGQGKEAVEHFEQMLKLRDPKPDAITLKVVLGACAHVGMVDEGLHYFYLMLSLGIVPDIEHYGCVVDLLSRAGLLDEAYSFIKKMPIQPNPVIWGSLLAACRVHHKMELAKRIGHHIIELAPNDVGAHVLISNLHAAEGQWDDVEQVRGLMGSRGVEKSSGRTSIQV >SECCE4Rv1G0229880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:154836552:154836914:-1 gene:SECCE4Rv1G0229880 transcript:SECCE4Rv1G0229880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAANIVVVALVGAMLLAAAHTADAAISCGQVNSALGPCLTYARGGAGPSAVCCSGVKRLAAATQTTVDRRAACNCLKMATGRMSGLKTGNIASIPSKCGVSVPYAVGASVDCSRVS >SECCE2Rv1G0121980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:812512766:812514493:1 gene:SECCE2Rv1G0121980 transcript:SECCE2Rv1G0121980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04780, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G04780) UniProtKB/Swiss-Prot;Acc:Q9LZ19] MSALHELLQLCAKRRSLLVGKSCHGLAIHFGLVTDTVTCNILINLYTKCGRNDCARHVFDAMLTRSIVSWNTMIAGYTQSGEDVQALKLFQRMHREGRQLTEFTLSSTICSCAAKYAINECKQLHAIAFKLALDSNSFVGTAILDVYAKCNMIKDACWVFEKMPDKTLVTWSSLFAGYVQNGLHEEALCLFRNAQREGVKLTEFTLSAIISACASLALKIEGTQLHAVIVKCGFHRNFFVAASLVDVYARCGQIEKSYALFAYMEQKNVVIWNAMIAGFSRHAHSWEAMILFEKMHQLGIFPNEVTYLSMLSVCSHAGLVEEGRHYFNLLMSDRTVEPNVLHYSCMVDVLGRSGKTDEAWELLHKMPFEPTASMWGSLLGSCRNYNNSGLARIAAEQLFQLEPDNGGNHVLLSNVYAASGNWENVLMARKYLKDSGAKKEMGRSWIEAKGKIHVFVVGERKHPVITDIYSKLEEIYHDVRKFAHMTKIECDLHDVHDDQKEELLKHHSEKLALAFGLISLPPNIPIIIHKNLRICGDCHSFMKVAAHVTKRLFIVRDANRFHHFKDGSCSCGDFW >SECCE2Rv1G0102030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:572343744:572344985:1 gene:SECCE2Rv1G0102030 transcript:SECCE2Rv1G0102030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWERRDRDTDDGEEDDEAACSNAGANTSSANASTSSSTAPSSAGRRTGGGAAAAGGWGSSSSPVSGATINLSQEYTLAIQTSSYNEIWAKIHVIVDGQRVDGGDQDEDEEDRSTLAGVLRPEDAVVERALGDAPDTELTRLAADYLRSTHNASLHCLFLRRALRRARALYGPIADVLALIPHATPLAVPHCDCAFDAFLLFDKIPNPFLPPAASFQGMHRSFAGLKTHLDLRLLKARRRRRLLRCATRGSGICLIGCATGAAIAGLVIATHAITALLAAAPACAASRGSCCSTPAWMKRLQQHMDRLDAAARGAYVLNNDVDTIERLVGRLHATVESDKILVRLGLERGRGQHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARLFLVHHLNAQSDPNAELPL >SECCE2Rv1G0120990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:803759939:803774338:1 gene:SECCE2Rv1G0120990 transcript:SECCE2Rv1G0120990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRAQSPLCSRSHPVLVVRPASAALAQSIVKCSRFTRSRLVRCVVASSDYPKRNPRRSSAPKPKGTASRGYAPRPSAESWTSTKKIEHSSADEDGDLGRSNGTLGSDTTEQTSTSEEESEVEFAGNVSTSAELKGVIEAETEEEVDQKQPPALSSTSMDVESVNDATEQTSTADESSEVDFSGNVSSSVVLEGVNEVKTEQEADQKQSSLASTSMDVESVNEATEQTSTADESSEVDFSGDVSSSVVLEGVNEVETEQEADQKQSSTLASTSMDVESVNEATEQTSTADESSEVDFLGNVSSSVVLEVVDESETEQEADQKQSSALASASMDVESIDRQLEEYRVRISALVGAASTQGQGHSIIGVHEHDKSVNSLSEQDISAIDFPEQSQSGVGVTREDPTEQTTSEQDVAASNNLVARKHPLSEDGVGASKGANEEPLIAGDELRVTEDGEQHEPEMQEQVQKDVDLQALKRRLEELADGNYSIGNKCFVFPELVEADSVIDLYLNRSMSALASEPDILIKGAFNGWRWNHFTEKLHKSELTGDWWCCKLYIPKQAYRLDFVFFNGDTVYENNSYNDFVLHIESDIDEDSFEDFLLEEKQRELERLAAEEAERERQAEEERRKEEERAAMEADRAHAKAEVEMMRNKLQHVLGLASRYADNLWYIEPNTYKGGDMVQLYYNRSSGPLKNKNEIWLHGGYNNWTDGPSIVERLVKSEEKDGDWWYGNVTLPESALVLDWVFADGPPGNASKYDNNGKRDFHAAVSKSISEDLFWMEEEHRIFEGLQRERKEKEDAGRRKAEITARMKAEMKEKTMREFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGSPEVWFRCSFNRWTHPSGPLPPQRMVNAENRSHLQATVKVPLDAYMMDFVFSESEEGGIYDNRDGMDYHIPVSDSMAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAIQDLGHKVEVIFPKYDCLNLSNVKDLHCRQSFTWGGTEIKVWFGKVEGISVYFLEPQNGMFWVGCVYGRNDESRFGFFCHSALEFLLQSGSSPDIIHCHDWSSAPVAWLYKQQYVHNGLPNGRVVFTIHNLEFGVHHIGKAMAHCDKATTVSYTYSKEVSGHGSIAPHYFKFHGIRNGIDSDIWDPYNDNFIPVHYTSENVVEGKSSAKRALQEKLGLHQTDSPLVGIISRLTAQKGIHLIKHAIYRTLERNGQVVLLGSAPDHRIQGDFSNLAAKLHGEFDGRVKLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDVDDDKDRAREQGLEPNGFSFEGADSNGVDYALDRAITTWYDARDRFHSLCKRVMEQDWSWNRPALDYMELYHSARKK >SECCE6Rv1G0381090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:29391009:29394486:-1 gene:SECCE6Rv1G0381090 transcript:SECCE6Rv1G0381090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLLVNKQGQTRLAQYYEHLSLDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKTS >SECCE6Rv1G0424760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702355135:702356255:-1 gene:SECCE6Rv1G0424760 transcript:SECCE6Rv1G0424760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSEHQPIGTAAQGGGADEKDYKEPPPAPFFEAAELTSWSFYRAGIAEFLATFLFLYISVLTVMGVVGNPSGSKCGTVGIQGIAWSFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQCLGAICGAGVVKGFQTTLYQGNGGGANSVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKKQAWDDHWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD >SECCE6Rv1G0382810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:47632381:47635578:-1 gene:SECCE6Rv1G0382810 transcript:SECCE6Rv1G0382810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQQHLRSSCKKCRNGPFLGSAAALVVVVLLLSMASTASSCTEGEKGSLLQFLAGLSRDGGLAASWRRNSTDCCVWEGIACGADGSVTDVLLASKGLEGRLSPALGNLAGLLRLNLSHNSLLGGLPLELVSSDSIIVLDVSFNRLGGDMEELPSLTSARPLQVLNISSNLLTGQFPSSTTWEVMNNLVVLNASTNRFTGQIPSHFCSSSSSLAVVELCYNQFTGSIPPGLGNCSRLRVLKAGHNQLKGTLPNELFDASLLEYLSLPDNHLHGKLDGAQIIRLRNLANLNLGGNNFSGKIPDSIGQLKKLEELHLNHNNMSGELPSALSNCTNLITVDLKSNHFNGELTKVNFSSLPSLRNLDLLYNNFTGTIPESIYSCSKLAALRISGNNLHGQLSPRIASLKSLAFLSLGFNNFTNITNTLRILKNCRNLTSLLIGGTNFKGESMPEDEIVDGFQNLQVLSIASSSLSGNIPLWLSKLTKLEMLFLQDNQLSGPIPGWIKSLKLLFHLDISHNNITGEIPLALMEMPMLNSDKIVPRLDPRAFELPVYATPSRQYRITSAFPKVLNLANNNFTGVIPEEIGQLNSLVILNFSSNSLSGEIPQQLCNLINLRVLDLSSNHLTGMIPSALKNLHFLSAFNISHNDLEGQIPDGVQLSTFSNSSFEGNPKLCGHVLHRSCDSTEGPSGFRKHWSKKSIITITFVVFFGGTAILFVLGGLLAAFKHTSFITKNRISNNGDVEAISIETGSEESLVIVPHGKGEESNLKFADIVKATNNFHQENIIGCGGYGLVYKADLPDGLKLAIKRLNDDMCLMNREFTAEVDALSMAQHDNLVPLWGYCIHGDSKFLIYPYMENGSLDDWLHNGDGDTSSFLDWPTRLKIAQGASRGLSYIHGVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLIDSQTHFTTELVGTPGYIPPEYGQGLVATLRGDMYSFGMVLLELLTGRRPVPVLSSSKELVNWVQEMKSEGKQLEVLDPTLRGTGYEEQMLKVLEAACKCVHHNPFVRPTIQEVVSFLESIDAKLQTQNSVKIESG >SECCE5Rv1G0336710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:586152852:586157481:-1 gene:SECCE5Rv1G0336710 transcript:SECCE5Rv1G0336710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAAMAPLYFALGLGYGSVRWWKLFTPDQCDAVNRLVAYFAVPFFAFDFAARMDPYALNYRVLAADALSKLAVALALAAWAAASTRCCRAGAKGCGELASSWCITGFSLATLNNTLVVGVPLLDAMYGGWARDLVVQISVVQIIVYFPLLLLAFEARRACGGGGAGKPGAEAVGVSASDDDIEDGGAEERRRREPVWPLVRAVWLKVARNPNVYAGVLGVAWSCVTNRWHIVTPSIIEGSVLIMSRTGVGLAMFSMGLFMALQEKIIVCGAGLTALGMALRFVAGPAATAAGAVALGLRGDVLRLAIIQAALPQSITTFVFAKEYGLHADVLSTAVIFGTLASLPVLIVYYIVLGFVG >SECCE5Rv1G0332590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:550083583:550085385:-1 gene:SECCE5Rv1G0332590 transcript:SECCE5Rv1G0332590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGDGSFHRKEAISAVQDVDQYYGDDDDYDDLYNDVNVGDGFLHASQPPVQPPPPALPPKQHQLPPQQAPPPPQQQQVLPTPSLPLPPPPPPMGHPEKVHIPGVASVPAPIQDRPNPSHLPPPPQPPVAAAPPPPPHHQIQSGGDGFHRQGGGNFGGGPIVVGNGGGGDGPGATTLFVGDLHWWTTDADLEAELVKYGHVKEVRFFDEKASGKSKGYCQVDFFDPAAAAACKEGMNGHPFNGRPCVVAFASPNTVRRMGEAQMKNHQPMGQQNSGMQKSGGRGGGGPPGGPPGPQVGGNYGGRGGGGAGGGGGGGGGAGGGNWGRGPGGGGMGGRGPGGNMRNRMGPVGGRGIMGNGGMVAPPPPMMHPGGMMGQGFDPTGYGAAMGRMGGGFGGFPGGPGGGPFPGLMQPFPPVVAPHVNPAFFGRGGGMGAGGVGMWPDPSMGGGWGGEEQSSYGDDAASDQHYGEGGSHGKERPPEREWSGAPERRREREKDLPPPPELPERRHRDERDMGRERERERDRGGDRERERDRGDRERERDRGDRDRHRDDRDRHGDYHRHRERDSDRTEDWDRGRSSGRRSRSREVDHSKRRRMSHE >SECCE2Rv1G0109260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:673989399:673991262:1 gene:SECCE2Rv1G0109260 transcript:SECCE2Rv1G0109260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRGALLFLVVCAAARAASAGDGPLPNGNFEDSPDRSQMDGSRVTGENAIPQWKITGHVEYIESGQKQGDMILTVPEGSHAVRLGEDGSIHQQLFVTPGTQYSVTFSAARTCAQYEKLTVSVVPGDASDEISIQTVYTSSGWDSYCWAFQATDGVMTLTIHNPVHEDDPACGPMIDSVAIKTLYPPQATGNNLLRNGDFEQGPYITPGSPFGVLVPQRDETHISPISGWMIMSYSKVIKYVDASHYAVPQGSYAVELVSGGEAALVQEVETVPGSACKLEFSVGNAGNRCESSDEQPMRVLVSTAGASKTVVHRSDGNGGGTTRASLEFTASHSRTKVVFSGSSYHTKSDSSGSRCGPVVDDASLVCVPPAPARRLLR >SECCE2Rv1G0111390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:700434187:700435420:1 gene:SECCE2Rv1G0111390 transcript:SECCE2Rv1G0111390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCESRQGLKKGPWTPEEDKLLVDYVQANAPGNWRMLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFTPEEHKSILQLHAIVGNKWSMIAAQLPGRTDNEIKNYWNTNLKKQLRQAALVGEQHPALASPGASAGTSCLAARHTAQWETARLEAEARLSILSTSAATTSVTASSSSSTAAAGGEHGADAPSDVFLRLWNSEVGDTFRRKSAGPAAREGPAPREGAQLLAAAPLPPPGDDSSAASNVTTTVTAEEYQVFLEMAAEEMGLFHGGFSLYPPADGLFAEFQ >SECCE7Rv1G0468920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104307324:104308589:-1 gene:SECCE7Rv1G0468920 transcript:SECCE7Rv1G0468920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATPNSMLLHRPSPRAVAAAPSARAPARAARIRCQAVAAPSSPFVAAAGAGERGVYNFAAGPATLPLSVLQRAQAELVDYRGSGMSIMEMSHRGKEFDAAIKKAEADLRALLAVPDTHEVLFLQGGATTQFAAAPLNLCGSPSDPADFVVSGSWSDKAFKEAKKYSAAAVAWSGKGDKYTSLPEDFGALAQNPEARFLHICSNETIHGVEFKDYPEPRNKSGVLVADMSSNFCSKPVDVSRFGLIYAGAQKNVGPSGVTIAIVRKDLVGSAQPITPVMLDYKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNQHKAGILYDTIDASGGYFICPVDKPVRSLMNVPFTLAKGADFEKQFIAEAAKEGMLQLKGHRSVGGVRASIYNAMPLSGVEKLVAFMKDFQARNP >SECCE3Rv1G0181260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:609129104:609131666:-1 gene:SECCE3Rv1G0181260 transcript:SECCE3Rv1G0181260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQAPPSWVPGPDPAHPAPTTFDEASMERSKSFVKALQELKNLRPQLYSAAEYCEKSYLHSEQKHIVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQVSEVSTVELKVASLNQQVLTCQTYTDKEGLRQQQMIGNATRHHKHYIVPTAGNKRMQAFSEMQTDAEFDLKPKPYPSEKTLFWHLASEKNAKTNGEHQSELGHGETKTTKPTSSGGFNLTGKELSVSSLPKRLQSNVSSSDIVTRNIGMKDQPGARHLASFSSLDNPRGRQIQKTPVRTKSMLAAFFVRHRSGKIKNVSVR >SECCE6Rv1G0384490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:63851228:63852493:1 gene:SECCE6Rv1G0384490 transcript:SECCE6Rv1G0384490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFTRFDVEKFYGTGNFGLWQTRVKDILAQQGIFKGLQETKPAKVDSDVWEDMQVQAAATIRFCLADQVMYHVMDEESPKEIRDKLANRYMSKPATNKLHLKQKFYGMKMQEGSDLVEHVNAFNQLVTDLARLDVKIEDQDKALLLLVSLPPSYEHLVITLTHGKTTVNNEEVTAALLAHELRNQKNAIEESTQGLGLAVKGYQLRKGQEAEKKKKKKVQCYRCKDWGHIKRECPELKGGASANAATHGDDSDSSSDVLVVSNRRSTKTKAWMLDSACSFHATPNREWFSSYKSGEFGLAYVGDDTCYHVARVGDIKINMFDGVERMLRGVRYVPGLRRNLISLSVLHDGGMEFRCDRDKNTMEIMEDGATVMIGERTGSHLYKLQESTIAGGAMKSGAAGVAMESHDGGGSDPSGSSQ >SECCE3Rv1G0191250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747540761:747541946:1 gene:SECCE3Rv1G0191250 transcript:SECCE3Rv1G0191250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLAALVVLAAFLAGPAACEGASICFNGWLRLPTYNPRLCARGSRTGSLPRQRGPSPSGSGLGYGYYDNRWSRSYCPRAEGIVRGAVRDAVAAYPGIGAGLIRLFFHDCFVRGCDASVLLTTTNSNNSDTEREGLPNKNSLRGFEVINAAKAAIEAACPGRVSCADIVAFAARDASYFLSNRRINIQMPGGRYDGRESFANETDQLPGPFSNVTELQASFAAKGLTSEEMVTLSGAHTIGRARCMFFSSRFSEMHPAFAAKLRAQCNGSDDTNVNQDHVTPYVLDKQYYRNVINRKVLFTSDAVLNSTETMTQVKENANRAGAWESKFERAMENMGKIGIKTRGDQQGAEIRKVCSRVN >SECCE1Rv1G0004040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16063020:16064930:-1 gene:SECCE1Rv1G0004040 transcript:SECCE1Rv1G0004040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKPSSSAAAAKKSQAAQQGGHAAAPSGLARYLDPEAPWDKDRLLDAVHWIRQAVALACGLLWGAVPLVGAAWIVLFVAISSGIVYWYYAQLLKVDEEEFGGHGALLQEGMFASFTLFLLSWILVYSLVHF >SECCE4Rv1G0254000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:631945323:631952242:-1 gene:SECCE4Rv1G0254000 transcript:SECCE4Rv1G0254000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTTPAEPDGRRRWATAINAVLVALVLIVPPLFFLLGGRNGEKPAVWIKTAVGDLRRGPDEVSLLNKNAAAHADKLLGGLLVEGLDQESCRSRYQSAVYRRHPGRQPSQHLVSKLRGHEALQGRCGPGTAAYTNALEQLKSGKSVASPECRYLVSISYRGLGNRIIAAASAFLYALLTHRVFLVDPSNGMDELFCEPFPNTTWLLPLGFPLVSYQGFYLSTPERYGKMREDGVLRTGEVNGSAAGELPAFAYIHLDHNQTDHDKLFFCDDDQRLMSSIQWLVMRTDGYIAPGLFLVRAFQAELDALFPERDAVFHHLGRYLFHPTNRVWGLITRYYDAHFARARHVVGIQVRVFPWQADSPELLEQIKMCTQTQKLLPAVLGEEDGEPAAAPGGAAKPTAILITSLKAWYYDKIKEAYWERATANGEVVVVDQPSHEETQRYHVRSHERKAWAEVYLLSTADTLVTTAESTFGYVAQGLGGMRPWVLRMGMINTTVSWPCSRDMSMEPCYHVPPVYDCRRREDAGEIMPHVRHCEDWPTGLKLVDPKD >SECCE2Rv1G0115640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:753894326:753899406:1 gene:SECCE2Rv1G0115640 transcript:SECCE2Rv1G0115640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVPPPDLNKNTEWFMYPGVWTTYIFILFVSWLLILSIFGCTPGMAWTLVNLGHFAITYHFFHWKKGTPFADDQGMYNRLTWWEQMDNGKQLTRNRKFLVVVPVVLYLIASHTTDYQHPMLFLNTIAVTVLVVAKLPNMHKVRIFGINAGN >SECCE1Rv1G0043220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:590974466:590974699:1 gene:SECCE1Rv1G0043220 transcript:SECCE1Rv1G0043220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRGDLVEHHWQLDGRRTGP >SECCE6Rv1G0399490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:440793767:440797957:1 gene:SECCE6Rv1G0399490 transcript:SECCE6Rv1G0399490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTTHGSGGDDEVAEAAGTTGPTLRHRHGHAGKGAGEAANGGASPEDASVEQVFADKAVPSWREQLTLRALVVSALLAVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTNAFERMGLVGQPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFGMSDTIAKQATEANDAWNIKEPHLGWMIGFLFLVSFIGIFALVPMRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKILGRYFMLSFFWGFFQWFFSGGDDCGFKNFPTLGLEAYKNRFYFDFSPTYVGVGMICPHIVNVSVMLGGIISWGIMWPLIGKKKGSWYPASLPESSLHGLQAYRVFISIALILGDGLYNFVKVLIRTIAGFISMVHKNSKAMLPVSDNGSPTAEAMSFDDERRTELFLKDQIPMAVAYGGYAVVASISIGTLPQIFPQLKWYYILVAYVVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLANGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPKSMFISQVIGTSMGCVISPCVFWLFYKAFSDIGISGSEYPAPYAIVYRNMAILGVDGFNTLPENCLTLCYIFFAAAIAINLIRDLTPHKFSRFIPLPMAMAIPFYIGSYFAIDMFLGSFILFVWEKVNKAKADAFGPAVASGLICGDGIWTLPQSILALAKVNPPICMKFLTRGDNVKVDKFLGG >SECCE3Rv1G0143980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2944461:2947982:1 gene:SECCE3Rv1G0143980 transcript:SECCE3Rv1G0143980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWPRRDIASKIADLKVRAQQIGERRSRYGVENPRNHKNGSGVSAGTYDVAEHQLTERQVIGTKEPVGMTADMEKLEDWLAKSDKSCYEERAVLSIVGFGGVGKTTIAMALYQKVRDKFDYRASVTVSQNYDEDAVLMMILKQVKPKESDHENQHKTGSSDVKQIKFEISHDKLVKELQDHLAEKRYLLLIDDIWSAKTWESIRKCLPHDNKKGSRVIVTTRFQAVGATCSQGEIDFVHPVEFLNEDESKRLFKRSVSESKSIKYSEKELDQVTEEICKICRGLPLAIVTMAGLVASNPDTLSKKWEAVCKSLFPESVPSLTLDGVTRILEYCYNDLPAELRTCSLYLSIFPKGSKISRKRLIRRLIAEGFVSEKHGLSDEEVAETYFNQLIKRKIIRPVEHSSNGKVKSFQVHDMVLEYIVSKSSEENFITVVGGHWLIPMSTNKVRRLSVQSSGSEHGSSTKHMNLSQVRSLTMFRSLDQLHFHSFNNGILQVLDLEGCKGLKEKHLKDMCRMLVLKYLSLRGTDISKIPSKIEKLEYLETLDLRETDVGELPKSAGQLKRIINIFGGNKNPRRGLKLPQEINKETMKALRILSGIEIDEQSTGVEGLHQLTGLRKLAIYKLIILKDSKIFKELRSAIEYLGSCGLQTLALNDEGSDFINSLDTMSAPPRYLSALELSGNLDSLPKWISKLSNLHKLTLSVTVLRMDTFELLCDLTLLFSLTFSLSAAKQDEAIEDILEKNKSESGGEIFVPSGGFKSLKLLRFFAPLVPKLSFPEDAMPALERIEMRFEAFEGLFGVDTLTSLQEVHLRVNSAADEITKFIVEDLKTIKKPKIIVDHVTTS >SECCE3Rv1G0171700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:276891495:276894260:1 gene:SECCE3Rv1G0171700 transcript:SECCE3Rv1G0171700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLRDMALPPGFGFHPKDTELVAHYLKKKILGQKIEYDIIPEVDIYKHEPWDLPAKCNVPTQDNKWHFFAARDRKYPNGARSNRATVAGYWKSTGKDRAIKVDKRTIGTKKTLVFHEGRPPTGKRTEWIMHEYYIDENECQACPDMKDAFVLCKVTKRIDWTSENGNEVGNNNPQPQQANVAAILTVSVEQPNTAASSIIGDEHLSDAATVAIPAHTTPDGDDDIKEWLEELLDTSFDPSANTVVDSVSAQLSPDEQNAESSNLGGMAPKVELDYASPNQTVVDDSEFLLPDDIHTMLYPGSDDFTSWQQTYFTAADPFSLSNNFMEEFQMKELQLPLENNGPNLYEPADTGVVVRTRHGGTSAASVPPYKARLQQSLGRMVTSSSESINQTIKFVDNNGHLDLVTNVKHQKKHVRDITCVKQSDAGNSSGNNNQGFLRGVQRAFRGCSATGLNMLVALFMVGVAAAILHHGRHRGGISL >SECCE4Rv1G0267140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734670934:734671853:-1 gene:SECCE4Rv1G0267140 transcript:SECCE4Rv1G0267140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQRKPGDWNCNSCQHLNFSRRDFCQRCRATRSDLQLGDGRCIGGVLTSLDVRPGDWYCNCGYHNFASRSSCLKCGTIVRDFPAGQGGTGAAESGGVRAGWKAGDWICARPGCNVHNFASRIECYHCNAPREAGTGK >SECCE2Rv1G0128310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:859681012:859682913:-1 gene:SECCE2Rv1G0128310 transcript:SECCE2Rv1G0128310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEQPASARRAPTFHAHPDADARQLLGALLPRRAATARHVQQAHARLAVLGLATARVLPHILAALPRLPPLHDDASSYPLSLFRRSNTSSAFASNHLIRVLPHPLPLRLFPGLRRRNPHSFTFLLSSLSNHLDADRAGGPASPSLGSHVHALAVKAGAAGDLYVRNALTHFYGVCGDVGAMRRVFDELPRVRDVVTWNAVLAGYVRAGMVKVAREMFEEMPARDEVSWSTMVGGYVKEGELDVALAVFRDMVEKGVRVNEAAVVTALSAAAQLGLLEHGRFVHQVVHQEGMPISVNIGAAMVDMYAKCGCVAVAREVFDGMPRRDVFAWNAMICGLAAHGLGQDAVELFERFLAEGLSPTNITFVGVLNACSRFGLVAEGRRYFESMAEKYSVEPEMEHYGCMVDLLGRAGLVQEAIELIEGMPIAPDPVLWGTVLSACKKHGLVDLGVKVGNKLVELEPAHDGHYVLLASIYAKAKKWDEVREVRKLMSSRGTSKSAGWSLMEAQGNVHKFLVGDMDHKDSVQIYNMLDMINRRLAAAGYVPDVSSVLHDIGDEEKVHAIKVHSERLAIAYGFIVTEVGNPIRIVKNLQVCGDCHEFSKMVTKVFSREIIVRDGSRFHHMKEGKCSCLDYW >SECCE2Rv1G0089800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:262432544:262434954:-1 gene:SECCE2Rv1G0089800 transcript:SECCE2Rv1G0089800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAAMNVAVTQLCARGRRRRPAGLRLDLRWARLLRLAVATRVVRLVWDQLLACSSCGGGGAARYRRLGPPHGPDVLTPVAMDDDAGAAADAHADADAADVEDVVGLKVSLLGDCQIGKTSFMVKYVGDGEEQNGGLQMTGLNLMDKTLAVRGARLAFNIWDVAGDSQSADHVPIACKDAVAILFMFDLTSRCTLNNVTDWYERARKWNKTAIPILIGTKFDDFAQLPLEMQWTIVDEARAYARAMKATLFFSSATHNINVNKIFKFIMAKLFNLPWTVERNLTVGEPIIDF >SECCE7Rv1G0519170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863752357:863754390:-1 gene:SECCE7Rv1G0519170 transcript:SECCE7Rv1G0519170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPFLHLLLPLLLVVSGAEPTGGSCGGGAESCGDIVLPFPFHLNSSAACAGVDGNSSALFRLSCDNGAGHNATLTLTLGAAAFRVLEFLPLGTSLLLDYAAPAAPPCDPGYAAFSRPSSPAAALDAAASFLAVAPANVLRLYACEDSSLCRAGCDDVAAPACAGKKPAAAGCCYPLSDGSVWKPGDGLGVFAGFGCRGFSSWVKNRSSATAGVTRGIEVEWAVPRGSALAACADGARLVNSTTVRGGVRCVCASGLVGDGFAHGTGCSKRLECGDGDGAACCQGRFCSKKAVALAGFFVSVFFLAAAVSFWLFLRQPSGDVTHRWDLDPACIPKILGGVCNARQFTYEQLDAATRRFEDGGEKPVDAEGAVHAGVLDDGTVVAVQRIGYETQDKLRVALDAVSLLPEVSHPNIARVVGFCLPEDPGARALLLVHEHFAGGTLEDHLRRTVGGSRATLGWHHRVNIAIELASALAYLQAHDTSPTFLHDLRSSDVLLDAELTAKIAGHKLVSSTPAATSYHHHYYGSSSSSTAGAAQEQDVVCNFGLLLVELLTGLRHQHPLDSVAPKVRDGRLHEVIDPTLLLASSSGKKSQGQLPAAAEEVRKVLELAVRCLLSAENGVGMVAVARELMHLVRDNMGSSSKIEISLEETFSSSSLLQMISMSPDTLHRHLPI >SECCE2Rv1G0138650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:922368945:922369496:-1 gene:SECCE2Rv1G0138650 transcript:SECCE2Rv1G0138650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRANSRMQSRARVDIPVFIRPRCRAGVDRRVSHTTRNKDRLFYVCSENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTVVAATRAPEEEMEGKEHTDRELVVELRMLKKKVRKLEDQAQIAIPIYNYFWAVVGMVIALVVMLKMYEKA >SECCE1Rv1G0011020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:70489212:70489508:-1 gene:SECCE1Rv1G0011020 transcript:SECCE1Rv1G0011020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTDRESPLRGLSPSMKQSTQNWYGQGESDCLIKTKHCDGPCGC >SECCE7Rv1G0497380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:624728176:624732438:-1 gene:SECCE7Rv1G0497380 transcript:SECCE7Rv1G0497380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPNLLRFMKPFIEPVHIKKYAGQRVGIDAYSWLHKGAYSCSMELCMSPRSAGARRYISYFMHHVNLLRHHKVVPVVVFDGGSMPCKSATDEDRHKKRELSLVLGKEKLEQGNTAAAIDLFRKAVQITPSMAYQLIQILKTENVEFVVAPYEADAQLAYLATLDADQGGIAAVITEDSDLIAYGCTAIIFKMDRFGNGEEFIMEKTLETVKDGLCFQDFDQNLFTGMCILAGCDFLPSVPGIGTKRAYSLISKHKNIDLVLSTLKVDKRYSVPDDYIDSFWKTLAVFNHARVYDVKSKSLKHLKPLDERYLNYLAGDLDILGPALAPSMAKAIAEGRLNPVTMETFDEFSRTISPIEFIDTPALNVANQHGSQEILTQESSITICSSEESRDDIIAFAVDEITSGEQKRNKGVLALGKFLPQKHSSPAVECKEVKPKNTPDNNPFKKRKLPTDQGQVPDQNELLIDLDEEAVVLCSSLSRESVGPAESLDRNGVSAGLCRPLTQESVAFIPNERSSKRQSQQKAFRNKTNTNKDERSGLLKFFMRL >SECCE2Rv1G0102120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:573234794:573236038:1 gene:SECCE2Rv1G0102120 transcript:SECCE2Rv1G0102120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASFIKAPAGQNPRLAIHAAGRSGRMVRCSLGAAVGGRTEWLSNCAVLSSKVAALGPYSVNGHAAPAPAPNGAVLDLIPVSGVNGAAKNLPAPLRIADLSPAPMHGSELRVAYQGVPGAYSEKAAGKAYPGCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKENITRVISHPQALAQCEHTITRMGLNVVREAFDDTAGAAEYVANNGLRDTAAIASSRAAELYGMEILADGIQDDCGNVTRFVMLAREPIVPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDITLTKIESRPHRHRPIRLVDDANRGTAKHFEYMFYVDFQASLAEPRAQNALAEVQEFTSFLRVLGSYPMDMTPMTAGSSSTVTSSDS >SECCE7Rv1G0462180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:43421084:43422743:-1 gene:SECCE7Rv1G0462180 transcript:SECCE7Rv1G0462180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRSASRLLGSTAAAASSSSCTEPPRFELPRFELPRLEPPPPPPPAPAHESGAGFVGPKTSSGGEPCCELSRSPWDLMAQLDLSDPQVEKLFVETCFMSVSWRGSWLFPSSIIMPAGSIKEEEDLAVDMVDGIILKLRKAAINMESMKNQSRQKTNKGLKVKKGVWTCRKNDGKRWCCRWPVSEPNSYCSYHLDQKPPVSDKPRRKRPDVDVGEGFYYYAGFGPGTKRRRTSSSNSVLEPPLPAEPLKEEAPDEMQLDEMQLDFGAGEVQAANESDHKVVLPPSALIVDEPDTAETAGCDKESSDNAMPEPPLPAKPPKEEAEMQLNLSAGQAQADDSDHQEAPASVRVVDKPRHKEGTTGIAGWDEESSDDEGLGCNGEQPRHITKRKNDPLKKRFRKPVKARSLKSLMMS >SECCE3Rv1G0209000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936058557:936060469:1 gene:SECCE3Rv1G0209000 transcript:SECCE3Rv1G0209000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGSSDAEAELRRGFKALAVTRPDPAAAVYEVRLSRPAQLNALSPDSFAEIPRAMALLDRIPAARAVVLSAAGPHFCAGIELGGPGNPLTAPPARGADPAAAAEGLRRGILGMQAAFTAVELCRKPVIAAVHGACVGGGVELVAACDIRYCSRDATFVLKEVDMAIVADLGALQRLPRIVGYGNAADLALTGRRITAAEAKEMGLVSRVFDSKQELDAGVAKIAKEISEKSANAVMGTKAVLLRSRDITVEQGLEHVATWNSGMLRSNDLMEAIKAFMEKRKPVFSKL >SECCE4Rv1G0264520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:721081947:721088302:1 gene:SECCE4Rv1G0264520 transcript:SECCE4Rv1G0264520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFHGVFIRGFHQIYSAVGSSPPRSTRHTPIRTAAVTASTRTDPPGRPATMEEELHALLRDLDALKQLPDPASIDRMRDRVVKMMGPAGAAAATRSKIKDMSAEVVDSNPYSRLMALQRMGIVDNYERIRDYSVAIVGVGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPDQVGMTKTDAAVQTLSEINPDVVLESYSLNITTVKGFETFLGSLKAKSSNGRSTGVDLVLSCVDNYEARMVVNQACNELRQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKRDGVCAASLPTTMGVVAGLLVQNSLKYLLKFGQVSPYLGYNSLKDFFPTMEMRPNPQCSNPACLERQKEYMQSKPARDAAAKAKMEAEASVAIEYPVHMDNEWNISVLDSDTATSSVQRAATDILPEGLVRELPDEDSYIEPPAPASSGAIDDDLEELQRQLDALNSS >SECCE4Rv1G0286520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849520211:849522651:-1 gene:SECCE4Rv1G0286520 transcript:SECCE4Rv1G0286520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLIDDVTAEILLRLPPDEPEHLFRAALVCKPWLRILCDPGFRRRYRAFHGAPPLLGLLHRLRVIDGDAPARFTSTTSVPDFPHPSSDGRRTRPLDCRHGRVLVDMLDDVDYLVWDPITGDRHAVPVPDIEWLIESAAVLCAAHGCDHLDCHGGPFRVLFAATHEYKDTICASLYSSETGAWSEPVCLDNSCETFAKHMRGGRAYTCRPYYTPYLHPKRGTLVGDAVYFTVRLDNTIVKYDLSKNSLSMIDPPPHAMYYIALMAMEENNSLGFAYIEDSSLYIWSRKMDTEGPAEWVQYRVIELEKTVPVAKQDEEPNVVGSAEGVGVIFVSTGAGLFMIKLSSGQVKKVDGPGVYFSVLPYMSFYTPDRGRMLSIAGEDKLIC >SECCE1Rv1G0047290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:623867645:623869330:1 gene:SECCE1Rv1G0047290 transcript:SECCE1Rv1G0047290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNHANDAARDNGSSLGLRPLDAGEFRRQGRQVVDFIADYYDRIDVYPVRPAVAPGFLAQQLPDTAPSWPEPDALASGLRDVRDLILPDVTHWQSPRHFAHFAATASNVGALGEALAAGLNINPFTWAASPAATELEVVVTDWLGKALHLPYKLLFSGGGGGTLLGTSCEAMLCTIVAARDRKLAEVGGEERMGDLVVYCSDQTHFSFKKAAHVAGIRRANCRVIPTYRASGFMLSPAALQAAVRADEAAGRVPLFLCATVGTTPTAAVDPLRELCAAVAGHGMWVHVDAAYAGAACICPEFSHIAAGAEAVDSFSTNPHKWLLANMDCCALWVRHPTALVAALGTDDDVILKDAAASAVVDYKDWQVALSRRFRALKLWLVLRCHGVEGLRGFVRAHVRMAAVFEAMVRADPRFEVPVPARFGLVCFRLRPATDAADDGMAQATTNELNRRLLEAVNATGRAYMSCAVVGGMYVLRCAIGNSLTEERHVREAWSVVQEQADAVLTPAGAGVAPAARSKGRMVEDVRRMESSVHEKWRSSPRTAYHVLRSYALAFLLGK >SECCEUnv1G0533640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:32192056:32195192:-1 gene:SECCEUnv1G0533640 transcript:SECCEUnv1G0533640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALPARLLVSPEPGGRRRRPHRRSLRPISAALMTNPAYFEVGRQLGSYGFMNITSYSSSQSGGLPNDAGNQDLSLGYSPEEIERLRVQDIGEGEVKIRLYEGRVVQGPFKGTQTVFKVYPGAIAGASEANLMALNELRTHAFLQSDARVICENIQFLLGAFETATGEQWLAFRDDGRYSAADYAKITSERQLKERPNFWNPYDRAYKLELRRYFVLRLLNGAMCGLVHMHNHDRLHQSLGPSSVVLNTVAEKNGYYLLPQLRDLAFSVDIGYSSVGVGALSDGLWRRASAAGASTPLEKRAFGVADDIYGAGLLIAYMAFIPFCEAGIMDGISLQRLLENTFRLDIYAAREYCLEDDKLSEAVNFLDLGDGAGWELLQVCLYDYS >SECCE7Rv1G0468410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:97717788:97719337:1 gene:SECCE7Rv1G0468410 transcript:SECCE7Rv1G0468410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKAISLYCCVPAAFLLFFAHLNNPTTSFLRALLDLATPSLPFNLLTVHYFSNYHRHHGSYNPLCDDFPPDFPPPDTAATSIFCVDPNGCCDFTTVQSAVDAVPRSSQKRNVVWINKGIYFEKVTVPATKPNITFQGQGFDLTAIMWNDTANSSHGTFYSASVSVFAAGFVAKNISFINVAPIPRPGDVGAQAVALRISGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRLISIADPVPAGVRSITGSVTAHARQSSEENTGYSFVNCSIGGTGWIWLGRAWRPYSRVVFAYTSMSEIIASDGWNDWNDPSRDQSVFYGQYKCTGDGANLAGRVPYALELSDTQALPYLNTSYIDGDLWLKPFCDSLISA >SECCE5Rv1G0311180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:188209939:188216696:-1 gene:SECCE5Rv1G0311180 transcript:SECCE5Rv1G0311180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRRDLQLDGQPPAALLDGGLDGDVPRRVWVPGPVIVGAGPSGLATAACLKARGVPSLVLERDACVAASWRHRTYERMRLHLPRDFCELPHAHFPPGTPPYPTRDQFIAYLDGYARAFAVEPLLGARVRAAAYDAGIGFWRVTVEVSCAAAGKDAMTTTATEFMSRWLVVATGENAEPVWPDGVEGMDVYRGTVMHTSTYKRGDEFAGKKVLVVGCGNSGMEVSLDLCNNGAKASMVVRDKLHVLPRDILGFSTFGLSVFLLKWLPMKWVDALFLFFSRLILGDTEKYGLQRPKIGPLQIKKSTGKTPVLDIGALRKIKDGEIKVVPAINRFTESGVEFADGRKEDFDAVILATGYKSNVPSWLKEEEFFSSDGFPRMAFPHSWRGKNGLYATGFTRRGLMGSSYDASRIAADIANQWTEALARNITAHNNA >SECCE4Rv1G0231620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:186545500:186547260:-1 gene:SECCE4Rv1G0231620 transcript:SECCE4Rv1G0231620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLLFLLLLLACPLFFLELPSVSGNPTVHDDLDPAQVTNPTTPITVPSTNPTPTIITVPSTNPTITIPSLNPLPTPITTPSNDPSTTSPLPTPSTSAPNTPVTIPVTTPSTFPPSAPLTNPATNPMVPTVGTTPPTAPTTTPVTAPAVSGQQAWCVAKAGSSQTALQDALDYACGIGGADCSPIQPSGSCYYPNTLEAHASYAFNSYYQKNPAPSSCDFRGAAMLANANPSSGTCVLASSMSSPTSSTAGSTAPTTSSTSPVTSSSGSDPGSSVLNSSGSGISGSSDFGSDFPGEANTGNGWQSILPSGWSWAGLFSTFALPYVGGIF >SECCE2Rv1G0116900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:768549657:768551769:1 gene:SECCE2Rv1G0116900 transcript:SECCE2Rv1G0116900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVAKSQPMLSMPSSVPSNLMPSSVTSKRHPCSSASVTYISRRKLVEVVDGLLGVMTPSSTHIKPSVHSDSDGPPDEVSRYKAWLATCPSALASFDRIIASAQGKKIALFLDYDGTLSPIVNDPEKAFMSPEMRAAVKNVAKFFPTAIVSGRSRDKVFEFVQLKELCYAGSHGMDIVLSSADSESKTEDDKEAKLFQPASEFLPMIIEACDSLAEATRSIRGANVENNKFCVSVHYRNVDKQDWDAVAQLVNDVLKSFPRLKLTTGRKVLEVRPVIDWDKGKAVEFLLQSLRLDDPGSVLPIYIGDDRTDEDAFKVLRERNCGCGILVSQGPKETEAFYSLRAPSEVMEFLESLVRWKEQQQPAHDQDQE >SECCE6Rv1G0417990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:660534500:660538693:1 gene:SECCE6Rv1G0417990 transcript:SECCE6Rv1G0417990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPARRLSALSLLLLLLTLTAASSAAAAAEEEFTEELLLRPLPDRKALAHFHFRSSTPPHTAASGRHHHLFPKAISQLVQKFHISELELSFTQGRWNYETWGGFDPLSTDNAKPPGVELWAVFNLPFADIDATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKSNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTSSQTRGIILDQSLTVVLQPNTSKSKQLDSTDGELQPSWSMEHLFNRKLLGKCLVSKSSRIFVEIEKGILVKSGSEVSWSNKFFELSTAPDRVLKELDHLEVQSSSLYEYDLSNYNDDTPLDVGITWKLPHIWSCSPAPYNVRRFLMGSGNERGSIALSFLSTDVHKQLPGSSNDCSTKAVVLQIFPWYVKVFYHSLQIFIDGSSKVVSEVLDMIHVTPSEDKLSPGTLEMLLRFPCGMQSATLILDFDKGFLHIDEYPPDANQGFDIPSALVSFPDFNSSRRYPEVDPMFVSPLLENLKEDNVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNSLRRRIGEEECRLRKAAVKPALIPLLLARLRGHKVDPSESESSPASPVRLKLLVKVALVAAVAVVFHYMSNS >SECCE3Rv1G0152230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:45548364:45553864:-1 gene:SECCE3Rv1G0152230 transcript:SECCE3Rv1G0152230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDEGGGGPPGAPNGGDAAVATRPKTKGKDGGGDGAGGDDSEQSKLRAGLNSAIITEKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGTRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDAKARQHMFKVHLGDTPHSLSESDFELLGRRTEGFSGSDVAVCVKDVLFEPVRKTQDAMYFFKTDGDMWMPCGPKQPGAVQTTMQDLASKGLASQILPPPISKNDFEKVLARQRPTVGKKDLEVHEKFTKEFGEEG >SECCE6Rv1G0431940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749015955:749016290:1 gene:SECCE6Rv1G0431940 transcript:SECCE6Rv1G0431940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVCISPAILALLLLAGLRANAAAFAGGAAASMDAAVAVRQLTPTLSSMKLEDGVMPELAVDLEVHRRILLGIPQRTANRNRPACLKNGCPADGGAYTDRGCLKKYGCH >SECCE7Rv1G0458010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19640681:19644055:1 gene:SECCE7Rv1G0458010 transcript:SECCE7Rv1G0458010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAAYSATSIVVVMAKDKGRGKGTKGGAASSSAAANKVDRRPPRITSNVKQNLRIVKFWKDYERRQTTGPQPATRFRKKKVMREVLPDDTDFYEDPSATLTCTNDGSLEIASPVILVDGYNVCGYWGKLKTDFLNGNQGIARQMLIDELVSFSAVREIKVVVVFDAALSGQSTHTETYKGVDVVYSADLSADCWIEKEVEALVADGCPKVWVVTSDVLEQQLSHGEGALIWSSKRLVKEIKESEQELDEELKEIRSTSLQGKIFQHKLKPKVVQGLKDLRNRLEEQERKR >SECCE1Rv1G0050900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:650223729:650225234:1 gene:SECCE1Rv1G0050900 transcript:SECCE1Rv1G0050900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAPAPPAAAAAQAPPPRIGLAGLATMGQNLALNIAEKGFPISVYNRTAAKVDSTLSRAAAEGALPVLGHRDPRDFVLSLARPRTVVLLVQAGRAVDATIDALSPYLDAGDAIVDGGNEWYQNTERRIEQAASRGILYLGMGVSGGEEGARNGPSLMPGGDFQAYSNIKDILEKAAAQTEDGPCVTFVGPGGAGNFVKMVHNGIEYGDMQLIAEAYDVLHRVGGLSNSEIADVFAEWNKGELESFLVEITADIFTVADPLDGSTGGALVDKILDKTGMKGTGKWTVQQAAELAVAAPTIAASLDGRYLSGLKDERVAAASVLEEEGMPVGLLEKINVDKKVLVDRVRQALYSSKVCSYAQGMNLLRAKSVEQGWNLNLAELSRIWKGGCIIRARFLDRIKKAYDRNPELANLIVDREFAKEMVQRQGAWRWVVARAVEAGISTPGMSASLSYFDTYRCNRLPANLIQAQRDLFGAHTYERIDRPGSFHTEWNKLAREGK >SECCE5Rv1G0373340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:857397111:857401137:1 gene:SECCE5Rv1G0373340 transcript:SECCE5Rv1G0373340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMDLDLNCAPPEPEPAPQDHRLGHAMLRQEQAYRHQVEDLHRLYWAQRNLRPDVPFWEQSHDALYPTRSMPMATSSQSQSQSRIHMIDPDLSRGKQAVWCGNGVAGNLGAEGSSGRRKPDHGGGVQGRSGYRRMIDLEKPATSEDDDDDVEILSPSRFSDYANRNAGSVDNSQCYLRENAAAHVRFGSTGSSDTPDSHSPVKAKATASGRMLIDLNIAQEDDLNVCPDSSKNVFSSLLASSRTMQSGEGCSNSSKAFHVGGESSIGSSKGSSITVAASMSAPDSTREVMARGICDPQSSCKPFRVEASNHDVRLRGNIQHQHTLDNASGMSSQASMEIPREDISVRASGRHFSSSSSDLQKIGGPTAVYRECQEESLAVICDDEIEGFDLNVSVGSIELPSMMDSSPREKHTSSDGVDKLLSHYFTEEKVQENISSLECPTIIDQQHMAESMDGKSVRSPDSGVATNRSMSIPETPQGRDYACPRLRSSSNGEPNSMNAPITYQVVTEDELLASTAAQTLISLFTDSAAWITDSHCSNNQADAQDGGDEPQVSLDSFEEGVMNLEALRDDGDSVAVRAPDKDGPSCGIKLRRGRGMRDFQREILPGLVSLARHEICDDLHAIGYEIRKTRQRRAPGDKYGPSTRSRLPRRCSNAWN >SECCEUnv1G0550270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:214477669:214481845:-1 gene:SECCEUnv1G0550270 transcript:SECCEUnv1G0550270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSGRASARGGGDRLPPEPQRDPLEFLSRSWSASAADVSRAFAAAPAAGAIAEDIAGELDDAASAGTGTGNSFSFASAATSQLIMDRIMSHSQEVSPLTSGRLSHSSGPLNGGGSFSDSPPVSPDVDDSRFCRAVSTPKAQPPRGGSKTVGRWLKDKKEKRKEETRAHNAQVHAAVTVAAVAAAVAAAAAATAGSGGKDDRAARTDMAMASAATLVAAQCVEAAESMGAEREHLAAAVGSAVNARTPGDIVTITAAAATALRGAATLRARVQKEAWNVAAVIPVEKGSMGMGGHNHGHKHGAAQRQIQQQQQHHKVQEMGSSNSSSFSDDLPVIDQDENNFLGICCQELLARGTELLKRTRKGSLHWKVVSVYINRTGVVMLKMKSRHVAGTITKKKKSAVVDVCRDLAAWPGRHLLEGGEHRRYFGLRTAENRVIEFECASQREHDMWTKGVARLLAIVDGRKRFA >SECCE5Rv1G0365750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:809128928:809132415:-1 gene:SECCE5Rv1G0365750 transcript:SECCE5Rv1G0365750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMGKTSPYAVSFLVRFIYGVMQILTKVAFNQGTSTYVLVFYRHVIATMLLLPIAFATERKTAPQLSHKVCLKLFVHALYGVSASLNISFVGLNYASATSATAVQNVQPVLTFFLALLLGMESLKLKSFHGIVKVSGIVLCAAGVAVLALYQGPELKSIIHHPLFHHTSRVDIHASRSWILGILLQSLATLLFALWTVFQGPLLEEYPSVLLNMTLQIVFATVQSFFMALVMERDFSRWKLGLDVGLVAIIYSGVVVSAFSNYLLIWVIDKCGPVFLAMTVPLTFVITIVLSLLIGEAVTLGSVISGALMVGGLYNVLWGKRIEQVALSKQGGMEANAASFDFDEEESGAPVPLTRDTNKRCERED >SECCE1Rv1G0005530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:26099078:26101341:-1 gene:SECCE1Rv1G0005530 transcript:SECCE1Rv1G0005530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENRGHVAANGDGLCVAQPARADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTMEGASLTIAMVAAVAAGSDTRVELDESARGRVKESSDWVMNSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGNGGHEHVLPAAATRAAMLVRVNTLLQGYSGIRFDILETVAALLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRANSVATAPDGSKVNAAEAFKIAGIHHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANILSLLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMALAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDLYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFMVALCQAIDLRHLEENVKDAVKSCVKMTARKTLSTTNNGHLHNARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVDHALANGEAERDVETSVFAKLAAFEQELRAVLPKEVEAARTSVENGTATQQNRITECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFVAMNQGKHIDALLECLKEWNGEPLPIC >SECCE1Rv1G0001870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6515651:6519145:-1 gene:SECCE1Rv1G0001870 transcript:SECCE1Rv1G0001870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTLASVAFLVCTASLFTIAAAAGDDPSSCYARDATGTVITYRPCDPTCPTFDNYTTGSAYERSLRSLLSEVPANATPTGFFKGTAGSGPEDTLFALATCQADLPPAQCRRCLQSASANLTGYCPHSKTVMAAYVGCMLCYSDQPFFGVADTGLLFYDGVADADILGRDQAAFSRVRTALFEVLRAAAAASPTLAAVGNLTYNGTHRLYGLSQCTEDLAGAECSRCLVDMATYLPAPGARPGPDDVPWKEGASAKAYSCYIRYDLRPFYVSDIALLSPTAISPLSPPTTTTSKGNRIKAGVVIAIVLGIVVIFLLSILIVYLWRKARATKYAEKDDDAASVLFDLPTLRKATGDFAEENKLGHGGFGAVYKGSLPNGREIAVKRLDKASNQGIQQLRNELISVAKLRHNNLAKLIGVCLKGQEKLLVYEYMPNRSLDTFLFDKIIHRDLKASNILLDAGLTPKISDFGLARLFSRDKTSSFTSQVVGTLGYMAPEYAVHGHLSVKLDVYSFGVLVLEIVSGRRSTDLLESMEHEEEESITLLSYVWANWSRGTLLEILDPTLDGQAAESEALKCIHVALLCVQANPADRPTMLGVLVMLQGEISDFPVPSKPAFTFSESEMMMSSRGLDSHRDLAISVN >SECCE4Rv1G0289070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:863424385:863425473:1 gene:SECCE4Rv1G0289070 transcript:SECCE4Rv1G0289070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVVAIVLAATTSGALAMDIKDKDLASEDSLWELYERWSEHYRVARDLDDKTRRFNVFKENARMIHKFNQGDAPYKLSLNLFGDMTDEEVHHAYGRCSNIKSNGRQHRQQGRFTDNAINTRKGLPSSMDWRRRPSAVTNVKLQGAHCGSCWAFAATAAVEGINSIRTRNLTSLSTQQLVDCNKENGGCKGGFAKLAFKYIMQSGGIETDANYPYVGHEDGHCSVPKPNHNRVVTIDGYKQVAPNDVVALEQAVAAQPVVVGVDSNSTAFQRYGRGVFVGPCGTNLDHEMTVVGYGTTDKHEYKNPIRYWIVKNSWGADWGENGYIRIARNVRGQPKEGICGILTDASYPVKFSRKGGTDRN >SECCE4Rv1G0277810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:805711199:805712845:1 gene:SECCE4Rv1G0277810 transcript:SECCE4Rv1G0277810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRRALYLTRAAMPPAPPASRAFSAAAPSAKTTVQLAHLAHLPSSLPPTSHCTVTPPVQPWPRRLTPRSFSRLLLRLPTPQLAVLALRHALFRATPPLPPSIPVFAAVLSRLAGAPPELLPPVLSALRAARLPAFSDRAFLPLLRALPPLPSLRLFLSLPSFNSHPSVRSFNALLHSLVAARRLRLAAALFRAAPTKLYITPDLVSCNILLKGLVGVRDLDAALKVLDEMPGWGIVPDVVTYTTVLSAYCAKGDLKGAQKLFDDIIAGGRVPDVTMYTVLIDGYCRTGKVQDAARIMDEMEAAGVQPNEVTYSVVIEACCKEGKSAEACNLMGEMLGAGYTPDTPLAAKVVDVLCQDGKADEAHRMWRWMAKKNVPPDNTITNTLIYWLCKSGMVREARKLFDELEKGYKPSLLTYNSLISGLCENGELQEAGQVWDDMVERRYEPNSMTYEAFIKGFCKIGKPDEGAAVFTEMVTKGCTPSKVLYQVLVDSLSEPIHDDIVGKIVQTAALSGGDFLDGDSWEIFIRRVLDTKSDTWHRHLNSVLYT >SECCE4Rv1G0267430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736070731:736071266:-1 gene:SECCE4Rv1G0267430 transcript:SECCE4Rv1G0267430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQRLAAAKRCSKEAAMAGAKAAAVATVATAVPTLASVRMLPWAKAHINPTGQALIISTVAGMAYFIVADKTILSMARKHSFEDAPDHLKDTSFH >SECCE5Rv1G0317830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:354449418:354453280:1 gene:SECCE5Rv1G0317830 transcript:SECCE5Rv1G0317830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVRACMPLRTPPAAASSTATAPAAPSKPRSSARVLVLGGTGRVGGSTATALSKLRPDLNILIGGRNREKGESLASELGEQSEFVEIDTGNAAMLEKALEDVDLVVHTAGPFQREAECTVLRAAISTKTAYIDVCDDMDYSWRAKAFHEEAKAQGVPAITTAGIYPGVSNVMAAELVNAARSEDGEPERLRFFYYTAGSGGAGPTILATSFLLLGEDVIAYNKGEEIKLKPYSGVLNIDFGKGVRKRDVYLLNLPEVKSAHKFLGVPTVSARFGTAPFFWNWGMEAFAKFLPVELLRDKYKVGKLVEQIDPLVRAIDGIVGERVSMRVDLECSNGRNTIGLFSHRKLSVSVGHSTAAFVQAVLEGSTQPGVWFPEEPEGIAIESRKLLLERASQGTTNFVMNKPSWMIETDPKEVILGIYV >SECCE5Rv1G0361440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:779511805:779513187:1 gene:SECCE5Rv1G0361440 transcript:SECCE5Rv1G0361440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRKKSRQSGSGQPKLRDALKEQRLPARGYELPVAKNPSVQSDEVGPTGNPGSSGLAALAVGLARRLADGSADDNLVFSPLSIYTALALLAAGARDATLDEILGVLGARSRSELENFVSHMAADALQDRSASGGPRIAFACGIWSDLTRRLKPAFREAVVGTYKAEASSVDFRGAPETARSKINAWAAQVTRNLIDSVLPAGSINPATQVVLGNAMYFKGKWEDQPFDKRDTARKPFYRLDRSQVDVPFMQSWESQLVAVHDGFKVLVLPYKMAAPDDQEEHHDPWVHSNSDHTQFSMCIFLPDAHDGLFGLLDKIASRPGFLQDHLPQQQIALRKFRLPKFNLSFHSSVVTVLRKLGLQLPFCQEGDLSDMVEDDGSGLPIVVEDVIHKAVVEVNEEGTEAAAVTMVVAGKGCARRVWRPPPPKVDFIADHPFAYYIVEEATGAVVFAGHVVDPSKE >SECCE6Rv1G0391430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:221597381:221600602:-1 gene:SECCE6Rv1G0391430 transcript:SECCE6Rv1G0391430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L21, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G35680) UniProtKB/Swiss-Prot;Acc:P51412] MATATLPLRLLPSRTPLLHVAAFRAASTSLSVTASAPRNWRIFAAAEEAPAPVEAEAEEVVEDAAVPEPVEVQLAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDQIILNKVLLVSTRDKAYIGMPVVTNAAVHAIVEEQGLDDKVIVFKFKKKKKYQRKAGHRQPNTRLRITGISGYEEYPADPILQVPA >SECCE1Rv1G0011130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:70762883:70763422:1 gene:SECCE1Rv1G0011130 transcript:SECCE1Rv1G0011130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITNPERVRAMVACAERLGVLRGSGMLRQALQAVAFLSEEKVAAKLDYSKNTLRWSDAEVSIAVRKYPSVLKNSDGPLQRRSEFLFSKVGVEPMYIAHRSEILSYSMEGRLKPRYYVIKFLKKNGLLDHDLSLYSAVKMTEKVFVEKLICPHKEAAPHLAQDYAAACKGEVPTNFRFT >SECCE5Rv1G0304700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:61379915:61380484:1 gene:SECCE5Rv1G0304700 transcript:SECCE5Rv1G0304700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEFNRSSLAERRIFLAHYQTITYSQTSCGTNRFHLPSHGKPFSFRLALSGILVIGSVGTGRTYLVKYLTKNYDFPFIKVRGLLIPQERKHLFILSYTRGFYLEKTMFHTKGFGSITTSSSALDLVALSNEALSISIPHKKSILEKNTIRLALHRQTWGLRAKVRSARDHGTLFYQIGGALVQNRLTK >SECCE1Rv1G0005280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:24726917:24729694:-1 gene:SECCE1Rv1G0005280 transcript:SECCE1Rv1G0005280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGRLVMTLGAALAKEAATYGGALLCKEAAALRGLFGKIRQSKVELESMQAYLQEAERFKDTDKTTAIFVGDIRRLAFQIEDVVDEFTYKLEDCKHGGFTGMMKKRLKHIKTWRRLAAKLQEIEAQLQDANRRKRDYAVTGVSASAARLTNQGQALHFTRDEDLVGIEEHKERLMQWLTSGGDGQEQSSSKVTLVWGMPGVGKTTLVDHVYNTVKVDFDATAWVTVSESYRIEDLLNKIAGQFGIAVDVANIEMRGLAKSIYNYLQGKKYILVLDDVWAERLWLEIRDVFPTSNCTGRVVMTSRKQTVLATRKSAYAIHLEPLQAHHSWVLFCKGAFWNADDKKCPLELQELARKFIAMCQGLPIAIACIGRLLSCKPQNSAEWEDVYVCLNSQFAKDVIPDAHLILKVSLEDLQYDLRNCFLHCALSQEDHVLKRKKTMRQWITAGFIMEKDKSKTLEEVAEVYLAELVNRSLLQVVERNYAGRMKRCRMHDVIRFLALNKAKEECFGKVYNGSGGTGAFSLEGARRISVLGGNVEQLSLSDATQLRALHVFEKYINVDFLKPILTTSNLLSMLELQGTGIKMLPNEVFDLFNLRYLGLRDTEIESLPEAVGRLQNLEVLDAWTSKLTYLPNNVIKLHKLRYLCAGTCSSTLDFGSVGGVKVPNGIQHLAGLRALQFVKATPEFLCEVGALTELRTFGVCSVRSEHSANLSDAITRMSHLVHLDIFAAAQNEVLQLEELYFPPTLFGLTLEGQLEKTTMPQLFSSWSHLNSLTRLQLTFSNIDEGTFSCLCVLRGLCFLELKKAFEGKRLDFYAGSFPKLRHLGICGAAQLNQVGIEKGAMSNLVELWFVDCPELKFLPSGIEHLAGLQKLVMYDTSEELIEKLRQDRDSDEASEDIMRISHIRNVAVALKQKRLVERIR >SECCE7Rv1G0474480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:172559402:172560133:1 gene:SECCE7Rv1G0474480 transcript:SECCE7Rv1G0474480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFPTTSGACDGDGLPHTVKKMWTKREDDLLGEQVRRCDGPHNWDSICRGLPGRNSKSCRPRWCQHLDPRVEAVKPFTCKEDMLIVKYQATYGNRWSTITEFLSGRTDNAVKNRWNSVLQKRQEHAPSQQGQTRPWAPSAARQAASPEVTPGCLPLFPLASGDIRMSPRSTEDVSEAETCAEARECLELFPLAPGDIRGNAGAAAPSDLSCAAGDPLTELRLWPAARVVFDVMPLQAYRM >SECCE4Rv1G0278970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811613843:811616742:-1 gene:SECCE4Rv1G0278970 transcript:SECCE4Rv1G0278970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRSCVSVKPAAGPARYRSAIVGATTLEPTRLRISASSSSSFPLGSAVDGCARGVGCAASNSGSGKVDGVAGMVGDGGRARRRGGREAIATCSASLEGVHHGVAAAAVPSVPALPERAKVVALVAAVMLLCNADRVVMSVAVVPLAAQHGWSSSFVGIVQSSFLWGYVFSSMVGGALADRYGGKKVMAGAAAIWSLATFLTPWAASQSAVTLLAIRVLFGLAQGVAFPTMTTFLPKWVPTHERATAVGLSMGGFHLGNVVGFLATPIIMSHIGLAGTFAIFASLGYLWISVWLLNVESDPIDSRTIRKSELQLILAGRSKSKVKGSKSPSLREVFSKMEMWAIIVANVINKWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWGVMALSGYVAGASADFMIKSGLSVGRVRKIMQSISFIGPGVSLLCLRFAQTPSVAAVIMTAALGLNSCSQAGYFCNIQDIAPKYAGSLHGLTNGIGTVAAIVSTVGAGYFIQWLGSFQAFLTLTAVLYFSAIVFYNIYATGDLIFD >SECCE7Rv1G0509580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:782496499:782496786:-1 gene:SECCE7Rv1G0509580 transcript:SECCE7Rv1G0509580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLTKHFVTVPREFRLRNNTGCSWKVTVKLMNGRVTLDQGWATYATVHQITISYMVTFKLLTLDIPKVIIFDDDGIEVVNKCAKHDKAFAAKD >SECCE6Rv1G0420250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:676162128:676163498:1 gene:SECCE6Rv1G0420250 transcript:SECCE6Rv1G0420250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDADLDMADLASLDAPASSASTVGRFHPKVRGKAKAKTKTKPKPKSEPEVESHAAEGGLDAMEMEMEMVVGAGEGADLRDPEDEDLVVREMDVYFTPKPLDKDTKLYIAQYPLRPSWRPYELGEVCKEVRVRPESSMVEVDLEIDTESDNYDPEVSASLGLTEQTLSSSEAAADVVGYAVGVLRGNLLHLNCLDAVLQLRPSMSHLISGASHATRQPLQEVETNGGQAVPSVKGNNGRSEGSKDLTKEPEPWISLTYEPAGSDVASKYYADMMAIEGRPMDFTMSTQDYAMSLCPGGPTGINRCELLREMLSLPLEVRLKKWFTEVSQVNRFDALMHLAPACSEEDLLKILPVYADLVRGLWVCKSSLLYDDGHASKRDKILLGFTKGECIPVTYVDRLIRDERTRNMILKPLGKRREKLEDYKFIAPADSSFIRRYSHMVKEQENAWSVRLRA >SECCE3Rv1G0208250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:929286041:929291850:-1 gene:SECCE3Rv1G0208250 transcript:SECCE3Rv1G0208250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQGPDIQSLQQAVRTRWLKPREVLDILRNCELFGIQNRTPQRPPGGSWFLFNRRVHRFFRNDGYQWQKKRNGKSGNEAHEYLKVDNVKALNCYYARAENNPTFMRRIYWMLEPAYEHIVLVHYRDVLEGSISVSALNGPSTSYQNGSASRADAHSSPGLTSEIMVPLLNSRSPGSAEEVSSQILTTNNESNDTSQFDWRRMLEMQLSLENKERHDVNTGEILPNHDPIPMPEIQNEEFDNTGTNLADILYELEFSEDNRTEGSQPYRDPIDVIRNSAWLEEDQLNSILHSAPVTVDENQRFHIYDVSPEWAFCSESAKVVIAGDFPSNILWVLFGDVKVTAEIVQQGVIRCYTPYLGAGKVRICMTDENGKPCSEAREFEFVEKPTNTTINGYGKPCSEAREFEFQQRPTKSDDELLLLLNYVQMLFDSHGCELFSKFRLPLPNVQFGFPVNPSEIIGRKCEQLDRENAVNCIMEVMLNNKFEDWLSSKIEQNSEGEYLLPKQHHGVIHTIAALGYDWALKPLLSNGVPINYRDANGWTALHWAARFGRKQMVAVLFAAGAAVGALSDPTAEDPAAKTPASIAYAHGFKGLSAFLSEAELTSTLHSLESKENGNPLDHNGGVSISSAVDRISDKCAHVDGGTDDQLALKDSLGAIRNAVQAAGCIQATFRVFSLRKKKQKALQNGDSSASPSMLQRAALSIQKNFRCWKKRKEYQKVRKNVIKIQARFRAHRERNKYKELLQSVGILEKIMLRWFRKGVGLRGINSRAMPIDQDEEEDIVKVFRKERVETAVSEAVSRVSAIVGCPVARLDYRRMLEIHQQAKIGHGK >SECCE7Rv1G0465540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:70900554:70904873:1 gene:SECCE7Rv1G0465540 transcript:SECCE7Rv1G0465540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPAAAAAQAADERPEEKEMDLLLSEIPQVTSPQAHRAGGAGGAALLSQGHGGGDRRHGYAPARHHGYASPRRADDACYAVVVNRRDDGDHQGGGGGGAYHPPLRVCPAPLHPSSPFVAAAPSPLVQPVDDPEKQWLANQLRGLLVEDAPAAPAPPVGNAPADFSAAPRAAYYGYTFGAPGSSVHGEPLMNEQAMAAGYRFTLGPDVGLGGHPGGLEVNMGGFMYNRTANGTGIGWGQGLVHPAHAHPEPFLLPGQAAAEQHNWGFVGTGPIALDPRGAGAGRSPKLHCEYGVPVHTGNRYMKAGMNNQMEAFRREDGQNFDGKKNMPVLYRAKDRRFQQHANNNNNRALEMESPRMLRYENMVGVKGYIYFMAKDQNGCRFLQQKFEEGKQHVDVIFEGIIDHMAELMINSFANYLIQKLLDVCDEDQRLRIIAVLTEDPVKLLRISVNSHGTRAVQKLIETVKVRKQIVLIISALQPGFMHLVNDLNGNHVIQKCLSNFGAEENKFIFEAAATHCFEMAIHRHGCCVLQKCITSARGEYQAKLIVEVCAHAFQLAQDPFGNYVVQYVLDQKIPSANAHLASQFEGSYVYLSKQKVSSNVVEKCLKVFSDEDKAAIVFDLISVPHFEQLLQDPFANYVIHTALVNSRGHLHNALVEAIRPHEEALRTSPCCKRISRAISRR >SECCE4Rv1G0286710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850255719:850256186:-1 gene:SECCE4Rv1G0286710 transcript:SECCE4Rv1G0286710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRSAALCVLLLLVLLPGEVAAKSEFCKCFDDCFPGCTNDDVPRFLCKVFCANKCSPNQAAVRGDAMCRMACSKLDIEICGWSAAPADAADAAICVENCSKKWSQN >SECCE2Rv1G0124040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:829232631:829232993:1 gene:SECCE2Rv1G0124040 transcript:SECCE2Rv1G0124040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPVSTPSLPLFADEEGAEDLEAKPERAPAVRPSAAAERSVHLVPLIVLLCFLFLFLCSHVPSPSDMSSFGGKAGGRKPKLL >SECCE3Rv1G0182110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:622403142:622403366:1 gene:SECCE3Rv1G0182110 transcript:SECCE3Rv1G0182110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPICVQCGTRSNPCRCKVVGPTLGFVALVVAGVVEWPLGAAVYLVRHRKGRRIMGHPARVVYPRVTGAIPI >SECCE3Rv1G0157450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:81719132:81722333:-1 gene:SECCE3Rv1G0157450 transcript:SECCE3Rv1G0157450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFTGSDISSGKDTPSENKVSIPVKELSRSDSGPADEMPPVGGSQGGAAPLDSVETPPVVKAVADTEICDSVKEETKVAPVDLASTKPSLARCTRSKFVHHPGSFSYKRMLPFLMENDISFQEGDRAKFRRLSEEKQMPSDENDVQASGQIQPAIAEVPLDGSVAQVQKATQEEELASVGEPLPSEKGESTSDVNAVLAGPQNQLPVSEDTPDEGNVAEVESTVEEKASKSDEKPVPMDSVEPPVAKAEGTQEAKDCCDSVKEETKIAPADLASSKPSLPRCMRSKFVPHRSSFSYKRMLPFLMENEISSQKEDMAKLQKVSEEKQLTLPESDVLASGNHLSVSEGSPEACYQAELDRIVEEISSVPDENYLLKGVQLQSAVPVAEVSLDGSTAEVQKVTQEVLASDGDLLCPDKGELTSERNDVLAGGQCQLAVSDVSAKESNVAEAERIVEEKATKSNVSEVSLQDVDTYEMKEVTEKPVLLADGDDKGEFLMKDQPQACHSMKLQCNADLAVAQQCQSPESGYSTKTVTVDGGADPHGAPERHDFVASLGGLLSDVGMICKPSEPSIGSPLSAEGMSGCVTHAESGLSKVGALSPLGSPCLEQQCLSPKIPSPSTGAFSGASFLKKRGFSPKKLSPKKGILKRHTMGCRGICMCLDCSVFRLRADRAFEFSRKQMQEADDIIVNLLEEVASLRSLTEKSSGQEQMEACQKALRVEEVAKERRQQMLAELNSHCKIPGPRVKFAQYVEEKMASSPSSSSSRRQ >SECCE6Rv1G0403070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:495934848:495936945:1 gene:SECCE6Rv1G0403070 transcript:SECCE6Rv1G0403070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAQWHQGLGLVKPMEEMIMAGNPNPNPNANPNAQPAVAPPSGAEAQRAPVPGPPAAAAGAAAGTGSTERKAARPQKEKAINCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSVVSSAAGAVSTSAAASGTVPVGGMAAKNPKLMHEGAHDLNLAFPHHHGRVLHPSEFAGFPSLESSSVCNPGGAMAANGAGGGRGMGAFSAMELLRSTGCYVPMPQVQLGMPPEYAAAGFALGEFRMPLQHQQHHQQQQHHHQQQQQQHHQQHQQQVQNMLGFSLDTGGGGDAGGYGAGLQGAQESATGRMLFPFEDLKPGANAAGGGASGGDQFEHGKEQGGGGVSGGHETLGFWNNSMIGNGSSNDAGGGGGGGSW >SECCE5Rv1G0345950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:659722637:659729044:-1 gene:SECCE5Rv1G0345950 transcript:SECCE5Rv1G0345950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQMAPTATMSIMLELRKYLMLMAILAATVTYVAGLNPPGGVWLRTEDGHLTGDQVLLITDHRRYNAFYYSNAIAFMTSVVVILLLLLMERSMGKGSKRLLVVRLLTALRVVMVLDFFAVLVAYAAGASRGTATTVVASVLVSAVSVYITGYAAYRALFRPRPPDPGPGDPQRPSLSLKLERRRKILMLFSIFAATVTYTAGLSPPGGFWPDSREGHRPGSPVMEDQHHSRRFIAFFICNTAAFIASLRGIMLLTTIRSKFKDGDGNGRWWYVLYGHVVVALLGLLVAYTLGSCRETHSTLYVFGLVFPAVLAYTATQFIIQRYWWEEVVHLAGQAKGRLSSHWIWLSLRLRSCWSSMCSCWTDSDEENKWEEEEDGLLTETTTPPVKRAHTAVLLLATLSATITYQAGMNPPGGFWPDSRDGHTGGDPILLTTHAGRYKVFFAFNSLALVTSVVVIVMVLTRHESSAVHKHHALEAAMILDLLSLMAAYAVGCGRDANTSVRVIALAGGILVCVVVHIVFFTLKTRRREKPELLKKKRKLLLLFAILVVTITYQAGLTPPGGFWLDDEAGHVPGYAALASNYPRRYTTFFYCNAASFMSSVVIILLLVNPHMYELGIMCHALYLCAVSALFGLIGAYAAGSSRRRRTSLFLIALIAGVVAFIVLLLLALKFLSRCTIFMGRQSNKQRQPQPEEGGDDSGKEQPEPQTEETEEDVDGETARYTTRKYLMVVSILVASVTYQAGLIPPGGVWPDTNSSSIDGHGHAAGDPVLHDSNKRRYSLFFYSNSVSFLAAIVVIFLLQLDEPLYMFARKRKGLLRVVGGATTSHPSKSDEVLRVAQSTILLALVGLLFAYASGCARRWETFGYVVVLLVAVLLYIAIHVLLSCRDEKPTQVAPLDQAQAQAREGGSSYCTDRQRQCRCNCHEKDERVL >SECCE4Rv1G0273500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:777985539:777988321:1 gene:SECCE4Rv1G0273500 transcript:SECCE4Rv1G0273500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLSSLAASLGICVVLALAVALLVITLYILGTAGSFAVFCIREFTQRAPDRPPLIGTMFRQLNNFDKIFDEHVKYALLHPTIRLVYPGHSEILTADPAVIEHVLKTNFSKYSRGAFNTEIVKDLFGNGIFVTDGDKWRHQRKLASHEFSTKVLRDYSSDVFRMNAVKLAEKTSSAAANRITINMQDLLMRTTMDSIFEVGFGFELNTLSGSDESSIEFSKAFDEANSLVYYRYVDMFWKLKRYLNIGSEAKLKRSIKIIDHFVFQLIHQKRENMKNISGHKARGDTLSRFILESEKDPETMNDRYLRDIVLSFLIAGKDTTGNTLTWFFYMLCKNPVVQDKVAFEIREYVEWAREDTSMEMFTARLKQGAIDKMHYLHAAITETLRVYPGVPVDGKMADEDDVLPNGQRVIKGDGMKYMIYAMGRMKYLWGEDAEEFRPERWLVNGVFQQESPYKFIAFNAGPRICLGKEFAYRQMKIVAATLIQFFRFRLEDESKGPIYKTMFTLHMDEGLHVFVYPRPREIQA >SECCE4Rv1G0285500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:844893493:844897467:-1 gene:SECCE4Rv1G0285500 transcript:SECCE4Rv1G0285500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAWAFLLPVLALASYASHLLLPTYITSPLCTGGDGGARSSFLCAQPPKDKDQDPSPASTMYKTAFHFQPAKNWMNGPMYFNGIYHEFYQYNLNGPIFGDIVWGHSVSTDLVNWIGLEPALVRDTPSDIDGCWTGSVTILPGGKPVIIYTGGDKDQHQAQNIAFPKNRSDPYLREWVKAANNPVLRPDEPGMNSIEFRDPTTGWIGPDGLWRMAVGGELNGYSAALLYKSEDFLNWTRVDHPLYAHNGSNMWECPDFFAVLPGNNGGLDLSTAIPQGAKHALKMSVDSVDKYMIGVYDLQRDAFVPDNVVEDRRLWLRIDYGTFYASKSFFDSNKGRRIIWGWSRETDSPSDDLVKGWAGLHTIPRTIWLAGDGKQLLQWPVEEIESLRTNEINHQGLELNKGDLFEIKEVDAFQADVEIDFELASIDDADPFDPSWLLDPEKHCGEAGASVPGGIGPFGLVILASDNMDEHTEVYFRVYKSQEKYMVLMCSDLRRSSLRPELEKPAYGGFFEFDLAKERKISLRTLIDRSAVESFGGGGRVCITSRVYPAVLADVGRAHIYAFNNGSATVSVPRLSAWTMRKAQVNVEKGWSAI >SECCE1Rv1G0042660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:582865811:582867838:1 gene:SECCE1Rv1G0042660 transcript:SECCE1Rv1G0042660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARKAAAAEPSSSSNPYSSSAPDVDILRSLRRLARDLAAADPPAPFLGAVFASVSRRARLLVAVFDDLLLALGAGAGMPRSASLCLREVLLVLQRFKAVVADCSARSRMRLLLQSDEVAARVRELQHDLATLLDILPVGELGLADDVADLLTLASRQCRRRAPEAAAEQELKASVLALIQEVEREIVPERERLEAILEEVAINDPAGCSQEIEILEREIGDRLAERWTSAMIALVGLLRYAKCVLFSAATPRPLDSKVDADDDGAEPPAPPPDFRCPISLDLMRDPVVSSSGQTYDRESITRWFGAGKSTCPKTGQVLTNLELVPNKALKNLISRWCRENGVAMEGSEPGKPEPAPPVVANKAAVEAARMTASFLVKKLSASFSPGSDNRVVHEIRLLAKSGSESRAFIGEAGAVPLLVPLLNSEDAALQLNAVTALLNLSILDANKKRIMHTDGAVAALCGVMGSGATWRAKENAAATVLSLSAVHTYRRRLGRNPLVIEKVVLLVRTGPPSTKKDALAALLCLSAERENVSKLVGAGAAEAALSAIGEEDTAAAVLASLAKRGGAEAIVNIDGAVAKLVAEMRRGTEWSRECAAAALVLLCRRAGAAAASQVLAISGVEWAIWELMGSGSERARRKAASLGRTCRRWAAANAAQNADCPASTVSPPTVAAS >SECCE2Rv1G0098280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:480053494:480055339:1 gene:SECCE2Rv1G0098280 transcript:SECCE2Rv1G0098280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLAYALILLGCLGLPPTDAAVVEHTFSVGNLTIDRLGQRQVITAVNGQFPGPMVEARDGDAVVVHVVNYSPYNITIHWHGVLQRLSGWADGPSMVSQCPIRPGGATYTYRFNVTGQEGTLWWHAHVSFLRATVYGALLIRPGPDKPHYPFPTPYGEATLLLGEWWNASVVDVERQAMLTGGPPNNSVALTINGMPGGYELAVRHGETYLLRLVNAALSYQLFFKVAGHAFTVVAADACYTDPYDTDVIVLAPGQTVDALMRANASPGRYYMATQVYQSVANATYTTTTTGLLRYEHGAAGMSMIMMPSMPAFKDSATAQDFYGSLNGLLRDGKPTVPLHVDTRMLVTYGLGIAPCMPTQTLCNRTRGSVAASMNNVSFQLPKAMSLLEARMRGNVDGVYTRDFPDRPPVMFDFTSGSMSSNRSVMLTSKGTRVKRLRFNTTVEVVLQNTAVLGSENHPLHLHGFNFYVLAQGAGNFNARTAVRSYNLVNPQQRNTVAVPAGGWAVIRFTADNPGVWVMHCHLDAHLPFGLAMAFEVDDGPTPDTVLPPPPPDYPQC >SECCE7Rv1G0474090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:167051079:167058047:-1 gene:SECCE7Rv1G0474090 transcript:SECCE7Rv1G0474090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAKLVEQLREHQIRSAQSYSAALAVFSPNPHIASRRDLRVALLYAFLFCFLMVSCYAAIYVKWFKLSSLFVILGILLPVSLKISRHRRLKRKRERRLLLPLSM >SECCE4Rv1G0259150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:683380799:683381436:1 gene:SECCE4Rv1G0259150 transcript:SECCE4Rv1G0259150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSTMRPRCADQEDMPNKWVSARLDKTKEKEVKTPSCWCGDECKVKSPPPLCKYFTWIDHEVPEDVKKDQLQDCLRRQRLFEESLQRAEDEERRERERKERKKREEERARKEKAAREEERARKLARARDAQEEDEARDKKGKWPRVTQ >SECCE7Rv1G0506240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:747354037:747354318:1 gene:SECCE7Rv1G0506240 transcript:SECCE7Rv1G0506240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRRPGKGRRIGPILGHTMHYRRMIITLQPGYSIPLLDREKN >SECCE2Rv1G0127830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:856406288:856410481:-1 gene:SECCE2Rv1G0127830 transcript:SECCE2Rv1G0127830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIGHCDYYCDINLVDFRSDLIAFSDKIFHLSQSVRAIQNPGWIGNKGESMETRSKIGDGGEMETGRKSSEGSRRAASLELKNRLYRSGILTGGEIGGDTEIEELFDLFADEAQEWIVGQADSQLPWETLQELTVQILLKFEEVVGGDIVKVFEEKTIREEAMAVLRFGFRLSLFSEQIDELRHDMCNILRLFSPENKDIRSTMKKLISEPYLSRICKLQLISERISMLQQLDPDFDFKVKSTIIKLKSESFLSAMKELENDSPREGYEHSMEMEEKKFAAYCLYWERIWGKNGHSFENQTLLSPMQFTHCTPGHIPTEAVAGSTLQIYSVKVSLVKPNLLPLPVYGVVAVRDAVDRHRNPLFLCSREHCQILEENDSFLHLTGPVRAIVSMDTVYIEIQLIVKGTQKSEDTPLISTFGFYNADNSGTYLAKNDFCKVELCCEQLKQSVQATILSVAVTPKQESLPFLHGGGVVCYSLPQEGSEDIAEQASCRQVWLLDSKCGRMPMTENGYLDLARNVVSVELNGKLLVLIMDDSQTEIAAKFVLESEKYNTSECECSLADGSKVEITVAWSLVHSKMLQSDDSHEDSGMAATRNLKGRSGEPILSEELCQAAIFMQKSICWIQAQEKKMVEIGYYGSAEYKNLQVLKLDMYELSNKIFGRFNYETQKRIRAMQNACWISNKGKLTDTQSKIGDGIEMDTGGKSSKGSIGEFILASIGNEGIMVPTQSKIGDGGKSVSKQFNELPVNNSIPIAESVRNKIEEAEAETVRAEVVPRVSFKLALLSRQFDDLWDNMCQLVSTEPKFLAICMLIREPFAHYCSTLKFISAVFDRLGQWVPDFREGLELIKRNLDRDEDVEVVDEYEATKVAEEYYFNAYRRDWECRRSNFGSFEDPTLLSPMYFTQIIPGHTNVGAEVGRTMQVYSIKVAEVEGFTLEWPLKVYGVVAARDVVDYRRNLLFFRTRDDCQILTKQDSFLHLIGPSRAIMSGGIMEPTVTIEVHLKLKGAVESEDRTLISKAFFYDEKDLGSCDIISTRVLQGLCEIELCCEQLEHSHQATILGVRVVGGSLPCGNGIKIVCSALPEDKTEGGGKRPSGCILLLDSQAGDMPVGEEGYLDLSRKVVSVKSRGRLEILVQAGEISGSVVFPTKFSNISRKSCKLGDCEVQITVAWSLLIESQHDISVNGSVHPYAWESVPRMPVMKLADAC >SECCE6Rv1G0409600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:590008565:590010477:-1 gene:SECCE6Rv1G0409600 transcript:SECCE6Rv1G0409600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRARPSCARKGDVVIVNVINQGNKNITIHWHGVDQPRNPWSDGPEYITQCPIQPGSNFAYMVILSEEEGTLWWHAHSDFDRTTVHGAIVIHPKKGTTFPFKKPHKEIPIILGEWWNADVNRLLEEAKRTGGEVNISDANTINGQPGDLFPCSKNGTFKVHVESGKTYLLRIINAALANELFFSIAGHRLTVVGTDARYTKSLTVDHIMISPGQTVDALLEANRSTNSSSNGRYYMAARTFASNTGIDFNNSTATAIVEYTDAPRATHAGTPDIPNLPAIDDIDAATAYTEQLRSLASKDHPADVPAHIDEHMLITIAVNLLPCAGNTTCDGPLGSRFAASLNNVSFQTPSLDVLDAYYGSVRGVYEADFPDKPPFFFNFTDDNIPTERWFTKRGTKVKVLDYGAGVEVVFQDTAILGDETHPMHLHGFAFYVVGRGFGNFDKQKDPATYNLVDPPYQNTVSVPKAGWAAVRFRATNPGVWFMHCHFDRHQVWGMDTVFIVKDGKTPETKMMRRPAGMPRC >SECCE5Rv1G0339720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:610258829:610259674:-1 gene:SECCE5Rv1G0339720 transcript:SECCE5Rv1G0339720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELLSPCSFFPVSTPSGQYEHHHHHHHHQFIEFTSCEVPEQWLLGDVVAPAKSEDTGDLWAAGSLLSPDSELSELPAASLPASTESTPRPAAKRRGRKPGPRPKGPTVSHMEAERQRRDKLNRRFCDLRAAVPTVSRMDKASLLADAVAYIAELRARVARLEDESKQAAAARWDTTSASLSGGASFQNFQAGDETVEVRKVGRDAAALRVTTAAGSAPYAPARLMSALSSLELQVQHACVSRVQGATVQDVVVDVPAALQHDDGAALRSALLQRLCDSA >SECCE1Rv1G0013560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:106740244:106741401:-1 gene:SECCE1Rv1G0013560 transcript:SECCE1Rv1G0013560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAATIAVLGDDILQEVFILLPTPADLLRAALACQPFLRVARSAAFLRRFHRRHPLTCPVILGCLLYRPSKRRGASAPYLLPGDLLGATHRTIEGGDFALSFLPRRGRLDGPGTPWRLLDCRNGSLLLRDGASGELAVADPLTRRWVSLPALPGGRRVGYALVPDDGDSSAFKAVCLSRRAGTTELRAFLLSSAELRWDDVGGLAQQPNLAGSRAMQAKRSLYWKLMGGQHMVALNTATMELTVLALPPSLREQSFDVIEKGEDGAGNLYVLTMRGLCIEVWIGEDDGEGGLTWTRLEKSVRFYWAMEVMILADPLDQTPQGDVIWVAAGVAFLRSGNCLLSIHLETMKMTRLSLDVNCPSPLIYPYTMAWPPAFLNPTEQGA >SECCE3Rv1G0183270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:647178756:647179001:-1 gene:SECCE3Rv1G0183270 transcript:SECCE3Rv1G0183270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSSSGGNADAVWSERENKLFEEALAYYGEGTTDRWLKVSRAMGGTKTADEVRRHYEILVDDINLIESGRVPFPKYKTK >SECCE4Rv1G0233020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:222729668:222734174:1 gene:SECCE4Rv1G0233020 transcript:SECCE4Rv1G0233020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein VIP3 [Source:Projected from Arabidopsis thaliana (AT4G29830) UniProtKB/Swiss-Prot;Acc:Q9SZQ5] MKLAGLKSVDGAHEDSIWAAAWVPAADHRPTALLLTGSIDETVRAWQPDDLAAASPPAGGHALGVISLAAHPAGVIAAAVSIDSHIRVFDVDSGASVATLDAAPSEVWGVQFHPKGVALAAAGGGSGSVKLWDTEKWQPIASLPVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTGCDDSHIHIYDAKEKGLIGAMSGHASWVLSIDVSPDGMAVVTGSSDRTVRLWDINARASVQTMSNHSDQVWAVAFRPPGGEGVRAGRLASASDDKSISLYDYS >SECCE3Rv1G0156320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:71696684:71698552:-1 gene:SECCE3Rv1G0156320 transcript:SECCE3Rv1G0156320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRIDDPLHRWPAAVWRSPDANNFPALPAKTRESRTSWKLSVPEAFFHGQEDRYVVDDRLTILCVVHVLRLDLTLANTRSCFISAMPPPTISGDLLMLLSASLSQSESVKPCMRPDVTFIVEQAEIRAHKLVLALRSPVFAAEFRWHMDESTIIRVHDDMSASTFRAMLRFIYTDELPIKPTNDRMILTSEDKHASRRYEAMARDLLVAADRYDLQRLRLLCEKVLAEGMDDKSVIPTLMVVHGRYNCRQLEDLCIEYIASHPNVYSTLKATEEYKEMKNSCCSFLLELTNKVDMINMAPNASSSNLQSHKRKRNDGDQVVVHGSHKFTIPYFRAVQRSLLAAGKTTICSGIFQVGGYNWRLCVRWLYPSNSSEFVAYLFSVSSFETSHVTMSIGFKIDDPCGMSLPPITMVEEIFTEAENFRHAKFTLMKSTNSSHDDSLTVHCHLNVTKAAACTSSSTISDGAGVTIVVPPPYIGLHLEQLLVSGQRFDVRFLVEECDIRAHGLVIAARSPILYQLVEAAAKEEDRHIVRVDEMKAVVFRSVLHYIYTDKLPSMDNPVHAVEDMLVAACRFRLERLKIACENFLADHISNKNALYTLKLAQRHHCLELEKYCVKFISR >SECCE1Rv1G0029690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:417324971:417328220:-1 gene:SECCE1Rv1G0029690 transcript:SECCE1Rv1G0029690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETPAPASPTAWPSSPTGRTLSAAFADDRRREARRRAVHLLPGCGRSPQPRSKLINRLLHRFGAACVSKAKSTAATPTRTPPLPRRSSVSTPPRPRPSSASTPPTSTQVPARLDQADAERVAARRPLREREDAAAVAREPTPPGSQTSAVAATEVVAGLASNWRGDTATTVGRGANIWVRVSRKLKSPQVDSPQLSVESTAAAEDQYVWADRYRPSVLGEFICNKAVADELHRMVTERQCNHFIFEGAQAVGKRSMVMALLRDAFGPDNLKIEEITKRIELKGEIAKHIDAKVKISDHHVEVNLADLHGYEKYVITTFLNESIPPPDLICDHANCKVIVVHDADRLSSDLQHYIGWFLGRYAGCSKIIFCCSSSSNLDAVEHLCKVVRLKPPSFDEIIKVLEFIATQEGIDLPYGIASRIAASASNNLRQAIRSFEATWKANYPFTKDQPILTGWEEEIYDVARKIMEEPSPKQLYLIRRKIRKMIEHNVSPYFIFCHLVNELKRDRDEDFQKSIDELALELNQNKQCKEYKSQDTISDKRAINIEGFAVEGPDQGEAIQCFIRIEEFTVRFMSFYRSLIAKNSSRGGVPS >SECCE5Rv1G0312640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:241348697:241359036:1 gene:SECCE5Rv1G0312640 transcript:SECCE5Rv1G0312640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDREKEREAELEGAMYTNCLLLGLDPVVLGSPAGAASPRVGLFRHSNPRLGEQLLYFLLSSLRGPAQSAKDFDKVWPIFDSAQSREFRKIVQCIISELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASNPLPAALTDISYLHAAALLPVTKARIALERRKFLKNANIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKAKLEGELWDERISSSSGQNSHLVSKATRLWESILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDTSSSIPHSELLSARAGETSQFLDKQEQISLFQGKEEALPRLDDRNGRVQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGEGPELLRSASDSETSSHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSITKLSEEVNGVPSTPMDQTNSRQLSVQMLGRPEESGGEVSEMTSKLCSTQIGKTGSSSALKLPPLFSLTPSSSGKGTQTQRRNALAHQPSQDIMPEEKALIHPSTKDQANGSMHENDGYFAHDIRRSVRETALSKPLSNMESPHDKSSDDGSEHFFIPLSTGAARKDVGAVANRRKQKIGPSSSQSRLPKSTSDLYFNPDSPMHTTPAVSSKLNGHDDPSSAANFFYPVSGQSFTTDDALDQVFSPPLLLESSLFHDAYEDLLAPLSETDAALMEH >SECCE6Rv1G0436000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:775461034:775464182:1 gene:SECCE6Rv1G0436000 transcript:SECCE6Rv1G0436000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLRSPGDASSSSSSSTAGGGGAGGDEQPQAAVLANASDVTRFGFFQRPAAREFIVFVARTVALRTRAGTRQTVQHQEYKVHCYNQGGLCAVAFTDDHYPVRSAFSLLTKVLEEYLKSFGDSWRTAEDKAAQHWQYLDDALTKYQDPAEADKLLKIQRDLDETKIILHKTIDSVLQRGERLDSLVEKSSDLSIASQMFYKQAKKTNSCCTIL >SECCE6Rv1G0382070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:38185163:38194477:1 gene:SECCE6Rv1G0382070 transcript:SECCE6Rv1G0382070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKGRGRGRGGGGGGRGGGGGGGGGDNSRTDLLAAGRKKLQQFRKKKEKKGPGKKAEADADEGASKAGANGEEAPPEPKSPVGLKFLAGESGSSHSTPFEEATISQEEQCNGQEPATEEPSVVENADVVPVLEDADDRSVQNISISDQGNSDHGSPGQGDGDDSAVQATSSEVGGDLIGAQPAEVDGEKLPISEESNIPRVSSQGDIANDGSNQVGGHQEVQMDPVERTSSSDSKEAMEVPIPSLDLRADNANMGEEGTQEMEVGVSGRSSDGNIQDVEPTVSGEIGMEVGHEAAMDLATSQEIAGRGDTDDEANGVGKEAVQEDAGTSNTNAIDEAVTTQELDLSVEKVDSALCGGAVSQGFMPYRLDEYIPGHLYVTTLSRDLLQLQLDEGTHLNSDVTLSSDEILKLQVQLKESEESKVAAHEEIQQCRHELTNLNTVKGELELIVASQKQEINASNSKCEQLEIELRSSKENAQQILSELADCQSLLEALQKENIELTENLALEEKTRKEVQDQQEHLSGENEKLLSQLSELELSLASVKEVMNAGSSRCESLEAELCSFKENMEQTWTDLTNCRALLEASQKDNDELSAKFAVESEANKKLKEDNVFLHIENERLSSDLSELNDELHISYAKHKQLELHVREMETHMEQLKDQLILESLRATNSSDIYQSVIKELDAKCNVVLDQAETVVCQKHEHRLASSEEITVENAERTITSPEFVCEGNNQHSHPLFDEKDSSNCTALQSLKGHLEVAKGELHELQKLVERMSSRSDGRVLVSKLIQSFEVKGNQEETGMSEGEHDELKKLTQGMLCCLVEKFKSMTSDLAKAEKYVVGLCDRIELSSKSEVQHEAERQRTAVFEAKMDELSEKLSNYKNTIDQLHIQLANVQQDADDHAGKLTNQAELLHNDITERISILEKERASLSGLLSEVTNKLSSLVGTMFPNDLGASEGLSFSILDSVDLAAKSIQSLQDKLESAQSDNAKLSTSLSEIKKAHSDVQDRNEHASRMAKNMYDSLQEFLLNSLGNSDEASAGDSAEEPIEALFSHLGGAIEHLKNLLHDHHSLQSNNANLESRLLSKCEEVEEISLRCSSLMKNMDDMCLLNEELKLVSSSKSEALDELHGRCLCIAEKMVHHSADPTSMALPSMSNSGEAEMFSKEHHISTTLLPCIEEGVASCNEKLENAVEEIHSAKICLQNAHIFDQISFDKWSLPLPALIKEEIVPQVCDLQGKIDQLSELNIQLETEVPVLRDGLKKLDEALETSRSELQERSSELEQSEQKLSSFKEKLGIAVAKGKALIVQRDGLKQSVVEKSGELEKLSQELESKDALVKELETKLKSYTEADRIEALESELSYIRNSATALRDSFILKDSVLQRIEEVLEDLDMPERFHSRDIVEKIELLSKMAVGASFTLPDGDKRSSMDGHSESGVAMDSISDEQISISNPGSDEIKNKYDELHRRFYELAEHNNMLEQSLVERNSIVQKWEEVLGQVSIPPQFRMLEPEDRITWLGNRLLEVEHERDTLHSKIEHLEDSSEMLITDLEESHKRISELSAEVVAIKAEKDFFSESLDKLRFEFLGFSEKAVQDEFVRDNLQKDLTELQEKLAEKAKESKHYHDMEIEVHKLYDLVLNVLQDGSNAEIPSGGDAVLCLGELLRKVLDHYETLLSESTLSNAAEKEIHLDETKLSNDASTSETGRDDKESVLNSLSNELEHARKSLALVEQQRDEAAEKARLLMLEVEMLHAQINQLQEDGSEQTQKYQSLVLELELMSKQRDNLQEKLNQSDELEHARSSLALAEQQRDEAVEKTQSLLLEAEMAHAQINRLQEGGAEQTQKYQSLVLELELAGKQRDDLQEKLNQEEQKCTSLREKLNIAVRKGKGLVQQKDSLKQTIEEMNAVIERLKNEREQHIESLESEKTLLMGRLTENEKNLHDTTEYLSRLLNALSTVDIAREFDTDPITKVGKIAQVHLDLQATVASSQNEVKRLKRATELLLSELNEAQERADNLQEELVKEEAALSESSKQNNIIESARADAVRHLEHITYMQAQAARKQIDHLKELNSTSGQLREVCFDLSHHLASAFSKDVDLISYMESFMKSSGKWMDGTNMMDIPITSNGMLTSSISSKNTHIPNAALEFMVNDTDGTQMLHHLAIACHAVSDCVKDCNDLKRNIDEHGFSIDQKARELAEVMSNLQNRFTSQNNELESLRENILELQSEIKEKEEESSSLRRNMSLLYEACSSSVSEIEGMTGTGSGTGSYSVGQNHLFSYDNIKSVVERLGAAVKTTQYSNEGNTKELKATVLELQQELQGKDVQISTISSELASQIREAESYAKQLSVELEDARIQVHNLEEHVEMLLNQKKALETQASELKDLETVASEQHGRIKELTDELSRKDQEIEGLMQALDEEEKELEVLENKSNDLEQMLQEKEISLKSLEDSRTKALTKLAITVDKFDELHSLSENLLVEVERLQSQLQERDSEISFLRQEVTRSTNELLTTEDSNKQYSSQINGFVKWLETVLMQFGVHCESADDHDYTQVPVYMDMLDKKIVSLISESDDLRVAVQSKDSSLQVERTKMEELSRKSEALEASLSQKDSQIGMLRRDRTMGQPRSINLPGTSEIEQMNDKVSPAAVVTQIRGARKVNNDQVAIDVEMHKDKPLDDEDDDKAHGFKSLTMSRIVPKFTRPISDRIDGMWASGDRLLMRQPTLRLGVLIYWIALHALLVSLI >SECCE5Rv1G0304190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:54720975:54721442:1 gene:SECCE5Rv1G0304190 transcript:SECCE5Rv1G0304190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASATVATGKGKKGAAGRKAGGPRKKSVSRSVKAGLQFPVSRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINPVLLPKKTAEKSPKEPKSPKKTAKSPKKA >SECCE6Rv1G0400280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:449195002:449195550:-1 gene:SECCE6Rv1G0400280 transcript:SECCE6Rv1G0400280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRKQWQAQLAVLALAFLLFAPALVQCSGTEADGEEDGLAWPGKTVPPVPPGWTGDSGSAQGSSPDGSTWKYEWGWSAGPGGKGSGYGYGGSGDAGGGGEGGGSGRGGGGGSGRTFGPRDRGYGGHPGGYGGNGAGGYNDEAGGEGASGGENGGAAAGWNKRGGFRGGGTEKKQESGGKN >SECCE4Rv1G0286220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:848909249:848910118:1 gene:SECCE4Rv1G0286220 transcript:SECCE4Rv1G0286220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERGRDAEAELNLPPGFRFHPTDEELVADYLCARAAGRAAPAPIIAELDLYRFDPWELPERALFGAREWYFFTPRDRKYPNGSRPSRAAGGGYWKATGADRPVARAGRTVGIKKALVFYHGRPAAGVKTDWIMHEYRLAGADGRAAASNKGGASLRLDDWVLCRLYNKKNQWEKMRRQRQEEQEAVAKAAASRSASWGEARTPESDVDNDPFPELDSLPEFHTTNAAVPPKEEVQEPGNDDWLMGISLDDLQGPGSLMLPWDDSYAASFLSPVAAMKMEQDVSPFFF >SECCE3Rv1G0196180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:806551574:806552059:-1 gene:SECCE3Rv1G0196180 transcript:SECCE3Rv1G0196180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLTCMLPCGSFDVVRIVHLSGRVDEFSCPITGGAVLAEHPNHTIATAWSSAGVGCPTKKLVIVSPDTELKRGRIYFLIPSATVPAVDRRKKSRPGSKKSKRPSSRGKSTAEQDNYLTELLSEKTASGAHRRRRSGCRVGVWRPELESIVEEASE >SECCE4Rv1G0279760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:816681728:816685044:1 gene:SECCE4Rv1G0279760 transcript:SECCE4Rv1G0279760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITATKPLVGGACAAPSSARRQTFVVPEARRKTGNGRRTSVSKVSSTSTTTTTTTTLSDSNGPAVVGTVARADVHVQDRTQITEMKATVTVHMSKAAGVRDFLYDLILKTWLHVDLVSSELNPQTGQEWEPISGAVKHSGTVDDEWDMYEASFKVPASFGPIGAVQVTNYHHTEMLLGDIEVFPTGQEESAVTFHCNSWIDPSHCSPDKRVFFPAFSYLPSQTPKGVMGLRKRELEVLRGNGCGERKDHDRIYDYDVYNDLGNPDDGKNPTTRPVLGGKEHPYPRRCRTGRPRSKKDPFAEERSHKDHIYVPRDEAFTERKTSAFETKKFMSVLHALTTGLKTAKHKSQSFPSLSAIDKLYDDNFRNQPVQPEGGKLRFVIDLIETELLHLFKLEGTAFLEEIRRVFKFETPEIHDRDKFAWFRDEEFARQTIAGMNPMSIQLVTEFPIKSKLDEATYGPADSLITAELIEEQIRRVMTVEEARANKKLFMVDYHDLLLPYVHKVRKLDDTTLYGSRALFFLTDDGTLRPIAIELTRPKSKCKPQWRQVFTPGCDGSVTGSWLWQLAKAHVLAHDAGVHQLVSHWLRTHACTEPYIIAANRQLSQMHPIYRLLHPHFRFTMEINAHARELLINADGVIEGTFAPGEYSMELSSVAYEQQWRFDMEALPEDLIRRGMAVRREDGKLELAIEDYPYANDGLLVWDAIKQWALTYVEHYYPCTADIVDDEELQAWWTEVRTKGHADKQDEPWWPELDSHENLAQALATIMWVTSAHHAAVNFGQYPMAGYIPNRPTLTRRNMPTEMGAEDMRAFMEAPEKVLLDTFPSQYQASIVLAILDLLSSHASDEEYMGTHEEPSWKHDGAIRQAFEEFKERTREIVEQVDEWNNDPDRKNRHGAGMVPYVLLRPSDGNPMDEKMVMEMGIPNSISI >SECCE5Rv1G0339980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611568890:611570580:1 gene:SECCE5Rv1G0339980 transcript:SECCE5Rv1G0339980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRLANGSIKCDSAGVDRLGGLPDDVLGRILGFLPTPMAVRATQLSRRWRRLWPAHVLALNLSVQDCKSCGVGVRFPDLCARALARFPTFSIPSISLARDQIGVGKAKAWYAEAMERAAGSVSVTVPRGAFPLALPRFTQAEALSLTLTHTIDLKLPAAGDDPVHFGRLTELVLATMRLPAGSPPLHEFLSSSCPRLRRLRLSCVRGGEAVRALVLRSDALVALDLNNVDGMERLDVAAPNLRSLSVRSCFRFPRSGDQDTEVVVSAPRMESICWYRSYPKRLSIADGSLARVRRLSGLKLATLGRSDRFDFPYTMQLLQACSLTTQRLELDLVLPDEMTLHNWLGPEQQGGSCEDLMRYVPPLPRVTVLSLKVRWGIGGDVRPCLATLLSRAPSLETIYVEPAPYCLTVLGGVVVPRGEWRWGRCVDQRSSGSQMDSLREMVVHGLRGTDGEECSLVEVLLGTVPPSIERISLGFHDATAASVVDKIAAELRARFPFPTATAAGCWTRRACSTTLQWTKRKLTSRKRKR >SECCE2Rv1G0125630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:842248857:842249327:-1 gene:SECCE2Rv1G0125630 transcript:SECCE2Rv1G0125630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGDVNSFFRQQKAHSAVAAATKAAAGVSKKAHHHHRQAAAAHLLPPTRDHRGGAVATREEEEEEDAERKAREFDMDMRYGPCLGLTRAQRWQRAAALGLAPPPHALCSDHQPCLWEGRV >SECCE5Rv1G0325230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:462812640:462813830:-1 gene:SECCE5Rv1G0325230 transcript:SECCE5Rv1G0325230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Iron sulfur subunit of succinate dehydrogenase (Truncated) and ribosomal protein S14 precursor [Source: Projected from Oryza sativa (Os09g0370300)] MLGRTLPRLAAVKDRVAGAAKSAVKGDEHFPALKGHPAARVHARETAEKQAGLAAAEEEKKRGGKATTVKEFQIYRWNPDAHGRPFLQSYFVDLGTCGPMVLDVLQKIKSEHDSTLAFRRSCREGICGSCSMNIDGVNTVACLKPIDTDTSTATMITPLPHMYVVKDLVVDLTNFYQQYKSIEPWLKTNRGAPEGREHAQSPGERRKLDGLYECILCACCSTACPSYWWNSEDFLGPAALLHAYRWVSDSRDDYGEERIQALSEGWDKLYRCRMIKSCTATCPKSLDPATAISALKTMHQLRKA >SECCEUnv1G0548710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:190713369:190714064:-1 gene:SECCEUnv1G0548710 transcript:SECCEUnv1G0548710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLAFQSYRPDKKNILVIGPVPGKKYSEIVFPILSPDPATKKDTHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSTGIVRKILRKEKGGYEISIVDASDGRQVIDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >SECCE5Rv1G0297700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:4895802:4900306:-1 gene:SECCE5Rv1G0297700 transcript:SECCE5Rv1G0297700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVDNVNLIIAPALIGKDPTAQTELDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAVCKAGASVKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEECGVDVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGLKFRAPVEPY >SECCE2Rv1G0102880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:584980054:584980650:1 gene:SECCE2Rv1G0102880 transcript:SECCE2Rv1G0102880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRTLLHAPSVSGPSQPVHGATVADGGAPGSSFDANVVMILAVLLCALICALGLNSVVRCALRCSSRAVADAEPSRRVARLAKGGLRRKAVRAMPVMVYSAGLKLNAACPMCTICLSDFEAGEHVKVLPKCNHGFHVRCIDRWLLARSTCPTCRQSLFAEPHKACGCSEASQPDVARVHSVLVPLRPEGLITTYDF >SECCE3Rv1G0205160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899171876:899173006:1 gene:SECCE3Rv1G0205160 transcript:SECCE3Rv1G0205160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSDCPVHTSVPDKYVLPPEKRPSLLNDEPSSDVPIPVIDLHRVSGDRQRHLIVAEIIKACKEFGIFQVVNHGVPEDVVQGFREAAAGFFTMPAEDKLPHRSDDLSKHFRVSSSTPYDRNGDRYWLDYLKITCHPVTDEHVREWPDKPGSFRSSLAEYSVAVHELAQTLLRLIADGLGLDGGFFAGDLSNGSTQMNVNYYPPCPDPSLTLGLLPHCDRHLLTVLSQGDVAGLQARHGGRWLLVRPVPGAFVVNLGHQMEILTNGLLASVEHRAVTNTDAVRLSVVTLIMPKMECRIGPVPEMVNETTGPTKFKEFEFSEFMKAYSAAAASREDVLQYFRIHH >SECCE1Rv1G0001180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4258935:4263488:1 gene:SECCE1Rv1G0001180 transcript:SECCE1Rv1G0001180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor E [Source:Projected from Arabidopsis thaliana (AT1G71440) UniProtKB/Swiss-Prot;Acc:Q8GRL7] MAAAAAGGFRLGQRVHAAGDPRRSGTVRYLGPVDGHAGEWVGVDWDGGAGGRHDGSLAGRRYFAAAGDRSASFARPSALSAGVALPDALRLRYRVDDFTKEEQDEMYVFSTSQKRVSVELVGTNKVRDKLKNFDELLCASVSFMGVSSAGSPEELHCLVPNLRQLDLTGNLISQWQDIFSLCQALPSLEVLDLTNNIMENDFVESPLLKNIRVLVLNNCGVTWELIEKLKVPFACLTDLHLIWNKLNIITTPVGKFVQGFDTLRLLNLEDNHIVSWDEMVKLSYLRSLEQLHLNKNKIKHVRYPSNLPSSGPPGDVSVPAFEKLQVLLLGSNEIEDFPSVDSLNLFPSLMDVRISDNPIADPAKGGAPRFVLVARLGNVKILNGSEVSARERREAEIRYIRLVMGKAESNDPEVLKQLHPRFAELKAFHGIEDEKPTSRMSGPQKMASGLISITLKCVGPSMGEKQPLTKKLPPATTVGKLKSLCESFFKLKDIKLKLFLEEEGCPLPQLLEEETALLVELGIGTGATIIVNEES >SECCE5Rv1G0345190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649505925:649509855:1 gene:SECCE5Rv1G0345190 transcript:SECCE5Rv1G0345190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEEGGARMAGGEHGANGLQPQEQDHAGALEEGRGGANQAAGCEDSEQAPSSTGNQPMISVQFLQKVLAEILGTYLLIFAGCAAVAVNQRTAGTVTFPGVCVTWGLAVMVMVYSVGHISGAHLNPAVTLAFATCGRFPWTQVPPYAAAQLAGSTAASLTLRLLFGGEPEHFFGTVPSGSEVQSLVLEFIITFYLMFVISGVATDNRAIGELAGLAVGATVLLNVLFAGPISGASMNPARTIGPAMVAGRYTGIWVYIVGPVSGAVAGAWAYNLIRFTNKPLREITRTGSFLRSARMS >SECCE4Rv1G0274930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:789073308:789073669:-1 gene:SECCE4Rv1G0274930 transcript:SECCE4Rv1G0274930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKRNLTRAACLAVLVAMAAILLSSSTAQADQCMVAPECAGPYPEVICPELCARYGFNKDGYCKESQYCCCRGAKLARPNVANPSVNP >SECCEUnv1G0568130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:422804435:422805574:-1 gene:SECCEUnv1G0568130 transcript:SECCEUnv1G0568130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEALSMTGATAIPAEYVRPQEERRGLGDAYDEAAASWSAAGSPRIPVVDVAAFDAADPGSPSSLAVVHAVRAAAEEWGVMHVAGHGIPEDLIDQLRGAGTGFFRMPIQDKEAYANDPAAGRLEGYGSRLAGSAAEDGKREWEDYLFHMLHPDARADHTLWPAHPPEYVPVTKAFGEHVSALSSRLLAILSLGLGVPADTLERRLRLTSGEGEAREKDDLLLKLKINYYPRCPQPELAVGVEAHTDVSALSFILTNGVPGLQVVDSSGAWVTARDEPGTLVVHVGDALEILSNGRYTSVLHRGLVNRQAVRVSWVVFAEPPPDSVLLCPLPELVQGDGAQTPRFEPRTFRQHLERKVLKKTNDQQEEEEVKKPPVAA >SECCE6Rv1G0403910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510929641:510933929:-1 gene:SECCE6Rv1G0403910 transcript:SECCE6Rv1G0403910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPLTAEAIAFTEKKMDMTLEDIIKMSKKKPAGKKAPRQQVKKRPFQNGNSKQGNAKVQRFHESRSSIRQGVLAQRRSNLDGNQFQVTKQAANKAATMPVRGRADRWNKPSGPSTSAQRRPVSEALQNIKGKEMQNEPPRTMDALFAQMKQQRMRIMPLQQSNATHGHQFNQQRRGQQQQRRGRGGYGVRSGGGNR >SECCE5Rv1G0359590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:762996799:762999648:-1 gene:SECCE5Rv1G0359590 transcript:SECCE5Rv1G0359590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKWPVKKTDTTQFHLHHIHKVAAAAMILLLFASRTPLATSTDVKDRSAWGCVAREREALLSFKESLLDPAGRLSSWRGRDCCRWQGVRCDNSTGHVVGINLRNTAQHIGNADLMLSSGELSSSITGLRYLRYLDLSYNYFNRTSIPMFIGALGNLRYLNLSCANFEGRIPPHIGNLSKLQYLDVSDDTGSLSVSDLSWLRRLSVLIHLDVSGVFFTSASNWISHVNMLPNLKVLRLSNCGLNSSVSTLSPSNLTHLEVLDLSGNSFGTSLQHNWLWDLTRLRELRLSGCDWPAGPIPDALGNMSSLEVIDLSANDRLFGYIPANLEKLCNLQVLNLDWMNINGDLTKLMDRLPRCSWSVLREVHLLRANLSGELPDWIGNLTSLSYLDLYQNMLVGPVPAGIGALGNLAYLDFGLSKLSGVLSTEHFASLVNLEYLDLSHNSLKLDLNGNWVPPFRLGTGYFRSCNLGPQFPTWLRWQAGVTRLDISNASISDVLPEWFWTVSSHASWLYLSRNQLSGAFPAQLDLPSVEVLDLSKNSLSGKLPANLTAPLLRQLHLSSNHIEGTIPSYVCQLNDLLELDLSSNQLTGDFPRCPENITWISIGTYFTHCVQFGCSLSILDLKHNRLSGKFPDFLQNAAGLSFLDLSRNVFSGSLPTWIDEKMPSLEVLVLRSNMFYGHLPRQITNLPSLHYLDVAHNNISGRIPSSLGRLKAMTGESKGSKNNYSDNSITTVTKDQERQYTLDFTNPIVLIDLSCNSLTGHIPEELSFLKGLQTLNLSGNRLDGTIMDGIGALRKLESLDLSYNRLAGGIPPGLSDLTFLSWLNLSYNGLSGRIPSGRQLQTLNDPYMYIGNHGLCGPPLPSNCSNGTNPSAHEEHEYASFSDATSLFLGISAGYVMGLWTVFCILLFSKTWRLAWFRLFDQLCDKTYVQVAVVKAAMVRSFRDEAL >SECCE7Rv1G0515810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:841370535:841371605:-1 gene:SECCE7Rv1G0515810 transcript:SECCE7Rv1G0515810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSPEFAALSGIGLAGICRETLRVARSRPPGSAFLAGQVIVLTLSLLAHVAVSRGLFADALASSNAGAGLLRLDANWALLFLVEAACLLAIVAQSLCAAAFCVFCVAPRYGVTDDRDKRTIARDYRTVPWFLARFLWSVFGGNSHSATRLIRTARKAAWRLVVTTFDAFLLLLGYTALLGAAAWTAHHRFLGAAPGEEESGAQLPRAALLLGGAAYLAGAAHIGAVWRVACLMLVLEDEWGFRGMHISDELLAGKYWAAAAVFWTTDGCVVAVQLAFGALVVDNTTGLRFRLRVAAGMVMAMALWLAVMAGLVAQVVVYFVSKSCHRQRESLHVTEAKKYLTNVGRGRATRKRRQ >SECCE3Rv1G0169270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:234620295:234623240:-1 gene:SECCE3Rv1G0169270 transcript:SECCE3Rv1G0169270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-2 [Source:Projected from Arabidopsis thaliana (AT2G46520) UniProtKB/Swiss-Prot;Acc:Q9ZPY7] MEVPPEMLDTLAGWFAQTLSPDAAARRAAEQSLAAAAATPGFALALLGLASSPRHDLQARLAASVHFKNLLRRRWPKPADDADDADHLPPNDCVIIKTHILQLLLTAPPLIQSQLSEALAAAAASDFPARWESLLPSIVSSLGNALSAGDVPATNSLLAAAVSLFSRFRNVFDSNTLRIDLKYCLDTFAAPLLEVFLSTSRRLQASAAAASPLELRPVFECLRLCCEIFYSLNSVDLPEFFEDHMREWMTEFRAFLTTSYPPPVEADGAPDALRAAVCDNLQLYMEKYEEEFRAYLKEFVEAVWGLLMAPTVSPSRGQLAVTAIRFLTTVAESVHHALFGTPDAMKQICDSVVVPNLRLRDEDEELFEGNWVEYVRRDAEGSDTDTLRRAACRLLRGLAANYREQVAVLVSAQVQQMLAAYAADRANNWKEKDAAIYLVIALMQKPGATGGGTPVVDMESFFASVIVPELQAPDWESEPMLKATVLRFLKEFKDQIPKATALALLPSVTRFLTHESNVVHSYAAIFIENLLITKDVVQVPGANVVTRASRYVAADINSFAQQIIQSLSKALGYPDSYENPYLMKCLMRVLGIATIAGQVVHEITARLVGILMEVCNNPKNPDFNHYLFEALAAVIGKAGEQDPALVPLFEASLFPVLQRILVEDISEFWPYSFQIFAQLVNLSRPPLSQNYMQLFGVLLSNATWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPNILVIFRSLVSRSSTEDSAFYMLNTLVENVGLDIINPHISEIWSALFTRLQTRQAVKFVNSLVVVMSLVSVKYGPGVLVSSVDTIQPNLFTTILQRFWIPNLKLIKGSLEIKLTAVASTKLLCESAVLLDAAAAQTWGKLLDSIVTLLSRTEQDGVQQEQNDGADVVDSQKTSGYSVSFVRLQYAGKSEDDLLKDINDPKQFLVTSLASLSAQSPGRFGPVIEQHVDPANKSALLQLCAAYNANIV >SECCE1Rv1G0010670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:64521130:64522083:-1 gene:SECCE1Rv1G0010670 transcript:SECCE1Rv1G0010670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHHLNTAFSNPYHPLLSSSPPRHYPPPPLPPPPAADPPERERLPQWSHAETAAFLAIRADLDHSFLSTKRNKALWEAVSARLNAQGGFARTPDQCKSKWKNLVTRFKGTASDAQPEGGDHNAGAAARGSFPFHDEMRRIFDARVERARALEAKKAKGKDAARRDPDDDGGGEGDEGEDDEEEAEMFDEEEGRPDAETRGAGKKRRRKAAPTRTASAGGGVEIGEVEAVLREFMRRQMEMEERWMEAAEARDAERRAREEEWRAAMVALGEERLALVRRWREREDAWRARAEEREERRHQLVAALLAKLGGDTSS >SECCE7Rv1G0474100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:167553346:167555457:1 gene:SECCE7Rv1G0474100 transcript:SECCE7Rv1G0474100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRWQLDAATVIFGLLLLHGAFLFQLAASQSFIGVNYGTIADNLPPAASTASLLTSTSIGKLRLYEPQPDLVAALAGSNISILLGVPNSDVPSLAASPAAAASWAAANIPATVSVSAISVGNELLNSGDPTLGPQLLPAMQNLLTALPAGSTTKVSTVHSMAVLAASDPPSSGAFHPDLAGSLDPVLEFLRQNGSPFMINPYPYFAYASDTRDETLAFCLFQPNPGRVDAASGLTYMNMFDAQVDAVRAALDGKGYAGMDIVIAETGWPYRGDADEAGATPDNARAYNGNLVAHLRSQVGTPRTPGKSVDTYIFALYDEDLKPGPVSERSFGLYQADLTANYDIGLAKGSAGPSTSGQIGLTAAPAQGSPQSGRGATPAGYCVTTGSVPGDTRQVQQQSSSCYVPGRAASPRADAGVLLLVLFGVLLGLAMVAENR >SECCEUnv1G0565960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:398846684:398847862:1 gene:SECCEUnv1G0565960 transcript:SECCEUnv1G0565960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTGVSIVDGEQCCCEASAVVDAGGADSGYHLLVVRGYSRTKQELSAGESITSDAFTVGGHCWYIEYYPNGQNPDCGDFISLYVTNYDDSLKEPLEAKIGFSFIDDVEKQTPMYIRAAGKPCRFTDGHCSWGTDKFVRRDALERSSDLKGDCFTIRCDVVVARKDHKAEDAGGHDTKVLLSDIDQHFKILLQTKVGADVTFEVSGETFAAHRCVLAARSMVFMAQLFGPMKETSAVIRIEDMEAKVFKALLSFIYTDSFPVMEKDSMEEDAMVEVMEDGQEKEAVEDEMLLQWLQDLLVAADRYDVQRLKCICEKQLSENIGVSTVMSALALAEQHHCQGLKEACLKFIQVQSPSCLQTVMATNGWDHVVSTYPSVLKELFLKFASNQRK >SECCEUnv1G0541730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98211119:98211460:1 gene:SECCEUnv1G0541730 transcript:SECCEUnv1G0541730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSISPKRILPSFHGPLTEEEMREDPGPEQVGLGYRAVSAVGVTEDVLVRFIVGYDILVDCWERPLYSKYAFLGALRSAAQMVPYEVSIGLILIVRLVSTFGSAKAIARIFP >SECCE1Rv1G0058380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:699183266:699185037:-1 gene:SECCE1Rv1G0058380 transcript:SECCE1Rv1G0058380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAPKSPTSVGAGDQQVPPGDGGGEEHVGLDDLDLISRLPDEVLGTVISLIPTRDGARTQLLSRRWRPLWLSSNAPLNLETDFALRGEECGLCRPTHKCKHKHITFISKILSNHPGPTRRFALHLVYWPDMGVVDGWFRSRSLSGLQNLEVANNRSGYMLLPSHALIRFAPTLRLLSLSQCRFPDLGAPPSFPHLKALFGYTPPQEDWRGFGEVLTCRGLNPPQSLPNPLQIPLNRTQPKEFIMYDIRISEDSFKIFISGCSVLQSVSLHNMECGRLCINSPTIRSISFYGHFRSVITFQELVIEDAPSLERLVPLDPDCGPATIRIIGAPKLELLGLLSEGISTLRIGTTVFQKMVAVSFTTKMHTIKILLLDSIGPNLNSVVNFLNCFPCLEKLYVITHPEKDINNVREYDPLDPIECLELTLKKMVLRNHDGIKRPIIDFARFFILNAKVLEEMEIGDLDDVKNRWMNYQYGQLQVENRASRNAQIKLKRDVRQDFKHHRHTHDFSVVDPFDRPCGRLL >SECCE2Rv1G0087500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:227072166:227079788:1 gene:SECCE2Rv1G0087500 transcript:SECCE2Rv1G0087500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84C [Source:Projected from Arabidopsis thaliana (AT1G10180) UniProtKB/Swiss-Prot;Acc:Q9SY60] MGRPAMESSSEEELEDDFPGHEWITPQSSIRAAYQSQTEKGIRKICSELLELKDAIENLCGNMQSKYHAFLRISEEVVEAEQELIELQKHVSAQGMLVQDLMSGVCRELDMWQKYSKEEHVVEKDFQSELNAILSVDTQDPKIIFLDEIDILLAEHKLEKALLALDAEEKKYMTTDGSGKESDAEISAYKTALFKRKSILEDQLVRYSEQPSLSITELRKSLSGLIKIGKGSVAHQVLLKTYGSRLHRDVEAFLPTCSVYTETYSATLSQLVFSAISKVLKESSTLFGDSPTNMNRIIQWAEYEIEVFARLVKENSPLPESVSALRSVCICIQTTLAHCSCLEAHGLKFSKLLMLLLRPHIEEVLELNFRRVRRKIIDSARNDDILRLGPQEGSPTSDSVAPKMMLTSSGKKFMSVINDLLDHVTPMTTVHFGGTILSNFLQLFDRYVETLIKVLPGPSEDDNVVESQEPVELKAESDAQQLALIGTAYTVADELLPAAVSKFFDMQTKKKETSGTSEGLGPGSIYSTEYKEWKRHLQHSLDKLRDHFCRQYVLSFIYLEGKSRLDARMYLEGNRDDLFWDSDPLPSLPFQALFGRLQQLASVAGDVLLGKEKIQKVLLSRLTETVVMWLSNEQEFWDVFEDESIQLQPSGLQQLILDMHFLVEIAVCGRYPHRPVQQLVSVIITRAIAAFSAREVDPQSALPEDEWFLETAKTAINKLMLGTSGSESDLEAPIAPDDDGISEDSDSISSLSSIGSEDSFASANNDDLENPVYFTDPDS >SECCE5Rv1G0344720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:647026720:647027600:-1 gene:SECCE5Rv1G0344720 transcript:SECCE5Rv1G0344720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVTGAMGSLLPKLGQLLMEEYNLQKNAKKGVESLMREMKSMHAALCKVAEVPRHQLDEQVKLWADEVRDLSYDIEDIVDKFIVRVEGPSKPAADGNKLTDLVDKMANLFNKGKARRQIASAVKEINKDVQEVANRRGRYTVDNIVPKPTAVTTIDPRLRALYTEVTELVGIYGKRDQELLKLLALGDEDPSNKRPKIVSVVGFGGLGKTTLGHPYWSRQKHVH >SECCE5Rv1G0366400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:813152835:813155522:1 gene:SECCE5Rv1G0366400 transcript:SECCE5Rv1G0366400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G07290) TAIR;Acc:AT1G07290] MSLDHSPTGSLVVYGDDIEEAMSPIRSVAAVAVKDGDASVYKIIHGFLKQKNNSIINVAASVARKAASNKLSRKTSDVFDTLIQQQQSRLGNKTGPLLSGISYCIASCSMILLNKVVLSSYNFNAGISLMLYQNLISVVILVALEFFSIISTEELTWKLIKVWIPVNLIFVGMLVTGMYSLKYINVAMVTILKNMTNILTALGEIYVFRKSQNKQVWAALCMMIISAVSGGMTDLSFHLVGYTWQILNCFLTAAYSLTLRRLMDTAKQSTKSGSLNEVSMVLLNNALSIPFAVILIIVFNEWEYVYQTEVIREPMFWFFATASGLLGLAISFSSVWFLQETGPTTYSLVGSLNKIPISVAGVLLFNVPVSVENLFSIVFGLFAGIFFAKAKMSKS >SECCE4Rv1G0231480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:184941493:184942176:1 gene:SECCE4Rv1G0231480 transcript:SECCE4Rv1G0231480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPPLLPTTVPASAPATVLSAAPDAAATSVASPDPAATRAFLGRLYDSAKRSLSGARPWPELLDRAALSRPDSLSDATARLRKNLAYFRVNYAALVALSLAVSLLAHPFSLAALLALLAAWCFLYLLRPADAAPLNAFGRTFSDRETLGGLIAASVFVVFLTSVGGIIFSALALGAAVVCAHGAFRVPEDLFLDEVPDQGLVGNGATLNLLSFINGAAGGGGRV >SECCEUnv1G0558350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:332005941:332007516:1 gene:SECCEUnv1G0558350 transcript:SECCEUnv1G0558350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAASADEEACMYALQLVSSSILPMTLKNAIELGLLETLVAAGGKLLTPAEVAAKLPSTANPAAADMVDRMLRLLASYNVVSCTMEEGKDGRLSRRYGAAPVCKFLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMSAFEYHGTDPRFNRVFNEGMKNHSIIITKKLLEVYKGFEGLGTIVDVGGGVGATVGAITAAYPAIKGINFDLPHVISEAPPFPGVTHVGGDMFQKVPSGDAILMKWILHDWSDEHCATLLKNCYDALPAHGKVVLVECILPVNPEATPKAQGVFHVDMIMLAHNPGGRERYEREFEALAKGAGFKAIKATYIYANAFAIEFTK >SECCE6Rv1G0390250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:180524769:180525191:1 gene:SECCE6Rv1G0390250 transcript:SECCE6Rv1G0390250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLGLHGVIAAGASRHLALHHSGVIAAAVPAAAAFLAVCALALALCASHSGAGAAATERLRRALASVSRRRTDPVISIHQVQPGGVGPDASPPPCVWQKGILMGGKCQLPDFSGVINYDPAGNMVAPGRARALPALGW >SECCE6Rv1G0432350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:751025913:751027837:-1 gene:SECCE6Rv1G0432350 transcript:SECCE6Rv1G0432350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECSEDRCWEDLTRDALGLIFCKLSLQEILTVVSVVCKPWSKVVSGSDCWQDIDIQEWSQQSEPDQITSMVHMLLTRSAGSCHRLSVSRLPNDSLFAFIADNAQSLKTLEIPRSKINDFIVEDVAQRLTKLTFLDVSSCTKIGARALEAFGKNCKSLVRLRRVMHPMDVAGKVCHNDEARAIACNMPKLCHLEIGYMIIVTTAVIEIASQCQDLKFLDLRGCWDVDGKSLQAKYPGLKILGPAVDDCYENNFWDECSDDDPIGAWDEFVDDDYFTIGSDDEAIWDDDHALE >SECCE7Rv1G0457970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19558732:19559097:1 gene:SECCE7Rv1G0457970 transcript:SECCE7Rv1G0457970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGGCKEAFVSWEECVQAAEKEGSNKVERCFQATTNLKKCMDAHADYYAPVLQAERTVSDQAEAAIAAATADTNKNSEESAPSPHTDWVVVEQAASSTAAEGVKKDEAIVGKAESLSLGN >SECCE1Rv1G0060040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:708598452:708598988:-1 gene:SECCE1Rv1G0060040 transcript:SECCE1Rv1G0060040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPSPSPSPSPAPAKGAALRGSQLKQLRSLFDRFDMDGDGSLTQLELAALLRSLGLRPTGDESRALLLAIDADGSGTVEFDELARAIAPVLTAHAPRLVDQAQLLEVFRAFDRDGNGYISAAELARSMARLGQPLTFEELRTMMRDADADGDGVISFGEFAAVMARSALDFLGVPAA >SECCE2Rv1G0097630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:465656940:465662946:1 gene:SECCE2Rv1G0097630 transcript:SECCE2Rv1G0097630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVAVIVFSPKGKLYEYATDSSMDKILERYERYSYAEKALISAESESEGNWCHEYRKLKAKIETIQKCHKHLMGEDLDSLNLKELQQLEQQLESSLKHIRSRKSHLMMESISELQKKERSLQEENKALQKELVERQKAASRQQQQQQQQQQMQWEHQAQTQTHTHTQNQPQAQTSSSSSSFMMRDQQAHAPQQNICSYPPVTMGGEAAAAAAQQAQLRIGGLPPWMLSHLNA >SECCE7Rv1G0493600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:571195700:571204575:-1 gene:SECCE7Rv1G0493600 transcript:SECCE7Rv1G0493600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRSRTPGKKSSSNTTGGDSSSASPSSPSTEDNIPAENAPVSNPTLAVPESSPPPELEKPSVPAPAPAHPAADIPYAKPKVGAVYGRVKLKFKTSKASELNNSSSVAQAPANAGKSQTAAPEVSKQVTAEKGIIASSTGQTTDGQESELSGSDKDKVPKKAGSMKIVSAGLSSSVQDYTQDREVDEVHEPLPSKQETVLGTEESESASEPKNTQESEIKQSTLESQRDEKELAAALEAIKKVMKVDAAEPFNTPVDPIALGIPDYLDVIDTPMDFGTICQDLERGSKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKAFMKNWLAAGLYSDVQENGGNYNTGDEDAKVSSRTKSKQKRRRPGNDRHKNDCACAVCQVTRRKKERDEILSVDNEVTVVNISEERNMEVNFADNNPGSHDTASSQEQPRHIDVFKATMEADDAQTQMEDPGKSLNNPSPDYEDEVSRQYSEEKEEEYKDLNSQDEHTSTQPNDDSVAGHHEQKAQTETGQEVEMEDLPIQQENESFLQVCARLFPIPSKQGSVFRGRHSLFRQQRRVVASKESPLHAALTAIMKR >SECCE6Rv1G0437020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:780647951:780649026:-1 gene:SECCE6Rv1G0437020 transcript:SECCE6Rv1G0437020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSLSMGVVAAAAVLVFLCATAVPVAAQGKKGLPQNSHVIHPGRFGRRDQVLSCDDTKDGNSPCVAHCDKRCPNECVVMCPGCKTYCMCDFYPGVSCGDPRFTGADGNNFYFHGKKDQSFCVVSDTDLQINAHFIGKRNPAMSRDFTWIQALGIRFADHRLYMGAQKTIKWDNNVDRLELSFDDMPIEIPTAIDAKWQSTTVPALTITRSAATNGIRVQLKGVFDILANVVPITEKDSRIHNYGVTEDDSLAHFDIGFKFHSLSDDVHGVLGQTYRTDYVNKLSVSTNMPIMGGTSSYVTSDIFATDCKVARFGRSSGISMVTGMAT >SECCE5Rv1G0331140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:536311591:536312863:1 gene:SECCE5Rv1G0331140 transcript:SECCE5Rv1G0331140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNYQRRSQNEDEFMLFVLPTMGATEKQPMHTSSLSGALYVNEILTGHESLCKRQFRMEVDIFHALVAKLREKKLLTDSREVSLEEKVAIFLYAVAKNATNQTLQDRFQHSPETISRHFGAVVDAITKLTPIYICPPSLQPHHILRRPHFYPYFIDCIGAIDGTHIPMTIPPDQQEPYRNRKQTLSQNVMVACDFDLKFVHVHAGWEGSASDARVLQDAINHGFQVPPGKFYLVDAGYANTPQFLAPYRGTRYHLQEQGRVRQRPQNYKELFNLRHAQLRNHIERTIGILKMRFQMLKAATLYPVDKQVDISVACCVLHNFIRLHNGDMSWVRNAPLEIDPLQIVDVPSGDHSYNYDVGAFNNSREQGNRKRDYIAHKMWEDYIASRRG >SECCE6Rv1G0442500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:817868974:817869972:1 gene:SECCE6Rv1G0442500 transcript:SECCE6Rv1G0442500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAMLVLPVLLGLFYGASDINCSIVYGNTTDHRSLLDFKGAIRADPRGALRSWNENIHYCMWSGIKCSTMHPERVTVLNLANLSLTGQITPSLGNLTFLWELTLSNNLFSGQLPPLNLLIRLETLFLQNNLLQGNIPNALTNCSKLRLLDLSSNMLVGSIPRDIGSLYNLRGIDLSNNSLTGDIPPTFGNNTYLVELQLTHNQLKGSIPENLGKLPNIGENRAVFLGQNRLSGRVPATLFNLSKLIILDLSANKLRGTLPYNIGNLSASIQWLILGANYLSGILPPSIGAIKNLTVLDLGGNNFVGPIPYSIGNLPKLLKLDLSNNHFDSW >SECCE4Rv1G0251530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:604035067:604036278:-1 gene:SECCE4Rv1G0251530 transcript:SECCE4Rv1G0251530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPILPAKEVEEVVTANEEVTDIIVQRVPYWDPPAVRALDTSELSTWSLYRALIGEFTASLILLYVSIATVIGYKNQSSAVDERCTGVGYLGVAWSFGATVSVLVYSTSGVSGGHINPAVTFALFIAGKVTLVRSVLYVAAQCLGAVVGVGIVKGIMKHPYDDFGGGANAVSGGYSLGAALGAEIFGTFVLAYTVFSATDPKRTARDAFVPLVAALPIGLAVFVVHLATIPITGTGINPARSLGAAVLYNQHKTWKQHWIFWVGPFVGAALAAFYHKIVLRDEAVVKESLEALGSFKRSGSTA >SECCE1Rv1G0033400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:467416239:467417366:1 gene:SECCE1Rv1G0033400 transcript:SECCE1Rv1G0033400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRASLLAVLGCLCFCSSALAARELNEDMSMVARHGGWMAEYGRVYKDAAEKARRFEIFKANARFIESFNAGNRKFWLSINQFADLSNDEFRATKTNRGFIPNKVKVPTEFRYENMSFDALPASVDWRKKGAVTPVKDQGQCGCCWAFSAVAATEGIVKISTGNLVSLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNRGLTKESSYPYAGADGKCKSGSDSVATIKSYEDVPTNDEGSLMKAVASQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTTNDGTKYWLMKNSWGTTWGENGYLRMEKDITDKKGMCGLAMEPSYPTA >SECCE6Rv1G0383080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:49957059:49957655:1 gene:SECCE6Rv1G0383080 transcript:SECCE6Rv1G0383080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHVAATLPPLLPTPARCHLLTPPPAVYTARVELDSKKQQPGRASMSRSWIKDKADLPGRASRSSSWATDKTLRRAGTLNGGVERLGRVETPRENWKRPASRAPSVDRCEKKQRPPTEMVAASEPLTKMEVESTDRSEKKPEPLTKMEVESEASFFAGPTFIMSPDPSELPMPTFIMSPDPSKLPMPTFLYKKSLLD >SECCE6Rv1G0394800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:306280858:306317279:-1 gene:SECCE6Rv1G0394800 transcript:SECCE6Rv1G0394800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMRSTRDLLLEGFEGLVRDGSFKWPVPRRGVDDDDDPYRSPSACKSTSIAGLSPMVSAVVSRCSRVLDVSIEDLQYDFDMQASDSIKHPRNYARNFLEYCCFRALAQVSQVAGYLADKSLRRLTFDMMLAWEVSSSSSQLTVKVEVDRTVSLEAFSRIAPAIPTIADVVTSSNLFDVLSSTSGGRLPFPIYDKYLAGLDRAFKKMKTQSESSLLSGFRSQRGERVLEVDGTLTTQPVLEHVGISTWPGRLTLTDHALYFEAVKVVTFDKPKPYELAEDAKQIVKPELTGPWGSRLFDKAVMYKSTTLTEPVIIEFPELAGHSRRDYWLAIISEVLYVHRFVRKFDISGVDKEEIILKASLGILRLQAIEELAFPASNRYESLLLFNLCDKLPGGDVILETLASTISSRSSAQANQPGMSIGMRSMSAFAVLSNLGMVSPGNNSERLFVGEIVVGEMSSLQKAVTESMNNYKKVELAQATVDGVKVDGLDTNLVVMKELLSPVSDLWRFLVSLALWDEPLKSFVFCLVSSSIIIRGWVVYFLVMVILLSATVMLLTRLTSQGKPMTEVKVTSPPSMNTMEQLLAVQNAISKVEELVQDANIVLLKIRALLLAFPSQATDKAILALLLMALVLALLPTRLLILAMFLEVFTNHSPLRRASTERCTRRLREWWFSIPAAPVVVEKDNKEDKKTK >SECCEUnv1G0530100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:10854461:10855342:-1 gene:SECCEUnv1G0530100 transcript:SECCEUnv1G0530100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKTLQAPPSLARPGSCSFSPSNSKSYCCQPKPHSRLQARIATKNRLQSSPVLKCRANPRGRIDEAVQSHQDHQTTEIPIVLHQSVVFPGQTLQLQTVEFRYRIMMQTLLLQEGHSFGIIYSSRKDSRMADVGCMVHIVQCDKLVDDRFFLTCVGGDRFRVLEVIRTKPYVIARVQVLNDRDSPDTSNLGCLMQQVEGHLKDVTMLSDKLSWKLMGDHARQLSRMHSPESFSLVVARLFVEDRSEQQWLLGLDDTAQRLMREGRYLQQRSKYLAAIAAIRDAFGQLSCNEKQ >SECCE3Rv1G0208620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:933836403:933842633:-1 gene:SECCE3Rv1G0208620 transcript:SECCE3Rv1G0208620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKLDRFAALGKDALSLGIEEDRSTAAAMGFVDDPKDQQHLENSIPLSPQWLYAKPSDAKISAPHGSLLEPPSEKDVRMLEGSGAKKERRRNAFDAGWLDEERETSLLGRRDHKKEVDREVENRKNDRRSDNVSARDNNDSRAAPTSGRWDDGSTRNSGNEGRRDGKWSLRWGPDDKEKDSKPEKKMDAEKDEPHGEKQTLPVRLLPESDSRDKWRPRHRQEPQTGGTATYRAAPGFGLEKGRVKESNVGFAPGRGRANPNAVPSFSRPSSAGPIGAPPVYGRRAATAGAFRYPRGKLLDIYRQQKVVQSFEDGRRMLEEVPPITLSTSVKPLAFVTPDNNEEAVLEDIRKGRVTSSEANTTALQKERNKDLEAFGIDAIKDKSAEAFSGLSHEGSAALISEKDAFYNEGMFEGGITGPPKKPTEENAPSHPHGVSGIREDTKFNEVKSSTDIGPSTKLPDDSNAQFNVNVDYPTGQASYTETKAGGQDSYPEELTLYYLDPQGGVQGPFMGTDIVSWYEDGYFGLELPVRLAQAPDDAPFRPLSDLMPYLGHKPQSLPPVSRGGSAESPDSVHNNFEDALPTSGSFGKNDQTSKADSGNYAVNPTRGDQEAPAQSRSWFPSVEAQKSEANPDIRQQRIPETVSQDAEEVLYTGRPTSGMGQSRQDFENDRADFQLTSLDSRSGVGEANLPKQDAPRENELSPLGLLWSELEGMHPKQPLSSNVLGVNERRNPKPTAPKDIPPANIRHGPLSRMNEAPVVRDDWPSNLGRLDSINDANMSGLISQVEPELGHLNYEEQMLLTQIRREQLQQEQMMGRNNLEFPGQFAGQVFDSLHQHRQSINPPAPEVEHLLRVQFELEHQQRRQQLQQEQHRQQLQQEQHQRQLQQEQHQRQLQQRQAQLLQQQQQQQQQLILEQMLQQQLQSSNFGQANMVDQVLLREQLLNDLHHQPHHFQRQHDAAIEQLIQAKFGHGHHREQHNDMLDVLSRSNQRQALPLEQQILLGLHHDQLQTQQLANAIRQHAGREEERHLSGGWPMDDPSQFIRTGTSPNQSHASRLGQFDLLQSLQRSSSVEHHDHLDRSLSMHERLHRGGQGIHSLERSGSLPGGAPLPNPDVVNALARHHGLGQMETHGDLYSAGQMPMHASGVHPQQHRLQEQLSGSHMGRLERNWSDANGQLQNSLMEASRINQLQIEAEKQRRNVEMNLPIDNPHAWASLMNNERNPEAELSDMIHQKLVLQAQQSRGFPDVPATASFGRKDPSSLFAQPAADNPLRSSVDRLSFDEPLAERSHFSKMGHLGQDGPTSLDILPNNIEMNRKLGLRSSSATMLDMQRGEFPDVNLVGNANDVARRKRQGSSANLAVEDTDFSEGVSNWVDTGIPKGSSHSLLKRTANPHAATSQAASTDLPSAMRSKKAGHASSASSDEHKMESGVTSAAHAVEATASANKEAGSFGIPPSSNQDASGPSFSEMLKSTKKPPLQYDASESADGGPGGKGTKKKAKKGKQIDPSLLGFKVHSNRILMGEIHRPDD >SECCE7Rv1G0518120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857331129:857331640:-1 gene:SECCE7Rv1G0518120 transcript:SECCE7Rv1G0518120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKAKGSTSIKALLVEDIGVCRLVLSTILLRLHCEVTLAMNGKEAVDLFLEGKKFDIVLFDKDMPIMTGPEAIVKIRAMGETSVKMVGVSADDHAMEAFMSAGANLFVPKPMRMEALGHIIQEVINKKKNGMV >SECCE5Rv1G0356260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:738188770:738189987:-1 gene:SECCE5Rv1G0356260 transcript:SECCE5Rv1G0356260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESADAAGGAAGGGAPPGRGSSAKRMRGVMGSRESGGGGDDGMEMVPWGSPPAGQQPQQLQGSASRICRVRASGGKDRHSKVYTSKGIRDRRVRLSVPTAIQFYDLQDRLGFDQPSKAVEWLINAASAAIDELPALDPAAFANMPADHQAAARAGKKQQQQQQASRSLCSSTSETSKGSELSLSRSDGRVGGGSSRDKEVTVASNPSAQAGSFTELLTGAGSAGAAIASAAPHRQSWQQQQPPVSAVTADRVGIVHRGKGGGAQVVPTYPGFRFGNAPPFGMVPAPPFNFGLSAQNQMTQFSLVQGGLAAAPAPAQAGEYSLDFSMNSGYMGATRGPLQSNSPRFSTHHQQQLQDLEDGPSPPFLYENAAATAPHLASENHLTATAAMQLWNGFGHASIKEKSKN >SECCE6Rv1G0443360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:822562000:822562332:1 gene:SECCE6Rv1G0443360 transcript:SECCE6Rv1G0443360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVESSSPYSPPSLRHKLRTTVCGCFGSPSSPGSGGERPHTGGGRARWRRRVAAAGEFRYDPLSYALNFDDGGSDDGDADTEDAAFRHRNFNSRLPPSPVPASRAVSIA >SECCE5Rv1G0328270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:501115046:501117048:1 gene:SECCE5Rv1G0328270 transcript:SECCE5Rv1G0328270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSAFGDFGPLTERRKAEKARQVRKRIMIAGGTIAIILIVCGIVVMLNGKHKEEKGGSSSKKGSKGKSGGGDDGGGDLKAVKKTITLMCRQVDYQGVCEESLTRCANASESSPLGVVRLAVKVIGEALSEAFDRAELILTNEPLVKAAVADCKEFFGYAKEELNRTLAGMDAKDSITKQGYQLRIWLSAVITHQETCIDGFPDGEFKDKVKESFIKGKELTSNALALIEKAATFLAGLKLPQRRLLVEEEGAAARRAEPALGEDGIPEWVPESERRVLKGGGFKADIKPNVVVAKDGSGKFKTINEALLAMPKKYDGRYVIQLKAGVYEEYVTITSQMPNVTLTGESSKTTIITGKKNFVDGITTFKSATFTAQGEGFMAIGVGFENKAGPEKHQAVALLVLADKSIFLNCKMDGFQDTLYAHSKAQFYRNCVISGTIDFIFGDAAAVFQNCIITLRRPLDNQQNIVTAHGRADAREATGFVLQKCEITGDPALTAPGRPPIKNYLGRPWRECSRTIIMESDLPALIDKAGYLPWNGEFALKTLFYAEYGNKGPGADTAGRVNWAGYKKAISKDEANKFTLGNFIHAQPWIDPTGTPVKYDFFG >SECCE6Rv1G0419510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:670269855:670272988:-1 gene:SECCE6Rv1G0419510 transcript:SECCE6Rv1G0419510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKYEVLRRAGRLVGEAALTGRIKAGGAVELELLAERENLGYAKVEVMLGYGARFTQFLRGCPRAFRALTRLAVENLWFKDPGAVTELVHRCRALEHLSLRCCGFEDPVAEMAVDAPPESRLRTLLCLECDVPGVTVVRAPSLVEFHCGWRLFHANTPPASFGCTPALKKVTLHYQPYHEDDAHVGRRLSEFLLLEPDQLEVLTLLFDEATKIWVRPEMPKYLRPVLGGLKELRLENVHPARDLSWALFLLGAARHLETLYIKIFNHVCSLSWDMKLDEDMEPDATCLPPSGFKHRRLKEVVIHRAFHVARDASFARTVVEMAVNLKKLTLGVEDHGCDGCAAAEARRPALARSRFRFPGAGKDVDALVERVRGGVTTSAQVVVL >SECCE2Rv1G0098550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:485474731:485477202:1 gene:SECCE2Rv1G0098550 transcript:SECCE2Rv1G0098550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMADEKVISAPATGGGEDGLIAGDLSAAEARVRPLETLLRAAPLGLCVAAMAVMLRDTQTNEYGTVSYSDLGGFKYLVYANGLCAAYSLVSAFYTAVPRPATLSRSWIVFLLDQVFTYLILAAGAASAELLYLAYNGDKEVTWSEACGVFGGFCRQARTSVAITFGSVVCYILLSLISSYRLFSAYDAPMPSLGNKGVEIAAFPR >SECCEUnv1G0560960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:350676342:350678525:-1 gene:SECCEUnv1G0560960 transcript:SECCEUnv1G0560960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGKAPVPAKKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALHQFTRTLDKNLATNLFKMLLKYRPEDKVAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKARERLIAKEAAQRMN >SECCE6Rv1G0439840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:799873803:799874111:1 gene:SECCE6Rv1G0439840 transcript:SECCE6Rv1G0439840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPNRLMSADEIFDFVKAADCYQNVSIVYRILLTVSVTVASAERSFSKLKLLKNYLRSTMSKERLNGLAMCCIEREMLDNIDLDTIISDFASKNARRCRFS >SECCE5Rv1G0305520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:73867678:73869507:1 gene:SECCE5Rv1G0305520 transcript:SECCE5Rv1G0305520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGEMMVDEKGRCGRCREWQEHYYWEHMEDVSKIRFFKLMTGDFQQRTSIPEKIANNFIGKIANGGFALKAPSGEEWRVGVEKIADELFFMPGWEEFAKAHELQENDLLFFKCSGNASFDVLIFDASGCEKVSCFFANKKDTNMRKQFDNIECQHGEEHCILSDSDDTSMPLSQLVRSTHKAPSSKKPRNENESPNSSNYYLKKEVEEEEESDDEIANCLTGDEREEIFRQVPIQSGNPIYVVVLQKAHVRPANNLLIISSKFAADHLEGRSYEMLLLRPNREEKWCLKYYHSRVTRGFNSRRWNRFVRDNMLREGYVCVFELMKGARKATMTVHVLRKVDDKFVLLG >SECCE1Rv1G0038950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:544173158:544174698:-1 gene:SECCE1Rv1G0038950 transcript:SECCE1Rv1G0038950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSLRNPLLVLLFLAAAAAAPVAQIAMAAEPEQAPAAQEAAVHIVYVDRPEDADPEEFHISTLAPVLGSEEKARCAVLYHYKQAASGFSAKLTTEQVEDLKKQPGVLQVVPSQTYQLHGNAGGHASTTRTMGLM >SECCE1Rv1G0043150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:589258664:589267073:1 gene:SECCE1Rv1G0043150 transcript:SECCE1Rv1G0043150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQESMVTMESFSLSLVAVGSAAAVVYLCVAAWVSWPRRVGEIFRRQGIDGPPPSSFLMGNLSEMQARVQASAEDGAGDLHKDDDGFDDYCKRIFPYFDKWRKAYGDTYLYWLRQRPALYVTDPELIREIGRCVSLDMGKPTYLQKGQEPIFGAGVLKTNGACWARQRKVIAPEFYMAKVKGMVGLMVAAAQPLLRSWEDSVDGAKGGVAAVDVDADIRSFSFDVISRACFGDDHSRGREIFLRLRALSGFMSEPSVIFTIPSLRYLPTAKNRRIWRLAQEIRSLILEIARGRRAATGDSPGPDFLGSIIDSSGDQPRPDDFVVDNCKNIYFAGHETTAVTATWCLMLLAAHPEWQDRARAEVLDVCGGATGAADPDFDMISRMKTVGMVVQETLRLFPPSSFVVRETFRDIRLGQLLAPKGTYLFVPVSAMHHDAASWGPTVHRFDPDRFKNGVAAACKNPQAAFMPFGLGARTCLGQNLAIVEVKTLLAVILARFAFTLSPDYRHSPAFRLIIEPEFGLRLHVRRIGGTATQDGFVKSNDGLVS >SECCE6Rv1G0437000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:780543136:780544259:1 gene:SECCE6Rv1G0437000 transcript:SECCE6Rv1G0437000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASLSMGVVAAAAVLVVFCATLPATAQGKKGVPPPKLPSNFKTIHPGRFGKRYRTVTCDDERYKNTCIPICDKIRCPNECIVVCPSCKTYCMCDFYPGVSCGDPRFTGADGNNFYFHGKKDQSFCVVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFAGHRLYMGAQKTVEWDSDVDHLELAFDGMPVDIPTEMDAEWQSAIVPTLTVTRTSATNGVRVQLQGVFDILANVVPITEKDSRIHNYGATEEDSLAHFDIGFKFHALTDDVHGVLGQTYRTDYVNKLSVSANMPIMGGAASYVSSDIFSTDCKVARFGRGHNRISMVTTKAN >SECCE5Rv1G0367290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:820321715:820322020:-1 gene:SECCE5Rv1G0367290 transcript:SECCE5Rv1G0367290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRKALALTVVLLVVAAAVGAQAVCDMDNEDFMACQPAAAATTDPQPAPSEACCATLGKADLRCLCSYKNSPWLSLYNIDPKRAMELPAKCGLATPPDC >SECCE1Rv1G0043980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:598368776:598372168:1 gene:SECCE1Rv1G0043980 transcript:SECCE1Rv1G0043980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGEAVLSAFMQALFEKVIATAFGELKLPQDVAEELEKLSSSLSIVQAHVEDAEERQLKDKAAQTWLAKLKDVAYEMDDLLDDYAAEALRSKLEGPSSDNHLNKVRSCFCCFWFNTCLFNHKILQDIRKVEEKLRRLVKEREIIGPNMISATDRKEIKVRPETSSIIDDSSVFGREEDKETIVKMLLDQNNSNHSNLSILPIVGMGGLGKTTLTQLVYNDTRIKEHFQLRVWLCVSENFDQMKLTKETIESVASEFESTISWVSSATTNMNLLQEDLSKKLKGKRFLLVLDDVWNEDPEKWGTYRRALLTGGKGSRIVVTTRNKNVGKLMGRMTPYYLNQLSDKDCWSLFRSYAFVDGNSNAHPNLEMIGMEIVKKLKGLPLAAKAIGSLLCSQDTEDDWKNVLRSEIWELPSDKNNILPALRLSYNHLPAILKRCFAFCSVFHKDYVFEKDRLVQIWMALGFIQPQRRRRMEEIGSSYFDELLSRSFFQHHKRGYVMHDAMHDLAQSVSIHEYLRLDDLPNNSSSARSARHLSFSCENRSQTSFEAFLGFTRARTLLLLSGYKSMTRSIPSDLFLKLRYLHVLDLNRRDITELPDSIGSLKMLRYLNLSGTGIAMLPSSIGRLFSLQILKLKNCHQLDYLPQSVTNLVNLRWLEARTELVTGIARIGNLTCLQQLDEFVVRTDKGYKLSELKAMKEIRGHICIKNIECVASIEEAIGAFLSEKAFISILDLIWSDNRNVTSEEANQDKQILEVLRPHHELNELTVKAFAGSSFPNWFASLSHLQTLHLSDCTKCSTLPALGELPQLKYLDIGGFPAIIQISQDFSGTNGVKGFPALKELVFEDMSNFKSWASVQDGEFLPSLTELAVVDCPKITDFPPLPSMLVKLKISETGFTILPEVHIRNSEFPSSLECLQIHQCPNLTSLQEGLLSQQLLALQQLTITHCLDLIDLPVEGFRYLSALKSLHIYDCPRLAPSGQHSLLPSKLEDLRISSCSNLINPLLQELNELSSLTHLTTADCTSLQSFPVKLPVTLQKLEILNCSNLIYLPAGLEDASCLTAITILKCPLIPCLPGRLTGSLKELYIKECPSLLESCQENSGRDWCNIAHVPIIEISDDTNITNKSTRRRLS >SECCE5Rv1G0322860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:426631098:426635284:1 gene:SECCE5Rv1G0322860 transcript:SECCE5Rv1G0322860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDLDRQIEQLKRCEPLAEAEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTEIFDYLSLSALIENKIFSVHGGLSPAITTLDQIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHSNNIDYICRAHQLVMEGFKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHESRGVPAKRPAPDYFL >SECCE1Rv1G0028120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:393881367:393881804:-1 gene:SECCE1Rv1G0028120 transcript:SECCE1Rv1G0028120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGDAAACLPLLPLPPRRLLLVVLVAMLTVVLVAAPSARAAWVEDYPSGVPCGATIPVEQCDPGDAAANSACMDVCHYGGCRRGGRCVSLGFARGRGCHCRC >SECCE1Rv1G0045050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:607828598:607829197:1 gene:SECCE1Rv1G0045050 transcript:SECCE1Rv1G0045050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRTQEVHVAGRTAHATVTSHPAVARRWVHTTRWRNGGRLRSSAGLTVGMGVQWTPPFRRLPDGAEPRPATLQLCCGRRCLVFQIGKAGAGGVPRILQRFLEDARVDFFGYNVLSDCRKLSAHYGLRVACPRELRLVTGMGNASMESMAEQVLGWRGVKKAQRVAVSNWDVSTLSKKQVRYAATDAFVSYCLGAKCLK >SECCE4Rv1G0227670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:125776283:125780052:1 gene:SECCE4Rv1G0227670 transcript:SECCE4Rv1G0227670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSALQSIIYDRGALRLLDQRKLPLEEVYIDVKDSADGWNAIRDMVVRGAPAIAIAAALSLAVEVNDHDFTGTPREAASFVSKKLEYLVSSRPTAVNLSDAATKLQNLVSRTAETAKDAKSIFQVFIEAAEAMLVDDVADNKAIGSHGAEFLQRQLGSSRNISVLTHCNTGSLATAGYGTALGVIRALHSGGVLEKAFCTETRPFNQGSRLTAFELVHDKIPATLIADSAAAALMNNGQVQAVIVGADRIAANGDTANKIGTYNLSISAKHHGVQFYVAAPVTSIDLSLPSGKQIVIEERSPKELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKSDPNGSFDIKGFIECAK >SECCE1Rv1G0034960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:493562025:493563344:-1 gene:SECCE1Rv1G0034960 transcript:SECCE1Rv1G0034960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGYETDKNIEIWKVKKLIKGLDAARGNGTSMISLIMPPRDQVSRVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYHRVPPNGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLYGTLSGNSREVVYKFSVDLPKKHGRGGQSALRFARLRMEKRHNYLRKAAELATHFFINPATNQPNVVGLILAGSADFKTELGKSEMFDQRLQAKIIKSVDVSYGGESGFNQAIEMSVEVLSEVKFVQEKKLLGKYFEEISQDTGKYVLGVQDTMAALEMGAVHTLIVWENLDVRRYELKNSATGETVVKYLNGGQEADQSNFVDEATCGELDVVDRVLLLEWFAENYQQYGCKLEFVTNRSQEGSQFCRGFGGIGGILRYPADVAAYDDDDDMLDDDVYEGLE >SECCE5Rv1G0317330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:341596321:341598226:1 gene:SECCE5Rv1G0317330 transcript:SECCE5Rv1G0317330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQSSIDPEQGKVTVSGMVDPDTIIKKLTKAGKPAELWGSKTGMANQFQKLQLDGGGKGQAKDAGGKGQAKDAGGAKGQKAGGGGGGGGGAGGGSKDAKMMMPQPTAQQLQQLQQQMQMKGLKMPQFMDGKMPFAAAAPMKDPKSVKFSLPPEDEFGDDGSEFDDEFDDEDDFDDDGLDDDYFDDPKMMMKPMAMPPNAGGGDKKGGNNGAKKGGNEIPVQIKGNPNNGGGKKDAGGKQNQGGGGGNGNGGGKNGGGGQANNAKGGGGAPGGGGQPGQGQPGKKGGGGGPGLGVGGPMGGIGMPPQQQAMMRPNMIGAAGFRGMGPMGGLMGHHPHMGGAQGGGGAAHGMPAGGMPPPGFYQGGAAGAGGGGMPSGPAEMMQAAAAAGNPMAQQQYMAMMQHQQQMMMQQQQQQQQAMMNGHAHAHPHHGGGGAPAGYPAMPPMGYGYGRPPMPYPMAYPMPMQPHPHADPYNYFSDENPNSCSVM >SECCE6Rv1G0403150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:497277461:497282513:-1 gene:SECCE6Rv1G0403150 transcript:SECCE6Rv1G0403150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N6-adenosine-methyltransferase MT-A70-like [Source:Projected from Arabidopsis thaliana (AT4G10760) UniProtKB/Swiss-Prot;Acc:O82486] METKADLAGGGSGGDDLSTMREQCRSMEEAISFRREAQLGLVGSLQHLVPDLVPSLDRSLRLIAAFNDRPFTPTPNPNAGDPQNTNLKPHHRRAVPDPARSTRRKTSPGSSPASAAVGGAAPGGLDAVRTMVAVFLLELIPFAEIDAAVLARRLQAESSSASDAERAALNDLATELGGSVPAAVALALRRIAEDSGGVQIEEAMIGGKQMMMVWAIDRSKLLKELPESATLLPPQPPPTPQAASSEIDTNSAIIPRPPPPQQQQQPDMWAHSMPPMFPRPRGMTMPGMPRMMPGLMPLQRPFMAPSPGPGPIQQNQRTEEEDLKDLEVLLSKKTYKEKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQSGSFVSCAKVHFRRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYELDQTPDIAPMMAGALAPPRQIKPHRAEYCSEIELGESQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGSPLVNRNIDTDVIVAEVRETSRKPDEMYAMLERISPRTRKLELFARMHNTQAGWLSLGNQVSGTRLVDEGLRARYKAAYPDFEVQPPSPPRATAPMDVDQSTPSQKPAVSDGERPA >SECCE7Rv1G0512160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:807335708:807338283:1 gene:SECCE7Rv1G0512160 transcript:SECCE7Rv1G0512160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAVVLLLVFAAARAAAVVTDGLLPNGNFELGPAKSELVNGTVVKGGKAIPKWETSGFVEYIESGHKQGDMLLVVPQGAYAVRLGNDASILQRIPVARGSYYAITFSAARTCAQAERLNVSVSPESGVLPMQTIYGSNGWDSYAWAFKAKLDVVELVLHNPGAEEDPACGPLVDAVAIRTLYPPTLSKGNMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPAWMIMSSKAVKYVDAAHFKVPEGARAVELVGGKESALVQEVRTVPGWAYRLSFAVGDSADGCKGSMVAEAYAARSTLKVPYESNGAGGYKRAVLDFVAVRDRTRVVFQSAFYHMKADGTLCGPVIDDAKLVGLRKKPAARRLML >SECCE2Rv1G0076160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89182267:89188031:1 gene:SECCE2Rv1G0076160 transcript:SECCE2Rv1G0076160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPAESLKSFEERASDAEARLAKLEALLLNKDGPSEASSSAMSDLEAKLDAATKECLAEKEQNRKLTVENEKLQYRVSHLIRTIKEAESR >SECCE7Rv1G0510250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:788220808:788224706:1 gene:SECCE7Rv1G0510250 transcript:SECCE7Rv1G0510250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHPPPPPPGSRGWGGGATGRRRAFACALAGAALALALLCLFHGAAFGPTLRRGRHPRLRHRASQVQAQAPLPAGLALSSLPVCDARHSELIPCLDRGLHHQLRLRLNLSLMEHYERHCPPAPRRLNCLIPPPDGYLVPIRWPRSRDEVWTANIPHTHLASEKSDQRWMVVNGDKINFPGGGTHFHYGADKYIVNLAQMLGFPNDKLNNGGTIRNVLDVGCGVASFGAYLLPLDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTRRLPYPSRSFELAHCSRCRIDWLQRDGILLLEVDRVLRPGGYFVYSSPEAYAHRDPVNRKIWKQMSNLAQRMCWKIASKEGQTVIWVKPLTNGCYMRREPRALPPMCGHDDDPDAAWNVLMKACITPYSKRVNKVKGSGLLPWPQRLTAPPPRLEEIGISSKNFSEDNEIWHSRVAQYWKLMKSEIQKYSFRNVMDMNANLGGFAASLSKKDVWVMNVVPFMESGKLKIIYDRGLIGTIHNWCESFSTYPRTYDLLHAWLLFSEMENQGCGLEDLLIEMDRIMRPHGYAIIRDKAAVIDYIKKLLPALRWDDWSSEVKPKRDTLSSVDERVLIVRKRLWDQAPHASS >SECCE3Rv1G0181050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:604116765:604125138:1 gene:SECCE3Rv1G0181050 transcript:SECCE3Rv1G0181050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRDRRSHRDPSPSPSRPSGPRASPSSPRPRLRLAFIVPPLLLLVLTVLHFTGLLSRSPPYPQTPGKTPLSVYDRGLVKRQVSAGEILAEHARVSENQSRRHFPNPVLAYVTPWNSKGYDMAKLFSTKLTHVSPVWYDLKSAGNKLSLEGQHNYDVGWVSELQNNGSLIVPRVVLEAFPGAVLLKKKSRDKTIELIVSECRDKGYDGVVLESWSRWAAYGVLDDPELRQLALQFVKQLGEALHSISSKSSTRNHLELIYVIPAPRMEGPNNQDFGSEDLLQLADSVDGFSLMTYDFSGPQNPGPSAPLKWIQYSLTTLLPAKGSASQGHSHMIFLGINFYGNDFLLSKGGGGSSITGRDFIHLLEKYKPSLQWDDKSSEHFFIYSDKGVRHAVFYPTLLSLSVRLDEAQDWGAGLSIWEIGQGLDYFFDVL >SECCE5Rv1G0353260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:715145039:715146194:-1 gene:SECCE5Rv1G0353260 transcript:SECCE5Rv1G0353260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-pore K+ channel family protein, K+ homeostasi [Source: Projected from Oryza sativa (Os03g0752300)] MSDNNIQRALLPDNNPDADALQRKTSQGAKRFRRCRSAPRSETDEKPQENGSALPAKELFSVIRPSFRLAALFLFLYLLAGVVVFYLVMDQLSGKRTNRVLDALYFCIVTMTSVGYGDLVPNSDTAKLLACVFVFTGMAIIALFVSKSADYLVEKQEVLFFKALHMNMKCGEAKMLRQIETNKTKYKFYTASLLLVTTIVVGTVFLWKVEKLSLVDSFYCVCATITTLGYGDKSFSSQLGRTFAVFWIITSSIILALFFMYLTEIYTERRQKMLAKWVLTRRITTMDLEAADLDNDRKVGAAEFVVYKLKELGKISQEDISSFLEEFDKLDVDQSGTLSTYDLTQAQSGQ >SECCE7Rv1G0477940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:230218354:230218865:-1 gene:SECCE7Rv1G0477940 transcript:SECCE7Rv1G0477940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVRPKLAYIVVYVEDVVKAAAFYAEAFGYSVRRVDDSHKWAELDTGSTTIAFTPRHQRETDALTGEVQLPKSPRERGPVEICFDYDDVDAAYRRAVENGAVPVSAPEQKNWGQKVGYVRDVDGITVRLGSHVRE >SECCE7Rv1G0463210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:51601141:51602914:-1 gene:SECCE7Rv1G0463210 transcript:SECCE7Rv1G0463210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRAASDTDVSVHSTFASRYVRSSLPRYRMPEKSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAEGKPFDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEQAVEMVDENTICVAAILGSTLNGEFEDVKRINDLLEDKNKETGWETAIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYPGIGWCVWRSKEDLPDDLVFHINYLGTDQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCQENAMVVREGLERTGRFNIVSKEQGVPLVAFSLKDNSRHDEFEVSDMLRRFGWIVPAYTMPADAQHVTVLRVVIREEFSRTLAERLVLDIDTVMNQLDALPSNAVCSNKQ >SECCE1Rv1G0017220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:150682589:150682846:1 gene:SECCE1Rv1G0017220 transcript:SECCE1Rv1G0017220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAASASVSVCSAYHSLSTPAEANDNSARSQPQLQRRRKQAAGSGIGGLRSRCHAVLKQQRTRLYILRRCVSMLMCWNEHDMSD >SECCE1Rv1G0032290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:452908529:452910124:-1 gene:SECCE1Rv1G0032290 transcript:SECCE1Rv1G0032290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRRSPPPPPTSPPCSGLLGALHHSVSAGQASAAVSLLPTLSRAGLRAPFPLLSSLARLLLLRRAAPSFPALAGRLLLYIRLAGLKHFVASSTQLANHLLSLHFLLGRPRDARRLFARMPRPNVYSCNAMLAGYAHLSLAAPAAEIFAAMPHHDLASYNSAMLALAGGGEMRGSLVLYSDLRHTSPSLGYSDHTFSALLVACAGLMDRGLARQLHAHLSHLGFLSDVNIASSLVDVYRKCGCIADAHRLFDEMPVKDLRVWTSIVCGYAEDGQMSVARQIFDRMQKRSIISWNALMEGYVRHGQAAESLSIFKHLIKDGFHPDQSTFSSALSACAAIGSVTHGKQIHSMLLQTGFDPSVIVLSSLIDMYSKGGYLAGARQVFSLACQERRDTVLWNAMLSALCHHVHGQDAIGLFVQMIRERVKPDANTFLLVLTACCQCSLVDEGMNFFDLMTKRYRIVPGEDHYVCVVDLIIRSSRSCDEVVEWIRSSQFGSSKQAWETLVRKCAINGNTELMSKAEEHVAELGSPK >SECCE7Rv1G0459890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:27697250:27698596:1 gene:SECCE7Rv1G0459890 transcript:SECCE7Rv1G0459890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKLPLRALILHLVLAAILHPAVVAAVGDGKPGRGGGGFSLRLVPSPGWNRSFHVDDNGFVHLNEHVTTALRPPMHTRVGGTYSVVTSVGTGAGRRTYVLAVDMTRNLLWMQCNTVQRPFVQDPPPFDPANSPSFRPVPGNHPMCMSNGHRPRAQDPCNFHLRPTRHDAVDVTGVLGNDTLAFGTSGKVAGVVIGCAHTSYGFNSHQVLAGALGLGRQEPSLIWTLGKHRQVHRFSYCLPRRGSPDHRTFLRFGDDVPGTQHMVSTQIMYMSHTSGQDFSAYFVNLVGISVAGRPLQHIGELFKRHMYPSGWTGGVVFDVGTPSMMMIRTAHQKLEDAVRQHLKPLGVSPVRHAGYHLCYPATSHLWQHLPTVTLHFAEENARLLLTPQRLFLAMGHDICLAVHTSLDVTIIGAMQQADTRFVYDVSAGRIYFTPENACHADAGGQI >SECCE3Rv1G0211590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:951270962:951273650:-1 gene:SECCE3Rv1G0211590 transcript:SECCE3Rv1G0211590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELVAVRLPVIEAQKECPIDLKEAISSICFAAPRCSDLPELMQVQMMFATKYGKEFVGAAAELMPDSGVNRQIIELLSIRPPPVDVKMKLLKEIAEEHEIDWDPSETETEYLKPHEDLLNGPTYFSGSTLPLPKEKHEEPVSASAADEPGEDYQSDGDFDSLDLPEVPKAAIRRASGTQSTPDIGPHVQSSQSAAHDFSNPADLEENPTADAAFYNYMKSSEAPVSPQFAQPRMPALPNEKKQFVPFVSPPPFASASSMERSDSIPLNSPPVKSAEQEFFTRSVDEVTSPQTPKDVNMFSKRPEQGHSISPIESGENIDMDDVVSAAQTAADSAERAASAARAAANLARLCIADLRKNTRVYESHSDDSQKESHHQTEVTQKPAFDHQDSFSNDFEGYAPSHVPQRSTSLEHDPFSYPNLFSSKP >SECCE1Rv1G0000270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1042446:1045039:1 gene:SECCE1Rv1G0000270 transcript:SECCE1Rv1G0000270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQEIEIMGKPQLRSAMAKPWGILSRFILFSVAFMLGMASTFLLRSLPGTVISLASADLCTQPSSPPPAQALRPRLPQQLSDGNGNESHHMVMLHNMTDDELLPRASMARGIRSPVLGAPKVAFMFLVRGELPLAPLWDRFFDGHTALFSVYVHPDPAYLGSPEKGSVFYGRRVPSKEARWGQSSIVEAERRLLANALLDATNQWFVLLSETCIPLYNFATVYSYLTHSAGATSFIDLFDTPPSRGRYRRAMAPIVTPANWRKGSQWFATDRGLALEIICDVTYFPVFQRHCNGPCIMDEHYLPTFVAASGWHGNANRTLTFTRWTRGPHPDSYNEVSVDLLQGMRSHRNCSDGGGTTLLCYLFARKFRSGALPELLKLAPGVMRFG >SECCE3Rv1G0189340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:724973575:724978937:1 gene:SECCE3Rv1G0189340 transcript:SECCE3Rv1G0189340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGTHPPCAACGDDAHAACRACSYALCKACLDEDVAEGRAACARCGGEYAVFDPAHGKGSAVEEEEAAVEDQLVAEGMRGRVTMGNQLSGRQDEVSHARTLSSMSGVGSELNDESGKPIWKNRVDSWKEKKNEKKASAKKAAAKAQVPPVEEQIMEEKDLTDAYEPLSRIIPISKNKLTPYRAVIIMRLVVLGLFFHYRITNPVDSAFGLWLTSVICEIWFGFSWILDQFPKWCPVNRETYVDRLIARYGDGEDSGLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKISCYVSDDGAAMLTFESLAETAEFARSWVPFCKKFSIEPRTPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEFKVRINALVAKAQKTPEEGWVMQDGTPWPGNNSRDHPGMIQVFLGETGARDYDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPSVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGIQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSACSFCCCCCPKKKVEKTEKEMHRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKSFGQSSVFIESTLMENGGVPESADPSTLIKEAIHVISCGYEEKTEWGKELGWIYGSVTEDILTGFKMHCRGWRSIYCMPIRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYGYGGGRLRWLQRLSYINTIVYPFTSVPLVAYCCLPAICLLTGKFIIPILSNAATIWFLGLFTSIILTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMVIGLDTNFTVTSKAAEDGDFAELYVFKWTTVLIPPTTILVLNLVGVVAGFSDALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTIVILWSVLLASVFSLLWVKIDPFITGAETVATGACSSIDC >SECCE6Rv1G0405450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:530655100:530659269:1 gene:SECCE6Rv1G0405450 transcript:SECCE6Rv1G0405450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSDFIAMAGEADGKTYQVSRMPPAALNERILSSMSQKHVAAHPWHDLEIGPGAPAVFNCVVEIPRGSKVKYELDKATGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDISELPPHRLQEIRRFFEDYKKNENKEVAVNDFLPAEDAIDAIKYSMDLYGSYIMEGLRK >SECCEUnv1G0531370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17208318:17209181:1 gene:SECCEUnv1G0531370 transcript:SECCEUnv1G0531370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKITARQSTGGKAPTKQLRAFYAAARKTAPATGGVKKPRRYRPGTVALREIHKYQKGTELLIRKIPFQRLVREIAQFSKSDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMSKDIQLARRIRGERM >SECCEUnv1G0546710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:161517684:161521533:1 gene:SECCEUnv1G0546710 transcript:SECCEUnv1G0546710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAATMGEDTQRRGAAMVVVPAPRPRKGVASWAVDLLERLAVRLRHEDKAEPLPWLSGNFAPVPDETPPAAGLAVRGHLPKCLNGEFVRVGPNPKFAPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTSRLEQEEYFGGAKFTKIGDLKGVFGLFMVLTQELRKKLKVLDVTYGFGTANTALIYHHGKLIALSESDKPYVVKILEDGDLQTLGLLDYDKRLKHPFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGIMLDPVPITIPESVMMHDFAITENYSIFMDLPMFFRPKEMAKNGEFIYKFDPTKNARFGILQRYEKDEKTIRWFELPNCFIFHNANAWEEGEEVILITCRLNNLDLDQVNGHQSEKLEDPGNELYEMRFNMKTGVASQKQLSVSAIDFPRINESYTGRKQRYVYCTILESTVKVTGILKMIGIIKFDLHAESESGKEQLEVGGNVRGIYDLGPGRFCSEAVFVPKEPGVLGEEDDGYLIFFVHNENTGKSEVNVIDAKTMSADPVAVVELPSRVPYGFHAFFVNEEQLGHQVER >SECCE4Rv1G0258450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677697292:677698631:1 gene:SECCE4Rv1G0258450 transcript:SECCE4Rv1G0258450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHPSKSVEIPPTVQELVTCMQELPSRYVLPEQDRPDVARSEMPEPIPVVDLSRLSSTDNSTDENVKLRSALENWGLFLAIGHGMEPSFLGEVMEVTREFYKLPPEEKQKYSNFVDGKEFRMEGYGSDMVISEKQILDWCDRFYLVVEPESQRIYTLWPTQPPSFRDILSEYTVRCREITNLVLKNLAKLLNLDKDYFVNMLDENAMTYARFNYYPHCPKPDQVFGLKAHSDATVITIVFVDDNVSGLQLQKNGVWYNVPIIPNALLVNMGDAMEIMSNGFFKSPVHRVVTNAEKERLSLVMFYAMDPEREIEPVPELVDEKNPRRYRKIKTKDYIAEIFKTFAKGTLAIDTVKI >SECCE5Rv1G0307160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:106688756:106693182:-1 gene:SECCE5Rv1G0307160 transcript:SECCE5Rv1G0307160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLEENFGGVKGKNSSEEALRRWRKLCSVVKNPKRRFRFTANLDKRGEAQAIKHANHEKLRVAVLVSKAALQFIHGLKLRSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLIIHGGVAGIAAKLATSPTDGLDTAEDSMQRRQDIYGINKFTESETRSFWVFVWEALQDTTLIILAICAFVSLVVGITMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKRKIQVQVTRKGFRQRISIYDLLPGDVVNLAIGDQVPADGLFISGFSLLINESSLTGESEPVVVNEENPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGQIGLFFAVITFIVLSQGLISKKYHDGLLLSWSGDDALAMLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSATTICSDKTGTLTTNHMTVVKTCICGNIREVNSPQNASKLCSELPENVVRTLLESIFNNTGGEVVINQDGKHQILGTPTETAILEFAMSIGGNFKAKRAETKIAKVEPFNSTKKRMCVLLELAEGGYRAHCKGASEIVLAACDKFIDETGAVTPLDKATAGKLNGIIDGFANEALRTLCLAYREMEEGFSIEEQLPQQGYTCIAIVGIKDPVRPGVRESVAICRSAGVTVRMVTGDNINTAKAIARECGILTEDGLAIEGPDFREKTLEELLVLVPKIQVMARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVARWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKREPVGRTGKFITNVMWRNIFGQSLYQFVVMWYLQTQGKAFFGLGGSDADIVLNTIIFNSFVFCQVFNEISSREMEKLNVLKGMLKNYVFMCVLSSTVVFQFIMVQFLGEFANTTPLTSLQWLASVLLGLVGMPIAVVVKLIPVGSS >SECCE3Rv1G0206390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:908537542:908540193:-1 gene:SECCE3Rv1G0206390 transcript:SECCE3Rv1G0206390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPAIPSGAGGSPTAGGIGEERDVFVPVADISRIIGKVTLPDGKIDEEAVRELVSEFITRRASSESDRCKRAKREAMTVDDLLSEMAKQGFQDHIEPLKACLHKYRLELPCTMEVEPKPLTESDARDWSELPLDALSAIFMKLGTIEILMGAGLVCRSWLVTAKSPELWRFVDMTRHKVVFSKAENVMCKMAKVAIDRSDGRMESFWAQKFVTIELLNYIASRCNSLKSIRLIGAYYFWDDENVLIKLAAKCPMLEEIEYSDQKQSWSFFTGIGAARPELKRLRVRLPWFDSDSILREMRMEQQNGDDEDDEEEEEESHEAWEARHNEEAFAIAESLHELRLLQMAGYGLTNKGVYAILEGCPHLEFLDLRECLHVKFNAELRARCANIRHVRLPGGGPYVRCPELDTIKANEGEVIKMADLYEMEAHSVRNEPAMDNDDYGENYCDCWDDYSLISSPDSPALPMYSMDDPRYYWEL >SECCEUnv1G0548960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:195082451:195085628:-1 gene:SECCEUnv1G0548960 transcript:SECCEUnv1G0548960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGTLHARALVRLNSTPVAIDKPKAEIIKLLTEVDGCGSPEQLKIVSIVGSGGMGKTTLADQVYQELKVGFQCQAFLSVSRSPNMMNILRRILSQVSRQPYANTIEGSEEDLVLKINEFLQDKRYFIVVDDIWSVDTWDIIKRAFPKTSIGSRIITTTRINDVAKSCRSSFNGHIHDIGPLDIVHSRQLFCRILFGSEEHCPSHLEEVLNQILKKCDGLPLAIIAISGLLANKESTVSKWNEVKDSIGRALERNNSVENMMKILSLSYFDLPSDLKTCLLYLGIFPEDTIIEKKNLIRRWIAEGIILKDGRYAIHEVGEMYFNELVNRCLVQPVKDRYDHKVTRCRVHDVILDFIVSKSIEDNFITLVGVPCVPINDKMKVRRLSLQNGGEGNSTLPKNLVLSHARSLSVFGNTEGVPPLNVFMHLRVLDFGGFKQLKEHYLTNIGMLLHLRYLNLRGTGVSKLPKGIRHLRCLEMLDLRSTEVGELPASLLNLRKLVHLLTEGVVKFPDGIMKLEALQVLKRVRVFSQTSNFLQELGQLKNLKKLFITFQHDSRETEEMKELYKVIASSLRSLVCQSNLRSLKIMSGASFLQQEPLCPTMLNLQKLILIQSPVRQVPEWMLLLVNLQELRIEVEGIRQKDLCILGSLPTLLILEVEARGRAKDAKLTVGGEVGFPCLMKFLYYIAGEGLNLMFTAGSMPMLQILKIYFEPDETKALTTGAFEFGLGNLPRLATVEVGGLTSASRVVQAAAKACLERAVRAHSNRPALLNDTLRAHPARRFRP >SECCE7Rv1G0484720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:345500987:345506453:1 gene:SECCE7Rv1G0484720 transcript:SECCE7Rv1G0484720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASRPPTATPLAASSSRIDSPSLKAALAMALIHYKRLPSTTAAAAATSSPPLIHWKRKAKERKREILRLREELKLLQDGVRGEAMEPPVASCRCHFFDGCSDLQPPRPTGGGEHWVDEVLQRRFLRLARWKEKRRRLDRSLPVTSLMEFNSEDEIQQLSLSTDFLVELSDGIFVKREPCSTFATFSHQAVDFILASLKNILSSKREKELVEEIIDGLVTRLMKRMCTIPENAGTSDSGPVDCSDAQFNVQHLFRKLGNEEYIGQRIILAVSQKISNVSESLLLLDPFDDSFPDMHGNMFIMIQLIEFLISDYMKNWLCCEQFDKKMFEEWVRSILKARKDLEVLENINGLYVVYIERVVGRLAREVAPAAHQGKLDLDVFSKLLC >SECCE2Rv1G0066770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:15239688:15240128:1 gene:SECCE2Rv1G0066770 transcript:SECCE2Rv1G0066770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMAKLALLLVAAAAVASTGNGSCDYEFTVTTSDIQGAGTDSNVEVAVWRDSTTDKLTSEQSGSGAKFNRGSVDKFKFTVDTECQKICRLRLRLIAQGLLNLGSAWHCDIVQVDEIGPNGYHAIKNFPVEDWMYDGGELIVENC >SECCE1Rv1G0015520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:125615358:125618899:-1 gene:SECCE1Rv1G0015520 transcript:SECCE1Rv1G0015520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGTAGAEVLIPLAAVIGIAFAVFQWYVVAKVPVPSHDGEDGGGAAAQKGRSSGHGESAEDGVDYRQVEARCAEIQHAISIGATSFLFTEYKYLAVFMAGFAVVIFMFLGSAHGFSTRPEPCTYDPARLCRPALANAAFSMIAFLLGALTSVLSGYLGMRVATFANARTALEARRGVGRAFVVAFRSGAAMGFLLASSALFVLYVAINLFGVYYGDDWGGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGTEHNFAAMMYPLLISAMGIVVCLATTVVATDLAEVKTVEEIGPALKRQILISTVLMTVGIAIVSFLVLPHSFTLFDFGRRKLVKSWYLFICVSAGLWAGLVIGYVTEYFTSNAYRPVQAVANSCRTGAATNVIFGLAVGYKSVIVPIFAIATAIYASFRLAAMYGIALAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMPHVVRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGITAVDVLSPRVFAGLLVGAMLPYWFSAMTMRSVGSAALAMVEEVRRQFDSIPGLMEGTAKPDYATCVKISTDASLKKMLAPGALVMLSPLVAGTLFGTETLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGASAEARALGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVEALVFAPFFAAHGGLIFKHL >SECCE1Rv1G0045160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:608536100:608536618:1 gene:SECCE1Rv1G0045160 transcript:SECCE1Rv1G0045160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKALLLITMAVTMLGTVLGTGHTVGAPDRSWDLQTNYSEWASRIRFSTGDELKFQYSAAVHNVVEVSKAGYDSCNGSSPIATFSTGNDVVPLAASGTRYFICGIPRHCDAGMKVEVNVKSKEVRTVQRCRRTGNRRRCQSETVLSSAAATTVTRLGLAVVAAGLMFFFLE >SECCE1Rv1G0002000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:7057683:7058934:-1 gene:SECCE1Rv1G0002000 transcript:SECCE1Rv1G0002000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNPSYYQSGVCQDIKHKEHLFHLYMNQITEGNPNANQKIVVNPGLPLGFGVTVANDWVIRDGPAADANLVARARGMHMGDGIADENWLFCHNILFIDTRFKGSSLKVLGDFVSSKDSEWAIVGGTGEFSYAQGVVVAKVIQTVPPSPGRTWELRISAFCLCIPKVIPVTKMGPWGGNGGTVFDITELPRSLQTVTIRCGDVINSIMFSYTDQAGQKRTAGPWGGDGALTAMITLAPSEFIKQVLGTTGTIGGETVVTSLTLVSSVTTYGPFGKASGTPFSSQVPDSNIIGAFYARVGGSVNALGIYACPI >SECCE2Rv1G0115340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:749198751:749202420:-1 gene:SECCE2Rv1G0115340 transcript:SECCE2Rv1G0115340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSEEDEAEAVKQGPGHNHGHGRPQAAIASRGPPPMAAPKAHVAVGGAGQGRRSPGSSSMSSVTTRSTSASTSAGGGAHEGAAHPEPEGRILEAPNLRIFTFAELRAATRNFKPDTLLGEGGFGQVYKGWVDEKTMNPARSGTGMVIAVKKLNQESLQGLEEWQCEVNFLGRISHPNLVRLLGYCLEDRELLLVYEFMAKGSLENHLFRKGGSFQPIPWGLRLRIAIDAARGLAFLHSSEKHVIYRDFKASNILLDTNFNAKLSDFGLARNGPTGGDSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDTARPAQQLNLVDWAKPYLADRRKLPRLVDPRLEGQYPSKAALRAAQLTLTCLAGEPRNRPSMAEVVAALEEIERMRPRHRRESPEEDRDSSSSASSRSAARGPHHGHHGHRQQQSPRPRPGSDGARSSHPSPRVR >SECCE7Rv1G0476020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:196162315:196165644:-1 gene:SECCE7Rv1G0476020 transcript:SECCE7Rv1G0476020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSDSQANGDRQPPPAEDAGAQVEEGDTGEKMEGVASIALLPSGAISGHFIRLPDSACYGLQGIPIPCERECSRGDDYRLIKLSIINFKRKTEKVVVVECRGHDAARLQNIDHLHGWEDDVVGLVQKKHGNKKVLVSFECETLKADKAAEEHISKYMPNLCGLDAVVNTGKMSISGINLDEDDEPSGDS >SECCE2Rv1G0137690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918957017:918958558:-1 gene:SECCE2Rv1G0137690 transcript:SECCE2Rv1G0137690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISFSQELLVSTLLIVVSLYLYLRSSRPKNPSVLPTNWPLVGVLPSLIANLHNLHGYLAAVLAEAGHNFRAHGPSGTGMRFFVTCDPANVRHIFTTNHANFPKGAEFAAIFDIAGGSFFTTEGEPWRRQRTRAQRVLSNPRLLARMTACCRDKVENGFVPVLMHMASTGTPFDLQDLTTRLVFDMTATPLFGMDPGLLSPDMPPMDAAAAMDTVMEVALFRHTVPASCWRVMRRLNIGPERKLAAAHTVLGGFIDEMMERRKKKEHVANEEDPSSVDILSCYIDDPDYQQNDGLLHATLINYMIAGRDTIGTALTWVFYNLAQNPHVVSVIRNELSPIALRKAATCANNSSIMVIFEPEETKSLVYMRAAIHESLRLHPPVPIERKTAVANDVMPSGHVVHAGDTLLISLHSMGRMEGVWGEDCLEYNPDRWLSEDGKKLRYVPSHKFLAFSSGPRLCLGKDISFMQMNTIVAAMVWNFDVEVVEAQRVQPKMSCVLQMKNGVMVKLKKREM >SECCE1Rv1G0031820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:446788303:446788647:1 gene:SECCE1Rv1G0031820 transcript:SECCE1Rv1G0031820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPRALLLLTVGLMIVASASAHGGYGSCPRDGLKVKACVDVLGLLKVNVNQPRNEHCCSLLDGLVGLDAALCLCTNLHANVLGLNLDLPIDLRLILNNCGKVCPTDFQCPHH >SECCE7Rv1G0472710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:146773609:146780033:-1 gene:SECCE7Rv1G0472710 transcript:SECCE7Rv1G0472710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITLLSLAPAATFLHLPASTASSSHFAAVPRSLAGRRALLLRARAPRRVTVVCSAAAAAEASEAEPVEKFRLDNLSPQKGARRKPKRKGRGISAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRLPKLRGIAGGMHIGLPKYVPFNLRDIVQGGFKDGDEISLESLKSRGLINPSGRERKLPLKILGDGDLSVKLNIKAGAFSSAAKEKLEAAGCTLTVLPKRKKWLPAAYVKNQARAEEYFSKKKGGAVESDEATT >SECCE5Rv1G0361720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780758180:780758662:-1 gene:SECCE5Rv1G0361720 transcript:SECCE5Rv1G0361720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEVKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLSDPVNNNAWAFATNFVPGK >SECCE5Rv1G0306630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:97248432:97248971:1 gene:SECCE5Rv1G0306630 transcript:SECCE5Rv1G0306630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVPSTLPMKLRKAEPRGKAAAATGVAGRARVLVTVTVLGSAGPLRFLVDEGESVNGLIRAALRCYAREGRMPLLGADAANFLLYTANGRSDALKADERISFNGCRSFMLWQKTVADNNGSEPNSSPGRKGISGWKFGLNKLLLNFSFKV >SECCE3Rv1G0185740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:677901926:677904795:1 gene:SECCE3Rv1G0185740 transcript:SECCE3Rv1G0185740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGRTLELHKCAVIQLEPTRPVYAMEVAMGRLLLGEAGGVRVFPLRSLMKGGREKEGRREGAAASAKKSLHKKNGILNGLIVPVGRGSGARGAQGDAASDCKLTTLRVKQSSGSYSSFFLAFNQQDHNSQGGIKLIKSVKAVSIQPSSKDKFLVLDSAGVIHAFSLRNAELELEAAKTCFLDCAMKVQLFAVFPSSSTKTQILWVSDGGHSIQILSALPAFDAKSPKSNDDDCDGERELATIKLSATEAIFTSEKVQDIVPISKDSVLILGQGSMFLYGTA >SECCE5Rv1G0324040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:441955162:441955641:1 gene:SECCE5Rv1G0324040 transcript:SECCE5Rv1G0324040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSFVITVFVAVITMLTTMAVADTPADCPYPCLPPPTSGGVINSYPPPPPAGTGAGTGGGGGDGFGGSYPPPPPGGFQLTPPGVMPGFLAPPFSAVPAGPAPPPPNPVLPWFPWYYQHDNPITGSTASACSPPAVHRGTTCMAALLLHLCLAILLRAP >SECCE7Rv1G0469030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104773090:104777141:-1 gene:SECCE7Rv1G0469030 transcript:SECCE7Rv1G0469030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH protein interacting protein FIP2 [Source:Projected from Arabidopsis thaliana (AT5G55000) UniProtKB/Swiss-Prot;Acc:Q9SE95] MQSPESSSSAAPVLLNIGGRKYATTAETLTQREPDSMLAAMFSGRHTLPHHPTTGAVFVDRDGKHFRHILNWLRDGAIPTLSESEHYQLLREAEYYQLLGLADYINEKLASKKTDNSCEAELTRKDVIKCIQAQKIRFRGLNLSGLDLSKLDLSEVDFSYACIERTNFSCANLHKAKFRQVEAAHSSFEQANLHECELTGANLQDAVLDRANVQSANLQDACLTGCSFIETDVRSAHLQNANLTGADLNGANLEGANLKGAKLSGANLQGANLQRAYLREVDLRETDLTGAKLGGANLLGAIR >SECCE2Rv1G0132490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:892052088:892054918:1 gene:SECCE2Rv1G0132490 transcript:SECCE2Rv1G0132490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSAINRAMAGDPGRMLVRGCTAHKMDMDGGSKYRSRGQTMGGNNYKKLPYLLLLLLAIGAATLSVSVLHKMRERRLLSVLVQEREQQLMSLQVRLENEKEISKEMRRKVDELEAKTSILSIERTELKNKVMNSETTTTYLTNTQKELEAALVEKESHINQMKEIVAASGPDQMSAIKELLQQKEAELEEIKTKFSGNAVLATNNENATSDNVAPENSASSGDTVPAPTEEDQSYNTTASESNHQDERILESTNNKDVNPDAVIPEEKINSSDSMPATGEELHSDDTTASESNHQDARTVVGTNNEDVVTPDTVIAEEKANSSGSTPDQAEKLQSYNNTASESNHQEDGPSEGQFVKFTTNFEDDALQEKTDDANQSSDDPPPKGTHSEESELHQSADSQEISKEELDGRKQLEDTQGEVSYHSRESKLLEKEDGKEVAREPEKEINPDGEMKISKDSQEISKEELDGKKQLEEPQGEVSYHSKESKLLEKEDGNEVAREPEKISPDSEMKISKDSQGISKEELDGKKQLEDPQGNHSTESKLLEQEDGKEVASEPEKKINPDGEMKISKDGLTEANQEIMQVVEPVARPADANLSLSTNNRESKETSKRHRKRKSRSKRRKRTDVAASNVDGEVIKGR >SECCE1Rv1G0043650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:594652685:594653901:-1 gene:SECCE1Rv1G0043650 transcript:SECCE1Rv1G0043650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGCPALESLELMKVFGIDRLCISSQTLKSLRFFSGWPSQGVFLHELVIEDAPCLERLLRFDTVRTKSIMITGGAPKLAILGMLSENISELHLGSSVFQKMIAVSLTTKMHTMRVLALSSTGPNLDAVVNFLKCFPCLERLYVIFKSWEVINNVRSYDPLDPIECLELHLKKVVLKNYDGVKSSSIDFAKFFVLNAKVLKEMKITLPYHRQHRWFAKQLNLLRVRSRASQDARIEMRCGSHEYSTHIERTHDLSTDDPFDLPSIGCSKCKEKGLGNAVYQI >SECCE3Rv1G0206090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:904996900:904999149:-1 gene:SECCE3Rv1G0206090 transcript:SECCE3Rv1G0206090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASIVVQVSALLLNLIAFGLAVAAEQRRSKATVTPDLAKEYDYCFYDSDVATGYGVGALLLLVAAQAVVMLASRCFCCGRGLKPGGSRACALMLFLFSWLTFLVAAACLLAGSVRNAYHTRYRGIFNGDPLSCETLRKGVFAAGAAFTFFTAILSEFYYISYSKSRDAAGGAPYGGSSIGMGPYN >SECCE7Rv1G0458730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22564186:22565924:-1 gene:SECCE7Rv1G0458730 transcript:SECCE7Rv1G0458730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQLDDEDRLSTLTDDILLSILGRLSSCMAARTSVFSTWWKHLPWLLPELSIAVKDFLSTPCTDPIEENEMEQAMKSLTKATRSLLDKQQRESTISSLHLDLYLIGNFLCEVGQLIGDAIDSGLLKDLDLTILDETEPLDRSEEEMQQRAQYIDAFFTAYPSVLHCLTKLSLKNVGFDKLDMHHVLFDCCKQLKHLTLHHCDTGFYSLFKIDAPHSKLCVLEIRKCRFLRIDLVCLPNLEKLVCDTWISQCAPLTFGFVPSLGELELSCGSDCEQRGFKLSELLHEVTSIHTLTLDFQGETLWLQPEMEDLRTAFSKLRKLSVLGIFVEFDILWTTAFLVAAPSIEVLHIEAWDHTCDVGEARPASFLDRRSPQWEMHLDSSSENKLLKELEFAGFKSLEQQFTFIRSMLERSPNLQKIVLKDEEQCDYCDALEVPRPTRFPRKKDEQEVVVKRIRDGIFSPEIIFHE >SECCE3Rv1G0205570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900661685:900662650:-1 gene:SECCE3Rv1G0205570 transcript:SECCE3Rv1G0205570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARCSLLLTAALVLAQSHGTHGHASTGNGLSSSFYDETCPSARDIVRRVIQNARVADARIPASLIRLHFHDCFVLGCDGSLLLDNDLPAIMTEKEVPANNRSARGFDVVDGIKHELENACPGIVSCADILALASEISVELAGGPRWSVPLGRRDGTSTNVESANNLPSPFDSLQTLQEKFRNLGLDDTDLVALQGAHTFGRAQCQFTQQNCSAGQDRETLVNLDIVTPDVFDNKYYANLLHGRAPLPSDQVMLSDPVAAATTAPIVHRFSDSQKDFFNNFAASMVKMGNISPLTERAGEIRNNCRRVNIKPY >SECCE4Rv1G0252850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:619292905:619294069:-1 gene:SECCE4Rv1G0252850 transcript:SECCE4Rv1G0252850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSSDENGLKKGPWTTEEDEKLMSYIQKHGHGSWRALPQLAGLNRCGKSCRLRWTNYLKPDIKRGKFSQEEEQTILQLHTILGNKWSAIAKHLPGRTDNEIKNFWNTHLRKKLIKMGIDPMTHRPRTDFFAALPQLIALANLRQFITQQPLDDHTARLQAQTAQAANLQYMQSLLHSAASIAASPTTSSSLNSLTMDLEQINFLSPPQMLSPGVLEGTGGIDLAGQVSQNQMPSITCDHTIGNVNPGSHNNAESSEQHYNEGENSSQKRSFLSENSLPPLTDMAASNICNTISTSNCNGVSSPFPSWSEILLDEELLSEFA >SECCE2Rv1G0066220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:13262572:13264325:1 gene:SECCE2Rv1G0066220 transcript:SECCE2Rv1G0066220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRRGRRSRNKSACDQAPAGGRDRIRKLPSDVLLNILERLDTLDAIRTCILSKRMQKLPNLLPQLEINIASIGRHHDIASRGFGIRDMVRINCAVADVTESILVTRNKEIPLRKLSVRFYLMRYNCLSIGRSVAGAMATQKVEAAEFDILAEKARVNCSPGDLLDFAKQFNVFLAACPDAFAGLTRLRLHTMRFREPDIPNILSICKRLRSLRFSHCDAGVGSVLQVEHARLVELAIDYGNFEAVELSCAPKLERMSYNNWNSEGDPLFFGFVPRLSNLDLTKVGTRSNKTLELGQLLANAPNISNLHLDFESEKIWILPERPELLTPLLGKLRVVNLDNLPEGCDIAWTMFILEAAPFLEDLCITVWDHWCNMETDKKLRKAHGYCEKENVAWKPSVSDFKHKHLAKLTIYGFQPDFNFVGYINRVIEAAVNLKEISLHDRKLCDSCGDLDPKIKVSPSKYPRTQEEREHITEVLGLASSEMVYFRS >SECCE4Rv1G0288520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861081020:861082765:1 gene:SECCE4Rv1G0288520 transcript:SECCE4Rv1G0288520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGRIPPQLGNLFNLQYLDIRSSYLDHDIHDGTYSTDIMWLSRLTSLEHLDMAYVVDLSAIVHWLPVVNMLPTLKVHDLSGCGLRSNPHSLQLSNLTYLEALLLVGNQFNQRSTPNWFWDLTRLKYLDISYNGFYGPFPDEIGNMTSMVELRLSENSLVGMIPSSMKNLCDLEVLYSNVSNINGSITELLNRLPNCSRNKLQKLDLSGSNLTGSLPTAPVQALSNLSWLSLYDNKLTGHLPLWIGELTKLTVLDLHSNNLDGVIHEGHLSRLDMLDSLILSHNSITITVSPTWVPPFSLRMLHLRSCQLGPKFPMWLRWQTHLWNLDISNTSINDMVPSWFWIAASSAEFLNIRNNQISGALPSTMEFMRGVKMDFSSNQLDGPIPKLPINLTSFDLSGNRIIGPLPLDFGAPGLRTLLLYNNMISGAIPSSLCSLRALRLLDISRNGLNGSITDCLVNESSTNMTGLGIVNLSLRNNNLSGEFPSLLQKCPRLVFLDLGHNQLSRTLPAWIGKKLLSLSFLRLRSNMFYGHIPVELTKLVNLQYLDLACNNISGSIPRSIVSCTGMTQTRDKTDYLQYA >SECCE2Rv1G0130520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:877322821:877326534:-1 gene:SECCE2Rv1G0130520 transcript:SECCE2Rv1G0130520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRNSVEQLADVFGFDHGSGSGNPVMDQQAYWNSMLGAAESQNLQGYEMNRGDSAIPYGSEAHQDGQFLGFWGSGEASSSGNALNYGSSNAIKAEHLNIGGGLRIGERRLGAEHSLSLDNVDINLNTSGHDLFGQSSNANSASQGSQQHAGCSRTDATAQATELRLHPYRTFGLDDEQPEPFPSLNAFEHPLGNFSLMPEDVDQRAGTSSLDGRRLACKRKNIEGVHGQFSAGASTSTSFSHRNDNAFQHSVPSSSFNPAPGPNVSSHNFLLAPSSIEEQLPNYGATTGMSSVSYNHPSGGNNSSGNSQRSFRARTTTAQQVSPYGVWPSSGSIRHPGSYYHQAPAFQRTFDELEAAMPVVSGINLQYQHPGNVVPGIPQTAHRFPGHAAPSSSRAGSLDNIILGREEVTGRNLVAPSFPNATPHAALDMRQLVPELSNWNSDNPGATIPGNVSSVSRANAGSTISRPAGSTSLTNQNLHRRHPRNLSEEIGRLSGALRGQQHPRLRSGFLLERQGDGVWGVPLPMRNSREGRRLMEIRNALEMIQRGENVRFESIFYGGVEIHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLTENDVMKLLKQRKFSSWRLSSMEYEPCCICQEEYVDGDDLGTLHCGHDFHAGCIRQWLVVKNLCPICKSTALKT >SECCE7Rv1G0455020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5256188:5256516:-1 gene:SECCE7Rv1G0455020 transcript:SECCE7Rv1G0455020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDTASAARVCRRCKAKYSPSGNTPQSCRFHPSYFVCRRHDDQKRNYELKEGDPPYAAKFYDCCGAEDPDAAGCATGFHLSYDDPE >SECCE4Rv1G0257360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:666198172:666199206:1 gene:SECCE4Rv1G0257360 transcript:SECCE4Rv1G0257360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATGATRAVVLRLDDLSLPPRYLTVASHLPVSDLIGFLPLPSSSFYLTTDGRPLAPTAPVASLAPSGSLQLRLRALRGGGGDGGSTCAESRDCYLSMYLAKKPDKADPNEARLSRFTCCALSGEPLAAPAVVDRLGNLFNKEALVEALIHKRLPKALSHIRGLKDMIPIHLHPKPNAADQEVRFQCPVTGFEFNGKSQFLALRGCGHVLSVKALKEVKSSSCLVCHKEFDETDKMPINGTEEEVEVLRQRMEEERGKLKEKKDKKLANGLSGSKHAAAAAVADTEKLENGKKGEAAPSKRFKAADHAPAHANKKVYASIFTSSNKSDFRETYSCRSLPLGRN >SECCE5Rv1G0364330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:800598513:800601901:-1 gene:SECCE5Rv1G0364330 transcript:SECCE5Rv1G0364330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRDWLSLVAVHSDSWLLSVAFFFGAPLSANERKRLFSMINDLPNVYESMVDRKHRDRSGVDSSGKARHSSKPKQRTDDVRPKNSRAVAREEDDDEDEEHSETFCGSCSGIYNANEFWIGCDICERWFHGKCVRITPAKADHIKHYKCPECSSSKKMRQ >SECCE7Rv1G0458910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23475350:23476207:-1 gene:SECCE7Rv1G0458910 transcript:SECCE7Rv1G0458910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCGLLLLFLSFLLPAARATSCHPDDLRSLRGFAGNLSGGAVRLRSAWSGASCCGWEGVSCNSVSGRVTALRLPGHGLVGPIPGASLAGLARLEELNLANNRLVGTIPSWIGELDHLCYLDLSDNSLIGEVPKSLIRLKGLVITERSLGMDFTSVPLYAKRNRRTLDQQPNTISGSNNTVRSGSTNVVSGNDNTVISGNNNNVAGSNNTVVTGSDNTVTGSNHVVSGNKHIVTDNNNVVSGNDNNVSGGFHTVSGSHNTVSGTNNTVSGSNHVVSGSNKVVGDE >SECCE1Rv1G0047010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:621224219:621225124:1 gene:SECCE1Rv1G0047010 transcript:SECCE1Rv1G0047010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHALSWISVSLLVFLASASCVAARGSRAPKTTDPTHGFTAVSLDESNFALQRPLDEASGTRYSFDGTVRKLWVLSSDKPHARQSHTSPRTEIRMEGYDYSSGVWQFEGHGYVPSGTTGVSIMQVFGAGETATTLMLHVYDGALWYYDRQLVEADIYDRWFRLNVVHDVKASTLTVYIDGKQKLHVHGRGGHSHYFKFGVYAQNHDSSCMESRWKGVRILKKE >SECCE1Rv1G0031550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:444067468:444070080:-1 gene:SECCE1Rv1G0031550 transcript:SECCE1Rv1G0031550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFYRKGPSGFAGASTAEEVTAGIDGRGLVAVVTGASRGIGRETARVLALRGVRVVMAVRDVSAGVRAKEAIQAEIRGGAEVDVLELDLSSMASVRRFAVEFAYLNLPLNILINNAGVMARDCSRSCDGLELHFATNHIGHFLLTNLLLENMKSACRKSGIEGRIVNVSSAGHIMTYPEGICFDKVHDPSGFNSFIAYGQSKLANILHTNELSRILKDEGVNISANTVHPGVIVTSLFRNRTIVSALMNTVGRIISRSIQQGAATTCYVAMHPQVQGITGKYFGNCNIANPSSQAVDAELAKKLWNFSLQIVSC >SECCE1Rv1G0056620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:688747245:688748639:-1 gene:SECCE1Rv1G0056620 transcript:SECCE1Rv1G0056620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVQHKRLYRLLVTSMLPIVAVPLMLKAAELRPLHVFLAAFVPAAVTTVYLRLRPRAVYMVDYACFCPSPGFRVPFAAFQEHASTCVDGRSLQFMARLLERSGLGEETCLPDAQHYIPPDRDLESSRAEAELVVFSAIDDLLAKTKVSPEDIDILVVNCSLFAPTPSFADMVVSRYKLREDVRSVHLAGMGCSAGLISVGLARNLLQVAPEGSNALVVSTETITPNYYMGKERAMLLPNCLFRMGGAAVLLSTNSRNARFRLARVVRTLTGAKDGAYRCVYQEEDDRGNVGINLSKDLMVTAGDALRANITAMGPLVLPASEQLLFALSYVARKVVGSSRISPYIPDFRTAFEHFCIHAGGRAVIDELQRSLRLSDEQVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIRPPAALDGPWASCVNRYPVDVPDVLKH >SECCE5Rv1G0368700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:830444244:830446987:1 gene:SECCE5Rv1G0368700 transcript:SECCE5Rv1G0368700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSGEESDISDSEIDEYKDKMYAQLRAGKMRVRYGEKALRCPFCLGKKKQDYNGKDLLQHASGIGVASKRKPKERAGHLALAEYLKNDLGISLEPPSQLAIVEHNKPPKNEEVKYVWPWSGILVNLPNDMKDMNFVRENEDRLRSQLSRFRPRQVTIVFDTEGRTDHSIIRFAEDLDGLKDAIAFENHFKLEQYSKTDWNTRNCRKDDLYGWLATSDDYNSPGTVGEHLRKTGVLKSIGELQREGTARRIAHFNRRMDERNKRMQEMYLRNRQNELKLELIKEDKHRLVEEHTKKITQMQQDARRTSRKIREDNVRLQQELETRRKEIHKQQHEKLARKINSIDRATAEAEKEKSAYENVLLDLAALKQKNCCEEVTRLVDKYEQDEENAFRKQCKLEKDLTRKQDVEMEIAQLKVKLEVMKHKRAEADTAKEIDKISEELREKDEQLDAINSANQALIVADGRINDELQEAKTELIEGLIKEASGTRSTIGVKRMGEIDKKAFCAACKGRFAKADFGEQLAIHCSKWQDKMGNPAWHPYKNILVDGVEKEVVREDDEKLQGLKEELGEKAHDVVVKALLEMNEYNPSGRYPVPELWNFKENRRAPLPEAVAYLLNHWKKNKKKKAFY >SECCE2Rv1G0134540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901932203:901932718:-1 gene:SECCE2Rv1G0134540 transcript:SECCE2Rv1G0134540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRTIPLVMAAMAVLSTASAAIYNVGEPGGAWDLSTNYGTLATSRNFLTDDQIVFKYSPQAHDVLEVSKADYDSCNTASPVTTFNSGNDVVTLTGTGTRYFICGFPGHCAGGMKVKIDVMPSSSSSSPAPANGPSASNASPPTPVSAATNVKAMGFGLTVLLAIAGLMA >SECCE3Rv1G0190990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:744841006:744845078:1 gene:SECCE3Rv1G0190990 transcript:SECCE3Rv1G0190990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSAPSDDDEDDHSEAASGDKSPDHAANADDEEDEQPDTPGRGVKGDISELTESLTRRFWGVASFLAPPPPAGAEAAETSTAAAEAEAEGEHGPQSPRVAGIRNDLAEIGGRVRSGISMLSNTNAVAEISKIASSFLPFVPEEEEEEEVDAVGVTEEVVVFVRHISTSPETWRDFPLFVNDRHADDFELSDTQYEHALAIERIVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLNKEDAELLSTTQILEAREELLQSSPRMKNVASEGLGGSSESSNVRSTQAEDIELSPSSIQDKSGMAEATSFQEATPDPLPGAEADKHPISASEPEIVDKSVIEEELVVKTEIKNQGGKPNLYTPEDDGDKEVEDWLEDMDHADNKTGNITSIGQDEDVSFSDLEDDDDD >SECCE3Rv1G0194930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:788052750:788054348:1 gene:SECCE3Rv1G0194930 transcript:SECCE3Rv1G0194930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLAGAIARISGPGFSNLPPRRRPLLPLSAPRLSTARELAGGGGEGSEGEDDDDPFSFPDQQQLPPDVARGVDAVVAAAEGAHLDAARAGALLERCGAAASETLVVAALSRLRNSWAAAHAAFRWASASSASARGPPQPGYAPGRHACHSMLAILARHRRFDDARALLDEMRRRSLASPRAVLLLIRRYCAARDVASAIAAFRSLPSFGIEPGVAQFHGLLSALCRYKNVKDAEHLLLSSEKEFPFETKGFNIVLNGWCNMVCSVREAKRFWAVMELRGVARDVVSYGSMISCFSKAGSLDSVMKLFNRMKEAGIVPDRKVYNAVVHALAKGRCVDEARALVRSMEEKGVSPDTVTYNSLIRPLCKAHQVQEAREMFDEMLGRGLLASVRTFHTLFDVATSPTEVFDLLDKMKTLHCEPEMDTYIMLIRKFCRWKQHDSVEKLWNAMPANGLTPDRSAYIVFIHGLFLNGKLEEAAKYYEEMKAKGFSPEEKTESMIQAWRSGRELAKASASVSSRSGSVSLKVTRREW >SECCE6Rv1G0436510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:777936972:777939703:-1 gene:SECCE6Rv1G0436510 transcript:SECCE6Rv1G0436510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAAGGHRWTEEVDDLVDAGDVDGAISLLESVVSSLSTAAPSPPPGADLRLATALGDLAGLHASRGNTLQADAIRSRAIVLRLRAEKEAPQPQALGDHGAAENSASSEAATGSKDSKASASVDGKDEDEDDDWEAIADRGDETPVRPLVQEARVTTPCSSSEKSSTSSSGTKRRGRGSFLYDKSVLYSDQCGSERDLDDKGSDPARGGSKGHADEQENKDAAKRFGTRHALVLYDFPPSTRTTDLERVFEKFGDHGVAIRWVNDSVALAVFRTPSSAKEAQACVPPRYKVRSLKDNDDLLAKIDGTDLEPPTPRPKTSARTAQRLIAHGMGLKQFTTIDAGERKEQEEARRSRITARQAARDDAWGED >SECCE6Rv1G0377660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:2913864:2917921:1 gene:SECCE6Rv1G0377660 transcript:SECCE6Rv1G0377660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 7 [Source:Projected from Arabidopsis thaliana (AT4G00200) UniProtKB/Swiss-Prot;Acc:Q4V3E0] METAMETSPPAAETKKEPTPPAAAQPAPETKKVPTPPAPETKKEPTPPAPETKKEPTPPAPAPAHPPAPAAVVVVAARGDGKRKRGRPRKYGPDGGLLRPLNATPISASVPDDSGGGHYTPASAVGAAMKRGRGRPVGFISRAAPVVAMPVPVTAAAPTPAVVVSTPPPPAPMSSSAPTPQLVPPLGDVVGCASGANFTPHILNVATGEDINMKVISFSQQGPRAICILSANGVISNVTLRQHDSLGGTVTYEGRFELLSLSGSFTPTEKGGSRDRCGGMSVSLAAADGRVIGGGVAGLLVAASPVQVVVGSFLPSYQMEQNGKKPVIEMKTVAAQPAMGFTISSGGDMEDSYSGSQARAGKGASAFRVENWTAQPVASAPPAAEARRTPPSEAAKVPVSGG >SECCE5Rv1G0322620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:422087178:422088960:-1 gene:SECCE5Rv1G0322620 transcript:SECCE5Rv1G0322620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTISSLAAPPSLHRRCCRSPASASTPARVSFRAASPAGAAARTRRRAAVKVLASSAVMEAPEELATRKLYVGNIPRTVTNDELSAMFAAHGTVVRAEVMYDKYSGRSRRFGFVTMSTAEEVAAAIESLNDTEVGGRKIKVNVTESFLPNIDTSAPESEPSFVDSQYKVYVGNLAKTVTTEVLKNFFSEKGEVLSATVSRIPGTPKSKGYGFVTFSSEEEVEAAVSTFNNTELEGQTIRVNRA >SECCE7Rv1G0484490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344044630:344045376:1 gene:SECCE7Rv1G0484490 transcript:SECCE7Rv1G0484490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLKLSRDSTTEERPTSEHQQAVKRIVRYVAGTLDHGLHYPRCPGAAHFVGYNDSDHAGDIDTSKSTSGILFFLGKCLVSWQSVKQQVVALSSCEAEYIAASTACTQALWLARLLGDLLVQDTRTVQLLVDSKSALALAKNPVFHERSKHIRLRYHFIRSCVEEGSIEASYINTTDQLADLLTKPLGRLKFLELCSRIGMIQLSHKTTYKT >SECCE5Rv1G0309700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:158539309:158545653:1 gene:SECCE5Rv1G0309700 transcript:SECCE5Rv1G0309700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGRAPTKYVLITGGVVSGLGKGVTASSVGVVLKSCGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRENNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSAKEKLSQFCHVPVENILNIHDVPNIWHVPLILRNQKAHEAIIKQLNLSRSAAPPELRDWTLMAESYDNLSTSVKIALVGKYTNLSDSYLSVVKALLHASVACSQKPSIQWVSASDLEDAAAASAPDAHAKAWETLKGSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRHVLSLGNADSEEFNTDTPDRVVMYMPEVSKTHMGNTMRLGCRRTFFRKPDCLTSKLYGNPPHVDERHRHRYEVNPSFVPMLENAGLQFVGCDESGNRMEIVELQDHPFYIGVQFHPEFKSRPRKPSPPFTGLILAATERMRTLTNVSNGGAGASE >SECCE1Rv1G0016830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:143569776:143570772:1 gene:SECCE1Rv1G0016830 transcript:SECCE1Rv1G0016830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVLPLASLNHISIVCSSVEESLRFYMNVLGFIPIRRPGSFNFNGAWLFNYGIGIHLLQCEEPQSLPGKTEINPKDNHISFQCESMVAVERRLKELGIPYIQRCVEEGGIYVDQIFFHDPDGFMIEICNCDNLPVIPLADHTFTMAACKRVVAVKQQQKPLAVQTPPLPTTATTTASQCVPSANKAMQRVGSEEAAHVSCA >SECCE4Rv1G0215230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4284522:4286315:-1 gene:SECCE4Rv1G0215230 transcript:SECCE4Rv1G0215230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKPLRLIQHKKEALWFYSFISFGYDHVFNPGQYTEDMRDMSLEHARLHSRTLKVVDVGGGTGFTTLGVVRYVDPENVTLLDQSPHQLDKARKKKALRGIKIMEGDAEDLPFPTDTFDQYVSAGSIEYWPDPQRGIREAYRVLSVDGVACMIGPVRPTFWLSRFFADMWMLFPTEEEYIEWFERAGFKDVELTRIGPKWYRGARRHGLVIGCCVTGIKTQSGDSPLQLGPKAEDVSKPHVNRIFVFFRFLIGTICATYFFLVPIYMWIKDKIVPQGRPI >SECCE2Rv1G0139150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:925714044:925715840:1 gene:SECCE2Rv1G0139150 transcript:SECCE2Rv1G0139150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVLTLPYAMSELGWGPGVAVMTLSWIMTLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGDTLGLWIIVPQQLIVEINLDIVYMITGGKSLKKFHDLVCDDRCKDIKLSYFIMIFASAQFAISQLPNFDSIATISLAAALMSICYSTIAWGASMDKGKADNVDYTLRASTTSGMVFDFLGGLGQMAFSFSGHNVVLEIQASIPSTAETPSKKPMWKGVIVAYTIVLLCYFPVAFVGYWAFGNSVDDNILITLNTPKWLIAAANMMVVVHVIGSYQVYAMPVFDMMEMVLVRKMRFSPGWKLRLVSRSFFVAFTMFIGITFPFFGGLIGFFGGLAFAPTTYFLPCIIWLTVYKPRVFSPSWCANWFCIIGGVLLMVLGPIGGLRQIIIEAKTYHFYS >SECCE5Rv1G0367550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:822033803:822037382:-1 gene:SECCE5Rv1G0367550 transcript:SECCE5Rv1G0367550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPTQRSDEGGMDELDLMEEFLLASPVHDFPEFLHPGSACASPSPFSPLFDLGSTITTAPAAADDDDAGESRRAWLIQPQHAPASVKDRLQRALQGIASRSRGAAGELLVQVWVPTRIGDRQVLTTCGQPFWLDRRSDRLESYRTVSVKYQFSADETSCAELGLPGRVFVGRVPEWTPDVRLFTDNEYPRVRYAQHFDIRGSVAMPVFERRTGACLGVVELVMTTQKINYNAEIDNICNALKEVDLRGSDVSSDPRAQVVDASYRAIVPELVHVLRAVCETHKLPLAQTWIPCVCQAKRASRHSDEKYKYCVSTVDEACYVRDPAMTGFHQACSEHHLFRGEGVVGTALGTNEPCFSPNITAYSKVQYPLSHYAKLFGLRAAVAIRLRSVKTGSMDLILEFFLPNNCIDSEEQGNMLNSLSNTIQQASCTLRVVGVKELANDGSPETSSPTPPEVCDKPAEILDELAARTTSVDASEEVSSWIASLVDVQNSGAQGETDGGLPFGFRKQEDEGFSVTAGWPTSPVLVPEDKSFFPGFNKHEEYEAKGSPFSSDRSLSNSDKAIEKRRTKIEKTVSLQELRKHFAGSLKEAAKNLGVCPTTLKRICRHHGIDRWPSRKIKKVGHSLKKLQMVIDSVHGAEGTVRLSSLYENFTKTTWSERESQGDLSYPASEQRVQLEPSVPDRQCESRFSPHTSGSNSLSPTYSQSSNSSLGCSSDPKPQQQQSSAPQLAVKQEFFMEENQSSTQMKAASHDELQLFTEEKPVTLCRSQSHILFSEHKPKENMSGMQEAKPDSLKIKAMYGEERCIFRLQPNWGFEKLKEEIAKRFGISEEIYDLKYLDDESEWVLLTCDADLLECIDVYKSSSAKTVRISVHPTVQAVHRGSLG >SECCE5Rv1G0321420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:403525612:403529126:-1 gene:SECCE5Rv1G0321420 transcript:SECCE5Rv1G0321420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMPSEKKQSESGSINCATSSSSSQDIPNSLVNKDEDLAIGVVTELKEGMSIEQKEERASTAIDLKEEGTATQLKEEGASKEDEAAIDSKEEGAKTELEEKMMLSQRRDYEKAIELKGEGTRLFQRRDYEGAALEFDKAIKLLPKGHDDIAFLHCNIAACYMHMNPESYERAVDECNAALEASPKYTNALLKRARCFEALDRLDLACEDVQKVLSLEPNNVTALELYESLREEMETENLLENQVVSPVEPKAVFSKEKIQRKVSRKFRNNSIVEEVWLIHDDDEQENDEDDNEEECSEENHMENDLSNEGNDTREMPSRHNHDGDKRNAEQNQVKHGQHKLGANDESQRLQHAPWDVEQMHHKETESQNKHEKPLKEIKVRSDQDQQVMHTQQNQVGDMDVHQKQIEDVPTTSRSNQEIHTEMYERFINGNQARRSLQRHTSRGGDKQEKQTSVKLASPGGDEHQKQKRAYATDGETKTVKFVMGDDIRIALVPEDCSLLQAINVARCKYSPHLKAMLLKFQDIEGDLVTITSTEELRWVEDLKQGPARLYIKEVSPEREITKDIVMPNISTATLERKHSISECGSARHPAEDKSSSYADDWMMQFARLFKNHVGFDSDALVDLRDLGTRLCIEAMEDTITSEEAQEIFHAAEAKFQEMAALALFNWGNIHMSRAKKRLSLSEDASKDSVLSQVKTAYELACAEYVKAGKKFEDTVDVKPDFYEGLIALGNQQFEQAKLSWRYADACKVDMGTEVLELFNRAEDNMEKGMEMWEGIEYLRLKGLSKSKKEKILLDKLGLDGHQKDLTADEAFEQASNMRSQLNISWATILYERSVVEFKLGLASWEESLTEAIEKFKTGGASLADISVMIKNHCANEKTQEGLSFKIDEIVQAWNEMYDAKKLKNGSSSFRLEPLFRRQPSKLHNVLEHIKYT >SECCEUnv1G0535450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:57750872:57753246:1 gene:SECCEUnv1G0535450 transcript:SECCEUnv1G0535450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIMGPSESPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKSDRAKYESTARSWTQKYAMG >SECCE6Rv1G0377220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:777437:777861:1 gene:SECCE6Rv1G0377220 transcript:SECCE6Rv1G0377220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKLGVAAIAALCVLLLVLPGQVAAKSKFCECYEDCYRQCRHHILRFACVPFCSNKCSPNQAAAAAGGDRDRCREACANKVKICGQSDPSEDECERGCL >SECCE5Rv1G0354200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722312691:722313144:-1 gene:SECCE5Rv1G0354200 transcript:SECCE5Rv1G0354200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLHTTATTTTLALLLLLVLLAASSLRVAMAGSAFCDGKCGVRCSKASRHDDCLKYCGICCAECNCVPSGTAGNKDECPCYRDKTTGQGARKRPKCP >SECCE7Rv1G0521180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873130367:873131227:-1 gene:SECCE7Rv1G0521180 transcript:SECCE7Rv1G0521180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAENSEVAALVEKITGLHAAISKLPSLSPSPEVDALFTELVAACVPSSPVDVTKLSPEAQEMRQELICLCSTAEGLLEAHYSDMLTALDNPLDHLGRFPYFDNYVNLSKLEHGLLAGHVAAPARVAFIGSGPLPFSSLFLATYHLPDTRFDNYDRCSVANGRARKLVGAADEDVRSRMAFHTAEVADLTSELQAYDVVFLAALVGMTSEEKANTIAHLGKHMSDGAVLVARSAHGARAFLYPVVELDDIGRGGFQVLDVHHPAGDEVFNSFIVARKVNISQEMSA >SECCE4Rv1G0230630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:171844097:171845065:-1 gene:SECCE4Rv1G0230630 transcript:SECCE4Rv1G0230630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGSDIIEYERTGVVRVYKSGRVERFDGTHTNTVPPCPSGDPANGVASKDVVLDASANISARLYIPDAEPGKKLPVVVYFHGGGFLVQTPTSPFYHAYTASLAAAAPAVVVSVNYRLAPEHRLPAAYDDAFAALKAVLASCRPDGAEPWLAAHGDASRVVLAGDSAGGNIAHNTAIRLRKERIESYGDGVSGVALLHSYFWGTERVGGEPTDAAYRGEFERLWDVACGSQFGPDHPYINPATSPGEWSQLGCRRVLVTTAELCWFVDRARAYADGIKACGWDGEVEFHESKGEEHVYFLFKSSCDNAVKELAVVADFVGRF >SECCE1Rv1G0042170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:578123287:578124954:1 gene:SECCE1Rv1G0042170 transcript:SECCE1Rv1G0042170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDAARSRRRMDLNLYLGLPRAPRPRRPDLGSDLALGNSMLSSSPSSSAASADAPPLDPDPEPLHPPYSPTRADLMRPPPEPYHISASVLRAPYRQAEEVPITELAPEFGFSHPPPPPPPPPRPSELLGWVDRPSSSTASSTFRPERSERYRRAISMNGRQLRCLRPRRFRSDLPPLSSEAPSPDNEAALEPPQQQPVQDAVEENKVIDNGAMVAAEDEPADRGKSTAMFECNICFEMADEPVVTSCGHLFCWPCLYQWLHIHSTHKECPVCKGEVTEGNITPIYGRGNSTSDVEKKVADDGNVSSPNIPARPHGNRLESFRQQFHHLRPISRRLGEAHGILSSWRRILDQQIMTSASRFEGPPESSVQEMIDHAHQTGRLGRITTRMRARRLQREAENPTFVSSSTPDTGLPGSSAPDPPRRSSSPLSSEGIDLLQRLTLVGLANTERLATAVSDLRRISRPGLRASTSSNLPNHEPPVDGIHAAAAPSADQTSNSSTMAVIQEDAAFTESVGEPSNAGSSRTLRRRGRSDALGSLDVDGGETHRNKRRRLN >SECCE6Rv1G0383960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:58772558:58773805:-1 gene:SECCE6Rv1G0383960 transcript:SECCE6Rv1G0383960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIRSRDGTDRITVPDAAAATVGDLQRLIEARATVPVAAQRLSLDPALLLPAPQPPLLSDPAAPLASLPLANGSFVYLSYPPGARSAQPPPPRALTAAGSFGKKMTMDDLIARQIRVTRQEAPFCSAASFDRDSANAFQLHVSESLAFAVKRAGFLYGRVDAETKEVLVDFIYEPPQQGSSDVVQLMRDADEEARVDAIADGLGMRRVGFVFTQAVGRKASETGEYTLSNSEVVQAAQLQAEGGIPEWVTAVVKLEVGEDGSADVHFEAFQMSEVCVKLFKDGVLETEIQDSDDPRLSKMRKEVIAGGKDTMEVDNDFFLVPVKISDHQGPLSMGFPIENRGIPLPANALKSHMDRAKHLPFVKRISDFHVLLQIAAFLDVKADVPTLAACVGHQAEVPEGYRLLIDALAGQT >SECCE7Rv1G0497220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:621831164:621832386:-1 gene:SECCE7Rv1G0497220 transcript:SECCE7Rv1G0497220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDQTAYEAYALIRNLFLDNQLTRAVYLEAEFRAIVQGDLTITAYCHKLKSLSDALGDVGQPVSDQTLVLTCLRGLNPRFADIITVVTMQVPAPTFLQTRSLLLLRENQLAHPAPGTPSPSSSQIALYGNTAGSGSSSGGNSGGGAGGGGGGDRWHNKKKKNGAPAAFDPRGNNSCTSPGPWICFNPYTGQAQQMQPTWRPSGGPSLPPGGPGLLGPRPTGPQHRPSTWVPPGQQAYTTQLAPLHGNAFGSNPPPPATPYVQPGLGAHSNGAPAWDCSALVAALNSTFGAPMAGSSTTGTWVMDSGATSHMVSDPGSSHTARDHTLQ >SECCE2Rv1G0070730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:37501454:37502548:1 gene:SECCE2Rv1G0070730 transcript:SECCE2Rv1G0070730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRQHQGLSGDHTGKRPRLVPKKHLYLFLDDWKEGYSMHKIDVDTLQDDTTEEQAGFPEPATLRLGAPELCPAVLGSEIFIVTAPRCGQTPILVYSTETGGLTMGPRLPAPLVGCFDISVAAADRLYGLSSFPMCGEQQHAFEVLSRAPNCNKEQWSTKPRMDWSWKSVPSPPPLHEEENITSFALHPDGHTIFMSTHDQHNLSLARGTYSFDARHCKWRWHGKWVLPFEGQGYFDSDIDAWVGLHGDGSICTCQVPSRSDSSTLQPDWQMSKEKLFCSESERHLGASLTYMGDTQFCLIECAVREDMEREQALGDHDGCVLRLVMFSLKYNRKGELHTKIHRTTATLVSKHFLSFRPVAFWM >SECCE3Rv1G0209150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936797155:936800276:-1 gene:SECCE3Rv1G0209150 transcript:SECCE3Rv1G0209150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSACPPWLVRMVAGNDNQASSSSSSKGGGAVLTTAGTATIDTGYRGGDGKGGNAVDPQESSSSGQSRLAARGHWRPAEDIKLRELVALYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRISKRPFSDDEEERLMAAHRFYGNKWSMIARLFPGRTDNAIKNHWHVIMARKYREQSIAYRRRKLNQAVQRRLDSSSGVDSGAGHVDFVGHHHNHHLVAAAHDSAMFADPYGFNFRHCCLSFPAGSAAASALEPTFCLFAGPGAVSAHDERFSWPDAMAVRGGGGLYYGEPLPAQGGWVGGGRHEMARFSSDQANGSSAAVFAVTDQAGVHLDGTSRSPTFIDFLGVGAT >SECCE2Rv1G0117860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:777630676:777633044:-1 gene:SECCE2Rv1G0117860 transcript:SECCE2Rv1G0117860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO RNA-binding protein (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) UniProtKB/TrEMBL;Acc:F4I896] MEILNCKGVRFSLTGINGTRANQLVKIGSQSQRVGQARSVTCCEYSPDPASKRHERYQQQPQNVDLPELHPKNKKKPFPVPIKKMLQASRKDKRLAQMRMEKPLEPPKNGLLLPELVPVAYEVLDNWKVLIRGISQLLNVVTVYGCRKCPQVHVGPVGHQIQDCYGSGSQRRNSHHSWVRGSIDDVLIPIESYHLFDPFGRRVKHDTRFDFDRIPAIVELCIQAGVDLPQYPTRRRTAPVRMIGKKVIDRGGVVDEPKPHRSEDCISLLAELDTFSNQQGQSPAPTNVKEDAERTLKAYCDVRRGVTQLMSKYTVKACGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDEVIPPNYVWHVPDPAGPPLRSSLRSFYGKAPAVVELCVQAGAEIPEEYRPMMRTDIIIPDPDEARMAA >SECCE7Rv1G0456520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:10993327:10993981:-1 gene:SECCE7Rv1G0456520 transcript:SECCE7Rv1G0456520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGRGSANVAVLLGVLLLCTLVAEAAVFNVGDRGGWSFNTNSWPAGKRFKAGDVLVFKYDATAHDVVAVSAAGYKACAKPAKGGKVYSSGADRVTLARGTNYFICSIPGHCQSGMKIAVTAA >SECCE5Rv1G0355340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731506990:731510337:-1 gene:SECCE5Rv1G0355340 transcript:SECCE5Rv1G0355340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDEEKAGGNGEQRLGGEGVLAAVMEQLAAEGVRALHARVEAEWDPVLQSACQTAAVRALWAGAVRDPAAGVLAGERHLRGLHEKMRREERAGAREVPGVMIAVRTLWFDARIEAAVASLGGAAQVVLLGAGMDARAYRLGCLKECAVFELDFADLLDMKSDILHEAMSSGNHQKLTMMAKSLTRVPADIRDVDWMTKLQSCGYVPERNTVWVLEGILYYLQHVHAMQVLETIAACRTLACTVLLADFMNKNAASLSQTMYHFYHDSPDLLLPSMGFSQAMLSQIGDPQAHFGLLNHPQNLFDKLRRLPRSVETNPEDGTPCRRLYLVEASASPDDHTTL >SECCE6Rv1G0415860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:644874363:644875679:1 gene:SECCE6Rv1G0415860 transcript:SECCE6Rv1G0415860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALEDDLFPSTPGKVKIERAGGAMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKYFAASWGGLHWERQIRASAAPRRPPGSAAGAGMSVLVTGASGFVGAHCSLALRKRGDGVVGIDNFNAYYDPSLKKARKALLSSHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNVAGLVTLLEACKNADPQPAIVWASSSSVYGLNDKVPFSESHRTDQPASLYAATKKAGEEITHSYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKKQADLARDFTYIDDIVKGCLGSLDTAGRSTGTGGKKRGPAPYRIFNLGNTSPVTVPTLVAILEKHLRVKARKHVVEMPGNGDVPFTHANISLARQQLGYKPTTNLDAGLKKFVKWYLSYYGYTRGSKNL >SECCE1Rv1G0034300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:480171121:480172281:-1 gene:SECCE1Rv1G0034300 transcript:SECCE1Rv1G0034300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLHKYVLTQLLPSSSLSTISLPLHRLISAAVPAVFPNPSSFAVEEYLVATCGLTRPQAAKASARLSHLRSPAKPDAVLAFLAGLGLSGADVAALVVKDPLFLCAGVERTLYPVVVGLTGLGLSRPEIARLVSLAGEYFRRTSVASRLSYFLSLFGSYDDLLRILKRSPNLLGCDLERVVKPNIVLLQECGLGVCDIAKLCLVVPWLLSSSPERVQSMVACAEGLGVPRRSGMFRQALQAVAFLDKEKIGTKVEFLKKACRWSDAEVGIAVSKAPGVLTKSNDMLQRKSEFLISEVGLEPPYIAYRPAMLMYSLEGRIRPRYYVVKFLKENGLIDHDRDFFNIVMVSEKVFMERFICPHKKAAPHLTEDYATACRGEVSARFSFT >SECCE3Rv1G0184940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:668579645:668581066:-1 gene:SECCE3Rv1G0184940 transcript:SECCE3Rv1G0184940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSDTDAALFAAVLGQDAARHLATTPPHLDGPASSSPELQARLYDLVERGGAWTYGIYWQESRAGAGGRPVLGWGDGHCRDGPAEEAGATDRSLPRKRALLRLHALYGGGDEDGADYALRLDRVTGVEMYFLASMYFSFHEDAGGPGRALTSGHHAWAAVDPHLPGSAAAPGWYVRASLAQSAGLRTVVFLPCKGGVLELGSVVAMRESPDVLRAIQSAFHVDAVAPDDHMRIFGKDVSRGAPMPAVQATGCDASWALRLGGQAMVARPAKQEVAVVKPKPQQEPPKTISFSKAADAEKQAGGEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPKISKMDKASLLSDAIAYIQELEERLRGGAAGPARAETGPSVEVKAMQDEVVLRVSTPLDAHPISGALSAMRDSQLSVVASSMAVADDTVTHTLVVRSAGPERLTAETVLAAISRGMMMSATPSP >SECCE5Rv1G0326690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:483929212:483930219:-1 gene:SECCE5Rv1G0326690 transcript:SECCE5Rv1G0326690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDQKLISFLLSNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDEEEKTVIDLHEQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPATHKPLQPAAAATHQQPQRPTGGDSPEQKVPAAAATESQSGAEEDMFCPDEVPTMMQLLDNIVLPCDVVEPAPLDSSCGTASSPTESSSSSSSASAASSGGGFEDDWLPKIMEWPVEPMFMMGLDVDVVTGPTSAWEFEDAFNAYQRIALFDHQETWA >SECCE6Rv1G0403500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:504943977:504945238:-1 gene:SECCE6Rv1G0403500 transcript:SECCE6Rv1G0403500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTLKDEFDRVVKKQKLTSSRTIDLVNQIEKEIEQAIGAIQGNDTDRDAASNLNHEILTNLKNKLKELVPVKQLESCQKEMNTALGKWVKTTEKFFINDISKAHRNVDMEPHVLNEIKSEPALSWAMKNHDALLQNDSCLELKLHQLQFVEILKQGKRDEALQYARAYLAPFATIHKDVIQKLMASILWAGHLDQSPYTEFLVPTNWEKLAEEFAQQFCNLKGQSSTGPMGMTVAAGAEVLPILLKLMTVLTAKREWQSMKEFPFPLDLRREFQFHSMFVCPVLREQGSDDNPPMLLPCGHVLSKQSTVKLSKNSSRSFKCPYCPFEALASECKRLYI >SECCE2Rv1G0089490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:257879268:257881342:1 gene:SECCE2Rv1G0089490 transcript:SECCE2Rv1G0089490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAASGHPVLAQSSPDASTGGFISLDVAALSSLAGDGPDVTPAAPPASTPRTPRVVRSLSRKGSERKQADGDAGTGTTGAGVGTGERPPLSPLLVHVAAADEMLNGHRLVNTPGGAGTPGGKSRRLGRRPAPWLDPRRVVFLFATLSSVGTLILLYFTLSMSKMDSAGSGTGVDSDAR >SECCE4Rv1G0233350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:237768758:237769315:1 gene:SECCE4Rv1G0233350 transcript:SECCE4Rv1G0233350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSSQHDAVLPLIPCPDCHDKVVTYTSRAGVRFYKCVRKDAGLCGFIRSTDTYLPELTRAGLSQPFVMQSAIARNPVSPLQQRYRRGDQFDSRSQTEIHPAHARIEAQVHEQSPPRAMPPCCAADSHRTALILAGTNFILVVAMLFMIFQQRA >SECCE4Rv1G0221520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:49523212:49524216:1 gene:SECCE4Rv1G0221520 transcript:SECCE4Rv1G0221520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPGKLTDTIRRPVAAASSLHQSAANHLQPLVTLAQRNGVNRRCLLTLLASAAAIPEASESRKALLQDYVKRSKENKEKNDKERLDDFYKRNYKDYFGFIEGSVREKPAEELTESEKGILAWLDKNK >SECCE6Rv1G0408940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:578546159:578547985:1 gene:SECCE6Rv1G0408940 transcript:SECCE6Rv1G0408940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTRNLSRGDGRRLGNASLIAFMLASLVLLSVIRTRLSPMEKTGDAIKAEERPGMSKGSVKVEVARRDEAVVLTPDSTAEEDEEEEAPPKPADASSGGGVPVPVSTSTTPVPVAVAVIDRQGKPVCYESGRRSDTCEAAGDVRVQGRSQTIQVQPLDREWKVKPYCRKQDAYALSHVKEWTLRPFSGGGPHCTVNSSATAFVISTGGFTGNLFHDYTDVLVPAFITARRFGGEVQFLVSTFKSWWTNRYLEIFQQLSKYEVIDIDNDDEVRCYPGVVVGPTFHKELGVDASKTPTGYSMVDFRAMLRGAFGLSRAAAEPSGDRWDIRRRPRLLIISRKNSRAFLNERAMADMAMSLGYDVRVGQPDTNTEVSKFARLVNSADVMVGVHGAGLTNMLFLPAGAVLIQVIPYGGLEWLARGTFEEPSKDMQLHYIGYKIQLDETTLSEQYPKDHPVLTDPASIHKQGWEALKTVYLEKQNVRPHLGRLKLTFLEALKMLPHGRQAKANN >SECCE3Rv1G0149250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:23343208:23347770:-1 gene:SECCE3Rv1G0149250 transcript:SECCE3Rv1G0149250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDETPIALEEGWRNMEGGIARLKRILHGVDGVSFTSQEYIHLYTTIFNMCTQKPPYDYSEQLYRRYRQALEEYIKSTVLPCLTSKHGEFLLRELVERWKNHKVMVRWLTRFFHYLDRYYVSRKLLLPLKELGLSCFHDLVFGGLKTTLTTIVIDMVDNEREGQLIDRALLKDVVGIYLEIGQGSVDIYELDFEKAFCKGTTDYYSKKAQTWMLEDSCPQYMLKVEDCLQKEKERVAHYLHASTEQKLLEGTISELISWRAEEILYKENSGCKVLLLDGKSEDLSRMYRLFSKIDAGLFHVSKIFKEHVLEEGMSLLKHAADAANVTKNERKEAVGSPEQEFVRRTIELHDKQLAYVTSCFQNDTAFHKALKEAFDVFCNKDVAGCTSAESLASFCDIILRKGGSEKLSDEVVEDTFDKVVTILSYISDKDLFVEFHRKKLGKRLLFDKSANNEHERSLLSKLKQYFGGQFTAKMEGMLNDVSTARDHQTAFEEYMSDKLQNHRVDFSVTVLTTGLWPSYKTSNINLPSEMIKCVEIFKDYYNSKQKSRRLTWIYSMGNCNIVAKFDAKPIELVVTTYQAAMLLLFNGSERLSYSEIVTQLNLPDDDAVRLLHSLSCAKYKILNKVPSNRTISPNDVFQVNQKFTDKMRRIKVPLPPTEEKKKVVEDVNKDRRFSIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDIKIIKRRIEDLISREYLERDLETANTYRYLA >SECCE4Rv1G0221480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:49432717:49450237:-1 gene:SECCE4Rv1G0221480 transcript:SECCE4Rv1G0221480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 3, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT1G10130) UniProtKB/Swiss-Prot;Acc:Q9SY55] MEDAYAKSVAEVLQAFGVDRTKGLSDSQVEQHALLYGRNVLPQEESTPFWKLVLKQFDDLLVKILIAAAVVSFLLARLNGETGLTAFLEPSVIFMILAANAAVGVITETNAEKALEELRAYQADVATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRMVEMLSHQLRVDQAILTGESCSVAKELDSTSAMNAVYQDKTNILFSGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFLRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPITEEYSISGTTFAPDGFIYDASENQLEFPPQSPCLLHIAMCSALCNESTLQYNPDKKSYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNHYWENQFRKISVLDFSRDRKMMSVLCSRKQQEIMFSKGAPESVMARCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPEGQQSLSYGDEANLTFIGLVGMLDPPRDEVHSAIHSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLDDFTGYSYTASEFEGLPPLERANALRRMVLFSRVEPSHKKMLVEALQSHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLVPVQLLWVNLVTDGLPATAIGFNKPDGNIMAVKPRKVNEAVVSGWLFFRYLVIGAYVGLATIAGFVWWFVYSEDGPRLPYSELINFDSCSTRQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIHPWSNLWLVGSIILTMILHVAVLYTEPLSALFSVSPLTLAEWKVVLYLSFPVILIDEVLKFFSRRPRAWSFPLRLWRREMLPKEARDN >SECCEUnv1G0566760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:407619319:407620017:-1 gene:SECCEUnv1G0566760 transcript:SECCEUnv1G0566760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSYTALRGGLGLVTYLNKVYDWFEERLEIQAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >SECCE5Rv1G0368460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:829605291:829606263:-1 gene:SECCE5Rv1G0368460 transcript:SECCE5Rv1G0368460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGLGWATLLMCVALCAGQLLAGNPLFPSGSQPVPVRIYCQENAALNVAIRDGKVVLAYGDCTDMSQKWFLVPSTSSFAPTELQPFVLQSAQTLQVITIPSSTREKVGLTSRPPISGNPLDLLQWSQAALEQRWTPEKPTRADRFYQLFVTKNPALTLNGLLGAVHDGTDVGIYSAAPNSGNAIWQITSYPGCAR >SECCE5Rv1G0303360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:48109580:48115111:-1 gene:SECCE5Rv1G0303360 transcript:SECCE5Rv1G0303360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPASGGGGGGARSCETAEQTREWMEAIAAFLGRHRPLLEAHVVNFFKDRLWEIVDADWMECLRGEPVESLLMLPSGCVQDHWPSSLQEFILTSRSLVLPREQKSPQSFLPNSRVASIGTVLAQGMNTKKKHEIEALSGMVAAIARSRGAKTVVDVGSGQGYLAQALSFEYQLAVIAIDASSHHASVTNTRAERIKKHYAAKCVEKQQFKVPRTVTCHVLSSDTLAAVALDACQDDHGEHVTESNNCNQSSPQIGQPNHSIPTLVLAGLHACGDLSVNMLRVFVSCEQVQALVSVGCCYNLLSEDCHEDTDTCPGFPLSKAAKSLKLVLGKSIRDLACQSAERWRSLTKEMALQNFDIHAFRAAFQMVLEKYFPELSRSSPSIGRQGKALRRQRLRKEMESHLAMGKADDLSYSNTKEQNMNTDGSLPTEPTAPKGAVECCSSELCDTYATSGVVSSSVSRVNMGPSDTNLDECYKFTLFKDFTISGLGRLGCGFVEDVNLLEIWKDVQHFTEFIGPFWCLRATLGPLVETYILLDRLLFLQEQGSAVEASLFPLFDPAMSPRNMALVAWKLTGDPLEPSHGNASHA >SECCE7Rv1G0483370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:321953986:321958185:1 gene:SECCE7Rv1G0483370 transcript:SECCE7Rv1G0483370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photo-lyase [Source:Projected from Arabidopsis thaliana (AT1G12370) UniProtKB/Swiss-Prot;Acc:Q9SB00] MAPSASPTPGLVVTAPVHQARVRVLHPGQGLPPAGAKPGPVVYWMLRDQRLADNWALLHAASLAAASAAPLAVAFSLFPKPFLLSARRRQLGFLLRGLRRLAADAATRGIPFFLVTGGPTEIPTLVQRLGASALVADFSPLRPVREALDAVVGALERDAASVTVHQVDAHNVVPVWAASGKLEYSAKTFRSKMNKVLDEYLVEFPELAEVVSWDREQPKDIDWDTLIDTVCSQAEDVPEIDWCEPGEAAAMEAFLGTNDGFLTKRIKSYDSDRNYPTKPTALSGLSPYLHFGHISAQRCALEAKKRRHLSPKSVDAFLEELIIRRELADNFCYYQPHYDSIAGAWEWARKTLKDHAADKREHIYTREQLENAKTADHLWNASQLEMVHHGKMHGFMRMYWAKKILEWTSGPEEALSIAIYLNDKYHIDGRDPNGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFDVDAYISYVKRLVAQRKKRKLEESLNSAAKYSKSED >SECCE4Rv1G0262580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:708610490:708619869:-1 gene:SECCE4Rv1G0262580 transcript:SECCE4Rv1G0262580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFEDGQHVRLRSRERGTYLHADDDGLGVSLSRRRASMNSAWAVHIYQGDRGPQYLLLHSAAYGRYLGATDAPALRGHIGSRVEQCDYGPWEEEAIRWQAVGIGSGDNILLRHVGGRRLRANGGYLSIDDSDGAGTMMHWVVERIPAREDTPRLAALTGMHIYSNTYLMVLCAQLQLRLPRSLSFMLPWRLIQVEQAGADESNASFPWASLVFRGRSAYHLRKKLASRLGVAMDVSNLVMCVRAGMHGRPTPLVIDLPRSKLTLDIIVFMAGTPAHADLRYPNVDAE >SECCE3Rv1G0194980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:788560194:788578250:-1 gene:SECCE3Rv1G0194980 transcript:SECCE3Rv1G0194980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKAVSDSNAPVQEKALDALLAFQRAADADVSRYAKEVCDAICAKCLTGRPKTVEKAQAAFLLWVELEASEVFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKELEEEAVPETTGASTSEVAVPDAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFNEVSRTLKKLVTDVNLAVAVEATQAIGNLAKGLRTHFSGNSRNLLPVLLEKLKEKKPTMSEALTQTLEAMHKSGCIALLDVIEDVRVAVKNKVPLVRSLTLNWVAFCIETSNKATVLKLHKEYVPICMECLNDSTPDVRDSSFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSATDTALTSGTVPTSSSGAATSAREVTDSSTMRRSAASMLSGKKPIHAAAPAKKSGPSKPTAKKTDGGPQSKASAAPEIEDVEPSEMSLEEIEERLNSVVKTETISQLKSTVWKERLEAIGMLKQDVENLTELDKSAELLVRLLCAVPGWNEKNVQVQQQVIEVITYIASTVKKFPKRCVVLCLLGISERVADIKTRAPAMKCLTAFCEAVGPGFVFDRLYKIMKEHKNPKVLSEGILWMVSAVEDFGTSNLKLKDIIDFCKDTGLQSSAAATRNSTIKLIGILHKFVGPDIKGFLSDVKPALLSALDAEYEKNPFEGAAAPPKRTVRALDTASSTSAASSDGLPREDISSKITPALLKNLGSPDWKLRLESIEAVNKIVEEAHKRIQPTGTVDLFTALRGRLNDSNKNLVMATLSSIGVLASAMGPSVEKSSKGILADVLKCIGDNKKHMRECTLTALDSWVAATQLDKMVPYIAVALGDQKSGSEGRKDLFDWLSKHVSKMSDPSEALPLLKPSASSLMDKSSEVRKAAETFMNEILKICGQAAVAKNLRDLPSPTMAIVAERLKLSSVHEGISDSVKMVTTSMSLPSKGGLKNVKPGLNDRASNVGKAASQRGVPARASVTMISSQDTLQSQALFNIKDSNKEDRERRVLVRKFKFEEPRREQIDELKVDLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVVELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDSLKDQSYMLTEAEAAIFLPSLIEKSGHNIEKVREKMGELIKQMINIYSLPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHNGTEVGGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDVAEQSGELVSRSMAGSMMPRENFGYADAHTVPRQMATAVTGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSALDDLIKEADRLVSCLSVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPSESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSVHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNNPNPSIHSTDAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIASIPSPKFAPSPVHTKSISSKTDSNEEEVFRVQGDSDFRLQSDQQTDRFQSSAGTLDALRERMKSIQAAAVGGNFDVAQTRPLSSMNGNTLHGGARVEGEPQTQSNIPPMDERALSGLQARMERLKSGSMEPL >SECCE2Rv1G0121530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:809263025:809264708:1 gene:SECCE2Rv1G0121530 transcript:SECCE2Rv1G0121530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAEIHGAAVSAQALLLLLVPLVLLLAGGRRWSRRSKQRLSLPLPPSPPRALPVIGHLHLVGPLPHVSFRDLARKHGKDLMLLRLGAVNTVVVSSPRAAAAVLRTHDHALASRSRSAVADIIFYKRTDVAFAPYGEPWRQARKVVTTHMLSAKKVHSFRHDREEEARIAVARIRAAAATAAPVDMSELLGSYANDVVCRAVLGRNHRDDGRNKLLRQLIDINMSLLGGFNVEDYFPSLAVADVFTGMVVCPRARRVRKRWDELLHKLIDEHGRQREQDAASADFIHVLLAQQEEYGLTTDNVKAILLDMFEAGTETTYLVLEFAMAELMNNRHVLAKLQAEVRRRRSTPAAAVGKVDEGQSQQDVVTEEELGDMAYLKATVKETLRLHPPVPLLLPHLSIADCEVDGYAIPAGTRLMVNAWAIARDPAYWEAPDEFAPERFLHGGSAAAVDSKGKDFEFLPFGSGRRICPGINFANTAVEMMLANLVYHFDWELPAGTKEVDMTEVFSLSIRRKEKLLLIPTPRPRHEE >SECCE5Rv1G0298750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:13518825:13523061:-1 gene:SECCE5Rv1G0298750 transcript:SECCE5Rv1G0298750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSPSSSSSGVARALAHHHQAALGFLLGLFVSLVLYTTVSGQFRSTKSIVVLQSMSGEHAERNARTTPPLVSSAPNNSIQENGQMDEVTKPMGKEGNVQANTTGQVDPTVNDASDKMKGELIRQELNQDNDGNDGGNGKHGAPRKPICDLTDPRYDICEISGDARALGINRTILYVPPADERDTDGPEWAIKDQSRKHLGDIDEVNVKTLSATQSLVAPECTSRHTVPAVVFAMNGITGNPWHDFSDVLLPLFITTRAYDGEVQFLVTELQSWFMDKYRLILTNLSRYDMVDFNKDAGVRCYPHIIVGLRSHGDLNIDPARTPRNYTMLDFRLYIRDIFSLPSEGHGIPYKEANKKNTTDSIGGATEKQMPRLMLINRGGSRKFINLLEISAAVQAAGFEVLVAEPRRDLTLEEFSRVVDSCDVLMGAHGAALTNFFFLRTNATLLQVVPWGLEREATHYFGAQAKEVMLQDVEYNITAEESTLYEKYGKDHPAVSDPDSIHRQGWQGARQYFWEEQDIRLNVTRFAPTLKHLLQTLRE >SECCE4Rv1G0222880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:63898984:63900411:1 gene:SECCE4Rv1G0222880 transcript:SECCE4Rv1G0222880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHQKLLSFLRPDPATAACSPRSFSSSSASVSDDDGYSCSSYPTTDGDASPSRYGGSSTPPTPKSPWAHLPGLGAWSAVAEPGATGLIASLVKEDGHIYSLAATGDVLYTGTDSRNVRVWRDQRELAGFRTASGLVKAIVIADDGRIFTGHQDGKVRLWRADADNPAVHRQVGSLPKLKDYLKSAVNPASYVETQRKGRQRAVWLRHSDAVSSLSLDEGAGLLYSASWDRTFKVWRVSDSKCLESVSAHDDAVNTVAAAGFDGVVFTGSADGTVKVWRRETAPKGGATKHVLETVLRKGEGAVTAIAVSPEDRVVYVGSSDGLVTYWYWIDGEARYGGVLKGHKLAVMCLAVAGNVVVSGSADRTLCVWRRDGAEHVGLAVLAGHTGPVKCVAMDEEAANRSGGDRRFVVYSGSLDGSVKVWRLSNAEAARAARAPAPPQLSQAWKGRPDPSPYAEAWAPCQTPELKRVCVAAA >SECCE2Rv1G0065980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10972690:10973766:-1 gene:SECCE2Rv1G0065980 transcript:SECCE2Rv1G0065980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPNHTQAVSGWAAMEPSGKVVPFAFKRRENGVDDVTIKVHYCGMCHTDLHFINNDWGITMYPVVPGHEITGVVTRVGANVSGFRPGDRVGVGCIAASCLDCDHCRRSEENYCDKVALTYNGIFWDGSVTYGGYSNMLVAHKRFLVRIPDALPLDAAAPLLCAGITVYSPMKQHGMLHAGRRLGVVGLGGLGHVAVKFGKAFGLKVTVISTSPAKEREARESLKADDFVLSTDERQMQAMARSLDYVIDTVSAQHSLGPILELLKVNGKLVLVAAPDKPVELPSFPLIFGKRTVSGSMTGGMKETQEMMDLCGEHGITADIELVSTDGINDALARLARNDVRYRFVVDVAGNGSRL >SECCE7Rv1G0495830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:602506138:602507272:1 gene:SECCE7Rv1G0495830 transcript:SECCE7Rv1G0495830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGPCWVALALLLFVATANGSELSAGYYQKTCPTVQHVVRSVMASRVAAQPRMAPAILRLFFHDCFINGCDASVLLDATPFSPSEKDVEPNASLTGYTVIDEIKSALEHDCPATVSCADVIALASRDAVSLLGGPTWSVPLGRKDSRFAADPESTKKGFPSPQDNLGELVTMFSRLNLDARDMTALSGAHTVGMAHCDTYRDRVYGTNGDIDPSFAQATQQTCQGPSGKAPFDVQTPMRFDNAYYKNLIARRGLLASDQTLYGGGGLQDNLVQMYSVDGEAFARDFAKAMVKMGNVPPPKGMPVEVRLKCSMANNY >SECCE4Rv1G0232050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193467281:193469314:-1 gene:SECCE4Rv1G0232050 transcript:SECCE4Rv1G0232050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPEEFLGQQGFLARLEPPSPSLFLDLPPTPRGDGNDGHSSFDDMVLPYISRLLMEEGTEDHLFYLYPNHPAVLRAQQPFAQILVDVADSASASSPSPSSSSDATASTTPSTATASASASASASPDDAPVQISHPPYTDVNGHASACPDNQTSELLSGDEMAKRPSSDFFNHLLPGDPDMLSLAFLKGMEEARKFLPPDVSLPPIKEGTVVGGGNAGSLKKKRDAPALESDVGRPSKLMMPEPEERKMFDEMMFQEHEICMKGTQNLTAAVDGEPGKSSRRKGRSRKAVDDSEMVDVNTLLLNCAQALSTDNRQSAIELLKRIREHSSPKGDAGQRLAHYFANGLEARLAGRGSELYQSFLLSRISVADFLKANQLYMAACCCKKVAYIFADKTICNAVAGKRRLHIVDYGLNQGLQWPGLLRMLAAREGGPPEVRITGIDLPQPGFHGAYHIEETGRRLSNFARVFGVPFKFRGIAAKRETVRPEDLNIDRDEVLVVISLCQFRHLMDESLGFDGPSPRDQVLNNIRKMRPDVFIHGIMNGSYGATSFLTRFREALFHYSAQFDLLDTTVPRDNEGRLLLERDIFGRSCLNVLACEGADRVERPETYKQWHLRNHRAGLRQLPLNPEVVKLVLDKVKDNYHSNFVVDADQRWLLHRWKGRVLYAWSSWVAADAT >SECCE3Rv1G0151630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:40364216:40365870:-1 gene:SECCE3Rv1G0151630 transcript:SECCE3Rv1G0151630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSELSRQSPFPSILYSAASSFTATSSPTSQARAVPPAPAFGRGPGGGRPFSIQSPNEKIKMYSPAFYAACSAGGIASCGLTHMAVTPLDLVKCNMQINPEKYKSITSGFGVLLKEQGARGFFRGWGPTLLGYSAQGACKFGFYEYFKKTYSDMAGPENASKYKTLIYLAGSASAELIADVALCPMEAVKVRVQTQPGFARGLTDGLPKFIKAEGAAGLYKGIVPLWGRQIPYTMMKFASFETIVEQIYKHAVPVPKSECSKPTQLGISFAGGYIAGVLCAVVSHPADNLVSFLNNAKGATIGDAVKRIGVMGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVAPVPAAAEETKAIA >SECCE5Rv1G0367680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:823278610:823279833:-1 gene:SECCE5Rv1G0367680 transcript:SECCE5Rv1G0367680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein A2 [Source:Projected from Arabidopsis thaliana (AT3G58810) UniProtKB/TrEMBL;Acc:Q3EAH9] MDSHTSSPHHEVTMDISSASASKMCRGASCDFADSANTSKDAKERSASMRKLLIAVILCVIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSIQLIWLLAGILVYEAIMRLVNGSGGEVQGSLMFAVSAFGLFVNIVMAVLLGHDHGHGGHGHGHSHDHGHDHGNSEDDHGQHDHEQGHAHHHEHSHGSSITVTTHHHPHSTTGQHQGDAEESLLKHDDGAKEAKEPRRRNINVHSAYLHVIGDSIQSIGVMIGGALIWYKPEWKIIDLICTLIFSVIVLFTTIKMVRNLLEVLMESTPREVDATRLEGGLLQMEGVVAVHELHIWAITVGKVLLACHVTIEQDADADQMLDKVIGYIKAEYNIGHVTIQIERE >SECCE3Rv1G0192800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762655002:762658337:1 gene:SECCE3Rv1G0192800 transcript:SECCE3Rv1G0192800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKISYLKKCTVVVLLIPSVLAIRCFSATTPRDTIAFNESISDGQNLVSSDKKFVLGFFSPGASSHRYIGIWYNSTPNGTVVWVANRNDPVHDKSGVLKFDDVGNLIVQNGTGSSSIVASGSGAGLRDREAAILYTGNLVLRSMANHSNIIWDSFAYPTDTWLPGMNITLRNLLTSWKSYDDPATGDYTFGFGPSIVNESASQFIIRWKGNTFWTGASWNGDANSLIPDLTSIRVIPVSFPCDKFKCTYTANPAGIMTKIVLDQSGSLNITQFNPEAKLWTLLWRHPDSCDVSDLCGVNGVCNSTVLPVTVSASESICKCPEGFALQDQSNSRKGCTRKTPLQCNGDRFIDMLNMTLPDNRQKLSVVEKSACEFACMENCSCTAYAHSLSDGCSLWHGNLTHLQNGVETLHLRVAASELHGHKMLWIAYVLPSVAFLVFCLISFIWIRRWKNKGKGKQHDHSLVMASDVMKLWESEDTGSHFMMLSFSQIENATDNFSSENKLGEGGFGPVYKGNLPNGQDVAVKRLAANSGQGLPEFKNEILLIAKLQHSNLVGLLGCCIDGEEMLLIYEYMPNKSLDFFLFEQSRRAFLVWAMRINIIEGIAQGLIYLHKHSRLRIIHRDLKPSNILLDTDMNPKISDFGMARIFDPKGGLANTKRVVGTYGYMAPEYAMAGIFSVKSDVYSYGVLLLEIISGLRNAAARGHGNSLNLLGHAWELWKEGRWRELIDKSLHGACPENMVLRCIHVGLLCVQENAPDRPSMAEVISMITNENATLPAPKQPGFLSMLLPVEADVPEGSCSLNDLSITRLDGR >SECCE7Rv1G0468980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104552583:104553314:1 gene:SECCE7Rv1G0468980 transcript:SECCE7Rv1G0468980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTYVDFVPSHDLVEDSCKHTLVVNLPGFKKEHLRVQIDNYGRLRVSGERPLEDGQWSRFRKEIQVPEGCDAGRIRARFEKDGVLNVTMPRLTPLVDEPKAAADAAADAEAARHAAAAAEEKKRQEEMDEERARKRHAGDEDSHASDEGEGAHQAASAGRQAYGFARDRSRSGMVRALLLAVAVAFVGAAGLYARYRWMDPSAETAPADGAIVGLFDY >SECCE7Rv1G0467090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:83290534:83291010:1 gene:SECCE7Rv1G0467090 transcript:SECCE7Rv1G0467090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPLPVLLPLLLATFFVIAHAETDTAAAPSPTLATYPPPSPPPAWTPLSSPPPSPPVWTPLSSPPPLPPAWTPVSNVNFQSIKQVGQFAVRIHALHEKVDLVFAKVVSCRTQPSSDGFVYQLVVAVTGSGAEAPQYDAVVWGILGTMRWELRSFKPK >SECCE6Rv1G0422040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:687878076:687878579:1 gene:SECCE6Rv1G0422040 transcript:SECCE6Rv1G0422040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRTDCPWTAPLKWLTSKEEKNGNFGGEFVKCESKPEGQIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPAAAARLEYPTVVDVELMVELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE7Rv1G0468160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95454599:95454844:1 gene:SECCE7Rv1G0468160 transcript:SECCE7Rv1G0468160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARIRRAALLLAAVVLMQCCNAALAARLLEGDGVDGWLRQGAGSLIMQVLPRGGSPPGAGNSCWNDPKHPPSSGSCHG >SECCE1Rv1G0047650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:627167353:627171640:-1 gene:SECCE1Rv1G0047650 transcript:SECCE1Rv1G0047650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADEEQEGLSAQSPAQAPPSSASSLPKEQSQVELELRLLQALEFYPPSKLKGIHRHFILYGLMEYLKKSLDRQFSADEVLQLLDRFFNLEMLKPDDDEKDSFGQTEEFALPVNFFKKEE >SECCE6Rv1G0385140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:73519828:73520601:1 gene:SECCE6Rv1G0385140 transcript:SECCE6Rv1G0385140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) UniProtKB/Swiss-Prot;Acc:Q9XHZ3] MERDHSALKKIRLYDADDSAISQVPAMASSMNQQLFWSQWQLLDSILPTGGFAHSYGLEAAMQSRIVNNQVDLRLFLIQVLENIGSLLLPFVYCASRSHDAAAWVKLDQLLDATLTNEVGRKASMSQGSALLRVAASVFTEIQPLQDLRRTFLGSTSVSFHHAPIFGLICGLVGFDSETTQRAYMFVAMRDVISAATRLNLIGPLAASVLQHQVAPDAEKMLQKWRDRDVSEASQTAPLLDALQGCHAYMFSRLFCS >SECCE3Rv1G0180530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:581098045:581101086:1 gene:SECCE3Rv1G0180530 transcript:SECCE3Rv1G0180530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSEQPQAETEPEAVELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGALKVVGKGEECVIKLEDKSTGELYARAFLREGEPHPVEAVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERTEAYDFQAALHDHMKYLNKKKTAEEMVQHYENTSSVDYSLKEGETLVLQLKNKESGSKTKSAFFEQGLNKLSFNEKANTKEATVSLKLPPPPPSPVSPTDSGVAMSPFKAEFPSQEQPGTGDAGDAAAPFKAEFPSQEALDDAVEARAEAAPRNQPAAAERSKQGSVDDEFDFGDFQAAL >SECCE2Rv1G0113000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:718300187:718302526:1 gene:SECCE2Rv1G0113000 transcript:SECCE2Rv1G0113000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAPHGGEYGAKDYSDPPPAPLFDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQADPDGPNAADAACSGVGILGIAWAFGGMIFVLVYCTAGVSGGHINPAVTFGLFLARKVSLVRALLYIIAQCLGAICGVGLVKGFQSAFYVRYGGGANELSAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKAWDDHWIFWVGPFIGAAIAAAYHQYVLRASATKLGSSASFGRS >SECCE3Rv1G0196870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:812903312:812912035:1 gene:SECCE3Rv1G0196870 transcript:SECCE3Rv1G0196870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFDRLPPMDHLRSEKMCLVQLIFPAESARLAVTYLGELGLLQFKDLNENKSPFQRIFVNQVKRCAEMSRKLKFFSDQINKAGVKSSVRPALQPEIDLEELEAKLGEHEHELLEMNTNSGTLRQTYNELLEFKLVLSKAGSILAASQNHATPADHELDEHIYDKEVDDGNGYLLEQGIHQGASESGVRFVSGIIWKSKALAFERMLFRTTRGNMFFNQASAGEPVMDPSSGEEVEKTVFVVFFSGEQAKAKILRICASFGANCYPVPEEIVKQRQIFREVSSRLSDLEVTLDAGIQHRNKALESVGSQLWRWILMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIEDVLQRATLHSNSQVGIIFHEMDTIDSPPTYFRTDKFTNAFQEIVDAYGVGRYEEINPAVYSVITFPFLFAVMFGDWGHGICLLLGALFLILREKKLASQKLDSFTEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYACRENSCSDAYTAGLVKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVSQMNLGILLSYFDAKFHKNALDIRYQFIPQLIFLNSLFGYLSLLILIKWCTGSKADLYHVMIYMFLDPAGDLGENQLFWGQKELQILLLLLALVAVPWMLFPKPFILKKLHKERFQGHSYRFLGTSEMDPDSEPDSARSRHDDFNFGEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLLFAWGYDSLILKLVGLIVFAFATAFILLGMESLSAFLHALRLHWVEFMNKFYHGDGYKFKPFSFATLADDEE >SECCEUnv1G0546990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:166490725:166494385:-1 gene:SECCEUnv1G0546990 transcript:SECCEUnv1G0546990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGDGRALRSHHHQQAPAAQQRPRLKPALEMEDLISLLHGSDPVRVELTRLENDLHYKEKELGDAQAEIKALRLSERAREKAVEDLTEELAKVDGKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEEMKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRLLQGEMQQLRDKLAIAERAARSEAQLKDKFQLRLKVLEEGLRMSTSRTNASAARRQSIGGAESASKTNGFLSKRPSFQMRTSVSTTTTTLVNHAKGASKSFDGGCRSLDRYKGHVNGVGMNVSTDSSEDKESNNSDEKPSEFTSVESEDTVSGMLYDMLQKEVVALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESEAKKMRRDVAAMEKEVVAMRLEKEQDTKARRFGSSSASANSSQMPPGRTLPRSGSARNM >SECCE3Rv1G0190900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:744256203:744258782:-1 gene:SECCE3Rv1G0190900 transcript:SECCE3Rv1G0190900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKRTVPQAQGSPRRNPRAMEGDENATPRRPVATGSASPQMKKVLGDRNGAGRGEGASPAKAALTPQPPTGGTGTYDPKTNYTTPRPDFLRYDPKRSREIILRLGREVEGDSSSATSGTELSEVLSSGSSVARGADSECDDADEEEEVVPEQGPGGRWARRLLLLLVSSACLFCYIYCMSSAPFPATTSEEPIDFVGLNGSMYDVGVHEVVPPPGSIPYEHEANIGQFVEGGSDDGIPLHGPGASPSNFMAVAMMGMADACPNVPFGEFTCQIGDESSESVDVLKQDSGIGELKSEASMRPLENTEESSEVVCSGGDVTGVPFGSTHSDDLDEDKLGLVPQETGEDDSKHSMPQLVTMDEILESESVKVVSDDKGPESERLDQEEFDSLEYEKTAEAAKKLVDMVKILWSAVEPHLLKMLACLSVAGFVTAMFKYSQRSRKVKVPVSQRMPSVPPKRVPVLAPHNTVPLPVFHSEQPLERTAPKQESSACLEPHVQSLLSESDQSVCLNVPSIGHSDRDQKVQQEDGGVERASDGSTMDHKDAERSKPPVVQLLGEFSFVDADSSRQRPVKDSIQHAGDVTVQESVSSRKRVVKMQKDSGKIQTPGVQAARKKEAAAEVEKVDATPTPLRRSSRLRKLASP >SECCE7Rv1G0496800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:617084898:617086955:-1 gene:SECCE7Rv1G0496800 transcript:SECCE7Rv1G0496800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQALLTDPSRRPRSSHKVVVATPAMEHQEFLLRKHAVLLTASSPRHAANPMSVGRAIEEQLHTPPHLLRITSHDPEDFFVHFELPAHKESAVRRGTLKVDGVEFAIKGWHEDDHEVLEDCNLHVRVVIECMPMQLWSLEGAAEALGDLCIVDRLDSRTHERGHTKSFACWVWTTDTALIPTKRALWRAARGAGRVEAMLGFSPPSRQVAPPPGIRRRELLVHVDRIEDWTPRTPPSSRSRQSGLPSSESGDDTPFPAVYPATWTWHVEDGQGRRHPPAVASSGCHGLQRGAGRRDHDDHDKDRAGRRSWADSLLGRGHSGRAPAPEKERSRQPRQRSRTPTSRHRGMSCMGREAAIRATPPLPLRPSTTPPPPTPPPPPPARAGGPRRRPRLRLLHQRRHTAAPPSPCPPPRFDHGHLELEEAVADVLATPLEFGTGQPDAANDRAPDDVGPAAHLRCDAVLACRAASPLPETNTELGAVTHQVQQLQIEHDGAAPRLLFRDIPEPIIPVAPQARRPSAPPKTRTPSAPVRHSARQAARHSSTPVAQRATLRLVKELGVLGPKARMTVKAAEALLRRFDEPLTEDDINCIAKLTRLDVQALRTMASLAGPDGVDEE >SECCE7Rv1G0481990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:297876899:297880571:-1 gene:SECCE7Rv1G0481990 transcript:SECCE7Rv1G0481990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G30510) UniProtKB/Swiss-Prot;Acc:Q93VC7] MASLVQHVAGLACPPLSGASRRPGAPMRPSALVCGTYVLSKDEKERERMRTLFDEASERCRTAPMEGITFSPEDLESAVETTDIDTDIGSLIKGTVFMTTSNGAFIDIQSKATAFLPIDEACLLDIDNIEEAGIRPGLVEQFMIIDENPNDETLILSLQSIQQDLAWERCRQLQAEDVVITGKVIGGNKGGVVALVEGLKAFVPFSQVSSKTTAEELLDKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLSLSSEGILGPLSSDAPQDEGQSADE >SECCE6Rv1G0389630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:156691330:156691881:-1 gene:SECCE6Rv1G0389630 transcript:SECCE6Rv1G0389630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMESRARVDIPVFVCPRCQACVDRRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTAVAATRAPEEEMEGKAHTGRELAVELRMLKKKVRKLEDQAQIPIPICNYFWALVGMVIALVVMLKMYGKA >SECCE2Rv1G0103830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:595494471:595496522:-1 gene:SECCE2Rv1G0103830 transcript:SECCE2Rv1G0103830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g13600 [Source:Projected from Arabidopsis thaliana (AT2G13600) UniProtKB/Swiss-Prot;Acc:Q9SIT7] MARHHHHHFISHLRASAPLADLLRSAPNLPAARTAHARALKSPFADETFLLNTLVSAYARLGRLPDARRVFDEIPRPNTFSYNALLSAHARLGNPADVRALFDAIPDPDQCSYNAVIAALAQHSRGADALMFFAAMHADDFVLNAYSFASALSACAVEKDPRAGVQVHALVSKSPHAKDVYIGSALLDMYAKCEGPEEARRVFEAMPERNIVSWNSLITCYEQNGPVGEALVLFVGMMNAGLVPDEVTLASVMSACAGLAADREGRQVHAFVVKSDRFREDMVLSNTLVDMYAKCGRTWEARCVFDRMASRSVVSETSLITGYARSANVQDAQVVFSQMVEKNVIAWNVLIAAYAQNGEEDEALRLFVRLKRESVWPTHYTYGNVLNACGNVADLQLGQQAHVHVLKEGFRFDFGPESDVFVGNSLVDMYLKTGSIDDGVKVFERMVARDTVSWNAMIVGHAQNGRAKEALHLFERMLCSKESPDSVTMIGVLSACGHSGLVEEGRRYFRSMTEDHGITPSQDHYTCMIDLLGRAGHLKEVEELIEEMPMEPDSVLWASLLGSCKLHKNIEMGELAAGKLFELDPENSGPYVLLSNMYAELGKWADVYRVRRSMKSRGVIKQPGCSWIEVGRQVSVFLARDNGHPCRNEIHDTLRIIQMQMSRVSVDAENADGLMNYSSEAYG >SECCE7Rv1G0497390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:625330741:625337977:1 gene:SECCE7Rv1G0497390 transcript:SECCE7Rv1G0497390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSDLRKKSAAEIDFFTEYGDTNRYKVLEVIGKGSYGLVCSANDTQTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDVVEIKHILLPPSKKDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYMHTANVYHRDLKPKNVLANANCKLKICDFGLARVAFNDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDVLGTPSLDAISQVRNDKARKYLTCMRKKHPASFSQKFPKADPLALRLLRRLLAFDPKDRPSAEEALADPYFNGLAKVEREPSCQPIPKIEFEFEGRRVTKEDIKELIFEEILEYHPQLLKEHISGTDRPNFVHLSAVDQFKKRFAELEENGGENGSAASSQRKHSSLPRQSFNPR >SECCE2Rv1G0109890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:681739937:681745259:-1 gene:SECCE2Rv1G0109890 transcript:SECCE2Rv1G0109890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDQPVISLRPGGGGGGPRGSRLFAPAFAVAASGSGDFLRPHGGGASGVSRIGDLHSESRERVRYSRDQLLDLRKITDVTEQILRLQQEIEAELHGDDQSWVRNDSNVQVQTQTQTQVQAQNRFTETDNRDWRARTEKPPAPAVQEEKSWDNIREVKEQYNAGGRQQEQFNRQDQSSSQKAQAGPPPALIKADVPWSARRGNLSEKDRVLKTVKGILNKLTPEKFDLLKGQLLDSGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSELNDNLPTFPSEEPGGKEITFKRVLLNNCQEAFEGADSLRIEIASLTGPDQEMEKRDKERIFKLRTLGNIRLIGELLKQKMVPEKIVHHIVKELLGSDKKACPDEEHVEAICQFFNTIGKQLDENPKSRRINDTYFVQIRELVANPQLTPRSKFMVRDLIDLRSNNWVPRRAEIKAKTISEIHTEAEKNLGLRPGATANMRNGRNAPGGPLSPGGFSVNRPGTGGMMPGMPGSRKMPGMPGLDNDNWEVQRSRSMPRGDPLRNQAPLINKVSSINKPSPINPRLLPQGTGALIGKSALLSTGGPPSRPSSLTASPTPVPAQTTASPKPSSATPASVPIPDKAASSAKVIPAGLQKKTASLLEEYFGIRILGEAQQCIEELQSPDYHPEIVKEAINLALDKGASFVDPLVKLLEHLYTKKTFKTEDLENGCLLYGALLEDIGIDLPKAPTQFGEVVARLILSCGLRFEAVEGILKAVEDTFYRKAIFTSVTKTLEADPAGQAILSSHDAVVGACNSLLI >SECCE2Rv1G0119670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:793219851:793220973:-1 gene:SECCE2Rv1G0119670 transcript:SECCE2Rv1G0119670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPAVLLALAAVVLLAAAGAATAQSTPRPPKAQGPKPKPKPMRVKCTEKRKENPYCFNRNMDCPANCPQSCYPDCNTCKPVCVCNTPGACGDPRFIGGDGNAFYFHGRRDADFCVVSDRDLHINAHFIGKSGHSGMSRDFTWIQAIAVLFDGHRLYLGARKTGTWDDAVEHLDITLDGEPVYLPADLIEGAKWTSSRVPELSVTRTKAANGVLIAVEGKFSVRANAVPITEEESRVHRYGVTAADCLAHLELAFKFDALTDDVHGVVGQTYRSDYVNHFDVRASMPTMGGDVTFTTSSLFAADCSVARYGVSRGNGGAAVLSELAGVTCASGMDGKGVVCKK >SECCE3Rv1G0175620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:429521495:429524393:1 gene:SECCE3Rv1G0175620 transcript:SECCE3Rv1G0175620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFRALIAAGATAVCCVVCAIWASRSPSPSPSPPKKQQPIPSRCCGCASCDCRANTTFSSANGEMAVGGEMNKAPAPAPATTGASMMEQLVPEITTHALSYLDCTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTAEQDTISPPNGWKAYYAATKAIMNVNAEFYSIIREGSLPAMSHFWLNSDYVKCVHATGELFTGYNSVMNSWGLLFNWGQDGGQGTDFQLRDVRARVLADVAWVNMKVHVDVDPGPFHVTNVYEFRNGRWYMVHHHSSLMADPAPHNLFG >SECCE3Rv1G0197730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:822463006:822465099:-1 gene:SECCE3Rv1G0197730 transcript:SECCE3Rv1G0197730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEHHDDATTGLSLSPPRPSAPLSPPISPNPTAVAALANARWVPTREQIAVLEGLYRQGMRTPTTEEVHQVTARLQEHGPIEGKNVFYWFQNRLCQKQKQQRSDYFGRQFRRPQPLPTLRRTPGHPFSSVQLQAPPAPNTPACNREGTYMQQPCYMAGSAAQASVNAAYYSQMQPSLVYPNVETMAHGNIQAEAQAAMYFQAAASNNSNTQQPHAVQFPSADGNYGTPDTYYRRPMLLNLFPQYPTFANREKTRHTASDVSPGPSTSRSFSLEAESPEIPSGDGSRSFYDFFGEGH >SECCE5Rv1G0364170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:798943353:798944832:1 gene:SECCE5Rv1G0364170 transcript:SECCE5Rv1G0364170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVKVPLLEGRGATPAQTLGNIVVSIVGTGVLGLPFAFRTAGWLAGALGVAGAGAATFYCMLLLLDCRDKLREQETEEDGLGDEQRRHGGNYTYGDLGERGFGPIGRYFTEAIIIIGQTGGSVAYIVFIGQNLSSVLPALSQPAVVLALLLPAEIALSFVRSLSALAPFSILADACTVLAVAAVVKEDVQLLAERGRPFAGRSAFAGLWGVPFACGVAVFCFEGFCLTLALEASMSNRARFRPVLFQAIVGVTVVYISFGVCGYLAYGDATRDIVTLNLPDSWTTAAVKVVLCVGLALTFAVMMYPIHEIVEARLLAPEGWVRRRCGGLAQRVALHLSRVAVVAALAAIACFVPAFGDFVAFVGSTVCALLSFVLPALFHLRVVGPTASPWARAVDYFLLLAGLVFAGHGMYTVLAPSDNY >SECCE1Rv1G0017870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:167897252:167932164:1 gene:SECCE1Rv1G0017870 transcript:SECCE1Rv1G0017870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDSVAPSADKDDAPPGDDGSSTPLPETIQIGNSPTYKLDRKLGKGGFGQVYVGRRISTPSLSDRAPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTLSGIHGVPRVHYKGKQSEYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPPSTLQEKKLFLVDLGLATKWKDTGTGELVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLMMEEDDDDQPKKKIRMGMPATQWVSVYNARRPMKQRYHYNVADGRLAQHISKGNEDGLFISSVASCTNLWALIMDAGTGFTSQVYELSPYFLHKEWIMEQWEKNFYITALAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVVSRNAGFVDQVVELDFLYPSEGVHRRWDNGYRITATAATWDQTALILSIPKRKPADETQETLRTSAFPSQHVKEKWAKNLYLASICYGRTVS >SECCEUnv1G0539860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77616461:77618163:1 gene:SECCEUnv1G0539860 transcript:SECCEUnv1G0539860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSPDLLNPFAQVAGPASAAMDDNPVVSPRSSQHGVDGVLHAVPLAHAPPADAPAAAADPVPPALPGVPAPVRPAPPLPPQLAAPSSILQTVDIRRHIPVTLDLLAGNYTQWRRHFDTVIGMFGLRDHVDSDAVHRHDDPEWEMADHVVVHWLSTTISPELLDTVMQPEDTALTVWVAVDGIFRDNQLARAVYLDAEYHAVVQGDMTIMAYCTKLKRFTDQLRDLGQPVTEPQQVFNLLRGLNRQYHSAIPHITSQVPLPSFLQVRSFLMLEEHRAEQSVRQQSAHALVAGRGGPPPPPATPPSNTNTNQGRGRQRRRGRGNGGGSPSAQSPSAPRPPTVPAPAPGANSWTGLVQAWPMAWRVPGAGVLGPRPGTPHQQAMFAAPSSAAPPPYGYGAPPGYGAPPPGYGAPAPGYGAPPGYGSPGASSSTAPPQPWDMASLQAALHSASAGPSSSGSAPEWYLDSGAAAHMTSSPGPSNQGGDSPM >SECCE5Rv1G0345310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:650489644:650490081:1 gene:SECCE5Rv1G0345310 transcript:SECCE5Rv1G0345310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGWMHAMAILFMGWLTITSECHVATERRSYLDGGDNMTSSQNATQSNLISSLDDSKIGIKFCGNKQCDYGTCYCCAVLKPVPCYPTLDECKSKCPGCNPKCSHELMIELHA >SECCE6Rv1G0398110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:412414139:412423441:1 gene:SECCE6Rv1G0398110 transcript:SECCE6Rv1G0398110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRSQLVEEEDDSLQTPTRFSKTSSLKWLLLTLPRSSSDVSRKGPGKGPGRCPTLMELCVAKVCKDISKYSTFAMLPTDLSQQIFDELVDSNCLTEESLETFRDCALHDICLGEYPGVKDAWMEVVASQRQSLLSVDISCSEVTDSGLNFFSDCSNMQSLSCNYCEHVSEHGLGVLSGLSNLTSLSFKRSDGVTAEGMSVFANLVNLVNLDLERCLKIHGGLVHMKGLRKLESLNMRYCNYITDSDIKYLSDLTNLKELQLSSCRITDLGISYLTGLSKLTHLNLESCPVTAACLEAISGLASLMLLNLNRCGIYDEGCESFEDLKKLKVLNLGFNHIIDACLVHLKGLINLESLNLDSCKIGDEGLLHLKGLVLLKSLELSDTAIGSNGLRHLSGLSLLSILIFSVFISYSS >SECCE6Rv1G0442000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:813584589:813586352:-1 gene:SECCE6Rv1G0442000 transcript:SECCE6Rv1G0442000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELISSGLAGMDISIFGGGEGETEAEPEAEWEKMKDVSRENRECLNITPPPCPLPRPETTQKEEEDVYREEKMKDVRTEKHDEYINITRPPCPCPLPPPPETMTQEEEEEEEEEVYREEDRIARYRGLWESRFAGKFGCFDDQTSLGPMRFTFGPIPSYARPHCTMQIFSIRVADLEDGLRWPLHVHGLVAARDTSDHNRNFLFNRTRDNCQLLTQQDPYLLLTGPSRAIVIIDPITIEFQLKVKSKMDPEEDEMLAFGIFNYPQTYLATHVIRSGILCNRCTIELAYAPLVPSVEATVIGVRIIDGVWPEGLRGCVVTEVTTVTGGEVLLLDSRDRKVPISPSTGAIELSRRVVSVDLQGGKLLISVVASPRTGGKEDDDDGGNAVVVARGEAVFAPERAGMSNGTCDLGFCKVEIAVAWSLVSSLWNERRALAKLAEERA >SECCE1Rv1G0015750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:128799429:128802824:-1 gene:SECCE1Rv1G0015750 transcript:SECCE1Rv1G0015750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEANSELIFGEEFSFPANATYYQTPYGPTGITLPAELYEHQAIWRCGDQDLHYLGQQAEGTSYSYYVVPDYGIAHSPRGPYPSEHCAIADGRFARSREYLAKTADIACHQPVPIPHYDILPSAAQWGPASTSQTLTCNDSLFIPTDQGQSFPVVPKKGITWNPSLQSTSVSSKKFENHAMLSTVQLHSTDPWKQNLAARSGTMVPPKLRRALQASRHSLHRGAPPVKSSAQTNPSYNYNASHVGPDLRKMAIAEKFQPSSKPRSYVNGLTGNLSSMCWPNLSKEKQPRGSTSSEIVATSYTSRLHIGNPEGKIIIKTDQYNRDDFEVVYPNAKFFVIKSWGEANVHKSIKYGVWSSGLQGNKKLDSAFRDAQMIAASSSTVCPVFLFFSVNESNHFCGVAEMVGPVDFQKDMDFWSMDRWVGSFPVRWHIIKNIPNVALKCILLRNNEDKPVTSSKNTQEIHYVPGTSMLRIFKGSKTNGCLLDCFTVYEAEEARGRKCRMSKLRRDAPRFIPVPKLSLCHAYVPWQPKADRILVDRIIRETHDLAGKGMQQSSWEEPGNLARYSAKASTQKENRSYGKPASEDVVKAVIYQQPLASNMPSAPAGGQLTWEKVEVAPVEKDRPQTAANISSKAPEENPTEVKNALVHSASSSTPETIYEEKKITGEHCARAISPRMSEACSSCLIDDVLRIGSMLVPVKMPN >SECCE4Rv1G0225720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:99368724:99370053:-1 gene:SECCE4Rv1G0225720 transcript:SECCE4Rv1G0225720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEEDKQTVLSTLPKDLPLDFLKTITDQFSESRVIGKGAFGTVYTGTMPDGQTIAVKKLAENAPIARDKIFNNEVQNIMAYRHENMVKLVGFCHEGQKKVVLNNGRYIVADVYEGLLCYEYLPKGSLQKNLFDKPIDMAWDTRFKIIKGICDGLHFLHSIPIIHMDLKPQNILLDDNMTPKIADFGLSRLFGKEQTRANTQNVVGSYGYIAPEYLYRGEISTQSDIYSLGLLIIETTTGEKNTPKQNEPSAREFIERVRQNWTEGHIASIYQLNPNALQAVTVCIEIGLECVDTDRKKRPSIESIVERLNRCCANLV >SECCE4Rv1G0227810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:128357280:128359646:1 gene:SECCE4Rv1G0227810 transcript:SECCE4Rv1G0227810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPSCVHLVSWEKENVSSEALEAARIACNKYMTKNAGKDAFHLRVRVHPYHVLRINKMLSCAGADRLQTGMRGAFGKPLGTCARVDIGQVLLSVRCKESNAVHADEALRRAKFKFPGRQKIIRSRKWGFTKFNKADYIKYKSEGRIVHDGVNAKLLGVHGPIAKRSPGQAILA >SECCE3Rv1G0160710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:107585603:107587708:1 gene:SECCE3Rv1G0160710 transcript:SECCE3Rv1G0160710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKPAPAKVLYCGVCGLPAEYCEFGPDFERCKPWLRAHAPGVYPDELVASSSGGDDKDVSKVGERLQGVSISTADGSTSAGGASASSKTEEVKRLPGGKLKKKDKQEVIIEKIVRNKRKCVTVVKGLDLFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDVVDFITATWPDVPESAVYFIEDGRKVAAA >SECCE6Rv1G0408470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:573063978:573068546:1 gene:SECCE6Rv1G0408470 transcript:SECCE6Rv1G0408470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAPAPPPPLAAHLVTGDVSSPELSHLLQSLSTARIVAFDAEWKPRRRVPSAPSDDPSPAPPIPTQLPTVTVLQLACRGEDGGNEVFVVDLLAVPLADLWAPLRELFERPEILKLGFRFKQDLVYLSATFTAALGCDCGFNRVEPFLDVTSIYYYLKGHDMQKRLPKETKSLASICEELLNVSLSKELQCSDWSCRPLSEGQIQYAASDAYYLLYIFDLFHQKVSVEEKCSPTAEASDGHCSQRASECSSSGNDICFDGYLASIIMKYSDRILLTESDTKARSSRRKEKQKLSPDAKCKEKFDYSTEWKGPPPWDPSVGGDGCPKFLCDVMIEGLAKHLRCVGLDAATPSCKKPQPRELLDQIYKEGRILLTRDAKLIKYQYLATNQVYRVKSLLKHDQLAEVIDTFQLKISEDRLMSRCTKCNGSFIQKPLTLEEAMEASKGFQVIPSCLFNRNMEFWKCTDCNQLYWEGTQYHNAVQKFMSVCNISE >SECCEUnv1G0535520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:59011173:59011475:-1 gene:SECCEUnv1G0535520 transcript:SECCEUnv1G0535520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLYQLMARLHLAKGRASADVPKGHFAVYVGEQRKRFVIPTAYLRHPSFLVLLKRVEDEFGFDHRPGGGLTIPCSEGDFADIVGGCSSSSSSPAVDYH >SECCE5Rv1G0325710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:468862639:468864096:1 gene:SECCE5Rv1G0325710 transcript:SECCE5Rv1G0325710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAALPCDGAGCELPHVAIFPFMARGHTIPLTHLAHLLLRRCLATVTFFTTPGNAAFVRAALPDGVDVVELPFPDGDGHASQGAENVEGVASASSFAAFAEATSALRPRFEEALAAMRPPATLLVADAFLYWTGVSATALGIPRVSFLGTSAFAHVMREAFVRDKPGCGPPQHDATGDATGTYTVPEFPHVQFLLADIPPLPMPAIVLDAKMAMAVAGSRGVIMNTFHHLESSYIDHWDRHVGPRAWPIGPLCLARQPSSTVVDEVHNAKPSWLRWLDEKAAAGQSVLFVALGTLLAVSDEQLKEVARGLEDAQVNFLWAVRSDDGADLGTGFHERVQGRGMVTGGWVDQPAILQHACVKGFLSHCGWNSVLESVCAGVPLAVWPMMFDQPLNAKLVVDELQVGVRVRSTGGLVKGEEVSRAVREIMLGETRASAVKNAAVLAGQAQHAMSAGGSSWKMVEEMISELSGQPTDKASSAHVGGK >SECCE4Rv1G0243160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:497339125:497342240:1 gene:SECCE4Rv1G0243160 transcript:SECCE4Rv1G0243160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEAKPRSEHKGLLKKENHKAKKHKKEKTDEGKKERKEKDRGYRKDKHKKKHKREKHKDRREKKERDKDKRQILELGTQKNDDLHNRRPKQIVHNEAVKYSKHKDELATQITGQEGHANRTRSNTGKLLPQSIESFGVVGSKEKERTSISRVNGKSRQIAQHNHASEKGKNKTKILSGPSLQLGSAEKRSTRIHGSSGVGLQQESSKGIFVTTTASQQKCRITPTANSAQRTEQVVQHPDGSSHSAYGKSDSVSTKQMAENKKGNSNNFHFGMDKQSARGKSGADQGIAKIKEAKANHLKNVKDGDERHGVNQKVVKDRDRDCNVKKRKAKDGNEGKTREKRSAIDEQKRRELDGHEASKNYNHDLMELAHLNGNKFTSDDVKKRKGLNANSSLHDHHSMPMTKMPRTSPANHLCVNGEMLKHSQGAAPTLPVGTNPREAGMLEDSNECIKNGMTGLLYLEDHNSAVSPSSYGSSVVSLTAPHPDTKYLSQVYSVPAADDREEYIDQDWLFSGDRVHQKTTMFEAANVPQVWTEAQLIDSADVVALPYVVPL >SECCE5Rv1G0304550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:59417702:59417953:-1 gene:SECCE5Rv1G0304550 transcript:SECCE5Rv1G0304550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGFSYAQVHVKQERLRRKMSDSEKAAATMTMSKSMAGEEEKKQGSTGEQEKKAACNSWTAGRVHPFASSPAAAAPKGGHR >SECCE1Rv1G0051430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:653577129:653577761:1 gene:SECCE1Rv1G0051430 transcript:SECCE1Rv1G0051430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g29660 [Source:Projected from Arabidopsis thaliana (AT4G29660) UniProtKB/Swiss-Prot;Acc:Q94K18] MASRLWRWYADRQFHKWEKTVLWDMLEPYRPPRSFAPLVGTYVAAFYTAVVGAAVTEQLYKEKYWEDHPGEAVPIMPPMFYWGPWRVVNGEVPRFIQTPEEAKPA >SECCE2Rv1G0087890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:234633278:234636164:-1 gene:SECCE2Rv1G0087890 transcript:SECCE2Rv1G0087890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKMSSNSGGGAKEKGPRIAMPLVPPPFVQNSMPTHASYGPTTDLRLAQQSWPGHVVLRPCTSWPPQVSLSQPLNPAYPQQNGEAVADVVAADVLPVINSCNEKMLPEVNMLFDDENDAYEFYNIYAEKVGFFVRRSTLWTTSKNIITRRTFVCSREGFREKKKGAKESKCPRPETRIGCPASMTIRLTPNGKYRLTEFVPNHNHQLATASTIHMLKAKKIRRKARAVRENLVDDTVVTPEFENEDEAYEFYSMYAGKIGFNVRRASMTVNAENVITRRMFVCSKEGFREKKRGANRVKKPRPETRTGCPACMVIRLASNGKYNVTEFVTFHNHGLGAAAASEPVMTSLTAGSYLDCGVDLYDESLDDCYGKQNLIKDDTTSNCLEGRNWTRYKCKVPHYGDVGATLEYLQKMQHDNPSFFYAVKSDEDGNLTNFLWADSKSIMDFTHFGDVVCLDSGYAVQDYGRPIALFTGLNHHRQTVIFGTALLYDESFEAFRWLFDTFKMAMNSTHPKTLLTDRSAVISEAVAVSWPETAHRFCVWQIYQNALQQLSQAFHGSKTLEYNFKRCLFDCEDEAEFLMAWREMLENHDLEDNQWLADLLAVKEKWALPYGREAFYADMKSVQQKDNLSSELKIYLSLEFDLLSFFVQFEKLLCDRRSAELQLDVSASQSTKKPPSMRILRQAANVYTPAAYRMFEREFELYMDCMLYNCGEMGTICEYRITVEDNPKDHFVKYDSLNSMSHCSCKRFEFVGIPCRHMLKVLDTRNIKDIPPHYILKRWRKDARSGSSNGGHAYSFDGDPLTKRHTLLCRIFSIAAARAATSAESFAYMESQSSIIMGQVEQFLENSTPDIAAIIGANCVRTQIPVENMITESLHNHTNFINGSADDSLTFPFTMGAGTLDYR >SECCE2Rv1G0135810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908637424:908640388:1 gene:SECCE2Rv1G0135810 transcript:SECCE2Rv1G0135810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLSGSPRCVALRSKDDNSYLRSVHDESHGGSFVELRAGDGGLMNPRSRFYLEASKQHDGLVHIRCCYNNKYWVPQQRVLHGSTRWTIGTANELEEDLSKPSCTLFKRIPVAAEDGSTCRFLHSQLGKYAGVLSSSDMSKRPYLHIAHEEANQDNLIHAFTVLEVSDQKQLPEYLAFKGSNGQFLAGRSIEGHNYLECSQDDIGDQSVLQMTSTDENGVVRIKSNDFGRFWRLSPSWIWADSDGSDTSHSNTDILFRVTTGSDFIALKNLGNNKFCKLLTAEGKIGCLNACVDSITKEAKLQCYEPVITRDIYDVNFRLHDAKIYTRGVKGLDSQPVKNGTTSTEKTEVSFTYLNTVESTWSSTVSMKIAINVSITAGVPEVLDGKIEVNREFAGSYTWGKTYSETKQAHHGVLVEVPPKKKVTVSTIASDGFCNIPFSYKQMDTLYNGKQVIREFHDGMYFGIKTSNVVFEIEEENL >SECCE5Rv1G0339920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611510582:611511058:-1 gene:SECCE5Rv1G0339920 transcript:SECCE5Rv1G0339920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDASARAAAINLWKDPNAESCCICGEEDAGEKHGELSCPYDYLVSSAGYVPCKARLAAWRSDRDAPSSHRALLRRFVRVTSNLPERCPCPARIAALFARFGPLRMWHVAMDAPAVCKGFACVVFERREDAEKAIDELNCYCFDGHSLRIDWFYPSA >SECCE5Rv1G0302170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:35884573:35890123:-1 gene:SECCE5Rv1G0302170 transcript:SECCE5Rv1G0302170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIKLPNIQKATSSDYWSLASSQYPSGKFPKVSIGIPIPRTISRDAATAPAFERNPSQGTDGRSRPPKGCNASLRVSQEAANHGGSATEAPEAAPVKGSLSQPDDHAHEQTGTFSFGTRKEQDSQLDQLQKTPFVSSQGKRQVESADKTRPNSEVLRMKLWGILGTSQTKQAVAPPNPEDIGRPDQPKSLTANGPSSGNKKVYTSPFPDNIKTPDLLNCQTAAYAKSKPSSDSIASDSDTPQVVEIRPVTRTLGRKKAPAASKKQDKSQSAKKPLSTKCSAPKQKTPDNVFVFNEKCTPKTAGKSAIGNSGCLRNLRSSNRKAKGELKKVNCSSMISDKITPDGREGQLSSRNAPSENKGEKTTSFSSLSRTGKTAESCSRSPTREKRLNGMAKVGPQKMQSSEKLLPTTLNEGEDKLSSQNISSKSKGNYSSLLHHKENANLSKASDKSPQAHKAAGNNLKSPPSCAASPSPEPKMYPWDNAVSPQINGKNGEKFASPLADRFRDVQDDFVSPTFATNVNGYRHRSKMLHDDTYSPKYPKSVNRSRSSSYASDPGSEPSDRMDKTDELPNSESPNSPKERKSKKQPNPSPIAPTEDEMAQTSIPSFGKGFKPRKWLSDVDSPDKSPPENLDRKSHLKDGKRSKKPRLLSPIPFAISGTQETIMSDEEPVQCPDDYLTRAFDQLLVVLGRFQTKIKSETRNKSSEILAGTGEIIRQHLEGVEVQMQDDVDKLVNAGKSKRKRLESTVEEQQEQLRVLHEKFKEEVNQQLLGCKNSLEEFEAYHAELKGAADKQKASHKKLLQHAERTVDSQLKDAEIKISEVQKRARKKMNGLKQVLKELITETAD >SECCE1Rv1G0013970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:109132777:109136943:-1 gene:SECCE1Rv1G0013970 transcript:SECCE1Rv1G0013970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) UniProtKB/TrEMBL;Acc:F4I1S8] MPPAAGELAGAVGGVEAERVFIGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPSRGEIVTTLPGHKAAVNCTLWLPTKKDVLQVRSREAHYLLSGSSDGAIMAWKIGSGIGKWSHVLQLPAMHKKGVTCLAGRMVSDRVSIFASTSSDGMVVIWEMVAEPTAGGSCKVSCLHSLSVGLKPMVSLSLAVLPEQEGCLILAMGGLDHKVHIYCGDQSGKFIKACELKGHSDWIRSLDFSLPVMTSSEKHSLFLVSSSQDKTIRIWKMTSDVQQRKDNIGMASYIEGPLFVAGNTSYQVSLESLLVGHEDWVYSVEWQPPRLLPGGEAHQPMSILSASMDKMMMIWRPEKNTGLWINSVTVGELSHSALGFYGGHWEHDGKSILAHGYGGFFHMWRDVGLDSENWQPQIVPSGHFAPVSDLTWSRSGEYLLTVSHDQTARIFAPWRSHVNPGDVICWREIARPQIHGHDINCVAFIQGTGNHRFVCGADEKVCRVFEATLSFLRTLQEATLLKPDNEDFDDVHVLGANMSALGLSQKPIYTHGKESPSSASNDGPDSMETIPDAVPTVFTEPPVEDQLAWNTLWPESHKLYGHGNELFSLCCDHAGKLVASSCKAQSAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSSDNAFLLSVSRDRHLSIFSIKKTEEGAQHHLVTKHEAHKRIIWACSWNPFGYEFATGSRDKTVKIWCVQDASSVKLLATLPQFRESVTALAWTGRDRARNAGIIAVGMDNGLIELWSVSGGRAASDSSSDPSPLSVACMLRFDPLLCHVSTVHRLRWQKPDSADEKAAIELASCGSDHCVRVFAVRDT >SECCE5Rv1G0316100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:306762683:306764105:1 gene:SECCE5Rv1G0316100 transcript:SECCE5Rv1G0316100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELENAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >SECCE2Rv1G0133710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898146158:898147141:-1 gene:SECCE2Rv1G0133710 transcript:SECCE2Rv1G0133710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRDPLIVGRIVGDVVDYFDMAAQLRVLYGNREITNGFELRPSQVENQPTVRITGRRGSLYTLVMVDPDVPSPTNPSQREYLHWMVTDIPDGGDVSRGTEVVAYEKPQPTAGIHRVVFVVFRQAVRQAIYAPGWRSNFITRDLAECYGLGAPVAAAYFNCQREGSCGGRRYR >SECCE4Rv1G0275720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:795092995:795097874:-1 gene:SECCE4Rv1G0275720 transcript:SECCE4Rv1G0275720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPEAGRPVVVPEVLVCALANIMGQITVSKRVFDVQGDESSRYKEMIMSLLTGTGLFNVSDFVPALSWLDLQGEQARLRRQFDRLITKLLAEHAATAEDRAREGRLDFVDRLRASSNEDNGETITEVNIKGLIFDMFTAGTDTSSIIVELAMAEMINNPSIMARAQEEMDRVIGRDRRLEESDIANLPYLQAICKEAMRLHPSTPLSLPHFSFEECEVDGHHVPANTRLLINIWAIGRDPAAWDDPLEFRPERFLSGPAAKIDPMGNNFELMPFGAGRRICAGKLAGMVFVQYFLGMLVHAFEWRLPDGEEKVDMAETFGLVLPKAVPLKAIITPRLVPAAYT >SECCE4Rv1G0268770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744823253:744823828:-1 gene:SECCE4Rv1G0268770 transcript:SECCE4Rv1G0268770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCLGDFGLARLYDHGTVSQTTHVVGTMGYLAPELVRTGKATPLTDVFTFGVFLLEVACGRRPIERGDRNNPVVMIDWVLERHRSGSLLKAVDPRLAGKFDIEEVTLVLQLGLLCSHPLPDARPSMRKVMQYLDRGQSVPDLSPTYMSYSMLALMQVEGFDSYIMPYPPSATSSAAVSYGSSATVLAEGR >SECCE7Rv1G0499460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:653965617:653966082:-1 gene:SECCE7Rv1G0499460 transcript:SECCE7Rv1G0499460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQGYPPPGTAAPYPPPGQQQQQAYVAPPPSTYPQDQQYPPAGADTTSRGGHGHGGGDGFLKGCCAALCCCCLLDACF >SECCE5Rv1G0311480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:193078569:193079513:1 gene:SECCE5Rv1G0311480 transcript:SECCE5Rv1G0311480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATKIMRAALHAFFSHYHPAASAAALLALPFSAAALLSRSPAASLLPPLSLRIRRVLVAAGFPPASQLLFLLNHKLSQSVFSFLATLPFSLSFLLLAKACAVRAMQAPPPPSRKQRRHELSLPAPCYCYPAMARTQLANFAALLLANAAVFAALLAAFNAAEALRLGSDGRAVLALSAVGVIVYSVALANAAAVCNLATVVAAAEGRGGCHAVLKALLLVRGDAATAVAVALPASLATTAVEGLFQLRVMKLYMIDGRISSAMVCEGLLIAYIHSMICVLDTVITFMVYQTCKATHYSPDLLELEEKGDFFA >SECCE1Rv1G0011230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:73962863:73965727:-1 gene:SECCE1Rv1G0011230 transcript:SECCE1Rv1G0011230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEQKVDPTLKEEQGEKKKERKHVEQILLSPSTPVPAGTPIQEQEVNVRKKQKRRMKQQPSDNSQLPLHPGHACNPFLQSPTPEILTLEEAAAMMSKEQGEQILLSPSCAAAETPIQGQEMKVIKKQKQRRGQELSGKSQEPSVNLPLPLHPSHACNPLLAAPLLQSPAPEILXXXXKSDLPSGNSPLPLHPGHAFKPLLAAPFPQSPAPEILTTGEASVAVRMGKGKMRKEEKVAALSFAQNPTLEKEQVEEAMTAKTKKKERKRVERILSSPTSVAAETPIQEQEVKVTKRQKQRRRQEPSGKSPLPLDPGQSRCVQSQRAKAPSEQEGGNDGCKGIKKSNGRKTRVRVLSNHELIQEARKQPRPLPEGFVPFSDFVASCTEQNPDHSSPYSAFFDQFRYNPVCEDRKPPLPRTPDHLARLPRRDYSSFESSQLAANEASRASRPDTILASKIKQQDSGSGSQEKVSVEVKENPENKTREKKQRKLSGKSRLPFDSSRTCCVQLQGTKDLPEQDQETDAPKVNNIETSNSKKVHACAPSKCELFKEITKELTEGFLEPKDLVPNCTEQSPNYSSPSGASFDPFCYRSARQDLNAQSSRSTDHLSKLPPWHYPSFELSEVTTSETSKAFKASNSVESKSKKKDSGSGSTSGSQKKQNVKEQTTPEKKTRIRKQRRVFTAAEKRSDKYRRVPLDQLVSPPRSPHNLLQEKYASDPWKVMLICMFLNVTQGIQVKRILDGFFERYPDPWSAINADPDKMAEYLAPLGLRHIRTRNIKKFSKQYVGNEWTHITQLCGVGKYAADAYAIFCAGRAREVVPDDHKLVDYWNYVCFEFPMTQFHGFV >SECCE5Rv1G0325420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:465639457:465644350:1 gene:SECCE5Rv1G0325420 transcript:SECCE5Rv1G0325420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVISILLRCSLLLLLLVQSTHSSITPKPKNAIAEEHKRPHPSISSTYIVLTNYLAKPSKFDTLERWYSSMVGKNSNCIRYTYGTVMHGFAARLTDGEAQRMATVPGVSRVYKDRVHHTQTTRSPWFMGLHDDFGAWPDAEFGDGVIIGFVDTGIWPERASFNDAGLGPVRSTWRGKCVDAPGFNASLCNNKLVGAKSFVTEHELDAGGLTNSSPRDMDGHGTHVASTAAGSEVPSADLFKFAGGRASGVARMARIAMYRACYRGACLTSDVVAAIDAAVTDGVDILSMSIAYPAEPFYDDLLSVAAFGAERRGIFVVLAGGNKGPTSSVISNLAPWMTTVGAATTDRVFPATLRLGNGVVLTGQSLYNIPFSQSQGAGGMIPLVMGKVVVCSQGAGASAGFDVERAGGAGIVSVQSEERFWDTVIAEPFPLPGLMLSSAGGKKLADYMSSVAYPVASFNFTCDTVTGENRAPMVAGFSSRGPNPIAPEILKPDVIAPGVNILAAWSGAAPTSYSSGGTDPRRVEYNIISGTSMACPHVAGVAALIKKRHGDWTPAMIRSALVTTAGPLDKNGRDIVDGGSAVGAAATPMEAGAGLVLPRLAMDPGLVYDAGTQDYVDFLCTLNYTVEQMRQFTIPGGVANLNYPSFVVVFDGRTRVRTLTRTVTKVSAQPEMYNVTVAAPDGVKVTVTPATLEFKRVNEKMSYTVQFSSEAAGAKVRPAGTWDFGHIAWENRKHRVRSPVAFKWDN >SECCE4Rv1G0258430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677687454:677688919:-1 gene:SECCE4Rv1G0258430 transcript:SECCE4Rv1G0258430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRLPNSVQQLSANMQEPPSQYLLREQELLGGNLAGAEMPEPVPTIDLGLLSASNDPEEAAKLLSALKTWGFFQVSNHGMETSMMDSVMTASREFFHLPLEEKKKCSNLIDGKHFQVEGYGNDQVRTQDQRLDWSDRLHLRVEPERGRNLAHWPTHPKSFRDNLHEYALKCKRIKSDILRAMAKILKLDEDCLVKQFNSNAPTFARFNHFPPCPRPDLVLGIKPHADFPALTVLLMDKDVAGLQYLRDGTWYNVPAACDHTLLINIGLTMEIMTNGIFTGPMHRVVTNADKERISVAMFYGVDPEQEIGPIAHLLSEEQPAQYRKMKAKDLLVLHHEHYAVGRGPRIADALKI >SECCE2Rv1G0114150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:731312559:731313422:1 gene:SECCE2Rv1G0114150 transcript:SECCE2Rv1G0114150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Homeobox-leucine zipper protein HOX22 [Source: Projected from Oryza sativa (Os04g0541700)] MDYHQQQLQQRQFLMPPPASLPAQQQQLCAPVMEMEMDMDMDEQLCFVGRGGGGRGPERKRRFTEEQIRSLESMFHAHHAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDFAALRADYDALHSRVESLKQEKLALAAQLHELSERLRERDGGAATATASSSSCNGAGQELDDDKRNVFAGCVDVEPPESCVLGGTACGTPADVSASVESECDDQLHYDGAAFPESFCATPELWEPWPWPPVEWNAVA >SECCEUnv1G0559390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:337753258:337753458:1 gene:SECCEUnv1G0559390 transcript:SECCEUnv1G0559390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVAVSALIPEALGKSEERALILGWAYYLYAFSSYPLRTWLPSVYRRHDNWYTRGASFPVLSY >SECCE4Rv1G0217730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18655597:18660234:-1 gene:SECCE4Rv1G0217730 transcript:SECCE4Rv1G0217730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDNMDLAGVGMMPQHGPAGDGEETDPTQYYENRLKMLDSLRSAGVNPYPHKFEVAISIADYVAKYSSLGAGEHLLTVTESLAGRVMSKRVSSSKLFFYDLYGDGVKVQVMAGASCSEVAETEFYKCHGVVKRGDIVGIIGYPGRSSRGELSIFARNLILLSPCLHMLPNQRTGRCTAVAGKTTRAKAGAECWVPGIGRNTEAYVLKDQETRYRQRYLDLMVNHQVRHIFITRSRVISFIRRFLDERNFLEVETPMMNLIPGGASAKPFVTHHNELNMDLYMRIAPELHLKQLVVGGLDRVYEIGKQFRNEGFDLTHSPEFTGCEFYMAYADYNDLMELTETILSGMVMELTGSTKIKYHANGADNPPIEIDFTPPYRRTDMMQELKSIAGLDIPSDLSSDEANKYLAATCEKYGIKCLPPQTTARLLDKITGHFLEPTCVNPTFIINHPEIMSPLAKWHRTRSGLTERFELFINKHEVCNAYTELNDPLVQRQRFEEQLRSRQSGDDEAMALDEAFCTALEYGLPPTGGWGMGIDRIAMLLTDSQNIKEVLLFPTMKPQLPG >SECCE6Rv1G0422310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689376608:689377265:1 gene:SECCE6Rv1G0422310 transcript:SECCE6Rv1G0422310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICESLAILEYIDEVYRGTGPSLLPDDPYERAIARFWAAYIDKNLVAPWWKMFVGKTDKEKDEGTKQTLAAVEMLEEALREISSGKLFFGGDNVGYVDVVLGGMVAWMQGTETLCGVELLDATKTPLLLAWMERFGGMEPAKVVLPDIDRLIEFAKMKRAQKALI >SECCE4Rv1G0279210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:812432555:812433117:1 gene:SECCE4Rv1G0279210 transcript:SECCE4Rv1G0279210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSSASLLKRFSGIAQSRKGCRYDPERAGVGIVSAIMEPTDARLHIVGPLIHTNAREILEVTLPNLIWSNRRPFRAAILSCGLCRSWIGSGTVYMYKGETGFCKPECVNDYIVEQLEKQTQRLRWCRREKVPPMKDDKEGNQRSMFFTYAGSL >SECCE7Rv1G0481400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:283695446:283701729:-1 gene:SECCE7Rv1G0481400 transcript:SECCE7Rv1G0481400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:Projected from Arabidopsis thaliana (AT1G02560) UniProtKB/TrEMBL;Acc:A0A178WMF0] MATSTASSSSLTAPLLGPKPSPNPPPRSLPLLRNRRCARSVAAYAAGGGVGLQGAAHRRGIWSIRDDLLMPRSPYFPVEAAGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANVIVAQLLYLDAVDPNKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSGGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDFFMSAKEAKEYGLIDGVIVNPLKALQPLPASS >SECCE3Rv1G0173670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:353290108:353294445:1 gene:SECCE3Rv1G0173670 transcript:SECCE3Rv1G0173670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSGREAPLLRVRKVYHERCPGCRQERKTQADHRIPYTEFLYIWIACLCAALPIQSLFPYLYFMIRDLKVAKEEQDIGYYAGFVGATYFLGRTISAVPWGIFADKYGRKPCIVTSILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVIGPAIGGFLAQPAKKYPNLFSEESLFGRFPYFLPCFVISVLAAGACLACIWLPETLHMYHDDKVEAIEEMEAQVADSTSGDRKAKQSGSGRMASTKNLLKNWQLMSAITLYCVFSLHDTAYLEIFSLWAVSSKKYRGLSFTSQEVGTVLAISGFGVLLYQLLIYPLLAKYAGLVKPFRSAAVLSILLLATYPSMANLYGLELKVLINIASLLKNMFAATITIACNILQNTAVAQEQRGVANGISVTLMSIFKAVAPAAAGIMFSWAQKNITGLFLPGDQIQFWMLNMVSIIGLSLTFKPFFSMPSALK >SECCEUnv1G0535750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:59923224:59927786:1 gene:SECCEUnv1G0535750 transcript:SECCEUnv1G0535750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLGLSLLAMALRLGCCASIAPAPEPSASEPSVSDDVRALLAIKRAIDDGPRAELSNWNASDPDPCWWSGVWCSDLDKRVVALELSNSSLSGFLAPEIGSLTSLQKLILDHNAFTGSIPREIGKLKNLTVLNLSTNQLEGPIPSETGDMQNITTIDLHANRLSGAIPPELGKLANLKELQLSNNSLTGTIPGSNDSIMVPTNKEDQVGLCQLAQLTDIDLSDNLLTGDVPACLRHIQRSRMVGNCFQNNDTRNRPDSECGNSTDAGKDNNSIDEDKPKRKLQPLWLLILEVITGVSVLTILTLCAIAGLRRRKDRSSRRGVPWTRALSWKENTVISIDDDLLGNVPKISRQELAEACEDFSNIIGSSHETVVYKGTMKDGREIAVVSMSAPVHYWTNYVELYFQKEVVEVARLSHENAAKMVGYCKSSDPFSRMVVFEYPPNGTLYEHLHDVEGCQLSWPRRMKIALSIARVLRYLHTELQPPFAVAALASSSIYLTEDFSPKIIDFERWRGLVGKPLLSSGCVVNGGGGHSNGVVDSRHVRFMDVQANTFAFGVILLELISGRASLSKDTDDLVNWARKHLEQPGEQGKLVDPKLKSVSEESLGIICNVVNLCVDAEPSRRPSMNMIGAILEEGVDTSVRDSSLAWAEAAIS >SECCE2Rv1G0138550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:922065798:922069348:-1 gene:SECCE2Rv1G0138550 transcript:SECCE2Rv1G0138550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPERGPAPPSNPTPSSSREGDTAVASSSASSASEEQRKDGSPKQCKSSILSSVLTIFEPDQDQSSRSGGHASGSYAWSRVLRRFVGGGSMWRFLGCGKALTAGDVWFLGKCYKLSSEESSSDSDSEGGHAAFLEDFSSRVWITYRKGFDAICDSKLTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPAQNPSNPEYIRILHLFGDSDVCAFSIHNLLQAGKSYGLAAGSWVGPYAMCRTWQTLVRTNREQPEVINRNESFPMALYVVSGDEDGERGGAPVVCIDVAAQLCYDFNKDQSVWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGASTYIAGVQDDRALYLDPHEVQLAVNIASDNLEADTSSYHCSTVRDMPLDLIDPSLAIGFYCRDKDDFDDFCSRASELAEQANGAPLFTVVQSVQPSKQMYNQDDGSGCSGYGVSDNIDAEDLDGSGETGEDEWQIL >SECCE7Rv1G0503340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:710520722:710523265:-1 gene:SECCE7Rv1G0503340 transcript:SECCE7Rv1G0503340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFAVILVITTAAALTRVALAASDDRRRTSILWRRGSIAVGDDALVSPSGDFTCGFHRVASNAYVFAVWFTASADPRTLAWAANRDTPVNGMGSRAELLGDGTLVLRDFDGLATWSTNTSGTGADRAQLLDTGNLVVSDAAGRTLWQSFDWPTDTLLPGQLITRRARLVSAKARGSTSSGYFSFYFDNFNILNLVYDGPEINMNYWPDPFKTWVENKRTAFNSSRLGSLDDRGRFSASDNLRFAASDMGAAGHVMRRLTLDYDGNLRVYSLDVAGGGVWRVTWAALARPCSVHGICGRYGVCAYGLAGPACACPEGFEPSDPGDWSKGCRRLFDIQCGEDVFFAGLANVDYWGFDKKFRQKATIDECRQLCIDDCRCEAFAYKKGGAGLCYTKVSLWNGRNSDPIQFMYFKVPTRVEKKLNLSSVSRLRFDGHDCNTAAQERNASVGYSPSRHLKNYSGSKIKFVYLYSFLGGLFVVEAVVIIAGYLFVFRADPAAVQRVHDDGYTLAMSHFRKFAYDELSSATCDFGEELGRGASGTVYKGVLDDGRDVAVTRLAEATTPQAEEVFRSELSVIGRINHMNLVRIWGFCSERSHRLLVSEYVENGSLAKALFGGEPALGWPSRYKIAVGVAKGLAYLHHECLEWILHCDMKPENVLLDADLEPKITGFCLVKLLSREEDACGQAPSRVLGTRGYVAPEWALSLPITGKADVYSFGIVLLELLRGQRVSEWAVMEGVPGDAEEARVDLQEIVAWFQDQTLECQGERSVSAPWLEEFVDARLLGDFNRLQAVVMLEVAVSCVEDDPSRRPSMNVVAQKLLSAQDAVGSASLRRVCPVPAHGISSLHFV >SECCE2Rv1G0134400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901356220:901356735:1 gene:SECCE2Rv1G0134400 transcript:SECCE2Rv1G0134400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRTILLVMAAMAVLSTASAAIYNVGEPGGAWDLSTNYGTWATSRNFLTDDQIVFRYSPQAHDVLEVSKADYDSCSTASPVTTFNSGNDVVTLTGTGTRYFICGFPGHCAGGMKVKIDVMPSSSSSSPAPANGPSASNASPPTPVSAATNVKAMGFGLTVLLAIAGLMA >SECCE4Rv1G0284320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:839240043:839244922:-1 gene:SECCE4Rv1G0284320 transcript:SECCE4Rv1G0284320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGEPDHLAGERATAQFDVDAMKVAWAGSRHAVEVADRMARLVASDPVFRKDTRTMLSRKELFKDTLKKAAHAWKRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPMAYKFQIIGCYAQTELGHGSNVQGLETTATFDPSTDEFVMHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLDDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTREGKYVHSDVPKQLLYGTMVYVRQTIVADASKALSRAVCIAVRYSAIRKQFGSQDGGPETQVLNYKTQQSRLFPLLASAYAYRFVGQWLKWLYTDVTQKLEAKDYSTLPEAHACTAGLKSVTTSATADAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNVVLLLQVARFLMKTVSQVATGKQPVGTIAYMGNIQHLMQCKSAVNTAEDWLNPAAIKEVFEARALRMAVNCAQNISKAPSQEEGFSELSPDLLEAAVAHVQLIIVTKFIEKLQEDIPGPGVKEQLQKLCSIYALHLLHKHLGDFLSTGCVTARQGALANEQLGKLYAQVRPNAVALVDAFDYTDHYLGSVLGRYDGDVYPALYEEAWKDPLNETVVPDGYQEHLRPLLKQQLKLSRL >SECCE6Rv1G0442860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:819684533:819685879:1 gene:SECCE6Rv1G0442860 transcript:SECCE6Rv1G0442860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSATVLSPPPLPDGDPPNWILLDVLGYIAECPNATFAQSSTSTGQRIEVSFCTARPPHVSHFCVHCPGLGPTDFSLAPTVIGAEADLILFRVSVSPAVLFYPQVCDYFVYRAHPQSPSLDLLPHPYPHCFRDQEAALLSLGGGGEYAVAILTSRCLKVSLKEDGTLNETEFDLCLYRSSRAQEGWSSKVVSVADPVRDAVCPVDCAPYHETTKVITLGHGMVCWVDLWRGILLCNVLQQNPLLVDIPLPLPARGNWRLYHKSLSYKYRDITVRPLKDTIKYIEIEVCPPRNPPDESYMDWFHQMRRDKRCNAPCTGWKARTWSLSTTTIPSWMDWRLDCTLDVADIVVQPIHSMQLPHPSSISDKPNQAMLLQHLIMGYPTLSMDDDVVYMLSKACPMNDMEVVIAVDVRNKTLQGVAKLVSGKDFTDTRNCTSEISKYLCKD >SECCE1Rv1G0010050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:59597859:59598098:-1 gene:SECCE1Rv1G0010050 transcript:SECCE1Rv1G0010050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGFSYAQIHVRQEKVRQRINQQEAAKNSTVKNKNIGEEDKIKKKNCDSWAAAGGRVHPCASSMAAAAAAARPVPER >SECCE3Rv1G0203950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:887684421:887687916:-1 gene:SECCE3Rv1G0203950 transcript:SECCE3Rv1G0203950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAEGEENLMTQEEGMVALRSQDGVDFVVPSEEARLSMFLHRRIELDPDNYFVPADGDETKYTPILLRSIRAEVLSKVLEYCKQSYASCRWDGAHHLNHNETLYDLILASDYLEVHRLLDLSCQTLANKIKGKSPREICNILNIAGVFAPELNGEHSSGYCTTNMVIAAMEVTSKKPCVNQELKLAEKALRALDVVRRQEFTAYDPVLRRLKRNRVDIFNLAFFDIYKETSFSRGPQLHKITPMHESVVRSCVNVIYIKVRKSDVGFPINIFGTIIARDQVDYRCVYLFRREMDDPQFISSADDMLSLMDPCRGLVPEDKVYFEVDLKIKCDGGMIKDFSKGVGVFDWIRLYPGEERLTFGVYSHISTVEFLCEQVYSPVEATIAINILKGPCSISRVVASTPGNFMDHIILYEAACSPIVIESGGSVPLTRHVVAVSLDHNLALFIVGGDVLEHLALTLGHSHEVVNRRMGCAELEVKVAWTSVPVRERPDMFKVVGNTRLLL >SECCE5Rv1G0356830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742063427:742063861:-1 gene:SECCE5Rv1G0356830 transcript:SECCE5Rv1G0356830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASMLAMIMACALLLAGSTCDAARNLADTTPAAAAPAASPVPGLPAVPTLPAVPTDTVTLMPPMPSVTLPTVPQVTLPPMPSIVVPKAVLPPMPKVTLPTVPQVTMAPMPAIVVPKVTLPPLPFVPNVNVPMPFAAPPPSA >SECCE1Rv1G0023790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:313358660:313362717:1 gene:SECCE1Rv1G0023790 transcript:SECCE1Rv1G0023790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRYLPSPSPSPYLSLLSAAPRRRGSLHSSVPPGARVSRLAASRVVLGCGLVTLDYLATVDAYPRPDDKIRSGELQISGGGNAGNALTGAARLGLNARLISKVANDEIGGTVLSELEEAGIDVSHVIISDGGNTTFVYVIIDKKTKTRTCIITSGYPRMVPGDISMSNLSSALQDVNLLYLDGYSHEMALSVGKQADLMKIPILVDAEPERTKTELESLLGLASYIVCSGKFPEKWTSISCIPSALLEILVQYPRARFVIATLGENGCMMLERSTDDSGIDAVDIGDVAESLRLKVHKDDSLPTCASSKFMRLSGRGHGTIYGRLLIGTAERIPAPELVDTTGCGDAFIGAVLYGLCTEMAPEKMLPFACQVAGIKCRAIGARTGLPWRSDARLSKYLREAP >SECCE6Rv1G0435520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:771689911:771690162:-1 gene:SECCE6Rv1G0435520 transcript:SECCE6Rv1G0435520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESQQGIPLITDRFDSLEQLDEFSRSF >SECCE3Rv1G0147950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:16903536:16907139:1 gene:SECCE3Rv1G0147950 transcript:SECCE3Rv1G0147950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSVSRGAIGFVIAKLGGLLAGKYKLLKGAKGEIMFLKAELESMRAFLERMSEAEEEPDKQAKLWAKEVCDLSYDIEDSVDEFILLVECESDCEPHGFKGFIQRSMNLLTTMNTRHRVAKEFRGLKSRVVDVSERRMRYKIDDVVSKPNKTSIDLRLLALYVDAAGLVGIDRPRDELIRLMASEEGSSAQPTKVLSIVGFGGLGKTTLANQIYHRLKWQYQCQAFVSVSQKPNIRMILRRMLTQVGYEVPGGTNMEIWAEDELISELREFLIDKRYLILIDDIWDETTWNVIRCALPENMYGSMIITTTRISSVARACCCHQRDYVYKMKSLSKENSRKLFFKRVFDSEDACPPYLEEVSGQILKRCGGLPLAIVTISSLLASGRNKLKEHWVHIMNSLGPNFEGNPTLEGMRQILSLSYINLPHYLKTCMLYLGMYPEDYIIKKSDLVRQWVAQGFVSKAHGQWPEDVAECYFNELVNRGIIQPVDTDHNSEVLSCRLHDMMLDFIIHKCKEENFITATDDIQNMIGLSDKVRRLSLYLDGIIDGAILETAQLSQVRGLARFGTSAYAPPLLKFKHLRVLTLEFTSGNHGSEIPDLTRISHLFQLRYLKIKANAEIVLPREIRGLEQLETLELGVCPLEVPSDVIHLRRLQHLIIPSRTNLPNGIGNMKSLCTLQEFDVGFNSIDNIRDLGGLTNLRDLRICCNFINMDELHDMEKTQRLDVLRCSVEKLCNLRYLHTDSNINSLYVLSLSASPYLLRKLHMLCMFPRVPKWIGELHNLIQLDITVEVLEDDIGILAQLQALDHLKLHIEGTPEAEEKVVISGMGFPSLKHFRLSCIRISQLTFEAGAMPSLVKLEVRLNSLYGGAPMGIKHLLGLKEILVIIGGYGAKRSHTRAAVSAIRKGIDMRSGRLTANIMCVNNSLFLGRHLDDGFSWRKYGQKDILDAKHPRGYFRCTYSYTQGCQARKQVQRTDDDPELFLALYNGKHTCTQRKLPLAQPGQEQGVQEPTEDKKSY >SECCE2Rv1G0102540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:579257298:579259609:1 gene:SECCE2Rv1G0102540 transcript:SECCE2Rv1G0102540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKNQKTRAYSKRFQVKFKRRRQGKTDYRARLRLTNQDKNKYNTPKYRFVVRFTNKDVTAQIVYATIAGDIVMAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKCRDLDQEYEGNVEATGEDFSVEPADERRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKQLDAEIHRKYIYGGHVADYMKSLADEEPEKYQSHFSEYIKKGIEVDDMEALYKKVHAAIRADPTMAKSTKEPPKAHKRYNPKKLTYEQRKASLVERLNALNSSAGADVDEDDDE >SECCE1Rv1G0035690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:500293731:500293922:1 gene:SECCE1Rv1G0035690 transcript:SECCE1Rv1G0035690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSGIFPRTTCGGTEGTDSASVSHPLRKKLLNHHKIKKYDAMCLTLLVIVPCCCGRCSFARM >SECCE7Rv1G0478870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:242355184:242364832:-1 gene:SECCE7Rv1G0478870 transcript:SECCE7Rv1G0478870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDADASDAVAAACRQLLDERIMVEFVEAIEALATCGFFVKSIVDPMDVLKIFVRKRDPDMAIRYARIFPHSQLLLCNTMEAFGKRKELKHALKVFGALKDQLGGINMFACRSIIDICSHCGSSVQARIIFEGLLAEKITPNTHVFNSLMNANAHSMTYNFSVYKHMQKLGVRPDLASYNILLKTCCNAREFNTAQEIYEEMKKKEHDGILKLDVFTYSTMMKVFAEAKMWKMASNIKDDMRAVGARLNLVTWSSLINAYANSGLVDGAIEILEEMIRDGCQPTAPCFNIILTALVKSCQYDRAFRLFNSWIELGIKVSLSFEQKRSLPDNFTFCEEHPSTNGGTILVVPFRPTVTTYNILMMACGTNDERAKSVMNEMKRNGLCPDRISWSILMDIYGTSRNRNGAIQALRRMQRVGIKLNVSAYTVAIKACVESKDLKLALHLFEEMKAHQLKPNMVTYRTLLTARSKYGSLKEIQKCLAIYQEMRQAGYQAYDYYLKELIVEWSEGVLSSDGGNRNSYHLDQKDERNESFNLFLEKVARFLQKDVDQNQTVDVRGLSKVEARIVVLSTLRKIKEKHLLGRAVQDDLVIITGHEKTSYTDVETTAIDVEHAITAVLTDELGLEVFVGPESRPPVSSKLRAPPRPRRPQGMIKISVNSLNHWLNRKSARDVQ >SECCE6Rv1G0403260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:499940743:499942641:1 gene:SECCE6Rv1G0403260 transcript:SECCE6Rv1G0403260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSEEADVEAAKAVAHHAHARAAMARPGMVAPQPNAHAAMSSPGQPRKSPSAPTTSSGGSGSTRSVTGSATTAGSDASPSREGRILEAPNLRIFTFAELKAATRNFKSDTLLGEGGFGRVHKGWVDEKTMSPARSGAGMPVAVKKLNPESLQGVQEWQSEVNFLGRLIHPNLVRLLGYCWEDKELLLVYEYMAKGNLEDHLFRNEPRKGAGAFQPLSWSLRLRVAIDAARGLAFLHSSEKHVIYRDFKASNILLDTQFHAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEVLTGLRALDTDRPSGQHNLVDWAKPHLADRRKLARLMDPRLEGQYSSRGAQRAAQLTLRCLAAEHTNRPSMKEVVAVLQEVESMSKGAARPGDGSVGSASPRPNNARSGHGYGQSPRPGYVSERASPAGSQHPSPRVR >SECCE6Rv1G0410900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:604076088:604077135:1 gene:SECCE6Rv1G0410900 transcript:SECCE6Rv1G0410900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK inhibitor (CKI), Seed developmen [Source: Projected from Oryza sativa (Os02g0762400)] MGKYMRKCRPGEEAAVGGVEVTQAVGVRTRSRAAAANVVVSKRRRPLPPGSPPASSSLARGQGGSCYLKLRSRMLFMAPPAPASALASAAGAAPLPAGLSRCSSTASSVDASAAAQDRSLPSCGSDAAANNKAGAPEGSASNNAESGGNRERRETTPSSHFPGDLSDLESDLAGQNSGRSSLPQTPTTQAQAAARARIPPAAEIEEFFAAAEEAEARRFACKYNFDVARGVPLDSGRYEWTPAVSSS >SECCE1Rv1G0060460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710245389:710268810:1 gene:SECCE1Rv1G0060460 transcript:SECCE1Rv1G0060460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVSEGGGPWMRSVNNFLGRAVWEFDPKLGTPEERAEVERVRRDFTQRRFEKREAQDLLMRMQYAKQNCHQVDADLQASKLIDSAEVTEEIILRSLKRALTQYSSLQAHDGHWAGDYSGLMFIMPILIFALHVTGTLNDVVSTEHRREICRYLYNHQNEDGGWGTQVLGPSTMFGSCLNYVTLRLLDEACEHDALIKGRSWILSRGGAVAIPQWGKIWLSVIGLYDWCGINSIIPELWLAPHFLPIHPGRFWVHCRLVYMPMSYLYGKKFVGPITPTILEIRNELYCLPYHDIDWNEARRTCAKEDLRFPRSWLQNTLWTCVNRFVEPMLNCWPVNKLRDIALNNLIKHIHYGDESTKYIGVSPINKALDMICCWIENPNSDALKLHLPRIYDYFWLAEDGMKAQVYDGCQSWEVAFIIQAYCATGLVNEFGPTLQKAHDFLKSSQVLEDHPNSETYYRHRSKGSWTLSTADNGWSVSDCTAEALKALLLLSKISPNLVGDAIQGERLYAAADCLLSFMNKDGTFSTYETKRTTSLIEVFNPTETFVNLVVDYPSVECTSSALQALVMFGELYPKYRREEIEKCIEKASKFIKSNQRKNGSWFGTWGVCFTYGTFFAVNGLIAAGRNYENCSSIRKACAFLLSKQQITGGWGETYLSSETDSYVDATGPHAVNTSWAMLALIYAGQVERDPTPLYYAAKELVNMQLETGEFPQQDHVGCFNSSFYFNYPNYRNLFPIWALGEFRRRLLTKTK >SECCE4Rv1G0286750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850359806:850365145:1 gene:SECCE4Rv1G0286750 transcript:SECCE4Rv1G0286750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKQGQEPMSASMGSQALPSSNIQPTQTGYPTAFYPPLSAGWGAQPMFSTGASVPVSSYYIVPMSQQAAQAGASRPETSHPLSVSRVSLRPPQQVLNIQTSLPAMTGSQLSPSVAGKKSVASPKVQMMKSALSAKRPAQKELPSKAQPQQFESVRSKFRESLSAALIMDSDQQDKKQSAQNLQSDGSADQKKVEGDEVQDTVMTTSKDASTTNSEADNADVAKKCEGDEKLGCGIASDMITSTNDDTQQQLKHLSSEDEVLGQSTVVTDELLQGHGLSWVSDFDAGMSEPMTQPNLKRDRTSDVEPGVMGNLTESESKRIKSTDEAEADKVSMIQKAESLAFRIEEELFKLFGGVNKKYKERGRSLLFNLKDKGNPELRSRVISGELAPERLCSMTAEELASKELSEWRLAKAEEHEKMVVLPNTEVDVRRLVRKTHKGEFQVEIEETDGISVEVELGGNVLSHVPSKAVESETKTNDETSMDDKTNVEVKDKGSDGMSQDEDGGTGDNDSSGNVEYIENEKADLIDELMVDDLKDAENLPPIPSLDEFMLGLDSEPPFENLSVGTPQKDLSDSDERSTLESEKLPETEDKQSAQKKTRSESDVPALQGKSESKSESPKQKSESPEQKVGSELVPDVPRDEELIKSSPKKVESKEPAAASANISNTVSTVNHKTTAVPMIRESIWEGAIQLTLSSLTNVVAIFKSGEKPSGKEWSSLIEIKGRVKLSAFQEFLVQLPKSRSRAIMVTELCWKEGSSESGRQQFSQTIDSYIADERVGLAEPADGLELYLCPPQGKTVEILSQNLPKEHLGGLAVAETSIIGIVVWRRPSVPRVSSHQRHDGSKRQSAPRKPQVTTGSSSAHRPSAPQNSYGGPPGFPNQHHHQHQEEDVTDDDVPPGFGPGVAARRDEDDLPEFNFVNPAANVTTHAFKGQRHVAPTSARPVEQMRELVQKYGKRSSVESRPWADDDDDDIPEWNPIQQSRQQPAPFTPTPQQPLPPPPPLPPMQQIHHAYQQYNPSAMQPLLPQVAMQYSLSSQQQLPLVQQQQQQQQLQPSQTWQQQPSAWWPATAQQGGPAAAGSAAPAPQYGGVMMPNGNSAQAGNLGGAPWGPR >SECCE5Rv1G0297650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:4373565:4374242:1 gene:SECCE5Rv1G0297650 transcript:SECCE5Rv1G0297650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEYRCFVGGLAWATDDHNLQQAFSQYGEILDAKIINDRETGRSRGFGFVTFGSEESMRQAIEEMNGKELDGRNITVNEAQSRRSGGGGSGGGGYGGQRGGGGGYGGGGGYGGQGGGGGGYGGQGGGGYGGQGGGGYGGQRGGGGGGYGGGGGGYGGGGGGYGGQRGGGDSGGQWRN >SECCE5Rv1G0349620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:685575653:685576711:1 gene:SECCE5Rv1G0349620 transcript:SECCE5Rv1G0349620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNFTSSVNKPITETSSRCLTESVTTAHNFEVTSYSLLEGMGAGKFISSNTFNVGGYGWNIAIYPDGWKEDTGAYMSAFLCFCSGTTDAKVKFTFSLLEKDRKVNKLESTMYTFKSIGGYWGWEKFIVNSKLKELLSRNDDCFTIRCVLTVLKEPRIEDSTVIVPVPQSDLHMHFANMLKYGEGMDVTFSVRDQLFNAHRCVLAARSSVFKAELFGQMKETTMKHVKIDDMEPSIFEALLHFIYTDTLPSNCDVDQDVALQHLLVAADRYGLDRLKAICEGKLCERIDVQTVATTLALAEQHHTVQLKNACLRYLSSQGVLQAIKETDGFKHLTASCPSIMVDILGTVAPP >SECCE5Rv1G0309100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:146809145:146811919:1 gene:SECCE5Rv1G0309100 transcript:SECCE5Rv1G0309100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTCCSGLPATPRRQAGHHTLPLPAACGLAFAPEFGSSSRRGRPPPLCCTSTARTRVVASCSVPRRNVLSTLLSASTVLLLGPRQITLAETTGGAFREYIDTFDGYSFIYPKGWIQVKGAGADIFFRDPVLLDVNMSVDISSPSSSNYKTVEDLGPPEKAAEGVLKQYLTEFMSTRLGVRRESNVLSASSKVADDGKLYYEVEVNIKSYASNNELAVMPKDRVQSLEWNRRYLTVLGVENNQLYALRLQTPERLLSEEEGDLRRVMDSFRVNKIQA >SECCE3Rv1G0208600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:933675831:933676847:1 gene:SECCE3Rv1G0208600 transcript:SECCE3Rv1G0208600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFPPPPPLNKAEPTSAATATTPSSSPSTTPAQHHHHHQLDREQYHHPPPQQEQQQPQQHLQIQVHQQQEDGGGGGGKEQQQQQVVVAAAAAGDRRVQALGPKRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETVQWLLQQAEPAIVAATGTGTIPASALSSVAPSLPSPTSALAGRPHHHHHMWGPPPASAGFSQAGFMNSSGADGGGIGGLMQRIGLPAGIELPGGGAGSMGGHIGFAPMFASHAAAAIPGLELGLSQEGHIGVLSQFYHQVGGGAGASGQLQHPHPHQHHHHEQHHQQQQQQQEDGEDEREDGDSEEESGQ >SECCE5Rv1G0360760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:775049512:775056980:-1 gene:SECCE5Rv1G0360760 transcript:SECCE5Rv1G0360760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHLLGDDGRGYELARRLEACGAWRAWLGDAVHASLAPHLASASTWDAFLCPSSSSSPPRQLLLLQLRVRALLFDKASAALVPRAGASPAGPQSLSASYLQLHGDDIYFLLEDEQEDSTQHQMQSETAFSPSRESLMLSQRHKRHDELPATWYKQYAEKFRTLHGKFRPDDKEMPKRTPEGMSEYLKVCSLHKRKRTVFMDNQGPNIMLENGEFSNLTDDLFIPEIRFPADCVPESAIPRESGISISNKIEVHGVLDNLPAPVSRNTAMLERFGMMPEYYKTGNKYRGKDVSKVEGKSLSPEQALLIIRKLVARYLALAGFESGTAGSVDDFSEVIVKHISKLGRSLKLITDSYRKQFSSIELLKMFLQTVGYSNIGPLMEITKMGSRVASHPVHQDAQVQNQNNLLQAQQLQRQYTPQMTIHNQNLTAQQQHQLLQQQQWMRRNQMMGPRGALTMSDKTQALVNVKLENTMDSQIDSPYGSLTRQQQQQMQQLRHQQLLQQQQQKQQQQQQVLQLQQQQHQQQQQQPQQQQQLQPQQQQHNLQQHQHLQQQHQHLQQQLQQQHHQQQQQLQQHLGISGNQSAQAQLAQQQQQQQLGMSGNQSAQAQLVQQQQQQQQQLGMSGNQSAQAQLAQQFKQAPQSMNSYGMRVPPVKVEAFHELVSGDSSSDTSKLTSPK >SECCE5Rv1G0331660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:540599468:540602138:-1 gene:SECCE5Rv1G0331660 transcript:SECCE5Rv1G0331660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSLCYLLLVSVAALLLHASASQSQEARLREFISSRRGSVSSTDTFRVRNISERVAGSQSAESSVSDPSSMKAADKITALPGQPEGVDFDQYGGYVTVDEENGRALFYYLVESPSGASEKPLVLWLNGGPGCSSLGFGAMQELGPFRVAEDNKTLSRNMHAWNNVANVIFLESPAGVGFSYSNTSSDYDLSGDQRTANDAYVFLVKWLDRFPEYKGRTFYISGESFAGHYVPELAATILLHNTYNNRTIVNLQGILVGNPYLDEKRNIQGAIDFFWTHAVISDEVYANVTKNCDFGNWDGNVAGTACSGAWDAFDGGQIDYYDIYAPVCIHAPNGARYPSGYLPGYDPCSVYPTWAYLNDPAVQSAFHARTTKWSPCKNLHWKDAPMSMLPTLKWLIESKLPVWIFSGDFDSVCSLPATRYSIQDLGLPVTTPWRPWTANEEVGGHVQQYAGGFTFLSVRGAGHLVPSFQPERALVMLSSFLKGVLPPYVEQQ >SECCE2Rv1G0078890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:112933636:112936058:-1 gene:SECCE2Rv1G0078890 transcript:SECCE2Rv1G0078890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPYPLKGSKGASLPPRPTLVFLIALFGLYVCYLSFNQIRMESKPVEEDGAQEPNEHVCAKPYVPSEELPYVHFPKPKGYSRAECSCNPVRFFVIVSMQRSGSGWFETLLNSHPNISSNGEIFNRVDRRQNISSIVQTLDKLYDLDWLTSAAKNECTAAFGFKWMLNQGFMDHHDDILSYLNKKGVSVIFLFRRNTLRRLISVLANNYDRDAKQLNGTHKSHVHSEEEAEILAKFKPELDVSTLVSNIRDVEKYMGDCLDSFNTTRRMILYYEDIIRNRNALFQVQEFLGVPVRKLVSRQVKIHTRPLPDLVRNWEDVNSRLNGTEYARFLDGADYVK >SECCEUnv1G0555950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:306205517:306207267:-1 gene:SECCEUnv1G0555950 transcript:SECCEUnv1G0555950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRYTPAMCLQRCTYTMYSQPSQLQGGLSQSMALWKHSRSYAVSYHTKMGLVSPSPKNTTSSRLQKRNGTCFACLEQQSKHGLSARDRILHAKLDMTSHHKFSSISWKSRTTRSLAQKIGGTGAGLSLSFAVSGIANAGGPVDNDIDSKSSSSCAHGKKVYTQYSVTGIPGDGRCLFRSVAHGACIRSGKPIPNEDLQRKLADDLRTLVADEFIKRRTETEWFIEGDFDTYVSQIRKPHVWGGEPELLMASHVLQMPITVYMREEAAGGLIAIAEYGQEYGKEDPIRVLYHGCGHYEAVQIPGNSEPRSRL >SECCE2Rv1G0110300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:688584833:688588109:1 gene:SECCE2Rv1G0110300 transcript:SECCE2Rv1G0110300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT5G11160) UniProtKB/Swiss-Prot;Acc:Q9LFP0] MGEQDPSGSEGGGSVVEAQAPAKKGGGGHGRAADPRLQGISDAIRVVPHFPKPGIMFNDITALLLRPGVFKDAVDMFVERYRGMGIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPGKLPGEVISETYTLEYGTDCLEMHVGAVEPGDRVVVVDDLVATGGTLSAAIKLLERAAADVVECACLIGLPKFKDFYKLDGKPVYVLVESRK >SECCE6Rv1G0410710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:601847292:601848547:-1 gene:SECCE6Rv1G0410710 transcript:SECCE6Rv1G0410710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQQQRRHQLRTPMDPLVSLAASFLSAFSPLTTERGATTSTILLLPLPVAAARALSVLRRLALLATQAFISLFFAFLSALSPPPPPPPPSLAPSLPPPLRGDRAGAGATAAAPSTCAERALGHVLWVASRLPVASRKYELVRGLAERLLDENNVGARVAAVTRAALAGAFERTLRQLEASAGGEWGPPGMELAVRAVRSGVRWWRPAVAALEGDAFGGPAAEKLAAELLWLGQKMAECGAAREAAVQFGAASRLGSRALVAEPTLQVALLRLAVFLFRHANSAEFEQEEGKAAVAEQRMAMLRSWLPLLCRGSNGTDAPVLSGRERAEMVTVLEELIEKLRWEQQEEALALWLHHFAACPDTDWPNLERCYTRWYAESRKLLA >SECCE6Rv1G0442340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814828344:814831106:1 gene:SECCE6Rv1G0442340 transcript:SECCE6Rv1G0442340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDFFTLTEMKDGISTTARIAELVSEIQKLRDAADFSTDDFIRQCSTAAKTLGSTNNEECLQHFVQLNGIGYLNQWLQDAQNCSKEVSSSAEGLINAILTALERLPVDDIHKAPCGLIPTVHQLLSHENTEISQKARLLCQKWSSAPNCGENDRQIDAKEAYQAADLEPPEASQEMENNKHEGANEAATAEAKSNHDTLTSSGVPLQDLSLINNNTDMTKQPPMPASPNSSDGHEVLVQVNSSVSSLASQGGLPNASVTEETSSSNDVGLITNCKLSDTLNLKGDTGHVTQVIGSTIDAKSLENVNSENSFDSIKIDLGDQNVSSSLDIKKGNSFAAGQSCSDNNATEALNHLANASGSLQYSSEDSMGKEEGPTSSSGTDDKDTGSEFWLTRSAKSFGDSSKAAETKLNASKGEKSPPSTEYDDTDALEVARLVAIEVEREVIDYRGDYCSSPDISSENVDSPHVEAMQHTEPPVHESNDNKSSTTVVDSGNSSSHKEDGVGITDDSCPINSRKNTQGVDMVDFDLNANQYHEETDYHPKSSANNSVNLSTPIAVAASRGSLVFPARLQFEGAQGWKGSAATSAFRPASVPRTPDREKSMSASSQKARNVMFDLNVDESENTIAGESLSTAFWLRSSDIAPKDISGADGASTGLELDLNNPCEEEEAATTSLDVPSFWSHQQHHGRWSQPSSSSSSRQPTAKNFDLNDSVSFFDASSRGKGESYAKTSTNNTSDHSEVMIMGKRVTLGQKEHRSPNQYNFLGPSMESSLPVRSTQSYTHMPPDFSVFGYPSQPAAMSLPPPLYAPGGAPYMVDARGAAVVPPLSGLGVGISHPSFTSRTIQPVPSEFGYMNASMDFNYALSSEGARREAGGYWPVPFQGHTVFLDERMRSSSQGGSSGTGLVSKRKEPDSGWDIYPRH >SECCE6Rv1G0424180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:699511731:699517523:-1 gene:SECCE6Rv1G0424180 transcript:SECCE6Rv1G0424180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPENMFSLLNLDGEDDGENNREVTQTSSTSAEETAASKPDKGVQNDTAVVSNNGAALASSSGGYRMPLVWIDLEMTGLDISKDRILEIACIVTDGKLTKQIEGPNLVISQSKACLDNMNEWCKTHHASSGLTERVLQSEISEGDAEKQVLDFLMKHVGSDTPSIAGNSVYVDLLFLKKYMPRLAAIFSHVIVDVSSIMALCTRWYPKERKQTPRKGKSHRAMDDIKESIAELKYYKGNIFKPQKSKK >SECCE1Rv1G0024800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:332608612:332610369:-1 gene:SECCE1Rv1G0024800 transcript:SECCE1Rv1G0024800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKEGLKRGAWSPEEDQRLADYIAQHGHPNWRALPKHAGLLRCGKSCRLRWVNYLSPDIKRGNFTADEEDLIIRLHQALGNRWSVIAAQLPGRTDNEIKNVWHTHLKKRLEDGPKPAADHDTGANGRKKSRKQAKARSATVDAGEQYTSLPGQSSSGLTCSTVTESPAVLSSWPTDNPDITSASQGHQLVKEDSFSSEAVTDDSFRSSTDVTGKIDLGAMDEDLSLPMSSSSTRSEDLDIWIKILQEGGDIIDLPEL >SECCE3Rv1G0165250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:171527402:171534996:1 gene:SECCE3Rv1G0165250 transcript:SECCE3Rv1G0165250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRVPPKRCTAAVPCELEPHAAAAAEGMPPAKRRRERVVPSRFRDPAFVLPPPAKKACKAPAPPKVEGRDGEVYEVEVRAVDLKGSAFGAVQTEVWAGKPAQTEEELYRACRNISRSSSSGCFSGSVVTSVSNAGGKCVPEEAPLVARVVTPTSNAPTGNAGLEGRSPVVECKPKQEAEVRREDFYWPEDFVLGDVVWARSGKKSPAWPALVINPLQHAPEVVLNSCVPGALCVMFFGYSAGGQNRDYGWVKQGMIFPFVEYLDKFQGQSLHKLKLKPSKFRAAIEEAFLAERGFFELQMDGVCSLDKSVNEQSVTDGAHEVTGSNNEQECQSHSQVVVKSAACCDSCGNRLPSKTSKKKKQETEQLLCKHCEKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDRTCSELEDLENTEYFCPDCKSKRKRVSVEQMSTSNSSEFASTSKEKLPESIPVCCSGMEALYLPEKHMILCQCKSCKKKMMTLNEWERHTGSRKKNWKMSIKLKGTGEPLIDLLHDIPGGNFKSSTPGIKKEELLSLQANSYSPVYAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARVVQDLTNWVCRACELPQQKRECCLCPVKGGALKPTDIDQLWVHVMCAWYQPKVSFPVDETMEPAMGILSIPSEYFKKTCIICKQMHGACTQCYKCSTYYHATCASRAGYRMELQYSEKSGRKVTKMVSYCAFHSTPDPDNVLIVKTPEGVFSTKFLLQNNEKQSPARLAKSENQQEVFPAEVSDCPAARCLPYEMLKNKKEQGEAVAHRIMGPRHHSQDLIEALNTYMHQKDDRPFATFKERLQYLQRTENKRVSCGRSGVHGWGLFAVRKIQEGQMVIEYRGDQVRRSVADLREARYHKENKDCYLFKISEDVVIDATERGNIARIINHSCMPNCYARIVSVGDNKSQIILIARRDVSAGEELTYDYKFDPDESEDRKVPCLCKAPNCRGYMN >SECCE6Rv1G0424420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:700420279:700421259:1 gene:SECCE6Rv1G0424420 transcript:SECCE6Rv1G0424420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTFQQLAAATEQFREKLGEGGFGSVFEGQLGDERIAVKRLDQASHGEKEFLADVHAIGSIGHINLVRLIGFYAEKSMRLLVYEYMAKGSLNRWIYYRHDNNAPPLDWRTRCKIIANVAKGLSYLHEECAKRIVHLDIKPQNILLDEDFNAKISDFGLCKLIDRDMSEVVTRIRGAPGYLAPEWLTSQITEKADVYSFGVVVMEIISGRKNLDTSQPEESIHLITLLEEKVKSDQLADLVDKQSDDMQVNKQEVIRMMRMAMWCLQIDCKKRPKMSEVVKVLEGTIKTENNIVYKFVATTPVNFGMAGDACSSAPPLASDVSGPR >SECCEUnv1G0541660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98142197:98142439:-1 gene:SECCEUnv1G0541660 transcript:SECCEUnv1G0541660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSIGAGAATIALAGAAVGIGNVLSSLIHSVARNPSLAKQSFGYAILGFALTEAIALFAPMMAFLISFVFRSHKKS >SECCE4Rv1G0216060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8553779:8554054:1 gene:SECCE4Rv1G0216060 transcript:SECCE4Rv1G0216060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKKSGSEPWARGAAPGHGEKVPRGHVPMVTSCGERVVVPVKLLAAPCITELFDMAAQRYGYDQPGVLRVPCDAAHFRRVLDCALRRAG >SECCE2Rv1G0134140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900483679:900485067:1 gene:SECCE2Rv1G0134140 transcript:SECCE2Rv1G0134140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSLAKTIGLWSSPIHPRMFFSLVFRVLPKLLGLPPSLLKKFLKHEHIHPPHMETEVGELPELPHELLVDIFSLLDIPDLKRASSVCSSWRFVYTSLCNLGLYKRPQTPCLFYTSESAGESVAFLYSLAEKRSYKLTLPEPPIRTRHLIGSTNGWLVTADERSEMHLLNPITCQQIALPWVITIEHVTPIFDEAGAFCKYHYSRHTYGPYGLYTDRPSILALGELRSFLYGKAFVFYDASAGGHIVVLIHNPCWQLSFARLGDDKWTWRPKHSYFQDCIYKDGLLYAVTAQGKILAFNLRGPVVTTELIMDIAKDFLYENIYIVQAPCGSLLQVWRTQEASEYVEGADPATEVTNTINIKIFKVDTTAEKLVGIDSLDDHVLLLGRNQTLCASVEEYPHLKANHAYFTDDCEPYLFGWKNNRRDIGICDLVTNTCEELVSPQLWFNWPNPIWITPSLIRL >SECCE5Rv1G0334110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:563920138:563922216:1 gene:SECCE5Rv1G0334110 transcript:SECCE5Rv1G0334110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGLNQTITQLGFLVFRAVALSSLVAHLFLVFLSDFRRRKAYGGRRFFLWLAYQVNNWAPPYLIGNLYLETTPSDKQLFAFWVPFLLVHLARTDNMSAYTMEDNALSGRVLLVLPLQCVGAFLVVLRYIQIDSTAGTMRPASWIMLILGIYKYVESCFALKGGDLGNIRSSFKSTSRLSLSASHAVYGKPLEDEEALLVAHDLFDICKAAFSDRWVDIIGSETRGTKDLVENMCKVVEMELSLMYDLMYTKAAMVHTRRGYFIRVVSPPLTAAALTLFWFRYGDQVAGNTDVLITLVLMGTTLVLDVRWLLKAIVSTWAYAFFKDTKWEHTVLCSGRWRRLRHSLVSLDPCRLFVKKLQVKEPRSYRMWSLTIGQHNLLRDFRDSNRLCSKLARSIGLEESWNERCYSRVRGLKFSPDKTVRDDLFKRIWSTVCKAPEDEDKKKKEKEAEEKKKKGDPQQQHRDRIELDENLGFDPELEEVVLIWHIATDVFLLSCANQEDGAEAESRGRTREMIKAVSNYMMFLIAVRPGMLPGLKFRSVYETTHGALNDIWSEATRDGSHPCCRELACILRQELNTLHKSSLVLSDGTRCAMLMLTRLKTGWLKPTDPELKKLQKKLQRRLPKLFKPEVLNMSKMLDLIFDEWVRLLIYASIRCSRDSHAKQLGQGGELTTIVWILIRHTPLFTKRSE >SECCE6Rv1G0434200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764212252:764212728:-1 gene:SECCE6Rv1G0434200 transcript:SECCE6Rv1G0434200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVTETLEGRIITLEVDSLDTIDKVKAKIENTEGFPKVQQCLIFANKQLEDGTRTLADHNICKGSTLLLILLPCSPDVVMRIFVKTPPQGKMLAFKVGSSDTVNSIMVKIYEKNGVPPIQQRLVFAGRQLQDDRTLADYNIQSEDTLHLVYRQCGC >SECCE6Rv1G0422200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:688966057:688967935:-1 gene:SECCE6Rv1G0422200 transcript:SECCE6Rv1G0422200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAEKVFVPTDAELLQAQSDLWRHSLCYLTPMALRCAVDLGVPTAIHRLGGAASPSELVAALSLPASKVPFLARLLRQLATAGAFTSTDAGTYRLNPLSYLLVDGVRIDGDASQTAIVRAAASRYYVEAAMGLADWFRKDFDGPVPSPFEDVHGAAIFEESMALLDPEMDQLIHDAVAAHDHMGIGPVLRQCRELFDGLESLTDCGGGDGTTARSIVEAYPHIKCTVLDLPKVMDKVLPAQEGAVKYVSGDLFHVVPPAQAVLLKLVLHFWSDEDCIKILAQCKKAVPPRDAGGKVIVIDIVLGSVSGPMLETQHLMDMLMLVVTRGRQRDEKDWSEIFTKAGFSGYKIVKKLGARAVIEVYP >SECCE3Rv1G0145200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5994272:5997203:1 gene:SECCE3Rv1G0145200 transcript:SECCE3Rv1G0145200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor-like protein kinase PERK8 [Source:Projected from Arabidopsis thaliana (AT5G38560) UniProtKB/Swiss-Prot;Acc:Q9FFW5] MASSPSPSPKASPAPATASPAPVKLPDATPADPPAAPPAAVPPPTPASPPPPSPSPPPDPVASPPPVPVASPPPTPPTTLPPPSPPTAVPPPPAPAADPPKQSPLRPPVPAASPPPPPKSSSPPPSPPDRSTPPPVARSPPPRHPGSPPGTPPPTEPQAPPPSVSPTIKPPTSPSPTPVDPTVPLAPTTPLPPPSTPSTPGSAPPSMPSGPTTTPPTPIGPNVPQDPSWQNSGPPPSSSHGLSVGAKAGIGVVVAILVLSLFGAGCWYKKKRRRMTGYHAGFVMPSPSPSASPQVLLGHSEKTKTNHSAGSPEFKDTMSEYSMGNCRCFTYNEMHSITNGFSDQNLLGEGGFGSVYKGCLLPEGREVAIKKLKDGSGQGEREFQAEVEIISRVHHRHLVSLVGYCISGDQRLLVYDFVPNDTLHYHLHGRGVPVLEWPARVKISAGSAKGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVADFGLARLAMDFATHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKPVDASNPLGDESLVEWARPLLTQALETGNVGELLDPRLDKNFNEVEMFHMIEAAAACIRHSAARRPRMSQVVRALDSLVDVDLTNGVQPGMSEMFNAPNTAEIRLFQRMAFGSQDFTTDFTQSSSWNSSRQSREVDAPSGGPRHPQSQSQPQ >SECCE6Rv1G0413690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:625742885:625746879:1 gene:SECCE6Rv1G0413690 transcript:SECCE6Rv1G0413690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRRLHTEKLDREGDSDSENGRDAAASGALESHSMSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNLSVASIYAGDDSVELKGASVVTDLKYLLNLLTLCWHFSKKPFPLFLEATGYSTEDVLMQEPKAGILKPAFTILLDRDRQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVKEGGVSDLVLGYAHFGMVAAARWIAKLATPCLTEALHLYPDYKIKVVGHSLGGGTAALLTYVLREQQEFASATCVSFAPAACMTWELAESGVHFITTVINGADLVPTFSAAAVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSIANAKARVAGAGAILRPVSNGTQVVMRRARSVAQAAWTRPGLQLSSWACIGPRRRNTTSVVTSEEITTSTTNGGSESTSLLTETTMETTEIVTSETTQYAASEEVQTTISASDAVGTLDDKVDSDGEDIIDHHVDEDRITEVELWQQLENELYRKREGENDIVEDMTESNIAEEVGGRAQDVLSEPNEKEVHRFYPPGKIMHVITSTREAAIDDDDETSTHEDEEPDLHQVDATGESETSIGIFLTPRSLYGKLRLSKMMINDHYMPMYRRNIEQLVAELEKDLAL >SECCE4Rv1G0275370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:793183938:793185262:1 gene:SECCE4Rv1G0275370 transcript:SECCE4Rv1G0275370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATKMFLLAAMALLVASSSAWETNIRMPGLVATTTAMDEAVAPLIHALRPVLGSGGQLGSRAGVACDSWRLGVEAHNVRDWKTVPASCEGYVGHYMLGGHYRRDSKLVIDEAISYVDSLKLAGNGKEVWVFDVDETTLSNLPYYATHGFGATPYNWTSFQEYARQGSAPALPETKRLYNKLLSVGIKPVILTGRREAQRTATVTNLRRQGFSRWMTVLLKPAEFKGSAVTFKSGERQKLLDAGYVIVGNIGDQWSDILGTPEGARTFKLPDPMYYIG >SECCE5Rv1G0297830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:5457544:5460582:-1 gene:SECCE5Rv1G0297830 transcript:SECCE5Rv1G0297830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAARPFHLAVAALLLSTLLHAASAATTPPEVDALMAFKASLAIPPAADPFFASWDAAAATPCNFTGVTCRGSAVTALSVRELNVSAASVPFDVLCGSLKSLAALSLPSNALAGTIAGVDACVGLVELTLPFNSFSGKIPDLSPLTGLRTLNLSSNAFSGSFPWSALTAMRGLEVLSAGDNPYLTPTKSFPAEITRLTNLTKLYLSAANIAGPIPAGIGRLTKLVDLELADNPLTGEIPPAISQLVNLQSLELYNCSLTGALPRGFGRLTKLQFFDASQNQLTGGLSELRTLTRLVSLQLFYNGLSGEVPPEFGDFRELVNLSLYNNSLTGELPQKLGSWSAFNFIDVSTNLLTGPIPPDMCKRGTMLKLLMLENNFSGQVPATYASCKTLLRFRVSKNLLTGEVPEGLWALPDAEIIDLEGNQFTGSIGDGIGKAASLTILHLAGNRFSGAIPSSIGDAAKLQSIDVSSNELSGEIPPSIGKLVHLESLDISGNGVSGAIPASLGSCSALSTMNLARNKLIGAIPAELRGTSLNSLNISSNQLSGAVPAILAELKLSYLNLSDNRLDGPVPPGLAISAYGESFQGNPGLCANNGAGFLRRCTPGDGGRSGSTARTLVTCLLAGMAILLAVLGVAIFIKKRRQHAEAAAMAGAGKLLFAKKGSWNVKSFRMMAFDEREIVGGVRDENLIGSGGSGNVYRVKLGCGTVVAVKHITRTRAAAPAHAGPTAAMLPRSASASARQCREFDAEVGTLSSIRHVNVVKLLCSVTSEDGAASLLVYEHLPNGSLYERLHGPTARKLGGLGWPERYEVAVGAARGLEYLHHGCGDRPILHRDVKSSNILLDESFKPRIADFGLAKILGANAGAGKQGEPWSSVAGTVGYMAPEYAYTRKVTEKSDVYSFGVVLLELATGRAAVADGEDVVEWASRRLDGNGIGNGREKAMALLDGAAAREEWEKEEAVRVLRVAVLCTSRAPAVRPSMRSVVQMLEDAAVGRECSGNGKPDVEVKVVVA >SECCE5Rv1G0331520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:539238300:539239253:1 gene:SECCE5Rv1G0331520 transcript:SECCE5Rv1G0331520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATKLRFESPLLRVYEDGRVERLFGAETTPPGVDAATGVTSKDVVIDAATGVFARLYVPDLVAAGSDSQRKKVPILVYFHGGGLVLDSAASPTYHRYLNSVASKAGVLAVSVNYRLAPEHPLPAAYDDSWAALSWAASRDDPWLSEHGDAGRIFLAGDSGGANIVHNIAIMAGTRDGLPPGVRIEGAIILHPMFGGKEPIDGEAMDMRAMTEKLWPIICPESREGVDDPWFNPMARGAPSLQKLACRRLLVCSADGDFALPRAAAYYQAVKASGWAGTVEWLESKGGEHVFFLGKPECDEALQLMDRVVAFLAGN >SECCE7Rv1G0484240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:342952307:342959711:-1 gene:SECCE7Rv1G0484240 transcript:SECCE7Rv1G0484240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAHDSRQYSWLWVSHISPKNSKWLQENLSDMDTKVKAMIKLINEDADSFARRAEMYYKKRPELMKQVEEFYRAYRALAERYDQATGALRQAHRTISEVFPNQMPSMDESPSSAGQEVEPHTPEMPTFSRPAYESDDHNSKRNGSHSQETSALSNRKSLKPSNGLSLGGENAPRAVFDGKARKGLSFESPEVRGKEDISNEILNMQKEISRLLAENQNLKQQMLESERAKKAETEIQNQKDTASQLNSEKDTSILQYGQSTDRLSALESELSKAQGDLKKLTDEMALEVQKLNSAESRNSMIQSELEALDQKVKLQQQELDQKLKELENLHSSFQEEHEKRMHAESALLSKGKEGAQSKEEVQRLTIEIKMANENLDELMQSKMHLESAVCELKMEVGSLTEQNHSSELLIQQLRGKINSLTDSRSELRNEIQSIRGTMSQLSAEKDGALLQHQQSVERVTVLESQLMNTQSELEINENKVHILMKDAEQKREEIHSIHGQLQNESDRRTQTEAALLMSESLHSKLEEEVKRLTQDLDTTLKKLSEWENEKLNLENTSTELKKTILGLNSEVDASLLQQHQSLEKVSDLELQLSETKLKLEKSEQKMQLLELEIGQMSESVNSLELTLKDEAGKRVQAETSLRSMENMYSQSQEEVSRLHREIEKLNGKSNELENLSSELKSTILLLNTEKDATLLKNQESWKRVSDLESELSQLQAELQTSLDGETKKRIECEAALLLVTDLHSKSQDEVNKLAMDIEELAGKLSEVENIKMDLENIVNKHTKDIHILHEQNLSAELIIKDLHCELGALKELNVKLEAEVGSHIGEKEAIRRDFVLQREEKENLDGIHHALAYEMNALKDGAAANQMLIEELQITNLKLKEVYAKNLIEKALLSEKLQEMEKLSEEYSVLENSVSDANAEIEGLREKIEVLESSESSLKCEISTCVSEKDVLLSELDTLGKSFAVISEKNSALEMSLCGLKAEFEDMRIKLKDSEKTCQAQLADNSALSAEKNNMFSQLQNITLVAKALESKHSDLQDKHTSLSREKDLVYDQVRKLKGLLRTINKEYENAVKSHEMHANSLEEQISSLHEKIHDMDERLQEEEQKSTGASISVVALESSLVYAKDENVVLLNKCQKYALENHAAEILISQLEDKARYHESERKTLLKLNGRLREGISHHMKVLNIVRDLGPAEIAQDEILLQSVSDETSSILKHKEEIEDDNTLMYTELSVLSTVMLQLGMEFRDLHLQKCALEKDVEREATEFISLQIKNCQLLESNDQLRQELQNNSERDQLQKIEALVLHEKLSCLAESYEASQDKITDMAEKNESLSKEHQSLIEKYNALEENGTALRECMMLEHLSLFLRGHSNEVASALVSLTDEMALLSLVKGELDNEAKVLSARAILFESENNYLKKYLVYLTDLLTTRLILLEFDLNTGRSISQELAVELESCMAQLMQKDDELLEAEENVQLMQAKNRELCGVVGALQVAIEGAKVVKGELEKKIVILTEEGATKDGEILLLRQANETLEMDGGILKRKEQSLVSAHELMSEEVEQHKRESLLLIGDTITSSVNAAVYKEMALQFMMEAKAIEISAIAQKELILNKMSMRDAQIEALQKNVIDVQEENAELNAELSMQLALVESLSNHISLLEEDALSLSKPYSTECKEETCMQEDKTGPKSHQFAGGTLELKQLISRIEALGVVISNSMCRRDEESTNSTAKMMAVNMEIQELKTKGCSEIYSEKEKQKDGEGSKGKQAQMMKDIELDEISTYYPAYGTEASSYPVGVSNGANAEVDDEMLQLWEAAERTCKKQTAKSSSCEHEHDIEEVKSEYPSWEVLRGRDLGIINKLEMSSSAEPDELWGKNVVERLASDGQRLASIQESIEELKRKMGGPAKGHSEYESVSTQLRETEGLVLEQMNLNSKLAKKAEALSDSMNAEREGDFPSKRKMLEQVRKGSDNVARLELELQKIQYVLLKLEEEHEYTRLKVSDKRTRVLLKDYLYGRKDHRGKKKRSPFCGCVRSSKSRTEP >SECCE1Rv1G0047960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631076990:631077388:1 gene:SECCE1Rv1G0047960 transcript:SECCE1Rv1G0047960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMEQGVPEGGEPKSVAAVVADILTKECPSNTFLQNVGLESSSKKKFNRSASALDAHVQELEYKLEKERQASKLMREELVEVKKKPEETEAARAAEYQLLLQRVEATDARAAASDARFARLMDLFEGKIV >SECCE4Rv1G0264450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:720715091:720716635:-1 gene:SECCE4Rv1G0264450 transcript:SECCE4Rv1G0264450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLDIYFFIWHDASGDIRLFLIFLCVASVITLLNLLSRSHTVYIVDYACFRPNSNYRISKAAWIENIHHSRSCDDSGNHRFLTRISDRSGLGDETYLPPCHHHIPPYYCLSEARAEAELSIFTTIDDLLLKTSINLDSIAILIVNCSLFNPIPSLADMIMRRYKLRDNIYNVHLAGMGCSAGLIALGLAKDLLQNAPSSAHALVVSTEILTGTYYIGRKREMQLTDMLFRMGGSAVLLSTSSNKARFELAHVVRNCTSSQDNAYRCVSYEEDDEGILGLNLSKDLVDVAGKALGANIATVGPLILPWKVKIAFVLSFISRKVLNGTTKPYVPDFRKAFDHLCIHAGGRAVIDAVQRNLCLLDKHVEPSRMTLHKFGNTSSSSVWYELAYSEAKGRMRKGGRVWMIGFGSGYKCNSAVLKCIHPAKSADKAWQDCIYRYPIGVPKEV >SECCE7Rv1G0522910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879527854:879528099:-1 gene:SECCE7Rv1G0522910 transcript:SECCE7Rv1G0522910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIVLVIMTMLLSNSCPCVRSRNIKGNAMQVKNMRKLTSSSVDGRSTPAGEEIHHACPLGNYPCQGMFHSSQESTEDGGN >SECCE6Rv1G0433130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:756524865:756531043:1 gene:SECCE6Rv1G0433130 transcript:SECCE6Rv1G0433130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAARSQPDRAAMPLGEPAHQEAAQQLAQQPDTRLRSLNPGPIPIPAAPSSRSLLDTVSDQTVNLDLTGSHQSENGSISTVSSVESEKAAYEFLAQTPIKSTDKHLVEFSEAMRTVAKALRQVAEGKAAAQAEAAEWKRKYELETAQKQQTRIKDCGTCTDDNLGKMASQLSLEAPASDQTGCCGNHGICSHEVLQDEVPGPNPRPSLSMVGRKASFRLSWGCNGNKNGQHKHDFVSFEKGDITTAERSSKQILLKWESRPQTVLFITKPNSNSVRVLCAEMVRWLKEHRNINVFVEPWVSKELLTDDSNNTVQTWDNDDDKKMLHKKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFAMGSLGFMTPFESEKYRDCLDNVLKGPFSITLRNRLQCHVIRDAAKDEIVTEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRIQVPYNSRGHAWASFDGKDRKQLFPGDALICSISPWPVPTACLVDSTTDFLRSINEGLHWNLRKTQTLDGPRD >SECCE5Rv1G0305850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:77573555:77574198:-1 gene:SECCE5Rv1G0305850 transcript:SECCE5Rv1G0305850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLWTILTHLHTLAGPGVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFVTLVEMVLESLIYWIPIWYELKLLFLAWLALPNFRGAAFIYDRFVREQLRKHGLTNHPGSGISSSKKENGGKSSSPSTSPKEKESAKSRFLSFGAPKKDH >SECCEUnv1G0529300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7182818:7185724:-1 gene:SECCEUnv1G0529300 transcript:SECCEUnv1G0529300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNSSYEWVEQELTFQPEVHGWQAAVRAALLEANVTPWNGFTVEHVTGTKIGATTFDASGRRHSAADLLAFARPGRLHVAVRAAVTRIIINPIDPAARRGRSRPAVAAVGVVYQDRLLQQHHALLRPGGEVILCAGALGSPQLLLLSGVGPASDLASLGIPVSVDSPDVGKHMFDNPRNGISIIPSVPIDHSLIQVVGIPSANGTASYLEAASYIVPVSPMLRPAGPFISPTSPLYVTMATIMEKVPGPLSEGSLWLSSPNPVETPSVRFNYLSRPEDLALCIVGVRRVAQVLQSRTMDIFRATVGSSSQGRRGRARRDFRIVGATLPLDWSTNNTAVADFCRRTVATLWHYHGGCVVGRVVDKDFRVMGTQSLRVVDGSTFSVTPGTNPQATVMMMGRYMGLKMIAERHSRRQVNTSSYSELEE >SECCE5Rv1G0338410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597891493:597894868:-1 gene:SECCE5Rv1G0338410 transcript:SECCE5Rv1G0338410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSAAVAVGWAMKAAGWVASPIISELYKKASSLLKFDASHKLKELEPKILLLQRVMEVVEESPYRPRLQQLFNDLKSAFYEAEDILDDVEYYRLEKQIQDDYLKLEVATPRRNKDHVKKLLTSAMKKCNLLKDQDCGMSKIELKQSLEKIEKVINDACVFFEQMNLPNKSNANLSKTANSRGAVTTARPPSLVIGRDKDCDNIVAMLHDKEEGAQQDTNRSQCYSVIGIHGIGGSGKSTLSQLVCAREQKDGHFNLVMWVHVSQDFSVDIIFRQMSEAATRTPCPQFNNLDALQTNLEKVLHEKRFLLVLDDVWYNNRDVRQYEKLQQILSPLNAGEAGSKILVTSRTKDALIALGAAEQRCIPMSVLGEDVFLKLFKHYAFHNVCVAADDRIRLENIATQIAKKLKGSPLAAKIVGGQLRMRPNTDYWRSSRDGNHLDDTMGALWWSYQHLDEQIRRCFAYCSIFPRRRYLERHELVKLWAAEGFARSSNEGKDLEDVCQGYFDELVSASFLQPEVKKYSSKKDTYIVHDLLLDLADKVAGSDCFRIENQWKRMGKDWTMEGCEEEVPPDVRHVFVQTYGSGLIIEKICKLDNLRTLIIDGVDDRKPVEEEVLKSLFMRLRKLRVLIIPLVYNDQGALSVPESIGQLRHLRYLSFVTRYRPVSKLVLPGTLSKLYHMQVLDFCEIDDLVFSSCEDMFSLVNLRHIIRKPCLKIPTIGRLTLLRTLQTFEVRKEQGYRLKQLSELNKLRGELDIWGLENVESQQEALEANLANKEGLRTLELWWKYNERAELKEKEVQTATEVLEGLCPPELLESLTMNFYHGLRYPSWMMGKHNGGPKYLNTLRLFFCRTELGPELGGFCPHLRSLYIFGCSWDTLPEHMEHLTSLKDLGLDRCRNIRSLPTLPQSLELFRLCDCSEMLMSSCRRVGDPNWEKLQHVPIAYVDGFPRLETRAHHTSSSVPETP >SECCE7Rv1G0479420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:253009167:253029468:1 gene:SECCE7Rv1G0479420 transcript:SECCE7Rv1G0479420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFRSGNLASRVFDRQFLSPRPGATVNTVRQFYENLVPSYTIYDIDCPDYSFRKFTNDGKYLVAFSGNHQDLIVYRPIWLTFSCHEECDSHDLPVNAERFDSFFKQLYSISLASSNERICKDFFLYMECHQYGLFATSTAQSNGSSVTEGAIHGVPSIEKITFYLVRLEDGAILDEKTFCNDFINLANSTGAYLYEDLVCIVSLRYQTIHVLQIRDSGSLVEVRRIDAFCREDDELFLYSHGQAAQGSSFLPGIKQRLLSFIFRKTWNEEPDQALRVQHLKKKFYFHFQDYVNLIIWKVQFLDRHHLFIKFGSVNGRVTRSTDQNLAFFAVYNMETTEIVSLYQNSSEELHSLFEHYYDHFHANSQNSLHEKFISSNPNSVHALDQLRTIKNKANSPSQFVKKMMASLPYTCQSQSPSPYFDLSIFRYDEKLFSPIDRHRHCTEHPIKFVSVRQPNVVKFKIKPGSDSDASDSREKKIFSLFHPFFPLALSIQQTPMQPTVVNVHFRR >SECCE4Rv1G0292180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:880634098:880634436:-1 gene:SECCE4Rv1G0292180 transcript:SECCE4Rv1G0292180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFEGGMVRRADSEAPGAGGAARPGKVLVHVPSGEVVTSYEVLERRLRELGWERYLNDPCLLQFHQRSTVHLISVPRDFARLKLVHMHDVVVKTRNVFQVRDAGASHP >SECCE2Rv1G0128890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:865580410:865581605:-1 gene:SECCE2Rv1G0128890 transcript:SECCE2Rv1G0128890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQSVVWRQLQHQQHQAGGGAAGGNAVAGAGATTTTAPVRPSGARWTPTPEQVKILKDLYYDCGIRSPTAEQIQRIAARLRQYGRIEGKNVFYWFQNHKARERQKKRLGVDVNASPLAATAADVLALSPSGAAAGLYGAGNCGGRGAAVHPDASATTACWGDSTLQDYMGARSTAGAGNHGGAATAPTPWPAGCFPFSTNQTPPMPPLPAPRELPLFPTGGGRQESADDFHGSSYHLQSNNSQWWGAAAAATSNTNAMAAVHHQLQQQHLQEQQPQHSFYGNQQQQMMMMPVQDAGASLELTLRAPYM >SECCE2Rv1G0076730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:94028467:94032449:-1 gene:SECCE2Rv1G0076730 transcript:SECCE2Rv1G0076730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLPLRLLRSTAAGSLQSPTLSCGGCPVPRAPHLSAEAAAAPAELTRWLPRRGYSRFASGFTPLEQKKLGSILDVERAKGLSAEHLVAAWDDYHLGRGHIGVSMKAKLYRLLEQRSATCPYFVIPLWRGSGYTTMFMQVQLPHMIFTGLEDYKARGTQASPYYTITHFTEFAETNDTVLVRGDVVFTSKLTDAEAKCLLETAHSFYLNDVRYKLVERFNKETHDFEFKDVLQALEMPSM >SECCE6Rv1G0396420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:365644697:365646451:-1 gene:SECCE6Rv1G0396420 transcript:SECCE6Rv1G0396420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALEADYRNRALLASVRGRRPAVSPEALVLELARCCGVDRGRVRVEVTHPADFFITFALSDECDAVFARSGQIRCAGAPIAFQRWHRSAQATSGKLQFFCKLGIEDLPASAWEWGAISQLINNLQGQLVEILPQNDRWQLEVTAWMRNPSGIPKIYDFEVPEPAGLPNTVDPEWPVNPPPPAPPTERITLIHPLTIHVLDVVDEDFTRRHDYSKICYRGRIDGIGAGDTPRSSGHPFGGPGGLGIAGDWGGRTIGGFPAPAGGFEIGTVLRGQGCRGLAATAPRSGSLPADGASAGKQSPSSIATTAVGAAAQVDTPARPSPVSTAVGSAALGRTPMLASGGRGCAPSPRRLSFSPAAAGSTVAGSAHGEERDDGAHPVLDTQGTVGRSPEEVVVEVQVPPLCIPNMHAVDGPVEREQPRTPIAVPLAQHQLLLPPAAARVTELGPKSAVTTLLEGVGAMPAPSILGPRPAASAPARRKKPLPPNFTPRRSARLCKNGDGTNKGPEKRAQTVLLRRLGLIGAEEQVSQEALDAYLKLFDKPLAPHHIKAVAALFDPEGREFDEPAQLQFGVFSLPDQVEPCGV >SECCE1Rv1G0037280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:520909300:520910373:1 gene:SECCE1Rv1G0037280 transcript:SECCE1Rv1G0037280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAASQHQATTTVAPPSGRKVVDEVSGWLRVMDDGSIDRTWTGPPEALPLMQPVQPYAVPRDGHTLHDLPGEPSLRVYLPEVDAGSVGRLPVIVQLHGGGFCISHPSWVLYHHFYARLACALPAVVVTAELPLAPEHRMPAQLDTGVDVLRRLRSIAMSEEGALDEPAAELLREAADMSRVFLVGDSSGGNLVHHVAARVGEDGADAWAPLRVAGGVPIHPGFMRATRSKSELQETPDSVFFTLDMLDKFMAMALPEGATKDHPYTCPMGPNAPPLESVPLPPMLVAVGEKDLIRDTNLEYCDALRAAGKEVEVLINRGMSHSFYLNKFAVDMDPTTGERAQELIDAIKSFVARH >SECCE2Rv1G0110980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:697168788:697169599:1 gene:SECCE2Rv1G0110980 transcript:SECCE2Rv1G0110980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQQQGFGVEQKSGPEQTDEMLGSQVNQKFTEMCLDDAAVEAGIVQEKQDDPDARLGVDQKFAEMCLDTAVGTDINQEKQSRQEARSEADQKPAETIRQCTVVEANVKPEEQQAAANPGVIYRCKKCRRMVATQEYVVTHEVGLGEAGFLRRRNDADEKKPECSACIFVEPMKWMQAVEEGYVSNKLWCMGCKTRLGSFDWAGMQCCCGAWVIPAFQLLKSRIDESHM >SECCE1Rv1G0030860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:435722723:435723034:-1 gene:SECCE1Rv1G0030860 transcript:SECCE1Rv1G0030860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE2Rv1G0070690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:37380029:37380541:1 gene:SECCE2Rv1G0070690 transcript:SECCE2Rv1G0070690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTITGQTLTLEVESSDTVQSIKAQIQDKQGITAGTASEDPHQLPSLVFAGKQLDEEDGRTLADYGVGKESTLHHVLGLLGGFRQRSWYPRINPSLLALALRYNENKMICRKYVHDYSIYVLWTSFSGVYQLPQEEVRPHQ >SECCE4Rv1G0283690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836177505:836178919:-1 gene:SECCE4Rv1G0283690 transcript:SECCE4Rv1G0283690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELTAALEKLAQLMTAKAEGLPPASGTIVVPHADQVFKLELMPNDVKLNGVSSYLSWSRRALLILKTKGLTEYVLGMVEEPADKASPEWKKWSVTDSLILAWMLNSLIPAIAMSVEALQTASAVWSMLSTRYFGKGNLMLMSQIEDKIHAVRQGDRSVLVYVNELQHLWADLDQCDPLEISHAESMEIARKWVERRRVVQFLKGLDQAFESRHAAMLHQPTLVTLDEAIAAMSQEEVRLQSRRGVGNESAYRVADQRGVCHNCGQPGHISRFCTGPRNVRGRGYSGGSSSRGGWNVNRGRHGSGGSWNAPHWNAPKANVAASAAGKQPVGGQETAPSYANFVDTNEGKIDGQESWDWNKA >SECCE2Rv1G0120920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:803227433:803227744:1 gene:SECCE2Rv1G0120920 transcript:SECCE2Rv1G0120920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE2Rv1G0115160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:746787081:746788962:-1 gene:SECCE2Rv1G0115160 transcript:SECCE2Rv1G0115160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine histidine transporter-like 7 [Source:Projected from Arabidopsis thaliana (AT4G35180) UniProtKB/Swiss-Prot;Acc:Q84WE9] MTSEVQSAPPTPRPVSAPPSQIPSPAPSRSPLRAMASPLASPVKKAVASVRGYLEEVGHITKLADPHDAWLPITASRSGNAYYAAFHNLSSGVGFQALVLPAAFASLGWTWAIVCLTVAFVWQLYTLRLLVNLHEPVAGGIRYSRYMHLATTVFGERWGKILALLPTMYLSAGTCTALIIVGGGSMKILFSIACGPACLARPPTMVEWYVVFICVAVVLSQLPNLNSIAGVSLVGATAAVGYCTMIWVISVAKGRVAGVSYDPVKASSAVDRTIAVLNGLGIIAFAFRGHNLVLEIQGTMPSTLKHPSHVPMWKGVKFAYLVVALCLYPVAIGGFWAYGNQIPPNGILSALYKFHSRDVSRLVVGLATLLVIVNCLTTFQIYAMPVFDNMEAGYVHKKNRPCPWWLRAGFRALFGAINLLIAVALPFLSELAGLLGGISLPVTLAYPCFMWLAIMKPGRGTPMWGLNWALGSIGMGLSFVLIVGNLWGLVATGLHVQFFKPAEFQ >SECCE2Rv1G0138210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920882137:920886740:-1 gene:SECCE2Rv1G0138210 transcript:SECCE2Rv1G0138210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSFPPLAKKYVIQMLYVSAPMPAAAMQEWVLDEYASKHKVAIDRLLQLRVFVEVRDRRKEVSYKMNNKFQANMQKYLVSGGCLPREPLPFSVTARLPTLAELENYALEQWECFLLQLINSSQVEKGTTFSSSMMKTFQRGLLSSRDGEAAKLSENGFQFLLMETNAQLWYIMREYISSAEERGVDPTDLISFLLELSFHTQGAAYSLSTLSEVQRVAIMDLMELGLVKLQQGRKDSWFIPTKLATNLSSSLSDSAASKEGIVVVETNFRLYAYSASKLHCEILRLFSRVEYQLPNLIVGAITKESLYGAFDNGITAEQIISFLQQNAHPRVIDKIPIVPENVTDQIRLWENDRNRVEMVLSHVYEDFPSKDMFEQCCDHARDNGYLLWEDAKKMRLIVNAEFHQEMREFLRRQR >SECCE7Rv1G0481080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:278891025:278891621:1 gene:SECCE7Rv1G0481080 transcript:SECCE7Rv1G0481080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPKAMRMASIRGRAWRLLRLAALRARKGVVVHGLRLLKTLGRSGRSDRLRYGEREFSIDETPAFRFRGPSARVLRFIPCIAPAVPDTPDDYSGDRYFFRDARERDAGSVGDGHGAGPSEYGVENLNDCAEEQLLQRAVMGASCANFGAAEQEDGEDAGVDVKAEEFIANFYSQMRLQRQISWLQYNEMMHRSFC >SECCE6Rv1G0395470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:329341344:329342794:-1 gene:SECCE6Rv1G0395470 transcript:SECCE6Rv1G0395470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSDETSEDSVMNISSSFDGIIKLPATMHDPNFNGTDADVNVLCEHGESAECFVAFEGMHTNMRFLGCAKKEGIKCGVVQWIDFEWPYSMEKALSKLWDMYEEIKSARTNDNLESSFAIHNLTEEKKKLQENYDNLYADVNALLDAQQQRGLELNNQKEQKQCLDVKIAELENVLGNLNAELAKKEEEKKKAQEDYDSLYADVNALLDAQQQNVGNLKAYLSRKEEEKKKLLQKYDTLVNLTGAQANVIRNLKFNHLKEKERLTEERLKLQHHISDLQKAEEKIRQKLQGVKAIFDE >SECCE3Rv1G0170680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:256288962:256296019:-1 gene:SECCE3Rv1G0170680 transcript:SECCE3Rv1G0170680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVELVRHVCLRFPPRRSRVPPPQPRCLVMARLRLPGSALSLRCRALDASKSSAVKGEPSEEYDDDDEEPYFSVTSSRLSEVDYLGESTKGDLNVRRRHLDALGGNGKSTLHGPIEEIAWKEARQAETLLSDLGIADPLTVRHSPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVMAWEGRAYDYGMANLKSMGFLVDDLEFDPDLVIRGLIMDKVKGNLVKADRFGYIKRVMHGTQMLPTRAVSEIYGRELVDLRKEDTWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELGPLDYKGLYNAVSKALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTNKMMNHAFNRFLPNDMGWRDLFEMVIVSARKPEFFQISHPLYEVVTDNGLLRPCFKANSGGLYSGGCAQMVEKSLDIHGDEILYVGDHIFTDVSQSKVHLRWRTALICRELEDEFEALIQSHAQKEKLVTLIQQKEIVGDLFNQLRLALQRRTNSRPAQTLAATCMNDQELAESMQKLLIVMQRLDEKIVPLLESDGELFNKRWGWLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQTLAHDVHSYSRGQ >SECCE1Rv1G0035430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:498790080:498791142:1 gene:SECCE1Rv1G0035430 transcript:SECCE1Rv1G0035430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRNRKVPAPAPPRRPPPHMEYRAKDGAWYGARMALQGDSLRVMFEEFREEFDEWHDPNAADLASPCGVAALRARFRPASPPLEDARCRDLRRGQPLCVLRTMSDGERKYYDAVLDSVKRAAHVTVGGQERCACRFKVRWTDGPLRGDLDEVGVDEVCCVQDSSVHDPVLSEFLDRVTKSFGFCDGKENAKAVQDSPVQDPRLSEFPDRVTKSDGFRKGEEKAAAAPQGTGATSAPGGEEKATAAPHGTGATSAPGGEENATAAPQATGATTLWGGEGETAFIIID >SECCE7Rv1G0514190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:831007415:831008371:1 gene:SECCE7Rv1G0514190 transcript:SECCE7Rv1G0514190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAENESDHSGVSEGGGESHVAEARGAWVLYQGCWLRPPAMQSVMIVQEHFEARPDDTFLVTFPKCGTTWLKALAFTVTNRFRHAVTGDGHPLLSHHPQDLVPFLEAPYRQLHPLADLDKLASPRLLSTHMPLTLLPLCMSSLGCRVVYLCRDPKDVFVSLWQFISKVNADYALDKAFELFSDGVCPYGPIWEHNLGFWKKSIESDKVLFLKYDEMMAEPVKHVQMLAKFLRVPFTEEEVSRGVVEDVVHLCSFDKLRSIPVNSSGVTDRIGGVPMENSSYFRTGKVGDWENHLTEEMAKKLDSIVEEKLRGSGLTF >SECCE3Rv1G0161760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:121578391:121579413:-1 gene:SECCE3Rv1G0161760 transcript:SECCE3Rv1G0161760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLPQLRRPVVLIASCALILLATALLLPRAPAVPPLTPAIRLDPQVVRRSGNEVLWQLPPGPPRAAVFVAPGCTIRATDFFDASPGCPRCAGLPEERRFTREALRRGYAVLAVSSRAECWSLDAASGDGSELAAVGSIIKWWVKEQHPELGGLPLVGIGASSGGYFVSALAARVRFSSVAIVIAEGVFAAMEEIPPGYPPALFVHMPKDAERAQMVAASIDKLKSKHVDVREIQCDAFAVSAEFLAARIPGLTPTVADGLVDVLRQKSFLDEKGFLKNDGRSTPWKEAAEEAKILPEGFRLERHVTEELNIAYAYHEFTSLQNEEIFKWFDSHMDHKI >SECCE6Rv1G0446130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842615625:842616974:-1 gene:SECCE6Rv1G0446130 transcript:SECCE6Rv1G0446130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPLVSISIPIPDDLLEEIFIRLPTPDSLACASAACTSFRRVIKGRAFRRRFRALHRPPLLGFMDAAGFHPAQAPHPSAPLAVAVAPCAADFSFVPAVLSSASGVQDGRQGPRWRPRDVRDGRVLLDWISLRPRVKRLCGYFEDGYEVCILTDIGAPKPRGRPTWTERGRCNAADFHLAVCDPLSSKYVLLPTIPEELAAQPQDSLFEFEPVLAPSSSNDGEEQPFKVICIAKYQTKLVLFVFQSATMQWSMVESTISPPLYDMSCFDCVRSCFYWTEPCDWSDRLMVLDTHTLKFSTVDLLTGYHVELRDLDGQSFHDRRRPNAVVLGREGTLEMFSLVGQHGYFALYHTSLQNNSQEWKLEKVVQLPRQYHDFSISTVGAAEGFLFFHGTLESIPFDNVNVDCYSMEVSTYEITKVCCKMENSYDRKRALPYFSFPPLLSEPTI >SECCE4Rv1G0275100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:791797732:791800961:1 gene:SECCE4Rv1G0275100 transcript:SECCE4Rv1G0275100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQHDPEIGAASSAEDSQGGGVGPDKHVGAPQGGGGSDISKAGDSKLYEFKEQLLLLSTLVATVTYGAGLNLPGGSWEQGNPGGHLAGDPILRDTHYRRYLSFYYCNATALAASLVVSLILIILPKNKPAWTVALQIVMMLDLLSLIGAFVAGSCRDAFTTTYALVVFCILVLAIISVFFSFMVKLLIKWASKVRAAASPINTTGVNLKGILTMKRVTTMITTIESLAADSKDRAEKEMVDVLMLLATFAVTITYVAGLSPPGGFWSSAEDGHHVSDPVLQARGRYRAFFVCNTTSFALSLLIIVVLLERKMLGKSMLFGRKKMKFRGNSIPKRLAAPCGLIIVALLGLMGAYAAGSCREADNTFMVLMVPVGVCLLLALVFNWKPLTKMHNLVLEFFKKLAHLGIPSGTYRDTDDDHLQNTRYLVMLLATLVVTITYQAGLDPPGGLWQDDRDGHKMGHPVLQTTHPTRYKVFFYSNSAAFITSLVVNMMLQSKFLLTRHTLEVTLVFDLFGLVTAYGAGSTRDVITSIYIVALAGIVLVYVIVHIIIREHDPDPDDHATLKELDDKRRVLLLVAILAATLTYQAGLTPPGGFWLADDQGLGLRAGFPVLLHHYPPRYHAFFYCNAASFMASVTLILLLVNPKLYRPGIRCYALYVCMLVGTFGLMGAYAAGSSRHLRTSIYVLTLVGAVVAFIALLLVLFRLFPLFDKPKDKVPATPKTAEAGHSSNKTTPKTAEEGHSSNKSSGSKKKEKLQYLMLLGILAASMTYQTGLKPPGGLWQDNNDGHSAGNPILRDINKGWYYAFFYSNSASFMASIVVVVMLLPLTMLPEKDTKSSEKETKSVMLLQLTTLPVKDPKSPEKETNLSKNNTESAEKDPKPTDEGTWPLWPMHTAILLDMMGLLVAYAAGSTRKWETSRNVMVLIVPVLAYIGLYAALSVFYHRKEKPKEDPRVAADTPDGGADQ >SECCE2Rv1G0073940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:72897339:72899598:-1 gene:SECCE2Rv1G0073940 transcript:SECCE2Rv1G0073940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFRFRSPPLSLKLAFAAVSLGVSFAAVSCSSSSPLPSSAASSSPRPSPRTVAADLLAVLAGPRAAARVPAREASRLSSCLRFLSPVNPAATSTTYPWRGSRKFLLEGCDAAEADAMVMWPPAPVLELARLAVDSGGDPGAIHRLLDPTMLPVPDIEGTKKSKCHLTRTPYGRRFADEDINSYFAFLFELIVARGPSVGLNVSLNRYDLFHGHLFLASGTGRLGILFHAKEYPAFDKKSFPYNLGYCQAESDVPYDDSMNLRNILWLAPLPSSDTKAWLAPGVLVVLDAHPDGIIYQDMIRDYVQIVRTIYEDDFGELAVDVNYLNLANAAPADRIFIC >SECCE4Rv1G0216480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:11038566:11040033:-1 gene:SECCE4Rv1G0216480 transcript:SECCE4Rv1G0216480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLQTMDDSSLFMQWAVDTLQHQHPPVAAGYPGGDGGCTFPSLQELRRSSLQHGTAPAGMTVLDGHRHQAADSWSSGDSRENTSASAAVVENDVNCATTCSVGSSNKYLPMSWNFTSALAQPSNEAPATAPSRAHDGPGVTVTEQQQAHVSPPTSRRASPKSAARAGHAPYAQDHIMAERKRREKINRRFIELSTVIPGLKKMDKATILSDAVKYVKEQQEKLKALEDRNRRRVAVESVVLVHKSRAAAVPEDDAGGCCPSPSAGPGAVAGTTGSTTTTGSALPEIEARVSESNVMVRVHCEDGKGVLVRLLAEVERLHLSITHANAVPFPACTLIITVMAKVDDGFSVTAEDIVGMLEAALPAATSS >SECCE1Rv1G0011590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:79506916:79511595:-1 gene:SECCE1Rv1G0011590 transcript:SECCE1Rv1G0011590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATILCGGAVAGHQRSRRRAAPSLHVRGGSALESAHLVCGRKLRPALFPASSFGALPLSSPGRRKLLRTSASAAAPSSDSQGQAKPIGFLERYPALVTGFFFFMWYFLNVIFNILNKKIFDYFPYPYFVSVTHLSVGVLYCLISWSTGLLKRAPMNSTLLKLLLPVAICHAIGHVTSTVSFAAVSVSFAHTIKALEPFFNAAASQFILGQQVPFTLWLSLAPVVIGVSVASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALIVCIPPALIIEGPQLVQHGFKDAIAKVGLTKLVSNIFLAGLFYHLYNQVATNTLQRVAPLTHAVGNVLKRVFVIGFSIIIFGNKITTQTGIGTAIAISGVALYSVIKAKIEEEKKGGGAATAS >SECCE3Rv1G0176740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:448959436:448962140:-1 gene:SECCE3Rv1G0176740 transcript:SECCE3Rv1G0176740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPSDEVSENGHGNGNGTSPPRAKRPRAALISAAQIRDEFAHHDPAVARVNNGSFGCCPASVLEAQARWQRLFLAQPDAFYFDGLQPGLRRSRAAVAALVNAGDVSEISLVDNATTAAAIVLQHAAWSFAEGHFARGDAVLMLHYAYGAVKKSIQAYVARAGATVVEVPLPFPVTSPDAIIAEFHAALAVAKAGGRKVRLAVIDHITSMPSVLIPVKELVAICRQEGVDKVFVDAAHSVGQVPVDVLDIGADFYTSNLHKWFFCPPAVAFLHTRKGGPITAQLHHPVVSHEYGNGLPMESGWIGTRDYSAQIVVPEAIDFVNLFEGGIEGIRSRNHEKVIEMGRMLAEAWGTFLGSPPVMCGSMVMVGMPSCLCIESDDDALRVRTMLRKDFKVEVPIYYNSRQVKVQEMAKDNNSDRVTGYVRISHQVYNVKEEYERLKDAVNKLVAEGFTSAELRPAEKLIMYSTCMLSQETK >SECCE2Rv1G0117920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:777958894:777962464:-1 gene:SECCE2Rv1G0117920 transcript:SECCE2Rv1G0117920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLEDLIRAIELWLRIAKEQVPLVDPSLDPVLLVPGIGGSILEAVDEAGNKERVWVRILAADHECREKLWAQFDASTGKTVSVDEKIRITVPEDRYGLYAIDSLDPDMIIGDDSVYYYHDMIVQMIKWGYQEGKTLFGFGYDFRQSNRLQETLDKFSKKLESVYTASGGKKINLITHSMGGLLVKCFMSLHGDVFEKYVKSWIAIAAPFQGAPGYINSGLLNGMSFVEGWQSKFFISKWTMQQLLIECPSIYELLASSTYHWEDTPLLQIWRESLDNNGKKSAILESYEPDEAIKMIQKALSKHEIIADGNHIPLPLNEDILIWAKETQDILSQAKLPKSVKFYNIYGIDYDTAHTVCYGSKRHPISNLSHLLYTQGKYICVDGDGSVPAESAKADGLDAVARIGVAADHRGIVCDHRVFRIVQHWLHAGEPDPFYDPLNDYVVIPTIFEVEKHHEKCGDVTSVREDWEIISHTDGDEAKRPAKLPAMVGALSASREGKDGLLDEAQATVVVHPESGGRQHVEVRAVGVSHGG >SECCE6Rv1G0402300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:482871713:482872204:1 gene:SECCE6Rv1G0402300 transcript:SECCE6Rv1G0402300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFYYFPSSLETSEIIHRGFLPVVHSSPRNRSSPSPSPRPRRGSRDVAGELGHHYLDACFRCGRTLAGNKDIFMYRGDTPFCSEECRQQQIDTDEAAEKRSKKSAATKTEQQSRRQSPHRVPVWAR >SECCE4Rv1G0267510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736455004:736455518:-1 gene:SECCE4Rv1G0267510 transcript:SECCE4Rv1G0267510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTGAYLDQRLAATKRCSREAAMAGAKAAAVATVAAAVPTLTSVRMLPWARAHLNPTGQALIISTVAGMAYFIVADKTILSMARKHSFEDAPDHLKDTSFH >SECCE2Rv1G0117760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:776168280:776171840:1 gene:SECCE2Rv1G0117760 transcript:SECCE2Rv1G0117760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSGGGAAGAGDPAASSPAPSQPQHPTPRISHIVRTYLDLSSNSKKRRAARKNQLKPGGVESSAARENKGCGPSGTASLEHSRLLRELGIRVSRYTNEERRDIITRYMQKRSGRQGVNRAAAKVPSRQALAERRRRSAGGQFLAKEDPQTADKPEEKAEEEPELPPEVVANSGGVPIIGMVFESEEKACKYYVSYAGNVGFSVRKGLWDKTAKSGCRSRFYVCSREGFRAKNLPKRPCPETRTGCPARFSITLTPSGKYRVTEFVQDHNHQLAGPIDIGMLKSQRLLSKVQSGNGNAISIPPRYKNYVRTKSTKHMNAEDFRALTDYFRSMKSDNPSFYYAIQVDENDKAANVFWADARSIFDYHYFSDVICFDMTYKLNDYSRPLALFWGMNHHRKMVIFGAAFLYDETAESFKWLLETFRNAMCGKQPKTILTDRSAALREALDLAWPGTVHHYCLWQIYQDAVKSLAHVFCISEEFTHDFSHCVFDVEDGQEFVETWNVIMEKYNLKENKLLNELYEDRENWALPYGRQIFSGDIKSMVRAETLGIRMKEYLGCEKELSPFLKFFESSVEKRRQEEIQADYQASQREPRTPLPLLWQAANLYTPINFELFRKEYEECMDCMVYGCGEFGSLSEYMITVKNRTKEQLVRFDSSDGTVACTCKKFETAGILCCHILKVYELKNVKEIPPQYLLKRWSKDAKLGTIHEIDGFSFDTHIGSCVPERHAALCCLFYKIASRAAANVETFSMVASQSDQLNEGIERTLQSTLAAKSSVVHSIKDQLTRMVQTDYPLGNSSEAQKSTGKKMSEATRRGNRQETNRRQKQRKGHPDEAAARPREREVNVTNQFLPDQLMQGHYVLGHNFGLSTSQNLRDDLNHFGQASAVPTLQQQPFPGNGQLTETQAYTGDMHALQFMETNPQIDHENGEEGQSSIPVWDFL >SECCE5Rv1G0301150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29251518:29252130:1 gene:SECCE5Rv1G0301150 transcript:SECCE5Rv1G0301150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQKKAPASMDSSKAPHLLPVTLAKKTPRPDLVERVSAWALLTTCTGLAYVLDHFNVRCSQSSFLRCDQLTDAEEAAVNALGIGLLCCLALQEAAALLALLLPCRRRRRALAYLALVLTIVCHFIMAAIAHILLVADPGDLFFRICSTGGLFVYAAGDIISLWALLQGGEE >SECCE7Rv1G0504430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722742751:722744177:1 gene:SECCE7Rv1G0504430 transcript:SECCE7Rv1G0504430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVNKTKTVCVTGAGGFVGSWLVKRLLSTGEYTVHGTVRDLGERKTGHLKALDGAGERLRLFRADVLDYASVAYAVSGCGGVFHVASPVPADKPQNPEVEVLAPAVRGTQNVLKACSQAKVGRVVVVSSAAAVVMNPGFPRDAVLDEDAWSDEEHCRTTGMWYALSKTLAEREALAHAEKTGLDVVTVCPPLVLGPLLQPVANTSSLVLINLLKGDPDTVEDKARNAVDVRDLADALVLAYESPGASGRYICGAYRKKLSEMAGIVRSFCPDLGSPKKFVEGEDDRMVSSKRLQTLGWKFRAVEECLRDSVESYKAAGLLPE >SECCEUnv1G0564170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:381319690:381322125:-1 gene:SECCEUnv1G0564170 transcript:SECCEUnv1G0564170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQLHEIKVITNNFAKEQKVGSGGYGDVYRATHKGEEIAVKKLHQLQGLDDKQFHAEYRNLREVRHPNVVRLIGYCYETQKKYMEHNGELVFATVMERVLCFEYMHGGSLDKHIQDESCGLEWPTCYKIIKGTCEGLDHLHTSQGKPIFHLDLKPGNILLDESMTPKIGDLGLSRLVASTKTHQTEMRDGTRGFMPPEYVDNGLISKKFDVYSLGVIIIKMLAGNTNYFRCSEMSPKQFIELVTENWTKSLQAKPGYSSHKIGILGVTSCLDIALRCVDKDRNKRPCIKDIVLELEKLEAKIKEMSLPSDVPKDLTVQRSCDTNIMSVDPNMELRFLFEQGKEASCCLQLTNKTGGFIAFNIEINPNKYRVRPSQGTMPPCSRRYVVVTLSAQEAAPPYMRCEDMLLVQSTNITQDLGEMNYQELFETAMENKGVDVVQLPIVYVTLDQYSPHQELRVPLGAKRRVAKFR >SECCE3Rv1G0193300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:768725262:768728362:-1 gene:SECCE3Rv1G0193300 transcript:SECCE3Rv1G0193300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDDEHAMESDTETESDLISELPEDILQKILSYVRIRTVVRMRRLSRKWMELCESLQFIHLDYRDFEHWKDEKFTRFVNNLLLVRRKVDLHTFQLHWDPHGPLNCNDVRMWIGYAVKHNVKVLDVKLCLYDKTVLPPAIFTCRSLQELNLQWGNAPYRDYEHTGLVLPDIINLPSLKKLTLRDVEVDGLSLNRFIARSPGLEDLNLIDSAMHLDLIASKALKRLTLDGFLDDCDGFTIAAPHLISFECTGCSLEAISWRDQPSLESARIDTCGYTFDCESKFTGVLAYAKKLALFGPDIKVMLEKELPACSPFESLTSLEIGEWFLTEDLYVMLRFLQLSPRLEELTLMHRPLDEGAEVDCMPIDGMTFRCPLLESVVIQCTEGHERIEKLVNALVVNGISLDNINISFYDDIERRNRVERIRADEERSKELSIFEKTAKKNPEWVDDDPYAMSESDSEQSDDGLSGEFDDPDDY >SECCE3Rv1G0201680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:866585761:866588745:1 gene:SECCE3Rv1G0201680 transcript:SECCE3Rv1G0201680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGRPLKKARTRAEARDFAGFPAAADGGAVGTFREAVRGFLARHARLLPLPSIFSPAAAAAPPHLLTWRVSLRVGEEGPEEDGGGCVVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIIENDSIQMAGRRRTCCLRCGTPMAAAESRCLLCNFDMEGEELEECGYLHLDDSTHLLHAVVHANGYGHLLRVNGREGGSRHLTGRDIMSFWDRLCKVLHVRKVTVMDISKKHGMDYRLLHAITTGHPWYGEWGYKFGAGSFAHTSDTYQEAVDVLSGIHLALYSSHRSPIRTPLQNTIALYWSLSDRKLVTVRDLFRFIMHLLHQAKAAEISKPAIAEHREVESNVICMWTNEDINRAEAAMLKVLRAVQAGRWVSWRALRGAASKAVDSQELLDYSLRGLRGKLMDDGHFIAVRCNTETSAIEYRLETYSNQSPVDATVFGPSVEHLARDLRFLYDALLNPETMLSSQPEVVGASAHNAAARILDCKQFIKHYDEIAPESPPNPILLAVRCSIELLDHPKDYTAPPVELVLLPATATLGELKMLAARVFQETYLMFHSFQAEQLPEFPNLSDTTPVKHVLGSSQLVRVRGRCTGDHRRIVQFRMERGLENWTVDCTCGAKDDDGERMMACDACGVWQHTRCSGISDFEEVPEKFICRKCASPRKGKGGRGGGGGSGGGRMEMASAGRCKDEIGSSVGGAGKIGRLATVG >SECCE4Rv1G0234580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:291539328:291542909:-1 gene:SECCE4Rv1G0234580 transcript:SECCE4Rv1G0234580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIGAFKPPCDIFVTFSDERSRKQVAVKKDNGKTAMVPAFQSLETIAGEVSIAPVPGKRIEHMGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGEIYERKAYPFEFSTVEMPYESYNGTNVRLRYILKVTIGRNYVGNIVESRDFCVRNYSPLPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEINMFRLDETPQPS >SECCE2Rv1G0103610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:592389460:592393085:-1 gene:SECCE2Rv1G0103610 transcript:SECCE2Rv1G0103610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNGCYMLKSRSIGVADVEFDDPAGGITELPDSIDLAHRQKVSGVWETKVRDNMESTSRSFILSPKDDGFFISGSSKLHESTSEETSVSGKMVTSRPTKDTSGNNGTSKVSRTGSGLGAAGMAGYGRAVEILDTLGCLMTTLSPDGGFISRTTKGTQISILAFEVANTILKGVSVMQSLSEDSVTYFKQVVLPSEGVQTLISSDMGELMQIVANDRREELKVFSQEIVRFGNRCKDPQWHNLDRYFVKLESESVPQKQLKETATVEMQKLMALVQRTTDLYHELHALDRFEQDYRCQLKGSENSNKIEKGDNIQVVKLELKTQRSYVKNLKKRSLWSKTLEQVVEKLVDIVQYLHVEINVSYGTYDGGELSSLVNCQRLGPAGLALHYANTIIQIYSIVSRSGYVPANSRDALYQGLPPRVRLALPNKLRTSSMPRELTIDQIRAMMERTLKWLVPMAINTTCSRGFLRFSEWAKSGTERVGRGPGRPDVIETLYHADKARTEAYILELVVRLHHLVSQSNRPANVKAQSM >SECCE7Rv1G0484860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:350110055:350120817:1 gene:SECCE7Rv1G0484860 transcript:SECCE7Rv1G0484860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATVASTSTAAAYSSLAPNPTARDPVRRRTSYLLVAATNRHVHGRTVTSLRQIHPRDHSANPPRPLFVASAAEAEAEEMAAEASTPAPVESSAKPFAVLFVCLGNICRSPAAEAVFRNLVSNRGLESKFQIDSAGTIGYHEGNKADSRMISTSKKRGIEVTSISRPIKPSDFQIFDLILAMDRQNYEDILSSFDRWQHKETLPDSGPKKVKLMCSYCKRHTESEVPDPYYGGAKGFEKVLDLLEDACESLLDSIVAENENISA >SECCE4Rv1G0265340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725559198:725560700:1 gene:SECCE4Rv1G0265340 transcript:SECCE4Rv1G0265340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVIFSAVVGDMVGRMISLLAGQFKGQQCTETKLRKICHMLVKVYSAVEEAKGKQITNYGTLEWLSELNDGVYQGRYLLDTVGCREQELEDEHADKVVAQPFSFSLFNPAKRVRVAACAMKSLLSGHDVGVPEIDRVVEILETVSGDLKEFLMLLQNCQPIQRPLATNIFVEGQMFGRHVEKERIINFLLHDGGPSTGGKLGVLPIVGGMGVGKTTLAQHACDDERVRNHFPVILYSNFSYTRAMARGEAPFVLGSKHALRDAQKFIESLHVLKEKCLTKRFLMVFEDVDAGKKQMLEELMPILRHGKHGSRIIITTNSRAVAASMGTVQPISLKVMPHQEYWFFFKAHAFAGRDVEEDPRMLAAGKVIARKLNGSFFGAKIIGGVLKAHPNPRFWSKVLRGNIGGLFLLGDGIGYIMDLAENLLPIYADVCKATICKNPFIFQTELARLEDLYQASPLGDNRFAKALLCRSMLPFELLNYAADCNVCGPDYSAESIKI >SECCE5Rv1G0324600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:448625910:448627136:1 gene:SECCE5Rv1G0324600 transcript:SECCE5Rv1G0324600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAYPYGGELTRKHDLVTGQPFDAKIMSAGRKRAREMEILKQRFQSELVAVRALLVKAAAVSIPSAPRAKESPRGFLAEEPPAKKRKASPPVPAINLKKPPTKTKMTASQREMLAEDLELFVAEIPDHIVQLLKKHSCATRPGEMEIDIHALDDAAVVELQDQVDKFARDRERRSNPSPPKVMAEEQEDGEEVDICGGVSPLAIVPQPLLQERHQDGREAMVEEEEEEDVDICGGVSPLPIVPAPLLLVGDETASGSPSSSSADSSDSDSDTDSSDSDSDTDSGSSDSDSDSDEMVDSPAPAITPPTCEQLGRALERQRKEDTSRAREKARQELLQMERTAMPDDTLHREDIKMIGIDEYNTAKPNNLLRQIGLYLKPDDDDDDDDWKKQQQHQIFQEDLEEGEIRS >SECCE7Rv1G0456670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11234803:11235684:1 gene:SECCE7Rv1G0456670 transcript:SECCE7Rv1G0456670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTKLAALGFLVLVSIGFADAARMLASSSSASGGGGGGGGGGGANGGSGYGSGSGSGGGLGSSESGGDWGKKWNFAKGFGGGGGAGGGGGSKGGSGSGSGSGFGTGSAATGSAAAPSGNGYASADGKGGGGGGGGGANGSSGTGAGDGLGKGYGESGVAKAPAPAAGGDGTSYSDAGGSGNGGGGGNNGNGGGAGAGAGQAGSDDTSGGFANGGGSGNGGGAIGGGAEGPSVGVGSGAGSGAGQTGSTGSYGDGYATGMGGGMGGGNGESQNGGTGSGGGSGSGSGSGGYH >SECCE2Rv1G0141960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:937869659:937870038:1 gene:SECCE2Rv1G0141960 transcript:SECCE2Rv1G0141960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRLRFVLNGKMEHLTTHLHRPRTTRSPLSFWGDGGIVPFEPFFSCFSRRSGESSNQ >SECCE3Rv1G0160670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:106613902:106618105:-1 gene:SECCE3Rv1G0160670 transcript:SECCE3Rv1G0160670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSQDIQSTTELQMSPQGTNEVQSNQLNTMATDAPTAYPGSLAVASNDNRKVSREDIELVQNLIERCLQLYMTKGEVVRTLSTRARIEPGFTTLVWQKLDEENPEFFRAYYIRLKLKRQIILFNHLLQHQCNLMKYPAHPNVPLAPMQNGMHPMPVNNLPMGYPVLQQPLMPTPGQPHIDPMVCGLSSGHVVNGIPAPGGYQPIRMNSGNDMVVDTGAPEVAHTGAMSSEMAVSPSSAASSNHAPFTPSEIPGMAMDTSMLDSAFGSEIGNGGPLQLGPDGSSRDSIRSLGQLWNFSLSDLTADLTSLGDLEALENYAGTPFLPSDSDLLLDSPDHDDDIVEYFADAINGSQSDEEKS >SECCE5Rv1G0329600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:518959123:518960367:-1 gene:SECCE5Rv1G0329600 transcript:SECCE5Rv1G0329600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAIPAFFRASPAAASGSGAGAGPSLATSVYETRLGLAALSWSRAAFGLSLRAVLRVGALASSSSASDYGCYDDGPEFDEEATIAVRVRPWLFWRRRGTKRFHVHDRRIDLAWDLTRARFASPGSPEPSSGYFVAVVVDGEMAVVAGDMTEEAYRKTKAQRPTGPGHVLVSRREHVSMRDGGHGRGHKTCVKVRGKEREISLDLVSRGHGKDREMDKEKDKAEVGLSVSVDGERVLHIRRLRWKFRGSEKVDLGGGDRVQVSWDLHNWLFSAREPALTDAASVLAAASPPAHAVFVFRFELGDTGGGEERDTAEAKEKELLDKARRGGAGVLSGYLGRWGRGDWSETSSNGENRRKRGQARRLAKASSSSSASIASSSASWASSSTVMDWASPEEAELHRGDGFSLLVYAWKS >SECCE3Rv1G0207290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:919722527:919723603:1 gene:SECCE3Rv1G0207290 transcript:SECCE3Rv1G0207290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPLRTVHLRRTPSLPDAAPESAAIAVDGGGGVDLARVGRALGLDPASVRLNGYFVSRGPGHVSAAVTWGALLAFFAARGLPTGADPAAPVAVRGRPAPSPPRPDPGVVQSSKRKSGLETENCSKKSKLQHNSSALSKSSEELLSDEITLGLKRRLRLDDMTPSKRIKQVDYNSETQQPVKFSCSFINGHGKRARDDEMVTSLPCKRVR >SECCE1Rv1G0027180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:379229290:379229553:-1 gene:SECCE1Rv1G0027180 transcript:SECCE1Rv1G0027180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNDDNDNGGGGILEGYAIWMGASVVAAFFTSMERFSCIHIHTVDDEGDYDPEEAKDRPLMLSRPQALPEYYYDRSGSSASFAKM >SECCE1Rv1G0008330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:46703897:46705403:1 gene:SECCE1Rv1G0008330 transcript:SECCE1Rv1G0008330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTSAQRIALTVSLFGLLAFLLGVIAENKKPPYGTPIKGKDVVICKFPSDPTIAMGTLSIVALVLAAFIGHIAIFYPYKGKSVPRGALFQSTSLSVFFVIAELVSALAFAMLLWATITEGHHRTSNVHHDMDTLCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARADYLDEDEDDKGEYGQVYAADADGTKV >SECCE3Rv1G0203430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883620690:883622969:1 gene:SECCE3Rv1G0203430 transcript:SECCE3Rv1G0203430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRELVVSFGEMLIDFVPTVAGVSLAEAPAFVKAPGGAPANVAIAVARLGGAAAFVGKLGDDEFGRMLAAILRDNGVDAGGVVFDSGARTALAFVTLRADGEREFMFYRNPSADMLLTADELKVDVIKRAAVFHYGSISLIAEPCRTAHLHAMKIAKEAGALLSYDPNLREALWPSLDEARSKILSIWDQADIVKVSDVELEFLTGINSVEDNVAMKLWRPTFKLMLVTLGDQGCKYYTKDFRGAVSSYKVQQVDTTGAGDAFIGSLLRKIVQDPSALQDKKKLEAAIKFANACGAITATKKGAIPSLPKEDEVLRLMEKA >SECCE4Rv1G0224210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:77822421:77823837:1 gene:SECCE4Rv1G0224210 transcript:SECCE4Rv1G0224210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQESDRTKVLPNDVLAGVLGRLDPRNLATSRCVCKTWRDIIDDHRPLRDIFLPNSLAGIFINFNELNWSEFFSRPSPTKVVGVGERLAARVNDHCNGLLLLYDAVANPIRGWEAPLPPPPPQSLWAECFFENSYLVFDPAVSSDYHVFLIPRIPYIELDIEPFEDPVVEIDPVVLESEWPPTSWTLRVFSSLNGQWEERIFMRQGEAAGIVADLATDGSWDKRHAVYWCGALYVHCEKYFVIRLSLSDNTYHVIKPPKSLESESFPNCYLGNSKKGVYYALLLENGCKLHVWVLNESHEHKEWILEHDKGLKLPPPSLNNGPWVLEDVNYNEDNYIEHYNETNAIEEEFEWNSDNDVSDVENTINKGGYDSILGFHPFREIVFLSRNLSRGLAYHLNTSKIEDLGNLCPKDYGDITGPRGRIEASFMYTPCWVK >SECCE7Rv1G0497990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:634182712:634186766:1 gene:SECCE7Rv1G0497990 transcript:SECCE7Rv1G0497990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARRDRGSATSKPGSSPLDPGAKPPTGSSTTHLRQSVLYSARRGPRGRKGRPVEEHTGEVSSSELGHISADLSQFDALTLNTTPPTLQLSDRVEEHSIEVRSTEPNGTGADLSKDEGISLNVTSPAYESIDGAVVHDSNMDIGVPDSTVAKQPAVREALSPRSEERRRRINQYLAEHTFHDVLEAMVAVKNGFCNPPKKVSSPNNSSEKLALLDSPESPHQVDTQSSQTKIQCGESTKQTVENGKLWMEKEVMKAFKKCIIEGDGLRGVEFKLDKLLQQCFSVETHEKMFHHYNFTVKTKEAGSDKWLSTLYFAQVKEMYDRKFYFCYPLDPNEDGLCYACKNQGMDALRHPAVPIGYEIGQPDAGRPFVDDDSDDDCSINLDNDGFVWAFEQVFCKRAPS >SECCE4Rv1G0280080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:818408552:818413603:-1 gene:SECCE4Rv1G0280080 transcript:SECCE4Rv1G0280080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAEAGERQPWDRFKEEVGIMEEEHGGGGAGVVEELLTREIRKRLGHSHGHGSSSSSSNGNGAHHANAGEEEEEEDHANGGGEEEEEEDHANGREEEEEEEVKVAPEEEEQRQHSKSVFLDPAKVPDIITEVSARYLVTGTMRSVAEKEDTNVTLTPKGKGLEVHENCNGEINHGPSNGKLENGSHSNGSHQVPNDGEPKIEYIFEINKTDIYPTEVEEDKNTAEAQPKVEEYDLEKILDEQETHDLFCPNCKSCITRRVILKKRKRTVRTTPTVEPSKRPNIEGQVPPVPLPDRVEDESPDVFRCLSCFSFFIPTGCSFNIFRIFGRRDADGQPDVLPPPASEDTPPRSENCTSWLLSCFQTGDSPNQPHPADPMTVPLLPGNTTTVTESQSSTSHVYHSHGTVVKPEHPTVESPTQNQADPADSPRTPSLPGIIATPGGATTTTTETNESYVQHSHVTQQLTVDSSSQHQKTTTTVEDNETTTTVTSEKNTTSSTTTTGAPSASPQTSSSTEYFKQDVTEVVTGKMPSGGAIMDPTHPQISYKDIHGTAENGSFPSHTIHTPDFKFDSPIATNITQGFTLRGDIPTSPNHQPGDHVVVPVSGGVNQVAQGPQRRDDWDILKAIVYGGLVESVTSLSVVSAAASSGAKTLDIFILGIANLIGGLPLIFHNIADLRDIRDVDGNDEQVGHYWLQLGRRSKARLHMVMALISYMVFGLLPPVLYGLSFRESNDRENKMMAVAGASLACIALLALGKARVHTRTYFKTLMYYLMIAVSSSGLSYVAGVLITRLLVQYGIIEQGGTSTPPGLLFSHAVGGAQASAWASF >SECCE2Rv1G0068810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25445305:25446305:1 gene:SECCE2Rv1G0068810 transcript:SECCE2Rv1G0068810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFVAFVALASSCLLILHSPGVSGWSDGGATWYGPRHGAGSDGGACGYHGDVEQPPFSAMITAGGSSIFNGGKGCGACYQVRCIGNAACSGFPVTVVVTDQCPGGPCEAEAAHFDLSGKAFGAMAKRGQADNLRNAGSIKVQYNRVRCNWHGLDIAFKVDAGSNPSYLAVLIEDEAGDGDLSAVEVQQRGGGWAPMQQSWGAVWKYNAGSTLQAPISIRLTSGSGKKLVARNVIPSGWQAGKTYRSIVNFQ >SECCE7Rv1G0496720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:615721682:615732447:1 gene:SECCE7Rv1G0496720 transcript:SECCE7Rv1G0496720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAGSRRSPALRVICPKKSVLSSPFPSLLWLVGSPRFLQPVTVAAALRCLRFLSDDGPFSPDLPHEADEIRGLLVRGFDIVGALFVGSPNFESDAGRALELAGELRERLFGERASHGMVGGCVDASTGDIRFLVSESDGSEVVEGQEVLWGDEPGRSLLEKGCLLRCELQLQLPLYLPSDETMSGIEARFSSLIESTAANLRGPHVSYLVEGPTATFDESHHSVILHGNNLNSVSQLPINPNTNKCSAKIVSCSEFLPTKRHDLSSTRENADAIQITVLSNQSFNISKAASPVPMLKYFPAPAPASLRVIDLKLDILCYSSMDLPVAVAVSELVIPGLADQLSIMKKAIVSELLTQQPQLCPYHFVPPGLLIPLTAIYDTRYGEIEEKQSELRRNLHFRLGLPLDRPLLRTSNALTFGAMERRDRSSSKSGSSLLRDVHKEIPSSGVSGGIMSLIDGSYEYYHYLHDGIDDNGWGCAYRSLQTIMSWYRLQQYSSINVPSHREIQQVLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGASCKIINVRSGDELPEKCRELAIHFETQGTPVMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGADDLKKIVNGGWCAWKKSVDSKGRSFFLKDKFYNLLLPQRPNMV >SECCE5Rv1G0375070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:866616748:866620735:-1 gene:SECCE5Rv1G0375070 transcript:SECCE5Rv1G0375070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQPAKGKPHVLLIPYPAQGHVNPLLRLAKALHMRSFHITFVHTEHNHRRLLRSRGPGAVEEVPGFRFETIPDGLPPADLDATQDIWKLSEATRRTCPGHVRELVERLSRTERVPPVTCVIADGAMGFVVHVAKEMGLPAYLFFTPSACGFLAYLNFDQLVKRGYVPFKDENCFTDGYLDTPVGWISGLISNVRLRDIPTFIRTTDAADVMVTVNIKQCELDAPAADGILLNTFEELERTSLAAIRERLPNTITIGPLLSRPSYLPSLTSSLWKEDNICTSWLDKQPDGSVVYVNFGSITVVSTKQMVEFSRGLAGAGRPFLWVVRPDMVRDGSLPEGFVEEVAERGLMVGWCDQEAVLEHRATGAFLTHCGWNSMLESLRAGVPMVCWPFFAEQVTNCKYACDEWGVGIEMARRAGREEVEAAVRGLMGDEVMRRNAAEWKEKAQKAVMVGGSSHGNLERFIQEIARVK >SECCE6Rv1G0447530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850767545:850769046:1 gene:SECCE6Rv1G0447530 transcript:SECCE6Rv1G0447530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGHKTFKILCRAGEEYCLTVRGGEDDDVAVVMAAVDPDDDYQHWYKDMRRSTMVKDEEGYPAFALVNKATGLAIKHSLGQSHPVDLVPYEHEVLDLSVLWTQSKDVGDGFRCIRMVSNIYLNFDAFEGDPVVGDGTVVGLWEWNEGHNQCWKILPWGTDADAPPPAEAYGDDDEYGGYHGGQDQETASGSYGRDDAAPALSSDCTVRIYCSAGEEYSVAARDGAVCLAPTDPSDDLQHWVKDMRRSTSIKDEDGYPAFALVNKVTGEAIKHSRKSHPVRLVRYDPDYLDESVLWTESEDVGDGFRSVRMLNNILLNFDALDAGEGQGGVHDGTAIILWEWCGGENQRWKILPWCE >SECCE1Rv1G0051500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:654437586:654437996:1 gene:SECCE1Rv1G0051500 transcript:SECCE1Rv1G0051500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE6Rv1G0441530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811242649:811249974:-1 gene:SECCE6Rv1G0441530 transcript:SECCE6Rv1G0441530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGVRSYPPERKSESERRERGREGAMERLFETERFGGRALYRLHAVTVAAAILLVLYYRATRVPGSGEGRAAWLGMLAAELWYAAYWVVSQSVRWSPVRRRPFSDRLTARHGEELPSVDIFVCTADPQSEPPSLVISTILSVMAYNYPAGKLSVYLSDDGGSVLTFYAMWEASLFAKHWLPFCKKYNIEPRSPAAYYSESDWHQDLCTAKEWSFIKDMYDEMTERINMAVISGEIPEEIKANHKGFCEWNPEITSKNHQQIVQILIDGKDKSAVDNNEENVVPTLVYMAREKRPQHHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNNDTVRDAICFFLDEEMGHKIGFVQYPQNYNNMTKNNIYGNSLHVLNQVEMGGMDSLGGPAYVGSGCFHRREILCGRKFTKDYKEDWNAGIKDRIEESIYEIEDKAKSLAASTYEHDTQWGYEIGVKYGCVVEDAITGLAIHCRGWESVYNNPTRPAFMGVGPTTLAQTLLQHKRWSEGYFSIFLSKYCPFLFGHGKTKLRHQMGYCIYALWAPNSLPTLYYVIIPSLALLKGTPLFPEITCPWIVPFVYVFCVKNMYSLYEALLCGDTLKGWWNGQRMWLVKRITSYFFGFIDAIRKSIGLSNMTFLVTSKVTDEDESKRYEQEIMEFGSSTPEYVIIATIALLNLVCLLGGLRQMMTGGWNIPLDAIFPQFILCGMLVIINIPFYEAMILRKDKGRIPFSVTLASIGFVLLAVLVPIV >SECCE2Rv1G0098450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:483704430:483711666:1 gene:SECCE2Rv1G0098450 transcript:SECCE2Rv1G0098450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAWHALLPSLVPAKPGIFFLRSRPGRFLSHAHGLRPCGAASSLPPGEYLPPLFSVAPMMDWTDNHYRTLARLISRHAWLYTEMVVAETIVHQKDKLDRFLAFPAEQHPIVLQIGGSNLDNLAKATELASGYAYDEINLNCGCPSGKVAGHGCFGARLMYDPEFVGDAMSVIAANCDVPVSVKCRIGVDDHDSYEELCTFVDKVVSKSPTRHFIIHARKALLSGLSPAENRTVPPLKYEYYYALLRDFPEVHFTLNGGLTTIEQVSASIRQGAHQVMVGRAAYNNPWNMLGHVDSEIYGMPTRCSSRRQILESYQVYGDSIIGQYGISRPNVRQLVKPLLHLFHSEPGNGLWKRKADSTLRHCKTLAQFLEETLDAIPASVLDAPALREPSREQDYFAHVDSLLPPRYAKLANGSYESPALVTASS >SECCE6Rv1G0416550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649194947:649196191:-1 gene:SECCE6Rv1G0416550 transcript:SECCE6Rv1G0416550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPASTPNWSSLLSELLGELIARLPHIADRARFRAVCRSWRSAVRLHVSPRRRLPWVVLLDGTFLTLSDGGIHRTLFGNNTECVGSTGDWIALDSKDEATQTDTYRLHNHFSGATVPLTELDSIIGKVPEDFEIRKVVMRSTPRDLIAITANIWKCPLILCRPGKGVWVPKLLAMPYFCICDILFSGDNMLYAITKAEDLFALHLAEDGDGKPIVTNVKRIIRHAPGHEDDMYDDGMWKRLSDIDSSSNEDAHDELLADDDDTDHDRQHFAFMKDKTFSECEDGAREGWNEIHISRHLVQSQGKLLMVKRERLIAAITPTHHTRKVEVFEANMDACAWVPAECGLGGGQAIFTSYRFSNTVCTGGEVEEDVIYFPDTNDVFDIRSKTIRPLMHMNPLHDRWRATWVFPPNLVV >SECCE1Rv1G0037220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:520244611:520250632:1 gene:SECCE1Rv1G0037220 transcript:SECCE1Rv1G0037220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHMTVFRSLRELFPQVDPRMLKAVAIEHHKDADSAVVAVLDEVMPSMIGSVEVSSLHQEAAVSKDDFFRSLSANHDARETGSSSSAGPVGISSAHHEPAEFQHDLFRNLSANHTVRETGSSSSAVVLMNGLKSGYDVHVDEANGNVDSAIITDIQENVLGELDVTPSLQLMNEQLDFPSCSAPELNDKQCDLAVRDFLNSCNSDHSDNRVGNGGSLWSEYIDESLLGKNGNGSINLNVAQVHGYEVDITGPFGESISDPERKQHALAYEDILKSCYSDYSANQVGNGGYLSTEYFVQSLMGENRRDNINLNVAQVQEQDFDITVPVDDCISQDNPLKLPCYHADVNNSFCSKTSTSVLSTEKDILAPVLDFPISDTQESFVGSGGVLVHEDNNCADIDYNSEDRVEHGDTFLSSSELLPDLNSNHFASMASTHSSHSVSIESLEDSIADAKNKKNDLLPSLELVTKMIEDVELLEEKAKVAKRESSISGTSILTKVEELKEMLNHAKEANDMHAGEVFGERSILTTEARELQSRLQRLSDERNSYLDVIEEMRQTLEERLVAAQQEYEAAVKEKVEKEASAQALLSEQEKEMNSIVEESRKLQKEAEENLKLKEFLVERGRIVDTLQGEMAVICEDVSQLKQIVDERLSWSKLQRSTMSSLSSSLHSSLHKSASSSDRTTETVESKDKHTVAEVARPVSEDRDVDERKVEMLDGCDNEGASSVGEDSSKQQGSNEDGWELC >SECCE3Rv1G0179550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:544087721:544089712:1 gene:SECCE3Rv1G0179550 transcript:SECCE3Rv1G0179550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKPLFSRLLPFSLRLPVRPQHRLLCLATPTDLPDAPTDASAERRRRKRRLRVEPPSSRGPTPQRTPGGPRPSSNPNAVKLPEPASVLSGKRLDLHRRILTLVRENDLDEAALLTRHSIYSNCRPTVFTCNTVLAALLRQARYADLLSLHRFVTQASVAPTVATHNLLLQAYCDCRRPETALEHFRLLLKDDSPVLPSPTTYRILARSLAENGKLDLALELKDGMLERDLIAPDPQVYAFVMGGFVNAGDGDTAVSLYQELKEKLGGGLILDGVVYGNLMKGCFLKGMEKEAMDCYTEVLGEGSKVRFGAVSYNMVLDALGSNGRLDDAVKLFDRMCKEHDPPRRIAVNLGSFNVMVDAYCRVERFQEAIEVFGKMGEKSCTPDALSYNSLIDWLGKNELVGEAEELYKEMGERGINPNEYTYVLLIESCFRVDYVDDAVGYFNKMFDVGLRPNADAFNKVISGLVKVDRIDEAQGFFDLMPEKEVKPNIASYESLLRAYINVARLDDAIKMANGILLDESIVFTDELKALLEGALEKEGRTGDMTKLYEDVETEKAEAAARAAEEKARAEALAKEEEEKKKAEAKAKEESAARASRAAIDAVLGRKSGAENGESSQELSVEDAQVVESNSDTVGAAEQNEGDDQKKESGDTSSQVIASSS >SECCE2Rv1G0103490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:590446024:590446305:1 gene:SECCE2Rv1G0103490 transcript:SECCE2Rv1G0103490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAARLAIIPQEILNIENEKLELEQSLDLIRDPMFLSFVDPVVWIHNLVVWIENHTLDVRNKIRILETRKRALLSEHQSLIVKAALYGDRRN >SECCE3Rv1G0163360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:147198616:147199992:-1 gene:SECCE3Rv1G0163360 transcript:SECCE3Rv1G0163360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTWRALLLLGVVLTAQLCGCTAYVGGGFSVEFIHRDSPKSPLHDPSLTSHDRVLAAVRRSMARSYTVGDPSGGVAEIRSSPYEYLMYVNIGTPRTRMLAIVSTGSNLVSFKCINGTSGPPPAAGAAPVSYVFDTSSSSSYGLVACRLPSCHEVRGTSCDANSICQYHFSYGDGSTTDGILSTETFMFEDAPGGCVGCRDRPQLQLTRVNFGCNTNTNGGNPFLLAGNVGLGAGNISLINQIGAATSLGRRFSYCLAPFSVNASSIINFGARATVTEPGAVTTPLIPSAVDAYYTILLTSVKIGNSTIVPPKRSPVIVDSGTPLTFLDKSLLDPIVGELTKNIKLQRKPSPEKLLDLCYDVGGTIQDWVLEKLFPEVTLGFGGGAVITLKAKNAFVQPQPGTVCLAMSAATDNVAVIGNIAQQNFWVGFDLDKGAITFAAADCATSYPSPPASVSL >SECCE1Rv1G0003880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:15494737:15495951:-1 gene:SECCE1Rv1G0003880 transcript:SECCE1Rv1G0003880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPAAPAPASYWCYSCDRFVRAAAAQDDGADVACPDCGGGFLEEMRRAPPAPAAAAYLRRPRAHHANDLRLRRSRRAAAAAAAAASASGGGGGGGDRAPFNPVIVLRRSALGAPAGDENDGDDQLAAASSFELFYDDGAGSGLRPLPESMSDFLMGSGFERLLGQLAQIEAGGITRARETPPASKAAVESMPTVAIAASHVAADCHCAVCKEPFELGAEAREMPCAHIYHEDCILPWLQLRNSCPVCRHEMPTDTAAARSQAASATAEEETTVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNHGGAPRRISWGSRQSRSTERSAIRRVFRNMFACFGRGHSAGSHASSSQMRPEEMTEASDHSAAFSHGSRSRSMSWRLEDGHAADTMVQR >SECCE2Rv1G0127170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:851509941:851513317:1 gene:SECCE2Rv1G0127170 transcript:SECCE2Rv1G0127170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSSAAVARQTWELENSIPAAASDPDAMDAIYRYDEAANARAHQEKPWATDPHHFRRARISALALLKMVVHARAGGTIEIMGLMQGKFEGDSIIVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVDIGAFRTYPKDYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQIFDLADKLEQAEGQLAHSRFGMLMPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSSKSKASASGTATPDSPVPEPMVEA >SECCE4Rv1G0281250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825616785:825618780:-1 gene:SECCE4Rv1G0281250 transcript:SECCE4Rv1G0281250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAGCMEQWMPTAAMVATNVVIAVMTALLKQALNQGMNRLVLITFRQMLATVFLGPIAYFKERKTRPKMTAEIFAYLFLSGILGPVLLQYTLFVGLEYTTATFAATFGNLLPVVTFLISLAFGYEALEVRSKSGSAKISGTLLSLTGAMTLTFYKGASLTHHHLAQSAAAAGGGEEQHRSAVRWVVGSASMLANVVGFAGWLLLQRRLTHLYPAVYSATALMSLLSFAQAAALALSTQWGAGAAAWRLRGTVEIAAVVYCGVVASGVGYLLLTYCVEKRGPVFTAAFSPLAQMFVAAIDLCFLHEPLYLGSVLGSVLVILGLYLVLWGKREEAAVAAAAAAPAKTVEVAAGGHGDVAEQQERV >SECCE4Rv1G0226230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:106438553:106441143:1 gene:SECCE4Rv1G0226230 transcript:SECCE4Rv1G0226230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWGWPWGRRGLSGFGSASTAEDIAAGIDASHLTAIVTGATNGIGKETARVLALRGANVIIPARTLESGMKVKESLAEEVPSSKLHVMEMDLSSLDSVRSFAGSFNSSHRHLNVLINNAGIMACPFQLSKDGIELQFATNHLGHFLLTNLLLDKMKSTAKETGVQGRIVNLASTAHRRSDGKGFDLNKLNDESKYNAFSAYAHSKLANILHANELSRRFQEEGCDLTANSLHPGIIGTNIARYITTNSVLASIFSLVKPFVKDIPQGAATTCYVALHPDTKGVSGKYFAGCNEATPTSVARDAELAKRLWAFSEELVENRSK >SECCE6Rv1G0396090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:356289402:356293638:1 gene:SECCE6Rv1G0396090 transcript:SECCE6Rv1G0396090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSTFYAGEGLHIDPSWLIDPKLLFVGPRIGEGGHAKVYEGKYKNQNVAIKIVHKGDTPEEVVKRQGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVSLRPRNLEPRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTSCWKEDPSDRPNFTQIVQMLLHYLSTLSPPEHMAPARTFSSENAILPPESPGTSSLMASRGDITPKGNIEDKPRGFFFCFSQCY >SECCE5Rv1G0367230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819835583:819838479:-1 gene:SECCE5Rv1G0367230 transcript:SECCE5Rv1G0367230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKGVPARELPETPSWAVAVVFAAMVLVSVLMEHGLHKLGHWFQHRHKKALGEALEKMKAELMLVGFISLLLIVTQDPIIAKICISEQAASVMLPCKRSLEGLKPSKYVDYCPEGKVALMSTGSLHQLHVFIFVLAVFHVTYSVITIALSRLKMRTWKKWETETASLEYQFANDPARFRFTHQTSFVKRHLGLSSTPGIRWVVAFFRQFFRSVTKVDYLTLRAGFINAHLSQNSKFDFHKYIKRSMEDDFKVVVGISLPLWGVAILTLFLDIRGIGTLTWISFIPLVILLCVGTKLEMIIMEMALEIQDRTSVIKGAPVVEPSNKFFWFHRPDWVLFFIHLTLFQNAFQMAHFVWTVATPGLKQCFHMHMGLSIMKVVLGLALQFLCSYITFPLYALVTQMGSNMKRTIFDEQTAKALTNWQNTAKEKKKVRDKDMLMAQMIGDATPSRGSSPMPSRGSSPVHLLHKGMGRSDDPQSLPTSPRAMEEARDMYPVVVAHPVHRLNPADRRRSVSSSALDADIPSADFSFSQG >SECCE7Rv1G0522770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879168836:879169459:-1 gene:SECCE7Rv1G0522770 transcript:SECCE7Rv1G0522770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAGTDTSFIVLEYAMVRLMQNPHLLNKLTTEVRSTISKGKEMITEDDLSSLTYLKAVIKETLRLHMPAPLLVPHLSMADCKINGYTIPSGTRAIVNSWALARDPNSWESAGEFMPERFLECGSAATMDYKGNHFPYLPFGTGRRICPGINFAIVTIEIMLANLMCHFDWKLPSESIEASICMIESFGVTVHRKEKLLLVPVVPED >SECCE5Rv1G0328520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:508454455:508459231:-1 gene:SECCE5Rv1G0328520 transcript:SECCE5Rv1G0328520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRRHALLLALALLFGAASSVVERRGGVVPRRRQQAVDVGVILDRSTWVGNVSWACIELAHEDFYADARHAGHRTRLKLHLRDTGPDAVEAASAGIDLLKNVRVQAIVGPQTSTQAKFLAELGNKSSVPIISFSANGPLRSGQTPYFIRTAWNDSSQAEAIAALVQKYSWREVIPVYEDDDSNTRFIPDLVDALRQVDTHVSYRCKIHPSATEDDIKSAISSLKDNWTSVFVVRMSHTLARNFFKLAKDEGIMDRGFVWITTYGLTDIFDVVGSPGLDVMHGVLGVKPYVQDTVELHKLSQRWRKKYQLENPGISVNMPAVYGLYAYDTIWALALAAEKAGYVNSDFGPSVTNNGSTDFDRIDTSKAAEKLRGSLLDIKFMGMSGNFSIADMQLVSVNYTIINIIGQKRRVVGYWTPGSGISGSLNVKADLRTIVWPGDNENVPRGWLLPRNKRLQIGVPVKPGFDEFVKFENGKPMGFSIDVFEAVIHSLSYDVPRHYKPFADDKGNSKGTYDDLVYNVYRKEYDVVVGDITILANRSLYVDFTLPYTESGVRMLVPVWDQRQKTAWTFLDPLTADLWLGTGAFFVFTGFVVWCIEHRTNKDFRGPPGSQIGSIFYFSFSTLVFAHRERILNNLSRIAVVVWLFVVLILQQSYTASLSSILTVEQLQPTVTNLEEVIRNGSYVGYLNDSFLPVLLKRLKIDESKMIPLDSPEQYNEALTSGRVAVVIDEIPYLKVFLKQYCHNYTMVGPTYKLDGFGYAFPRGSPLTPDISRGILKFASDDRMVNMQKELYGATSCLDKDDSQTSSSLTLHSFHGLFIITGASSVLALILHAVITIYNNRHEFSSDSSQRSWRRWPAILSKLFHEGDNSSNTPDKNEPAVENVMVQQRAH >SECCE7Rv1G0518370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859050472:859051449:-1 gene:SECCE7Rv1G0518370 transcript:SECCE7Rv1G0518370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPVLAPSMLSDPESATKDFVAALPVREGWSQPLVQFNNYWFRPRLLERILLVKQAFVPRADDIILATQPKCGTTWLKGLVFTIINRSRYGFTDHPLLTRHPQHVVPFMEIPGASTNPTDIHTLPSPRLLATHMPLSLLPPGTRSVGCRVVYLCRDPKDSLVSRLHFENRAFKSTNLSMYGAFSMFCEGFSPYGPFWDHCLEYWRESMARPDNVLFLKYEEIKSDPVPVVRKLAKFLGVPLTEEEENSGVAQEVVQLCSFEKLTSLQVNQAGGVRHGDNIRINNSVFYRKGEVGDWANHMSHKMGEELDLIVQHKLEGSGLVF >SECCE5Rv1G0346600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:662655815:662656126:1 gene:SECCE5Rv1G0346600 transcript:SECCE5Rv1G0346600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE2Rv1G0082890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:158082958:158085329:-1 gene:SECCE2Rv1G0082890 transcript:SECCE2Rv1G0082890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVAPCTASGYLDPSYWDGRFGKEEHYEWFKDFSHFRHLLAPLLSPSLSVLEVGCGNSRLGEELLREGVTGGITCIDLSPVAVQRMRDRLAEQGTSGVDVVVADMLDLPFESESFDLVIEKGTMDVLFVDSGDPWNPNPTTVDNVTKMLEGIHKVLKPDGKFVSITFGQPHFRRRFFEAPEFTWSVEWNTFGDGFHYFFYILQKGKRSPESSGHQVTLPAAPSFNMLHEELESEDYIFRTNVDEL >SECCE6Rv1G0450510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:868170149:868175034:-1 gene:SECCE6Rv1G0450510 transcript:SECCE6Rv1G0450510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAETNLSSPQLEPAKSRETESLLNDDQNWMTALRESVSFRRFLSEPLERDTTGHVLPATMAHVQSPPTAQLDLPIHAAVFGPQSVSSNCCAAHIGSSGPDQSCNPCLPVARSSVSEPHIGGADLYMSLMEPMESIGQSVGSSTPIALEDDANSSFTDAKQLASCAGDSYNTPRKTSSMPMRGSMYVPECDESLEPAVGMVFDKWEAGEMFYTRYAHEVGFSVRRFTQHKGDGGVPVWKRFVCARQGWREEKYIRNDHVKKPRRKVKLTRCGCEAMISLKIRDDGKYEVARFVREHTHQLVSPSKKQFLRSNREVTSELRSTFFTCRKALMGPCQAYRFMTAQKGGPENIGCTKRDLQNYCRDISEIIKDADAQTIIDAMRSKQRINPTFFFDYEQDDENKLTHIFWADGTCRKNYALFGEVMSFDSTYGTNQYNLVFAPFTGVNHHKACVTFGAAFVCHEKVWSYKWLLKTFLKAMGGVAPKLIITDEDQSMKAAIKEVFPQTIHRLCMWHILYKLRQNVGHDLFNDEDFRRQFSACVWGSETPEEFEEKWSRVISHYELESNTWLAGKFGIRNLWIPAYFKGVFLGGLLRTTSRSESENAFFGHFLNRRLSLLEFWIRFETAIEEQRQKELEDDNSTIHTLPLLETTWSMESHARHVYTHTIFLLFQDEVIAARDMRDVLSIEQVGEVKTTRISDLSGKVREVLYNTTTKVAHCSCKLFESIGIPCSHIIIVLKREKFDEIPSHYILDRWTKLATKTVVLDSNGNALEGTVKSLPPAINRLYSDTCTKFNMGMMAAKNCEEKMQYLHKGIADVVDHVLHMGTSSGQTKIQEFESFIGVSIPREINIHPPAIAHTKGSGTKMKQGSQPATSGKKKKRSRNNKNDQEN >SECCE7Rv1G0467950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:93645264:93647248:-1 gene:SECCE7Rv1G0467950 transcript:SECCE7Rv1G0467950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPPKKLVYSFVARGTAVLADHAEASGNFASVAAQCLQKLPPNNNRLSYNCDGHTFNYHIHDGFTYCVVATESAGRQLPIGFIERVKEDFAKKYSGGKAKTAGANSLKREFGPKLKEHMKYCDLHPEEIDKLAKVKAQVSEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFKKQGTKIRQKMWWENMKIKLIAFGIIVLLILVIILTVCRDFKCW >SECCE7Rv1G0522520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878420770:878421042:-1 gene:SECCE7Rv1G0522520 transcript:SECCE7Rv1G0522520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGMFVAIEDLDSIHALVGRLEVQIGSMVDCVELAERDEEAARLAIEEVKKKLEAFMKSVDDLGQHADRCSRDIRQARTVVLQRIIHPH >SECCE7Rv1G0456560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11020890:11022429:1 gene:SECCE7Rv1G0456560 transcript:SECCE7Rv1G0456560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGDAAEWKKVAELRAVVEAQDPAAKEEDDFSLRRFLRARDHNIGKASAMLLQYLAWKHVAKLHGSISDDEVRDEIAKDRVRMQGFDRLNRPMAYLYGARHFPARRDLDEFKRYVTYILDKICTRLPVGQEKFAAVIEMKGWGYANCDIRGYVAALEIMQSYYPERLGRVFLIHVPYMFMAAWKMVYPFIDDRTRKKFVFVADKDLKSTLQGAIDESQLPEEYGGKMKL >SECCEUnv1G0542820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:104232887:104235122:-1 gene:SECCEUnv1G0542820 transcript:SECCEUnv1G0542820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDYHQQQSVIGGRAAVHHGHGGGGGGTVEAALRPLVGGAHGWDYCIYWRLSPDQRFLEMTGFCCSAEFEAQVASLADVPSSIPLDSSSIGMHAQALLSNQPIWQSSGGAPCPDLLAGYEAAAGGGEKTRLLVPVAGGIVELFASRYMAEEQQMAELVMAQCGGGGQGWQDAAAQGFAWDAAAADTGRLYAAASLNLFDGAGGSGSGEPFLAGVQEDGAAGVGWQYAAESSEPPSTVAQEHQQLHGLGVGRADSGSERSDMQLGDPDDNVDGETQRGSGKDGGGKRQQCKNLIAERRRRKKLNGRLYTLRSLVPNITKMDRASILGDAIDYIVGLQKQVKELQDELEDPNPPGVAGGDSKAPDVLLDDHPPPGLDNDEDSPQQQQFPSAGGKRPRKEEAGDEEEKEAEEQDMEPQVEVRQVEGKEFFLQVLCSHKSGRFVRIMDEIAALGLQITSVNVTSYNKLVLNVFRAVMKDNEAAVPADRVRDSLLEVTREMYGGGGAWSSSLPPPAPTKAKLDGMDGQAVPPAAAGEHYQLHHQVLGGYHHHQHLQYLAMD >SECCE4Rv1G0241720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:459429826:459431831:-1 gene:SECCE4Rv1G0241720 transcript:SECCE4Rv1G0241720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFFIGIVGNIISILVFTSPIATFRRVVRNKSTEEFRWLPYVTTLLCTSLWAFYGLLKPGGLLIITVNAAGAALQATYVALFLAYAPRDTKVKMAKVVVGVNICFFVAVVLVGLAALHGAVRLFAVGVLCSALTIAMYAAPMAAMRTVVKTRSVEYMPFSLSFFLFLNGGIWSIYSLLVEDYFIGIPNAMGFVMGTAQLALYMAYRNKKKLASLKEEDEEKGVVHLMGQVELGQTKVPSLKKGLSLPMPSPLPSPLHGFGNLIKALSATPLELQSVLNQHERVGAKEENDDDDHAYLSK >SECCE7Rv1G0494230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:580306577:580307380:1 gene:SECCE7Rv1G0494230 transcript:SECCE7Rv1G0494230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKLGITSLIFSSKQVDSAASTSGAAPSSVASSSSMSASSWQWPSCKQPRTLSFRQQQQQEQHQTAYKTMNSAYLPDSGADSCFSNSFASVDDSLSTASEAASGLVEANERETVIRALRSGRLFFEPHATPATSSSILDEAKLKVKDVDTTTATACCGSRKVHKMAAFEGATAMTMDSSNPYRDFRASMEEMVMSHGVKDWCWMEKMLGWYLRANGKSTHGLIVGAFVDLLVSLSDTASPSSPTTASTANRSSSFSGSDEIKEEEG >SECCE4Rv1G0221630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:50500663:50502256:1 gene:SECCE4Rv1G0221630 transcript:SECCE4Rv1G0221630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGASHGATTAPLLTSTEKPPRNMYAFGCATLASMTTILMGYNLALMSGAQLFIREDLGLTDEQVEVLAGSMNVYMLVSILAAGWVADLLGRRGTLVLANGFLMAGALAMSLGGSYAALMVARFVTSIGVGFALVVAPVYNAEISPASVRGVLSSLLDIFVNVGILLSYVSNYALAGLPVHLGWRVMYAIGVLPPVLLAAGVLAMPESPRWLAMRGREADARAVLVRTSDTAAEAELRFEEIKRVVEAPRDADSGVWRELIFRPSAMVRRILVFVVGLNFLQQALGVDAILLYSPLMFKKAGMSSNNAILGATVAIGVVKTCFILVVSFFSDRFGRRPLLLASAGGVAASLTALALTLCVGETSPASTAACVAFTLATVAAFSVGFGSLPSTVTAEITPLRLRAQGSSLGMAVNRLTCGAVSMSFISLAGWITMPGCFFLYAGMAATAYVFVFLRLPETKGRTLEEMDVLFSK >SECCE3Rv1G0158050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85373296:85373628:1 gene:SECCE3Rv1G0158050 transcript:SECCE3Rv1G0158050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSLLFAMAIVAICAMAGFGAGSRLPIDVNNQDIQSYGSWAVAVHNNLLRDRIKFNKVVRAESDDESELGLLLHLFIDATNADGKDAKYEAVVDQIRGKPDLLDFKPAN >SECCE5Rv1G0331110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:536298922:536301318:1 gene:SECCE5Rv1G0331110 transcript:SECCE5Rv1G0331110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLSLLVAFLVGLHVHGADALSGYQISCGAGGERVAGNVTWVPDGPFVRAGNATDVESSPGMPAMLSSLRFFPDASARKHCYVLPAESKVKYLVRTTYYYGGFDGGDRPPVFDQIIEGTRWSQVDTAADYARGRATYFEAVVRTTGRQVSVCLARNAGTASTSSPFISALEVVPLDDSVYNATDFSSYALSTIARHTFGHDGGDVSHPGDQFNRYWEPYSDGAPVVESQGSVAPAVFWNKPPADVFRRGVTASRGDTLELQWPPAPLPKASYYLALYFQDNRAPSPLSWRVFDVAVNGQAFFAGLNVSTAGSMLYGAAWPLSGQTKITLTPAPGSPVGPVINAAEVMMVVPLGGRTHPRDVIGMEGLARGFANPPSDWSGDPCLPVGNSWTGVSCSQDALARVTALNLTNFSVGGSISDHIANLTAISSVWLAGNNLTGPIPVMSSLHHLSSLHLEDNQLAGPIPPSLGDLPRLQELFVQNNNLQGSIPIGLNRTSITFKYTPGNNLNQQ >SECCE3Rv1G0154700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62128462:62131491:1 gene:SECCE3Rv1G0154700 transcript:SECCE3Rv1G0154700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLASLLGSCANKLKDIIIDEAILILGVEKELAEVLRRVELIQCCIYDAEKRRTKEQAVNNWLGQLRDVIYEVDEILDVARCKGSKLLPDHPSSSSGKSVACKGLSVSSCFCNIGPHRDVAVRIRSLNKKIENILHDKIFLTFNNSTEPTGNGPMCKMIRSSNLVEPNLVGKEIIRSSRKLVDLVLAHKENKSYKLAIVGTGGVGKTTLAQKIYNDQKVKGGFMIHAWISVSQEYSEVTLLKEVLRNIGVHHEQGETIAELQRKLAETIEGKSFFLVLDDVWNSNVWMDLLRPALHETTAGVILVTTRDDQIAMRIGVQHTHRVDLMSVEVGWELLWKSMNIEEEKEVQNLRNTGIEIVRKCGHLPLAIKVTASALSSRELTENEWKRYLGRFIGSQSILLDEIEEALYLSYDELPHRLKQCFLYCALYAEDAVIKREVVTWLWIAEGFIEERQGQLIEDVAQEYYYELIHRNLLQPDIMSFDQANCKMHDLLRQLACNISREECFTGDVETLRGENMSKLRRVTAITNKDKLVLPSMDKVEVKVRTFLTVNGPQSIEDALFKRFLLLRVLVLNCSLVQSIPNYIGKLIHLRLLNLDYTGISCLPKSIGSLKNLQVLSLRWCHDLHTLPSAITLLTSLRCLDLFGTEINQVSEGIGKLKFLTQLRDYPVGNGSNNAVVQDGWKLEELSSLLQMRYLVLVKLERAAQCSTNIVLTDKWHLQKLTLEWTEHGEGSYSEEDVSNTEKVFEQLIPPHNLEALYVINFFGRRYPTWFSTTCLSSLIYLKLTDVRSCVELPPIGQLPNLKYLNIEGAHAVTKVGPEFVGCKKGDPVCDELVAFPKLEWLIFADMPNWEEWSFFEEEVAAADVKGEDGAAQSARLKLLPHLLELQLHDCPKLRDLPQQLGKDTACLKELNLRELNNLKAVEDRPVLSEVLVIGYCEGLERICNLPQVSELRVFGCPNLSHVEGLGSLQQLCLDEDMQEVTSRWVPGLQEQHRRLHGEDLDVYTV >SECCE3Rv1G0184000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:658321176:658324538:1 gene:SECCE3Rv1G0184000 transcript:SECCE3Rv1G0184000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRLAIAAALFLAALAAAADDVQLLDDFRAAVPNREALEGWSARDGACRFPGAVCRGGRLTSLSLAAVALNADFRAVAATLLQLSAVERLSLRGANVSGALAAAAGARCGTKLQELDLSGNAALRGSVADVAALAASCGGLRTLNLSGGAVGAAKAAGGGGGGQGFAALDALDLSSNKIAGDADLRWMVGAGLGSVRWLDLAWNKISGGLSDFTNCSGLQYLDLSGNLIAGDVAAGALSGCRSLRALNLSSNHLAGAFPPNIAGLTSLTALNLSNNNFSGEVPADAFTGLQQLQSLSLSFNHFSGSIPDSVAALPDLEVLDLSSNDFSGTIPSSLCQDPNSRLRVLYLQNNYLSGTIPEAVSNCTDLVSLDLSLNYINGSIPESLGELGRLQDLIMWQNLLEGEIPASLSSIPGLEHLILDYNGLTGSIPSELAKCKQLNWISLASNRLSGPIPSWLGKLSNLAILKLSNNSFTGQIPAELGDCKSLVWLDLNSNQLNGSIPPELAEQSGKMTVGLIIGRPYVYLRNDELSSQCRGKGSLLEFSSIRSEDLGRMPSKKLCNFTRMYMGSTEYTFNKNGSMIFLDLSFNQLDSEIPKELGNMYYLMIMNLGHNLLSGAIPTELAGAKKLAVLDLSHNRLEGPIPSSFSSLSLSEINLSSNQLNGTIPELGSLATFPKSQYENNTGLCGFPLPPCESHTGQGSSNGGQSNRKKASLAGSVAMGLLFSLFCIFGLVIIAIESKKRRQKNDEASTSRDIYIDSRSHSGTMNSNWRLSGTNALSINLAAFEKPLQKLTLGDLVEATNGFHNDSLIGSGGFGDVYKAQLKDGRVVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGEERLLMYDFMKFGSLEDVLHDRKKIGIRLNWAARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLVDENLEARVSDFGMARMMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSTDFGEDHNLVGWVKMHTKLKITDVFDPELLKDDPTLELELLEHLKIACACLDDRPSRRPTMLKVMTMFKEIQAGSTVDSKTSSVATGLSDDPGFAVMDMTLKEAKEEKD >SECCE6Rv1G0408650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:575723601:575727226:-1 gene:SECCE6Rv1G0408650 transcript:SECCE6Rv1G0408650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLRRLPAARSPLMAASRAISDSTAPLTIETSMPFTAHIVDPPSRDVATTPAELVAFFHDMSLMRRMEIAADSLYKAKLIRGFCHLYDGQEAVCAGMEAAITRKDSIITAYRDHCIFLSRGGDLVTAFAELMGRQVGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYRKEDTVSFSLYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPSYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHAIANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLLLAHDLATPAELKDMEKEIRKEVDAAIAKAKESPMPDASELFTNVYVKGFGVESFGADRKELRATLP >SECCE1Rv1G0036430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:509483448:509484264:-1 gene:SECCE1Rv1G0036430 transcript:SECCE1Rv1G0036430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U3-1 [Source:Projected from Arabidopsis thaliana (AT3G63120) UniProtKB/Swiss-Prot;Acc:Q8LB60] MESSTTDAADKHLESYRTLGLTVSQSKKRDTKFPKVLSLLVTYLGRSVQKNEELLGSDGIKESSTIFHGQRVPDLSIQLYAERIFKYAECSPSCFVLALVYMERYLQQPNVYMTPFSVHRLLITSVVVAAKFTDDAFFNNAFYARVGGISTIEMNRLELDLLFNLDFRLKVNLETFGSYCLQLEKHAPVSPERLPVQVHRVNGSKDLGYSSSSADEFCQSKLVTQSYSSQALQGCS >SECCE6Rv1G0426500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712873906:712874342:1 gene:SECCE6Rv1G0426500 transcript:SECCE6Rv1G0426500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWIFSRKGASGFSWASTADQVTAGISAAGLTAIVTGASSGIGAETARVLAAHGAHVVMAVRNLAAGDTVRQAVLAETPAAAVDLMELDLSSLASVRKFAADFAATGLPLNILM >SECCE5Rv1G0309530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:155247179:155247370:1 gene:SECCE5Rv1G0309530 transcript:SECCE5Rv1G0309530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRVAHATLKGPSVVKEIFIGLTLGLVAGGMWKMHHWNEQRKTRSFYDMLEKGQISVVVEE >SECCE6Rv1G0426790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:716000286:716003011:-1 gene:SECCE6Rv1G0426790 transcript:SECCE6Rv1G0426790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVAGSPGTWSGMALRLSQCVFAAASTFFMVSGFGYSNYSAFFYMNLALILQLMWSLGLACKDIFALRNKKDLHTQHNLFFIVMIDWIVAILMFSGACASASLTIFFTWDVHFCEAYPRLACPQFALSVVLAFITWLLQAASSFSGLWLLVSLF >SECCE2Rv1G0132140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888427223:888428480:1 gene:SECCE2Rv1G0132140 transcript:SECCE2Rv1G0132140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRREDDELAIIEALYANERSSANHTRIHTSILTGDRYVREVLEGHELRCKRDFRMEQYIFRNLVQCLRERCHLRDTNFVSVEEQVGIFLYAVSKNATNRTLQGQFQHSGETISRYFHIVLNALMILSGSVIKLPPINVPLKVASNTKFMPYFKDCIGAIDGTHIPISISPNDQDPYRNRKGTLSQNVMVACDFDNRFVHVSSGWEGSASDARVLQDALENNFYVPEGKFYLVDAGYANTPNFIAPYRNVRYHLVEQAKCNQRPQNPRELFNLRHAQLRNHVERIIGVLKKRFPILKCASQYPIDSQAEIAIACCALHNFICSNEGGEQWLDQVESEIDPVKIIDVPSGDMKYTNDIHSLNERRALGSTKRDEIANAMWNDYQDYLRRTRRNTA >SECCE6Rv1G0380530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:23870066:23871624:-1 gene:SECCE6Rv1G0380530 transcript:SECCE6Rv1G0380530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMGSSDSSSGSAQKPPRHQHQPPPPQRGSAPELPPGFRFHPTDEELVVHYLKKKAAKVPLPATIIAEVDLYKFDPWELPEKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILASGPGCGRVREKLGVKKALVFYRGKPPKGLKTNWIMHEYRLTDGSGSTTASRPPPPPVTGGSRAAASLRLDDWVLCRIYKKINKAAAGDQQRSTECEDSVEDAVTAYPPYAMAGMAGAGAHGSNYASPSLLHHQDSHFLDGLFTADDAGFSAGATSLSHLAAAARASPAPTKQFLAPASSTPFNWLDASPADILPQARNFPGFNRSRNVGSMSLSSTADMAGTVHNGGDNAVNAMSTYLSLQDGTYHQQHVILGAPLVPEAAAATSGFQHHVQISGVNWNP >SECCEUnv1G0529280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7037308:7042336:1 gene:SECCEUnv1G0529280 transcript:SECCEUnv1G0529280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:coenzyme A ligase, Lignin biosynthesis, Defense against woundin [Source: Projected from Oryza sativa (Os06g0656500)] MGSVAADAPVMTETVFRSRLPDIEIPAHLTLQDYCFERLPSVAARPCLIDGQTGAVHTYAEVEQLSRRCAAGLRRLGVGKGDVVMNLLRNCPEFAYVFLGAARLGAATTTANPFCTPHEIHRQASAAGARLIVTEACAVDKVRAFAAERGIPVVTVDGPASDDGCLAFDETLLPAEPLAADELVDPDDVVALPYSSGTTGLPKGVMLTHRSLVTSVAQQVDGENPNLYFGEDDVLLCVLPLFHIYSLNSVLLAGLRAGCAIVIMRKFDHGALVRLVRAHAVTVAPFVPPIVVEIAKSDRVTAADLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLSMCLAFAKEPFEVKSGSCGTVVRNAELKIVDPDTGASLGRNLKGEICIRGKQIMKGYLNDPEATKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHAEIKDAAVVSMQDELTGEIPVAFIVRVEGSEISESEIKQFVAKEVVFYKRIHKVFFADSVPKSPAGKILRKDLRAKLAAGFPGSESTQSKS >SECCE6Rv1G0452780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879406475:879406987:-1 gene:SECCE6Rv1G0452780 transcript:SECCE6Rv1G0452780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRMLTSTTTLMVIMCLLILSLSVNSTTANCGCCISFAAKTCCSTCILAGGSDFACKNTCCFPCILADSVVAKMNEMGMVSKMEGLD >SECCE2Rv1G0115880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:758091426:758094196:1 gene:SECCE2Rv1G0115880 transcript:SECCE2Rv1G0115880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSMVGSEGWGQTSLGDMPESCVAPVLLYLDPPEICLVARLNRAFRGAASADCVWATKLPANYRYLAALAAAADDDSSSDGSVEGNGRCCSSAPTKKDKYARLCRPTPFDGGTKEFWIQKSKGGLCMSISSKALAITGIDDRRYWSHLTTDESRFQSVAYLQQIWWLEVAGEIDFCFPAGSYSLLFRLHLGRPHKRMGRRVYDSELIHGWDIKPTRFQLSTSDGQHATSDYHLDGPGHWILYHVGDFVISSSDESTKLKFSMMQIDCTHTKGGLCVDSVFIYPKDHRPEECIRK >SECCE2Rv1G0114080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:729467170:729471502:-1 gene:SECCE2Rv1G0114080 transcript:SECCE2Rv1G0114080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVAINGPTGERALTWSHSQWSTGAAEQSERVRSSKSREPESMGSMATNVEEIGAAAAAPQAVERRLGELRATFESGRTRPLAWRQSQLRGLLRLLADKEEEAFRALHDDLGKHRAEAYRDEVGVLTKSANAALREIGKWVAPEKVWVPLVAFPASAQVVPEPLGVVLIFSCWNFPLGLSLEPLIGAIAAGNAVALKPSELAPATARFLEENISKYLDATAVKVIQGGPEVGEQLMEHRWDKVLFTGCPRVARVVMAAAAKHLTPVALELGGKCPCIFDAVAGRRNLQTSVNRVIFAKWSSCAGQACIAIDYVLVEERFAPTLIKLLKSTLKRFIADLDQMARIVNGRHFKRLSDLLKDPAVAASILHGGGLDAKNLSIEPTILLNPPLDSAIMTEEIFGPLLPIITVKKIEDSIAFVRARPKPLAVYAFTDSAPLKRRIVEETSSGSVTFNDAVVQFGVDTLPFGGVGQSGFGQYHGKYSFEMFSHKKAVLRRGFLVEAMLRYPPWDEHKIAMMRHLFRYDYVRFILTFLGLRK >SECCE4Rv1G0242910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:491159542:491164956:-1 gene:SECCE4Rv1G0242910 transcript:SECCE4Rv1G0242910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLDSAVGAHFSGLRRDSRRLSSSSLPSSPSSATCNGATDASAAAPSGLASPAPRQPFVIGVCGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLSEEESEHVEEYNFDHPDAFDTDQLLECMGKLKSGQSVNIPIYDFKNHRRCSESFRKVNVSDVIILEGILVFHDQTVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVLSVLEQYGRFVKPAFDDFILPSKKYADVIIPRGGDNHVAIDLIAQHIRTKLGQHDLCKVYANVCVVQTTFQIRGMHTLIRDREITTPDFVFYSDRLIRLVVEHGLGNLPFTEKQVVTPTGSIYSGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRAGDNGQQLIYHKLPKDINQRHVLLMDPVLGTGNSANQAIQLLRSTGVPEDHIMFLNLISAPEGIHCVCKRFPGVKIVTSEIDAGLNEEYRVVPGLGEYGDRYFGTD >SECCE5Rv1G0321230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:399166690:399173115:-1 gene:SECCE5Rv1G0321230 transcript:SECCE5Rv1G0321230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTGGAVQVHKNDTVKRTAMARSGLGREGKPIRLLSNHFTVKLSGIDAVFYQYSVSIKSDDDQVVEGKGIGRKVIDKMLQTYSSEFVGKDFAYDGEKCLFTVGPLPQNNFEFTVIMEETSARAVGGSPGHESPGPGDKKRVKRSHLPKQFVVGISYAARIPLRSVALALQGSDSDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDSRNLVDLTGGVSGCRGLHSSFRTTMNGLSLNMDVSTTMIVTPGPVVNFLLTNQNVRDIRDIDWPKAKRMLKNLRVKATHNNMEFKIIGLSDQPCSRQTFPMKVRSGSTEGQTVDITVEEYFKSKQVFLEKPYLPCLDVGKPKRPNYLPIELANMISLQRYTKALSSQQRTTLVEKSRQKPQDRMRVVTDAVKSNRYDDDPIFSSCGIKIDNQLTRVEGRVLSAPMLVVGNSQDCVPFKGRWNYNNKKLFEPVRIERWAIVNFSARCDMSRISRDLINCGRTKGIIIEGPYSLVDEDNQSRRCAPIVRVERMFEKVKANLPGPPEFLLCVLPERKNCDIYGPWKKKNLHEMGIVTQCIVPSTKMNDQYFTNVLLKINAKLGGMNSKLALEHSRKIPVINKIPTIILGMDVSHGSPGRSDIPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLYKPLDNGKDDGIIRELLLDFYTTSQQRKPEQIIIFRDGVSESQFSQVLNLEVDQIIKAYQNMGQGPPPKITVIIAQKNHHTKLFQADSPDNVPAGTVVDKGIVHPKQYDFYMCAHAGPIGTSRPTHYHVLLDQIGFTPDELQSLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMSQFIKFDEFADTSSGSGVPSSSTAATVPELPRLHADVCSSMFFC >SECCE6Rv1G0411690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:609571151:609577139:1 gene:SECCE6Rv1G0411690 transcript:SECCE6Rv1G0411690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSVAPAGALVPSVPKPEHAPSGGDAAAQLQALAAAAADDACAGAAASPSSSPGEGEGAGAGAAEAQGDRDLLCPICMGLIKDAFLTACGHSFCYMCIVTHLNHKSDCPCCANYLTKAQLYPNFLLDKVVKKMSARQVAKTASPIDQFRHVVQQGNDMTVKELDSLMTLIAEKKRQMEQQESETNMQILLVFLHCLRKQKLEELNEIQTDLQYIKDDISSVERHRLDLHRTKERYSMRLRMLLDEPAASKMWPSPMDKPSSPFVPNSRAPPSTSSPGGLNNRRFDLRAPAIHQGHQRRDALASSDPPNPPIQSANVIARKRRVQAQFNELQEYYLQRRRTGAQSHRQEDVTMNREGYHEGLEDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSTVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPKMLVSGSDDCKVKVWCTNQEASAINIDMKANICSVKYNPGSSYYVAVGSADHHIHYFDLRNPSAPLHVFGGHKKAVSYVKFLSNNELASASTDSTLRLWDVKDNLPLRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPASSHRFLSTDLDDAEDDPGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAP >SECCE6Rv1G0381990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:37123317:37131368:1 gene:SECCE6Rv1G0381990 transcript:SECCE6Rv1G0381990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related homeodomain protein [Source:Projected from Arabidopsis thaliana (AT4G29940) UniProtKB/Swiss-Prot;Acc:P48785] MNNSDKKSACSIPRRSSERRKQSSSELVSSAKRPTRHNTSSSYKPDNCSPPKRTVRTVRNVNLAKYIKNKYYRSPLSKRQASNAATGKTATGPVRRRNRKRRRHNTDCDEATRMERRARYLLVKIKSEQNLIDAYSGDGWNGHSREKLKPEKELQRAKKQIIKCKIAIRDIIHQLDLYSSSGSMEDSVMPPDESVNPDNTICSRCKSPESVPNNKFIFCEGACKMAYHEKCSEPPLDKSLPTGGPGWLCKFCLCKMKILEAVNAHLGTSXXXXXXXXXXXXXXXXXXXXXXXXXXXSFFFYASTSFIDIFKEATKQMDSEDGLGQDWLSEYSGDEDYDPEENDFTGSSLDSGEESISDQSNCSGSPLYSPNDDIPGFISADFTDAEGFYHTNSNLGIDSDDDDVAEMLTYQRPKREVDYKRLNEEMFGKLTETEKQSEDEDWGTNNRRKKRRVDSAGVRVKSAEGLSDVKSNEKVQPQRRKLFRIPPEAVQVLRKSFAESELPTREVKENLSTELGISFEKIDKWFKNTRCAALRDRKAEGNSRVAGPSKRSGLSAGKSGSSAKIDSVDNSYLLPVSEIMSVPTHPQGIPERKPESTSRTVRRRVHDKGAPLCPPAEVKESTSPTTKPVLNPSHVADRIINTEHRAASREDPVAFSDDPFFDAILSYPTINTSDRAASREDPVAFSDDPFLDVMLANRDIYTEERVVSHEDVRRPSSDQPFLDVIQNVCGLEHRLQRLKGDMLSSAAAPGGAGAAERDLQNQLVVLVPTAELKDKSQPSI >SECCE2Rv1G0107350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:648191025:648192699:-1 gene:SECCE2Rv1G0107350 transcript:SECCE2Rv1G0107350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSLAFSPHRLTPSSAAVRRRTSSTITMRDRGKNRKPIQRGRYLSTEAIQAVQSLKRATLSGAPAAGAVATDPKLRRLLKADMVAVFRELAAQGEAHLALKVFEEIRKEHWYKPRLFWYVDLITVLASKGLRSEVGKACTYLKREQLEPDTDGFNLLLKTLLDAEFTQLAMDCFRLMKLWDTEPDRTTYITLVKGLESLGEMDLSAKMRLEAESDYGDLWDFFDEEETIET >SECCE1Rv1G0027800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:389347032:389350282:1 gene:SECCE1Rv1G0027800 transcript:SECCE1Rv1G0027800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAKTAMEWLQDPLSWLFVASVVFVVLQRRRRGKAPPFPPGPNQLPIVGNMSMMDQLTHRGLAALAKQYGGLLHLRLGKLHAFAVSTPEYAREVLQAQDGAFSNRPATIAIAYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRPETWVAVRDESAALVRAVARRSGESVNLGELIFNLTKNVIFRAAFGAGAAADGDGGKQDEFIAILQEFSKLFGAFNIGDFFPWLSWADPQGINVRLSAARTALDEFIDKIIDEHMTRGKSPDDVDADMVDDMLAFLPEAKPKKAAGDGGDELQNTLRLTRDNIKAIIMDVMFGGTETVASAIEWALAEMMHSPDDLRRLQKELADTVGLDRNVDESDLDKLPFLKCVIKETLRLHPPIPLLLHETAEDCVVGGYSVPRASRVMINVFAIGRDATAWKDPDTFRPSRFVAGEGEAAGLDFKGGCFEFLPFGSGRRSCPGMALGLYALELAVAQLAHGFSWALPDGMKPSELDMSDIFGLTAPRATRLYAVPTPRLTCPLVADVDVDGTRQA >SECCE7Rv1G0469550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:110557416:110559002:1 gene:SECCE7Rv1G0469550 transcript:SECCE7Rv1G0469550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKEAPGGVEGAADPPLPPPVVLKMVLHCAGCAKKVRNSIKSMPGVQSVVADTAANRVVVAGTADAAALKARIESRTKKPVEIVSSGAGAGPAKPPAAPAAAEKKSPDKEEGDQKNPDKGDKPGSSKPQPPKEEDAAKKQPPTPAEEKKPAELQETATVLLKIRLHCDGCADRIRRRIYKIKGVKHVELEGNAKDEVKVTGTMDVPAMVAYLTEKLNRAVEAVAPGKKDKGAGGGDEKKDNKGAGDGEKKQDKAAAGDHVVMSQDKGKGIEVAGPSMASAAASMAPAPVQARTHHVSPYGQVPYPQPQAPPPSYYNPYGGNADGAGYAGAGSGGYYQQQHHHPNANAGGYYQQQHPGGDAGGYYQQPREAGSYYQQQHPSAGGYYPQENPNPQAYQPYPPPYHFDTAPPSQMFSDENPNSCSVM >SECCE2Rv1G0127240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:851815646:851817889:1 gene:SECCE2Rv1G0127240 transcript:SECCE2Rv1G0127240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKLSLLFSLLTFLLLAIVTAGDNLGTYVIHVQPQDDRLFGTTDDDRKAWHQSFLPEHGRLLHSYHHVTSGFAARLTRRELEAVSAMPGFVASVPDVTYHVQTTHTPQFLGLDTVLGARNLSVGSGEGVIIGVLDTGVFPDHPSFSGFGMMPPPAKWKGRCDFNGSACNGHKLIGARTFITSGDDSASGAAPVAPIDENGHGTHTSSTAAGSVVPGAQVHRQGNGAASGIAPRAHLAMYKVCNENGICAGVDVLAGIDAAVSDGCDVISISLGFSPRPFYNDSVVIGTFAAAEKGIFVSMAAGNGGPSDSTILNEAPWMLTVAASTMDRLISAKVILGDNLSFDGESLYQPGNSVEASLVYAGASSTPSAQFCGNGSLDGFDVKNKIVLCDGGDNFPVDMGAEVLRAGGAGMIVGNQFLEGYTTFPDAHVLPASQVSYAAGVKIKKYIKSTPNPTANISFRGTVLGTSPAPVIAYFSSRGPSVHSPGILKPDITGPGVNVIAAWPLQVSDTSIDPRPTFNILSGTSMSTPHLAGIAALVKSKHPDWLPAAIKSAIMTTADVTDRSGTPILDEQHKAADLFAVGAGHVDPVKAMDPGLILDISPDDYIGYLCGMYKSEEVSVIARRPVNCSADMAFPEYQLNYPSVSVSFPPTWSSSPPISVGRTVTNVGEVSALYYPEVDMPADSRVSVSVLPDKLLFTEANKVQKFRIDVEAKNSSSTAVQGAIRWVSDTHTVRIPISVTFAAH >SECCE3Rv1G0178550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:506193331:506199749:-1 gene:SECCE3Rv1G0178550 transcript:SECCE3Rv1G0178550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYVLEPPTKGKVVVQTTAGPIDIELWAKEAPKATRNFVQLCLEGYYDGTLFHRVIKSFLVQGGDPTGSGTGGESIYGASFADEFHSRLRFNHRGLLACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLALADIETDKDDRPVYPQKILSVEVLWNPFDDIVPRQLKKIQPAPKADAERKPEKKAVKQLNVLSFGDEVEEEENEAASFVKDKIKSIHDVLDDPRFLKVEPQVEQLSKEEEEKKNETVLSIREALISKKADSREPEHDPENDDSPEDENEEDFDNRMRSRILKKRRELGDIRQSQSSKKDKFHQKDKELPAHRSDDDDDDEDDDDDDHQLSKSRKLSLKKKGIGSEANTERMSRGDVNLQLLNPAEQEKHLKKQRKRSLQGREDETLAKLQKFKASLMSNKPANTETKAEEGEDYKEWHANRLTFAPESSKDGMTRKDDPNDYVVVDPLLEKGKQKFNKMQAKLKKRDREWAGRSLT >SECCE3Rv1G0179340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:536613456:536616512:1 gene:SECCE3Rv1G0179340 transcript:SECCE3Rv1G0179340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEAVKAREIALKKLESKDFVGAKRIALKAQRIFPELENLSQLLTVCEVHCAAEAKINELLDFYGILQVDVTADEATIKKQYRKLAFSLHPDKNSYPGAEAAFKLVAEAHSTLSDRTKKPAYDIKWRVASRIAPKQGSELKQATGPKQGTQPKQAAQSKKAAIPKQATVPKQAAVPIPKQAAVPKQTATEQMNKPGANSSVAGGEPPVSSTTAGQAIWTICIHCRTRYQYYSGVLNHRIRCQNCSKYFVASKLNAHDMPSVSTSNSISDVGQQSGFPSQPDCSTKFSPSRLNGDAKPSVNGAQTAEHLKSARAGGEEKVNHAGAGGKRGVEFSTGNMSKSSAPSANDKAGGRMASDPADPDVAYSKHPCSRVVDTPAEPGTTGSPSPRRSARRKANHDASTPVPPSKKRRTLKDWFSNADTSCKKMFDDNVACAGVKTGEAHVPSKVNNEEKGSTAKEGNQESVKKEFTCDASAAKNPCDSAQLTYPDPEFFDFDKGRDVNLFAADQIWAVYDDRDGMPRYYARIKHVDASKSTIRYTWLEHKAVNDEEDRWTDKELPVACGKFNLGKTEASQGALMFSHTVVPWVMGRRGCAYEIYPRMGEVWALYKGWSMQWCSDADNHKTYDYDVVEVLSDFTMEAGVAVFPLVKIKGFVSLFGKAIDRSSFVIPSSELLRFSHNIPFYRTKGNEKVGVAGGFLELDTVSLPSNLDASFPSVTIDKTISSGFIDASDISTPGPGDEPSAQKENQQRGGKGIDDSLEQTPRQKQKGGTASVPGSSQLFRTSPSTIATYPDSEFYNFEEGRSYNKFERGQIWALYSDLDKFPKYYGWVTKVDMEPFRLHLTWLEACPQLEQEKMWLERDIPVSCGTFKLRNWRIKYDSNDAFSHLVETSQVNGQRFEIHPRVGEIWAIYNNNWAPDWVPSSDDACEYAIGEITERTEASTKFSFLTPVDGYTAVFRFDNERGILEIPASENLRFSHHIPSYRLAEEKNGTLRGFYELDPASVPDAFLVRGGTC >SECCE4Rv1G0296560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903571799:903572732:1 gene:SECCE4Rv1G0296560 transcript:SECCE4Rv1G0296560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSATVTVREVLYMYSIAREAFERFISVGGNPEQAQNAVALLVWLDQGTISAIHHVPGLEPGVVAMVAEEANAVLECLRHPVPVLPPIPLISALCMQGGVYIEPSFFAFHQDLVVRGVAHFLDGAGKLVFNDRLNVLLRRYETGLVGNPPELMAPYSPLPVVVPEDCRSMFITFSKDMHLHREEIFEYFREKWGDCVVRVLMEKTTGGNMPMYGRIIFKTEAIVRLVLNGERLVKISIDDRVMWLRKYIPRATNAVA >SECCE4Rv1G0256620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:658507977:658509308:1 gene:SECCE4Rv1G0256620 transcript:SECCE4Rv1G0256620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVAEEEEDMLPSTPGKVKIERAGAMTRQLHRCFASTGTMFLWALFLVAMTATYLSFHSFVDTSSRYFAASWGGLHWERQIRASASPRRPPGSAEGAGLSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNKYYDPSLKKARRALLASHGVFVVEGDINDGRLLTKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVSLLEACKEADPQPAVVWASSSSVYGLNDAVPFSEAHRTDRPASLYAATKKAGEEITHTYNHIYGLSVTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGRDHVDLARDFTYIDDIVRGCLASLDTAGRSTGTGGRKRGPAPYRIFNLGNTAPVTVPTLVSILERYLRVKAKRNVVEMPGNGDVPFTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYYGYNRGTQAFSNL >SECCE3Rv1G0204370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:893341434:893343359:-1 gene:SECCE3Rv1G0204370 transcript:SECCE3Rv1G0204370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGLIRLLVAVLVLALGRAAGGVDPPPACPLDLGYVRTFPWDRAPCAPPVSNVTACCMTLLSVLGIGLAARLRATGLFRLPSAPASAACIRAFSDALASPPLSLPRSLVPACFPVPSQFAISPSYCAGVTTAAQYVATVGGVAAGELNSSCGSNLTDMSRCYGCLAAGIHALARLTAAAGKTSNSLNCFYLTALYAAGVSNSAGPTSPATAACAFGLALSTPSPASSPASGSITHNNIAVATVIPIASVLLVSLIALLVWTKRHDGIISSKNRGLSDERRPSRQRPNTGSVLFDIRELAKATGGFAERNIIGRGGFGVVYRGVLADGSVVAVKKMLDPDVDGGDDEFANEVEIISHFRHRNLVPLRGCCITDADDPDDHGSRQMLLVYDYMPNGSLDRYIFQQQDGAVAVLPWAQRRSVILDVARGLEYMHYGVKPGIYHRDIKATNILLDEDMRARVADFGLARRSRDGQSHLTTRVAGTHGYLSPEYALYGQLTERSDVYSFGVLVLEVMSGRPALDLAEPSGMVLVTDWAWTLVKAGRTREVLAEALLREEECRATVEAMERFVLVGILCAHVTVACRPTMPEALRMLEGDVDVPSLPDRPQPYGQRIPFDLGERNFSASSVLSGPFMDFGDMLR >SECCE7Rv1G0502100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:692923090:692925471:1 gene:SECCE7Rv1G0502100 transcript:SECCE7Rv1G0502100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSEDRVEVSIVEHLPDNGDAGVEEDHLWPTKDGPLPIFLKFENVEYKVKLSGKNPLTAAKVAFSSHMRVDHGGSSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLGGAVKGQITYNDTPYSPCLKRRMGFVTQDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIIAELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLIVILQRLAKSTRRTIITTIHQPSSRMFHMFDKLLLISEGHAIYHGKARDCMHHFSSLGFTPEIPMNPAEFLLDLATGNLEDISVPGLLRDGSPAPQEFRSRVVAYLQAKYRDHGGEEDQAKQPARRPGEQLRLAIRMRKDRSINWFQQFVVLSRRTFRERAADYLDKMRLAQAVGVALLLGLLWWKSQTGNEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTLCDAVPHVVYPVLFMAILYFMADLRRTVPCFCLTLLATLLIVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLRYVSFMHYGFNLLLKAQYHGHLTYNCGSRTGCQRLQSSPSFDTVDLDGGMREVWILLAMAVAYRLLAYFCLLKRITLTPL >SECCE4Rv1G0230990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:174750357:174753410:1 gene:SECCE4Rv1G0230990 transcript:SECCE4Rv1G0230990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFAPQQSSLTMESGESSAASNAAHAAMAVDYAPEVVRCVSSMVDLGGAAGVESQRLFLARRTALEMLRDRGYSVPEDELARTLPEFRAWWSETPEIERLSFSTTLASDESNKVRIVFCPPEPVKIAAIREVYLRIKEENLSCLILILQSKITARARESIKEIFKFKVDVFQITELLVNITKHVLKPKHEVLTAEEKAKLLKQYNVVDSQLPRMLETDAVARYYGLGKGIVVKFTYDSELTVDHETYRCIF >SECCE6Rv1G0416400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:648606226:648611480:-1 gene:SECCE6Rv1G0416400 transcript:SECCE6Rv1G0416400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIVSAATGVMNPLIGKLTTLMGDEYKKLKGVRKEVTFLKHELSAMNASLEKLEFMEKLEPNTKNWRDHVREMAYDMENCIDDFMQDLGGADAKPSAGFVKRTVRRLKTLRVRHRIAGQIEELKALAVEANERRIRYKIDDCNTSSGSVDVDPRISVMYKDAAGLVGTDGPKKEVVNLLTVTEKKLKVVSIVGFGGLGKTTLANQVYDGLEGQFDCKAFIPVSQKPDMPRLLNSLRLKLGINDSSSICEVQDIIVQLREHLANKRYFIIVDDLWDQGAWEILRCAFPENGNGSRVIVTTRVEGVAISACSYHRENIYRMEPLSSEDSRRLFMNRVFGSENMCPSNFEEVSNEILKKCGGLPLAIITIASLLACRKERSRNDWENIRNSLGAQFAINPTLKDMRSILNLSYMNLPLHLRTCFLYLGMYPEDYEIMRDDLVRKWIAEGFVSNSHGTNLEDVGISYFNELVNRSLIQPARDEWGDVYYKVHDMMLDLILSKCAEDNFNSVTCSSEDMTRLSNCTYKIRRLSLMSATDRTNETLSWTVSDGTSQLRSLVWFRACKSIPRLSQLKYIRVLSFEYPNIYMRTHLDLTAISQLFQLRYLKVSDYYCAKLPTEIRGLVHLDTLDVPNGSIPSDIEHLPRLSNLTMGVYGMIGLPERIGIMESLHTLRGFELHRSSLQALEGIGKLTNLRSLKLYNTDGDECNLLEKVKFDALVSSICKLCNLKYLRMIGNRDDMDSILGSVSDPPALIEEMYLSRWKMLGVPKWICDLNCLHSLQLYVSKMKVDGISVLGGLPSLVSLDLTVETCPKEEAVIVSKGLFPVLECLTFSSDEDVTAYLGFEAGAMPKLRELRLQLFAPLWGGATPVGMEHLLDLQQISLTAHHNHKESFEQVKLKVLSAFRNAMELHPRRHSLDINCHGY >SECCE3Rv1G0195610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:800647801:800649740:-1 gene:SECCE3Rv1G0195610 transcript:SECCE3Rv1G0195610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQSRLIMNPNGGVAGFDSAAADEQHKAVLRELTLGHELTAHLQAEALRALRGQGQAEATAALILQEVSRAFTVCIDIVGGSAPATTAPAPPPEATPVVATGGASSRRPRDDGAPRKVTVTSSPYSDGYQWRKYGQKRIMRTSFPRCYYRCCYHRERSCPATKLVQQQQQQPQQHSVGDQTMYTVTYLHEHTCHNMAPPEPEAAARSSTPDPLGFSAGQQQRGSVGLDRGSKEELERQALVSSLACVLQGHQGYPGSGAGTPDDGSPSQGRAGDGASASGLSLDASEDLGLDVMDYDVTDALYFAASSSYGPGGDGMIP >SECCE2Rv1G0092420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:356342173:356344327:-1 gene:SECCE2Rv1G0092420 transcript:SECCE2Rv1G0092420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPAPVVAPVEAPTPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKSIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >SECCE5Rv1G0341110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:620734508:620735838:1 gene:SECCE5Rv1G0341110 transcript:SECCE5Rv1G0341110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVFNWLNRKMHSNAEYCTIDDNKAMEKEDSVRASVAEQDTEALLLRDVLLNGILAIGTLGHNVNSLCPEARREQDEFIVMDEEKVDQEKCEEEKCEDKEEAFATAPSAPEPAIEPAKMHSLSMKEYNFTCSVKEEILVCEVEVEDVAQIQERPLLMVEKVEKVRTTLADLFAAEAFSPSDTGEKSYEKTVIIAGASTSKPALCMEKTHKKKTIKPMPDPLKATRKLSRVVRKMLGKKIHPEQLNGRSSAEEPPLLHA >SECCE5Rv1G0322210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:417404937:417414381:1 gene:SECCE5Rv1G0322210 transcript:SECCE5Rv1G0322210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVSIPAAVRRTIQNIKEIAGNHTDEEVYAALRECDMDPNETAQKLLHQDTFHEVKRKRDKKKESNKESVDPRWRPGTQGRGGKGGRGNYSSRQLSNSSDGPGRNAPAGKENDLNPSIPSPVNPSTETKLSTSTLSSSGGLSNGPTQPLAPVAKYSQATCHLPPSDSKGPADVKGTPEEVVLDLGSHVNNSSIQAPGVGTSVSDPLLTPPLQPHSHGGEIVANKHAVRSQPSAGEYKVVSDDASALPKDTPQSSGSSSTVLPSGSRPSSSYSSRSQQPSGSQKAVPNKEWKPKQTNKPAQAENVTHDDVAVTVEVVPQSVPAPTSINKEDISSGLDKRLGDMQLFDKQHVIIPDHLQVTESEKYGLSFGSFGTSFEQAPSFPNDHGSEKSSILPEYESSQDLEEVAEEPASSHQSASSTVEVAAESGQQQLTAEMTDNISPQEADNLSSTPKVAEFDESKDTAASHMPQDSFQNAYSTFAVPSQSQGNQIPLLETSEYQVQQPNDFSANYYTQIYRPMADADGHISPFTAPGAAMKSGNMPVLPSQTGQTPEELNSVMLSSSGPTPLATPTPGAVPSSISIPQQPLPMFRQPMGVHVPHYQPSFIPYNQYISPFYVPPHALHHFMGNAAFPQAPSPGSMYPPVSSAVAPPVKYSATAYKPGANTGSQTYAVAPGAYGTYGSNPPVYTNNNVVASGTSAENGDVSGSQFKENNIYIAGQQSEGSTVWIPAPGRDLSALQSSSFYGLPPQGQHLAFAPAQAGHGAYGGMYHPAQTLAGAAVHPLLQPSQTIAGAVEMVGPPANGYQQPQHAQMNWANY >SECCE1Rv1G0052330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:660707550:660708896:-1 gene:SECCE1Rv1G0052330 transcript:SECCE1Rv1G0052330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKQWTRVRTLGRGASGAEVFLAADDVSGELFAVKSAAAACASALKREEGLMSCLCSPRVVSCIGGRGARDGSYQLFLEFAPGGSLADRVAGNGGLDERAVRGYSADVAAGLAYLHGAGMVHGDVKARNVVIGADGRAKLADFGCARKAAAGMPIIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWTGMDGNALAALHRIGYTEAVPEVPHWLSSDAKDFLARCLVRQASDRCTAAQLLEHPFLASAVVDAKPQAMESKWVSPKSTLDAAFWESGSDTEEAEHDSTAARRIRALACPASALPDWIDVLSAPTELPDALAVPAKETTGIIVNDDAITSEVESVDAESGFLDIAVGIEHSSSFLDPGAAYEAHPVGSSGSSDQFLKDLVCRRLVSCKLSPVQTILFCNIIINAIDSVLTRTFCRSSVFTAHTCAPRCK >SECCE6Rv1G0429510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736138097:736139201:1 gene:SECCE6Rv1G0429510 transcript:SECCE6Rv1G0429510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQHAASTSTLAAALVVGVLAPIFTEVQSIGVCNGMLGDNLPSRADVVQFYQSQGIGAMRIYAPDQETLRALDGTGIDLMMDVGNGDLAALASDPTVAAAWVRDNVLAYPSVRVKYVAAGNEVDGDDTQNILPAMKNLNDALAAAGRSDVKVSTVVKMSVLASSSPPSDGAFKDAYMTDVAQLLKTTGAPLLANVYPYFAIKGDPNIDLSYALFQQSSTTVSDNGLTYINLFDAMVDAMYTAMEKVDAAGVPIVVSESGWPSAGGVEASVANAQAYNQNLINHIGNGTPKRPEPLETYIFAMFNENQKDGDETEKNFGLFNGPDKSPVYPLSFNN >SECCE6Rv1G0383100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:49965358:49967553:-1 gene:SECCE6Rv1G0383100 transcript:SECCE6Rv1G0383100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSETMQSLHFSYKTHTNKLHIPSLGLALVLLVCLASPTSSCTEQEKGSLLQFLAKLSQDGGLAASWQKGTDCCKWEGITCRQDTRVANVVLASMGLAGYIDSESLGNLTRLQHLDLSHNSLSGPLPLELVFSNSIDILDVSFNRLNGILDELPSSTPSRSLQVLNISSNLFAGQFPSTIWKAMGNLIALNASNNSFTGQIPTHFCNTSPSLIVLDLCFNKFSGSVPPGLGDCTRLRELRAGYNNLSGTLPDVLFNATSLEYLSFPNNDLHGALHDVPITNLRKLVTLALGGNKFSGRIPDSIGHLKKLEEFHLDNNMMSGELPSDLSNCTNLITIDLKTNNFSGELAQVNFSNLPNLRTLDVYLNNFRGTVPESIYSCSNLIALRLDCNKLHGQLSPQISNLKSLSFLSLGKNNFTNITNTLQILKSCRNITSLLIGDNFKGELMPEDDSIDGFENLQVLDMKGCQLSGEIPLWILRLTNLEMLVLNSNQLTGPIPSWINSLRYLFFMDVSNNNLIGEIPLTLMEMPMLKSIGNATHFDPRLFELPLYKGPSLQYRVVTSFPNMLNLSNNNLTGVIPPQIGQLKVLGVLDLSFNKLSGQIPQSICNLTNLQILDLSNNNLTGAIPAALNSLHFLAAFNVSDDELEGPIPSGGQFNTFEVSSFDGNPKLCGSMLVHKCGSAEVPPALLSTKQIDYKVAFAITFSAFFGVGVLYDQIVLSRYLKLGLKFG >SECCE7Rv1G0519050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863164598:863167011:-1 gene:SECCE7Rv1G0519050 transcript:SECCE7Rv1G0519050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQLQQTMTTTSRSFWTSSPATVCCAAAARRSANYAPSSWDYDSLLQLSPNNGGQADQVDKLKAGVRERLVAASRGDHQAAKLRLVDSVQRLGIAYHFEEEIGSILMSVHREPHRCSWDDNASDVASAALRFRLLRDGGFPVFFPPESLKTLKRASDDVHGLLSLYEASYLAFRGEETLDEARSFSKKALIKLLPYLDHHLRRSVVRSLDLPIHRRSPRLEARWFIDHYAREQSNSDPLLVRFATTDFNNVQSVHQEELVGLARWWKGTALSEKLGFARDRLMECFHYANGIAWEPNNGACREVLAKVANLILHLDDVYDVYGTLDELVLFTDAIGRWEESPSERLPEYMQALYSVMYNTSHEVAENVLDQHGCDARHVLQKAWRDMAESFLVEAKWHHENRKPTLREYLDNGSISSSAPLLLQHSFPLLHVEEKLTTMSLAKVGSYPKLVQSASLVLRLCNDSATHSAELERGDAPSSIAIHMSENGSSEQQSREAMEDLTMEAWKLINEDAYKHCQISRSFAKTCVNLARISHCVYQGGDGFGAPDGQKKKQIRELFLDPL >SECCE2Rv1G0068080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22115299:22118363:1 gene:SECCE2Rv1G0068080 transcript:SECCE2Rv1G0068080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFTTQLVALFLLFLSHLVIPSSATIRLGADLLGVAREPPFQSWLRGLRRRIHQYPELAFQEHRTSELVRAELDALGIPYVWPVAQTGVVATISGAGGGSGPVVALRADMDALPLQELVDWEYKSLENGKMHACGHDAHVTMLLGAAKLLQSRKEDLKGTVKLVFQPAEEGYAGAYYILEEGILDDVSAIFGLHVFPHLPVGVVASRPGPFLAAAARFTATVTGKGGHAGNPHDAVDPVIAASSTILSLQQLVARETDPLEAAVVSVTQLRGGDAYNVIPESASFGGTFRSMTDEGLSYLMKRVKEIVEAQATVHRCAATVDFMEEKLKHYPATVNDEGMYAHSKEVAEDMLGEANVKVAPRSMGAEDFAFYAQRVAGAFFFIGVGNETTMDMVRPVHSPHFVLNEDVLPIGAAFHAAVAIEYLNRS >SECCE6Rv1G0379000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11702905:11707621:1 gene:SECCE6Rv1G0379000 transcript:SECCE6Rv1G0379000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTTHPSYFRRHTLSRRSNRPHYFTLIKIRTNKSRAPAPRNREKISRREGGGXXXKKNRRKSGSRVLSVSIEDVRDLGELEEVEAFRQALILDELLPSRHDDYHMLLRFLKARKFDIEKAKQMWTDMLQWRKEYGTDTIVEDFDYTELDTVLEYYPHGYHGVDKEGRPVYIERLGKVDPNKLMNVTTMDRYVRYHVKEFERSFLIKFPACSLAAKRHINSSTTILDVQGVGLKNFSKTARELIMRLQKVDNDNYPETLHQMFIVNAGPGFRMLWSTVKSFLDPKTTSKIHVLGNKCQSKLLEIIDASELPEFLGGTCTCPEYGGCLKAEKGPWKDPNILKKVLNGEAQCARQIVTVSNGTETIISYAKSKHQTLRGSDTSTAESGSEAEDVTSPKALRSYISQPKLTPVREEVKMIRATSFSTRMPEYDIPVVDKAVDATWKRELPRKAPFPSKDSSSLATTTKAPARSLDQIIPALMAFVIAIVTLLRSVKDVATKRLPDKNESDEQSSAIYQDCIPKEEFRPPSPGPGFAESDLFSLVLQRLAELEGKVQALERKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYVDRKTIAKAQKKKKKPMSCY >SECCE5Rv1G0324750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:456044507:456052211:1 gene:SECCE5Rv1G0324750 transcript:SECCE5Rv1G0324750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRTGAGAGVVMAAVVALFLVVAAAADGDGTKMASHDEDANLKASPGESAPPAAGGSAEQFRGKARLPSFAAPRRYELFLRPDLIACTFSGSVAISVAVSAPTRFLVLNALDLSVNRASIRFQALAPTEVVFFKDDGVLVLGFAKQLPLGEGVLKMNFSGILNDQMRGFYRSKYQYKGKERNMAVTQFESVYARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVANATFAGPTKTVHYQESPPMSTYLVAIVVGLFEYVEGMTTKGTRVRVYTQIGKSNQGKFALDVGVKSLNLYRDYFATPYPLPKLDMVAIPDFAPGAMENYGLVTYREVALLFDDKSSSASSKQNIAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLAVDSFFPQWNIWTQFLDRTTTALRLDSLKASHPIEVEIHHASEVDQIFDAISYDKGASVIRMLQSYLGAERFQKAMASYMKKYAYSNAKTEDLWAVLEKETGEPVKDLMTTWTKQKGYPVINAKIKGNDIEIEQAQFLLDGSSGSGMWIVPITSGCAAYDTQKKLLLKLKRDKLVIGSQCGDRKKGGNFFTKLNINGTGFYRVKYDDELAAALQNALETKKLSVMDKIGIVEDLYALSIARQQTFASLLRLLYGYRGEADYSVLSHINTVTTSIARISADATPALAGDIKQLLIKILLSPAEKLGWDPKKGESHLDVMLRPVLLTALVQLGHGKTINEGVRRFNIFTLDRSTSLLPPDTRKAAYLAVMQNVSSSNRSGYDALRKIYKESAEGEERLQVLGILSSCRDKGIVLESLNLIFTNEVRNQDAYILLRGIQPEAREISWNWLKENWERISKKFSGILVANFVKNIVPLFTTNEKAAEISNFFATRTKPEFDRTLKQNLENVRISARWAEGIRSEPGLAQTVRELLGKP >SECCE2Rv1G0083660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:169093088:169096477:-1 gene:SECCE2Rv1G0083660 transcript:SECCE2Rv1G0083660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASNPSKPHATTPTTTRGLAPTRSFIPAEVPAAAVLPHRVPGLPHCLTPVRRRHPPPPRPMATLLSQTLPTLLLLLLVTAVRASGAGGGGERGSLLRFKAAVTADPGGLLRDWSPASADHCLWPGVSCGASGEVVALNVSSSPGRRLEGALSPSVSALRGLRVLALPSHALSGPLPAAIWSLRRLLVLDLSGNRLQGEIPPSLACAALQTLDLAYNQLNGSVPAALGSLLVLRRLSLASNRLGGAIPDELGGAGCRSLQFLDLSGNLLVGGIPRSLGNCSKLEALLLSSNLLDDVIPPEIGRLSNLRALDVSRNSLSGPVPAELGGCLELSVLVLSNPYALVDGLNASDSEDVEDFNYFEGGIPDVVAALPKLRVLWAPRATLEGELPGNWTSCQSLEMMNLGENLISGGIPQGLLDCKHLKFLNLSSNKLTGSIDPSLHVPCMDVFDVSGNRLSGSIPVFLSKDCPSSQLPFDDLVSEYSSFFAYQGIAGFFSSSAVMATDLTSYHSFAQNNFTGTLTSLPIAVEKLGMQGSYALLADGNNLVGELQPGLFNKCNSSRGFIVDVSNNLITGGIPVEIGSLCSSLVVLGVAGNRLSGLIPTSIGQLTYLISLDLSRNQLGGEIPTSVKNLPHLELLSLGHNLLNGTIPNDINQLQSLKVLDLSSNFLAGEIPRALADLTNLTALLLDNNKLTGKIPAEFANAASLTMFNVSFNNLSGPVPTNSSAVGCDSIIGNPLLQSCHTYTLAVPSAAQQGRDLNSNDNDTAPVDPQNQGGNSSFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPFMSARSSGRREVIIFQEIGVPITYETVVRATGTFNASNCIGSGGFGATYKAEISPGVLVAIKRLSVGRFQGLEQFHAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLPGGNLERFIQERPKRPVEWKRLHKIALDIAKALAYLHDTCVPRILHRDVKPNNILLDTNHNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRARDFFVDGLWDVGPHDDLIEVLHLAVMCTVESLSIRPTMKLVVQRLKQLQPPIREHR >SECCE4Rv1G0217070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14257802:14266878:-1 gene:SECCE4Rv1G0217070 transcript:SECCE4Rv1G0217070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQQPPSTSSPPAMSVPPSGHRQVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAALTIQRVWRRYHLIRKVTEQLHEEWEVLINQPDINLTNQWISSKMLRPFLFFITQPSSWYKGQQAKTVKSISRCFKIILNSINSMDQSKNFCSFAVGFPEERSIWLYQAKKLISLCSCILARCDHCCCKDVNMVEITTLTMRLAISLTDCKTWKNLTSENTRAADASLETLIEFIGTRQSGTYSCVRRYIKCFGPHVTPGKIDSAIAPDDQLLITASAVTLALRPFNSTRADMGVDLTGAAKEYFTLILTIPYICKRLPPLLLPALKHISVLQPSLSILLISKDKIFEEISKLEQSEVSNVDNSTIPYCGWALGNLITLATEHDDLSNSGCFIQGLDCCLYVDAINCISQNLLKCFEESKGMLHCIDDRAANNTSITEEADTNDSCRTKTLFMDLLKPIYQQWHLRKLLILAKKDVPCERETNHDLDQRQVKCRSLKLTDIICFYYYMLRIFSSLNPSIGPLPILNMLSFTPGFLVDLWGTLEISIFGQTGHKSQEPEHEKQLAGSSSGEQISSTRQRRNAKDTPKKWANVLHKITGKSNYADDTNLSDSLTSENSNDDALILWDIETMRQGSEGIGKDVMHMLHLFCAIYGHLLLVLDDIEFYEKQIPFTLEQQRKIASALNTFVYNSFLQNSGSGNKPLIDVTVRCLNLLYERDSRHRFCPNSLWLSPARTGRIPIAAAARAHEAAFASLVGTTSGIPTRSSVLTTVPHVYPFEERVQMFREFIELDKASRRANGEVSGPGPGSIEIVIRRGHIVEDGYRQLNCLRSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKAAFSPEYGLFTQTSTSDSSIIPSSSAKLLDNGIDMIEFLGRVVGKALYEGILLDYCFSQVFVQKLLGRYSFLDELSTLDSELYRSLMQLKHYEGDVEELCLDFTLTEELGGKRIVHELRPGGKNISVTNENKLHYVHAMADYKLNRQILPFSNAFYRGLSDLISPSWLSLFNANEFNQLLSGGSQDFDVDDLRNNTKYTGGYTESSRTVKLFWEVIKGFKPTERCLLLKFVTSCSRAPLLGFKYLQPGFTIHKVPCDVTLWASIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLLYAISSNTGFELS >SECCE5Rv1G0314850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:278434827:278442235:1 gene:SECCE5Rv1G0314850 transcript:SECCE5Rv1G0314850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSRGGDRRRLVVLLSLTAVLAFASPLRASASESDHKYKAGDSVKLWVNKVGPYNNPQETYNYHSLPFCQPSENPGHKWGGLGEVLGGNELIDSQLDIKFLRNVERGSICTLELDSKKIQQFADAIESSYWFEFFIDDLPLWGFVGETDKNSENKHYLYTHKNILVKYNDNRIIHVNLTQESPKLLDAGKKLDMTYSVKWVPTDVSFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDLESLERDVNEESGWKLVHGDVFRPPRSLTLLSALVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSAGLYSRNGGKNWIKAMILTASLFPFLHFAIGFALNTIAIFYGSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPERKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYVGSTLFVRRIYRNIKCD >SECCE4Rv1G0231830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:189390646:189393547:-1 gene:SECCE4Rv1G0231830 transcript:SECCE4Rv1G0231830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDHGSKVDISFAGRFTASAIAACFAEITTIPLDTAKVRLQLQKKAVAGDLAGPKYRGLLGTAATIAKEEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKAFYVGENHVGDVPLSKKIAAGFTTGALAIAVANPTDLVKVRLQSEGKLAPGVPRRYTGAMDAYAKIVRQEGVAALWTGIGPNVARNAIINAAELASYDQVKQSILKLPGFKDDVVTHILSGLGAGFFAVCVGSPVDVVKSRMMGDSAYKNTLDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKAFVRKPAT >SECCE5Rv1G0373770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861105586:861111614:-1 gene:SECCE5Rv1G0373770 transcript:SECCE5Rv1G0373770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAAVDPGESTPATAATPHASPSRPDPARRIPVTPRELGFTPFRDPPPPPQEEEEEEEEGALQNSSEGKIQAPECKSLAGSQAVPETEGARTPVSSVKSTHEALAQEAFTAEQHAQLDAQILASADLSQGKPPAKAAIHPVRDPPPLEGALPNSPEEVQIPECKPYARSQALPETVHVRTPVSWVKSTREALTHKAFAYELHAQLDAQILVNADLSQGKSPAKSALRPVRNPPLERALTDSSEEIQTPEWKPYTGSQAVPDTEGVRTPGSSLKNTCEALAHEAFTDEQHAQLYAHILITADLKQGKPPSKAAMVLAFGQPVEGQKHAWEEVWRAAVDRYQNQMSPPGFETPFSSQNGPLEPSPNSMMKLEEIQQKETHSELFDTQTSKWQNQKSPVTGFETPINSVIGNCPAQGLDIQNDSEVKVAVSCAEKVESLCSSTPLESSESLTEQESCVKCGKDGQLLKCSSCFLAAHASCFGPSLRFDDSGHFDCPVCFCRKAAKALEKAKKTYSEARKNLSVFCNGWKQFTEEHIEQSTGNQQRAANFEDQMSGCCTAKKQGNHQSEAAELSCKGEEPSHLLKENGTSVACPEKVATTKKTVFPSSENAKSHGDENSNLCREVPRDRFSSLANRNIGVGKENCLMMNSPNCNFSDRLGGDISSRNISRRKVRFQEMGTVAPNSTWKTLRYQDQSVHSPARKRYYPYPPEYYYSPHTPAMRSPLEPSKTPFTGKTPFTSTTARRKMIFWTEAEEVALREAVAKLAPKGEAAKEKRSISWVRIHEYCKEAIHPTRCPDDLRKKWNRMKNKLGVCPEDGSVGGDDCF >SECCE1Rv1G0029630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:416975923:416978509:1 gene:SECCE1Rv1G0029630 transcript:SECCE1Rv1G0029630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQDEGSTRRPPPPEPTPGQPAGKAPPPITPAQFLSWKQRKDAEEAAEKAEAARKRATDIASGAVQMNGRELFQHEPWVFDNNIY >SECCE4Rv1G0293170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884749215:884752652:-1 gene:SECCE4Rv1G0293170 transcript:SECCE4Rv1G0293170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPWLIRTPFFILVLVVLLSSISSSSSSPTPANSNGGDTDLAALLAFKSQLADPLRVLAGNWTTGTSFCRWVGVSCGRRRQRVTALWLPNTPLNGSVSPHIGNLSFLSILNLANTNLTGSIPAELGRLRRLRYLVVRGNSLSDAIPASLGNLTRLEFLHLGYNRLSGQIPPEMLLHMHNLTMITLAVNDLSGQIPPHLFNNTPSLREVYLGNNSLSGPIPHSIASLSMLEILALHVNQLSGVVPQAMFNMSKLQVLVIAGNYRLTGTFPTNQSFSLPMLRVIHLGNNNFVGRFPSGLATCQYIQRISLAGNSFVDVVPAWVAELPNLKVLRLGSNNLVGSIPTFLSNLTSLTALALDFGNLTGEIPQELSLMQELSTLGISSNQLTGEIPASLGNLSKLSVLTLDNNQLSGQVPTTLGKNAALNMLLLARNHLEGNLDFLSALSQCSQLQVLIIGLLGNLSSRLYEFSAFENQLIDGLPVEISNLSSLVRIDLSDNLLTKPVPESIAMLENLVYLDLSNNNMLGPIPTQMGMLRRLERVSFRANKLSGSIPSSFGNLSLLEEINLSSNQLSSMIPLCFFHLDKLLILDLSNNSFTGAVPADVSYLRQTYRMDLSSNFLIGSIPESFGQLNMLIDLNLSHNFFKGLIPSPLENLKSLASLDLSFNNLSGTIPMFLANFTYLTILNLSFNSLEGDIPEGGVFSNLTLQSLIGNVGLCGAPRLQFSPCLDIPRSNNKHFLPTLLPTLTLAVGAIVIALYIWCRKKLKKGEDMVDVDPTDVTGRQIVSYHELVRATNSFSEDNILGSGSFGKVFKGQLSTGLVVAIKVLDMQLEQAIRSFDAECRVLRMARHRNLIKILNTCSNLDFRALVLQYMPNGNLETLLHRSQSSCRLGFLERLGIMLDVSMAMEYLHHEHYELILHCDLKPSNVLFDEDMTAHVADFGIARLILEDNSMTCASMPRTVGYMAPEYGSLGKASWKSDVFSYGIMLLEVFTGRRPTDAMFGAQLTLRQWVHHAFPSELVQVVDGQLLQGSSISGCSLDDGFLVSVFELGLHCSSDLPDQRMAMRDVVVTLKKIKAEYIKLTATMPHCGTQ >SECCE7Rv1G0507580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:763560048:763561907:-1 gene:SECCE7Rv1G0507580 transcript:SECCE7Rv1G0507580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGDADVCAICLGGMVRGQASFTAECSHAFHLSCISASVAHGNHSCPLCKAPWTVLPAVNAPAQAVAPPPSSSSQQVPRTYDDDEPTVGAHTAAATNGGAVVLRTHCECPAVARAAVRENFAVLVHARAPSSAASEAARAPLDLVTVLDVSGSMTGRKLALLKQAMGFVIDNLGSADRLSVVSFSSGASRLIRLVRMSDAGKAAAKRAVESLAANGGTNIGEGLRVAARVLGDRRHMNAVASIMLLSDGQDTFTAPRRHRGGATPNYISLVPSSLTYTGAGARPPAVHAFGFGTDHDAAAMHTIAEATGGTFSFIENEAVVQDSFAQCIGGLLTVAVQELRIAVTCSHPGVLVRAVKSGRYDNRVDASGRSASVDVGELYADEERRFLIFLDVPGAEDADDVTGLVKASCTYRDAATGQAATVAGDDAVVQRPAEVTDVQPSMEVERERVRVEATEDMAAARGAADGGQHAEGARILRRRLEAVECSAPGMAGDPMCKELEDELRILIASVEDRREYETTGRASFLSGMSSHGQQRAATRQLHTARHAPRLQLQTLCGRMPTAYVTPAMEKMVKKSRQQRQTAAAVAAPPPTNRKRVKEQQLDGDRGSCSGKRQMKH >SECCE4Rv1G0244430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:515154235:515157763:1 gene:SECCE4Rv1G0244430 transcript:SECCE4Rv1G0244430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSGGDGSGGGGGDDQHRHGLKFGKKIYFEDATGTGGGNASSSKPPAGGGRKGKAVAAGGASGAAPPRCQVEGCGVDLSGAKQYHCRHKVCSMHTKEPRVVVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKAPPGPLAARYGRLAASFEEPGRFRSYLLDFSYPRVPSSVRDAWPGARPGYRMPGEIQWQGNLDLRPHTGAATGYHGHHAYSSHGGGFPGPELPPGGCLAGVAADSSCALSLLSTQPWDNTPHGASHDHRSAGFDGHPVGVSPSIMASNYMPPPASPWGGSRGHEGGRNAPHQQLPHDVQLHEVHHPAGSSHHGNFSGELELALQGNRPAPGPRGDHGGSGGGAFDHPGSSSNWSL >SECCE4Rv1G0237920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:365466068:365478488:1 gene:SECCE4Rv1G0237920 transcript:SECCE4Rv1G0237920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPGKFVSVNLNRSYGQSAQSQSGGRPSRPAAPSAAGGGGMVVLFRGRGSSSMAKPQQPKLSVPPPLNLPSLRKEHERFEGATATAGCGVASAPPRSGGAVAGWTKPAPASEKPLGSIPAPSCVSRPPSYGFQEKAVVLRGEDFPSLKAAVAPPPPSPVQHRQKDLDGARAAMPETQPTPLGMRPHVMPSRGAEPLASGGVTCTGLHGSMEKVQTHDLGPLPLVRLRYDADWADDERDTGLSLPDRDSKERGFGRIETTVPGRDLYGVTMEQLKNESWGRDSIAPNKEVGQDGLWRSPMPIHNVERTDGRPYSAGKGSGQLLYHEGITNGTSKDLCNTSKDPAVRAYGQIGTELHGSAQVGETAGECYNDNSNNWYRGKSFQNNPVSKVMPYLGNKGPLVNEPGTKFGRDKWLTGVPVRPLVEHTGFDSISAVSFSSIKKKKETTKPSDFHDPVRESFEAELDRILRVQEQERQRVVEEQVRAREIARKQDEDREKLIREEEERRRLVEEEAREAVWQAEQETLEAARRVEEQRITREEEKTRVAMEEERRREAARQKLLELEARIARRRAESKMSDGNLTSGANDKQTLGALKDRDVSRCNNAGERHDISRLGECINTSMSSVASSLNRYSDTVPRTLNTMGDGNSGLVDRERAYHSARAAFEDQENLHYSPRCDALGTKRGSFPKNYSYDGFRASSVGPSSRDQINDSPWALEDYSQGRVPRWDAPRENNCFDKQSEFDTHFFNSDRFGDAAWLPSGSYGSPNAQQGERMFQNSEANDFSSFTKSCYAMRQPCVPAPPAVTSMHVSAISASIQRANSSLIHDVMRESSGRDDEQIMQSQYGSAYQEVSRQHGTPAEGIVVNEQQNGDRASPVLGSQSSLSVSSPPRSPPHVSHDEMDVSGDSPALPTSADGDRTVVSDNEQAASTSDAANISRIATSSTVSHMEDDEWPSKHNESKQKQDVYDEEGNSYEEDEINDGDGDTLDLANEFTDVHLDLDDEFADEHNTTAEMEPVILGFDQGVQVEIPLNSELELTSVKSTELEVGVHSGVLEQELRCGSVDPCDLVTLQDLALDQTNALTDESNVDPSGSTAVSSSKLPQASFAPPIDSSTSAVIDQNEVPVGLQFGLFSGPSLIPTPVQAIQIGSIQMPIHLHNQINPSLAQLLPSSTPLFKFGQLRHVRRIAQNVRPHSQAVPSVQPPAPTPHISKQNGSSGIPNEMDRNANQNTPRESNLHQRNESEINRMADLNEFHSRLDRTSIGENASFGLSKGDSQRNNDLSSKRNHKSSFNNTESSQVGSYGKALSVLKAGAVSGGSGRRYGYAVKESNMGSIGSAVEPFHKDSRGFPRRSRRNIRRTEFRVRANVENNEALASECHDEQNENPVPNGLAREIPARNVNRMEGTNEACDINGADSSSTSAHYYSKTERIAQKAPSYDRSHCGYKKSRAGGIPEGDAITSLHAGVVRIVKQQGVEMPVDADGFIEVRSKKQIMSVRREQREKENRSKIRMAKAPRKQHQMSLHSSSSSSIYKGTVSLGGEPAKKVSSGSVLAVEGRVLDHAEPSSSFMGDTASMPPIGRRPSANTGPRTNYHAKKSIRSQATSDLITSSAATKLVACFSESNNKTSSIGTPFYMGNWDNSQTNQQVMPLTQIQLEEAMKPAKFEQGGSGFPLESNNALSPTVTTDMAYTSSASPINSLLAGEKIQFGAVTSPTVPAPITRTISNGLGAPGSSWPEMKIDRNLPGDNSSAAVLFDKEKATTKDECPNSEEVEAQAEAEAAASAVAVAAIFTDEAVGTAASASDKNSFSSKDLTGLTAGGAITGQPGQSSREEPLTVALPADLSVDTPSISLWPSLPSPQVPGPTLSQFPIAQPSHFSCFEMNTMLGAHPFAFGPSDESAGTLGQQPQRSNALPSAQLGAWSSIVDSFYRPPTGFAGPFISPGGIPGVQGPPHMVVYNHFAPLGQFGQMGLGFMGPTYIPGDKHPDWKQNQGPSVGISQSDQNNQNVLPGQVTSPSFPTQVPHLRATSIMPIPSPLTMFDMASFQSSAKIQVQPCWPRVPMHSVPLSVQLQQHPIDGTVASQCVDNVAIDKSSTNERFQVHSTSDSNKSFPNMAASQSSDVKQPASSSSDARTIETSFVRAGVIGNEVPNSNPKSGQVDKMPSKPRQSSLPSDQQFKHPVNNNQDRPARVTQRTGTVNGWQRWSGYPGRSSGSDKKHGTGRMKQIYVAKSSSTSSQTPSS >SECCE5Rv1G0322190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:417138091:417139386:-1 gene:SECCE5Rv1G0322190 transcript:SECCE5Rv1G0322190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTGKKRGGRKPKPKPKPKTKTPSSSSSPTSELPPPPPETKAAEVLTGEVLRNVLRRLSLVDLLRAALACHYWRRVASHCLPRAPPLLGYFHHPVKTSLPPPFKQKHQPLQDAVFVPLGSSSPLSSLDFAPGASRFKLYDSHQGLVLLEPTAALPGGILPRFLVLDPATRRRALLPPPPRSTVPDDRLWRPSRFYIGSALLSRAHPSKLCFEVVCFAIDDGHPRAWVASVDEGRCRWRALPRAVDIEVDFEPWCFERRCVHAAGKLYWHLCNSRRVLVLDPSTLRFSYMLAPAALSDHFSKYRVGEMPEDGQLCIATVENQMMQLWVRGETRWSDNGWHLEREMNLSKLYDAVPGVPRDRRARLASIWLTDMDAGRTGKLFIQMLGYGRYSFDLKTCKLERLAMEDGKEYGDPIFAYSLAWPPAFLAEA >SECCE2Rv1G0083750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:170464654:170473298:-1 gene:SECCE2Rv1G0083750 transcript:SECCE2Rv1G0083750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAAPRVGLLYDDRMCAHATPDGEKHPENPERLRAIRRKLDAEGVASRCVDMKAKEAKEKYIASVHTPNHIKLIRDISSKEYDYRRNKTARKFNSIYFNEGSSESAFLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHNEPMGFCLFNNVAIAANYLLNERPDLGINKILIVDWDVHHGNGTQKMFYSDPRVLFFSVHRFDYGSFYPCEGDASHCFIGEGAGVGYNINVPWEHGKCGDADYVAAWDHVLLPIAEAFDPDIILLSAGFDAAMGDPLGGCCITPDGYALLLTKLLGFAKGRIVMALEGGYNLKSIANSVCACTKVLLGDNFTYNSPRMQPFESTWRVIQMVRDELKAYWPVLSSKLPDNVPLRSTPSYIEPYASSGSESDVEDVSELPDAISSVNVTQVDDDVLSERITMLKLDEDNLATKNTSSDSVQAQDDGPVVASTRIYDLPFTWRSALSKVYVWYASFGSNMWKPRFLCYIEGGKVDGMSISCFGSHDTSPPRGTMWKTVPHRLFFGRSSTPCWGTGGVAFLNPEINYNDESYVCMYKITLEQFNDVLFQENRLVKDNSEDGKLESPSSPLIGLSEIESISSNKALHLEPIKDSWYSNVLYLGEEDGLPILTMTCPSAAVARHKSGELALAPPSETYSATLIKGLVEGKQLDANEAANYITAAAARSL >SECCE7Rv1G0462910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:49820822:49821421:1 gene:SECCE7Rv1G0462910 transcript:SECCE7Rv1G0462910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRKASVVRSLLIVTLVVAAVASSVPVARGGEKAPPTCLNEYSKLCGTGEKKDAVCATMVDKACRTEASSVARIEHLFVELSSIGEKVLQFNMLSTSGGQDALKESVDKHGGCPGNKTVYVYSTQCSADCRKACTDGACSDQCDMDCPYIAARFGYIMATPANKKAIEEDMECFKRCSKDGTNDKCRVACKLAALGP >SECCE2Rv1G0069230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27430949:27435053:-1 gene:SECCE2Rv1G0069230 transcript:SECCE2Rv1G0069230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEADAAEMTGPLLVGAPSAAEAVPPWREQLTVRGVVVSAILGVLFCLITHKLNLTVGIIPSLNVAAGLLAYVLVRTWTAALDMFGVVSKPFTKQENTVIQTCVVACYGLATSGGFGSYMLAMDQKTYELIGTDYPGNRAVDVKNPSLSWMIGFMFVVSFIGIFCLVALPKVMVINYKLTYPRGTATAMFINSVHSATGDELVEKQVSCLGKYVSISFLWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTFYFDFGPTYIGCGLICPHIVNCSALLGAIISWGFLWPYISTKAGEWYPANIGSNDFKGLHGYKVFISVSLILGDGTYNLIKIIYATIKEIVSARSKQGRLPLVWVHDDDKNSKLSVEEKLLNHVFLKDSIPPWLAGFGYVGLATISTATVPMIFPQLKWYLVLFAYVVAPLFAFCNSYGSGLTGWNLASSYGKIGLLIFASWVGQHGGVIAGLIACGVMMSIISTVGDLMHDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWFYWTSFDIGNPDGMFKAPYAIIFREMSIMGVEGFSVLPQHCLAICSFFFFGGMAIKLVRDVTPNSVSKFIPLPMAMAVPFYIGAYFAIDMFIGTVILFVWQRVNRKESEEFVGAVASGLICGDGIWNVPSAILSIMRIDPPMCMYFKPSLTYG >SECCE7Rv1G0475460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:188550189:188550584:-1 gene:SECCE7Rv1G0475460 transcript:SECCE7Rv1G0475460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYDRRGESSVLEAFTLSPLPYPVILILMMVTLLLGASWFFSYEEFMEEASEQFSWILLGVPIALVLLIRWISSVDTFEGYFGFYPTESRWRGYPAAPSEGSSPWGVAMVVLLLLVLASFHSTFRDMWKA >SECCEUnv1G0548340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:182849459:182852573:1 gene:SECCEUnv1G0548340 transcript:SECCEUnv1G0548340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANKPPQQQEEEHKAMSKEQTGTKAVSEEAESSSSEAGDGEEEAGAAHNHRGWKAMPYVIGNETFEKLGTIGTLSNMLVYLTTVYHMPSVNAATLLNVFSGTSNLATVLGAYVSDTYLGRYTTIAAATMSSFIGMLILTLTAAIHTLHPPACNVSKGQQCQGPTGTQLSAILVSFFFLVVGAGGIRPCNLAFGADQFNPRTADGRRGIASFFNWYYFTFTVAMMLSATVIIYLQSNVNWALGLAVPAALMGLSCAVFFMGTRLYVRVRPEGSPFTSFAQVLVAASRKRHIRRARGDAELFDPPHQSKLVSKLAYTDQFACLDKAAVLTPDDVLCIDGRTPVDPWRLCTVQQVEEVKCLARIIPVWSSGIVYFIVLTQLGTYVVLQAAQMDRRINKSSSFKIPQGSFVVFQMLALTLWIPVYDRFVVPVLRRFTKREGGITLLQRIGVGLALSVATMVVSAAVEQRRRKIGSSMSCFWLVPQQLLAGLSEAFGAIGQIEFYYRQFPENMRSVAGALYFLGFAMASYASGLMVMVVHRATRGRDGQPDWLAQDLDEGRVDLFYLVTAAIAAVNLVYFVICARWYRFKKSDDAGAGDVELDDDSPKKSGAGLA >SECCE4Rv1G0286740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850282018:850282521:1 gene:SECCE4Rv1G0286740 transcript:SECCE4Rv1G0286740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALRPIMPATAAAASTTTSLHRARRGSPTLLRRRQPVTCMAESSGGGNSTVELAAGAAGLASCATVAWSLYTLKATGCGLPPGPGGSLGAAEGVSYLVVAGLVGWSLTTKVRTGSGLPAGPYGLLGAAEGVAYLTVVAIAAVFGLQFFQQGSLPGPLPSEQCFG >SECCE5Rv1G0338910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604073762:604076631:-1 gene:SECCE5Rv1G0338910 transcript:SECCE5Rv1G0338910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAWAALRSVVKPCIAGSVVGLTVSDRYFSVAAIRGASMYPTFEGSTGECALVERLCLERYDFSRGDVVTFVTPVDHQRKAIKRVIGLPGDWISVPETEEIRKIPEGHCWLEGDNGSVSQDSRAYGPVPLGLVQGRVTHVVWPPRKIGRVDKWVPEGRVMPQRNL >SECCE7Rv1G0456650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11188578:11192189:-1 gene:SECCE7Rv1G0456650 transcript:SECCE7Rv1G0456650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSGKRTSQHRDHDREDRDQKRRPAHNQETSGTDELVVYRILCPDKVIGSVIGKGGKVINSIRQQTNAKVKIVDPYPGADKRVVLVYCYVKHRDVMISDIDADDDDREPVCAAQSALLKVHDAIVDALAITSDSDDKEANILVPASQAASVIGKSGAVIKRLRSVSRSFIKVKPKDPSDVTHSCAMSFDNFVQITGDTRAVKKALFAVSTIIYKCPSKENIPLETSIDEHPPTIILPSELPVYPSSSLYSVSDASMPSGHPSLSILGARSRGSHVPEFTVPTDAHGGLPIYQSMIPAIPTYNTPKCSGELLVRVVCPGDKIGLVIGKGGMTIKNIRKESGASVDVDDAKNDKEESIITISSTEATDDVKSAAVEAVLLLQAKINDETEDRMHLRLLVPGNVIGCLIGKGGSIINDMRNKSKAIIHISKGTKPRKASSSDELVEVFGEVDKLRDALVQIVLRLREEVLKDSVGRQNSGKDGKLTVATTEPVYSSSFPMPALLPYSQQVTPPLRHEQRSEAERDSNVFPRSSLYHGYSPRQAVDDGFGIRSSYTSKPYGRRVPDMEMFIPSSGLSKVMGKHGTNLDNIRKISGADIEIIESKSSRHEHVANIFGTPEQRQSAENLIKAFIMST >SECCE4Rv1G0259280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:684475756:684477862:1 gene:SECCE4Rv1G0259280 transcript:SECCE4Rv1G0259280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVNQGWTSSEVDEARSLIARLNTNKIMYDGNDEKNTKHNYIVNSLHGVFPSKTMKQVIDLYVDIAIEMHSIQSREVAHVTSGSPQNSFTFRDPVNGNYELPGEENSASSTHGVYTMGDHANENFGVRDEEATIMDNNGLSFGCAMEDTGITVTGEEPLMVDNNKMEVLENNISIDQPIVAPHHWGFWTGGEHSMGGLVNENFMVQEDEDTAMDDNGFSYCCELEDTRITKTEEAPMMVDKNKMVVLENNTSNDRPVVAPHQRKLWTKEEHKSFLYGLEVYGRGDWKNISKHFVTTKTPVQVSSHAQKFFKRIQKKASSGTKRYSINDVRLDDNELLAANNISAPRQALSFTGLNNDPSFKLQAPMSSCTVMNNLTQCSPSIYNQQVGQQPMWSEQEMMGSVAAVMDGVGNYVPDGQQGSAYFYLGNI >SECCE4Rv1G0293740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:886900863:886902020:-1 gene:SECCE4Rv1G0293740 transcript:SECCE4Rv1G0293740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRQRVLSHLLCAAPAPSTSPFLSLRRLLSAAAPAISPNPSFAVEEYLVDACGLSRAQALKASAKLSHLKSPANPDAVLAFLAGLGLSGADVAAVVARDPQILCAGLETTLAPNVAGLTGLGLSQAEIARFVSLAPDKFRRRSVVSKLEYYLTLFGSIDNMVRPLKHGSGFLGSDLERYVKHNMKLLAECGLGACDITKLFIREPRMLSAKPERVLEMVACAESIGVPRGSRMFRQALHAVSYFSEDKIAAKLDYLKKTLRWSDTEVGIAVSKAPVLLRRSHDVLQRMSEFLISEVGLEPAYIAHRPAFLNYSLEGRLRPRYYVVKFLKENGLLKRDPSYYTIVKWTEKEFLENFICTHNEAAPYLAQDYVAACKGEVPARFR >SECCE3Rv1G0165900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:180114226:180116476:1 gene:SECCE3Rv1G0165900 transcript:SECCE3Rv1G0165900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT1G78440) UniProtKB/Swiss-Prot;Acc:Q8LEA2] MVVLAKGELEQIALPAAAAPLAHVQVIDLSAAPGPGRAAAARALVSACEEQGFFKVTGHGVPPELVRAAEAAAAEFFALPQAEKEAAAGRPLGYGSKRIGVSGDLGWIEYVMLGVTPAGALPAASFTSWTLPCAAGAAASPPEPPCPLRDLLEEYAAAVRRTACGVLELMAEGLGIGPADALSRLVADAESDSMLRVNHYPPRPELQGKVLTGFGEHTDPQIVSVLRSNGTSGLEICARDGSWAAVPPDADSFFINVGDALQVLTNGRFRSVRHRVVVSSERPRVSMVFFGGPPFGERLAPLRQLLGDGGRTRYREFAWKEYKSNSHKGRLATDRLCSFEN >SECCE5Rv1G0342480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:632558561:632560081:1 gene:SECCE5Rv1G0342480 transcript:SECCE5Rv1G0342480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYLAILVLLLLRFSGGAVAVSSSYIARTTEQQIIATVAPAAFADADDGGQSAAQPFLASPSGSFAAYLRRAAVGDGGSGDVCYVEVVQQQGGGGGTSSVWESGCTPVGVAETCDLAFSPVGLELFAGGHSLWDTAVDSDPAMLSLDGAGDMRIVSRDGVTVWRTRDEPWTGRKCGAAALPVSSSPSMEDVLPPPSATGTPPAATSTLASPWGSGFTFGDQTAPPADDDDDDSADLPDLPLPPPPPPSDPSTDWPDLQLPPPPADMHPIPETPDQPLYSSPPPADMHPIPETPDQPLYSSPPPADMHPIPETPDQPLYSSPPPADMHPIPETPDQPLYSSPPPAVTYPMPESQDQPLHSSPPPAPPTPFVPPQTPLAPVDTPPLVPSPGAGIATPPASPGNDNIPLSPPPHGTPHPHHLPLGASPPTVPGAVAPISGGHGPLPFGQGQGQHGQGQGVFGQQQNQLLNGGGQRPEDSAGGWSGRQRGGAGASLALVALVALAIGF >SECCE5Rv1G0372470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853111811:853112516:1 gene:SECCE5Rv1G0372470 transcript:SECCE5Rv1G0372470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPMVFATEVHCKGCGKKIKNALERINSVESVWFQVGYGDSGRVFVDGLANAVTLKAEIQRKNKNKSVTIVDFGGSDVVEDAPPPRPVAAAPASSPPPAARTSPRNSPPPPSPPASTSSYYTPTYSPPPTSSSSDYPPGGYYSYYAPPPAPPGGHYPPLAAYYNYDQSRGGPYYMPNYAPTTFNDENPNACSIQ >SECCE3Rv1G0152680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:49560813:49564596:1 gene:SECCE3Rv1G0152680 transcript:SECCE3Rv1G0152680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGFPDSAILCKVARVSADRNATTAECHLDDGQAVEVSFWLVDPPGISYFSVNCPGIKHEYHAWLICAEDAFVLFSLKFWGPARFFVYTAGKQSLRLLPNPNHAYFGGQQFGLLPRGDADGEHYAVAFLDLKWNIQADVCRFDAYVFSSETQAWTTRKACLSDPADKPLCCRHALFKQIRVGASALGWVDTRHGILLLDHLFSRHPVIRIIPLPVTTVGLPAPTKPDDIYCAPEYFYNVACCDDLIKFVHIKYDDPDAMTRGSGWKATMWNMKVSEGNWCERYTVDVAKISVDKKIYAKLPELWDDETQQLQLKKLMFQGPILSMLNDDLLYMMAKVNDEDDRAWAITIDMKHAALKALAKFSVKPNQQLLTTLCFSCVFPKYLNIPPGTEMYDPMEMHFKRMSFSQFVMQAQQTREWFRQLDLFLDFDLTTYKEFKPLLTECCPVSSLCVHIHVLLKYATCTDEASNNMKHLLRAFDGFDMLLTESFNEQASDETLRSKIIVALPILDNLLQSMLPTVTPEESYQGVGIFEQYERSGYTKKGHQSFGSNAEKLRHSRKRNHAKKRTHKQQQHIFKHNNLGGNLALNHWRYLGGCMLMSVGMLSLCWMVWTSTLTAN >SECCE3Rv1G0185080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:670837692:670839236:-1 gene:SECCE3Rv1G0185080 transcript:SECCE3Rv1G0185080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPKPAAMGVQAASKGKFRIPVDTDNKATEFWLFSFARPHMSAFHLSWFSFFCCFVSTFAAPPLLPLIRDNLGLTGKDIGNAGIASVSGAVFARLAMGTACDLVGPRLASAAIILLTTPAVYCSAIIESASSFLLVRFFTGFSLASFVSTQFWMSSMFSSPKVGLANGVAGGWGNLGGGAVQLIMPLVFEAVRKIGSTQFVAWRIAFFIPGIMQTFSAIAVLAFGQDMPDGNYRKLHKSGEMHKDSFGNVLRHAVTNYRAWILALTYGYCFGVELAVDNIVAQYFYDRFNVNLHTAGLIAASFGMANIISRPGGGLMSDWLSDRFGMRGRLWGLWIVQTIGGILCVVLGVVDYSFGASVAVMILFSFFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQVIFFRGTKYKTETGIMYMGLMILACTLPITLIYFPQWGGMFAGPRKGATAEEYYSKEWTEDERAKGYSAASERFAENSVREGGRRAASGSQSRHTVPVDGSPAGV >SECCE3Rv1G0198120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:827868159:827868833:1 gene:SECCE3Rv1G0198120 transcript:SECCE3Rv1G0198120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPRVVRPQPHDGTASAGSSSSRRHMVDRVSEWELGLPSAAELTPVSQPLIPPALAAAFGIGPADALSSPVDAGFLHDSPTSHLTSRFDEYDEEDEEEGEGETDEPAAAGGRRGGGKKARMVWTPELHHRFVEAVEHLGEKGAVPKAIVRLMNVDGLTRENVASHLQKYRLYLKRSSPGAAAPSPPPPPFFPRFDVQRPHQDPANRSGYCAYPYVSYQKLGCD >SECCE6Rv1G0379940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:17828963:17835405:-1 gene:SECCE6Rv1G0379940 transcript:SECCE6Rv1G0379940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKSLRPLRRLLSPPQRRPSTSKSSSHLSLPSRRSLHLPRAAVAMGSVAGDAVRLAYPPVRRDESVVDSYHGAQIPDPYRWLEDPDSEETKEFVAAQAELAESVLAGCADREGLRREVTRLFDHPRHAAPFRRGDKYFHFHNSGLQAQSVLYVQDELEGEAEVLLDPNTLSKDGTVALSTYSISEDGKYIAYGLSESGSDWITIRVMRIADRQDMPDKLSWVKFSSISWTHDGKGFFYGRYPAPGVELDAGTETNINLNHQIYYHILGSDQSEDILCWKDPENPKHSLGASVTEDGKYIILGTYDGCDPVNKLYYCEISSLPHGIEGFRETKEMLPFVKLIDNFDAQYQVVANDGDEFTFLTNKNAPKNKLVRVNIKKPEVWTDVLPEHERDVLESADAVNGNQLVVCYMSDVKHTLQIRDLITGNLLHQLPLEIGSVSEISCRREDKEVFIGFTSFLSPGIIYRCNLASTIPEMKTFREISVPGFDRTSFEVKQIFVPSKDGTKIPMFIMSKKDIELDGSHPTLLYGYGGFNISITPSFSVSRLVLCKNMGSVVCIANIRGGGEYGEEWHKAGALAKKQNCFDDFIACAEQLISSGYTSNKKICIEGGSNGGLLIAACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFGWLIKYSPLHNVKRPWEQSSGDNCQYPATMLLTADHDDRVVPLHSLKLLATMQHVLCTSIENSPQTNPIIGRIDRKSGHGAGRPTKKLIDEAADRYSFMSKMLGATWTE >SECCEUnv1G0532890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:24716369:24717639:-1 gene:SECCEUnv1G0532890 transcript:SECCEUnv1G0532890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDRANTADCVTTGRGRTVCVTGAGGFIASWLVKLLLEKGYTVHGTVRNPDDVARNAHLRALEGAAERLTLFPVDLLDKESLVAAFRGCEGVFHTACPVTDDPEKMIEPAVNGTRNVINAAAEVGGIRRVVMTSSIGSVYMDHRRSLDGEADETCWSDLEFCKNTKNWYCYAKTVAEQAAWELAKERKLDLVVINPSLVLGPLLQTAVNASTWHIAKYLDGSVQTYTNAAQAYVHVSDVADAHARAYETHDAHGRYLCAGRTLHRAEVCRVLAKFFPEYPVPTRCKEGAGEMKKGCRFSSRRIMELGVSITPASQCLYDTVTSLQDKGILPRRDID >SECCE7Rv1G0476700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:209424795:209427323:1 gene:SECCE7Rv1G0476700 transcript:SECCE7Rv1G0476700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASAASAGRGARAEKVRRIFERFDANGDGGLDRGEMAKLVVAVNPRVKFSEDQISAILDEVFRTYAEFILPGGQGLSLTGLLRTYDDGAGDVDRDFLALSLPAVDSDASSPEIAPGHADASSSPTSGAAVAASLLDDHLKPLGIGGTGPSSSSRAAAAAPAWATSPSHGIAFDSSWALLDDLEILVKRLRSKQLRKTSSLDTSGGGSNLDSFSEAGWSREISASADSGLAAAPWDETSRDYLTFVKELAVLRTRADASRSREEAFDNHMVIGRALSEHRLFRDALASFRRGCELQPTDVRPHFRAGNCLYALGRHAEAKEEFLLALEAAEAGNSQSADILPQIHVNLGIAMEAEGMVLGACEHYREAAILCPSHARALKLLGSALFGVGEYRAAEKALEEAIFLKPDYADAHCDLGSALHAVGDDDRAVQEFQKAIDLKPGHVDALYNLGGLNMDAGRFVRAAEMYTRVLSIRPNHWRAQLNKAVALLGQGESEEAKKALKEAFKMTQRVEVYDAISHLKTLQKKKPKPSKGKHDAQGEEAFVIVEASKFKRVGSKTTLRQDLANALDIRAFERTTKLGHCDAELLRKEMNETDVPVSYSGTGIPEKSIRKAALEVILRRLLSFLKPDTFQGAVKAMNERILSVLDASGSGRVDLGMFFAIIAPICSGPVDRRKRVVFDALLWRPASEGDRGQIRRSDALNYIKLLRAVYIPTHGASDMLEMHGESDPTMVSYTEFLEMFNDPDWGFGILSTLVKLEDSDHVRHGSHTCSICRYPIIGSRFMETKHFFSLCNRCYSEGKVPSAFKLEEYRFKEYGNESEALIDKCMCFNLNSKKLEADA >SECCE3Rv1G0147960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:16913731:16915887:-1 gene:SECCE3Rv1G0147960 transcript:SECCE3Rv1G0147960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAYSRPSKLPGAGPGERRPAPPRLIRAFFASGSKIEPRKLAAGLLAGCCLALLTYVSLAKLYAVYSPVFATTSALLQNAPPSSSSSAPGAATAPRPPQEPAFTGGSNGSAVDRADLTEKAPATAGSREPGVPEPVSKKEHAEKAPAPANTSAPMPSEEGKRQNSSSNVAAGPMRCDENGVDEGFPYARPAVCELSGDIRVSPSQKIMYLVNPSGGGAFDEKGEKRLRPYARNDDFLLPAVVEVIVKSVSSPEAAPQCTKQHRVPAVVFSVAGYTDNFFHDNTDVLIPLFLTTAHLKGEVQVLITNYKPWWVQKYTPVLRKLSNYDVINFDDDADVHCFSRGYLGLYRDRDLIIAPHPTRNPRNYTMMDYTRFLRAAYGLRRDQPAVLGAEPGMQPRMLIISRGGTRKLLNQDEVAAAASELGFNVTVAEAGDDVPAFAALVNSADVLLAVHGAGLTNQIFLPTNGVVLQIVPWGNMDWMATNFYGQPARDMQLRYVEYYIDEEETSLKDKYPRDHVVFKDPKALHKQGWQALADTIMKQDVKVNITRFQPFLLQAMDELQE >SECCE5Rv1G0342350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631806658:631807749:-1 gene:SECCE5Rv1G0342350 transcript:SECCE5Rv1G0342350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVGWCCFLVAAFALGVTGQPPPDTPPPPPRAISYANGQPYSVNYNKPNRIFTCEDDWGQTCVTQCPDLCPKSCFWSCSYCETTCRCVEFAGTSCGDPSFTGGDGITYYFHGRKDQDFCIVSDADLHINTHFIGNHNPVNKRNFTWIQAIGVTFGDHRLFVGARKAVAQSGLSVQRTYVVNSIKVDLANVFSILANTVPITDEDSKIHNYGKTAKDSLVHLDLRFKFHSLTDVVDGVLGQTYRSNYVNKMNVTAEMPIMGGAPKYLSSSLFSTDCVVSKFHRSGGAVGNMP >SECCEUnv1G0542040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98461396:98464972:1 gene:SECCEUnv1G0542040 transcript:SECCEUnv1G0542040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNPISVRLDLNRSSDPSRFNVNLRSYFSSIRPPTKLAFGFRLGRCIILHFPKRTFIHFFLPGRSPRLKRKQDKKSRPAVLQEKGWWPTFGKVGPIGCLHSSEGTEEERNEVRGRGAGKRVESIDREKQNEIRIWPKKMQRYGYHDRSPSRKKNFDKSLRVSRAFKWPQYAGVVNDIPFLTGNAAYLLKGNRIKFFLPKKSRSDGPTSHLLKRTLPAVRAYLVKGNRIKFFSPKKSRSDGPTSHLLKRTLPAVRPSLNYSVMQYFFNTKNKMHFDPVLVLNHFVAPGNTLSHRFFLESSTSEKKCLARAKKRLIHFIRQANDLRFAGTTKTTISLFPFFGATFFFPRDEVEVFGATFFFPRDGVWVYKDPFCEYAREQLLAQFRIKCRNLMGKDKVMELIEKFIDLGRIGKLIKGIEMMIEIILRKRRIPYGYNSYLNEVQKMRSFLSNRTNTNTLIESVKIKSVYQSASLIAQDISFQLRNNPISFRSIFSKIVKDIPLIMPKGVEGIRICCSGRLGGAEIARTECGKYGKTSCNVFNQKIDYAPAEVSTRNGISGVKVRISYSQNKKGRAISETYEI >SECCE6Rv1G0405330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:529480162:529481745:-1 gene:SECCE6Rv1G0405330 transcript:SECCE6Rv1G0405330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTAKQNKIFERALAMYDEDTPDRWQNVARAVGDGKSVEEVKRHHEELLKDLQRIEYEGARQGSHYSISGASSSNGNSWGSANEDHRRRYLNLQ >SECCE6Rv1G0402190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:481241513:481242358:1 gene:SECCE6Rv1G0402190 transcript:SECCE6Rv1G0402190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRCKRHPSQAGGGVCATCLRDRLLVLAAAQNDASSPSTPPPAAPPPAAAPAPAPAFPRSVSPYVSRRKSDSSGGALRHHPSLLFFRTPQVGPAYGGSAPLEEGDIGYQKPRAGKFSVLATLFGNHRHRWGDKDKAKKNRSWLAGIIPRRRKKQVQADAALPPSPPPRRSCRVVSNRGLSPERGSQGSGEESSSPADPPWRPTPSPMRRTPCRRRQTSMPSGFAVCLSPLVRPSPGRRHRAAQPPDPGTFSSELRPSPLHSAASVGRCRSRKLADGGRFR >SECCE5Rv1G0370340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841615682:841617405:1 gene:SECCE5Rv1G0370340 transcript:SECCE5Rv1G0370340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVTGSPKCVAFRSKRTCKYLASVQAGSEESAGGGRFFEELSDGADDVDVLASPYTRFYLEPSKKHDGLLHVRCCHNNKYWVAKHGGEGSGHWIIGIVNEPDDDMSKPSCTLFEPVPLTDTDNNLSIRFFRPQQTTSSESDMTKQKGTTEEAYLRLSTGGQEKAVDQVQSLHDFFAIDLSKQLVLPKYVPLEGDNDMYLRARIIQKRNYLEFSSFDIADSTVVNTIFPNYANGNVRIKSNHFNRFWRLSPNWIWADSADSSSRDRDTLFRVVMLPEYIGLQNLGNSRYCKRLTADRKTSCLNADVNTITLEARLRVEEAVLSREIYGVEFKLSQARIYGEKPLTFPSMTSTNDTNETHAKTLSLKYEETQTKTWTSTVSLKIGVTTKLRAGIPVIAEAKVEVSTEFNSEYEWGSSIQTTASQEASYQAVVPPMTKVTVRAAATQGSVDVPFSYTQRDILTTGEVVTYKMDDGLFTGMNNYNFQFEATQEPI >SECCE6Rv1G0420200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:675964430:675967843:1 gene:SECCE6Rv1G0420200 transcript:SECCE6Rv1G0420200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEEGWRRSGIEVSTLQFGYDGEAPLFARFNLRVAPGSRCLLVGANGSGKTTLLKILAGKHMVGGKDVVRVLNGSAFHDTQFVCSGDLSYLGGSWSRNVSSVGDVPLQGDFSAEHMIFGVDGVDPVRREKLIDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLETWATDIAYIQEGELKKSAKYSDIEELKTAKNLLTVVESWLKSETKLPKKEPARVETQPRRSSPFDASPFRASRHMAYYR >SECCE5Rv1G0374130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861962876:861963109:1 gene:SECCE5Rv1G0374130 transcript:SECCE5Rv1G0374130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFFEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE2Rv1G0143000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943584117:943585437:-1 gene:SECCE2Rv1G0143000 transcript:SECCE2Rv1G0143000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKVGPCCHCRVVGSPLWRHGPPEKPVLCNACGTRWRKKGTLDNYTPAPQVQRKQPKETPIRKTVKKKHNSLKTGDAADTFSNNPSGFGSALSYSGSAQSHPRESLVPYVGRRRRPSSLETLAVELNSIMHEQQLSSEEDLLIYHSETPARSFEIGYGSMLLTNSVPFL >SECCE2Rv1G0132970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894825789:894828101:-1 gene:SECCE2Rv1G0132970 transcript:SECCE2Rv1G0132970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLSYSFKASSGKPRAPLAALAAATERVRAGALSQEDAHHLFDELLGQAAAFPVRGLNNFLATLAHARPSAACSSGPALAVALFNRMSGGAGARVVSPTCCTYSILMDCCCRAGRPDLVLAYFCRLLRLGLGLNVTSFNNLLKGLCQSKRTNEALDVLLHRTPELDCAPDVVSYNIVINGCFKEGEVEKACNLFHEMTHLGVQRDVVTYSSFINALSKSGAMDKAEVVLRQMVDQGIGPNIITYTSLIHGYSTSGQWKAAVRIFKEMASAGVRPNSVTLNSFIDSLCKHGKTKEARDIFDSMAAKGHKPDIFSYSTMLNGYVKEGCFDDMTDLFNSMVRNGIVPDHHVLNILINAYAKRGLMDEAMHMFEVMRQQGVNPNVVDYLVVMDSLCKMGKMAAAMDIFNQMVNQGVSPDIVVYQCLVLGSCSHGDFVKAKELISEAINRGLCSNSVFFYPVINNLCKEGKVMEAQDMFDFIVGIGQRPDVIMYTSLMDGYCLVGKVEKALRVLDAMKSAGLQPTAVTYGTLLNGYCKIGRIADGLSLFREMSLSGVKPTTIMYNIILDGLFCSGRPVSAKEKFDTMIKSGIPVGIVTYNIVLSGLCKTNCTNEAIELFKKLRAMNVKINVITLNTMISAMFKTRRIEEAKDLFATISAIGLVPSVVTYSLMMTNFIKEGLLADADDTFLVMEKAGCAPNSSLLNQVVRALLEKSAVVKAATYLAKLDAKQLSVEASTISLIVSLFSGEGKLREHVELLPVKYQPPQSSADN >SECCE1Rv1G0012010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:85359314:85363208:1 gene:SECCE1Rv1G0012010 transcript:SECCE1Rv1G0012010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVATQEAASGSTLQEKAGSRNKRKFRAEPPSGELGAFGLEYPLTTDCVGFEFMSPEKAAMAAAAAAAEGVNLDFIPSTCDACKGVHATAEELLECQRYVNWSDPNEAQLEEILLKSLDTTFDNAVSVITTMGYSEAAARAAVVRAAAQYSWRDSLAGFSEAAVEVLKSEGDMLPRDGSSLEDMRKIEQVVLASLVAVVNEAQPFYTTGDVMFCLLMSDINVAHACAMDYSAPPLPAVGAQVIAQPVVGNYEPTPTSDLSVSITNPQTGVTFRGKLTPVPPGTYNAVKADSSTTPVNPNVPSGKPCVSGKMHPVVPNVKPKEHPAATPDHSEDQPFVAAATQSVKDDKPFPSKRGGSKRDSLHRQKLMSFDKNSRALGSKGSLRSGKYISCGTVALERKCRQGSDSATCSLKGASKIAKGFAASMKGSEYSVDLSFTATGTIASIPSFDAKAPNNTDPASAASTELSLSLPLPLPLPSSSDGSAPSLNHDSSAEAVDPSSKINFAYDEDQKVWIPQDKKDEMVLILVQRQKELQAHMRDWTDWAMEKVMQVTRRLAKEKEELQSLRKEKEEASRLHDERHCLEESTRKKLLEMESAISRANNQLDKAEASARRREAENAQLRMQMEAAKRHAAESAANFVELSKKDDSSLKRSQHWESERSLLQEQLASEKSKLSRVQQQLQHAKEKKEQLKVRWRQEEARKTEAIACVSSERKERGQIETSLRSEENFLHLKAENDMQRYKSEIRALEQQISQLKVSLDASEAAAPKWGTDDKNRALRLSDGRKNGGNAQVLAKVAGAAALDLDFDDIQRDRECVMCLSEEMSVVFLPCAHQVVCAKCNDLHDKQGMKECPSCRTHIQRRVCARTVGC >SECCE4Rv1G0257130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:663772479:663772795:1 gene:SECCE4Rv1G0257130 transcript:SECCE4Rv1G0257130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCSCCCRCLEILCAILLPPLGVCLRHGCCSMEFWISVLLTILGYLPGVLYAAYVICSVDPDRVRRRDDDYIYVA >SECCE1Rv1G0032950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:461179769:461180862:-1 gene:SECCE1Rv1G0032950 transcript:SECCE1Rv1G0032950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTVNGRDAEHHLGLGLGLGLGLSLGMSGTTSPDVEAAAPQHAAAPSTLGRQQQQSWNGAGLFFPASSGEQRSHADDRRLAALACHEMPFLRGIDVNRAPAAGGARGSCAASEDEEPGASSPDSTLSSLSGKRGAPTRSGGGEQERAGAGSDDEDDSGAGGAGSRKKLRLSKDQSAVLEDSFKEHSTLNPKQKAALARQLGLRPRQVEVWFQNRRARTKLKQTEVDCESLKRCCETLTEENRRLQREVQELRALKLLAPPAPHLYMRAPPPTTLTMCPSCERVAPSGKPVVDESRAAMVTRAVPTGPWGPVPVLPVFLGRPAQRS >SECCE2Rv1G0102690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:580674504:580675294:1 gene:SECCE2Rv1G0102690 transcript:SECCE2Rv1G0102690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALPLANHAALTATARYLHRNHQLLRPKRQLPPGFLAARPLRQTRLALSAVPETKEEDAKTAEEITEKYGLEVGLWKIFSSKEEERSEEGEEGKPKKSRTDQAKELLGKYGGAYLATSITLSLISFTACYLLINAGVDVQQLLGKIGIATDETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVANWIGKITKGGD >SECCE5Rv1G0301810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34027115:34028839:-1 gene:SECCE5Rv1G0301810 transcript:SECCE5Rv1G0301810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNGLSIKTHEIGSKRKWETNVDLSRRFCTSQHESPWEGGTAKHITCHPSEYQGQSSQECPPWNYGSLIPSLGRQNDFRFGSNQHKSPWEGGTTEHIALHSSWYQGHSSQEWPTRNGGSLTPSPGRQNDFHVSGDQFFLTFNPYQQDVESRYLQDKKNGVITCLVCGKEGHYSSKCRFKDQEHRIICTVCGKNGHCSMWCCQQNKSENRACTRCGEIGHSTSTHGLSCSSCDEHHDDGECRLSEVKCLICECQDHYLAQCPLNSVLTEAFKGQRENFQAALRLALSKQGNPSSTPAKYSAKSEGKVLTTNNSSPIVTAYNASTRICFTCREEGHFAFQCPQNSPGLSIVFEESGTIATSANLSKEVEEREPGTGTAKQSSEMKPILYDQGCPSNANALTPNKSSPIVRTCKTETQGKIRRCFTCREEGHYAHMCPQKFGAVSGNTSKEVEESSTIGTPSNMSKVLEEEDPGTAKQSSEMKLASIVRCVGCGQEGHRAKSCPTVVFTCYKCNEEGHTAKNCRQNKSSEMKPKSNVPCVSCGQEGHGAKRCPTRVFTCFRCNEDGHIARKCPQKR >SECCE4Rv1G0259740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:690156611:690157546:1 gene:SECCE4Rv1G0259740 transcript:SECCE4Rv1G0259740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIDDDWDSQPRARVVHSRADAAANSSPLTANPASRSLPHVAACAAAAVLLLAAAYFLDSAYQVFASVLVWIASSLLLAPFAPSSATGGDISVGRGSLLLDQEPSQEPTPDPIAPSRRGRRQNAAPPPPKSSDPVAPPVQRPPRRQEAMGAETTVLDSGERVEEVGEWTDQEMELLRRQMLKHPAGEPQRWEKIAAVFGGRRKPESVIHAAKSGGAAAAGGSFEQFLRKRKPLDRRAGAADADTGGNAGGVDGGWSAGEDRSLLNALKEFPKDTTMRWEKVAAAVPGKTKAGCMKRVTELKRDFRSSKEP >SECCE2Rv1G0118970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:787037029:787040905:-1 gene:SECCE2Rv1G0118970 transcript:SECCE2Rv1G0118970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLATAAAAAEEFLEVRCAGCEETLEVERGLTEFVCPDCATAQSLPPELMPPPRRRALPLPRRAADARGARLPCGACGELLSVPVGLSRCVCPLCGAELAVDSARLRNYILSSAEAAVVPLAAASVPPIVAAREKWQERPSYAMRGGPPRAEPDEQQEYTDHMIYGEQIHVANETVSNNNLQRNRPSLGRRIVGNEERQALPLNEVRDHVNDQYPSYTIQPKRALLARLHRVTHSEEMQDGLLSHEVYGEARHTELIDEATATHRNQRVGCSFSPQTVSIGERHTETPAQIIQQVHKQPFHESHAEGSQLYCLDLDGVVHPPVNQAKHVEEASTEMINKTVSGESIRPTGCSVEPNSVNVEKRKASTTNQAIHERINPATNREAICSLPIKETTASCSSKQKKSKLANAKTIGKKRHMEPLNHIIQQAEGQTSNSSSHGTQVDFERQSKANERPGKSSTLKEREQVAPPIKLSDLKQKNVRTNDETQKEQFEVNVSKQTSGWTQKKNRKGSIASSNAGLLLRRSKRLAKDSAAAIENEPLESDPGNLQDFGPNVQVPADAMDDESIEWEPLQQCPASPDREVSVAMTDTESVESENDGAVSPDESMPDSDYPDTDRMRAGLYPSTPSAHKLPQEISDELDDLDLTTTRSNTDMSDPEHFARNYCRLLPLEVRRALAKKKYNVFHDRLMPEGSNKVSLHDITDSEEQQQGKQGHKAAGNLCVKMWTLPEGVRVPVSLNTSGLPIGENANMLINFLGALARDGILAPLTYISWKNIPKENKDVMWHIIKLKFDVDPPHELSVLRSIRNKWRVWKSYLKCKHYDSHTTEEERLADRDPRVSKEQWRVLVAYWNTEKAKAISAASKASRAKSTYINKTGSKSFARMRQEESRSGDPSLESPEGSGDDYAPAIGTNRRVSARRHTPGPSPKDLHERSDMQAARAKRKAGDEVSTLRNKVVVTEESRPKNSQESAVSEAVRAKRRAEDEADALRKKVIVMEESQKKLQEDLARVMNTMSAMQKMMATGGLPNRNG >SECCE7Rv1G0492900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:559050140:559052273:-1 gene:SECCE7Rv1G0492900 transcript:SECCE7Rv1G0492900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWVKTAVADVDELITKAAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >SECCE1Rv1G0008470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:47162939:47163405:-1 gene:SECCE1Rv1G0008470 transcript:SECCE1Rv1G0008470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNTLTLFLLLLTIASHGAWGAAAARSAMAEDAAHHYLRPHHHGKRHLEIQTPRKVGRATGGSGGGSSGAGRSGGGGTDTRPHGSKNGGAMALPAPATSVLALVFTTTILLSALSL >SECCE7Rv1G0462670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:47837915:47839699:1 gene:SECCE7Rv1G0462670 transcript:SECCE7Rv1G0462670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANSYEEQRRRQIEENRRKVEELRLHHLSAAVREAAARPKPKPNPKPKPKAPAPGELRRSGRVASIPEQPKGGQQRDYQGVYADVIWKGPTDEERAAAAAKASELQRQIHCIRCPAFVKPITHACASRAISMTIPKHFKEYLPAHDEPVVLVDEADDESHMMYNASRQGRRCYLSKGWREFAVDYDLENGDCLVFQLIESAKFKVYIFRASPDYESDQTSDDSEDEQK >SECCE1Rv1G0028210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:395802918:395805652:1 gene:SECCE1Rv1G0028210 transcript:SECCE1Rv1G0028210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWGLAERAVAGLLGPAGAQGGRWNTAVAVGVTAAAGIALVAIVVSSRRGGIKSPWRRRRKAVLGPKEWRSLFTSEGKFYDGGVKLLMRVRNGGVEPSIRAEVWPFLLGVYSLNSSEAEREAVKAHNRKGYMLLRKHCLRKNNEESKRSVKHKESISSGEVKESVTSVGSKEQPEKVSVEEHITSEEENSGVISEQEMQDKTAKAIPENQADENLCSSSSRDEDESEKSDVTHVEASHDDVASVHQSSVEDEEESMPLPKYSNTGGNIETETKLSKDARPVKSARTVEDFETWQRIIRLDAVRANNEWVSYSPSQAAVTREKAIESASAVCLKDYEHLEAHRIHHASRLVAILEAYATYDPEIGYCQGMSDLLAPLLAVLEEDDEAFWCFAGFMRKARHNFRLDEVGIRRQLNMVSKIIKTKDFHLYRHLEMLEAADCFFVYRMVVVMFRRELTFEQTLSLWEVMWADQAARRAGIATSSWGKLRLGAPPTDDLLLYAIAASVLEKRKLIIESYSSMDEIIRDCNSMAGQLDIWKLLDDAHDLVVTVQDRIE >SECCE2Rv1G0118220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:780447131:780447631:1 gene:SECCE2Rv1G0118220 transcript:SECCE2Rv1G0118220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEYEKKIITPKSSDVEEAVAMESQTIWHMIEDDCADNGIPLPNVDSKILSKVIEYCKKHVQANPILADSNAAADAISSTSTAAAAPAKDLKSFDAKFVKVDHATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNFKNDFTPEEEAEIRKENQ >SECCE7Rv1G0517910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856644475:856646349:1 gene:SECCE7Rv1G0517910 transcript:SECCE7Rv1G0517910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYGGVYNIHGWGDPYFAVNKNGHLCVRPHGRDTAPGREIDVFSVIHQAAATTTTDHADKKERKLQFPMILRFPDVLRHRLDSLHAAFATAIEHTRYRSVYQGVFPVKVNQNKAVVQDMVRFGHQYGYGLEAGSKPELLIAMSCLTRAKPGAYLVCNGYKDKDYVSLALAARAMGLNAIIVLEMEEELDIVVEQSRRLGVEPAIGVRAKLLTKLPGHFGSTAGKHGKFGLLAERIYVVARKLRGMGKLHWLKLLHFHVGSMIPSTDIVFKAASEAAEIYCALVNDCGAEAMTTLDCGGGLGVDYDGTRSGSSDMSVAYGLEEYASSIVQAVRLKCDDNGVPHPVLCTESGRAMASHHSMIILEALSAIPEPRDDGETTEQLHAKIHELASKQLQPRAVLNLKGDAAAGMSTMSSAHAVDIKKHGIEMYKLGKKLSKSVMADATTIYNYHMNLSVFSLMPDFWGIQQVFPMMPVSRLHERPTRMATLVDLTCDSDGKVEKFIGGAETLPLHPLDPVLGGYYVAVLLSGAYQEALSSKHNLLGGPSLVRVLGGDNGKFILDTVDLGPTTEELISTMRYDVKEDIGGVIEERAREKQVWEMVETLVENALNTMPYLVDYQHPPTA >SECCE7Rv1G0454100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:1254852:1270867:-1 gene:SECCE7Rv1G0454100 transcript:SECCE7Rv1G0454100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPPHLDIDLNELPPPSPSPPPSPAPAVLQSPPREFAAHTAPPPPPPPPPQIPPPANVHAQSLLPHQARELALAFHRAESWRLAAATASAPATAGSSLEVPPPPVLQSPAFAPPPLRPLALRSPAFAPPPLPPPVLQSPAFAPPLLPPPPPPPPQLPPPADVQAQRLLAHQAGEIARAYHRGERRRSAAPAGSSVEVPRPAPAQHLCAACGLPELPGSTIICDACERGFHQGCVNVVRRPPVGVKEGWMCPECAVGAVAVQDDIIRQVSVADGARLTELATPHFEGLQLNNTTPFDGNHSMPASSLPRFSMRQQFPPVDQNFIADGNIEQSSNHTGWRRSDFPQKSAFRKFSEKHEFGSSSTFMEPSFFTEAPDPSRKETRNPPKPPKFLAENCNRQPHHGSVGLPVQYQDFFITSLEEIDKQGSYHNCHQIWPVGFTSYWHDRVTGSLFECEVCDGGSSAPLFKVRRLPCSLFPLPEASTILSQNGARMADTTATKESGSFIGDTANDMDDNIYMMIDIPSETKQDFLSCLSNDTEDKRTSLVCNDLQNSNMMSQILPSNSENVPPSKEANINDQIGEFTFVGTSSSSVWGMISSAMVEACEKCTRNMGICGCQNFDGPYAPLNRLCSSNGPSIPRVTEKNNDVESTYTLLKNWLYHDRIGLDLEFVQEIVESLPRSMSCINYQFLCNRAEFQSSLTVASGLLLSVHKDGQSNGDTPYGRHGAVTGLQDDAQPSSSSIRNLPPGRPISHKLQPESAADVFQIWEFLGRFAEIIDLKEVPSYEQLEDELADPWPISASQKETLSKGIQECRDYSSPMNSPANASISHSNSESGVSNNQEIVSVFIPVETSSMKEARLDKLAAQTVGRCTGTVLPRIHLALIKVVFGEVLSKLNIDPKESRPRGGRKDTESLISTKEFSFDMLTANKLTWPELARRYILAISSINGCMDVSSSQEGVKLFRCLHGDGGILCGAVPGVAGMEKDAHLLLEAENLICSSLSTEGNKYSAEVPSADNRTLPDWAEALEPVRKLPTNVGTRIRNCVYEALDRKPPEWARKILEHSISKEFYKANASGPTKKAVLSVLSEASRVTVPQKPEKPRNERETISISEVILKKCRIALRHAISSDQYKLLENLLGSILVNSNEYEDEGILGFSGMVPRPLDFRTIDIRLAMGAYRGSWESFFEDVQEVIRNLHTACADRTDIVEMVLEFSKSFELHKTEVLNIVQKFDCYLSRENTGSEIPEELQVILAATSKLPKAPWEYGICKVCGVDRDDHIVLLCDECDAEYHTYCLSPPLTRIPRGDWFCPSCMLKPEIFKRQRKGAESHAFHGKPSCVSAQRKSHFVQGVRDLKPQQKGPYHDMLIKLAAAVAEKEYWELSTQERIHMLKFLCDEMLNTVLIREHMASLRRDFLGKDSLGRLYWVLGRAGKRPLLVADGSILISNERNPPSTSDCKGWNSASVVVYESDEEIRSLVDWLREYDPKEKELKRDIQQYWQRQRHFHHLGNFVLSDPPVSSKGSSNSSEQQLMELPSTKAASILGKACRCDCLEPIWPAQHHCTACHETYFTSTDYEDHAGKCSTSESTKPMKSCPYDFEVICRKFATNDSNKETVKDIGLIGSNGVPSFVPSRAAFIDPPVILNKSKKQDDIPNDDLVSSCLEECQSMSSAQKLGQEGSNSAQNCTSCDENVSKTKEPAPDTDKPTRLLAVNGGLVPESSLKPVTGRNNLVLKQQKINLLDIHAALPEEALRASKSQLIRRRSWRAFVKHAQSISEMVVATSILENTIKSEFLRNDWWHWSSLTVAIKTSTVSSLVLRIHTLDKCIIYTKEPNTVNMGRSRKDPEASAL >SECCE4Rv1G0221370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:48537087:48539928:-1 gene:SECCE4Rv1G0221370 transcript:SECCE4Rv1G0221370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKLLRTPTAGHLPVLQQLVPGLLLLLLSRSASASTVVTHLPGFDGPLPFYLETGYVGVEEETGAELFYYFAESERSPGTDPVILWLTGGPGCSGFCGFAFEVGPVKYVLAPYTGVLPQLVQNPLSWTKMASIIFLDSPVCSGFSYARDPRGCHVGDHSSSLQVQTFLNKWFTDHPHYLANPFYLGGDSYAGKVIPLIAQYISQGTGKREQPLINLKGYLVGNPITDPKFDSNFRVQGAHGFGIISDQIYEAATKNCKGNYVNPENQLCAEVLETVDSTYGYYLAYFWMNNKMSRDALGVKGGTVGEWVRCKKEPPYTQDMPSSIPYHLKLTTRGYRALVYSGDHDLQVPQLSTQAWIRSLNFSIVDDWRAWHLDCQAAGFTISYGNNLTFATIEGGGHTAPEYQPEECFAMARRWLDNKPL >SECCE1Rv1G0045110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:608429195:608429671:1 gene:SECCE1Rv1G0045110 transcript:SECCE1Rv1G0045110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTALGASHIVGAPAGSWDLQTNYSEWASRIRFSTGDELKFQYSTAVHNVVEVSKAGYDSCNGSSPIATFPSGNDVVPLPAVGIRYFICGVLGHCVAGMKIQVNVLSKKVRAVQRCRRIGKRRRCQPETVLSSATAAHSAVARLSLAVLVAGFMLFF >SECCE2Rv1G0111360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:700011065:700013479:1 gene:SECCE2Rv1G0111360 transcript:SECCE2Rv1G0111360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKSCQKPKAQHRKGLWSPEEDQKLRDYIVRYGHSCWSTVPVKAGLQRNGKSCRLRWINYLRPGLKHGMFSREEEETVMSLHATLGNKWSQIAQHLPGRTDNEVKNYWNSYLKKRVEGARSPPKSAGSGAPRSPTPSENGRERSTVNQPSNSGSSGPPESSSTADDSSSLTGPGTAALIRPHAPVLPKVMFADWLDMDMDYGTGLMGPSALDAAFDCTPAQQGASNTVDSLHGLGDGGICWEFEADMQGGAGFCDLLSMSEFLGIN >SECCE5Rv1G0360550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:772313515:772313727:1 gene:SECCE5Rv1G0360550 transcript:SECCE5Rv1G0360550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYANNKVGTIVNPALGTSFATVEEAYDFYNLYSWETGFGVRYAKSRLNVHRKNCMQEFVCACAVRMIH >SECCE1Rv1G0027780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:389010378:389014137:1 gene:SECCE1Rv1G0027780 transcript:SECCE1Rv1G0027780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKLREQVARQQQAVLKQFGGGYADSVFADEGEAQQHSKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVELGNKLCEDGKKYGVENTCTSGSTLSRAALSFAKARSLMEKERANLLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLRETSGNGDMISRLEAAESKLQELKSNMGTLGKEAVAAMTAVEAQQQRLTLQRLIAMVESERSYHQRVLQILDQLEREMVSERQRIEGAPPPVIENSMPPPPAYEEVNGIFMRTPTVAELVETVEHFLAEAIQSYRAETETELNLSTGDYIVVRKVSNNGWAEGECRGKAGWFPYEFIEKRDRVLASKVAQVF >SECCE4Rv1G0220110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:35314230:35319107:1 gene:SECCE4Rv1G0220110 transcript:SECCE4Rv1G0220110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKFEEVAALDKDNVSGEDGLITVRSAYPRTRGPHFEFTEGLDMRKIEELMLERSVSMAKSIANDIIIPDPTPQWVCDIFFDKYAKLEPIFLKDSVRCFLGLFKSCGGRGMSWNLTITAQTLTFMISFNALQCAQVVLEGEAPELRGMHANPNCINKYGYFPLHEAAERFSVDMIKLLLRHGASASVRTVGKDIIEDLLPLHVAVENTCLHKYLEDNLSPSQNHLDYIYNLIRLLCLPEMKIFLDTTRLLAEKTNNLLGELWKYIEDGKLTQSAILLLSAQEQIRGGCSSSSKKDGFDIIKSSILRLSFALIWGKCSNEMPQKLLEEMKALNCAGLLVDVISRVGEPLSAYIQAHSEVPHVEVLEHVSSILKEYGFCPTGDSMDTLNLQPYDCKMSDRESCGSTDANRAATKTASLHAAKKKAARKEVGGGWDPTYARRRFFPYWRSVLQARFPFKVYPTYARTDARSGRDIEHLRASLRNSMANGSTPTPIIVGPVGRISPLTINNQPTRRFITTATGALKLLKALK >SECCE5Rv1G0367450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:821314019:821316397:1 gene:SECCE5Rv1G0367450 transcript:SECCE5Rv1G0367450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQRLLRAAASSSSSASAVAAGRRRMATSLAPEQAPAAAPAFPFAGAERRRRPAHERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASTGPDAVQLKEIVNQGKLVSDEIIINLLSKRLKKGEEKGESGFILDGFPRTVNQAEILDGVTDIDMVVNLKLREDIIVQKCLGRRICSQCGKNFNLACIDVKAENGLPPIYMSPLLPPNNCMSKLLTRDDDTEEVVRNRLRIYNEMSQPVEDFYQKQGKVLEFDLPGGIPESWPKLLDILNLEDQQEMKLAAA >SECCE3Rv1G0157340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80942427:80943508:1 gene:SECCE3Rv1G0157340 transcript:SECCE3Rv1G0157340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQCCLLLLLLVTAVTVMAFGAAGASKDVTGGYLLHECETDPHPRRSNKSAAFRANLRTLLAALPSAAAPTGFAFLRSGGASRSNSTAFARGLCFGESPARGECRECLAIAVERAGNCDGSRRAAFWNEGCFLAYSDANTTEEEDVDERRWMSFMTQDPVVYPRFYEVETLVDLARSLLPRAATANSSEGSGRMLAAASAALRANSTVRAVAQCAPWNVTAAECALCLAKSAQDVPGPGGLFGVFEGVRSGVGSVHRYDCNLRFEISAPVRHVGKGARIRKKIRDNIVVVVVVGAVVIAVAVVAGAAFLIVRRKKKMRKEAQAGVSSNT >SECCE1Rv1G0057360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693269905:693270729:-1 gene:SECCE1Rv1G0057360 transcript:SECCE1Rv1G0057360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGLKGVMVCLLILGLVLEQVQVEGKSCCKSTLGRNCYNLCRTRGAQKSCANFCRCKLISSPSCPKDFPKLALESNSDEPDTVEYCNLGCRSSVCDYMVSAAADEEEMKLYVERCGDACVSFCNGDAGLPSLSA >SECCE2Rv1G0108210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:659234284:659236863:-1 gene:SECCE2Rv1G0108210 transcript:SECCE2Rv1G0108210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASAQPAWAQALAAVGLLVASRAATRLALWLYAAFLRPAKPLRRRYGAWAVVTGATDGIGRALAFELAAAGLSLVLVGRSPDKLAAVSAEVGARFPGAEVRTFVIDFAADGLAANVAALAESIRGLDVGVLVNNAGHGYPYARYFHEVDEELRRNLIRLNVEAVTRMTHAVLPGMVERKRGAVVNIGSGAASIMPSTPLYTVYAATKAYADQFSRSLYVEYKNKGIDVQCQVPMYVATKMASIRQASLFAPSPETYARAAVRYIGYEPRCTPYWPHALMWFLFSVVPEPLVDRYVLGMSLGIRKMGRAKEARKKAA >SECCE3Rv1G0204400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:893986660:893988971:-1 gene:SECCE3Rv1G0204400 transcript:SECCE3Rv1G0204400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRSRKRTSAPPATTARTSKCGRPAAVAVPLDDVAGAVAPPFSSPLWASLSEDLVCEIAWRVLSGDILDYVRFRAVCTSWRSGTVCPRGRGVADPRFHPRRWMMLPEGHGLYPGHSRLHGYVRFFNLDTGTFVRVQLPLFNSHCALDSVDGLLLLQRDEDTCIRLVHPFTGDIAELPPLATLLAQLKNDPSVPRGSDPHWWWSFIRYRVCAAISCSAGAITVMLVFHRLRRVAFATSQDRQWTMPSWEIPVNIAPLSLQGKLYLVQFPWDNGTLVFQMEVGSPPLPPKMIATCPADKLYGSCHLVECDSQILLAGYTDSSMSHILIYKLEDLILERFVQVTSIGDRALFLEDRSLSVSSKALPTIIAETVVYTCPLNRCFAQYRLSTGASSQPFDGYSDGFNPGPYSLIQHVMSCCIRNFWNKGLVYSEKETKKPGWLCWRVKRKLRIWA >SECCE4Rv1G0270640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:756055349:756057404:1 gene:SECCE4Rv1G0270640 transcript:SECCE4Rv1G0270640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEVFIVGAGPSGLATAACLSKLSIPYIIAEREDCIVSLWHKHTYDRVKLHIAKEFCELPHMEYPTDSPTYLPKDQFLRYMEDYVKHFNISPKFNTSVESCMYDEAMKCWVVMTHRKVDGPTMYACKFLVVATGENSAGHVPEIAGLQSFPGEAIHSSSYKSGRDYVGKSVLVVGCGNSGFEISHDLAVHGANTSIIIRSPLHVMTKELIHLGMILSTWHLPLKLVDFILILAYILFGDISKYGIVRPTIGPLTLKAKIGRSAVIDTGTIELIKKGDIKVFGPISCIRGNLIEFVDGSERYYDAIVFATGYKSIANMWLKNDMSLLNSDGIPKNDFPNHWKGANGLYCVGFARRGLAGIAHDANIVASDIHANIAMAYFN >SECCE6Rv1G0436570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778316524:778317962:1 gene:SECCE6Rv1G0436570 transcript:SECCE6Rv1G0436570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICKKARAEATSVVNSDRLSSLPPEIKGEILSRLSVEEAVRTSTLSSTWRDAWANMPAISLREGNFARTKFITLVDMVLSLHKGTIEKFYISVKRSYHDELARWMLMLSRRSPTSVRIKLNSGPEYRIPSCLFSIGNLEFLHMENCTIMLPRVFQGFKRLTYLSLKHFSSTDMDIQNLISFCPVLTNLRLVSFEGIKCINIDAPKLKYLHVVGGFEDINLDVPNLEWAVLTLIPKAEAYQSIPKAESYVKKLLGSLSDIKELAFFGFFLKYLSKGCIPKNVPAVFTRLEHIFVEICFWDQGQVLTACSLFQNAPNLKKLGMLSRVSSTWDQDQDQASIQELTVQMQMDHLVTASMEGFAGLDYEVDFVAKLLSWAPALEELEIEWKGETDCSRVLAKLLALPRVSPRAKVIVTF >SECCE3Rv1G0194620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:783125621:783126667:-1 gene:SECCE3Rv1G0194620 transcript:SECCE3Rv1G0194620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAFAAARFLPSAHLDSSARLAPLRAAPTANLAFSPLPASSSALLALRSASPSPSGPGGKLPPPPPPRSYGGGGGSGDAADSGGSGAGDGGRAGILGMFLAGWAARVAADPQFPFKVLMEELVGVTACVLGDMSSRPNFGLNELDFVFSTLVVGSILNFVLMYMLAPTAGVSAAASAAVSSLPSHMFEPGAYSLGSRVATLVSKGTTFAVVGFGAGLMGTAISNGLIAMRKRMDPAFETPNKPPPTLLNAGTWALHMGISSNLRYQTLNGVEFLLGNVMPPSVFKVSVIALRCMNNVLGGMSFVMLARLTGAQKSDKPTASVSEAKERLIAEGDTIAANVSSEDK >SECCE7Rv1G0483660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:324967187:324971509:1 gene:SECCE7Rv1G0483660 transcript:SECCE7Rv1G0483660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDEVPESSSPSSAAAGRLNAAAPEFTPRSAAQHQTHPHRRGPHHHHHHHQQPHHQHQHQYRHQHHHQGEDEGDAAAVVDNAQLVLPEELARRVVKQVEFYFSDVNLATTDHLMKYITKDPDGFVPMTVVASFRKIRELIDRSLLPGALRTSTELVVSDDGKMVRRRVPFTDVDAEEVQSRIIVAEKLPEDHRYQNLMRIFSTVGSVKSIRTCYPQGIDISAGKSSRIEMLFANKLHAFVEYGTVEDAEKAVAEFSGGRNWRDGPRVRSLLGCLKHGIGQGRKGGDEDAADEDDPEEYEAEDGAQGEDGFYDKAGMRQGRGRGRGGRGRGRGQYHGQSRDGGHPIGTPPSNHSAEHPVVSKPPPGPRMPDGTKGFAMGRGKPQVSSPAVLSDV >SECCE5Rv1G0377100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:876051878:876053377:1 gene:SECCE5Rv1G0377100 transcript:SECCE5Rv1G0377100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDMDYVAVAPLVAAAVAFYFLVEQLSYHRKKGVLPGPALVVPFLGSIVPMIRDPTGFWELQAARARESGAGLAADFLVGRFIVFVRDSELSHRVFANVRPDAFHFVGHPFGKKLFGDHNFIYLFGDEHKDLRRRMAPTFTPRALSTYAAIQQRIVLAHIRKLLDETSPATPAPIRVPCRDMNLETSQTVFVGPYLTEEARARFGEDYAVFNVGVMALPVDLPGFAFRRAKLAVGRLVRTLAECVGQSKARMRAGCEPECLVDYWMQGLVKEMDEAAAAGRPLPKHTADEDLGGYLFDFLFAAQDASTSSLCWAVAALGSHPDVVARMRAEVSAVWSPESGEPITAEKFLELKYTHAVAREVVRYRPPATLVPHIAAEAFQLTEWYTVPKGAMVFPSVYESSFQGFAEAEAFDPDRFFSEARREDEAFKRNFLAFGAGAHQCIGQRYALNHLALFLALFVSVVDFKRHTTHGCDEPVYMPTIVPKDGCAVYLQQRCPF >SECCE2Rv1G0080020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:125719897:125721426:-1 gene:SECCE2Rv1G0080020 transcript:SECCE2Rv1G0080020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKNKPEKPIKLLKDAEQAVTSDYITGDSLDELLSKLIRSVEAAKASRGGLPEKIWMKQQFSVGVNDVTRVLERMPAAAASRFGCSSEAPTSTARRRAPLVPLQAVIIAADCNPKWLTRHIPTLASTRQVPVLCLKDNKGSSLRLGQVANVRTALAIGIKARDSIINKTVDEVLKDYYRPVADEQ >SECCE2Rv1G0080930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:134427435:134429598:1 gene:SECCE2Rv1G0080930 transcript:SECCE2Rv1G0080930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDMVNAPAERLHVQGSVMNNRKCEKKVPKKIHKSEREKRKRGTQNDLFNELGAMLEPDRQNNGKACVLGDTTRILKDLVSQVESLRKENSTLKNESHYVVLERNELRDDNSMLRNEILELQNKLRMCMQSNPIWSQDTTTRSAVAVPYPTSVVFPVQHSPHSPVITSATALSLQPVITEQCYAAPPRELQLFPEASSASTEDGELSQDQGISNSVTRPQARYPTPVVMSPVNEFPILPRMGEEQQYSSSTSEEV >SECCE6Rv1G0442150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814236336:814236791:1 gene:SECCE6Rv1G0442150 transcript:SECCE6Rv1G0442150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGGAVLNLRVPSVSVAPATARRCGTASSSSVRCGSARGAAPAGGGLEKDHYRTLRLAPGASRGEVKKAFHRLALQYHPDVVRRRGSGDDGQESSVDFERINAAYQRVMRNMREAEATLEYWRRRYGLADEDLDRYRRYLNDDDWFADL >SECCE5Rv1G0376140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871312167:871312448:1 gene:SECCE5Rv1G0376140 transcript:SECCE5Rv1G0376140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKEVLLAAMMLALVVVAPGRTLATCEVGQLTVCMPAISTGAKPSGACCANLRAQQACFCQYAKDPSLGAYIKSPHARDTLLSCGLAVPHC >SECCE7Rv1G0518950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:862840371:862841732:1 gene:SECCE7Rv1G0518950 transcript:SECCE7Rv1G0518950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANKSSPVLSSKKDAGGEEDITVDLYPFIREYKGGRVERFLRSPFVEASADAAANRGVATRDVVVDESTGVSARLFLPAAAAATGGRLPVIMYVHGGSFCTESAFCRTYHNYARSLAARAGALVVSVEYRLAPEHPVPAAYDDAWASLQWVASLSDPWLSNHADIACTFLAGDSAGGNIVYNTAVRAASGGGTGNHIHIEGLVIVHPYFWGVDRLSSSELVWDGVAMFTPEGINRLWPFVTAGRLGKDDRRINPLDEEIASLTCQRVLVAVAEKDGLRHRGRRLAARIRDCCAWADDGDEKAVTLLESEGEDHGFHLYNPLRATSKVLMESIVQFINERTALPLPAALLPELHELHACGGKKKDPCCLPILGVPARPYMDVFGYGMAMKASSVPNGMTRATSCLHVGQGRRASNKTRYGLSLGHAIRNNKTNIRFSLSTTKAPATCVSHNFI >SECCE5Rv1G0297310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:1485983:1486690:-1 gene:SECCE5Rv1G0297310 transcript:SECCE5Rv1G0297310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSCVLHLVALVLALVAAAHATTITVVNRCSYTIWPGALPGGGARLDPGQSWQLNMPAGTAGARVWPRTGCTFDGSGRGHCITGDCAGALVCRVSGEQPATLAEYTLGQGGSQDFFDLSVIDGFNSPMSFQPVGGAPCRAATCAVDITKECLPELQVPGGCASACGKFGGDTYCCRGQFEHQCPPTTYSKFFKNKCPDAYSYAKDDQTSTFTCPAGTNYQIVLCPARNDLHMDE >SECCE6Rv1G0415770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:644368507:644371448:1 gene:SECCE6Rv1G0415770 transcript:SECCE6Rv1G0415770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLMLFNANIHRTDYLNGGTAIHFAALHGHARCLRLVLVDYVPSIPNFLNRTNHRLSEEVSDADFDDDGLVKMVNWKADGGLTPLHMAALNGHVECVQLLLDLGASVSEVTIEDGTTIDLIGSGSTPLHYAACGGNAVCCQLLIARGANLAAKNASGSTPLMVAHSWHRNSIEEILSNEPGGQIRTLPSPYLCLPLMSIMNIARECGWRYLNKSPVCIDPCAVCLDGSCSVAAEGCKHEFCTRCALYLCSTSYTSANPAGAIPCPLCRHPITSFVALPGTSPIREQLPRNSLSLSFCATCPAVSSDSAAPGGGHPYRASSELHCGGAMRMPPMGSSSFRSLSCQAMKLNPSFCMGAMDTNPCLIRCSRFGSSLSRSASHGEASRRGGGGAWPVTFSPIVASSS >SECCEUnv1G0531100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16119149:16122064:-1 gene:SECCEUnv1G0531100 transcript:SECCEUnv1G0531100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAPVRVNVGFAAGVLFALLVCLILQQEVAMTGLSVATTAAVGQWITLKQLTRDPGAMQLIKAPGESETLVASDVQRAPDAHPIQGSDNGKVVCNTEGRSRDWSETCEVDGDVRTNGTALSVTLVPTSRSSEHREWMISPYARGGQSLRSVTITQLPDRSAAPPCTLTHTMPAVLFGIAGYVGNYWHDYTDILVPLFVASRRYHGEVTFLVSNIQHLPRWLVKYKALLQGLSKYEIVDMDRDAYVRCFPRVTVGLHLDKELTIVPELVPGGPLTMADFTRFVRETYALPRGATTREPGKKPRLLLIHRGEFRRFLNEPEILQAAEAAGFEVMLSEPRVNGSEVDQARLVNSFDVVLGMHGAGLTNAVHLPPGGVLIQVVPYGKMEYLARAEFSEGATDMGLKYLDYSMSAEESSLMETLGPEHPAIKDPESIHRSGWENMFELYLKQNARINTTRFAPTLAQAFDHLRQQ >SECCE5Rv1G0376410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872329697:872331351:-1 gene:SECCE5Rv1G0376410 transcript:SECCE5Rv1G0376410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCSNLPFDEAQWIIRIRRILEEEIELGDDQPISIFDVLKPLLCTKPEAYVPQLVALGPYHHCREGLRDMEMYKLSAAKRAQSHLPSMNFQQLVGVFATFEHRIRSHYHRQLNLTNETLAWMMAIDVSFLLEFLQTFCETKNQRMLQRMPSRMSHLVDPSHRTSVHSMLLCDILMLENQIPMFLLVKLVEMWCSSGHSAQQPNLSSMLSGLFQEVCLLKGISSPCTDATRHAHLLDFLYSNMLPCGCVEESDGPMEEAEDGLSDHLQRSTLSSITELLMKRGLKLASLVTDFMVSMFLKFLASLPCLSMVRQPIEQLTSQRGVPKPKGASDVHNKNISPLLEEIAVPSIAELTYVGVTFTPTVGGISTIEFCTKTATLHLPVISIDMTTEVVMRNLVAYEASIGSRALVFARYVELMNGIIDTDGDARLLREHGIILNHLKSDQEVAELWNGMTRSVRLTRVPALDRVIDELNRYHGACWKVRVRTFVKGHLLGLRDLVACVMMVLLLLFVGVQAFCLSR >SECCE4Rv1G0233650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:245514555:245514896:1 gene:SECCE4Rv1G0233650 transcript:SECCE4Rv1G0233650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSISPKRILRSFQGPLTEEEMREDPGPEQVRLGYRAVSAVGVTEDVLVRFIVGYDILVDCWECPLYSKYAFLGALRSAAQMVPDEVSIGLILIVRLVSTFGSAKAIARIFA >SECCE5Rv1G0335780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:579492846:579496284:-1 gene:SECCE5Rv1G0335780 transcript:SECCE5Rv1G0335780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGGAGAMSKRLMERMLGMFRSRTQVGVDRAGNHYFSRVEEVDGAMKEKRWIEFKGDRDPTTVPVEWICWLNGQRKKAPTPEELAELEMRRERVKQNVELLKKKDEEERRAGVRPVKTIGKTDSPNLRSFTQQFPGTSEDKKKEPEKVSNPKDATDSEDARTDNDRSSEPTGTGASFKPGTWLPPS >SECCE5Rv1G0309790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:159792025:159792525:-1 gene:SECCE5Rv1G0309790 transcript:SECCE5Rv1G0309790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGCVVAEECTLAVSTERMWKAAFSGEDMTILPKACPGFIDAVDVEGDGGPGSVSTMTLSPAAAELAGLGVTRSRMVARDNAARVIKMEVLEGSKVSGQLKSQVVELKLEAAGEDACVVKLRVEYEKLDGGGALSAKDEATLAAGYLDLFKMVEAYLVAHPAEYA >SECCE7Rv1G0518790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:861916483:861917052:1 gene:SECCE7Rv1G0518790 transcript:SECCE7Rv1G0518790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLQSGHRIHSWPPAPSRVGRRPPAAYMAASKGARKSLVSRTLERCRSGLNSGGRSSAAVAPGCFSVYVGPERERFVVRADRANHPLFRRLLDDAEQEYGYAAQGPLALPCAVDAFLDVLWHMDHDVQDDDDDDGEAAVAPSTPICGLQRAGSGSIKVRPAGYRVLSPAKSTPASFFFSQTAAGGRR >SECCE3Rv1G0182430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:628444251:628448119:1 gene:SECCE3Rv1G0182430 transcript:SECCE3Rv1G0182430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSMMRSAAQLLRRRNYSSAPGQQARKVAILGAAGGIGQPLSLLMKLNPLVSSLSLYDIAATPGVAADVSHINSPALVKGFMADDQLAEALDGADLVIIPAGVPRKPGMTRDDLFNINAGIVKNLCTAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKRLFGVTTLDVVRARTFYAGKANVDVSTVDVPVVGGHAGITILPLFSQATPSTNALSAEEIKALTKRTQEGGTEVVEAKAGKGSATLSMAYAGAVFGDACLKGLNGVPDIVECSYVQSTVTELPFFASKVRLGKNGVKEVLGLGQLTEFEKEGLEALKGELKSSIEKGVAFANAS >SECCE4Rv1G0282370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829094144:829096169:-1 gene:SECCE4Rv1G0282370 transcript:SECCE4Rv1G0282370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELKSVAYEADDVLDNFQYEALRRQSKISGSTSRKVLGYITRHSPLLFRFEMSRKLKNVLEKINKLVEEMNKFGLENSVSKEERQHPWRHTHSKLDESTKIFGRYNDKERVVKLLLDQQDHRKVLVLPIFGMGGLGKTTLAKMVYNDQGVQQHFQLKMWHCVSENFDVISLVKSIIELATNGSCNLPGNIELLKKRLEQVIGQQRFMLVLDDVWNEDESKWEDVLKPLLCSVGGPGSLILVTTRSQKVASIMQTLRPHKLACLSEQDSWELFAHKAYSNGVEQEQAELVSIGKRIVNKCRGLPLALKTMGGLLSSYQQVQEWKAIEESNIRDTVRGKDEIVSILKLSYTHLSSEMKQCFAFLAVFPKDYEMDKDNLIQLWIANGFIQEKGTMDLTQKGEFIFDELFWMSFLQDKQERTHNYGIESCVTSNCKMHDLMHDLAEDVTNECASIEELNQQKALLKYVCHMQMAKDKLEKISGLCKGNPTSQPPQLRSLP >SECCE5Rv1G0319180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:371698702:371700018:1 gene:SECCE5Rv1G0319180 transcript:SECCE5Rv1G0319180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGKIVMGKYELGRLLGQGAFGKVHYAKNLESNRGVAIKMMDKEKVLKVGLAEQVRREITTMRLVAHKSIVQLHEVMATRSKIYFVMEYMKGGELFDKVSKAGKLTEGAAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGSPAYVAPEVISKGGYDGAKSDIWSCGVILFVLVAGYLPFQGQNLIEMYRKIEKGDFRCPGWVSQKLQKLLYKIMDPDPNKRISIQKIKESTWFRKGPGENLTAKERLPSENATTDAVPTLGVRRRKNSHEDGKPLAVTNLNAFEIISFSTGFDLSGLFVEKESKKEARFTSEQPASAIVSKLEAVAKTLNLRVRKKDNGVVKMQVRKEGRNGVLQFDSEIFEISPSYHLIEMKQTSGDSLEYQKLLEEGIRPALKDICLGMAWS >SECCE7Rv1G0477130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:214583291:214586343:-1 gene:SECCE7Rv1G0477130 transcript:SECCE7Rv1G0477130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related protein 2 [Source:Projected from Arabidopsis thaliana (AT2G39830) TAIR;Acc:AT2G39830] MLATQAISCGVLSLCFLILFNAERRSSFMKWLSNFLKGTKPVESNHRRRPRVTAGEESSLWQQEPIRPKREDPPKHDNEELDRQIALSLAEEAKRPKERNHNKGENDEDLAKAMQDSLNMNPYMPHNPYAPSSQALPRGQRVCGGCKHEVGHGHYLSCMGMYWHPQCFRCSSCTHPIRETEFTLLGAEPYHKLCYKELHHPKCDVCLQFIATNRTGLIEYRAHPFWGQKYCPSHELDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLDSAVMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGECKGPHHMPETRGLCLSEEQTVSSILRRPRIGGHRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLSPEVEEGICQVMSYLWLESEILPASTRHAQPSTSYASSSSSSSYRPPSSKKGGISHTEKKLGEFFMHQIANDTSTAYGDGFRTAYKAVNKYGLRQTLNHIRLTGGFPV >SECCE2Rv1G0118980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:787188432:787192637:-1 gene:SECCE2Rv1G0118980 transcript:SECCE2Rv1G0118980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLATAAAAEDTAELLEVRCVGCRETLEVERGLTEFVCPDCATPQSLPPELMPPPRRRALPLPRGAADARGARLPCGACGELLSVPVGLSRCACPFCGAELAVDSARLRNYILTSAAAAVVPRSSAYVPPIVAARETWQERPSYAMCAGVPQAEPDVRLIPPRRTQVERPSGLIHVHWDEQEYPDHMIDGEEIPVANHSLQGNRFSLGRGVARNDQHPSYSIQPKRAQLARLHRVVHSEEMQDGPLSHEIYREARHTELIYEATATHRNQRVGCSTAPQTPSVGERHMGTPTQIIHQVHKQPYHESHAEGSQIDCLDVDGVVHPPVNQANHGEEASTGMIDKMLSRESTWPTGCSVGPNSVNVEKRKAAITNQVKQHMQKQQSDATRSEHTQKEHPDQAIREPTNHLTNREAMYSLPIKETTAGCSKQKKSELVNAKTIAEKRHMESLNHNIQLAEGKTSDTDSHEIQVDFERQSKANERHVNTSTLKGREHFIPPNKLADLKQKNVCINDEIQKEQTEGNVSKQTSGWTQKKNRKGSIASSTEGLQLKRSKRLAKDSSAALENKPLESDPGDLQNFGHNVQVPVGAMDDESIEWVPLQQCPASPDCEVSVATTDTDSVESDNDEDYVVSPNQSMSESDHPDNDEDYCRSVHKMPQEISDELDDPDVTTTPLVPDMSDPEHFARYYLPLEVRRALAKGKKAGGRLCVKVWTLPKGVRIPVSLNTSGLPVGENAITLINFLGALARDAVLAPLTYVSWKRFPKENKDVMWHIVKLKFDVHPPRELSILSSIRNKWKFWKCHLKLKHHDPHITEEERLADRDPRVPKEQWRALVAYWNTEKAKARSAAGKACRAKSTYITKTGSKSFARIFEEESQSGDPALENPESSGDDYAPAMGAKRRASACRYTPGPSPKDLQERSDPQAASAKRKAGDEVPTPRKKVVVTEESRPKNSQANAVLEAARAKRRAEDEAAALRKKVIVMEESQKKLQEDLARVTNAMSAMQKMMSTGGLPNGIVGKPVMPPSFQQERNEASSDDVLESHIVYSGLRHPSLHNQRTR >SECCE1Rv1G0055090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678160872:678162347:1 gene:SECCE1Rv1G0055090 transcript:SECCE1Rv1G0055090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGAAWVADEFAWSTEMLGTCFQTYVPSSSSGGQLQQVQYAAATPNTPCEEEAHPQNKMEMEIGDPMQVFKETADEFEVDIDMLDRKMHRYPGLIRRLSDGNKNYTTPVTVAIGPYYHGQDDLKLAEKVKYVAAYHCIMESGYSVQEMYGAVISVAGEARGLYDKDVMAGISDKDFETMMFYDACFLVQFMLKLTGSKLNSYLSRYFEGNANDVFRDILLLENQLPWRVVETVMWFRQVSLPKLVNSLKNGLHDRKVRERKPLDWEDKYKPPHLLGLIRFYIVGRSKPKPKQKPLPRLESVSISVGAMELAESGIMLTANKTSDLVDMGIDTKGPLCAELSLAPVSLNDLRSVWLLNMAALELCTTLEFQGRDVEDEASAVCSYLLLLAMFVDKEEDVHQLRTQSVLQGGGGLTNKEALSFFTSLQDLPLGRCYVRTMLEIENYRNKQRRKRTRCHAFFHKNWKIIATVFSAVAALITIISTLASLKKQ >SECCE1Rv1G0057530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693886735:693888361:-1 gene:SECCE1Rv1G0057530 transcript:SECCE1Rv1G0057530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLGAPGTRWGLGTRLAQAVFAAAAVAFMASADDFHVVTAFRLLVAAASLQCLWSLALGAVDIYALLVKRSFRSPRATTIYSIGDWVTGALTFAAASGSAAITILINNDLMLCSANHCPSFMAATAMAFFSWFAIAPSCLFNLMMAVYRVQRA >SECCE7Rv1G0486890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:421220027:421225646:-1 gene:SECCE7Rv1G0486890 transcript:SECCE7Rv1G0486890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRGLLPTLLRTLTGTRHAGAARGLATEKAVGAAAVVGSHTAKWMQDTSKKSPMELILEVPPIKVEGRIAACEGDKNPALGHPIEYICLDLDAPAVCKYCGLRYVQDHHH >SECCE7Rv1G0459190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:24625775:24627333:-1 gene:SECCE7Rv1G0459190 transcript:SECCE7Rv1G0459190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPMPPPPPPPPPPPLPDELLEEIFLRVPPDEPEHLVRASLACKLWLGILSGARFRGLYREFHGAPPMLGFLYNWPFFSGKEDDPVANFVPTAKFGALVPHDDDVWDREYTPWDCRHGRVLLENYMGLIVWDPMTGRRMKLEAPVGYVGAAVLCTVRGCDHRACHEGPFRVVVVDLDMNEDDGDCVAYAYVSSPVTAAWSEPGSDSLFDGWREHTPGSDSLFDGWRESCSGLHLITADPFIDSLPPVLVDSALHFMLRYDDQRVGILKYDLSSNCLSLIDAPLTESAIVGPAILMAMEDGGLGLAHLDGLILYLWSRQMGSDGVVSWTQRKVIDLKEFLPIQNPEIRISVIGSVEGRDIIFVTIDLGIYEINLKTLRWKKLWKREKFCSLIPYMSFYNRQEKVRPCKTLTTHMVEYAYRSPFS >SECCE7Rv1G0522420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:877500943:877502051:-1 gene:SECCE7Rv1G0522420 transcript:SECCE7Rv1G0522420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVTPAARVSELMAQGRKSAAALLQIQDHAEVLRCCDRALAALHGKAGRKKRKLGLQGAATQTTRPKRRMRSRETAAATRVERKHNWDDGFVWTKYGQKDIRGSDHARHYFRCANYTLDGGGCPARRQVQRSEEHDPPLYVITYFADHTCCHGAEAIAALDDIKIIDFGSVGSRSPRPDDGDARSGKTSRSEELPAEAAKVESTPLSDLRPVRKVLELSSSADDIHCSTSWASASWDPSAAVCSDWDFFGDCSFDYVGEFFDVEDVTLYR >SECCE5Rv1G0366000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:810375846:810376490:-1 gene:SECCE5Rv1G0366000 transcript:SECCE5Rv1G0366000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDRHEHHLRRCCGGLAACLLFLVVAVAVTALVVYLVLRPAKPSFYLQDLQLRSVSLGDPSLSATAQVTLASRNPNDRVGVLYRRLDVFVTYRDEPVTVPVSLPPAYQGHRDVTIWSPVLSGESVPVAGFVADAMRQDIAAGYVALQVKVDGRVRWKVGSWVSGSYHLFVSCPAVLAAGPGGVPPGGGGPNGTAGRAVASLKFTQPTGCTVGT >SECCE6Rv1G0408720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:576346741:576348421:1 gene:SECCE6Rv1G0408720 transcript:SECCE6Rv1G0408720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVRMATGKALLILVAVFIICAGGGVVVSPAAAEELPLVPAVYVFGDSTMDVGNNMYLGNVTPPFPPLPYGIDFPPGSGPNGRFSNGYNMADSISRLLGFNMSPPAYLSLTPETSVEILKGFGGVNYASGGSGILDITGNASLPLSKQVEYFADTKANMTEASGGNSTDVDALLSKSLFLISDGGNDMFEFFAKNPLDNSNDQPFYDDLVSNYTEYVKRLHELGARRFGVVGVPPIGCVPVLRALIFPFGKTLCLSRANDLAGGFNEKLSVAMAELSASLPGMKYSMGSSYNLVLNFTGSPEAAGFNNVNSACCGGGYLGGQAFCSSPNTTYCDNRDDHLFWDGVHCTQATSNKGAKAIYDAPLVEGGFAAPINFRQLLLDDQPPASAVNLLITSA >SECCE6Rv1G0429540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736189297:736190401:-1 gene:SECCE6Rv1G0429540 transcript:SECCE6Rv1G0429540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRTCSASALAVALVVGVLASIPAEVQSIGVCNGMMGDSQSLPSRADVVQLYKSKGISAMRIYAPDPETLRALGDTGIDLIMDVGNGNLSALASNPGLATSWVQENVLAYPRVSLKYIAAGNEVEGGDTQRIVQAIKNLNAALSAASRTDVKVSTAVKMNVLASSSPPSTGVFKDAYMTEVTQLLKDTRAPLLANVYPYIAKRDTPTIDLSFALFQPNTNPVNDKGLTYTNLFDAMVDAMYTAMEQAGASDVPIVVSESGWPSAGHNLATIPNAQAYNQNLIDHVGKGTPKRAGPLETYIFAMFNENLKPGEDTERNFGLFKGPDKTPVYTIRLTN >SECCE1Rv1G0038810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:543153074:543155393:-1 gene:SECCE1Rv1G0038810 transcript:SECCE1Rv1G0038810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPTRRLPAAPSRPAAHPYISPARPSLRFRRQHGRAATASATSATPPVFGDTLLGLYEKERLGLSRYADEESREDVFWETLDADLQYWTRSLRPVQWYPGHIAKTEKELKGQLKLMDVVIEVRDARIPLATTHPKMDSWLGNRRRIIVMNREDMVSADDRNAWATYFSSQGIKVIYSNGQLGMGTMKLGRMAKSAASTVNTRRREKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGKDLELLDSPGILPMRISDQTAALKLAICDDIGERSYDFADVAAILVQILLRHPAVGSEAFRKRYKIDVDSDCGKLFVTKLSVHLFNGDTTQAAFRILSDFRKGRFGWVALERPPT >SECCE7Rv1G0455500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:7771431:7773005:1 gene:SECCE7Rv1G0455500 transcript:SECCE7Rv1G0455500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVAAPLLTSHKARPSIDETMEAYMGATGAGQLLKAVLLAFAWALDAQQVFISVFTDAEPPWHCTGADPDASSCSTAAASPCALPPGAWAWDRPAVTSVVSEWSLECASPALVSLPASSFFAGCLAGGFLLTTLADSLLGRRKMLLASIVSMSAAGVVTAFSPNVWAYAALRFVSGFGRSMVGTCTLVLSTELVGRRWRDTVCVAGFLCFTLGFLSLPALAYTFREASWRNMRQDAIETLQQMQIGGITSSSFSMVHACAMNDDDDEDASSVFATLHSMWERPWALRRLAAIMTASFGVGMVYYGMPLNVGNLGSNLYLSVTYNALAELPSSVLSWLLMGRINRRSSVVALTAAAGLWSLACVVIPRGTGTARMATELVSFFATCTAFNVILMYSIELFPTSVRNSAVGLVRQALVLGGMAAPVLVALGRRNNFFSFGVFGLVIGCFGMFAACLPETRGKAMSDTMDEEDHTCTVHHHVDTSADLHLVQFP >SECCE7Rv1G0497000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:619452718:619454375:-1 gene:SECCE7Rv1G0497000 transcript:SECCE7Rv1G0497000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLCIVVLPAVLLLLLSSKQHRNCYVGGRSLPPGPPWPRLPLLGNLLYRCPTTASLVDALRRLHADYGPVVTLWAGGKPVIFIAGRDAAHRTLARAGATFAHRPPSWSFGFNAHGVNSAQYGGRWSLLRRNLSSHLAGAPLAGALQSSLGCLVSSLECAAAAAENHVVVPSEMLRYAVFSFFASLCFGEGAAEDVLRELRGVHAEILSLVVELGAFHLMPALLEVACYFPRCRKLSNARRRHRAIVMALISACQQRDRDGVGVWRRRCYVDTLLELRLQDEEMVSLCWEFMNAAAKTTSTALEWIMARLVLHQDVQRKLREDIARRGVGGENCTANGEQRSPFLEAVLLEALRRHPPAHYLLAHTTDKDVDLDGYVIPKGSVVNYGVADIGRDATSWTNPGDFLPERFLEGGEGYGVSVTTGNGSGEESMKMMPFGSGRRACLGAFVALTALKSFVETLVTRFEWTPVGAVDMEEKPGLVTEMRTSLRTCLVVRTCTADELIA >SECCE1Rv1G0039460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:548471813:548472580:-1 gene:SECCE1Rv1G0039460 transcript:SECCE1Rv1G0039460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKQPLSSLFYPVNSANKDTPPSSPPTPTATPQAWMWPSCKNPTAHSFRSPSASAAAAAAAAAAKNAASLFVDSAESSSFTNSSARMHHDCAASDSLSTESEASGAAAEDMADAIVRGLRSDRLRFEPRAPSSSILEKKPPSAPARGHEVAGAMSFGGGVAVAFESADPYRDFRSSMEEMMTAHGAGDWDWLEKMLGWYLRANGKGTHAAIVSAFVDLVVTTTASCSSGHSSFTLAGSDLESSSAGRNGSFRLR >SECCE5Rv1G0372490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853125993:853129554:1 gene:SECCE5Rv1G0372490 transcript:SECCE5Rv1G0372490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLASSRGPAGPSLAVAGRRPRSSLSPRLPCPLSLQIQTRGYSMPTLHYKDHTTVGCQASVASKYMETPEIVDLDWENLGFGLVNTDFMYMAKCGPDGNFSSGEILPFGPIALSPSAGVLNYGQGLFEGLKAYRKTDGSVLLFRPEENAIRMKNGSDRMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLIGSGAILGLAPAPEYTFLIYVSPVGNYFKEGLAPINLIIEDNFHRAAPGGTGGVKTIGNYASVLKAQRTAKEKGYSDVLYLDAVHNKYLEEVSSCNIFVVKGNAISTPAIEGTILPGITRKSIIEVAESKGYKVEKRHVSVDELLDADEVFCTGTAVVVSPVGSITYKGKRVQYDGNQGVGVVSQQLYTLLTSLQMGHAEDPMGWTVQLN >SECCEUnv1G0533580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:31255750:31256676:-1 gene:SECCEUnv1G0533580 transcript:SECCEUnv1G0533580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNIKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGL >SECCE2Rv1G0109130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:673000734:673003847:1 gene:SECCE2Rv1G0109130 transcript:SECCE2Rv1G0109130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDATGRQTGRLGGIRSIMALVGFVDWRGNAIRKEVHGGVRAAWFLYVLTVVTNVVIIPNLLNLVTYLHGTMHMGVSASATTTTNFFGATSGFAMIAAFLSDSYITRFRTMLLFGPFMFLGYGLLALQAYLPSLRPPACNIEAELNSCEVVHGWNATLLYTALYMTAFGDGFIRVCLPSLGADQFDHEDPSESRQQSSFFNWYTFGISFGGFVGLILIVWLQNYKGWDIGLGVCAIIILLGLLIVAAGFPFYRNQVPQGSPLTRILQVLVVAFRNRKLELPEKLEEVKKSCTGTRACSVDALTQTNSLKFLNKACINRGQNGAWSVCSLRKVEETKIILRVLPLFVSSIVGYISNVILFTFTVQQGTMTNTRLGKIHVSPATLFIIPIIFQMLMLAVYDQFLVPFLRRRTGYAGGVTHLQRIGIGFVTMLLASVIAAIVERKRKEAVVQMSLFWLAPQFFLLGVADVTSFTGLLEFFNSEAPRGMKSIATALFWCALGLASLMATLLVEIVNKATRHGHQGGWLEGTSLNNSHLDLFYWVVAVVGLLGFCNYLYWAKKYVYQHNPRIVETSVDQDSP >SECCE5Rv1G0331830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:541532325:541533098:1 gene:SECCE5Rv1G0331830 transcript:SECCE5Rv1G0331830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVDGPSLRSLLRPSTNGRRTKIPDSAGGGGGGGGGKGGGHGGGIFKMFKLMPMLSSGCKMVALLGRHNGRALLADHATTVTLFGHRRGRVSLAIHEDTRAPPVFLIELPMLTSALHKEIASGVVKLALESDTRSARRRLVEEYVWAVFCNGRKAGYSIRRKDASDDERHVMRLLRGVSMGAGVLPAAPDKDGGVPSGGPDGELTYVRARVERVVGSKDSEAFYMINPHEGGVAGGDGGDDGSAPELSIFLVRMK >SECCE7Rv1G0517380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:854011550:854011831:1 gene:SECCE7Rv1G0517380 transcript:SECCE7Rv1G0517380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEIFKHVRDVDCYPNISIAYRILFTVPVTVASAERSFSKMKLLKNYLRSTMSQERLNGLVTLCIEKKLLDDINIDPIISDFASRNVRRNF >SECCE3Rv1G0145180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5916701:5917243:1 gene:SECCE3Rv1G0145180 transcript:SECCE3Rv1G0145180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATSVAIVLIVIAGVSSGLHATYGDTNMAARMCLRTTNPMLCMLVLKINPKSAYASTEQDVSSVALQIASDTAEYNLGVINNLNKGSLGTPEGGALAQCLWAYQDADNNLKHNARTNFDRGDYVGAMALVSGARMVGDICENAFRLIGKNSPVSKIDREMTQRCVVAAELIGLLTHK >SECCE7Rv1G0482070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:299716384:299719911:-1 gene:SECCE7Rv1G0482070 transcript:SECCE7Rv1G0482070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDPPGAERRRPAPPLPSPIVHLSFSSDASCFVVAGTSSVHWLSCDTFGLRGLYQEKDVRKTIAAAAGNMLNLKESACATVSIVDSTKFFIRRWKPGYMNYHWRYFEGEKTYTGGEDDVRAVRVHGAKTVVVLVDRLEVLGCRTKDTEDKELWLLHSVVTGGNPLGLCAVSSGAPFAFACPGARDGEVHVERWEDEGEVAAPVVAIRAHSSSLASIAMSCDGQLVATASVRGTLVRIFSATDGSLLQELRRGRDGADIHCIAFSPDSKWLAVSSDKATVHVFSVNVDLASLTPEDSNGAGGLQAAPAPSSPAVATANKRSSLSFAGGFLPGYFSSEWSSTQIRVPEGTKYLVAFGSQPNTVTILGTNGRFYRCQFDPEKGGVVDVRHKGTSCGSVEQDVECINFMNPSKKTSNSKPSYGS >SECCE3Rv1G0171910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:279167515:279179025:1 gene:SECCE3Rv1G0171910 transcript:SECCE3Rv1G0171910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHAAPELPKRCHHCAGPLSKDMETSSWTVTPLVRDSFSMIGSAVGGTAGAFYAFNHAMPVVRRYIKGPMWMHFLVGAPPVVVFSSACAGLAAGTIPALAQLVSSSCHAAMSSPSFARPASHDDMHKSRGSSPL >SECCE7Rv1G0524010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884282421:884284433:1 gene:SECCE7Rv1G0524010 transcript:SECCE7Rv1G0524010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLDKLWEGWQVEMLLLSSLLVQVLLLTITEWRRRVSRALLSEALNSILWLLYQLADDVAIYVLGHMSLSSKPRQQQQLMAFWASLLLVHLGGQDTITAYAIEDNNLWLRHLFTLAVQAAGAAYVLYKYVAAGSWTLLAAAVLMSFVGVIKYGERIYALRSSVLDNMSKFLDHVKVPSRQASYPFLQGAHDLLHVCMGQLVDNNVMPSGFQYGAIKAYHDPANADGTAGRSMLPQLVGMQLSLMRDLLYTKAAVIHTTSYGCISRIFSTVSTIVAFYLFRQSAMAGAGYSKGDMAVTYILLAGAFFLELASLTRAFLSTWTCTWLKAAGWNRLHAALVWLRTGVKAARRCRRWSGSIGQHDLLEYHRHGKTGLVYTIASLVGLEHRWNSLRFFHPLVISLDLQDFGISTGGAGAQFYTGAPGTDAEEDGLDAEEAGFDGTILAWHYATNAFLDLFDLCCTLPLAERLHARAPIYMDRQVQGMTSEVKERQQGLAKAVRVLSRYMAFLLVERPHLLPSPVRRSKYDSFCMAYREFPRISDLQGAADMSQVYGVLRPAVHLANKLLARCEINGAEDVLLVISQVWVEMLCYAASHCNHDAHARQLSSGTEFITVVWILSTTMKNGLSPGDIRGFS >SECCE6Rv1G0397800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:403174464:403178549:1 gene:SECCE6Rv1G0397800 transcript:SECCE6Rv1G0397800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATTSSSSSTPKNPNPFNLPPWLRCPLTFLCPAPPPPTPPPPPPPPPPPPPEPTIVAPAQRYRMPGLRVTTEYDSEEALFAHKVSCKLAGGLAKLRLSFQSDQQGHGEDPRQLFGAPVLSFVTKHFSAMYDVEGRNALLRGNASLPGGAVQLRASHDVKEQEGEVSVITRLGDPSYRLEISSLVPYNGLPRATLHFPIGQVSVEERTNEEDQKIFSVYGIAKMDFLDGILTAQYNENDLNLRYCYKDNELTLVPSVSLPSNAVSLDFKRRFGSSDKLSYHFNFDTDEWNAVYKHTIGKNYKLKAGYDSEVRVGWASIWAGQEEGKAKTAPMKMKVQLMLQVPQDNIRNPSLLFRVKKRWDL >SECCE2Rv1G0099260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:513836594:513852678:1 gene:SECCE2Rv1G0099260 transcript:SECCE2Rv1G0099260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGSSKDVADSVEKWMAFPSGSGSGEETSFTLPTPPSLSSGKEIVEEPGGSGSGTKQQGWWPKPEQRGRSSSGTGMGSAKPSMEGRGSSDALPRVSQELKDALSNLQQTFVVSDATRPDIPIIYASAGFYTMTGYSAKEIIGRNCRFLQGPETDQNEVSKIRDAVKAGKSFCGRLLNYRKDGSPFWNLLTVTPIRDDNGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIRYDDRQKEKAMSSMTEVVQTVKHRRADSPEREPPKASDADKISSPLVAPATPSGGGYKSPYWDLKKEESRMSKMMSGRKSGRSSLMGFKVGKRSSVGSREPAAVEAPELKMTVERTNSWERAEREKDIRQGLDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGSETDQTTVDKIREAIREQKEVTVQLINYTKSGKKFWNLFHLQPMWDQKGELQYFIGVQLDGSDHVEPLRNRLSENTEIQSAKLVKATAGNVDEAVRELPDANLRPEDLWAMHSLSVSPKPHKRNNSSWKAIEKIIETGEKIGLKHFKPVKPLGCGDTGSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRAIIEREIYSLLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFAALDKQPLKIFREDSARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQADGHIVLTDFDLSFLTSSKPHVIKHSTSLKRRRSQEFMPPSFVSDPSTPSNSFVGTEEYIAPEVITGAGHTSAIDWWALGILLYEMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVSLEAKQLIHGLLQRDPSSRIGSNTGANDLKEHPFFEDIYWPLIRCMSPPELDVPLKLIGKESQPKVKPEEGVIDTF >SECCE5Rv1G0351070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:696259404:696262807:1 gene:SECCE5Rv1G0351070 transcript:SECCE5Rv1G0351070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGVVRRRGCTCGKADFFPEESFSSWAAYGRALRSTGPRLADRLTSRSLEATELHEVRARSGADMKRDLTWWDLAWFGVGAVIGAGIFVLTGQEAKEVAGPAVVVSYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFVAAGNILLEYCIGGAAVARAWTSYFATLLNHRPSDFRIHAPALSAGYSELDPIAVVVITLICALAVLSTKGSSRFNYLLSILHLAVIAFIIVAGLTKADPANMRDFAPFGARGIFAASAVLFFAYIGFDAVSTMAEETRNPARDIPVGLVGSMAVTTALYCVLAVTLCLMQPYASIDKDAPFSVAFADRGMNWARYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAQVHPRTGTPVNATVVMLFATAVIAFFTDLAILSNLLSISTLFIFMLVAVALLVRRYYVTGETAAANRNKLAACITAILATSVATATCWGLNVDGWVPYAVTVPAWLASTVCLWALVPQARTPRLWGAPLVPWLPSASIAINIFLLGSIDAKSFERFGIWSAALLVYYLFVGLHASYDEAKAIAAEAHAGKVEDGDAGQIASPTNGK >SECCE1Rv1G0037790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:530925716:530927397:-1 gene:SECCE1Rv1G0037790 transcript:SECCE1Rv1G0037790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAPEPAVLPDDALAEVLRRLAPHVLAAARRVCKAWRNAVDARLRGSLLSRSVGGIFINFTGHSCFSEFFSRPSTGPAICGGLDFLPCMGVQVTDHCNGLLLCGDRVNEGRTLPREYVVNPATRRWARLPQRPPPHMPGFDQTAYLAFDPAVSTHYQVFLIPRVPAASESDDESEYNDNPLEWPPASYVMHVFSSVTQRWDETAFLREGEAAGIVANMDSDLWYKQHRYNAVYWQSTLYIHCQHGYLMRMSLSDLMYTVIKLPGACELRGYPNHHLGRSLRGVYCAILDGRNQLQLWYLNDSCGRIEWALKHDTFLGKTFEREDYAQQLAKRWILQEVNYHKWSSKYPEDAYTRHANYKAPVEEKYDWNSDEDNVLDIEDDVQEGYYEYYYFLGFHPYKEVIFLISSGISARGLAYDWNNSKFQDLGTSCPADYDTGNRRLCGETNSSFPYTPCWMGEFAGNELESLLEDEKLYRKKLEQESQRKEKATFTCMDEYELRKHRGHTKRVKDSNAKNRRRRRTAAW >SECCE5Rv1G0318310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:359443637:359446051:1 gene:SECCE5Rv1G0318310 transcript:SECCE5Rv1G0318310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRKSDKKAALDFAAWSFNITSSVGIIMVNKALMATHGFSFATTLTGLHLLTTTLMTIVFRWLGLSQPSHLPLPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDRVHYSRDTKLSIMVVLVGVAVCTVTDVSVNAKGMLAAVIAVWSTAFQQYYVHYLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDFLLTGKRVDHFNFTSLSLLFVVLSCIIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLFLGFLFFGKEGLNLHVVLGMILAVLGMMWYGNASAKPGGKERRSVLPVRSERHNGGSEDKDGSEK >SECCE2Rv1G0131790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887189287:887190387:-1 gene:SECCE2Rv1G0131790 transcript:SECCE2Rv1G0131790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAGCCDLPTDVLVEILLRLPPSSRRRARLVCRHWRDIVGEHTTEMRSRVKALLWWNAAYIIDDLSSSSTGSCRELWRSRRSELIGTCNGLLCFCNNEAASGGVITLVNPAISETLSLPALPCARLFIGRDCWNYWDQAYSFAYHPTSGRYKVVHVPCSFGHVCEFKDVRMLTLGETSWREVPDGLEGGARCNLDAGIVSIDGITHWVTEGAAPRVVSFDLEDERITSLAELPAQAQPAGPDHYRLTEVHGRLGIVWIMAKGRRWSRRYSLRRQYLPWPHFVYGEYILTREESSLHGHHKRKGPSLSGEVVRVGQQDHGTLVANIKGANFHSRCRTFAYVETTEPLSVYEANKY >SECCE2Rv1G0131060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:882374835:882375833:1 gene:SECCE2Rv1G0131060 transcript:SECCE2Rv1G0131060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKISALLMLAMLALSSPTVVLACSPASGCGTGTPSSSTPSAGGVSIPPVIGGVVGTVTPVIGGAVPTVGGVVGKVTPVIGGVVGKVTPVVGGMVPTVGGVVGKVTPVVGGAVPTVGGVVGKVTPVVGGAVPAVGGMVAPVVGTVGGVVGKVPVVGGAVPAVGGVVSPVVGTVGGVVGKVPVVGGVVTPVIGVVAPIIGGGSPASPSPKTRHGGRKACPPSPPTPAPSPPTPAPSPPTPAPSPAPSSDTCPIDTLKLGVCLDLLGNELHIGDASVKCCPLVEGLAGLTAAACLCTAIKAKVLNLALYVPLALQLLVNDCGCAVPPGYTCA >SECCE7Rv1G0505490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:735937922:735939365:1 gene:SECCE7Rv1G0505490 transcript:SECCE7Rv1G0505490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLPAFTVRRGEPVLVAPAEETPRETKTLSDIDDGEGMRFYSSGIHLYRANPDKQGVDPAVVIREALARALVPYYPLAGRLREEAGRKLVLDCEAQGVMFVEADVDLTAADFGDVQSPPFPCFEQFILESTTVAGVEPVIDRPLLYIQVTRLKCGGFIFGQRFCHCVVDAPGGMQFEKAVCELACGAAAPSITPAWGREMFMARQPPQPSYPHLEYSEPAGGAVDRMLTTPPGDIARVPFFFGPREIAGLRQRAPPHMSRSSRFELVAACIWLGRTAALGYGADEEVRLSFIVNARGRRDVPLPEGFYGNAFAYSVAATTAGELCAGGLGYALELVKKAKSAVTYDYLLSVADLMVLRGRPLFALSRTYIVSDVSHAGFKSVDFGWGEAVYGGPAKGGEGPIPGVTNYFSRSKNGKGEEGTVVPISLPKDAMDKFQLEVEGLTAEI >SECCE1Rv1G0049830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:643236508:643236882:-1 gene:SECCE1Rv1G0049830 transcript:SECCE1Rv1G0049830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE6Rv1G0397080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:385942036:385943367:1 gene:SECCE6Rv1G0397080 transcript:SECCE6Rv1G0397080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGNVTASPAATIIRKMRQAQRADGPASVLAIGTANPANCVRQDEYADYYFRVTQKQHLTKLKSKLNRICDRSAIKKRYFHHTEELLQHHPEFIDRTLPSLDARMDIAATAVPELAAAASGKAIAEWGRPAANITHLVVSTYSGAHMPGVDLRLASLLGLDPSVRRTMLYLNGCSSGSAALRVAKDIIENNRGACVLVACAELTLILFRAPDEAHVDTIIMQALFGDGAAAVIVGAEPDASAERPIFEMVAASQSVIPESTHGAVGRLREDGLVFNPSFEMPALIRENIEQSMADAVGPLGLSSGWNDLFWAVHPGGRAILDSVEAGLRLEPNKLTASTHVLSDYGNMSGPTVIFVLDEIRRRREHVVGRHGLGVLLGLGPGVSVETMVLRAAY >SECCE7Rv1G0478080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:231572322:231575001:-1 gene:SECCE7Rv1G0478080 transcript:SECCE7Rv1G0478080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSSTLLRRLLGSTSLSSSHSSVLRAAFCSSSSAAPSPTLPPPSTIFGDDTEVANVPPLTSPKLFVSGLSRLTTDEKLKSAFAPFGQLLEAKVITDRVSGRSKGFGFVRYGSLQEAETARQEMNAKFLDGWVIFVDPAKQREQKPAPQPDTASSHTGFTINKTVGWCG >SECCE3Rv1G0148800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20557190:20560708:1 gene:SECCE3Rv1G0148800 transcript:SECCE3Rv1G0148800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSLAIVEKKPPLGGGGCAGGVLFHLLDWHRRLARKRLLFSPRRLLPAAVRGSSLRRLAPPPPPSPAAPQPPRHAPGAATGADGEAAAAPPGVVARLMGLESWPAAPGAAAAAPPRPQKQRKVEAAASAGGGDNEPEPTPVVVMLPPSRRPPAPGSPTHAPRSHHSADLPARSPRRSRLAHAAASGLLEPGARESSRAGARLQAVAYACSSPQHRGRPGASQQSWGTLELDDFLSRSDSLSLERRAPPPELDADQLGNAVGSRCWQETDSMNTMSSTAAAGSETSTSHTIVVPRVDSYDAHMSRGSSSDVDAMHRDYRARTGGMGSYAGLRSSNAGDRARAGEQRMLRKRGALSRPDVPRSAGSGNLASSTRSVGNAREPAHASSRRAAHNSGSSSMRAPHNSGPKRESTSSTTPQRSTLRDMIDRNGSASTSRDSSNASGQRRGSRKKIDRGTAASNRGGRNTVAFASSSSARPVSRASSDGKVSENRGLRGTHSDTGCARMPVVDAKCLEAEPCAVDATSEKEEFRRLLKAKVNELGLSDRVEPSDGHSANLTVSVLQELISALTNDTNTSASQSSNYSDSSAPLNNAETVYDSNDQSPDFRKRYQFQGDQGADSSATCTNDEPNQPSPTSVLEACFSNDASSVGSPTEKIESTEFFVSMENKMEDLFNLESDIADLSMSIETMKSADRGIGTETPCVQSSPEHDFEFLEGRLHSIGEAIANAELLLDSSLFCGAPSSLSLHSFIVEMLETVEAVFGNGPESSLGFKEENYCQRTNFMFDCIVESLDSKFRDFGKCGYKALLGMPLTLSKDLVKREISEEIGSWSEMSSDRAAAKELDQVAAAAARWDACRTEAFDISVAIEDDILEALLGEFALDQCC >SECCE4Rv1G0289390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:864988906:864989319:-1 gene:SECCE4Rv1G0289390 transcript:SECCE4Rv1G0289390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVATEKTPAGKKPAAEKRAKTASKEGGEKKGKKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE5Rv1G0331290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:537690276:537702106:1 gene:SECCE5Rv1G0331290 transcript:SECCE5Rv1G0331290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGMSALVTGGASGIGKALCIAFAQKGLFVTVVDFSEQSGREVASLVQKENKRFHGDLGVPSAIFVKCDVSIEGDLAAAFGKHVDTYGGLDICINCAGIANKTLVYDDISDGTRTWRHAINVNFVAVIDGTRIASQIMRSRKKPGVIINIGSAAGLYPMFADPIYTGTKGGVVMFTRSLAPLKHHGVRVNVLCPEFVQTNMAEQINQKIVQATGGFLKMDDIINGAFELIKDESKAGACLWITKRRGMEYWPSAEEQRKYLLNYTKSKKTVTKNVFPSIQTPEFYEKIVVHTLSHNFRNATRLDRVRLRLPIEPQSALVKIIYAGVNASDVNFSSGRYFSGSAKETAARLPFDAGFEAVGIVASVGDAVSHIKVGSPVALMTFGSYAEFTMVPAKHLLPVPRPDPEVVAMLTSGLTASISLEKAGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKAAFLSSLGVDRVINYQHEKIKDVLKKEFSKGVDIIYESVGGEMFDLCLNALAVHGRLIVIGMISQYQGEEGWKPKNYTGLCEKILAKSQTVAGFFLVQHAHMWQDHLDKLFELYASGKLKVSLDPKKFLGVASAVDAVEYLHSGKSVGKVVVCIDPAYSQTLAKL >SECCE6Rv1G0393470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:281792108:281793636:1 gene:SECCE6Rv1G0393470 transcript:SECCE6Rv1G0393470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKTAPAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKADLKERLAKIYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQIKERKNRTKKIRGVKKTKAGDAKKK >SECCE6Rv1G0408480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:573121755:573130835:-1 gene:SECCE6Rv1G0408480 transcript:SECCE6Rv1G0408480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMMFTEGLDRDALKWVREGQGAGAGAGALHSHGRMDALRAARGAGGLGLGMPPPEKYRSGHLPRASVPLRAADGSASTASDMDESSDAEEVEVCSGGRYSVDSSPRHRDELPRRAAVPLYRYANVPGQQNYYSSDGYSDLSSSRDTALPRGKPQQARRPQARAAAYVEEEEYSDSAGSSEFSSQVSGRHNGVASKGGYASEYSHTGPVRREANNAVPKAARTAAEPSISRAYQPEHYSAHVPARGDAKSSPKMDGLSDVPSAPPIHGYSQETSPAPQSDTRTCANALDGSTVKKEDHDDDGIVGAKLPEKTDRSTMNGKHTSRPSSSVPLRVPTFHASLQGPWYSVLAYDACVRLCLHAWARGCMEAPVFLENECTLLRDTFSLQDVLLRSEEELMTKQASERVTEGAASKPKKTIGKMKVQVRKVRMSVDMPSGCNFSSLPVVKFDLVRHRLSNVQSSITSGWESVRRVQVATHVPPNSSFSKHSLAYMQASAQYIKQVSGLLKVGVTTLRSSSADEIQQETYSCKLRLKSSPEDDVVPMQPGSGETHVFFPDSLGDDLIIDVSDTKGKPCGRVVAQVATMAEEPADKLRWWSIYREPEHELVGRIHLYVQYTTAADENNTKYGSVAETVAYDIVLEVAMKAQHIQQRNLVLQGSWKWLLTEFASYYGVSDAYTKLRYLSYIVDVATPTADWLNLVHELMLPVLMKSHGVATLSHQENRILGEVEEQIEQTLAMVFENYKCLDESLVSGLAEDFRPPTGLAASALEPAIKLYSLLHDVLSPEAQLRLCGYFQTAARKRSRRHMLETDEFVAGNSEGIKMDMVTFTTAYQKMKSLCHNIRNEIFTDIEIHNHHILPSFVDLPNLTAAIYSVELSNRLRSFLVACPPTGPSSPVADLVIATADFQKDLASWSICSIKAGVDAKELFHLYIVLWIEDKRRALLENCRLDKVKWSGVRTQHMTTPFVDEMYDLLKNTLSEYEVIICRWPEYIFVLENAIADIEKAVIDSLEKQYVDILAPLKDCIAPKKFGLKYVQKLAKRNSTCPYVVPEDLGILLNTMKRLLDVLRPRIESHLRSWSSCIPHGGNSAAIGERLSEVTVTLRAKFRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKGLVLESDIRGRMQELNDQLIGAINHVHKVSEVHVFVAICRGFWDRMGQDVLSFLENRKENKAWYKGARVAVSVLDDTFASQMQQLLGNTLQQKELEPPRSIMEVRSILCKDAPRQKNSGFYY >SECCE7Rv1G0454580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:3145113:3151055:1 gene:SECCE7Rv1G0454580 transcript:SECCE7Rv1G0454580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVAAVAASTVTTAVAPPGVAGAGAGAPPPFLMKTYDMVDDPATDAVVSWGPANNSFIVWNTPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDKWEFANEGFLRGQKHLLKTINRRKPSHANNQVQVPQQQHQQQHQQQPQLQNAPMPSCVEVGKFGMEEEIEMLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLHGMEQRQQQMMSFLAKAMQSPGFLAQFVQQNENSKRRIVAANKKRRLPKQDDGLNSESALLDGQIIKYQPMINEAAKAMLRKILQQDTSPHRFESMGNSDNLLLENCMPSAQTFDSSSSTRNSAVTLAEVPGNSGMPYMPASSGLSAICSSSTPPEMQHPVLDTNSSTQLPTQLPNMSAASSIPKAMTPGLSDISIPGFPDLHDLITEDGINIPGENYAMPGPECIFPLPDEGSDDSVPMDPIDTDETDDTQKLPGIIDSFWEQFLCASPLSIDNDEVDSGLLDTREVQEENGWTRTENLANLTEQMGLLSSNHRG >SECCE1Rv1G0015080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:120351617:120353685:1 gene:SECCE1Rv1G0015080 transcript:SECCE1Rv1G0015080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVLIADGNLRLEEAVDESGFLEPFFYDEAEVVAEAAAAAERRQREAEEKSAEHARKMEEFRRRKAAHQAVIDRIREYDPKAGAVCYTRFYDTDFSVFDIDEESPLAPMRYTHKTPSKYTNSLGRQVYDVSDSVNILSVKIVSSDVGFPLKVYGTVIARDYLDFKCVYLFRRHREDYQLINSEDQSLILTGPTRGLVLLDSVYFEVDLKIKDDQGKKDQELSKGLCVIDGILMGGEEHGHVGCEDLDSRLSTVEVKFAVVKLAVEATLEIKVLKGDFYGEITACTSRIQDRLVLHDSKAGGVICDGTGMLQLWRRVVTVGMNDTLLLTIAIQASDVATASATGTINFTPHVNGAEEDEITCGAVKMLIKVNWSLFEL >SECCE5Rv1G0375460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868274269:868277054:1 gene:SECCE5Rv1G0375460 transcript:SECCE5Rv1G0375460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFDAPGPSTLGSNEWINGEVPPTSLIERYVAMGFPKEMVMKSIKEIGHSDADALLELLLTYKALDDDDAVGNNHSTSGCILPVVEDDDDLDFENWDGDDDAGGRESSSDDSGCEEFLREMSERDDKINSLVHIGFSEDEANGAITRCGVDADICVLADSISASRVAEDCHSRNLSDHQVTDRCFNSFGGRKKARLIEESRKKRKRYGGEGQGNRPSLDGSDEESMPLPNPMVGFNLPGYRGPSVTRMLSKLDTGPPFFYYENVARAPKGEWTKISRNLFDIQPEFVDSLHLCAAARKRGYIHNLPIENRSPLLPLPPKTIFEAFPHYKKWWPSWDQRTHLNCLQTCVASAKLTERIQRALASSSNPPPKSVQKYVMDECKKWNLVWVGKNNVAPLEPDEMEFLLGFPKDHTRGVNKTQRYKSLGNSFQVDTVAYHLSVLRHMFPNGVRVLSLFTGIGGGEVALHKLGIHMRVVVSVEIGEANRRILRGWWDQTQTGTLIEIPDVKSLTDERVASFITRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGKHSALFYDYVRILNFVKSAMANM >SECCE1Rv1G0005030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:22937722:22939034:1 gene:SECCE1Rv1G0005030 transcript:SECCE1Rv1G0005030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKPIVAMVAVVIMYAVMNTLMKMAFNQGMGTTILLVLRLLVATLFLAPIAYFKERKGRPKLTTEIFVYLFFSALLGNSLAQWLFFRGLRSTTATFASAFNNTTPMFTFLLALAFKLEKLDLTGTAVGLAGATVLALYQGPALTGAPSADHLAVTAHGSARSWAVGSAALLGYSGSLSLWFILQSKIGTKYRVLYSGTAWMFLLSFVQMAVVGRRGPVFTTTFMPLIQIVALHLGTVVGSAVVVVGLYLVLWGKSKEASTINGPPPSDSELELQVITEQRV >SECCE3Rv1G0203290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:882690501:882693570:1 gene:SECCE3Rv1G0203290 transcript:SECCE3Rv1G0203290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVIGQILEQQVLSAAKAVEDKLDEQIAALERLDPDDIEALRERRMLQMRRAAERRAKWRALGHGEYTEVPEKEFFSAAKASERMVCHFYRDNWPCKVMDKHMSILAKQHVETRFIKVHAEKAPFLTEKLRVVVLPTLALVKNAKVEDYVVGFDELGGKDDFSTEDLEERIAKAQVIFLDGEGPANPSKQAASSKRSVRQSDTGNSDSD >SECCE3Rv1G0201970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:868444420:868444905:-1 gene:SECCE3Rv1G0201970 transcript:SECCE3Rv1G0201970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSKSTAGIGGVDMCAMVAEHERIEWEVRPGGMLVQKRRGPDDDAVVEAILVKVCTTGGAWQHDMSIDATATFGDLKVLLSLATGLWPREQRLLYRGRERDDGDHLHMAGVQDKDKVLLLEDPAFTERKLRSTTLAQLMGVPCHSFIQV >SECCE5Rv1G0349500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:684193067:684194647:1 gene:SECCE5Rv1G0349500 transcript:SECCE5Rv1G0349500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLPCSSMYPLTLVTTMLIPLWQELLISTLMLLVPMYLYFRFSGRRKNPSALPINWPMMHMFPSLLANVHNLLDYFTLVLAGSGHNFRAHGPGGTGMRFFVTCDPANIRHIFTTNYTNFPKGAEFAAIFDIMGDSLFNVDGPLALRPRAKIHTVLSSPRLVANMEASCRNKVVNDLLPLFSHVANTGAMFDMQELMSRFMFDLAATALFGVDPGLLSMNMPPMDIAAAMDTVMEVGFFRHMMPACCWKAMRWLNIGPERKLSAAHTMLRGFIAKMIKQRNNEDVHVSDDEEQEGVDILSSYINDPDFADYDFLCAGLIGLMLALRDTVRTTLTWIFYNLAQNPDIVATIRNELSPIALHKAPTGAGAMVIFEPEDIKYLVYLRATLYETLRLYPPAPLERKTVATEDIMPSGHKVHAGDTVFISIYSMGRMEGVWGKNCLDYNPHRWLSKEGNTLRYVPSHKFLSFNSGPRICPGKDIAVMQIKIIIATVLWNFNVEVLEGQSIRPKSSCILQMENGLMVKLKKR >SECCE6Rv1G0427760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720648815:720650270:1 gene:SECCE6Rv1G0427760 transcript:SECCE6Rv1G0427760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMSAQAKLFHSTPKNYVLHRVIVSSLVLLLSCTAASGQQAPYKPLISRLAKDLNTSLYTIIVKADKSPLLLDIAGSLVWSTCPPSSAHGTVACGSGKCGSAASQQCPRRCLHVDGGQLGESGSRCACAGNPVTHQCSTAGLTSFAMSANSTDGAMELPPEESFAVLGACAPDSLLRSLPAGVIGVAGFSRRPLSLPSQLASQRGLGGKFALCLPVFAIFGDSPVNLSAPWQQPGYVDYTTIIPYTPLLTNPANPAGYYIPVKGISVSWHGANAEAILPRAALDLDTAAGTGGVVISTATPYMMMRPDLFDAFAKAFDDAITRGKGSLTVERVLPAPKPFKLCYRGGFPLLKRPVLPDMPHINLELGAGATGNWTLFNKNYMVDGGAAWCLAILPTGPGGMPVDGDPAVVIGATQLENNLLVFDLEKQVLGFSELLDFSLSSCISSQFFRN >SECCE4Rv1G0242230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:471645901:471648755:-1 gene:SECCE4Rv1G0242230 transcript:SECCE4Rv1G0242230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEETKKKKKMSAKKRSMSGKGKRRSARLLKLEEQKNDDKDGGCILDPWHIIRNSFSSAAHGKRKRNGEVQKLQGEASCGQEMDAAYTDNISGKGSTSEQIIDYILDMLELRDRLELFAMPDDIQVGDCSERVERPGDFATLRQKNTDGTYKTLEQFEKDVYMVFQKAMSINSQDTIPYKEAMALLDQAKQVFLSLKKNRMYSESDLLAWRHKQLIDAGPSKAARDQQGGDAAVTPAQQRHSIAPLKKKASEKKHQIGKGGAKSVPSPVKRARKGFAAAATEPVGARELKRRLTYNDAAGRTTAVTLPPPAFRDRQATVVYQHPQVQRGHTYQDSLRRFVRHAGPKARLATEFKILECDTRGRHNPSPASYWNGFTPNAGTYYPSSAAATAPQSSSGGERPFPDCKLGTDDLLSLVMLMGTPAFLERAKHMFGYTGAEDSSKVTTAADDAKVAVTQAPQTRDAGNPAATFGPFAPPKLPLGRLGFGQFAGSSTQPFMVRPRLSKSAGGKKPE >SECCE2Rv1G0123910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828493248:828497032:1 gene:SECCE2Rv1G0123910 transcript:SECCE2Rv1G0123910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAHRDHDLSPEAVRMLGEMALRAREEGGEPDLSDEQLRSNDQLQQDEMLALEAIFGDKICIFSEKAGPPSFQIQVHCEIPDGISVSVEPFQGVDDDDPKSQVLENFSVQHLAPLSLTCLMPPSYPSHRPPYFTLGVQWLDNLKVSSLCHMLDSIWAQQPGQEVVYEWVQWLQSSMLSRLGFGDGLVIQQPDDSMVGPVDVRVVQEIVSVGSVVERLINYNEEQCHESFLGGLYTCMICFSEYTGVDFIKLPCQHYFCQRCMETYSRIHVKEGTVLNLVCPDGKCGGVIPPNLLRRLLGDADFERWDRLILQKALDSMADVAYCPRCGIACLADEDVALCSKCALHFCPRCSDPCHIGRECIVPTPEEKLLALQERSKVYIERRKRINLANEIRSIKEVLRSCVPCPHCGIAISRVSGCDHMVCRNCGNLFDYAYRRPENERAVDFIKVEPVPIEQRRVLSLAVSSRQYPCPKCHRPYPKMGNNNHILCPACQAHYCALCRKVVRKISEHYGPRGCKQHTVDPEIVKTKSKDDSG >SECCE2Rv1G0129480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:868643943:868644590:1 gene:SECCE2Rv1G0129480 transcript:SECCE2Rv1G0129480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFHVEALLPSSISPKIDSILHSHIYPQVGHVFRAVARFRALLLDVILSKKRTAAARAGGGGYGYYGRSAKRSGGKKRASKIAGFVKMHFVGSSGPARARDRLDASRAPCYSSYSYYEYPAAWNAVVPATAAAVEEEVVEVCDDTAECGYLCWLEEERSSAGTPAGEDDGEASPAVNEIDRLAEKFIARFHAKFLLEKQESYRRYQEMIARSI >SECCE1Rv1G0062230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718641406:718647922:-1 gene:SECCE1Rv1G0062230 transcript:SECCE1Rv1G0062230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVAALRSAAAGEEAVEEEEQQCRICRHPADADRPCGCKGSIRFVHDHCQIRWLKTSGQRRCEVCRRPIFTRPIYAAGTPAPAPAPARLVCLVLALLYLVLTAQVAWALAGLCTWRLALARSRDEAFRLLSIRLYGPAALAHLALQAERAFRANYGARLRRCQFVALTVLRFSLSVVMGDMALACIFAFLPFTLGRIALLCRAEVDSYAPTPSILLIGYGFIFSLGATFAGLHTFHQYLRGDGIFFESLFDIFFSGIVKFIIVVNISLNLINTAIIWPLLFAWSLDICTSKMFDATIFERFKPLFASIYVSTALQWLTGCALLDLVSIFSGLHSMILRPGVTSPFVNHNVNIRDPFYKFYFKKLPGLFIGIILIAMVIVVPIQIAGRLAPGKFLVDIIYFNIPTKGTSFWSAPLNYADSLCGVLLVRFLIGHTHALIGFEWIVKKVMHYTFPTGQTLGLSVSVTVWPNGAFGHDGVSSVAPKDKYGSTNEAKDKKHRGVINTYPCTRRLVSLRIILHVMLGCLTVMICNSAVLILTISVGRALLFTIPQLPLTGGLKSNNDLLALVVGFGIISTIIATSRDLFAYMTSGRKHLVALNRCLIVFLWFVIIPFLIGLLVDLSLISPFTGPDDGVPVLDLFYTWFLGWLLLKIWVKWVHWPLSPFLAYLTDESRVRKLTRAKLDWPRGAMMPLPCFFRDIFVPVATKLLAALGVPYVLAGGVFRSLGHSAAMNSAVHRFAWLGCIGLCVLFHLTKLFWAFGALDCRAKLFWVFSALCYRAKLFGVFCVEEVHDSVRDERVVIGQRLEDVAHDG >SECCE1Rv1G0010420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:62073688:62075425:1 gene:SECCE1Rv1G0010420 transcript:SECCE1Rv1G0010420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMYPSQRFSNVIVGYLNLATLLASIPVIGAGLWLAKGSTTTCSSMLQTPLLIIGFIVLLISLAGFVGACFHVAWALWLYLFAVILLIGMLLGLTMFGFAVTAGGGGTQVQGRPYREYHISDYSSWLQKHMQDIKYWKPALACVVGSKACPKIANWTPMDYLQHDLTPIQSGCCKPPTSCTYSGGMPVGAQDEDCYQWNNAPNILCYQCNSCKAGVMEQVRQDWHKISVLNVIVLVFLICICACGCCAFRNARRSVSEYPYGVNRMSKINPRWDYYCWRWFRDRREQMY >SECCE6Rv1G0403300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:500739817:500740727:1 gene:SECCE6Rv1G0403300 transcript:SECCE6Rv1G0403300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERSTQSYQGGEAADQVEVTDRGLLGNLLGKKKAEEDKEKEEELVTGMEKVSVEEPEVKKEEHADGEKMTLFSKLDRSSSSSSSSSDEEEEVIDDNGEVIKRKKKKGLKEKLQEKLPGHKDTEGEHATGLPAPAAPASVQTHGGHHDTDVVVEKIDGDVKTEATPAVPVPEEEKKGFLEKIKEKLPGGHKKPEDAAAVPVSHATPVPVTHAAPAPVHAPAPTAEEVSSPDAKEKKGLLGKIMDKLPGYHKTGEEDKAATATGEHKPSA >SECCE6Rv1G0430160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739848484:739849644:-1 gene:SECCE6Rv1G0430160 transcript:SECCE6Rv1G0430160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAARGATAPPPYGSLPDEIFIWEILVRLPPKSLLRCRAVCRAWRSATSTRRFLLAHHALQPTLPLLCGYNFVGDEVESLDITPFDHRAAGQIQLQLQPVARLEQASDIHLLEACCDGLLVLSFRNASARDWRFSVCNPATRQYALLPLAYGSNVQYSLLGMYPHTPTGDYRLLTYRVHDELLPDAAQDGCYVFTLGSGQPPRHIGFLDAELLTYSIGFLLFRGSLHWHPLGGMIMVFDTTTELFRQMRPPVVPHHAKLFEMDEMLGISGFNDAATTIHVWVAQDYEREVWACKYRVDFSVAELTVRFGKFDESSWVVVMPCDGDVFLLVNFGEWLLQVDIGGKLVATFHCRGLSPANLRLKQTLVQHSFFLTLEGYVANSPPFI >SECCE7Rv1G0460490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:32051758:32052205:-1 gene:SECCE7Rv1G0460490 transcript:SECCE7Rv1G0460490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASTALLVLVLLTGGELGYAVLVSGRPPVSTLPHPSSSTTAGQASNMNAMDGASASTTQRHTRIGEGEEKSISVNSLPPVHMRVPVIIPKSA >SECCE2Rv1G0114230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:732382806:732385810:-1 gene:SECCE2Rv1G0114230 transcript:SECCE2Rv1G0114230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter of the Copper-Nicotianamine Complex, Fe(III)-deoxymugineic acid transporter, Copper distribution, Allocation of iro [Source: Projected from Oryza sativa (Os04g0542800)] MDRHDLGASGEIEKTPAPDMESEPAAAAARAAERVPPWREQVTVRGMVAALLIGVVYTVIVMKLSLTTGLVPTLNVSAALLAFLALRGWTHALHRLGIACRPFTRQENTVVQTCAVACYTIGFGGGFGSFLLGLDKKTYELSGVNTPGNVPGSYKDPGIGWMMGFLLAVSFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNARQQVRGFLKYFGLSFFWSFFQWFYTGGDVCGFLQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSLLFGAVLSWGVMWPLMSKQEGKWYSAKASASSMTGLYGYKAFLCIALLIGDGFYNFLKVILVTLKSIHEKSQRGRLNRVADEDSVAIDDLQRNEVFNRDNIPTWVAYAGYALLSAIAVVAIPLMFREVKWYFVVIAYLLAPALGFCNAYGTGLTDMNMGYNYGKVALFVFAAWAGKDNGVVAGLVTCGLVKQLVLVSADLMHDFKTAHLTLTSPRSMLVGQAAGTLMGCVVAPLTFFLFYEAFDVGNPDGYWKAPYALIYRNMAILGVEGFSALPRHCLQLCAGFFAFAVLANLARDFLPRRFGRFVPLPMAMAVPFLVGASFAIDMCVGSLVVFLWHKLDGKKAALLIPAVASGLICGDGIWIFPSSLLALAKIKPPMCMKFTPGS >SECCE2Rv1G0097250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:455790637:455793562:-1 gene:SECCE2Rv1G0097250 transcript:SECCE2Rv1G0097250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSDGETAAKRAKLSASGSEDLLSALSDDVLIHILLRLRNTAVAARTSVLSSRWRRLWALLPGLDFLPDTNPYSVPAVLAAHQAPALRSLRILARDASADFVAAWLPIAARRLSGDLFFINTVSPDDEAGDRGAFELPCFENATSISLHLGLLGITVPTSVISSRLTDLRLNSFQVQGPCLLGDVVSSAWSPCLQRLTINDARGIDKFTIRSESLLQLKLKDLDGLQQLTVVAPKLKELSVILCFAPNQPIASISAPELVSLHWNDAYDPCSVQLGEMAHLQCLHAGIFLVYGPVVFTPNRDCLRILRDFKVMHSLTLLLLYNLDIGSDRYLMEDMTRLPDVTCLSLTVMSNGHCFGASSFHILGLCIGVRKLALNYFEAQTPCPSSCICDQPTHWKTEKLVLDRLQEVEISELSGTEHERDFVQRLFSWATALKKMTVSFHHSVTESKAKGLCQMLRSFSTSELYMEFYVHRCLVGKVLYVPED >SECCE1Rv1G0031710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:445411609:445414451:-1 gene:SECCE1Rv1G0031710 transcript:SECCE1Rv1G0031710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRLWTWAAAVVVIAAAAAAAAAAGQEKRLVVGMTLVTGAASTGAVCLDGSPPAYHLHRGSGAGASGWLLQFEGGGWCNDAPSCAARAGTRRGSTRLMSKLEVFSGVLSNDPARNPDFYNWNRVKLRYCDGGSFAGDSEFRNGSSVIYMRGQRIWDAIITDLLTKGLAKAEKVLLSGCSAGGLATFFHCDDLRELLGGAATVKCMSDAGFFLDVDDISGNNSIRPFFSNLVALQGAEKNLNKDCLNSTLDPYLCFFPQYSLPKIKTPYFILNSAYDVYQFHHIFVPPSSDPRGHWSRCKADPSACSASQIATLQGLRSAMLTALSSFEGETEVGMFINSCFAHCQSELQDTWFAPDSPRLDNETIAELVGDWYFERGATQEIDCAYPCDSTCRNIIPFDQVSYWDTQMHMQGWVFEFGVFLCRVYLFIFSHDILQVGN >SECCE2Rv1G0130680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:878054877:878058230:-1 gene:SECCE2Rv1G0130680 transcript:SECCE2Rv1G0130680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDGRGKSLLSDMGSCLPWGSWSLTLFLLLHSMLPLCSGCFEGERAALLDIRSSLIRAHSRIALDSWGKDGDDCCSWERVKCNNTTQRVSHLDLSSVYFTMDVDDRWYLNFTVFSAFHELQYLDLSYNYRCSLSSEGFVGLAKLRYLHLRDTIWKVGFPEFIGEIVSLEVLTLSGNRMTGGLPDAAVKNLRNLRILNMTWNDFNGSLPESLFSLPHLKILDLSINNFGGHIPISSSSGPILLEMLDLSYNNLSGNLPITAFESLQNLRELYLSSNQFIGNIPTFLFSLPHIEQLNLSTNYFEGPFPINPSSNLSLSLKSLRFSQNNLSGRLALVWLGNLTKLEEIDLSGNAKLVVLVNIPGWTPPFQLKQLLLCGCDLDKTIISRPEFLGTQRHLEVLDLSNNNLSGSMPNWLFTKEATLQDLNLRNNSLTGTLDPIWHAQSSLSRIDIHMNHVTGELPANLSSMFPVLYDLDFSSNDLFGHIPTSMCEISSIGFLDLSNNKLSGEVPACVFTNYPELSVLKVSNNKLGGPVFGGMNNLSNIHDLRLDGNKFKGTLPHDLAGKFVRVIDLHDNQLSGELNVSFWNMSYLRVLNLAGNRITGKIDQHICGFTEIHLLDLSRNNLTGSVPNSCFIVLNFLNLTGNSFSGDISFPLFNTSSLIALDVRHNQFMGNVNWVGYLENIRLLSLGGNKFEGQITPNLCRLMYLRIIDLSHNKLSGSLPACIGNISFKGDTDDQIFQPANKITWVSDDYTTSYELMYFTFTTKGNFYTYGRTFFVSMSGIDLSANMLDGEIPWELGNLSHVKSLNLSYNFFVGPIPTTFGGMEEIESLDLSHNKLSGPIPWQLTQLSSLGVFSVAYNNLSGCIPNSGQLGSFGMESYLANTNLHQITQGNMCAAPGPDPVAQEDVGQTPGDPALYAVTAASFILGFWAIVAFSFCHPYGRSVMLKL >SECCE7Rv1G0507450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:761859990:761860793:1 gene:SECCE7Rv1G0507450 transcript:SECCE7Rv1G0507450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDSQAAADRIKAQALSNAKGLSRAQAERAAEAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVRLGVRPNAAPASATAGGQCQKCFQPGHWTYECKNERVYISRPSRTDQLKDPRLKKAWLPAPSQFVNPDLEKEMEEERKVMREKLNKEKSEKRKARSKSKSKRKHRASVSDSDSESESSGTGSEYSSESGSSSYSSSDSEDKKKRRRKPTQKKRRHRRDSTSSSSSGSESESESDSDSDGKGSRKKSKKRGDKRRS >SECCE7Rv1G0509110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:777675518:777678715:-1 gene:SECCE7Rv1G0509110 transcript:SECCE7Rv1G0509110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLSSSCRSSSSSRYTTKSSTPFAGLVAAALLLCFVSPTSSCTEQERGALLGFLGGLVPGGSGGLNVSWVNGTDCCQWDGVHCSGDRTVTDVLVPSRGLRGVVSPSLGDLAGLLRLNLSHNSLEGSLPTELVFSRSIIVLDVSFNRLHGHLQELQSSSDTGLPLQVLNISSNLFGGQFPSTTWGAMRNLVALNASNNTFTGEIPSSICTNAPLFDMLDLCFNQFSGNIPPGLGSCSMLKVLKVGHNSLSGALPPELFNATSLEQLSLPNNFLQGVIDGSHIAKLSNLTVLNLGSTGLSGKIPDSVGQLTRLEQLYLDNNKMSGELPPALGNCSNLRYITIRNNSFTGELSKVNFTMLDLRTADFSLNNFTGTIPESIYSCTNLVALRLAFNQFQGQFSPSIGNLRSLSFFSITGNYFTNITNALQMLKRCQNLTSLLIGTNFKGETIPQDERIDGFEKLQVLTIDDCPLVGQIPLWISKLEKLEMLDLSLNQLTGPLPSWIDGLGFLFFLDISSNKLTGDIPAALTKMPMLLSEKNAAKLDPKFLELPVFWTPLRQYRMVSAFPNKLCLDNNNFTGVIPPEIGQLKMLDILNLSSNSLTGEIPQEICNLTNLQTLDLSNNQLTGEIPSALNGLHFLSKFDVSNNKLEGKVPSGGQFDSFPNSSYSGNPKLCGPMINNDCNSRSSSASPRRWNKKTITAVGLGVFFGGLAILFLLGRLLMALRRTNSVHQNKGSSNGDIEASSFTSASDDLCNVMKGSILVMVPQGKGESGKITFHDILKATNNFDQQNIIGCGGNGLVYKAELPNGPKLAIKKLNGEMCLMEREFTAEVDALTVAKHDNLVPLWGYYIQGNSRLLIYSYMENGSLDDWLHNRDHGNSLLDWPTRLEIAQGASRGLSYIHNICKPHIVHRDIKSSNILLDREFKAYVADFGLARLIRPYDTHVTTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGKRPVEVLSKSKELVHWVREMTSQGKHTEVLDPALREQGHEEQMLKVLEVACKCINHNPCQRPSIQEVVSCLENADVNLQVEM >SECCE6Rv1G0401920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:475101718:475102470:1 gene:SECCE6Rv1G0401920 transcript:SECCE6Rv1G0401920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDATHAPATSSSSSHSSDSTVINGAQDVSKADPKHLKRKRQTATSPAAPGTGSQETDGAARGEESSSCAAAADERTAGRHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAAVAIKGRRAHLNFPHLADALPRPASTSPADIQAAASLAAAQCEPSSSVAETTETPTTSTETSAVSSGTAGSGEENALFDLPDLLLDLRDGLWCSPTWASAADDYDGGEATLHEPLLWVEQCWT >SECCEUnv1G0556310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310676146:310676346:-1 gene:SECCEUnv1G0556310 transcript:SECCEUnv1G0556310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKDVRIRVILECISCVRKGANEESTGISRYSTQKNRHNTPGQLEFKKFCRYCRKHTTHHEIKK >SECCE7Rv1G0505000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:729256067:729257807:1 gene:SECCE7Rv1G0505000 transcript:SECCE7Rv1G0505000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKARTSRSKQGSQTPLHRGIVSMEMALAARDLFTPAGTSVPLLLLVAGLTAVLYAVTRHRSGGLRLPPSPFGLPILGHLHLLVPLPHQALHRLAERHGPLLYLRLGSVPCIAACSPDAAREVLKTHEAAFLDRPKPTAVHRLTYGGQDFSFSAYGPFWRFMKKACVHELLAGRTLDRLSHVRREEVARLVVSLGQSAAEGKPVDVDAALMGLTGDIVSRMVMSRRWTGDNNDTEEMRSVVAETAELTGTFNLQDYIGAFKNWDVQGLGKRIDAVHGKFDVMMERILTARDAKRRQQRESADSEDGGDGEGKDILDILFDMHEDDDAEMTLTRDNIKAFMLDIFAAGTDTTTITVEWALSELINNPDVLRKAQEEMDAVVGKDRLADESDIPNLPYLQAVAKETLRLHPTGPLVVRRSLEQCKVSGYDVPAGATVFVNVWAIGRDPSCWPEPLEFRPERFLEGGTNAGTDVRGQHFHMLPFGSGRRICPGASLAMLVVQAALAAMVQCFEWRPAGGADQVDMEEGPGLTLPRKHPLVCAVAPRLHPLPLP >SECCE4Rv1G0221610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:50262930:50264285:1 gene:SECCE4Rv1G0221610 transcript:SECCE4Rv1G0221610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGQKNAEGSIQRSCLPRLLCAREQYSALLLFVAVAAVCLLVVAGAYSYVLSSPADVNGKMEQRFFNIFPRQAAKPAGASEDELEAALRGAADANRTLIVSVLNRAYAEEGGLLDLFMESLKQGEGTGHLIGHVLLVAMDEPAFRECSSLGGVRCYLLPPAAATNITSLSSEQLYMSGGFISMMWRRIRLLGDVLKHGYSFIFTDMDVMWLRNPFPSLNRTGEEDLLISTDGFKGRPEDYIGHELNTGFFFVAASNRTAAMFDEWHAAREASEGMKEQDVLNQMKQQGAFRRLGVRVRVLDTARFSGFCQDSRDARQVATVHANCCRTMRAKVADLKAVLGAAKQLNGTTGRLKWPRHSACLRSWRK >SECCE1Rv1G0031450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:442809733:442817296:1 gene:SECCE1Rv1G0031450 transcript:SECCE1Rv1G0031450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGAHSDAAQHLPAAGAGGSTSAAAAAGAVRRRRRPGLSCRPSHLFFALLVALFTASLLVVWQLLPIGDGDAAADGEEALAPPDGGGAMRFSASRMVLRTFDTESRLEAARSASRWWPGLEPVRLALVVGTMNIDAESLMLTTLAKSLVGLGYEVEVLAFTDGKAHDIWKTICHVNVVSFEKVKYVDWSKYNAVLVSSLEGKRVVSILMQEPFRFLPVVWLIHDDALGQHLRNYPEPHLSVPNHIEDWRAHFNACTNVVFPDSHLPLLYSPLDTGNFLVIPGSPVDIWAAKRYGLSHSQGTIRNQHGIKEEDIVVLVVGSYLFFDELPWDYVTVLRASAPHVMDMARTKKLGVQFIFFCGNGTDAYNSAFQELASHMGFPDDSVKHFPMTHDIGNLLMFVDIVLYGSLRQEPGFPPLLLRSMSSEIPIIAPNLTVITKYVTDGIHAFLFNSGDPSTSASAFMRILGEKGLLDTAYSVALEGKLLSKNMLAYDCIVAHVKLLESVLHYPSDARLPLSFSQVKERTWLWDPFESKAALGNSSSEDERHIHTRIAGILLGESVQSNWTINTDSNDTSSYDYPSQSDWDDLSEVEIFEDIEMREMEEIDERVEKPLLTWDEVYRNARKSERMKPEGNERDEGELERTGQPICIYEIYRGEGAWPFLHHGSLYRGITLSKGGRRPRSDDVDAVMRLSVLDNTYYRDLLCEFGAMFAIANRIDTVHKLPWIGFQSWRAAGRKVSLSESAEETLEKTMAGENHEDVIYYWVPMDTDQTSNFWSTCDCLNAGHCRTLFEDAFRNMYGLPEGVAALPPMPNDGDYWSTLHSWVMPTPSFLKFIMFSRMFVDSLHSLNGNNTEPASCLLGASQPEKSHCYCRILEILVNIWAYHSGRKMVYLNPVTGESKEQHLREERNEMWVKFFNFTLLKSMDEDLAEEADDGMHPGKDQWLWPLTGQVFWPGIADREREEKYIKKLDKKLKSKVKLLERQKLGYKQKPLGQ >SECCE4Rv1G0243120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:496784776:496786263:1 gene:SECCE4Rv1G0243120 transcript:SECCE4Rv1G0243120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPSSSSSSASVDAAAKGLKLERYASGGGALLMRRAGSSKIVAAFSHLLFRATVLATLALVCLFAVHYPSLLSRSFRLSAAASSSSSPPRQTSRHRNLLGSSSAYAGAAWEREVRRSATPRRDGGLSVLVTGAAGFVGAHCALALRARGDGVLGLDNFNAYYEPDLKRARQRLLASRGVVVLGADINDAALLERLFAAVPFTHVLHLAAQAGVRYAMRAPQTYVASNVAGLVSVFEAAARHADPQPAIVWASSSSVYGLNTDAPFSEDHRTDRPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFARSIVAGEPITLFRAADGTDVRRDFTYIDDVVKGCLGALDTAGKSTGSKSGKKRGPAPLRVYNLGNTSPVPVTRMVAILEKLLGRKANKRVVTMPSNGDVPFTHANVSHAARDFGYRPTTSLDTGLRKFVEWFLQYYKIDRAKLAKGSRAGTGGGGGKTTKKKSMGAMSAAS >SECCE2Rv1G0117420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:772275709:772276753:1 gene:SECCE2Rv1G0117420 transcript:SECCE2Rv1G0117420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERAEDLGLSLSLSSSLAPRTHHVAMLLRAPERRFLEMPLLPAKRVCEVSADEERSGQRGGSDDEDGGCGMDGSRKKLRLSKDQSAVLEESFREHPTLNPRQKAALAQQLGLRSRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPHQYMHMSPPTTLTMCPSCERVSNNNNNNNSSTADRRNGVEGAICHRPIAVRPQQS >SECCE2Rv1G0087670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:229894677:229905878:1 gene:SECCE2Rv1G0087670 transcript:SECCE2Rv1G0087670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSEAPLLLSRGDEHKEVLDEAGGRRRWWREAAEESGRLAALAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLIGLACGLETICGQAYGAEQYHKLSLYTYRSIIVLLIVSVPIAIVWVFIPEVLPLIGQQPEIANEAGKYALWLIPGLFAFSVAQCFSKFLQCQSLIFPMVLSSMITLAVFIPLCWFMVYKVGMGNAGAALAVSICDWVEVIVLGLYIKFSPSCEKTRAPLTWEAFSGIGSFMRLAVPSALMICLEWWSYELLVLLSGILPNPALETSVLSICISTVVLLYNLPYGIGTAASVRVSNELGAGNPEGARLVVGVALSIVVCSAVLVSTTLLASRHFIGIAFSNEEEVIDYVTRMVPVLSISVITDSLQGVLSGVSRGCGWQHLGAYVNLGAFYLVGIPVALFFGFTMQLRGMGFWIGMIAGGATQVTLLSVITATTKWDKMADKAKERVFEDRLPTQ >SECCE3Rv1G0146500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11499790:11504990:1 gene:SECCE3Rv1G0146500 transcript:SECCE3Rv1G0146500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEKKIISLTVKVGLVLFAVCILAPISLMVLFRHAVPLQTLRLLFSAGPTSSVMWEEEKMDSANEGEPLCECDMSSLRSDVCELKGDVRVILSNVTIIALLHTSVSVRRRSWRMKPHPRKNDGHALASVTEVLVSVTPSSPHVPGCMVESAAPAVVFSVGGYAGNMFHDFTDVLIPLFITASRFHSDMHLLVSNAPPWWLDKYRPLLRGLSRHAVIDTDRQRAEVLCYPHVVVGLSFHKEMSIDTAKTVGGHYSMADFARLARRSYGLERDTAIRLLYGGDNIKSPRRPRLLIISRKTIRAFTNMGAVAQAAAMLGYEVVPLGGLEAMAREDFGMPAGDMGLGYVQYAIAVGESTLAELYPRDHRVLRDLALRSEYLVGQNVTLDIARFSGALSRALELLHH >SECCE4Rv1G0228270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:133294661:133301166:1 gene:SECCE4Rv1G0228270 transcript:SECCE4Rv1G0228270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAEDVEAGAGSPIAAFAVAKGGVVLKHIFLNGPPTEEARRGADGDRAEVEAEDPPVLFGRHPDCHVLIDHPSVSRRHLEVRCKRHQRRITVTDLSSVHGTWISGQRIPPNTPVELVAGDTLQLGASKREYRLHWLSLREAFEMDDLLPPLVEEDKEEIHTHQEARNQLVPGQREPMKTKTHQETKHQVVSEQTECWAKVIPSAPPLPESSLSHFHDNRVGAIGGTIVSENSITESIGSSIIQAAGKPVQSDKQKASGTMSRRAKLKSVKSLHIDTGRRSRTLSYSYKNEEAQNENRVCSQNCKGGCAACMVLFDNFDVKAGEKERMISPEKVHINPHVMDSITMERNQEVPNPLNLERSDEEKSILNFAKETEQHDIFSENSIPQDSFDAKPQMGPESPCSVSSSVSKYETFENQISQLDSTVHMESYEAMPENPFTHDMIDGNTNSHQKMKHDGLSHHKLDGGLSNKEKMAQNKIVVEDCQLEGIKFGSIFDNLDIEATEEKEEISLLDKENTTPHVSSNITLERSQIMLKPISSQELMDSISPLNLEHDDFSEGENSVLNIVKKMKSNELTSENHIPLMSVETEFMLMPDEDFKNDIILDKDNSVPPGKYNTVISPAEQGNLFLDENVAPASMDLKPIAGKVLGSRMDSSVSAEFTSNRSIHQRECSELSSEYDATSPVRQQNIFPDKENVTPASRVLKSIGRNVLGSRMDNSVSAEYTPNRSISKRECNDLSSKSKRFHTVDAEAFYSDKENLTPISTGGMKARGCLPKNLFPVDADQDQEAFCSDKENSTPISTGGVKARSCLPKNLFPVDADQDQEAFCSDKENSTPVSCVVQKTRDVSENRARIESAITKKRVVVDRLPFQTLLSNSPLRPTSSFDCTQADASEANLSIRLEDELNSLSHKNHESNRVGEGMKVWTMLADTGCLLDDESRKSIMLLKGIKGTHLIIPRIVMRELECMKQQEGMFKRSSKATSIMQWIEDCMENESWWIHVQSSSEMLPVAPTPPATPTEAQRSSEESEATAAAGAFNSMLALFSPRSFTGIFSPRSLTDIDSPKIEDRVLDCALLFNKLRGSGQNMVILSNSVNLKIKAMSEGLLCEGAKEFRETLMNPCSERFMWAASVPRGAAWSRLDEAALAENYYNSHRESRRNAPRPVEAARGLKLILLHNSSSLCARSGDQLRR >SECCE7Rv1G0463920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:57780422:57780850:1 gene:SECCE7Rv1G0463920 transcript:SECCE7Rv1G0463920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAAIFVALSLLLSTVATHGCGPYCQPPVVVPTPPVAVPPPHHGGGGAHRHGGQCSIDALKLRVCANVFGGPLGLKIGVPARDECCPLLQGLVDLDAAICLCTAVRANVLGIHLNVPVDISLLLNHCGKTCPSEFTCPAH >SECCE4Rv1G0219310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:29844616:29846174:1 gene:SECCE4Rv1G0219310 transcript:SECCE4Rv1G0219310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3-like protein S94 [Source: Projected from Oryza sativa (Os03g0710800)] MSTAEATREENVYMAKLAEQAERYEEMVEFMEKVAKTADVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRTRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKAGAERKEAAENTLVAYKSAQDIALADLPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDSLGEESYKDSTLIMQLLRDNLTLWTSDNAEEGGDEIKEAASKPEGEGH >SECCE6Rv1G0396070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:355293280:355296650:-1 gene:SECCE6Rv1G0396070 transcript:SECCE6Rv1G0396070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIGRLRALVLRAAAGAGRRRWARVLCGRAVDVCVTPRPRGHGWRGFLAVAGARTKMMLDSSDSDSGAPAGQLQLQRRAAAAGTQPHDGGYASAGWQREDGKLKCGYSSFRGKRATMEDFYDVKLTEIDGHAVSLFGVFDGHGGSRAAEYLKEHLFENLMKHPKFLTDTKLAISETYQKTDADFLESESSAFRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKARALSVDHKPNRSDERKRIENAGGVVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEELINGELENLVLASDGLWDAVENEEAVSIAKTEDVPESAARKLTEIAYSRGSADNITCIVVQFHHDKTAG >SECCE7Rv1G0455090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5312193:5315135:1 gene:SECCE7Rv1G0455090 transcript:SECCE7Rv1G0455090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVDKLLLSNIHIVVICALLLFTQARSFVESSSSSSHPNSTATICIASERSALVGFKAGLSDPANILSSWKGHDCCKWKGVHCGHRNSHVVKLDLRGHGCDFIDKTRTPGIGGNISSSLLGLQHLHYLDLSCNWFDGVQVPEFLGSLHKLRYIDLSSSSLTGMMPPHLGNLSNLRYLNIASKHGTPPYSTDITWLSQLTSLEHLDMSYVNLSTILHWVPVVNMLPSLKVLRLSVCNLKTSSSSLPLSNLTSLETLDLSSNSFHTHITPNWFWGLTSLKYLDISMNGFYGHFPDKIGNLTSMVTLDLSSNNLVGMIPSNLENLCSLEVFGIGGNNVNGSITEFFLRLPSCSWDKLSLLYLPSINLIGSLPTKLEPFRNLVRLDLSGNKLTGHVPVWIGKLTKLIELDLSYNNLDGFIGEGHLSGLESLERLLLSNNSIALIVKSMWVPPSNLTYIGLRSCVVGPRFPSWLRWLTRLDYLDISNTSISDTVPDWFWITASTLYTLNMRRNKISGYLPSTMEFMRATAIDLGSNQFNGAIPKLPIGLTDLDLSRNNLDGPLPLDFGAPGLTKLILFDNSITGAIPSSLCKLRSLRLLDMSGNNLTGLIPNCSADPSTTDLTNILNLSLRNNNLSGEFPSFLQYCQRLVFLDLAHNHFFGSLPTWIGDKLPSLAFLRLRSNMFSGNIPEELLKLVDLQYLDLAYNSITGSVPKSIGNSKGMILTRVRYDDDDTDDDAAFQTAFGYGLSVGPNDYVTYTENSTVVTKGQERLYTGEIVYMVNLDLSCNNLTGDIPKEISTLVALKNLNLSWNIFSGKIPVNIGALVQVESLDLSHNELSGEIPASLSALTSLSRLNLSYNNLKGEVPSGNQLQTLEDPEYIYIGNPGLCGPPLPQKCSQPEPVPATQEHHEDLNDVASFFIAMGFGHVMGLWVVFCTFLFKREWRVKWYTVCDKFYDWVYVLVVVTWASWTSETIAGAENDN >SECCE1Rv1G0019510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:235869731:235870195:1 gene:SECCE1Rv1G0019510 transcript:SECCE1Rv1G0019510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESCDLNKDEAEILKPSSSTPSPPSPITPPPPTAQIPEPQPPQSPPQQPAAQFFSRPCEIVHIETSKKRKHGDAVSMAMAAAPLSPVLFVNRCNVCRKRVGLTGFRCRCEKLFCLRHRHSESHNCSFDYKTAGRKEIARANPLIRAAKIIRI >SECCE1Rv1G0042610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:582390745:582393753:1 gene:SECCE1Rv1G0042610 transcript:SECCE1Rv1G0042610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAALAAMRGRGEVDTSSQFESVRQAVDRFGGGAVSPWRHPSSSPPPPPLQLRPEEVELMKVEEQTVKVEMGLFVKESETFKVLKELESTKQVIDDLKLQIEKATSECGNAATDHADTMKIHPLPDIERNPPPDIERKVDDHVEPLIQSINAIQSPLTTLMKLNQAKAFLNMDTVKMFKCQIEEEKASLERTRERVQLNMEKASALEAELSETAAQLQAAIDPKPVLEPYDIWLQMKQLNAEKDKHGKTVEDSKLEICELTSTIEHTRSKAKTIQFRIVMAGKLKEASRRGEAFALAEMGKRSNVEDPGSATSTTDVTLSVEEHSKLLREAEEAEAASRSRIDAAMQELDEANHGKAEVLERVDEAMAAVESSRKVLEEALRREESANKAKLAAEDALRRLRSDQIILNWRPTRNSSNNPVKFKASATPAMPRKAAGTGIYDVNGLSLVATTPKSTKAMSIGQILSMKLDCEFETGATGKTAGSTKKKKVSLGQMLSQKYEMYSPMRIDHDGASRKQFHPRRRRLGFVVYALLLARQKHRKKRQQASCAKVV >SECCE1Rv1G0052610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:662553483:662553944:1 gene:SECCE1Rv1G0052610 transcript:SECCE1Rv1G0052610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADKKPVAENKVEKAAEKTPAGKKPKAEKRLPAGKTASKEAGGEGKTRGRKKGSKAKKGVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE2Rv1G0102790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:583531709:583532689:1 gene:SECCE2Rv1G0102790 transcript:SECCE2Rv1G0102790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRPHPLSHSRPGALSPLLRVLPRAVAGPPEHDRIRAAALPRMDTTSPSGRPTTPRRQLQLQGPRPPRLSVRPESHAIKKPSGAPPQAQGQGHARREEKQQGHPPREPVIIYDASPKIIHTKPSEFMALVQRLTGPGSGALPSEAQTQDYQMDEAVPGQSFLPPELLLSPSAAMSPAARLATIERSVRPLPAPTPDYVDFNNYRFDDGGLAAVLGAGRPGPGILSPLPSSLPPAAASVMFSPLPFDPNGYSWLNELSPILRAASTGAASSGSGSGGGGTSNGGAYRQPQSYYSDPFVPSPRNLLATPTVPSPRTFAELMSNLPDL >SECCE7Rv1G0472770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:147581269:147586540:1 gene:SECCE7Rv1G0472770 transcript:SECCE7Rv1G0472770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 3 [Source:Projected from Arabidopsis thaliana (AT5G18100) UniProtKB/Swiss-Prot;Acc:Q9FK60] MAGKPGSLKGVALISGGSADSAVAGALHFVQDPSSGYTEVRGRVSGLAPGLHGFHIHAFGDTTNGCNSTGPHFNPHNKSHGAPVDDERHVGDLGNIQANKDGVAEIFIKDLQISLRGPHSILGRAVVVHADSDDLGKGGHELSKSTGNAGARIGCGIIGIQPAV >SECCE2Rv1G0083470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:166951141:166952803:-1 gene:SECCE2Rv1G0083470 transcript:SECCE2Rv1G0083470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSMITGPEDSLVLLLLPATTLLPPLLAVLLLAASLLWLSPGGPAWALSLCRRPPPGQPGVVTALSSPVAHRVMAALSRSVHGGAALMSFSAGLTRVVVSSRQDTAREILVNPAFGDRPVKDAARHLLFHRAMGFAPSGDAHWRALRRLAAAHLFGPRRVAASAPHRSSIGARMLGDVASLMARHGEVAPRQFLHAASLNHVMAVVFGKRYDDFTSQEGALVEEMVNEGYDLLGTFNWADHLPFLKWLDLQGVRHRCNRLVRQVEAYVGNIIQEHKARRASGPGIADELSGDFVDVLLGLDGEDKMSESDMIAVLWEMIFRGTDTVAILMEWIMARMVLHPEIQSKARAELDAVVGRGRAVTDEDVARLPYIQCIVKETLRMHPPGPLLSWARLAVHDAHVGGHLVPAGTTAMVNMWAIAHDAAVWPEPELFRPERFMEEDVSVLGSDLRLAPFGAGRRVCPGKMLALATVHLWLAQLLHRFEWAPSGSVDLSERLKMSLEMATPLVCQAVAR >SECCE1Rv1G0056300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:686175043:686177799:-1 gene:SECCE1Rv1G0056300 transcript:SECCE1Rv1G0056300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNSSAAHVVAAAIFGLMALTSMVNLASGHDYAMALKKSLLYFEAQRSGALPPDQRVTWRASSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSILEYGKQMAASGELKNAMDAVKWGTDYFIKAHPEPDVLYGEVGDGDTDHTCWQRPEDMTTSRQAFRVDPQNPGSDLAGETAAAMAAASMVFRTTYPGYANLLLEHSKQLFEFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLFEATEERFYLEYLARNGDALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGEHAEALQRYRQNAEFFVCSCVGKGAVNVPRTPGGVMYHQRWNNLQFVTSASFLLTVYADYATVTGRGAVRCPAGAAQPFEILAFVKSQVNYILGDNPRATSYMVGYGQNYPRQVHHRGASIVSVRTDPSFVSCQEGYASWYNKQAVNPNILDGAIVGGPDEYDDFADERNNYEQTEAATYNSAPLLGVLARLAGACGGLDEYQSLPQVAAANRTSRPAHRPHPHLEIEQNVTRTWAIRRRTYHRYSVTVTNRSRKTVRGLHLGISELDGRLWGLDKARYGYVPRRWLRALRPGRSVRFGYVQPGPPANVWVTGYKLV >SECCE5Rv1G0358960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:758596877:758597695:-1 gene:SECCE5Rv1G0358960 transcript:SECCE5Rv1G0358960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHDHHHYDVEACYPSGPDGYMIESPELRWAFIRKVYVIVSIQMLVTVAVAAAMNLTESVRNFFLSRTPAALVAFILILISPLLVMLPMVYFRKKHPVNLVFLGIFTVCISLSVGLGCLTKRGPIIFEAAGMTLVVVVSLTAYTFWAAKRGHDFEFLGPFLFAACVILMLYAIVIILFPMGKTALLVYACIAALIFSAFIIYDTDNLIKRYTYDEYVAASITLYLDIINLFRAILMALEAAD >SECCE5Rv1G0359800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:765297359:765297823:-1 gene:SECCE5Rv1G0359800 transcript:SECCE5Rv1G0359800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHEQNLGRVKDKQAHEKEVGKLKKEIDFLSNNYSQLVEDVSKLFDYQDGKMSHDMDYTSQAINDLNEKKKQLEDHAKIELSMEKLKLAKEQRCILQSQADIIQNMRKAMKEVEGDRDLLKQEKKKLEYLIADLLNVGQASKDKLERIKAIMNE >SECCE5Rv1G0359350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:761445372:761449038:-1 gene:SECCE5Rv1G0359350 transcript:SECCE5Rv1G0359350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSVEEIPDPFWGQPSPRPAGASASGGAGAEGAMNRCPSEWYFQKFLEEAVLDSPAADPTPMPRASGGGAAQVKQPAAAAAAAAPAATGAVVDPVEYNAMLKQKLEKDLAAVAMWRASGAMPPERFAASPSLSNADVQHIGTINPIGGNVVPVQNKLTGGASGVSGPHLVQNADALVKQAASSSSREQSEDDDMEGEDEITGNGVPTDQRLRRRKQSNRESARRSRSRKAAHLNELEAQVSQLRVENSSLLRRLADVNQKYNGAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMSALFPAGSDMSSLSMPFTGSPSEATSDAAVPDDLSAYFSTSEAGGNNGYMPEMASSAQEDDNFLNEAMDTGKMGRPDSLHRVASLEHLQQRMCGGPASSGSTS >SECCE6Rv1G0383790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:57251600:57253654:1 gene:SECCE6Rv1G0383790 transcript:SECCE6Rv1G0383790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVHMFFLLIPFLSAGIILPLPPVAAAAADADGEQFVYTGFTGSSLVLDAAATITATGLVELTNATTHVKGHAFHPAPLRLRGSSGGSGTVRSFSVAFVCGIVSSYTDFSTHGLALVVAPGVNSLSAGLTDQYFGVTNAQNDGRADNHLFAVELDTVQNIEFRDISANHVGIDINGLTSVASHDAGYYDDGNGGGFQNVSLISRAAMQVWVDYDRVTTQIDVTMAPLGMARPSRPLVSAAHNLSTVLSEPSYIGFSSSTGPVNSRHYVLGWSFGIDRPAPAIDAAKLPKLPQLGPKPRSRVLEITLPIASAVIVLAVGAALVLLIRRRLRYTEVREDWEMEFGPHRFAYKDLFHATKGFKDKHLLGAGGFGMVYKGLLPASGVEIAVKKVSHGSKQGVKEFVAEIVSIGRIKHRNLVQLLGYCRRKDELILVYDYMPNGSLDKYLYNQGDGDGLTLDWAQRLHVIKGVACGLHYLHERWEKVVVHRDVKTSNVLLDKEMNGRLGDFGLAKLYEHGTNPQTTRVVGTTGYLAPELVRTGKATPLTDAFAFGTFMLEVTCGRRPIKQDEQGNQVLLADWVLEHLHRESLVEAVDPKLQHEYNSDEVCLVLKLGLLCSHPSPSARPTMQQVLQYLDGESPLPEMTRATLSFNLLALKERKELHSMSSPFSSTAMTVGTISDLSGGR >SECCE5Rv1G0376260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871873864:871877108:-1 gene:SECCE5Rv1G0376260 transcript:SECCE5Rv1G0376260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPHEGNYVQVYVMLPLDAVSVNNRFEKGDELRPQLKRLVEAGVDGVMVDVWWGLVEGKGPRAYDWSAYKQLVELVHEAGLKLKAIMSFHQCGGNVGDVVNIPIPQWVRNVGVSDPDIFYTDQHGTRNIEYLTLGVDDQPLFHGRSAVQMYADYMASFRDNMKEFLDAGVIVDIEVGLGPAGELRYPSYPQSHGWSFPGIGEFICYDKYLQADFKAAAAMVGHPEWEFPRDAGTYNDTPQRTRFFVENGTYLTEQGRFFLAWYSNNLIKHGDKILDEANKVFLGHRVQLAIKISGIHWWYKVPSHAAEVTAGYYNLHDRDGYRPIARMLTRHHASLNFTCAEMRDSEQSSQAMSAPEELVQQVLSAGWREGLNIACENALPRYDPTAYNTILRNARPHGINHSSPTEHKLFGFTYLRLSNQLLEGQNYVNFKTFVDRMHANLPHDPSVDPVAPLQRSGPEIPIEVILQAAQPKLDPFPFEDHTDLPVQGLGGIGGGEVECPAGGIGGEVQQDPTGGMGGELPPAV >SECCE7Rv1G0454480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2798231:2802741:1 gene:SECCE7Rv1G0454480 transcript:SECCE7Rv1G0454480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALGSAASLLGKVLTTLSDSLVAAYVDSLQLGHNSDQIKDKLLHAQGLLHNAQGSHVRHNPGLKGLLENLSRDADQAEDLLDELHYFQIHDKLHATHYAATQDLDGLVSHQALHAGSAVRHTLGSLFQCFSCSCTPKRNGGDAAVGGDPAAAVAGVTNSNSASADDGDTLHFDRVSMSSKIKSLLQGMESHCDSVSNLLSSTPINSTAVILHRPQTVSMIIQDTLYGRTDTFEETVNRITDTGATKTVSVLPIVGPGGIGKTTFTTHLYNHARTEEHFQVRVWVCVSTDFDVVKLTREILGCIPATEEEGSSGVVNETTNLDQLQKSIAHRLKSKRFLIILDDIWKCDGEDQWKTLLAPFKKGEAKGSMLLVTTRFPKVADMVKTVDPLELRGLESNDFFTFFEACIFGDHKPEHYEYELACIARKIANKLKGSPLAAKTVGRLLQRDPSHKHWNGVLEKHEWLKQQDNDDIMQSLRISYDCLPFDLKKCFSYCGLFPEDHSFTSSKINHFWVAIGIIDSNHKADRNYLEELVDYGFLMKKKSNYHGDRCLYVMHDLMHELSKSVSAQECLNISGLDFRADAIPQSVRHLSINIEDRYDANFEEEMCKLRERIDIANLRTLMIFREYEEERIAKLLKDSFKEINSLRVLFIVVRSAQSFPYRFSKLIHLQYLKLSSSYNEDEMRLPSTLSRFYHLKFLDLHLWGGSSDLPEDFSHLENLHDVRAKSELHSNIRNVGKMKHLQRLKEFHVKKESMGFELRELGALSELERALVIRGLEHVATKEEATAAKLMLKRNLKKLELLWDRDRPTTDASILDALQPHPNLRVLTIANHGGSSGPRWLCLDIWLASLETLTLEGVCWSTLPPFGKLPNLKGLNLKKICGMHQFGPRCGGAPGKCFLRLKTVEFYEMPELAEWVVEPNCHSFPSLEEIRCIDCPNLHVMPLSEVSCTNLHRLQVSGCPKMSLPSMPHTSTLAYLEVKRDGLETLLFYDGKKLVVSGYGGALAYHNLDKVEDMDIANVSCISLTDIKKLKSLTKLSVGTCDSLLPEELDGSIVFRSVKSLKLDVSHLTSKSSSKVLNCFPALSVLVIDEYRQNKSVMQFPSPSSLQELTFRGCKGLVLVPVEKENGGGIQEEKSLLQSLTIKGCGKLFRRWPMGEPETICPFPSSLRKLDVWDEPSMKSMALLSNLTSITTLRLKRCRNLTVDGFNPFIAVNLIELEVRECNTLAADMLLELASQILPAGYISRLEVLITDDISRLLVAPICNLLAPAIHTLVFSSDGRMESFTEEQEKALQLLTSLQNLTFSECQGLQFLPQGLHCLTSLKKLRVILCRKIRSMPKEGLPVSLRKLVVNHRTAEINEQIDKIKRINPDLSVSDKYRLNEGPKQ >SECCE6Rv1G0385080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:72175587:72178174:1 gene:SECCE6Rv1G0385080 transcript:SECCE6Rv1G0385080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDQTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSLRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMSSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEEYDEEEEEEAA >SECCE3Rv1G0175180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:419149828:419191146:-1 gene:SECCE3Rv1G0175180 transcript:SECCE3Rv1G0175180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MATAPSSTATCAASLHFPHPSPPWSISSGVGHVQFFRRRCRGLHRRGGGEAARAGLGGLLGGMFGGGGGDDGEAAKRRYNDTVALVNRLEPEVSALSDADLRARTSALQERARDGESLDSLLPEAFAVVREASNRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEAFEQDIHYTVDEKQRNVLLTEQGYADAEEILDIDDLYDPREQWASYVLNAIKAKELFLEDVNYIVRSKEVLIVDEFTGRVMAGRRWSDGLHQAIEAKEGVQIQNETITLASISYQNFFLQFPKLCGMTGTAATEKQEFESIYKLKVTVVPTNKPMIRKDDSDVVFRATSGKWRAAVVEISRMNKACRPVLVGTTSVEQSETLSEQLREAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRIVNPVDGVIVSKKQLPPRKSWKTNESLFPCELSEDTLSCIKDAVEVAVKEWGEKSLPELEAEERLSYSCEKGPTRDEVIATLRTAFMKIADEFKIYTEEEKKKVIATGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNNQRDRVYAERRRALASGSLESLIVEYAELTMDDILEANIGPGTPRESWDLGKLIAKVQQYCYLLNDLTPELLESKCSSYEDLQEYLRTRGREAYFQKAEIVEKQAPGLMKEAERFLILSNIDKLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVMKKQEEESSGKKDPKKNLQKGAKKLGAAQAAS >SECCE4Rv1G0269600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749632398:749633435:-1 gene:SECCE4Rv1G0269600 transcript:SECCE4Rv1G0269600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLLRATVALVILVLLFMPGAMAATAASFDASRTQQLPLPPGEVHGPESVAFDAQGRGPYSGVSDGRILRWDGPKLGWSTYAYGPGYDSETCTTSRYGTEADVESRCGRPLGLRFNHKTGDLYVADAYKGLMRVPPGGGEATVLVDQIDGMPLRFTNGVDVDQVTGKVYFTHSSMNYDRSDHELVTKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIEGVDAGKSEPFSDLPGYPDNVRPDRKGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGSKKVRPTEIMERDNGKIYLGSVELPYVGVVKRK >SECCE1Rv1G0033600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:469412039:469414736:-1 gene:SECCE1Rv1G0033600 transcript:SECCE1Rv1G0033600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIDLEARNRPMEQEDDLSSSSSSSSFYSMRQCRICHEEEDESSAAMESPCACSGSLKYAHRGCVQRWCDEKGSTLCEICLQGFEPGYTVPPKKAPPVEVLVTVSEEEEDDEEEELVGHQGVQYAASDGQMDGPDTAGCSWCRPLAITFTIILLVWHLIAVVTIEAAEHCAFSLLTMYLLRAAGILLPLYAVMRVIRMIQHGRRQYRLHQQLEEQRRRNASRRSQEQQQQLVISIQ >SECCE5Rv1G0344080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:643289038:643289448:-1 gene:SECCE5Rv1G0344080 transcript:SECCE5Rv1G0344080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTIADLLTSIRNADMNKKGTVRVVSTNITENIVKILLREGFIESVRKHQERNRYFLVSTLRHQKRKTRKGIYRTRTFLKRISRPGLRIYTNYQGIPKILGGMGIAILSTSRGIMTDREARLNRIGGEVLCYIW >SECCE2Rv1G0093310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:374844841:374867953:-1 gene:SECCE2Rv1G0093310 transcript:SECCE2Rv1G0093310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G22960) UniProtKB/Swiss-Prot;Acc:Q9LIK0] MAAAHSLLHLGALAPTSTLSPLGAAHLRLLPSRRPQCLVASCSSAHPGLAAFPNPNGLLVVEPAAEAPIDIDVATEAELRENGSRSTRRTKLVCTVGPVTCGPSELEALAVGGMNVARLNMCHGDREWHQKVISSVRSLNEEKGYAVAVMMDTEGSEIHMGDLGGAPAAKAEDGEIWTFSVRSFEAPLPELTVHVNYEGFAEDVRVGDDLLVDGGMARFEVIEKLGPDVKCRCTDPGLLLPRANLTFWRDGSVVRAKNAMLPTITSKDWLDIDFGIAEGVDFIAVSFVKSAEVINHLKSYIAARSRGSDIAVIAKIESIDSLTNLGEIIRASDGAMVARGDLGAQIPLEQVPSIQQKIVKLCRQLNKPVIVASQLLESMIEYPIPTRAEVADVSEAVHQRADALMLSGESAMGRYPDKALSVLSSVSLRIERWWREEKHHEPLELDDVSSSFSYKISEEICISAAKMANKLEVDAVFVYTKGGHMASLLSRCRPDCPIFAFTNSTSVRRRLNLQWGLIPFRLTFSDDMESNLNRTFSLLKARGMIKSGDLVIALSDMLQSIQVMNVP >SECCE7Rv1G0462280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45010705:45011247:1 gene:SECCE7Rv1G0462280 transcript:SECCE7Rv1G0462280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEGSESDDSMDENTSQVPHARRSKVWEHYEVDLVLVDGDFKAVCKYCGAQLHTMFGTSSLRTHIAEACRSIQEACRKRFLFTMKKKHPKVCLCLMKKFVVNSWSSIASMLTSPFLKFEDPHLQPWIDSMQPPFQIKGRHTICDDAVKMYKGMKKYIEVELQNLDSHICLTSGMWTSS >SECCEUnv1G0541140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93544611:93547813:-1 gene:SECCEUnv1G0541140 transcript:SECCEUnv1G0541140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSEATPTAKKGEEEKVMVLTMLEVDPATEDPNLLDAIRKKNSAALTSILDPPDELSAKDSSNAAAAVWYFVMSGHHITGNLNLLTDITPVCDRWFRSLIGTGPPMQVCARGSVNCNGIKLDGVWYVPGVTVNMVASAHFTNQEISVTLDRSAFSLMRPDGTVVGKGGVKGNMYVLDSLDISSTTAPWYIVSNAAEHMTGNLHLLSNFAPAQPGRPVRTHTGAMLQVRGKGSLSSTQLSIPNVSYVPGLTENIISVTQLTDSGFSVAFSSHGCTITRNRDGKTVGCAYHAGGQLYRLDHLRVAGSK >SECCE7Rv1G0515590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:840162443:840165269:-1 gene:SECCE7Rv1G0515590 transcript:SECCE7Rv1G0515590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKRSVGTLGEKDLSGKKVLLRADLNVPLDDGQRIADDNRIRASVPTIKFLMGKGAKVVLASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVQKLAVALPDGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKYLRPAVAGFLMQKELDYLAGAVANPKKPFAAIVGGSKVSTKIGVIESLLSKVDILILGGGMIYTFYKAQGHAVGKSLVEEDKLELANSLIEKAKSKGVSLLLPTDIVVADNFAADAESKIVPASAIPEGWMGLDIGPDSIKKFSETLDATKTVIWNGPMGVFEFEKFAAGTDAIAKKLADITAKGVTTIIGGGDSVAAVEKAGLGSKMSHISTGGGASLELLEGKTLPGVLALDDA >SECCE6Rv1G0381920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:36580524:36580991:1 gene:SECCE6Rv1G0381920 transcript:SECCE6Rv1G0381920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASATVATGKGKKGAAGRKAGGPRKKSVSRSVKAGLQFPVSRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINPVLLPKKTAEKSPKEPKSPKKTAKSPKKA >SECCE2Rv1G0106180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:631235830:631237280:-1 gene:SECCE2Rv1G0106180 transcript:SECCE2Rv1G0106180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDGAPSPASAAARDAKKKRGNRSSAKLKQCKLDARREQWLSQVKDGKEATAKPLPPGAGSGAGSNAGSPILASPHPPLPRRRADARSRGGVLEDDKEDAGATGQEVGGSDLDSPMHSPCSDNSRGGGCAQSKRCSSNGGGPSLSSVSSLWSSSRSVSDAEDDDTGSGPEEENGVLDDWEAVADALSVDDNSHCHQSLGTTMPPTATSESAPLANTSKRTEPIRSNARAWTPDDTFRPQSLPSISKQASFPARIGNCWGMGMSAAHQSILSMPLSCPICYEDLDPTDSSFLPCPCGFHLCLFCHKRILEADARCPGCRKLYNAGSAGEGGAPAPVRLSRSCSMGPRH >SECCE7Rv1G0516730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:847191944:847198266:-1 gene:SECCE7Rv1G0516730 transcript:SECCE7Rv1G0516730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to heavy metal ATPase [Source: Projected from Oryza sativa (Os06g0665800)] MAHLQLAAVAGGGRAGDDMEDVALLGSYDEEMGGAAPPAGGGAEEEAEAHVRVTGMTCSACTSAVEAAVSARRGVRRVAVSLLQNRARVVFDPALLKVEDIIEAIEDAGFDAEILPDSAVPQAKSQKTLSAQFRIGGMTCANCVNSVEGILKKQPGIKGAVVALATSLGEVEYDPSTISKDEIVQAIEDAGFDAAFLQSSEQDKILLGLTGVHTERDADILHDILKKMNGLREFAVNTTLSEVELVFDPEAVGLRSIVDTIEMGSNGRFKAHVQNPYSRGASNDAHEASKMLHLLRSSLFLSIPVFFIRMICPSIPFISTLLLRHCGPFHMGDLVNWILVSIVQFVIGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFQPLIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATAILLLKDKEGKYVGDREIDALLVQPGDVLKVLPGSKVPSDGVVVWGTSHINESMITGESAPMPKEVSSVVIGGTINLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSVLTFSVWFLCGSFGAYPHSWFDGTSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAVLEYAFHFHFFGKLPSSKDGIEQRKEQILSQWLLEAEDFSAVPGKGVQCLINEKKVLIGNRSLMNENGVIVPPEAESFLVDLELNAKTGILVAYDSSFVGLMGIADPLKREAAVVVEGLKKMGIHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFNRIRWNYFFAMAYNVVAIPVAAGALFPLTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTLLQITVE >SECCE1Rv1G0026040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:364496954:364498060:-1 gene:SECCE1Rv1G0026040 transcript:SECCE1Rv1G0026040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGAAYYASLARKQYFCYQCNRTVLIAASAAAAGELSCPDCRGDFLEEVTVPAPTILPFPFAFPPMMPTASSPSRSSSSTAAPPSSDLSNFLTSILDLQEGRRVRSRSGSGAASAAGTATPENEPESFDPLVFFQNYIHTLMEGGANIQVLLDDASVNLAPGLGGRAGGTSYGDYFVGPGLEQLIEQLAENDPNRYGTPPAAKSALSTLPDVVVTDAMVAAAEGAECAVCKEDFSPGDGAKQMPCKHIYHADCIVPWLELHNSCPICRFELPTDDPDYEGNKASNPQSAVGIAAAASGSSGAAEEGGEESGETARLVESRFNVSSLPWPFGGLAGQTPRQDGNNAGAGSSSQDSSSQDGAGGSNKN >SECCE7Rv1G0501470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:683470666:683471547:-1 gene:SECCE7Rv1G0501470 transcript:SECCE7Rv1G0501470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDFEQAAAAMDSSSGWAVAPAVSAASPTMPIGGILTMTGIFLVFLSFALALIFIQYYFNTSVRTAPRGRPRGVREAAAGGVDPELLRSLPVTVYRAGLKGSTDEVGVECAVCLTELEDGEEARFLPRCGHGFHTECVDMWLASHTSCPLCRTTVGKPDASQALTPTSLPSLPPEPVNYAGNLPASVLLGVSDQATLAAVTVTSHGGQSSPSALATRAVLVIDIPDSRTVATPRGASKSPGLARLRSLKRLWSFGRQGPSGSSPPCSGGSGSGTADTDQGISITCATPRAPV >SECCE2Rv1G0092520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:362012887:362013891:-1 gene:SECCE2Rv1G0092520 transcript:SECCE2Rv1G0092520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFIPNSPQAMTLNVVGGKQSVPVMIGSLTTPFAFFPEVSTTIPALVANGRRMLPALMSLPGNVVVRPVWAHNLQDEVELIESLLPRFRYAAVDTEFPGTVYRPKVPAYALTEEKKYALLKANVDGLHLIQLGLTLFDAAGRLPDLGTGGAVQYVWEFNFREFDVRRHRYAPESIELLRAKGVDFGRTRRKGIDAAALGPRLRKLLRGGLGDAGVITFSGAYDLAYLVKLMLGRGYKLPATPAQFESVVKAMVRKRLYDVKEMARRCPGDIDLRGGLDRVAGKLDVRRAVGEAHQAGSDSLLTCQTFMKIRERYFVDDHELTTVAGVVAGVTVC >SECCE4Rv1G0227250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:119775500:119776798:-1 gene:SECCE4Rv1G0227250 transcript:SECCE4Rv1G0227250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTSCSTKCRAKLKPVLHTDAVLRVLSLGGASMRVGTRGIHFVPGPASEDEQDRIPPPRGWYPAAYARLLRLAGSLQGVDLVDGDLRDAATGSLVADVHALALRRVEHFDALAGEFAATRRGPPLKTTALSSLTKVCDVLGVSAQRRKNVRLAVCPQVTQHHVWRGALEEVLRDLRADMGALEPPSPAIRMAEQIASACASFLSETADAATSSSPSWMRPTPYRRPSPAPPAAKTWQEVLDMFTDLGKSLATDERLAGHAQKVEAMKEGLYQIREIIVERDIAFKEARHQDCLVQRNLSKNLGHSSRGLYTLLLFYLYGTVRDIEVHIGKCPSGKEGKNVTLHAVKFLIDGDELAVRSGIKQLSRALRVFRFVWEAANTDTGTINDIVVKKAEGAKGVLKLQGHLWGLDVEEKTVTYRGDVFKVHQIKLP >SECCE3Rv1G0178330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:498792922:498796417:1 gene:SECCE3Rv1G0178330 transcript:SECCE3Rv1G0178330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRWWKRRDGSHDADDLVPMDIQEQEELVRSLEQKQAHQSRRWRHVFAGFLLSYAVFLVYSSFHHAWSPWELRYHAYFMEDLPSPMVIVADWIAALGCLFAVKGLLQASNSKKWMWYSIYAGVAVAVFWTYYLLKLPRIRWDVVWLPLGPLIASALSLYVDHTLMKSMQDISTLRGYMYNFKSL >SECCE2Rv1G0088310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:242385651:242386687:-1 gene:SECCE2Rv1G0088310 transcript:SECCE2Rv1G0088310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske [2Fe-2S] region domain containing protein [Source: Projected from Oryza sativa (Os07g0556200)] MASTALSTASNPTQLCRTRASSLCKPVKGLGFGRERIPRNITCMAGSISADRVPDMSKRELMNLLLLGAISLPTFGMLVPYGSFLVPAGSGSNAGGVAAKDKLGNDILVEDWLKTHGPNDRTLAQGLKGDPTYLVVESDKTLATYGINAVCTHLGCVVPWNAAENKFLCPCHGSQYNNQGKVVRGPAPLSLALVHADIDDGKVVFVPWVETDFRTGENPWWK >SECCE2Rv1G0107770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:654299676:654302992:1 gene:SECCE2Rv1G0107770 transcript:SECCE2Rv1G0107770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPTPLLLGLLVVLLASARAASVSLPALPLSTTSRWVVGADGRRVKLVCANWASHLEPVAAEGLSRRGVGDIAARVAAMGFNCVRLTWPTYLATNATLSSLPLRWSLERLGLRESAAGVRVNNPDLLDLPLIEVFREVVSALASNSIMVILDNQMTTPGWCCSRTDGNGFFGDKYFDPEEWLKGLSAMATMFRDTKNVVGMSLRNELRGPYQNVSLWYRYMQQGAEAVHAANPNVLVILSGLDFDNSLSFLSPKQVKLSFTGKLVFEQHWYGFSDGTDWENWNQNDACGVAVGSIRTKGLFLLQQGWPLFFSEIGFDMSGTHIADNRYLTCFLSVAAEMDLDWAVWALEGSYYIREGILAYDETYGLLTWDWYTARNPSFIERINSLQSPFQGPGLPSSHKPYKVIFHPLTGLCVLVESVNVLKLGPCDESNAWNYTSAYELVLKHTGQCLEAKSVGDTAKLGTGCSKSCSKWQLISDSGMHVSAELTKNGTRVCLEAGPDGVITTDQCKCLTEDPTCDPESQWFKVIWSSRGIPGEASVLQLPSLGPWPPTSSSSR >SECCE1Rv1G0035580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:499953538:499958407:1 gene:SECCE1Rv1G0035580 transcript:SECCE1Rv1G0035580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA-RELATED 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12470) UniProtKB/Swiss-Prot;Acc:Q94CJ5] MAFSTPNALSASASGPASPFHLHLQPQPFLRLPALPFHRSLPLHLPSLRLTRPLLPPLPLASSGGGGNIGSGGGGGDDDLPSGGGGNEDEGEGGDDAAVNRREALFVLAQLGRKLESLPADMAAAVEGGRIPGEIVRRFADLEKSPMFRWLLQFGGFRERLLADDLFLAKVAMECGVGIFTKAAAEYERRRENFVKELDFVIADVVMAIVADFMLVWLPAPTVSLQPALSVNAGAIAKFFHNCPDNAFQVALAGSSYTFLQRFGAIMRNGAKLFAVGTSASLIGTGVTNAIIKARKSVNKDDAGEVEDIPIVSTSIAYGVYMAVSSNLRYQVLAGVIEQRMLEPLLHRHKLALSALCFAVRTGNTFLGSLLWVDYAKFIGIQ >SECCE7Rv1G0511580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804168012:804169460:1 gene:SECCE7Rv1G0511580 transcript:SECCE7Rv1G0511580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQHFLVVTFPGQGHINPARALAERLARATPGARVTLSAAVSAHRRMFPSLASPDDEVHDGTISYMPYSDGYDHGFSYFAGDGDEVERYVEAFGRVGRETFSAVLDCLTARGRPVTCVVYAMLMWWAAEVARERGVPRALYWIQPATMLAVYFHYFHGFERLVTEHAAEPGFTVSMPGLPPMTIRDLPSFFTNLTDGRLVAVFGDIRRTFQQLDLDVDSSSSAGGSRQAMVLVNTVEELEAGALASVPGLDMFPVGPAVVSLFTQGEGGTSGTAAAVGDLFEHDEKGYMEWLDTKPARSVVYVSFGSMAAVSKRQKDELKRGLAASGRPYLWVVRNNNRGDGFDDAGDERGMVVGWCDQVRVLSHPAIGCFVTHCGWNSTLETVACGAPVVAVPQWSDQDTNARLVVQWGVGVRAETDVNRVLDAEELARCLEMIMGDREEGAAIRANSAAWEAKLRQAIADGGSSGHNLTTFLNQFANDV >SECCE6Rv1G0389190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:147191203:147195360:-1 gene:SECCE6Rv1G0389190 transcript:SECCE6Rv1G0389190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVALTIFLLILLPAHHALATAAGDAHPGYADAQTDTCGPALDLGGSSSSATATGAAGRRYGPGLEEYGGGRIVDITHAFRPGMPAYAPGATVGPVVRLKESMENGSDYNLSELSMECHIGTHVDAPGHFNQAHFAAGLDVDTLDLEVLNGPALLVDVPRHTNITAEAMESLNIPKGVRRVLFRTLNTDRGLMWKEGGDMSYVGFTEDGAQWLVDNTDIKLVGLDYLSVASFDYARSAHVVFLKNADIILVEHLKLEYVKTGLYMLHCLPLRLVGSDGSPIRCILIK >SECCE5Rv1G0362390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:785821503:785822858:1 gene:SECCE5Rv1G0362390 transcript:SECCE5Rv1G0362390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RbcX2 [Source:Projected from Arabidopsis thaliana (AT5G19855) UniProtKB/TrEMBL;Acc:A0A178UCQ2] MTGVQVMPGVGAVTTVDVRAEGGKAVAGLARRRVGVGSSSSTSLFAGDWRRRPRRATCSVRLRQCGRGRGTRSGGLAIVSNLGGSYDVGFGDVDLQLMNYFTYKAVRTVLTQLYEMNPPSYRWLYNFVAVNKPTDGKLFLRALGKERQELAERVMITRLSLYGKWIKKCDHAKMYEKISEENLELMRERLMETVIWPTDDTNTEKIG >SECCE7Rv1G0495650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:600440797:600443115:1 gene:SECCE7Rv1G0495650 transcript:SECCE7Rv1G0495650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRHFACHVSPTAASPTATPTPSLLRPFSPDFCALTALRPIRPAAATPKPPRDATASTEQEQQEQEQQFAASSRGAYEEPSPSPSPSVSSTEAQDPAALCVGIIGFGNFGQFIARGIQRQGHAVLATSRSDYSDYCSAHGIRFFRSLEALCEEQPDVLLVCSSILSTESVVRAIPLAKLRPDTIVADVLSVKQFPRNLLLEILPPEFGILCTHPMFGPESGKHGWSKLPFVYDKVRLSDEGDQKAKCDRFLSIFEQEGCRMVEMSCAEHDRHAAGSQFITHTIGRVLAQLNLQSTPINTKGYETLLQLTENTVSDSFDLYYGLFMYNVNATEQMDKLDRAFDDVKQMLYGRLHGVLRKQIVDRVPMPGAPSLGSREATDSPAANIEEMKPLSPSPCHTFSTVAFSTAKC >SECCEUnv1G0528270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3590956:3592080:-1 gene:SECCEUnv1G0528270 transcript:SECCEUnv1G0528270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALAMMLIMAIALTTTMLVASAMDITDEDLASEESLWAMYERWCENHRVEREIGDKTRRFNVFKKNARMIHEFNQRDMPYKLSLNLFGDMTDEEVDRTYGRCSNIRSNGRKRQHQGRLTQGSIIAREDLPSAVDWRMMGYDQRPSAVTNVKRQGTCGGCWAFAAIAAVEGINSIRTRNLTSLSVQQLLDCDKGNEGCRGGNAEGAFKYMIHHGGIETEAGYPYVGHEHDHCSMPKQNRNKVVTIEGYKQVPPNEVALMQAVAAQPVVVAIDANSTAFRRYGGGVFVGPCGTDLNHEMTVVGYGSTSEQDSKKRMDYWIVKNSLGPEWGENGYIRIARGVNSQDEDGLCGILLYASYPVKFKRKGVNDIMKIM >SECCE4Rv1G0275260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:792660515:792665149:-1 gene:SECCE4Rv1G0275260 transcript:SECCE4Rv1G0275260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVVSMARSMLMGAISVAASAAAAEMSLLIGVRKDIWFIKDELETMQAFLLSIEATKNKSMLLKVWAKQVRDLAYGIEDCLDEFMVHVGSKNRSRRLLKLKDRHRIACQIRDLKARVEEVSNRNTRYNLITTDALSRIDRVNSYMEDIRNHSASNIDEAELVGFIKPKQELIKMVDVNSKNGLCKVICVVGMGGLGKTTLARKAYESKEHIVNKFSCCAWVTVSQSFSKIEMLKDMIRQLFGISSLKNCLNQLEEKAVHVDHLASYLREKLVETRYFIVLDDLWTTEAWKWIKDIGFPSRNNNGSRIIVTTRDVGLAKECTCKSLIYHLKQLQIDDATNLLLRKSRRTQEDMKNDKEMKTVVNKMVKKCGGLPLAILTIGGILATKKVIEWENIYKQIPSELESNPSLDAMRRIVTLSYSHLPYHLKSCFLYLSIFPEDFEIKRRRLVERWIAEGFVTARVGVNIEDVGISYFNELINRSMIQPSRANIEGIIKSCRVHDIMRDVMVSISRDENFVCIEGDVVTSAAEEIFRHVAYHGSKCQNIGIDWSHVRSKTVFGDRPVEPSPSVCSPDLKMLRALNIENAQFEVTQKDINNIGLLRHLKYVNFSNSRVDSLIYKLPSFIGKVKGLRTLNIGGSYITELPAEICQLKSLHSLRCTKNDDYGVFHVDEPTICLPVTFCLPLVFTPLVNSSDRAHAVAELHMACSSCWSKSRGVQVPRGISNLKELQILEVVDINRTSGKAIKELGELVQLRKLSLATKGATKQKHKALCVAIQKLSSLRSLQVDGTLSDELDGTLEWLHVVSSPPPLLTTLNLVGCLGEIPCWFENLTHLVKFYLKKSQLKEESKVTEILGALPNLMYLHLGEYSYIGEKLGFKRGVFQNLKKLDIHGLRQLKEVIFEEGTLPQLEMIYINECKLTSGITGINHLPRLKEISLDHSGKVTKLAMLQSEVDAHPNSPVLRTYMDRRYHDLGGTVVQVGQATGESSSHHPEPAALGESARFQSVAMATTNVSQHDLLYTYNSC >SECCE4Rv1G0248640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:573653244:573655369:-1 gene:SECCE4Rv1G0248640 transcript:SECCE4Rv1G0248640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNEKNAADLEEKNQPSGHDQQPSFHGPGFSLSPEVQMDSSTSALAAMGNPFPPGLWNPPGQNFGMGETNTNAMLNGHQFSSFLGMLSAATPAYPGAQSGFMDCGTGFPGLSANSLGAMMDHPFSRNPPMGSFQNDIETSREMNVDEGCKDALLTADRQQGDTESSHGLDASSKELSKPECSGGAGQDEGPSVSCPKKRKRPGQDRGVKNVQEGSQQLATLAAKQEKDDDDKDEPKRPIVTSRKSNGKQTEDKSDAPKEDYIHIRARSGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVIGKAVMLDEIINYVQSLQRQVEFLSMKLSAVNPALDFNIERILSKDLFQSQGTGSSTFGFLPDIGHQFLHPPKHSQAALHSIVNPADAFGRVTNAPVGCTFKEAAHQVPNNFDGEFHNVIGMPFTLFNDQESNGKP >SECCE4Rv1G0291160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875956968:875958009:1 gene:SECCE4Rv1G0291160 transcript:SECCE4Rv1G0291160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSTNAIALVLVALLSVLLTSVRSAANYDTAAARSYNSGWLPAKATWYGAPTGAGPNDNGGACGFKNVNKYPFSSMTSCGNEPLFDGGAGCGSCYEIRCVTANNPACSGQPRTVVITDMNYYPVARYHFDLSGTAFGAMAKYGLNDKLRHAGIIDMQFRRVRCNFPGMKVTFHVQRGSNPNYLAVLVEYANVDGTVVQMELMQTRNGRPTGHWEPMRRSWGSIWRMDTNRPLQGPFSMRITSDSGKKLVANNVIPAYWQQDKAYWSNVQFY >SECCE4Rv1G0294480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:891334025:891334762:1 gene:SECCE4Rv1G0294480 transcript:SECCE4Rv1G0294480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYQPPATTLDAPAPVPQVKAAAVVARNPSSPSGPPSPEMEATAEALTREEVLRRRRRRAARLLAAYRRLYWAMAEEVRARHRQYVWELGCSPLEAEQLLSEAKPGPAAAPRRKKCGVTGCKVRAMAMAKYCHYHILSDPNQVLYKGCGHIMIKSGAQTGKSTHNTPILKASVPSLCNFHLQKSQKNISQAYKKVGFNPPSTGQISPDFSVLVAESVRQIQAKRREFRSAAAGKKYPKDGKVN >SECCE2Rv1G0134170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900646144:900648400:1 gene:SECCE2Rv1G0134170 transcript:SECCE2Rv1G0134170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAASRRLLLLLRPELDPCLRSSSLNPYSGRARWAGGVRRAPPLVSCRRGFSDEGSAYAAAKNNAPDEQFLQLSLDEGEDGDVVPGICESVVKDAKKSAIELLAVRAFSVSELRKKLCGKNYSADTVDAVIADFKSRGLLNDSYYAESFSRSRWQSSTWGPRRIKQALRQKGVPEAEVDQATRSVFQDDRGDEDQASHGFSEASMDHLFAQASKQWLRGSSLPLEKRRARIVRWLQYRGFSWGVTNTIIRKLEAQHPP >SECCE6Rv1G0424500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:701345299:701347690:-1 gene:SECCE6Rv1G0424500 transcript:SECCE6Rv1G0424500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHAVDELIRRLLDGKKGKAPGKKVQLSEAEIRHLCVTAKAIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFDYGGLPPSANYLFLGDYVDRGKQSIETICLLLAYKIKFPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAALIDEKILCMHGGLSPDLDSLDRIAEIQRPVDVPDQGLLCDLLWSDPDRESAGWGENDRGVSFTFGADKVAEFLNKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPLRAKAQRE >SECCE4Rv1G0240550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448049452:448049652:-1 gene:SECCE4Rv1G0240550 transcript:SECCE4Rv1G0240550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVAVSGVIPEALGKSEERALILGWAYYLYAFSSYPLRTWLPSVYRRHDNWYTRGASFPVLSY >SECCEUnv1G0529710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8914363:8914806:1 gene:SECCEUnv1G0529710 transcript:SECCEUnv1G0529710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKEMAPVVLCVLGVMFVVGMADMSGLPGCGRPERVELQELAVQVRRTLVLSASTAAGTLLWLGKAADDLSAAGWDWEMRAMFVVGVNLSLTSTFLALSAVILELSCDRVVYYCIAAALVGVHLVAAWVVRGRLRALRLRRRRAD >SECCE4Rv1G0252500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:614880721:614886342:-1 gene:SECCE4Rv1G0252500 transcript:SECCE4Rv1G0252500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRTLVLLLLLLLLAVIAVVAHGRLLASDGLPGQGSASDATVLRLPSAGQPVGEAEEGGCEMTYGFLPCTETVGGNLFLALAYGFLMFKSATYLSAGSELLLEILGPGIVGGLFLPILGALPDALLILVSGLSGTKEVAQSQVLIGMGLLAGSTIMLLTILWGSCVIVGKCDLSENSTTIDSQDTKAFSLFGSGVSTDLQTSYAARIMAISVLPFIVVQIPQILKLQSGHRLTLLLGLIVAVLLLLTYCLYQIFQPWIQRRKLEYSRLKHVMSGLLKHAQMHTFGHLIDDDGTPNVSVIEKLFHKIDLDNDGRIGRGELQAFIVGVNFEDIELDSNLAADQVMADFDRSRNSSIEKGEFIDGVLRWLEEAKRVVAGSGAYSKKFMDDFHITTGEEHNALLDKHEEDDESIENPTWTCFKAISLLLLGTAMAAAFADPLVDAVHNFSSATGIPSFFISFIAMPLATNSSEAVSAIIFASRKKQRTLSLTFSEVYGGVTMNNTLCLAVFLALVYVRGLTWDFSSEVLVIFLVCIIMGLFTSFRTTFPLWTCFVAFLLYPLSLILVYILDYKFGWS >SECCE6Rv1G0415300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:640613315:640617135:-1 gene:SECCE6Rv1G0415300 transcript:SECCE6Rv1G0415300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPWCLFCRETADTIAASPILGYLGPAMSKYSIDGGRSGLASDLKLPFILDKLEPVESPRKHLLGLVRDEDLSFQYTKEMDVRQGCSVTQTVFNGINLLAGVGLLSTPFTIHEAGWAGLAVLSAFAIICCYTGVLLKHCFESKDGISTYPDIGEAAFGRIGRLIISIILYTELYSYCVEFIILEGDNITSIFPGANLNLFGIHVDRKHFFGVLTALVILPTVWMRDLRVLSFLSAGGIITTLVVFVSVALVGTTEGVGFHPTGEAVKWSGMPFAIGVYGFCFAGHSVFPSIYQSMSDRTKFTKALFICFAICTAIYCSFAIIGYLMFGDKTLSQITLNLPKESFASKVALWTTVINPFTKFALLLNPVARALEELRPDGLLKETIFSIILRTALVASTVVIAFLVPFFGLVMTLIGSLLGILVSVIMPALCFLKITQKKATRLQVIACIAIVVVGVISAALGTYSSVASIIRNY >SECCE5Rv1G0355980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:735611184:735613756:-1 gene:SECCE5Rv1G0355980 transcript:SECCE5Rv1G0355980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGPPKKSDSTRYYEILGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGMHDPFDIFQSFFGGGGNPFGGGGSSRGRRQRRGEDVVHPLKVSLEELYNGTSKKLSLARNVLCSKCNGKGSKSGASMKCAGCQGAGYKVQIRQLGPGMIQQMQQPCNECRGSGETISDKDRCGQCKGEKVVHEKKVLEVVVEKGMQHGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLTLTEALCGFQYVLAHLDGRQLLIKSNPGEVVKPDSFKAINDEGMPMYQRPFMKGKLYIHFTVDFPDSLSLEQCKALESVLPPKPASQYTDMELDECEETMAYDIDIEEEMRRRQQQQAQEAYDEDEDMPGGGGQRVQCAQQ >SECCE4Rv1G0236180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:345255737:345264514:1 gene:SECCE4Rv1G0236180 transcript:SECCE4Rv1G0236180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGKVLYSVAGPFHPFGGAVDIVVVQQQDGSFKSSPWYVRFGKFQGVLKTREKVVNIAVNGVEAGFHMYLDSNGEAHFLRDADSITADGDFVVSTSSLGDEREVLMQDAQLRKSKSTSCDISTMEASAGDGKMPARTVSRQSTILERMFGRKPNKDNAPAVDSMCSLERAEIAAELLDTKWSTNLSGGSEAPNYDHEPSSSYLRDAGNGNQGETTKMVLPDCSFDRDKAMGSNCDNVDSTVGSPHGGRSSGDEKAHCIQTTSVKEDIVAIYAHKTDGIMSTIDRPGSEYLSDDMDTVKSINESVDTQGELQGNLEDVTVREMHTEVFSHGIFEIHAIETGITDCKSEVVSKFVAVDSDKFNQNFTEANSPTYSTTTYLSSETRDGSSIACGHEACQEKVVIISTSKTLESSYDVSNILADEVHYAEGISLADGLQFEECSRVSSGKLEQEDVKERPLSNHGSSNKEDLYKLGIPEVSVFGDSSSRTFQANLPDKDISFNTLVNEHMDISVDTAANDHNTSSSHDLACQHGLIFPDASPGGIDILSYVHENDSDDVAKDSTVNTKTCYGEHDVSFNQTPKIHVTRECIAQTPDFPNKVEGEVSSIVFEFSSLSKVDTENIKLEYDENRSGSASGVDIELMPDEHGECIAQTPDFPNKVEGEVSSIVFAFSSLSKFDTEDIKLEYDENRSGSASGVDIELVPDEPGECIAFPNKVEGEVSSFVFGFCSFSKIDTENIKLEYDENRSGSASGVDIELVPDEPGECIAQTPDFPNKVEGEVSSIVFDFSSLSKVDTENIKLEYDENRSGSASGVDIELVPDEPKDEAEALMSLSQLVKKTAACHNKLEDEFSPIISDLSNLSTVEAKNANLEDNENRPSSASGVELVPVPEDPRDKAETIVPPCEFVDEIQFQFSNTTSFSDRMDGIVANKAAFEGVHNTTDGDADEEGVKDIDLENKSENNPDFSRPEIILVHIPGSGLHLCDNNLEAKSAPNIRSHIHDLERSDSFQVSRSLYNGKNSEVDPIKSKNSGFSEQELDGTSQSKENSAPRDKGETIVLSCEFVDEIQFQFSDTTSFADRKTLDDIVANKAACEGVHDEADGDADEEGGNDTDFENESKKHSDLSRPEIILVPVPGSELHLCDSNLEAKSAPNLCSHIHDLERSDSFQLSRSLYNGENSGVDQVESKNAGFSEQELEGTSESKENSGPCELINSPLPDNKHYDDLKDDSFNPFVELSLCRHLLSEGMGADAACKTFDAEKITLEKFRAMEQSLIRNDKLVVRISGRYFPWDVAAPVVRGMVSFREEQLFEHQGMIKVERVEPSTAQGGSWRIWPFSFKRTRTINSIQPVCESTVVSTLSIPVREFDGERNKPSAKMMERKVRSLTPTSEELASLHLREGRNIVTFTFSTAMLGTQQVDAHIYLWEWNAHIVISDVDGTITRSDVLGQFMPMVGVDWSQNGVAHLFSAIKENGYHLLFLSARSISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLADIKALFPPDSHPYYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVNTKSYMSLHALVNRVFPPLSSPPEQEDYNTWNYWKMPVADI >SECCE4Rv1G0292900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884132795:884136122:-1 gene:SECCE4Rv1G0292900 transcript:SECCE4Rv1G0292900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEVIKADTIDVAVDRILVELGKDTTSSRENAIYFDGWDGLGASAVLQAIAKRLGVSNESSTRPAGLEFEEIIHIDCSKWESRRAMQREIAKQLNLPNWVMEMFDKQDEEDDFNGLDQGSRTEIARVVKDIYLITQNRRFLVILHNGSSEEIDIFDFGLSLDRYSNSKMLWTFQGRFRIDPKMIDSVKKSRITDVILSASSDGQDPCELWLYLVREEVAQVPFNKHGDCIIDPGIAADCVLYAFILSWFGLRINDYDWANHGSNYWVCDGIIALTDIDEAWQVGNVLHHEVPLLHINTRLNNDESALMASYHPARSAELMPYWITTTTSTFGFVVSPCGVIPNNTFQHSHRLGVLKLSRCAFSFTSPPFLCCQGLRFLWLDHCKDLPTSSTTDHHHTEAGKEAELDINTTSLWKCLESLWVLDLRYTDLDQILSAQVMDLMTQLRELHVLGAKNWDMSHLQGRLHNVRKLRVTKSTCYFNNNVFSEMGSMELLEFSGNYITQHMKSLYVSASNNRLKAVTVDGCNGLKIISFGGCKELKNIFIKGFLWSLEELDLSGTRVKTLNLTGVEAISLPKKIILLGCEKLRAILWPLSMTKKGLPKVLHIDTTSPSASAYGGEAPLVHPHSDLSLHQQKEKRFKGGWRIFLTDARLLRSLSPIQSCLTDPTIHIDICPAVVVRGSNIQGTSSNKHLLLMHSKYRDAFRDDVVPVVMMWDYPKIPDRLTSQYTCFIKMIMHGRGNRLLEDAAGDLLLPKFICDLVTSLHVFDNLSITSIPGPPQGSGWNKLRCCCVERCPRLHTVFTVPHDTSVDSFWNLETLWASKLLSALYIWDRPVEKTIFYNLNLLHLDHCPRLVHVLPFSMWEMNTFIWLETIELVYCGDLRELFPLTPELQEQDKILDFQWLEKIRLHELPRLQRICGHKMSTPRLKTIKIRGCWSLTRLPALGRDTKPPKVDCEKEWWDNLEWDGLEKYHHPSLYEPSHSLYYKAQLPRGTVLR >SECCE5Rv1G0354920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727635225:727635755:1 gene:SECCE5Rv1G0354920 transcript:SECCE5Rv1G0354920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVSYDAAADGPATARVVLPSGELREYSPPATAALALEEVGQQGWFLCDADRMGFAGSVEAMAACEQLQPGQIYFVLPAEMLRRRLTPEEVASLAVKASAALVKAATASSTGGRRRRGSVAPLVFAPSEEDYSDETLAKFAVKPAVPQKRRVAYRGGRSPPRFSPDLTAISESE >SECCE5Rv1G0349750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:686403656:686404168:1 gene:SECCE5Rv1G0349750 transcript:SECCE5Rv1G0349750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGEAPQSHQLLLHGVSLDLRLDTATATHQQAQRGARRPASPAAPAALGNVGRETFACNYCHRKFFSSQALGGHQNAHKLERTLAKRSRDVPSQTVDTATASSSTAAHSWLHAGGGELWGYSASAPAPDSAMMAPLMSMGMGMGWAGTGNTAAAGGEAVAEMDLSLKL >SECCE2Rv1G0131970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887805184:887807516:1 gene:SECCE2Rv1G0131970 transcript:SECCE2Rv1G0131970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAGLVAPAAKPLGLAGARRQQRSGWRVAAAAAAGVDLKALGAAIDKKDSGEARQALDQLKELGWAKRWSSQPYMSRRTTSLRELTNLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLAVLAGQLPGDWGFFVPYLTGSISLVVLAIGSISPGLLQVAIGSFSAVFPDYQERIARHEAAHFLVAYLTGLPILGYSLDLGKEHVNLVDEQLQKLLYSGQLDQKEVDRLAVISMAGLAAEGLEYDKVVGQSADLFTLQRFLNRTKPPLGKAQQQNLTRWAVLIAASLLKNNKAAHDALVSAMSQKATVLGCIEAIENAS >SECCE4Rv1G0228780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:139318090:139319577:-1 gene:SECCE4Rv1G0228780 transcript:SECCE4Rv1G0228780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLISAVAGDLVSRFISFLAQNYGTQTCEEEDRGRLERILLRMHMVVEEAEGRHITNRGMFLQLKTLMEGVHLGYYMLDKLKFQPLGEESVEDDEVSHWSQSLAVSSFNAAKRLRLAVAASIIKNAPVAFGTRSTTNLKRVLDSLEAKTADMREFAVLLGSCPRLPRQPYCTYLYMDKCMFGRHVEKEQLVNFLLCDGSRHDCSHISILPIIGPHRIGKKTLVQHACKDERVCNRFSHMFFFKGEDLANGVFALNNQAASGKYLFVVDFIFDVDEAAWTNFQSYLQKLPGAGIKIVVTGRAEQVANLGTAQPIRLKSLSQEEYWYYFKALAFGSMDPHEHPKLASLGMQLAALLQGSFLGANILGELLRANTSIEFWRGVLSSIRGLVREHLSSFGTHPEDLLGRNFPVNFGSAALVGGQAQGYLVYDLREAGPAQSELPKLTSQELLTGRDIPVEDKFEVLVWRSRIPPYHDYVVTYEKQKNHVAVRKRQRKS >SECCE5Rv1G0371190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846358661:846359134:1 gene:SECCE5Rv1G0371190 transcript:SECCE5Rv1G0371190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALGRQGTDGSRVADDEVQPLFPCLYCDKKFLKPQALGGHQNAHKKERAAGWNPYVYRHYPFANPTSSPVAPATNNFTPIAMESGGIGSTPQLLQVVAEAGSPAPLVDGILAAAGRFSGDYGDMWDMLNWRRSSGGAELAPESNTGVEIDLELRL >SECCE4Rv1G0225050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:87364113:87374053:1 gene:SECCE4Rv1G0225050 transcript:SECCE4Rv1G0225050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVSSRYEIPRTAEFLRRRAYTRVALQFPDELLKDAAAVARALRAELGGGAKLFVMADTAYNSCCVDEVGASNIDAQCVVHYGHSCMSPTSNLPAFFVFGKAPLDISSCCRSLLDCSRESSKPVLVLCGLEYAHALDDLKRATVELCKSDCQNSQIHYAEVLCSEMSPSSSPTAEEQCSQSNGSTRNDGLPAHNDDLATLVNSCCNVEGSMRKYNLGGLTWRISTDEKMDDYLLYWIGQDNSAFANVALTFNKCDIVRYDTVANQFSRDVSHLMKILRRRYYLVEKAKDANIVGILVGTLGVAGYLDIIEQMKNLIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKEFLAPVITPFEAVLAFSRGREWTGEYLLDFKDLISSEKPEVASKSEEARFSFIKGGYVEDDCPQENEEHSEASLALAELTEKALSTRNQNSDAVLYQGGAKSAIDYLKARSYRGMTGEYEGPAPDSVLIGRTGRAAGYSGEKTKSPQ >SECCE1Rv1G0058240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:698490477:698491277:1 gene:SECCE1Rv1G0058240 transcript:SECCE1Rv1G0058240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMALSPSSFAGKAVKDLPSSALFGEARVTMRKTAAKAKPVSSGSPWYGSDRVLYLGPLSGDPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLSDPVNNNAWAFATNFVPGK >SECCE5Rv1G0369580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:836229455:836230021:1 gene:SECCE5Rv1G0369580 transcript:SECCE5Rv1G0369580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATSSWFHVQAAAAAASPDLSLALSPGDSSQGRDEEVAPTARVDGRTVRLFQCLFCDKTFLKSQALGGHQNAHRKDSLAGFLCDPYSKDSTFGGAAVAGTSTGTTCHSSTGRSMCTSVASHGGGVEAAPVSDACKPERRGRSGGAPRFAEHTLLQDAFAGRDGAAGWSRASVASGAGEALDLELRL >SECCE7Rv1G0459690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26778816:26780931:-1 gene:SECCE7Rv1G0459690 transcript:SECCE7Rv1G0459690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFIKWLQKGISSAPREEGPALGTASAPYYIIDKCLFEKHLLRTCRGIIVTAFTIYGIKVLLTSFVKAVAEVSDDTEFGTKFDGSKEVTTDLSTKFSDVQGVDEAKADLEDIVHYLRDPKSFTRLGGKLPKGLLLVGPPGTGKTMLARAVAGEAGVPFFACSGSDFDEVYVGLGAKRVRELFGAAKKRSPCIIFIDEIDAVAGRRNALDPSWTRQTMNQLLSEMDGFKQNDGIIVIAATNCPESLDQAIVRPGRLDRQVHVPTPDVEGRRQILEAYMSKVCKAEGVDVMTIARGTRGFSGADLANLVNDATLKASRDGADAVGMDHLEYAKERIIMGSERKSMVISDQSRKKTAYHEGGHALVAILTDGADPVDKATIRPRGSALGMVTQLPGEDSELELSRKQMLASLDVLMGGRVAEELIFGETGVTTGASSDLSKATQLAKDMVTKYGMSKLVGLVYYGNNGDGGDGKTTALVAEEVKALLDNAYKNAKAILTEHTKELHALANALLKHGTLTGDEIVKLVLTGQEPDDRSISQQNQETPSLTVGEITNLVSTEEQGDGTLTGDQITEPASTDQQVGDANNGEQVRGNTFSALAAVALPNVLLFLIHLL >SECCE2Rv1G0072810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:61282345:61284690:1 gene:SECCE2Rv1G0072810 transcript:SECCE2Rv1G0072810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDTSASGGVRHVRCPRCRSVLQEPAGVPVYQCGGCGASLRAKLPSGDTHGAAVSAAPSTESVLPPPRRSQTQGGQLGGSGDVASTSGTTPDAPSTSGTTPDAPSTSYRGAGTTSRREAGDLTPPARNHHSSAQEPPVVERKGRDQHRSADQAEAAGSSQPRVRRGAVSAPNASAAPSSASALPSPRRSQTQSSHLGSGDVASTSAPAPTPDVRTTSPRDAGTTSRRETGRALGTSGDLAPARKHYSSAQSPPIVEKKGRDHHRSADQGEAGGSSEPRRGRGAVSAPNAGANFAELRGSERGREAEPETDAARKKSPSPSRAAVGEAAPMPDRVADSQSAPAAVSWRRRDDAAAAPVPAVAEEKAPSPPRHAPQKMSPLHEKILKTVDELKGDLSELFGQSPEAKPRTPSRPPRRPRQEGHAHHPAIPTSRARHAAAAAAPHHRGNAGKHGQAVLRGLPSRRYRRCRADPCGGHDVRPAGPCRRSCCDHVRPECGSCRGHCCRPFRAQEPVRPAAAEAKKRAPPPRHHCRPVLKGAPFIVCSSCFTLVQVPAGFAVASAKVRDLRCGACSAVLSYSYRDPDRKKPADECSTAGSSPARHVGARPDLFSFIEDFAAEYGASSYSTTEDEQPLHVSRNSSFDTVVAAGEETAARRYNHSLHRLMGYGSASELLLRRSPSLYEYGSPDKRSTPPSDASRRHDDRKGKGICVDDFTGDEDSDDSCTLRRSNGRRAGWTPGHGVPAAGAIRIR >SECCE6Rv1G0453360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:882439562:882440882:1 gene:SECCE6Rv1G0453360 transcript:SECCE6Rv1G0453360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLRSLPLLVLLVCAMLPPSLGASSDDDHELLMLDRFHRWMSAHGRSYPSAAEKLLRFEVYRGNVDFIDASNKEAERLGYELGENEFTDLTNDEFVARYTGGVYNHEEDKGSVITTLAGDVTEGVSLFESANENPPLRFDWREHGAVTPAKNQGACGCCWAFAAAATVEGLNKIKGGQLVELSVQELLDCSPPPWDSPCESGSPSHALYYVKNYGGLLTEAEYPYVAKKGPCLSREKHGPRIGKITGDKDVEPRTEQALALAVLKAPVAVSIDASGPTMQSYRSGVYKGPCNTTHNHAMAVVGYGVDAAGVQYWIAKNSWGQTWGQKGFAFIRRGADGSKGLCGIAEWNVYPVKD >SECCE5Rv1G0329680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:520126122:520128414:-1 gene:SECCE5Rv1G0329680 transcript:SECCE5Rv1G0329680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMAPLGVKKERAAQYKGHMTFAVAMACIVAAIGGSIFGYDIGISGVNTMDPFLERFFPAVFRRKNLVTLNNYCKYDNQALSAFTSILYLSGQVSTLAAAPVTRNYGRRASIICGGISFLIGAGLNAAAANLTTLILGRVMLGVGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFSANMINYGTQKIKPWGWRLSLGLVAAPALLMTVGGILLPETPNSLIERGHVEEGRRVLELIRGTTDVDAEFTDMTEASELANTIKHPFRNILERRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGASWSLYSSMLTGAVLLFSTLISIATVDRLGRRKLLISGGIQMIVCQVIVAAILGVKFGSDKHLSRGCSIAVVSVICLFMLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFIVAQAFLSLLCVFKYAIFIFFAGWIAVMTAFVYVFLPETKGVPIEEMVLLWSKHRFWKNIMPAMPTMPLEDGWGPGDGRGDSALAGADCNVHK >SECCE1Rv1G0062180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718540909:718545469:-1 gene:SECCE1Rv1G0062180 transcript:SECCE1Rv1G0062180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATPSPETALPPADGPGHDADKEEEEEEECRICRLPAEADRPLRRPCGCRGSMRFVHDDCQLQWIARRRKFPRCEVCRRRISTRPLYAADAPARLPVSELMEGLPNKLIGLLLPLFFAVCVVREFVAPLTTRWTWRLALATNFAQARHLFSLRLSMASWTCIPASAAFCLQFARLVVPFAVAPFARWVARLLAQVQSQEHGLFETLQFLAMSVVEVSLVVVTVDMALACILCFLPFSLGRIVLWSRSCLDVANVDEINCYTSTASTLLSGYGLMLKVGATFAGAHTLYLYLRGKHLVIAVYCRSLHAIFFRGIANSTTVANVSLNMLNNGIIHPLFFGWSLDICTAKLFGVTTMSQRFKLLIASSFASATIHWLIGFSFLNLRLRVSPFLRKILGPGVATPFLSGTVSQPFYTFYLKRLVGLFLETIFIVLVISVPINIVGLLAPTVFPLEIPYFDHPARGTSVWQGPLNFTESLSGVIHMRYLIGKTVVYLEPLVERVMGYWFVTTGQPLGNNVATKGQYGSSNDAKDDWRLAVVQTMPRAVLAWLTAVLLNSAVLLLPVSVGQAFLFAIPQLPVAGGLKSNDLFAIAVGLCIISTIIAACRDLSTRVISGKTCLLALQRHLLVCIWSVVIPLLTGLLVDLSLVSPFFVGSDEEFPATSFFRPWLLGCIFKQLWIKCFVDRRWKAKLNRAKKDLSSELRPIWWFFQDVCAPTVTRLLAALGVPYVLARGVFPGLGYPTAVNSTVYRFAWTCGLGVCVLCWLAKGMCVMLHDSIKADRYAVGRRLEDVGDWR >SECCE4Rv1G0216850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12950532:12953943:-1 gene:SECCE4Rv1G0216850 transcript:SECCE4Rv1G0216850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYYSSPGNERDPQTMYSPDTGNGSYPVPSALGNLLYSNNASSGPYTEFSGIIQHQQNFMELPGHPSEISEHSSSREPNMVTSLAEQSSFAPVKDMRNEMLMHFMDGAHSGGGGGDLIHNDVHSSAQLDFGLLNNPSSASVPSAPGQGLSLSLNTHILAPSYPYWSPKPDLLTTQSYQGDENGMKNMQSEASRAIRNSKYLKAAQELLDEIVSVWKSIKQNAQKDKVEAGKMDGKDADEVLKSEGVSSNPQESTANAEAEISAAEKQELQNKMAKLLAMLDEVDRKYKHYFHQMQIVVSSFDMIAGSGAAKPYTAVALQTISRHFRCLKDAINDQVNVIRKKLGEEDTSSGREGKLTRLRYIDQQLRQQRAFQQYGMLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEETGEAELDSNSSSDNLPRSKDKMASCEDKEDLKCSMSQGQAYQTSEFKASMGMAGLTGAPSSFHNEASSDDGFMNLLLKDQRPGEADGGLLHGDESARFMAYHLAELGGYQNNNVSLTLGLQHAENSLSAPNAHRPGFTAGGEEDIYNTAAAHPGGGAAVASSDYEPANQLDQRQQFEPSPLLHDFVA >SECCE2Rv1G0138710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:922766695:922769814:-1 gene:SECCE2Rv1G0138710 transcript:SECCE2Rv1G0138710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKSDLANQLQEDVSDGMNDVADEYEECSEEEEPFEREFYDDDDDDEENVGEPEIQAVDPCEEDPLAEEEEASGDKPCDGVTPLEDEDASYDEPFVDELCYEEDDSGEQDSYYAEPFTDQLCEVEPCDVEVAHKEEFVRNKSSHVQAINKEQNEEGILKQALGKGSSHEHKMVPVTDEIELKPFKKRLSVRFATDVSCYTYSTESFGAAKLEKRKAQFDDQDSRLCKMQEHTVSLPQEGVKLKEVDDTNLYVGNLPASVTSNKLKELFLPIGRIVQSKVADDRFTGVSRGYGFVKYAEPRSATAAIECMNGRLVDGKTLEVRVAAVPAPPSVSNPSVQSVSETCSLPSKEIDQSYLYVCNLPSAMDMLKLLEYFLPFGKVTDIRMPRDHTTGLSKGYGFVKYSNSHHAAQAIIHLNGFLVEGKKIDVRVYDTSPPRPIKEIDMANLYVCNIPVSIDTNKLVELFSPFGAITHARVAADQGYGFVKFADSQCAAEAIALMNGSLVEGETLIVRVAGLSSSASRSAVQGSPIASPEINKSRLYVTNLPRTVNADKLVELFVPFGQISKVMINLEYSLVFYADVASAIKAVERMDGYLIGGKRLVVRRSGSSCPTDGAEHASSQPAAKPMREIDMANLCVASIPPTMTGDQLVELFRPYGQIVQSRMFRGYGMVRYSDPSSAATAIDHMDGYLIGGSALAVRVSGLPNPVDLNAAANAPLTLQQRPAIPIAAATNPMDFTAATNAPPTPQLQPWPANGPQKQIDMTNLYVCHLPPYITTEELIQLFLPCGQITQAKAVVDLCTGVCKGYGFVKFADTYASAVALTYMNGYPLEGHVLEVRIAGVHQSAMGSYMAHLYSQFTVPDPSKTVIGVPTSHWPYDCADKSAYTDNQGQGTDAASQASQPPESVSASSSFAADMEWSSVSSHLADTSSQQQQLSSHVADTSSQQQQLSSHLADTSTQQQQQSSACWAGPPGFKPPHAHAAVSPPQPPPSAVPWAGPPGFEPHSIPRKDAGTATKPSQRCSKIHLAESGSSQKRRSIV >SECCE5Rv1G0356650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741774259:741775321:-1 gene:SECCE5Rv1G0356650 transcript:SECCE5Rv1G0356650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGQQQPYHLSNTVIGYLNLLTLLASIPIIGAGLWLAHAASAAPGPTCQSALQAPLLAVGFVAFIVSLPGFVGARYHVSWALWLYLLAVLLLVLFLLGATVFGLAVTAGGGGRMVPGRPYREYRMRDYSPWLRGHVSSDRYWRPALACVASSRACPKVAGWTPDDYMRRDLTPVQSGCCKPPTSCVYGDGTGQGPAVVAVQDEDCFRWQNDPAVLCYGCESCRAGVMEQLRRHWHNVTIVNAVLLLLLIAVCSCGCCAFRNARRAEYAYGGRMSKIHPRWDYFWSRWWRGHREQIY >SECCE5Rv1G0358630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756580892:756581947:1 gene:SECCE5Rv1G0358630 transcript:SECCE5Rv1G0358630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQCKISSAMVYEERSCVLKVNGYSRAKALLKTGECLTSDPFSVGGHDWTVECYPDGDDRNHANHISLFLRLKSADAGDVKVKFTLGVLDNNGEPVPSYSRASPVLTLSNKGSCLGYPTFLKKADLEGSPHLRDDCLTIRCDVTVINGEETRIPPSNLHQHLGDLLENKDAADLIFQVGAQSFAAHRCVLAARSSVFKVELLGDMKESSAANPIEIYDVEADVFKSLLHFIYTDSVPPGLDVVMASHLLVAADRYNIVRLKLICEEKLCTHIDSNMVATSLALAEQHGFHRLKEACLQFLASPSNFKAMMASDGYEHLTSSCPYVLKELIARILPAEWDVAKDVVMTMWK >SECCE6Rv1G0386660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:98989301:99001734:1 gene:SECCE6Rv1G0386660 transcript:SECCE6Rv1G0386660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKAEAAGDDAVPEAPEQSVVLISAGANHSVALLSGQMVCSWGRGEDGQLGHGDSEDRPVPTVLTSFDVPRITSVICGADHTTAYSDDEMQLYSWGWGDFGRLGHGNSSDVFNPQPVVALQGMKIRQIACGDSHCLAVTVTGQVHSWGRNQNGQLGLGNNEDSLLPQKIKAFEGVRVKMIAAGAEHTTAITEDGHLYGWGWGRYGNLGLSDRDDRSVPEKVSSVEGEKMVLVACGWRHSVTVSSSGGLYTYGWSKYGQLGHGDFEDHLVPRKLEALKDVPISQIAGGWRHTMAVASDGKLYGWGWNKFGQVGVGDNVDHCSPVEVNFPDEQKIVQVACGWRHTLALTENKNVFSWGRGASGQLGHGEIIDRNTPKMINALSLDGSACKQLESSNAVPMTAKVWVPPSKRFAIVPEENVSKPGNGTDKHAPEGDAKRMRV >SECCE3Rv1G0212680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956209060:956213847:-1 gene:SECCE3Rv1G0212680 transcript:SECCE3Rv1G0212680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulation of shoot gravitropism and tiller angle, Regulation of polar auxin transpor [Source: Projected from Oryza sativa (Os11g0490600)] MHRKLRSNNDVFKEFNTTGGGACNCIAGLASPDEEYYGDDAFAVNHPSPPVNADDLFTFGGSGLLTIGTLGFAAVNVPGEHEGDEDYDVDDEDCVDIDLDSIDGTIDEVDNGNVDDGAVTPTFTFPQLEPATAEKVMVSVEAIAEKDDVATTEDDLMLVSAELEKVLGGSNVPSARVSFAMGIDCPLQGFLLGSPVCSDTESWPEKPNGGGRRASLGELFMRTRFAEEKGALVAVQESEDGGDREEGKAGKGGDGRGLKRTKKWRVKDEKGAGGDGVPASATAKSKFQKILKIFHRKVYPESTMLTKKNRKRGAPDNGGGGGATDEAVPSSTPKKTGPRRLSFGCCCTKRSFSASPLDDGGEELNGDKSGHWIKTDADYLVLEL >SECCE2Rv1G0090000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:265868662:265869213:-1 gene:SECCE2Rv1G0090000 transcript:SECCE2Rv1G0090000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.4 kDa class V heat shock protein [Source:Projected from Arabidopsis thaliana (AT4G21870) UniProtKB/Swiss-Prot;Acc:O49710] MDDPMQEVQQHPGFRAVHPWQWWQWQLSVLASSSSPPAPSTRPPNYVSWDETGAAHIYSADLPGVKKEEIRVEIEDGRYLVIRTELDAGNAEVDGRRGSFARKFRLPGMVDADGITAEYAHGVLTVTVPRMHTRARPVVNLGPGPACDPVARAA >SECCE2Rv1G0112730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:714204748:714206497:-1 gene:SECCE2Rv1G0112730 transcript:SECCE2Rv1G0112730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREIESTLPPGFRFYPSDQELVCHYLYKKVTNERASSQGTLVEVDLHAREPWELPDVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPTTRAVVGMRKTLVFYQGRAPNGCKTSWVMHEFRLDSPHLPPREDWVLCRVFQKQKVDGEQDNGRSSSPTFAGSSQAAQELPVMDASSDQMMGSGAAVFAPPRQEEVVCGPSPFMNAAVWQQYNSLLLDQYPLEDTAGGSPMMGLGARGGAGDECGFFFDSGFEDVAGLGAMRFPQGQGWS >SECCE5Rv1G0345210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649696319:649696717:1 gene:SECCE5Rv1G0345210 transcript:SECCE5Rv1G0345210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKVALFFALNLVLIVVVHANPPIIPTPPLVPTPFVAPTPSSGGSCPINPLKITVCSNVLLLLKLRINVPETEQCCPLLSGLADLDAAICVCTAIKANLLGLIAIDIPVDLALLLNHCNKTYPSSFTCSR >SECCE6Rv1G0388240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:131822138:131822704:1 gene:SECCE6Rv1G0388240 transcript:SECCE6Rv1G0388240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMDVDEFIFSTSWAGLEIPSKSLLEPVISVDDSVGQIYLACYDFASSGQTAHPPEGVCQGYTCRLKNPQRHKSLVRLNAVEPSLMDVVHHFKLKPGFKSIWTAFARVNHYKYQAWSEFKVKFKRRVSAYVADWKDPINLDSKDRAPGLGVDDIEPEGWAQKYCEVKDTILQLLSARWFGVGFGNPH >SECCE4Rv1G0245080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:524556502:524558929:1 gene:SECCE4Rv1G0245080 transcript:SECCE4Rv1G0245080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSSRRGAVMEDWMLSTPSPRTLMLSLFNDDFSSGAFSDVSGDSGSNTTHDGIERSKASVGSSPGESSQVTKTSLHFEPNLFGANEKSSADNGSPAERNGFCALKIDTSRVGFSASIRSPIIIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFRMRTNANTTIPSVHKKAQELSHDDHTISFQQILRSKPTFSIVDKGPSVIHQNQPSASENNHYIPDNEQEDSKANRNGDDSPATIIVRAEDGYNWRKYGKKQVKNSGHPTSYYKCSHQNCPVKKKVERCQDGDITEIVYKGSHNHPLPPPDRRPGVSPCSHSNDLQYDGAENARPDHFQDAHGEVPATNLSASLNRAGLADRSATREAIGISRPTLSGEDNNREAHGTVSSSIDRDKDETESKRRMMDYVTPATAIGSMDIGALAARAVREARVIVQTTSEVDVLDDGYRWRKYGQKVVKGNPNPRSYYKCTHPSCPVRKHVERASNDLKSVITTYEGKHTHEVPSDRNNGHPSPGHGGVAPPPAQGGIIPQYSGAAAYGSLAQLGVAGGFPFGVLPRGLALVPVPAQMTGHHPPAMQGNPRLVLQTREAKGNPAARPADQSGTGPAAYQQLMSRLSEGANM >SECCE5Rv1G0367720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:823519341:823520879:-1 gene:SECCE5Rv1G0367720 transcript:SECCE5Rv1G0367720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFEGYSEKYKSLQQYFVYPLLFQEYIYAFAHDYGLNGSEPVEIVVSCNNKKFSSLLVKRLIIRMYQQSFLDNSVNHPNQDRLLDYKNYFYSEFYSQILSEGFAIVVEIPFSLRELSCPKEKEIPKFQHLRSIHSIFPFLEDKFLHLDYLSHIEIPYPIHLEILVQLLQYRIQDVPSLHLLRFFLNYYSNWNSFITSMKSIFFFQKENKRLFRFLYNSYVSEYEFFLLFLRKQSSCLPLASSGTFLKRIHFSRKMEHFGIMYPGFSRKTLWFFMDPLMHYVRYQGKAILASKGTFFLKKKWKCYLINFWQYYFCFWTQPRRIHINQLANSCFDFMGYLSSVPKNTRMKKFDTIVPATLLIGYLSKAQFCTGSGHPISKPIWTDLSDWDILDRFGRICRNLFHYHSGSSKKQTLYRLKYILRLSCARTLARKHKSTVRTFMQRLGSVFLVEFFMEEEQVFSLMFTKTTLFSFCGSHTERIWYLDIIRINDLVNPLN >SECCEUnv1G0538210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:71684668:71689925:1 gene:SECCEUnv1G0538210 transcript:SECCEUnv1G0538210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDDDQPATPPPSPPPPIAPAPSPSLGRRLLGSIRALSSAIALPSTPAASSSSSSPSTGRLGLSLLLHLKPDLHLHHQDDPPPSTPASAAASLLRIYHHDHDHHHEQADAPKAKRALHLLPQTPTPSPSPAAAVDHGHHQDQQEDLLDEAAGEGEGEGDGETKGLNIVLEQHKVDLPVPRQAAGTLLTQDQHQHQVKLVDAPTCPTPRDEHAQDGGAAVVEGHEVLVVAGIMGQATQDDTVALELDDDAVQVEEQQDDDAVQVQEQQDAAQPKGEDIAAEGQEALALGSSENAVQVQEPVVERGVIIATDGESAYDTAVRDHDKAVEQCNTYDDLGTTMENDVAKNDQEEVEQGVVDQGEAAILHDDNAVKDHEVLLLLGAVEGTRDTTDGIAAVEDQGKNVDQCTTSDDLGTTMKNDDAVDDKQVVEQGVVHLTMDDDGSVEEQEVVEEGVADLIMDDDDSVEDHKVLQQGIIDGTDDIAVEKQEKVLEQCSRATKDEYTVKEKEMVLEQGVIDPIYMDLATEDQVKLSIIDNQSTVPMDDIVVKDHDKAVVQYASDAIVTIKDEIPVEEHDTPGNQSVIDKNGRTKDDIDVEGHGYVKEQVIVDNWGTSGDATALEGQKNEAEPRIGDEQIAGKDMDAVHAEGNMSEQRTGDKQGATRSDFTVDKHKDVVERVHNEWGAPENDLAMDRTAYQGTRDWGIVNKEKVKLPEDDRAMDTAAYQGTGDWGIASKEKYKLPARRYPQKPRKLNCPSYMSKGTCTYGPSCHFNHPPQLKSRSDESWRPSERRNHGAAEILELNRLGLPIREGARNCDYYMRTGACRYGKNCHFNHPDHVIDAQFSPPTGWEDNALQMEKSSDHTLDETSHMKKSSDDATLDDRSHMKKSSDDATLDDRSHWKKPSDGATVDDTSYSKKSSDHDTSSSSGVLPPSIFRMLLPPQKVLPSTKAKKKSGWSSASDDSDGCCSADSSDGPLCKQGEQQVDYHERPGRPEYHHPKQSKDKEEVNYPERPGKPDCPFYMRFGDCKFASACNYHHPKDKYPAGRPDEPQCPFLMKRGYCKFGAQCKFYHPEASNPTMQSPTDAKRSVTTDEHHPSTRITLQDYMLPQQPQYPERPGQPECRYYLQFGKCKYLSACIFHHPKDRLAAHSDQIGPETHGMPDCPFYMKSGKCQFGSACEFRHPKDIHATTEEAFGKRTGSGAYDNLSRSDNGVEQQEESIMYPERPGEPECAHYMRQGYCKFQMKCKYHHPGDGLSKKQY >SECCE6Rv1G0432260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:750619156:750621378:-1 gene:SECCE6Rv1G0432260 transcript:SECCE6Rv1G0432260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECSEDRCWEDLARDALGLIFCKLSLQEILTVVSVVCKPWSKVVSGPDCWQDIDIQEWSQQSEPDQITGMVHMLLTRSDGSCHRLSVSRLPNDSLFAFIADNAQSLKTLEIPRSKINDFIVEDVAQRLTKLTFLDVSSCTKIGARALEAFGKNCKSLVRLRRVMHPMDVAGKVCHNDEARAIACNMPKLCHLEIGYMIIVTTAVIEIASRCQDLKFLDLRGCWDVDGKSLQAKYPGLKILGPAVDDCYENNFWDECSDDDPIDAWDEFVDDDYFTIRSDDEAIWDDDHALE >SECCE6Rv1G0405660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:533908035:533909477:-1 gene:SECCE6Rv1G0405660 transcript:SECCE6Rv1G0405660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVLVFPCPAQGHINCMLHFAAGLLDAGLHVTFLHTDHNRRRLGPAAVEAEATDSPRLRFLSVPDGLPDDNPRSAADLVALVESMMTATRVAYRALLASLCAGAPRGDGFPPVTCVVADGMLPFAIDVAEELGVPALAFRTASACSFLAYLSVPKLVELGEVPVRAGADLDAPVRGVPGMEGFLRRRDLPSSCRGHGETGDLDPLLRIIAEFTAHSCKARALILNTTASLERSALAHIAPHMRDVFAIGPLHAMFQEPAAGGALWREDDGCTAWLDSQADGSVVYVSLGSLAVISVEEFTEFLSGLVGAGHPFLWVLRPDMVGASQNAVLQDAVRAAGRSMARVVEWAPQRDVLRHRAVGCFLTHAGWNSTLESVAEGVPTVCWPFFVDQQINSRFMGAVWRTGLDMKDVCERAVVARMVRDAMESVELRRSAQALAQQVRRDVAQGGSSAEEFKRLVGFISELSVSTRGSTPSPDKD >SECCE4Rv1G0293430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885794898:885796052:-1 gene:SECCE4Rv1G0293430 transcript:SECCE4Rv1G0293430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCVLTQILSSSSASPVPHLRRLLSTAAPAISPAIGFAVDDYLVSTCGLTRAQALKASPKLSHLKCPAKPDAVLAFLAGLGLSGADVAAAIRNDPLLLCAKVEKTLAPVVDGLTGLGLSRPEVARLVSLAGEKFRYRSIVSKLHYYLALFGSSGNLLRVLDRSPYILSSNLERVVEPNAAFLRECRIGACDIAKLCVAQPRMLTSNVERVRALAACAEGLGVPRGSRMFWRMLNALASLRQEEIAAKVEYLKDTFRWSDAEVGIAVCKAPMVLALSKALLQRKSEFLISEVGLKPAYLAYRSTLPSFSLEGRLRPRYYTLKFLKENGLLDHGRDYYCAVILPEKVFTEKFICPHKEAAPQLAQDYAAACKGEVPTRFKFT >SECCE6Rv1G0379780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:16826077:16829338:1 gene:SECCE6Rv1G0379780 transcript:SECCE6Rv1G0379780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGRAVLLLVISALFAQIHASDPLFHESFDESFDGSWIVSGKEEYKGVWKHAKSDGHEDYGLLVSEPARKYAIIKELDYPVTLKDETVVLQFEVRLQNGLECGGAYIKYIRPQATGWNAKDFDNDTPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKSPPSVPYDKLSHVYTAILKPDNEVRILVDGVEKSKANFLSADDFEPALIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIVDEEATKPEGWLDDEPEEIDDPEAAKPEDWDDEEDGEWEAPKMDNPKCEVAPGCGEWKKPMKDNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELDKPDFDPIAAIGIEIWTMQDGILFDNILIADNEKVATSVLEKTWKPKYDVEKEKQKAEEAAAGASEGLSEIQKKIFDILYKVADIPFLEPYKTKIIDVIEKGETQPNITISILASVIVVIVTVLFRTLFGGKKPVAPVKPAAETKKPSAVVPDAAAGSSGDKEEKEDDKDAPRRRSRRDA >SECCE6Rv1G0406090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:540757302:540760260:1 gene:SECCE6Rv1G0406090 transcript:SECCE6Rv1G0406090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGELWDDSALVDAFDHAVATYKAMHGKNNQAAPSEKHDSESVATASAAAANAATAAVVEEPASTEVADEQTEKNDSCTNLPVSPSETPQQPCEERKTNEQAPLQETDLGIETNVSGSKTRSSDVINTEGKDSSNQQTGDYNELLRKYYELEVQSQKVLEQLHQTNYWNYQTPEQSSAHQQQQVPAYIATASDPNSSTTQTSCCSLNVPMVSISCCSTGQQSGDSTAMPANGGCSISFTCDHCPGASTIYPAGAAFTQLPTKVPTADDQVAKAAMMTAEGAMNFMRNTISGDAASFPNIGNEGGIGRENNTTVGMNLNLDTTGADSDLAVVLNAWYTAGFYTGRYLMQQSMKNPREN >SECCE2Rv1G0125100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:838819233:838819979:-1 gene:SECCE2Rv1G0125100 transcript:SECCE2Rv1G0125100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPTDRFAMATFLLLLILALAAGSSKHGGAAAAAGDARPALVVMKLHSHNPKSPSVALQTHDISFAGFANGDGHWQAFPGLAHLFPTSTPLPFGSSYDDLIGGLANLPGVPLGRQAMADATRVLSAYDPSAATVADVEPVKRALAVLKVMISEEQRLQPIHETIVRGWESESRVAPEHLPYIDHWDTMSYEIIRANRTGKWDGPFAKMLETQANIRSKEEALAVVRVVLHADFQQVLEAHGTKINFQ >SECCE3Rv1G0182730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:636400263:636406231:1 gene:SECCE3Rv1G0182730 transcript:SECCE3Rv1G0182730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVVVTGGVVSGLGKGVTASSIGAVLKACGLRVTTIKIDPYLNTDAGTMSPIEHGEVYVLDDGGEVDLDLGNYERFLDIKLTRDHNITTGKVYQAVIDKERRGDYLGKTVQVVPHITDEIQDWIERVAIKPVDGKEGPPDVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDILACRSTEPLEEHVTTKLSQFCNVPISSIVNLHDVTNIWHIPLLLRDQKAHEAILKVLDLQLVGKVPREPKLAEWTERASKFDKLKTPVKIAMVGKYTSLSDSYLSVLKALLHASVAMERKLVLEWVPSCDLEDSAAKETPEAHQKAWKLLKGADGVLVPGGFGDRGVEGKILAATYAREKNVPYLGICLGMQIAVIEFARSVMKLGGANSTEFDPATTSPCVIFMPEGSKTQMGATMRLGSRRTYFLVNNCKSAKLYGNATSIDERHRHRYEVNPEMVPELEKAGLSFVGKDESGRRMEIIELPNHVFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLDLLLKRSCGVVSSKPAPRYSTNGTGTCTAVPAIKPYPNGHAKKKLLTSLVNGCYANGNGIHI >SECCE7Rv1G0481250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:281952135:281955120:1 gene:SECCE7Rv1G0481250 transcript:SECCE7Rv1G0481250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTRSTAAVLLSYLRSRAPNPTHHLLTHGAAMSSLLGPTHSLPATARSRPLLEPTRWFSSPAPVVEAPRTVDGLTVDSIADKGWTILAEAESDWRSHAAAVAQSIRLIKKRLKWKWLLERSKQLAVVLEKPDLWEDPVFAGNVSREQGELMGKIKSVNQFEQQLMEHIDMLRLAREEGDNELETESMRALADMRRDAKEKELNALLSGDNDVYPCFIEVQAGAGGVESMDWAAMVMNMYRSWAQRRGYRVTVIEEMPGELAGIKRATIKVDGEYAFGYAKSEIGVHRLVRISPFDSGKRRHTSFAAVAVIPILGEASSRYQINESDLRIERFRSGGPGGQHANTTESAVRIVHIPTGTTATCQNERSQHMNRASAMAVLQSRLDQLEITRQALMNAEHTQSLSEISWGNQIRSYVLQPYRMVKDLRTNYEVSDPDSVLEGDLDDFILSFLSLSLDKVDESV >SECCE6Rv1G0418640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:663934645:663938757:1 gene:SECCE6Rv1G0418640 transcript:SECCE6Rv1G0418640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAASSPRVRSIRETVLESVAAYHQQQRMRRRFRKSLSYAGELSSAGRASSSSPSASLASLAGPEDNDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFADDYRVFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHGTSPRWVHNFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFATVITDLNTCHPTWFHADVNRCYCPSEVVAKRAELDDLKSSQIRVFGLPIRPSFCRAVLVKDDLRKELELDPELPAVLLMGGGEGMGPVKKTAKALGDALFDKELGKPIGQLVVICGRNKTLSSSLQALEWKMPIKIRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSPKETAELVARWFGPGAEERKRMSENALKLAQPEAVFDIVRDIHELSQEQGVKAQISSSLTSSFFIPSPEASHCPSPIPLV >SECCE5Rv1G0303820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:51394699:51395517:-1 gene:SECCE5Rv1G0303820 transcript:SECCE5Rv1G0303820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTFETTQGRRFVVEIWYFSTVRRIKEYILKQEGIPVESQRLFFQGQELQDDLDTEHYPIVEGSHVLIVLPDDSPGAAVAAVSDGHAASAVVHVIASGPALGQGRIALELDASCTVARLKETLQERTDGALPAAKVSVFFDKAEMEDDKALAEFEPPADGTKMEVRVVLRQTPPPPACNNGNGVAKVNKRMSVELKWGAKTATLEVSDMDAVKELRAELGSAAPHLLLPNDGAYFFIYKQNVMEEDRTLRWHDVKTGDTIEIFNGRVTGGA >SECCE6Rv1G0397980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:409166005:409168710:-1 gene:SECCE6Rv1G0397980 transcript:SECCE6Rv1G0397980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:Projected from Arabidopsis thaliana (AT5G17710) UniProtKB/TrEMBL;Acc:A0A178U8H4] MAALLRTAAALAPRPSPARESPRRPCAASLACSRRPPVRSLRAQLLTTRRAPGHVASRLRRLGATEADESAQTATQEDTETEVTGDTVADDGSAGTQETPSVLITALQSFKEALMNDDEEKVAEIESFLLSIEDEKNSLMSKITALDAELATEKDRILRIGADFDNYRKRTEREKLSLMTNVQGEVVESLLPVLDNFERAKTQIKVETEREAKINDSYQSIYKQLVEILNSLGVEDVETVGKPFDPMLHEAIMREESVEYEDGVVLQEFRKGFKLGERLLRPAMVKVSAGPGPEKSGDDDTTIGEDSVAPHAFDDGEDDGFDDADAE >SECCE4Rv1G0242890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:490809263:490809772:1 gene:SECCE4Rv1G0242890 transcript:SECCE4Rv1G0242890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGYRQTEAVGACTESLGSETGDVGGEIDQLPAVVGAGADEDGHGAGTVELQNLAGKRRRADMRLPPAMPRPAEAFMRAERRGGRLILTQVVRSVERPRDVFCVSRANGRLRLRFAEEDPLYGEERQAPKSAESESGTLVGGCGNGVGFRQAAIGTGRRVEIGAVMGI >SECCE4Rv1G0290260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:871716667:871716885:-1 gene:SECCE4Rv1G0290260 transcript:SECCE4Rv1G0290260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKKGRERKATQERSDRKSGSGMSGDAKKGGRGGKFTWEGADGYTDEDLHLVANKGTGSGASASSGNNKS >SECCE3Rv1G0162980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:142342102:142343505:-1 gene:SECCE3Rv1G0162980 transcript:SECCE3Rv1G0162980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRMVSDQGPGSTGGEARPRGGGGGGALFAVPRLFIGLAAAKRGPDGAGESERSPTSPLDPKALLLRSPRSPRTWDAEPVGLGLVVDVALAAGADAAAAKTCVLSPRLRLRTHGCTGSTTKGCGGGGHSQPELGGKTISCPASATAGMSVPCSRFFHGDLKSGPEAARPDGAHSSAKRHCFHLGELPGPGSLPASIAGGPRRFFGSVSASEVEQSEDYTCIIARGPNPKTTHIFGDCILEPQTVGKSDEAAMEPKDGASAKSYLVVKRATEAAAGPGEDFLSSCFTCRKKLEGNDIYIYRGEKAFCSAECRDQEIMIEEEAENNIAAMGSPRSSCSSLHEDIFMAGMMVAT >SECCE2Rv1G0067730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19738726:19742407:1 gene:SECCE2Rv1G0067730 transcript:SECCE2Rv1G0067730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRERGGLAQPSSAGSSGDHGGGGGGGGGGDARPTKTPRFPPPPAQSHSGDQTALPTSPPPPPPADKDPVELEEGELGDDEYSGEEEEDDQDSEGELRGSLPDGRMDRALTAGFRCPHARPTALGPQEQLSELVRESPDNSIIQEKLKILSKHYVLFRRTRQDGSCFYRAFIFSYMEILRQMQDKQAEVTRLMECLDMSKDRFSCLEWNKAYFSIDPEEYFSSVVSELNEVLDVIAAGCTSEWLYQRSLQESFSGRIISLLRLITETEIRTDEFYKQSIPKNLNVLQFCWKAVRSLDAEATATQMRALTYALGIPLRVEVVDKSLTEQGVLVKRLDFFNEFDMEKGPLRLTQSYLSSSTARIPLKQGSYDADLLSSDGTPMLTLLCRRGHCDILYRK >SECCE7Rv1G0483010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:317054417:317056035:-1 gene:SECCE7Rv1G0483010 transcript:SECCE7Rv1G0483010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMQQLVCWLCAFLALLLLKLLKKRGAATATPALKLPPSPSSLPFIRNLHHLLRSPIAHRAVADIARELDAPLMYLQLGELPAVFVSSADAAREIMKVHDMKFAWRPYPPTIQKLRPMGKGIFFAPYGALWRQLRKISIVKLLSVRRVHSFHRVREEEAARLVAAIAATPPGETVNLCEQIFWVIADSTMRTMIGERFERRDEFLVVLADIVKIASGFTMAEWFPSSWLVRAFGGTRRRGHASYLTTYELVESAYRQRQKRKEAMAAPATRNAVTGEEEDLMDELMRIHEEGDLEVPLTIGNVKAVTIDLFGAGTETSADALQWAMSELMRNPRVMQKAQAELRNKLRGKPTVTEADLPDMTYLKLVVKETLRLHPALPLLVPRECNETCKIMGYDVPKGFVVFVNAWAIGRDPKFWDDPEEFKPERFENSTLDLKGTDFEFIPFGAGRRLCPGLAFGLASIEIVLAVLLYHFDWELPDGVAPSELDMTEEMGITIRRKNDLYLRPTVRIKV >SECCE3Rv1G0213210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:960114317:960115728:-1 gene:SECCE3Rv1G0213210 transcript:SECCE3Rv1G0213210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEAVLAPVEEEYLRKAAAEFKVEFDNREEKMHRAVAIGPYHHGSPHLRQMEKVKHVAAYHFIRRSGHSLEEVYGAVGKVAEKARRLYADDAVAGISSADFEAMMFYDACFLLEFMTWNNKEQLPCLELLSVFRSNKKLISSDVMLLENQLPWLVMETLLIFRPNMPPHLLALFRFYKTSSKTTIKVSKMAKIAYINQVFATLNCLKSQTIDESAIEHMETHIPSTKEKSRKKIISASTSTSAIVLAEIGIKLKANETRSFTEVGIREGPLFGEFSLTPLVLDNTRACWLVNMAAFEVCTDSGDLYDTDKTAVCSYLTLLSMLMDREEDVHELRTKHFVQGGLTNKDILQFFKSIIKHLPPGGSYSRIILHIERYKVNRWMWVRMHKLIYNNFKTIITVFSVVGVLVGIFKTLISLKQQK >SECCE4Rv1G0288950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:862926449:862927663:-1 gene:SECCE4Rv1G0288950 transcript:SECCE4Rv1G0288950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAADHGQTSADPRPEPAIPEHRARLLPPPHGLQETAPRQIPDELVAEIFLRLPTPADLIRASLACVSFRSLVADRSFLRRFRRLHAPPLVGFLDERRNFLPVIPPSPSASAANAVALAADFSFSFLPAPAHDWRVLDVRDGRVLLKRPRSRFHDRSLVVCDPLYRRYLLLPPIPEVDGRVPRKLQSFLKEEEEAAEETSFAVILIAKCGDKRAAFVFSSSTGQWRAGPWTAGFSWAFFSHLRYGYGCFYGMTECAEKFLVLDTRTMEFSVADLPPEARVECADIAIVDAGEGITGMFVLPHHTSHISYLIRRNNGGTSSLWRLEKTIRLDSRWYTFTNSTGRHLLLFHSKSSSLDKGTLALDIKTFQLEKVFATPGTPMPYVYSNFPPSLLSSPTISSPAS >SECCEUnv1G0556640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:313405163:313406283:1 gene:SECCEUnv1G0556640 transcript:SECCEUnv1G0556640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRGSASGWLALLLALLAVSLVPSCSAAEVKTSPTEWSLHLPLPNGVTGAESLAFDARGQGPYTGVSDGRVLKWGGSAVGWTTFAYHANYRKFPMCTVPVAPSQETESLCGRPLGLAFHRKSGDLYIADAYKGLMRVGPDGGEAEVLATGAGGVPFNFVNGIDIDQATGDVYFTDSSVTYPRRFNVEIMMNADATGRLLKYDARTKQVTVLKDGLPYPNGVAVSHDRTYVVVAHTVPCQAHRYYLQGPKAGHYELLADLPGYPDNVRRDGKGGYWVALNQEKGRPGATTAPVKHLVGVRLDGGGIEIEELTAAKGVTLSEVTETKGQLWLGSVELDYIGLVA >SECCE4Rv1G0228840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:140129015:140140898:1 gene:SECCE4Rv1G0228840 transcript:SECCE4Rv1G0228840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVCDECGDVGYSHLLLRCINCNNAARHRYCLDEINFDSAVEWSCSDCIPKQSEAIKSPGDANCQRQLRNTQLDFSVVNEPNVEQEKVTKARGLRRNRPCRKREDSIDGSIEHVSSGNTSNRGRCVEETLDSCEISIRDIPNLVDRKKEGEDINGQLICTPESFDGSSNLALDHASSIEPNNLQKAMGGSKLASDSVDCPDLPNARSGCFASSKYVEDFVPPGRKSDVFSLMNDVEGSRPMIMDKSCSRSASMEQADGLLVNIEKSEPLKLVKGLEETAVTSKFAPNHSKPMQVSDLKTGSVDVLNPLEQRLDSWAMPLMQSSPSNELEDAAIQENSAERTRCLVDKETVASELENYKGSNPSMANESLSCGEGNSDEANRRSDELLSSTKDNKMRRRMYVARNIVNSCKVNGITDPEPAHGDRDAINLQCNAGKTSSLAVKKPLQLILQEEGINNELLQEEGINNELFQEEGINNELLQEEGINNELLPSKFVGPCESTKINPRKRKQSENCAPDGTKYQKACIISGNENANVARSKSGRPARACQNTPRKDNGSNDKVIGRSKMGKDKKIVKSWLANNGIRYSRKRGMVTSVLQPYSLRRRSVDRSRPSRKRGMVTSILQPYSLRRRSVDRSRPSQESGVVTSVLQPYSLRRCSVDRSRPSQESGVVTSVLQPYSLRRRSVDRSGPSQERGMVTSVLQPYSLRKRSVDRSRPSENMDLALMESSRALNNPSSSCAAQASGFSSKTKELREGDEPKKRRKLILTYDEEEDAEALQAEDLNSWSCDDDEHVKKQRKCVENAAENQRRSVEGKEALGSRNLNHRCSKNHKQVKEQRSIEAEEDENASVRNPSAGCSRMPVISECIGGQPLDIPYWTGIMKINNNYIPLAAHLSSKAGKKVQELSRSLPPIMKVAKLSKSKSCPKNFEAPIPTADSIGLYFFSGDMRPNKELDELVKHLADSGIVLEAVVGLRKLFLFPSGVLPVEYQTFQGKPYLWGVFKPRKDKIRRLPPVEQDCTANVSKEEHAQEQHALDQENKAQSDTLDQVLHPENQPLLDANQLGKEALSGNGLPPVDVGALVSVNIRPADHGQPCSNPEAPPLKLCGFVVSRTPRN >SECCE6Rv1G0415240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:640034021:640036601:-1 gene:SECCE6Rv1G0415240 transcript:SECCE6Rv1G0415240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADAKIRWGELEEDDGGDLDFLLPPRVVIGPDENGFKKTIEYRFDDDGNKVKVTTTTRVRKLARARLSKAAVERRNWSKFGDAASGDDASARLTVVSTEEILLERPRAPGSKADEPSASGDPLAMASKGGAVLMVCRTCGKKGDHWTSKCPYKDLAQPTDASDMPPTSDGPAALSGPAKGSYVAPRLRAGAVHTDAGHDMRRRNDENSVRVTNLSEDTREPDLLELFRTFGPVSRVYVAVDQKTGMSRGFGFVNFVHREDAEKAISKLNGYGYDNLILHVEMAAPRPT >SECCE7Rv1G0466320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:78084753:78085393:-1 gene:SECCE7Rv1G0466320 transcript:SECCE7Rv1G0466320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSRTRPPCADQEDMPKTWQGASVDKKGEENVVTPACWCGDVCKVKVSTDRKKAWTEGRRFFVCPNYAHDRARPTNAYDNPPSPPPLCKYFTWIDDEVPKDVQEDQYRDCLWRQRLFEESLAREEERLRRETERNERKKREQEKARKEKKAREEERARKLARARDAREEDEARDKKGKWPRTTQ >SECCEUnv1G0557330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:321952055:321955485:-1 gene:SECCEUnv1G0557330 transcript:SECCEUnv1G0557330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNYRLLGKMRLWVVVTRLFVCAAALPGGEQPLSRIAVERTTLAIDDSVHVKASPLVLGLKGENSEWVEVEFFHPNPSDDDWIGVFSPANFSDAICEPENERQQPPVLCTAPIKYQFAKFNNDGYNRSGKGNLKLQLINQREDFSFALFSGGLMKPKLIAVSNMVTFANPKAPVYPRLAQGKSWDEMTITWTSGYDIKEAVPFVEWGAKGGPQFLSPAGTLTFNRNSMCGAPARTVGWRHPGYIHTSFLKDLWPDSKYTYRLGHRLPNGTHVWSKSYSFKASPYPGQDSLQQIVIFGDMGKAEADGSNEFNDFQPGSLNTTNQIIRDLENIDMVLHIGDICYANGYLSQWDQFTSQIEPIASTVPYMIDSGNHERDWPRTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTYYETEGTFEEPMGREALQELWQKYKVDLAFYGHVHNYERTCPVYQSQCVVDASDYYSGPFKATTHVVVGGAGASIADSAFTTSNIQWSHFRDFDFGFVKLTAFNHSSLLFEYKKSRDGNVYDHFTISRDYRDVLACSIDNCPRTTLAS >SECCE5Rv1G0319050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:370002989:370003468:-1 gene:SECCE5Rv1G0319050 transcript:SECCE5Rv1G0319050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGIDIPDDKFYLGDAGYACRPGILPPFRKNKYHLNEFSGRNYPRTAHELFNLRHSSLRVTVERAFGALKNRLKILDQKTFHPYSTQVKLVLFCCILHNWILQWGFDEHVSEEEEVEPDDVVSSGHGVEAFDNDAWKKKRLEWAEAVWLNRGQCRI >SECCEUnv1G0551360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:233270607:233271047:-1 gene:SECCEUnv1G0551360 transcript:SECCEUnv1G0551360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFFFVALLALVASTAFAQYSEVGSYDVAGGGGAQQCPLETKLNSCSNYLLERCSTMKDFPVTWQWWKWWKGGCQELLGECCSRLGQMPPQCRCNIIQGSIQGDIGGIFGFQRDRASKVIQEAKNLPPKCNQGPACNIPGPSVYY >SECCE3Rv1G0186320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:683104945:683108862:-1 gene:SECCE3Rv1G0186320 transcript:SECCE3Rv1G0186320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVRDHQQQLHPCDSLLLELNVIWDEVGEPDTVRDKTLLELEQECLDVYRRKVDQANRCRAQLRQSIAEAEAELAGICSAIGEPPVHVRQSNQKLHGLREELNAIIPYLEEMRTKKIERWNQFVHVLEEIKKISSEIRPSDFVPFKTPVDQSDLSLRKFEELTKELESLQKEKRERLKQVMDHLNALHSLCEVLGIDFRQTVHEVHPSLDEAEGSKNLSNTTIERLASAVDRLREIKIQRMQKLQDFASTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEITEPNTLSIDFLSYVEAEVLRLEQLKGSKMKDLVLKKKSELEEHRRRAHLIGEEGYSDDFNIEAIESGAIDPALVLEQIEAHIATVKDEAFSRKDILEKVERFLNACEEEAWLEDYNKDDNRYNAGKGAHLTLKRAEKARILVNKIPGMVDVLTTKIIAWENERGKEFSYDGVRLLSMLDEYMLVRQEKEQEKKRQRDQKKLHDQLKAEQEALYGSKPSPSKPQSIKKAPRHSMGGVNRRVSMGGATMQAPKTDILHSKNVRAAKRTEDIAHLSPASRGLDIGDLPIKKLSFNATALRETETPRKPFAQIMPPPSSVPSTPARSVTNDTEDENRTPNPKTFGAALNLKTPMTVAAPMQLAMTPAVANKVTAAPVSLVYEKPEPTLPEAIEYSFEERRLAAYLSREVV >SECCE3Rv1G0196550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:809698717:809699367:1 gene:SECCE3Rv1G0196550 transcript:SECCE3Rv1G0196550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) transcription factor, Axillary meristem formatio [Source: Projected from Oryza sativa (Os01g0831000)] MDPYHYENIHDPHGCSFPVHPQPPFLLHHYPAAALAESRVSRGGAGRRRPGAKLSTDPQSVAARERRHRISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQVTLHQAALVQHEEGCGGVGGGLGHGEFAGAAGEVTAMELPGAQALQEVMSRYYAAAHQVEELDLCAGQMSSSSHDLPPLPSCVFDDESAAACYSGCSLQAEEIAHAHGSY >SECCE6Rv1G0410860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:603704122:603708836:-1 gene:SECCE6Rv1G0410860 transcript:SECCE6Rv1G0410860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MASPPSPRLLCALLGALSARPLLRTAAPGRGHRRVTCQATRTLSSLVDALFNRRSRDDSLGNNPRRLRPGKVSPRLTVPSHIQRPPYVNSRQRPQMNDGPEIHDEKGIECMRASGKLAAQVLKFAGTLVNPGITTDEIDKAVHQMIIDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGDVDDEAKKLVQVTKESLDKAISICAPGAEINRIGRTIQDHADKFKYGVVQQFVGHGVGKVFHAEPAVLHFRNNEKGRMILNQTFTIEPMLTIGSTNSTIWSDDWTAVTEDGGLSAQFEHTLLITEEGVEILTQC >SECCE5Rv1G0335470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:574928205:574933128:-1 gene:SECCE5Rv1G0335470 transcript:SECCE5Rv1G0335470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALRCGGGAAAHMAAHRITPPRNVDARSAILPMRAGIRELPLRASLSPSSVSPRTVSCSVFRRRRTGGHCFQNRGMTEGWEALKAATADMLRPLLLNISDMRSLNTFYDLEDYQIGMLFGVFAGLVGVYQLWRAAPPIFVDAALGYIIYKLSVISSELHRLRKSNSLINRLKFGFLLFMALKDFKNKYVLLDIIRLPLFFLYVGTFMFDVAGLKKYGRRVLISFVNLLKMRGGIKEIFRIVWYPGYVSPYDDSFGRR >SECCEUnv1G0527850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:2376818:2381240:1 gene:SECCEUnv1G0527850 transcript:SECCEUnv1G0527850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLAEMAKEEVAMLIGVSDGITDLSIKLGDLKNFLADADRRNITDESVRGWVGELKRAMYLATNIVDLCQLKAMEQGQTKDRGCLNPLLFCMRNPLHAHDIGTRIKMLNQNLDDICKRGKSLKFIKLEAYEDQNTTRSLATNRKTDSLIERSGAVGEKIEEDMRALVEVLTREAASNKSDYFMVVAIVGVGGIGKTTLSKKVFNDDSIKGKFTKKIWLSITKDFNDVELLNKAIIAVGGDLPAAGGARDRDLLVGALSNAIRDKKFFLVLDDMWGVDAWDKLLMAPFSYGGPSSKVLVTTRHDTVALSMKAVHYHHVEKLSAKDAWLLLKKQVVTTEKDEPEIEILKDIGLQIIEKCDGLPLAVKVMGGLLCQREKNQRAWQKVLNGDLWSVSQMSEELNYAICLSYEDLPSCLRQCLLHFSHIPIVLPSNAIVGMWISEGFVHGNPDVLEELGHQYYRQLILRNLIEPTPYDIGQQRCKMHDVVRSFAQFVARNDALIVHKGENINTKLSLQGFLRLAIETKGMESDQCEWRSLQEYKSLRSLMLIGNFKIQSGDSLETFSSLRVLHIESTNFDVFVESLHQLKHLRYLALIKCNDLDRLPENIHKMKFLQHISLEDCASVVKLPDSIVRLHELRHLDLDGTCVNSIPRGFCALMNLRTLYGFTAHVDGDWCSLEELGPLFSLRTIGLVNLQNVSDASFATKVRLGEKVDLISLTLDCRSRHGSIELIKDGVSAKDQGMIQEVFDGLYPPPCIERIRIYNYYGCQLPRWMRDTSTTLLKSLKILVLHDLACCTQLPDGLCHLPCLEVLQVFRAVAVKRVGPEFVQPSSHHHHPSSRVVVTFSRLHQLVLNMMEEWEEWEWEEEVHAMPVLEELLIQSCKLRCIPPGLASHARALKKLTIWRVHQLQYIENFCSVVELDLYELPDLIRISNFPKMRKLEIDRCQKLESLQEMDALQRLKLTVHYSESRLPSYLQTVKPNHLLLDCWPVILLSMALGESSSEWDKFRHIQHVEAYADDEGIEKRWHLFYTREPYTMETNIDLQVIFFFIYRKGVFPGLCIKLMHTAISAGNNMSILVFRFSFPICVVTMFFLISSC >SECCE2Rv1G0115380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:749818066:749821354:1 gene:SECCE2Rv1G0115380 transcript:SECCE2Rv1G0115380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWTWQAHPAYGLLLLFNRDEYHSRPTRPAQWWAAAGEEGEEILGGKDELGGGTWLGCTKGGKLAFLTNVREPSPRVGARSRGELPVRFLQGRQGPLEYATEIAKEADQYNGFNLVLADVHSGTMVYISNKPGDAPVVQTVSPGCHVLSNAAIDSPWPKVLRLGQGFNRFLAAHDDAQVSLKQMVEELMTDTVKADRSAVPDTGVDPDWEYQLSSIFIDTKKGQARYGTRSMAAIGVKLDGEVTFYERSLASSLWNENLVQFQMEMAQ >SECCEUnv1G0561300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:353491249:353493883:1 gene:SECCEUnv1G0561300 transcript:SECCEUnv1G0561300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVLFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQTIINDPFMLNSVILVLANKQDMKGAMTPMEVCEGLGLYDLKNRVWHIQGSCALKGDGLYEGLDWLSSTLKELQASGRLPSGGT >SECCE6Rv1G0426190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712109442:712110599:-1 gene:SECCE6Rv1G0426190 transcript:SECCE6Rv1G0426190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLATPRSTRVHQGLPDEIMIWEILVRLPPKALLRCRAVCRAWRRATSVRNFLLAHHGRQPALPLASQRMNCGDRYYQNIITFNNRASDDQVQHVARVQLSDTIHLDASCDGLLLFTDNSISQLEQNGSTYGTCFSICNPTTREHARLPMLSGFIPLGMYRHSPTSEYRILLTQEKDDPAINAFYIFALGSAQPPRNIGGWPKEKVSIWGDDVLLRGSLHWHLEKHESKSSRIMVFDTTAESFREMRAPVVLDPKRANLFEMYDKLGMLIFNGELKIIDIWMMQDYESEVWALKYQVELPVAYLNEQFGKIVENRKVAVHSCDGDVLVLVRFGERLLHFDTDSRLVASFHHQGLRLGQHQLQQTLVPHTFFTTLDSYSVNHQCF >SECCE6Rv1G0380480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:23211941:23216981:1 gene:SECCE6Rv1G0380480 transcript:SECCE6Rv1G0380480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterotrimeric G protein gamma subunit 2, Regulation of abiotic stresse [Source: Projected from Oryza sativa (Os02g0137800)] MRGEANGGDRRPRDEEEEEEEPPPQQQQEERAARPSSGQEQQQPAAAAAATTTTRSVGYVGKHRLSAAIQRLDQELQSLQDELNELETMEPASAACREVITSTEGKPDPLLPITSSPENSSWDRWFQRVRSSRSNKWWQSKGSDFA >SECCE3Rv1G0164000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:155142561:155150203:-1 gene:SECCE3Rv1G0164000 transcript:SECCE3Rv1G0164000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPAPFIYCPPAGDADDADEKALIKAAADGNLGRLKGLVKRLTKGKGDRSAIFSFNTNGLSVLHIAASFGHLDMCKYLVEELKGDVNAPGYGVALGATPFMVSAQSGDVAAVKYFLDRGGDVMKADDKGQTVLHHAVAAGCCKVTEFLLSKGVPVDIDYGHGTPAYLAAVNEQDKTLKILLDHHANPNVIVNGMRNPLCMALFYRSLKCMKLLIKAGADVNCKGCAMTPLLFATWRGGYTNYIQFLLKAGADPNIPDDLGRLPIEFAALRDCKEEVVMLFPLTSPIPNVRNWSIEGVISYAKNENEKPMGQKHRERRKAFLQLQANTAFKQKDYKLASQLYDWAIAHAESATLYANRSVCKLLMGDGEGALSDALRCRMLRPDWAKACYRQATAHMLLKEYKQACNALLDAQKLDPGNAEIERELRKARELMKNPPAEGEQ >SECCE3Rv1G0167290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:200624814:200627283:-1 gene:SECCE3Rv1G0167290 transcript:SECCE3Rv1G0167290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEKRFCRESGETVGSSDAARYSSPWTKDLHDTLEEVEAVELDPNGQPARMVTDPGQIAWHALDLKFPREESESDWSDWSDGDGRVVDGEKKDCPGNEVVDGDDDDDNCNGQVVHEDKKPNTKIICPGRLYPESEAEEIELESIDRYCKQMGEHTKLFDDMLAREDDDDDSTTLPPFPMKLLPPTTSLCFLEGYCYHSLYKTHDTSTTPSTLGYRTPQQMLQLFSMGLSSSGLSYPISVYGIFAVRDDLDQRRNYLFNCPRDTAVEIVNQESFDLPLCSPCRGIYVLDLALLEVDLWVKKEGNGSADEHILSAYTEIEVRANFDIVLAGRIHGVDCNLDLIYMIIARSIEAVVQVYAEVDHPRHVRFTALSTGYDDEIVLFDDKISGSVKLFQHIVAVKRTENLDVLLRVDGSLFQWTFHDEYVGPVSSPDDSILQYGQFFVRVLFAPKNST >SECCE3Rv1G0212300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954896990:954899924:-1 gene:SECCE3Rv1G0212300 transcript:SECCE3Rv1G0212300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRGLLIKVALLACLLVLCSGRVPTVIQQPSTTIYYNSTLAKTLVEYAAAIYTADLTQLFTWTCDRCGDLIEGFEMMDIIIDVEKCLEAYVGFASDINAVVVVFRGTQENSIQNWIEDLLWKQLDLDYPGMPEAMVHRGFYSAYHNTTIRDGIVSGIQKTLKLHGDVPIMVTGHSMGAAMASFCALDLVVNYGLDDVKLMTFGQPRVGNAAFASYFKRYLPHAIRVTNANDIVPHLPPYFSFFPQKTYHHFPREVWVHDVGLGSLVYTVEQICDDSGEDPACSRSVSGNSIQDHITYLGVSLHTEAWSSCRIVMDYAELRYKMDLHGNVVLSKQHQQPGPPSDERKKRHSAQ >SECCE1Rv1G0035990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:503825355:503827455:-1 gene:SECCE1Rv1G0035990 transcript:SECCE1Rv1G0035990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPPLQVSLDEHEGSDQEGVQEVHEIADGTESNAKKRKQREIEISVLEERASQKGTPQTPDEFEKLLRSSPNSSFVWIKYMEFLVDLVDVEKARSVAERALRTINIREEDEKLNVWVAYFNLENEYGSPREDAVKKIFQRAVQNCDPKKVHLALLGMYERTQQHQLADELLDRMTRRFRTSRKIWFRRIQFSLKQGEGVDYINFVINRALLGLPQRKHIRFLTQTAILEFKCGVPEEGRTRFELILWDHPTRTDLWSLYLDQEIRLGDTEIIRALFERVISLSLAPKKMKFLFKKYLRYEKSRGDEERIDHVKQKALEFVNMPYE >SECCE6Rv1G0380560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:24047293:24049973:1 gene:SECCE6Rv1G0380560 transcript:SECCE6Rv1G0380560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAHLILLAIAVSLMLQVAAVSAAPPSGSHGGVTLRVDSRQVVVDNGLVQVSLSRPGGHITGVRYGGDRTNLLHSSRSRNTGGYWDMVWNIPGSDQRDLLNSLDGSEFRVVTQSDDQVELSFRSTYNPGRRNDVRLNVDKRLVMLKGSSGFYSYAILEHGADTPAIDISQARLAFKLNTDRFNYMAVSDDVQRYMPRAADRDASRSSPLAYKEAVLLVDPSEPQFKGEVDDKYQYTLDTKDNRVHGWVSTSSGQPSHVGFWVVTPSSEFKSGGPLKRDLTSHVGPTCISMFHGRHYVGDDIVARIGDGEQWKKVMGPVFVYLNSNSEKGDPRALWEDAKATAQAEAAKWPYSFPESPDFHKAGERGSVTGRLLVRDWYVSRENMPARAAYVGLAAPGQPGSWATESKGYQFWTTASNTSGKFTIDNVRAGEYNLYAWVPGVLGDYMNTTRVTVTPGGAINLGDLLYEAPRSGPTLWEIGVPDRSAKEMFVPDPDTKYLNKLFQNKDRYRQYGLWERYAQLYPTDDLVYTVGESHHSKDWYFAHVTRKVGDDIVPTTRQIRFRLGRVVPGGTYTLRVALAAAHAARLQVQVNGATRRVGGVFGTPAFGGGNAIARHGDHGTQWSFEFPISGRLLRQGDNTIHITQTRANSIFLGVMYDYIRFEGPPGSS >SECCE2Rv1G0065370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:8342168:8342494:-1 gene:SECCE2Rv1G0065370 transcript:SECCE2Rv1G0065370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNTKQEQQQPTPKPEFTNGEKANAVVDHENLAEATATEAKAVLEKKATACSGWKERVAGRLEQLTDMKWADNLVEKARNNKEAVAIAIAAFSLGFFISHKLFRK >SECCE6Rv1G0440410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:804367555:804368634:-1 gene:SECCE6Rv1G0440410 transcript:SECCE6Rv1G0440410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGSSDCHIVPTRDIHRFLFRARLGPPEDDLLASTVVAGCKCQVFYAAVGKTPTSSSLLALTLRPGHLVVQGAAKMSVHMVLLDKAGSPAPSVGAVGFECAFSPKLTEIAGYILTVERDDLRDNCVVDDYFEVLCSVDISWGKNWTPPAPPPPGLGHDLALMFGKQDLTDVSFDVSGESFSAHRLVLAARSPVFRAELYGPMVESKMTSIAIQDMEVSTFGSVLHYMYHGSLPDSGEKDVASTMAEYQHILVAADRYGVEELKKICEDKLCDNGVTVDSVVSMLELSEGHVCPKLKAQCLDFLADGDNFKMVATSGEYLHLMQSFPTLMLEARERFKIAHEKPTILKPPPQKKTRVT >SECCE3Rv1G0199220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:843470674:843473053:1 gene:SECCE3Rv1G0199220 transcript:SECCE3Rv1G0199220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLYATCIFFVVSSLYVLRHFADARRNLPPGPPSWPLIGNLLDLGTLPHRSLARLAERHGPLMTLRLGALTTVIASSADTARDVLQRHDAAFSARSVSDAARACAYDSLSMGWLPPSSPHWRALRKLCSGELFAPHRLDAHQSIRHEKVQQLVAHVARLSREGAAVDVSRVVFTTALNLLSCTIFSADIADLDDREGTGQFRAVIASFTEVVGLPNLSDFFPAVAPLDPQRLRKRLAAVFMRLHAIFDEQIERRMRERTAGEPPKDDFLDVLLDYRGLEDGRGLDREMLRALLADLFIGGSDTSATTFEWAMAELLQNPSTMAKAREELAEVIGSKSEIEESDIGQLEYIQAVVKEVFRLHPPAPFLIPRQAEATTELRGYTVPKGARVMVNVWAIGRDIELWSEPERFIPERFLGKDMDYRGRDFELLPFGSGRRICPGMPLAVRMVHLMLATLLYRFEWRLPRELEGNGVDMEEKFGIVLALATPLQAIAEPM >SECCE4Rv1G0258290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:676935185:676939537:-1 gene:SECCE4Rv1G0258290 transcript:SECCE4Rv1G0258290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRSGRHGSDDEDTTPAMRRLRRLSLHLLQPSDRPAPEGGDDSLVPAACAGKRRAGGLDADAAALTAYLRGRHRAMQARVYEFYVARPELQTPVELPMAAHRDLCFRQMAALVREAGVRPLSLMAADPAEYFAVMEAVGGVDISLAIKVGVQYSLWGGSLINLGTKKHKEKYFDKIDNLEYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIIDTPNDGAIKWWIGNAALHGKFATVFARLILPLQGKGGEPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKIGLNGVDNGALRFHSVRIPRDNLLNRFGDVARDGKYTSSLPTINRRFAATLGELVGGRVGLAYSSVGVLKVAVTIAVRYALLRQQFGPPKEPEISVLDYQSHQHKLMPMLASAYAFHFARAYLVDMYSEMKKTNDEDVTADVHVLSSGLKSYITSYTAKSISICRESCGGHGYAAVNRFGGLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQQKFKGGTLSVTWNYLRDSMSTYLSQPNPVTARWEGEDHLRDPKFQLDAFRYRTSRLLHSVAARLQKHMKTLGGFGAWNRCLNHLLTLAESHIESVILARFIEAVKSCPDEKTREVLKLVCDLYALERIWKDIGTYRNVDYVAPNKAKAIHKLVDYLSYQVRLVARELVDAFDLPDQIIRAPIGMQSEAYAHYTQGVGFYNRPT >SECCE6Rv1G0409800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:591741958:591745263:-1 gene:SECCE6Rv1G0409800 transcript:SECCE6Rv1G0409800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLASPLPPRVALLFLLASSLIRGSCEAAAAGDGRRQLAMLPPRGWNSYDSFSWTIDEAAFLHNAQIMADKLLPHGYQYAVIDFLWYRKNANGSGENSYGFDSVDQWGRPFPDPERFPSSAGGKGFKHIADKVHAMGLKFGVHLMNGISTQAVNASTPILDVDTGKAYVEDGRQWTARDIGLTHRTCAWMTNGFMSVNTDAGAGRAFLRSLYRQYADWGVDFVKVDCIFGTDYSPKEIMAVSETLKELERPVILSISPGTQVTPALAENITQHVDMYRITGDDWDSWKDVRPHFDVARSFADANKIGNTGLQGRSWPDLDMLPFGRLTDAGVNQGPHRSANLTFDEQLTQMVLWSMAKSPLMFGGDLRHLNDDTFNLITHPTLLKINHHTKNNMEFGYIHSERTSEPNEQSGRSDQTKNGGPILGLSTCNDKSTSGWHNSSKDHICRSYGIQNDNASFCMSKANLLPTSDGVTMSSEEDQAKFHLVGIDTDDGCLDASVNSMFSACEQHSKQVWELTENGQLKSSYSGLCATMKSSKEGESETTRARAWTATGDKGEIYLAFFNLDTASRKIAVRVPELEKVAGRKLARKRLCTCTEVWSGKSQSLVKGDISAVVGSHGSILFEIQC >SECCE7Rv1G0485020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:354530590:354536157:-1 gene:SECCE7Rv1G0485020 transcript:SECCE7Rv1G0485020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVDKEKSVNVQVLLRCRPFSDDELRSNAPQVVTCNDYQREVAVTQTIAGKQIDRVFTFDKVFGPTARQRDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRAKSGPEGQLPSDAGVIPRAVKQIFDTLERQNTEYSVKVTFLELYNEEITDLLAPEEISKVALEERQKKPLPLMEDGKGGVLVRGLEEEIVTNCSEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENICRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQMEENERKAMADQIEQMTASLETNQKQINDLQEKYDSELQHSADLRKKLEATEKCLDHTSNLLSTTKEDLKQALYNLKEKEFIISEQKKAENALAHQACVLRSELEKSSRDNASLHSKIARGDKLSAANRSVVNSFQADLASKLDILSSTLTASIDQQNKHLKAVEDLCQSCVDSHDKATLEIKKKILASKSLYMSHMEAFQNIVLLHKANTNSTLEDVSSLSAASCCSLDQLLACVEGEALKIFTDIQSLLADHRSELAHFTKELRDSFCISLDRTKDMSSFILGLFEKYIEETSKLQNHSNHTHEAQVKSLEEFQKAYEEQSKSEEQRLLADIASLVSKHIVRQRELVDVRLNSLGDAARGNKTFLDEHTSAMEGVTKDAKRKWEMFAEQAENDCKVGSSFSSAKHCRMETIMQECACTVDSAAQQWKKSHAAVNDLCTKQVAEVEVLVRAAIENNEQHEAEIASSRALAEEQACSSSKEILQDINNLLEEARNSTSRVVSTVEAHSVEIQHLQENHSGQTSGVNTHAERAFQSSYRDYEPTGETPARSEPEVPSKGTIESLRAMPIESLMDEFRENHPYESSKEPKPSLIPRSPLATLN >SECCEUnv1G0565910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:397856770:397857714:-1 gene:SECCEUnv1G0565910 transcript:SECCEUnv1G0565910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEVIFSDMPLLERWIVEPHCHLFPVLENIKCCDCPNLLSLPFFPECSVSCTQGVHFPRLCKLEITGCRKLFVSPMPPGPAVASFELLDFDRHLLFKREQSYLRLSDYSGALALHNMGTSPISLVLLNCEILTVDVFNPLITDVSIKELVVYNRSNHPCSIAVDLFTEVARRVNQLPAGSFLLERLAVDSISSVLVAPICSLLAATLHTLSISCDQRVESLTEEEEQALQLLTSLQTLEFYGCPGLPSLPQALHTFSSLRVIKISRCPEIRLLPMGGLPTSLHEIEVRRCSPELHEQVKKLRRTNPELQVDA >SECCE4Rv1G0248830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:575845243:575845785:1 gene:SECCE4Rv1G0248830 transcript:SECCE4Rv1G0248830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASTALSVAALVAGVTLMLIVHVLVIFWALRRGAAQASGADLERAVDDACGGKGKGLSAEELQTLPCHDFKAAVGGGGDCAVCLEAFESGDRCRRLPRCEHSFHAPCVDSWLKKSHCCPVCRADVVDRPKAEAKVAGEGEAPALTVEMAERTNPAALEVAVERLQRYSWGPHAVTVLH >SECCE5Rv1G0306460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:93856880:93858536:1 gene:SECCE5Rv1G0306460 transcript:SECCE5Rv1G0306460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTEVPASGGVFVLLLLLVAGVVAVFVRLRRRWGDGSSSAPSPPSLPLLGHLHLLKKPLHRSLAALAGAAPLLSLRLGARRALVVSTYAAAEECFTAHDAALAGRPRMLAAEHLGYGRTTVVWASHGGHWRGLRRFLAVELFSTSRLAALAGDRRAEVASLVQNLLHDASASAGGGIVTLRPRLFELVLNVMLRALTARRLAGDVRRIQEIVEETFAVSGAPSIGDFFPALRWVDRLRGVEAALVSLQTRRDAFVGALIDDHRRTRNAGGRDVEKKGVIDVLLEHQEANPGYYTDTVVKGIVLVLLTAGTDTSALTTEWAMALLVKHPEVMRKARAEIDAKVGMGGLVEESDITNLPYLQCIVKETLRLCPVGPVIPAHEAMEDCKVGGFHVQRGTMILVNAWAIHRDVNIWDAPEEFRPERFLDRDTVTTPMLPFGLGRRRCPGEALAMRLVSLTVAALVQCFEWDVGEGNTIDMAEGGGLTMPMATPLATVCRPREFVQSVLSVST >SECCE2Rv1G0133070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894935535:894935792:1 gene:SECCE2Rv1G0133070 transcript:SECCE2Rv1G0133070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQVGLCRWNYAFRSIHRAAKARANVRGGVSQGAKQLPASATAVAEKAEEGLRTVMYLSCWGPN >SECCE2Rv1G0111120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:698041093:698042403:-1 gene:SECCE2Rv1G0111120 transcript:SECCE2Rv1G0111120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDKAPTDATSTVAEAAPVTAERPVRADLETHLPKPYLARALAVPDVYHPEGAVEGHEHGQKSVLQQHVSFFDLDGDGIVYPWETYGGMRSLGFNVILCFIAAIAINIGLSFPTLPSWIPSPLFPIHIKNIHRDKHGSDSSTYDTEGRFMPVNFESIFSKYARTAPDKLSFGDIWRMTEGNRLQYDFFGWLVSKGEWILLYVLAKDEDGFVSREAVRRCFDGSLFEFIAQQRREAHEKQQ >SECCEUnv1G0559490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:338642364:338644263:-1 gene:SECCEUnv1G0559490 transcript:SECCEUnv1G0559490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAGSATAMSLLLVLFLSSCSPAVAIRTHGRGYVSAVGDPGMQRDGLRVAWEAWNFCNEVGQEAPGMGSPRGADCFDIEGTDDEQGQPVYKVVHRVTDADNALRAGDPFPGTSANSTVTDTDRYAAAKELYLGDRCQVPDSPVPWQFWMVMLKNGNLDTTAAICPDNGRPARPFPQTSRFPCPGGTGCMNQPLVFHNRTALDDAGRWLRGGMYGTYELDATDLGSNNVSYYSVVWEKQIGPAGGAGWAFHHKLRTSAKYPWLMLYLRSDATRGFSGGYHYDTRGMTKIVPESPDFKVRLTLEVKQGGGPNSQFYLMDMGSCWKNDGSPCDGDTATDVTRYSEMIINPETPAWCRPGRRDQCPPWHTFRNGTRVHRDDAARFPYAAYHVYCSPGNARHAEQPTTYCDPYSNPQPQEILQIVPHPVWGEFGYPTAKGQGWIGDPRAWELDVGALSHALYFYQDPGTPPAKRRWSSLDVGTEIYVSKNAEAEWTLSGFDILVPNKCITSQGGTVSSCW >SECCE7Rv1G0474380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:171268204:171269571:-1 gene:SECCE7Rv1G0474380 transcript:SECCE7Rv1G0474380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLRGHGASRLLVAAVVLLCLGVAARGQLSDDFYDASCPKLESIVQARVAAAMKAEIRMGASLLRLHFHDCFVNGCDGSILLDGAESEKLAAPNLNSVRGYEVIDAIKADLEKACPGLVSCADVVALAAKYGVLLSGGPDYDVLLGRRDGLVANQTLANNNLPSPFDNITVIIQRFKDVGLNTTDVVILSGAHTIGRSRCVLFSSRLANFSAANSVDPTLDPALASSLQQLCRGGDGNQTAALDAGSADAFDNHYFKNLLAKKGLLSSDQGLVSSPDGAAATKALVQTYSYNSQRFLCDFGDAMVRMGNIAPLTGSAGQIRKKCSAVN >SECCE2Rv1G0120380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:798569708:798571271:1 gene:SECCE2Rv1G0120380 transcript:SECCE2Rv1G0120380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAPILIILLSIAAVDAASIGVTYGRRATSLPPPADVARFLARGTVLDRVRLLNADPLALRAFAGMGLAVDVTVPNALVPRVADSVAFARQWVRASVAPHVAAGTIVSRILVGRDVISQANRTLLLCLVPAMQNLHAALLATSLHRQIKVSAAHSLGVLAVSTPPSAARFREGYDAAVVKPLLAFLRATGAPFMVNAYPFYGLTSDAELDFALFRVGAEGVTDAGTGLVYTNALDAQLDAVHSAMERLGFGDVDIVVAETGWPWAGEDWEVGVGVDHAGDYNRNAIRHLGSGVGTPLMPNRTFEVSIFSLFDENLKPGPMSEHHFGLFHADMTPIYDAGILTAPESVGPVSAKVTPASPAPAPDAGAADSGGRQWCVPTPAADAAVLQENIDFVCGGGMDCGPIRPGGRCYEPDTVQAHAAYAMNLYFRSNGQHEFDCDFGRTGVVTTVDPSFGSCNFT >SECCE3Rv1G0187750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:704907197:704913361:1 gene:SECCE3Rv1G0187750 transcript:SECCE3Rv1G0187750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDTASLLPPSPPPFKPHRRGRLLPSILAVLAAAVALFLLAVLRSPPTPSPNLGSLFLSLGSNNTAAFHLRALTLHPHVAGTKANSLTAAYVLHAFSSLSIPSHITPYSVLLSYPVHRSLSLSAGPGRTAKSFSLTQDTYPNDPYAGAAAEAIPTFFAYSASGSVSAEAVYANYGREEDFAHLASRGVDVAGKVVLARYGRIHCEDIVHNARAAGAAAALVYPDPLEYGGPAGEGSFPDSRWLPPSGVQVGSLFRGVGDPTTPMWASSEGCERVSVEDAMATDDMPGIPALPVSARDAAEIQRALGGAVAPAGWQGRDGSPAYRLGPGPAVLNLTYQGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGGADPNSGTAAMIELAQRFSMLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSSRAVAYLNIDVSVVGPVLLPSTTPQLDELLLETIKLVQDPDNSSQTVYDSWVKSNASPKIQRLGNGGSDYAAFVQHVGIPSSNLIFGEGPGYPVYHSLYDDFVWVEKFADPGFRRHVAAASIWGIMALRLADEEIIPFDYMSYTTELEAYTKVVEKETEGTAVSCSPLYNSIRALKRAATKVNSERKDIQRELSIKQLSKDSMKIRGLNDRLMQAERAFTNREGIFKQAWYKHLIYGPSEQNDWDTASYPGIADAIATARSSNTSASWKLVQHEVHRVARAVAQASAVLSGSLT >SECCE4Rv1G0232740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:211236738:211250984:-1 gene:SECCE4Rv1G0232740 transcript:SECCE4Rv1G0232740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAKRPSSTSPPSGSPSASAPPPKRPKAEPPASPTSSAPGRAEEDSEAAAATRSAGLADDALTPANKDQGGDKRAAAAAESSRRIKETPPQQHAAPWAKLLSQCSQSPHLPISVPQFSVGQSKRCNLLLKDQPVSKILCKLRHVEQGGPCELEVIGDKGVVLLNGRAVNPGMKVPLTGGDEVVFSSCGKHAYIFQHPLNDKVAKAVTSSAVGLLEPPVAGVKHIHMENRTEVTSTVAGTEMLASVSSQSKDLPAVPPASAGEENQRVVQPIISSASDKSKGHCISPDKECENRENTNEANSNIENSRMDVVATPISPDAVVNDTSRQNGFESDAHLDEIGKIATYKIRPVLRMIAGSTVSEFDLTGDLFKALEDQRDLIRDLNTSASLPPSRCQAFKDAMKQGIIIPSDIEVTFENFPYYLSENTKNVLLSCSFLHLEKKEFIKQFSEISSINQRILLSGPSGSEIYQETLIKALAKHFGARLLVVDSLLLPGVPSKDPETQKDVGKADKSGDKAGGEKLTILHKHRSSLADTMHFRRPAAPTSSVNADIVGTSTLHSATLPKQESSTATSKGYTFREGERVRYVGPAQPPSGIHRGPSYGYRGRVMLAFEENGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSAELLRPDFSGSEEVERLAMTELIEVISEESKIGSLIVLLKDVEKSFTGITESFSSLRNKLELLPPGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQDEALLTSWKQQLDRDVETLKAKSNIGSIRTFLNRSAIECNDLEELFIKDQSLTNENVDKIVGYAVSYHLKHSKVETSKDGKLIITSGSLKHGLDMLQSIHSDNKSSKKSLKDVATENEFEKRLLADVIPPNDIGVTFDDIGALENVKETLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDTSNREKILKVILAKEELGQDTDLESLANMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNVAKSEGRPEPALHGSEDVRPLSLDDFKSAHEQVCASVSSDSANMNELVQWNDLYGEGGSRKKKALSYFM >SECCEUnv1G0532430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:20209267:20211486:1 gene:SECCEUnv1G0532430 transcript:SECCEUnv1G0532430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFSIGISSGDLRSGSSLTVGERLCAVVLPCVAIAEFVFFALTDCLAGICPPSSNSSSHLRRDPSAPSFFLTAKKGSHHHRRGRVGPGCTSLGFRDLARLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFEEFIHALSVFHPLAPVEDKINFAFRLYDLRQTGFIEREEVMQMVIAILMESHVELSEDLLEAILDKTFEDADTDRDGKICQEEWKEFVLRHPNLLKNMTLPYLRDVTTAFPSFVFNTAVED >SECCE1Rv1G0010430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:62077763:62079104:-1 gene:SECCE1Rv1G0010430 transcript:SECCE1Rv1G0010430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALRRALPPLYSPAAPICRRAPGPPPLPSRPLRLLDPIGFRPFSAAAATAAAVARAPEMGASLFKGLTETRFPKRRPGFVSRRKRASLRPKGPHYWVQCTPGEPIPSSQPNKGSVQGRKEKKRIKQRKDFIMAEKRKRKAQYSVAVKRKEAERTERKMAAVARDRAWVERLAELKQIEAEKKATMA >SECCE1Rv1G0037760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:530892031:530898268:1 gene:SECCE1Rv1G0037760 transcript:SECCE1Rv1G0037760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKKFRGEDKPPAHLGSSKDYNVDMVPKFMMANGTLVRTLIHTNVTKYLSFKAVDGSFVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQNYDEADPKTHQGLDLTTLTTKELIAKHGLSDDTVDFIGHALALHRDDRHLDEPALDTVKRMKLYSESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLSKPECKVEFDMEGKACGVTSEGETAKCKKVVCDPSYLTNKVRKIGKVARAIAIMSHPIPNTNESHSVQIILPQKQLGRNSDMYVFCCSYTHNVAPKGKFIAFVSAEAESDNIQSELKPGIDLLGPVDELFFDMYDRYEPVNEPSLDNCFISTSYDATTHFETTVTDVLNMYTMITGKTIDLSVDLSAASAAEEEY >SECCE1Rv1G0033040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:462119733:462123249:-1 gene:SECCE1Rv1G0033040 transcript:SECCE1Rv1G0033040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATDSAAGLAAAVLAASTPRAAAEAVSSIADFLGRHHCGADQPRAFFADALPALLFRLFVSSSPASPCFLDLAAGDAALADLIASLLAPSGPLLVAISAADRHSLARFTFPRERLPDWLGFALSSTAASSDKVISPLLAGRVGSKLHLSVFEYYLFWFAYYPISAATAKAAAGASKGSTSTSKPSLKPRVLLESWVSTLTSTAGRNPDRKPDRSLYLKLLYAYLKEFVPSDCAPPRGGFGTLLHRNVRDGVDAAGSFRRAEFFVHTLVEFWLVGDDFSPLPVRTCRSYGLPLLSLLSRSNPTLSERLPAPGLGNAVKLLVMYLNKSSACKLADAGNVFDGMLLRKESCDSPAGYWNPLMQRPLYRFVLRTFMFCSRDADIKNIAQVFSAWMVYMEPWKVQQDGLNEYDLPPPGGRNVNCISGRKMQRCDVGYSPAWQGFVLSNYLFYSSLVVHFLGFAHKFIHSDVASVLQMVSKVLEVLGSTELLGLIYKVDAAYHSIVSDSQSCCLDDVLKYVPTISEQLQDWEDGLSKHTTDGSFLHMAKNSNLRLFSFDDDGAYNLLQLLLLRAETEIQRLPGDAMRTRHTLDVIKSNMRKVFYKHVESFQAKNLPEGEHKQHHGRGDLFVPKHPSPAKNKLADMKYSGDWMLRPISDTEVAWLARPLICFSAWLNETLQLVHADADAAPTGPTIIKIDQNEPSRVGGPKDAARLVFVGVFTLLTVVAQWILQFMRTHKIRINLRVLASKKLLAVAAMLCMVYVIAKNMLS >SECCE5Rv1G0318300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:359327478:359329574:1 gene:SECCE5Rv1G0318300 transcript:SECCE5Rv1G0318300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIDEAETQEHPLDPKPLHSLAPMFPTPPGYDVATTGSSDQPFFYITPIQQHPGPAPASFAGPSPGPCSSPPPPQHPSFAGPSPGPGSAVPLKATPISAAFPARRLKEETSDEDYTPASEKRKPSSSSPKRTAKRVRQAGDSTAATHKKKGDSAAAIIKQRPIRRSLSKELAGWPSTSDNPREAVEAAMAMFDSLRRRTLQLDEKEGLGRRADLKASVLMNHNNLKINSLKTIGPVPGVEIGDIFFYRIEMCIVGLHAPSMAGIDYLSAKCAGKDESLAVSIISSGGYENVEDETDTLVYTGQGGNSRRKEKHDQKLERGNLALSNSASKKNQIRVVRSARDPFSITAGKVYIYDGVYRIEDSWMDTAKNGFSVFKYRLRREPGQPDGISVWKMTDKWKANRATRENAMVLDLSSGAENLPVCLVNAVDGERAPSHFKYVTGVKYSRPLSRNKPLHHCECTSVCMPGDPNCSCARQNGGDLPYNLDGVLARHVPMLYECSRDCHCLKDCRNRVAQRGVQLNFEVFRTGDRGWGLRSWDPIRAGAFVCEYAGQVMDETNMSMDVEEEEYTFRTTCPSDKALRWNLGAELLEVKGADATAERFKKLPVVISAKDAGNVARFINHSCSPNLLWQAVQYDHTDDSYPHIMFFAMKHIPPMTELTYDYGIRGAPPGINGKSPLACKFKPCLCGSANCRGSF >SECCE7Rv1G0470670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:123391523:123393948:-1 gene:SECCE7Rv1G0470670 transcript:SECCE7Rv1G0470670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTETATATAREPREASRREGRDSHGRRPHSSSRSRRDDPSPRRRRDDRRHESDRSHHRRRAEEGADDAGDRDERRNRHLQDAKARSDGPDPGRGEGKPPPGDAKEDPPARHERSPRGTKRFSETREAWRPRSSFFQHDERDRAGQGGRRYGRQDYGGQRDHNEHLNDRDKHRSEGHGLQEKVGQAQQQSDMDSTWKHDGFFKLEEDGPVAKRRPAFKEMGMPLERQESAPAFTKPDSRSRMPNQPGLTSGMGEERRNYHSREFIRPDDRGTRRGFSDYRSAGQRNGYDPRGRFAGRGGRGGDRFDYQYGERSNMHEDAGDHQTEKWKHDLYDETNSTPAPMTEEEQIAKVEALLAL >SECCEUnv1G0554410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:286978006:286980258:1 gene:SECCEUnv1G0554410 transcript:SECCEUnv1G0554410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSPEPEVKIVVDRDPVKTSFEEWARPGHFSRTLAKGPDTTTWIWNLHADAHDFDSHTGDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQLWRASGITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLTFRGGLDPVTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWVQNIHATAPGVTAPGATTSTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNAISVVIFHFSWKMQSDVWGTISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >SECCE2Rv1G0081940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:146700626:146702515:-1 gene:SECCE2Rv1G0081940 transcript:SECCE2Rv1G0081940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKHAGPGVLRALVAVASSVASASSRTPPRRAAPYLAVLLRRGRAEAAARLNHHLRLLPLPESPALLSALPSVRDAVSYNTVLAALCRQGCLDAALFLLRLMSHEPRLACRPNAISYTTLMRALCADRRAGQAVGLLRSMQDCGVRPDVVTYGTLIRGLCDAADVDKAVELLNEMCESGIEPNVVVYSCLLHGYCKTGRWKSVGKVFEEMSDRGIEPDVVMYTSLIDSLCRHGKATKATRVMEMMAERGLEPNVVTYNVLINSMCKEGSVREALDLRKNMLERGVQPDVVTYNTLITGLSGVLEMDEAMGLLEEMMQGETMVRPDLVTFNSVIHGLCKIGWTRQALEVRDMMAENGCRCNLVTFNLLIGGLLRVHKVKKAMELKDEMASSGLQPDSFTYSILINGFCKMRQVKRAESLLSEMRCQGMEPESVHYIPLLKAMCDQGMMGQARDLFNEMDRNCKLDAAAYSTMIHGSFKSGEKKIAEEFLKDMIDEGLIPDAVTYSIPINMFAKSGDLAAAERVLKQMTTSGFVPDVAVFDSLIQGYGAKGDTEKVLELTREMTAKGVALDPKIISTIVTSLGASIEGQELLQSLPGFDKEISKSGAISPHDVMNMLQKHCTKPESPAPC >SECCE5Rv1G0338070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:596208312:596208896:1 gene:SECCE5Rv1G0338070 transcript:SECCE5Rv1G0338070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKGTEVLKKVAAMCKNKTSVLAARFLVLVSLRRRMATVGAFSHRIHAFVAATDREKGARVDCHKALVVRKVEKTPAIHGGEIVVNISHQWALFDPEDDGDGGCTDWTLHPIFNDDDNNCCYTHECEVDHDEENGDVLLDECDEDIDDQPSVMDVIRNNREAEGLEFSIDDEIDQAADMFITTFRKRMNQSF >SECCE7Rv1G0458480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:21931139:21932015:-1 gene:SECCE7Rv1G0458480 transcript:SECCE7Rv1G0458480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMRLSFLQLFAAVLAFCSMPAKSGYWLPAHATFYGGADGSDTMGGACGYGNLYNAGYGINNAALSTVLFNNGLSCGQCYLITCDTGKSNMCKPGTSITVSATNFCPPNWALASDNGGWCNPPRVHFDMSQPSWENLAIYRAGIVPVLYQQVACQRQGGLRFTINGFNYFELVLVTNIAMSGSIKSMSVKGTNTAWIPMSQNWGANWQCLAGLTGQALSFAITSSGGQYKVFQDVIPAWWLFGQTFSTWQQFDY >SECCE3Rv1G0162120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:126184342:126186504:1 gene:SECCE3Rv1G0162120 transcript:SECCE3Rv1G0162120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVAQLWREWEIQILVLLSFTLQVFLLVFARIRRRKGSGVLRILLWLAYLMADSTAIYTLGHLSLRTRSNSGSSEKQYLMAFWAPFLLLHLGGPDTITAYAVEDNELWLRHLLTLAVQVLGAAYVLYQLYVGSPGAGGRLVVASVLMFVTGFAKYGERTWALKCASMGSIRSSLDVGVSDDGGRRPYDGRRQELDAEEVLLGAHYTFNVCKSLFADSLTTSAPEHEAMDQGVELNGCKYMYQLIEMQLSLMYDILYTKAAVIHTWYGWCIRVVSPAATLTAFLLFQLDSDDDARTRVDGIVTCILLLGALVVEITSLVRAIGSSWTCAFLHARRRDWLYGALVCVRRRFKAASGRRWLYSIGQYSLLGFCTRDTTELRSRVTKRVGLEKWWNKLHYSGKAEVSQSARDLVLGAIPKRLLGDMRNARGRWILQKMGLYDEISWSVDDADFDQSILVWHVATDVYLCCREPPPAAEAGDVQEDLLAQTVRELSNYMLFLYVVHPHMLPGPVRASRYENNCHGLVTLWQQHSPELEGRDDYDARTPREHLTKLLLREYGDDIDDHSTGSGSTSRRHADARSIQIEDNNPHGLAYIDGAGLAGMLLSNEWGVPDVLQMIAEVWIEMLCYAARHCSEVSHSKQLSNGGELVTAIGLLVEYTGRSNFHREGLAAANGTSPAGTSTADGDVTLISTAIDMVDSEPSEQVPTNGDGTLDAEIQNED >SECCE7Rv1G0498780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:645562737:645564811:-1 gene:SECCE7Rv1G0498780 transcript:SECCE7Rv1G0498780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKSIVSVPPAPPAEITSHPIHPEHKLLLVTTDDVEFKCDGCKERGAGGRYTCEHYGEQQQQHRDCDFDLHIGCALAPDVLEIPQLFEGCAFVLLHEPPPPDPADHRVCDACGDKVLGLVYHCFDRDLDLHPSCARLPQSVDLDGLTFELCREHVPSRSCVLCKGRRCRRKFLSYRSEWDGEAVYLHVACVKEMAYESLKSGHGSHDGGGKMALQANKAPSLKVALALKRKAQTSTKRFKRFLKIVGFFARVVIGVIFGDPTAMIAAVVGVVFSGGGGGG >SECCE2Rv1G0121800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:811466603:811472736:1 gene:SECCE2Rv1G0121800 transcript:SECCE2Rv1G0121800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARHTWPLPLVLAVLAAALLAAAPAVADASASVHLEALLAFKKGVTADPLGALSDWTVGAGDAVRGGVPRHCNWTGVACDGAGRVTSIQLIQTQLQGALTPFLGNISTLQLLDLTENGFTGAIPPQLGRLGELQQLILAENGFAGGIPRELGELGSLQLLDLTNNTLTGVIPSRLCNCSAMWALGLGANNLTGQIPSCIGDLDKLQIFEAYVNNLDGELPPSFAKLTQMKSLDLTYNKLSGSIPPGIGNFSHLWILQLFENLFSGAIPPELGRCKNLTKLSIYSNRFTGAIPRELGELVNLEHLHLYDNALSSTIPSSLGRCTSLVALGLSMNQLTGSIPAELGELRSLQTLTLHANQLTGTVPTSLTNLVNLTYLSLSLNSLSGRLPENIGSLQNLQKLVIHNNSLSGPIPASIANCTLLSNASMSNNEFTGHLPAGLGLLKNLAFLSVGINSLTGGIPEDLFDCGSLRTLDLAWNNFTGALNRRVGQLSELRRLHLQWNALSGTIPEEIGNLTNLIALKLGGNRFAGRVPASISNMSSSLQVLDLSQNRLNGVLPDELFELRQLTILSLASNRFAGAIPAAVSNLRSLSLLDLSKNRLNGTFPAGLGDHEQLLTLDLSHNRLSGAIPGAAIAAMSTMQMYLSLSNNAFTGPIPREVGGLTMVQAIDLSNNQLSGGIPATLAGCKNLYSLDLSANNLVGTLPAGLFPQLDLLRSLNVSHNDLDGEIHPDMAALKHIQTLDLSSNAFGGTIPPALANLTTLRELNLSSNHLEGPVPDTGVFRNLSVSSLQGNPGLCGWKLLAPCHAAGAGKPRFSRTGLVVLVVLLVLALLLLFSLVTILLVCCRYKKKMVKSDGSSHLSETFVVPELRRFTYGELEAATGSFDQGNVIGSSSLSTVYKGVLVEPDGKAVAVKRLNLEQFPAMSDKSFLTELATLSRLRHKNLARVVGYAWVSGKMKALVLEYMDNGDLDGAIHGADAPRWTVAERLRVCVSVAHGLVYLHSGYGFPIVHCDVKPSNVLLDADWEARVSDFGTARMLGVHLTDAAAPDSATSSAFRGTVGYMAPELAYMRGASPKADVFSFGIMVMELLTKRRPTGNIEEDGVPMTLQQLVGNALARGLEGVAGVLDPGMKVATETDLSTAADALRLASSCAEFEPADRPDMNGVLSALLKLSRACGGE >SECCE5Rv1G0358640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756657599:756658672:1 gene:SECCE5Rv1G0358640 transcript:SECCE5Rv1G0358640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHCKISAAIVAGSEERSCVLKVDGYSRAKALLKNGKFVISAPFSVGGHNWAMRYYPNGGCKGYADFISLYLYLESADAKDVKAKFTFSVLDKNGGPVPSYSRTHPMHTFSAKGSNWGYHDLIKRADLEASVHLRDDCLTIRCDVTVTKEISEEEETRIPPGDLHQHLGDLLENKDAADLIFQVGAQSFAAHRCVLAARSSVFKAELLGDMKESSAANPIEIYDVEADVFKSLLHFIYTDSVPPGLDVVMTSHLLVAADRYNIVRLKLICEEKLCTHIDSNMVATSLALAEQHGFHRLKEACLQFLASPSNFKAMMASDGYEHLTSSCPYVLKELIARILPAEWDVAKDVVMTMWK >SECCEUnv1G0532860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:24437915:24439174:-1 gene:SECCEUnv1G0532860 transcript:SECCEUnv1G0532860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRPPPPPGGSPAPAPSPSPYQSPMPSPTAAFQGGLISSPPSPSAVASPGPAPAPAPAPAPEPNAVLAYAGARRGGGGMSPPLIAMLAVVGAALLVVLYARLARRVVRAVRHRWRGWRRRRRLLMLPVGSPAHDSFASFTTYDNFYHTFSPYGLDDAAIKSLPSAQFLKAEAARASAGARDCAVCLLEFADGDELRALPLCAHAFHADCIDVWLRAHASCPLCRAAVALPPPVSSPLRSARRVRPSLDDLLFFHPDPPPPQNDAGALPEITPASPDQLNPRDFLLKRSYSFGFERNIATEAASTASPSWRYRLGGGGGDGASGRGRSFWSKRWPSPFGGVGGSAAAAARVFSFRSAAGKSSPFARRRAGAAPAGGGFFMSLSSEPPSIAAARRSNRASSRLRCGDPEALLSPDRLSR >SECCE3Rv1G0213720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:962067517:962069364:1 gene:SECCE3Rv1G0213720 transcript:SECCE3Rv1G0213720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRGADNTSGQQLGLRIHAKQKLPLAYNALLQAHAEIDTATRKPSLLALLARHFFPQLSANVGAGVQLERGNIFRYTLRGKKAVSFTDDGLLGLNIKGRLLADKNFKPRRKSGAVELAWTILDFRRGQDVRIKAGYELYRKMPYFQLRENNWTLNGYMDGKWDVRFDM >SECCE3Rv1G0190350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:737969704:737973127:1 gene:SECCE3Rv1G0190350 transcript:SECCE3Rv1G0190350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACALSVAQPAALAPCAGGGSKSVLLRNLPKLPSPMVSGRMRSRGVVARAAQDSSEASSGSVVKYVKSSFSTTEDIFALAGIGFAAIAAFWASMMLIGVIDKLPVLPLFFELIGIAVAWWFIYGNLLFKPDREKFLENIKSSVSQILGQ >SECCE7Rv1G0526330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894733498:894738203:-1 gene:SECCE7Rv1G0526330 transcript:SECCE7Rv1G0526330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLVSASLGAMGSLAGKLELDPLLDAELKLEVCKLTNRLVLHSKSDPPVEATIWMKDVRELSYDMENCIDLAEPDSVARMSGFKARVKEANELYDRCMLGSIPICSNAATDFQIPIMDGQRRPDLPVVGLHDGPFDALYQWLTDDDKELKVASIVGVGGIGKTTLAKQLWRVHKPGGYFACRAFVRTAKKPDIRRFLRSMLAQVRPHQPPDTNEVHELIHDIRQHLQGKRYFLIIDDLWATSVWDVARRAFPEGNGSRIITTTEIKDVALACCRYQSKSIYKMEPLSVNHSEELFIRGEEKSRQLDKVWEEIIRRCAGLPLAIISISSLLASQGEANTVQGREQIQNILPTNTTPVEVLKQILNFGYKSLPSRLQTCLLYLSFYPENYIILKEDIVKQWVAEGFILVPRGEDKMKVAAHYFDGLVNMGLIQHIDVGYSNDVYYYAVHPMVHDLITSKSREENFMTVIDYSGRTVGFSNKVSRLSLQFGSATYATRPESFGLSQVRSLAFIGLKSCYASILEFKVLRVLVLHIWADEPSTTGVDLKLTSRLVLLRSLQVTCNDTVHLPDLTQGPKQLETLEINARVAAIPANIVHLRSWLHLRLGVGTEVPDLTGTLKIVTLNSPISLDDSSCSPDLVKSLKTLELLSPICIIPKWIEQLANLCILKLVLRELQSDDISILQRMPSLTALSLHVQRRTTEPISFGTGAFSALEYFELRCAVLRLWFQKGTMPNLQRLKLGFNAHRAEEDSGSFVSVEDLSHVEEIFGTIGSAVGAMECDLKVAESAFKKAMGRHPNVSVERADMVEELDVPAGKKHEIPEEPPSKSSEQTGISKQESQDTRGRAQKQHPTRGQPTSKSSQQTGILKQVYQYMRGLAGKQHPIRGEPTQDYQAEIKQDSSSDSRTPGREQEYLYVRDPAEKQHPFRLEHTQDYQTETKQDFSSNSPFLERSGPAELTIEGKGDLHILDQLLVSNKETGLEKLTLERCPPLELKHLLRLTNLKTLIVQHSDGLVGSQGGGQGDVEWQLPIEDLRINELNGNSGKELSKLLPHLPKLSELEIWNCQNIKKLVVGVDVQQTTKEASEMGGGEITAAAEEEDDGVLLFPAHLCDSLRELKFHDCPELVLVDLPTLVPGEGGFQALRSLQRLTILWSPKLLSTFSFSRHLFPSSLQFLSLVVVEGMETLEPLSNLSSLTRLALHSCGEDLKCEGLWSLLTTGGQLNELEVRGSPRFFADWDPNPRRALEDAEGGQDQQTQLVSSTLRELGTDDIAGLLAAPICRFLSSSLTKLQLWGDWCEGMERFSKEQEDALQLLSSLQELEFWSFKDLQQLPAGLRNLNSLNILSVSCCPTVSSLPKDALSDSLEKLYGYDCSKELKQYCRGLVGTIPEIVIN >SECCE7Rv1G0468220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95696417:95696638:1 gene:SECCE7Rv1G0468220 transcript:SECCE7Rv1G0468220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVVVAVLLMQCCNVVLAARLLEGDGGWLHGGVGAAGALIMQVLPGGSPGAGGSNGCTNNPNHPPGGKCNG >SECCE5Rv1G0360750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:775041191:775041734:1 gene:SECCE5Rv1G0360750 transcript:SECCE5Rv1G0360750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKYAYPYPAQGYYQGGPYQGQGGPYQGPPVMAPPQYAAPPPRPQPSFLEGCLAALCCCCLIDECCCDPSIIFVG >SECCE2Rv1G0091250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:311125989:311127312:1 gene:SECCE2Rv1G0091250 transcript:SECCE2Rv1G0091250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB67 [Source:Projected from Arabidopsis thaliana (AT3G12720) UniProtKB/TrEMBL;Acc:A0A384L704] MGHHCCSKQKVKRGLWSPEEDEKLLRYITAHGHSCWSAVPKNAGLQRCGKSCRLRWINYLRPDLKRGTFSEQEERTILDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASKNLLHAHGGTGSGSNPVAVTQFHSPNGIGNGCATPTQPFTISSPTKAVYDTATVALPVVAPDLYDVHDPAMLMGQHERGHQASVAMQQGYPYVHNNGGGLLMSFMDQNAAGVHASMDYVNGSSNSSSSMEYAAGMPNGNVFNPGVAAFMDETATMWATVVEPGTGAGIELAHRQQQQDGLGQEEVVGPPPPPLINGGSSGGKGLDMMDVSSEVYGSAGTTTAFDLELMESCGIFCGAAGNGMEQLQWDC >SECCE6Rv1G0388840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:142719464:142720933:1 gene:SECCE6Rv1G0388840 transcript:SECCE6Rv1G0388840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALLLRAHHACRPPPAPQPPPPGRAAIICRATASTSAGQALRSLAPPPRPELLSLDLPRYDPARSRPVDLAVVGGGPAGLAVAQRVAEAGLSVVSIDPSPGLVWPNNYGVWVDEFEAMGLSDCLDTVWPSASVFIDDHTSKSLHRPYARVARRKLKSTMMDRCIAHGVRFHQAKVVKVVHNEASSLLICDDGVAVPATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVDEHPFDIDKMLFMDWRDSHLPEGSAIKERNSRVPTFLYAMPFSPTRIFLEETSLVARPGLSMDDIQERMAARLRHLGIRIRSVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADSIVRFLDTGNGGIAGDALAAEVWKELWPTDRRRQREFFCFGMDVLLKLDLQGTRRFFNAFFDLEPHYWHGFLSSRLFLPELLMFGLSLFGHASTTSKLEIMAKGTVPLAKMVGNLIQDKDR >SECCE4Rv1G0289930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:869158874:869160109:-1 gene:SECCE4Rv1G0289930 transcript:SECCE4Rv1G0289930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGRDARSRTTQAARGRRQIAPSLPLDVLVDIAARTDPATFVRCAATCVDMRCRVKEYISLRGPLRLRHGERFVLPLLRGHLIYRHRGWPMPREELFLVDTTAPDATKLRTATGGGIPLSSRDGLVLARVGVAKELRVCDPATGRSLTLPSEPTFRWVSGINYVLIVSDNDEGVTPVGQHFHVVMAYLDTSQYRPHLKLRTYSSQHGVWGCYTEIQVPNLQGSRLLGRLNKPLVLGGAVHWFCLTDTGTYVLKLNVRAAKVMVTKLPKSFPHHGRHQKLLATSSMDGNVLLLVADGAKMSAWAQSKHTALWQQRPHVVIDMTETILRFLDKAGGSCIPPTKPVQFNLVWFAERSGAVLISACDGFFWLDLQSMEIVRWFSDRRVQYLTENNIPYEMSLTAWVPTFSSTL >SECCE6Rv1G0432150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749980420:749986028:1 gene:SECCE6Rv1G0432150 transcript:SECCE6Rv1G0432150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MAARSALLAAGAAALVAVAAVFLLPGPADRLPWPQGGRFADMILANATIYTADPARPFAAAMAVRAGRVLRVGTYDSLKEFRGQHTVELNLSGNVVLPGFIDSHVHLIDGGLQLARVPLRGVRSKDEFISRVKGAVRDKHPGEWVRGGGWNNDFWGGDVPTAAWLDDISPDNPVWLSRMDGHMGLANSLAMKIAGIDKNTNGPVGGTIVRTTEREPTGLLVDAAMRLISDVIPEVSVNDRREALIRASRHALMRGVTTLVDVGSYFPGTSEIQTWQDFSDVYEWAHSMGKMMMRVCLFFPMPTWPRVSNLIHERGRSLSGWIHLGGVKAFLDGSLGSSSAWFYEPYEDAPGDYGLQLLDMDVLLNATLESDKAGLQVAIHAIGDKANDMLLDMFDKVVSLNGMKDHRFRIEHAQHLSPGAAKRFGEHGIIASVQPDHLLDDADSAGKKIGVERAERSSYLFGSLLAGGAHLAFGSDWPVSDIHPLQAIKTAMTRKLSGWEEPWISAERLPLDDSLKAHTISAAYACFLDHVVGSLAQGKFADFVVLPSTSWREFTADIPGHVLATYVNGRQAYP >SECCE2Rv1G0075910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:86537028:86538416:-1 gene:SECCE2Rv1G0075910 transcript:SECCE2Rv1G0075910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPGRPEAPPTTLLSLPEELLEEVLLRLPAAADLARASVAWVSFRRLVTDHRFLRRFRFRFRARQPLPPLGIVSHRSHRYLPLLQLAQPPHPSAAAASTFAVPHAADFSCSFLPSAERWHVRDLRDGRVLLSGVPDGSEFCCRVLVRDLAVCDPLYRRYTLLPAIPDDLAALAHQSDTGHFEPFLAPPATEDQEGISFRVICLARCTARLVLLIFSSGSGSGAGQWRAVTLDNWVSLLTGLDIRAPGVKPESYYWPQMRHYAHGYFCWSFYRVNINKMIMLDTRSMDFSAVNLPPGTRHTQFAILEAGEGRLGMFINEHLTSELRYAVLQNDGGGANQWLSQGRFSLPVNYRYMLLGVAGGYLLLQGIPEPWYSRDPSAEVVDMEVLTLNLRTLQLEWFLTSQFSNMGSHLFAGCPPSLSPPSLQHGNLALSICILVLSYLYIMQVLLVCYVSNNCFSKL >SECCE3Rv1G0157030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:78562570:78563775:1 gene:SECCE3Rv1G0157030 transcript:SECCE3Rv1G0157030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSDLKVMANRMKAKGLQKLRWYCQMCEKQCRDENGFKCHCSSESHRRQMAVFGQAPDRAVERFSGEFLDAFLALLRHGHRGSRVAAAAVYNELVADRRHVHMNSTRWATLSEFVQFLGRQGLARVEHTPKGWFIAYIDRGSEQAAKAGNKKRKRVQSEELVIARQIERAQKKSMADANGDDNGDLGKSEDEYSGPGEADQEERQEDAKEINKATRKIAIALHRAAPPAAPEVNPFDFKPKMKLGSEEEEVTSKGKGGTRRSAIDDLMEEEEKAKDRSNRKDHWLCPGIVVKVMSRSLAEKGHNYYKHKGLVRRVIDRYVGEIEMLESKHVVRVDQDELETVIPQIGELVRVVNGAYRGSNARLLSVDTDRFFTRLRVEKGLYDGRVIEAVEYEDICKVA >SECCE3Rv1G0193940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:775851243:775853335:-1 gene:SECCE3Rv1G0193940 transcript:SECCE3Rv1G0193940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITWLAVYHVVEAMAPLYTAAVLGYASVRWLKAFSAEQCAGINHFVAIYAVPVLIFNMVSTNNPYAMNGRLVAADTLQKAAMLLGLVAWAAWESRSRRRRRSDGGGKASTASPLQWVVTAFSVASLPNTIIMGVPLLGGMYGAMSKDLMKQIVVMQFCVWYNVVIFIYEYMAARRAATAMDGTAKISPGSPGAAPSAEKIAPHDEAIVAAKRAHEVTVNIEITEVAAASTAQKDVADNTTTTVAKETSADAEAEQSLPPAPSVRQIALMAGKKVLKIPNTYASFLGLIWALIAFKCGIKMPKIIDDSLFTIQTTAVGLSMFASGTFIARQPRFVPCGYAIASMSMVLKFLVGPVVMLLASLAIGMHGTLLHIAVVQAALPLAVTSFVYAEEYKVHADIMSTGVILGIFISLPVTIVYYILLGL >SECCE7Rv1G0489980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:468960347:469009831:-1 gene:SECCE7Rv1G0489980 transcript:SECCE7Rv1G0489980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTKARVEPRAQALEQTLTPPPASKMVAQRQRSSSCSSRRHLAGSLMFLATTAFALLLPRSSPLRYGIVIDAGSTGSRVHVIAYHAGSLPQLDWSRTSSLKATPGLSSFAADPGSGGLSIAPLLEFARRHVPRDNWVHTEVRLMATAGVRLLDVAAAEAVMESCRELLRESGFRFQDQWATMISGADEGIYAWVAANYALGTLGGARQDTTGIIELGGASIQITFATDKPMPPEFSHVFKFGDITYNLYSHSFLHLGQNVAYESLHDLLSTPALKSMSTCLIHQATYKDPCTPRGISRMAGPVKLAASVLGSKADYKPFACAVGNFSECRSVVRTLLQKGQEECIYHDCRLGAAFVPDLEGKFLATENFYHTSKFFGLRSKSFLPDLMLAGEQFCHGDWSSSIKRKYRSFNEGELLLFCFSSAYIVALLHDTLKVPMDHKNIDVTNHIRGVPVDWALGAFIVQKN >SECCE3Rv1G0150060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30349897:30350366:-1 gene:SECCE3Rv1G0150060 transcript:SECCE3Rv1G0150060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCIAAVVLVLSLEALAASALTPGAEVPPRHAHTHPPDVDHQEMAGAVAEMGVREETGEEKPRPWECCDMARCTRSSPPTCTCQDKVKRCAKTCEDCHKDESDASLYVCGDHYLGWPGPNCTKV >SECCE4Rv1G0228370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:134962262:134963910:1 gene:SECCE4Rv1G0228370 transcript:SECCE4Rv1G0228370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >SECCE5Rv1G0367580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:822610630:822614083:-1 gene:SECCE5Rv1G0367580 transcript:SECCE5Rv1G0367580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase, Hydrogen peroxide-induced leaf cell deat [Source: Projected from Oryza sativa (Os03g0131200)] MDPYKYRPSSSFNAPMWSTNSGAPVWNNDNSLTVGSRGPILLEDYHLVEKIADFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAIKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHALKPNPKTHIQENWRILDFFSHHPESLHMFTFLFDDIGVPADYRHMDGSGVNTYTLVNRAGKAHYVKFHWKPTCGVKSLLEDEAVTVGGNNHSHATKDLTDSIAAGNYPEWTFYIQTIDPDHEDRFDFDPLDVTKTWPEDVVPLQPVGRLVLNRNIDNFFAENEQLAFCPGIIVPGVYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPANAPKCSHHNNHYDGLMNFMHRDEEVDYFPSRFDPAKHAPRYPIPSRTLNGRREKMVIEKENNFKQPGERYRSMDPARQERFINRWIDALSDPRLTHEIKAIWLSYWSQADKSLGQKLASRLSSKPSM >SECCE1Rv1G0050160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644802101:644802526:1 gene:SECCE1Rv1G0050160 transcript:SECCE1Rv1G0050160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSPYSGVFRGGATARTGPHALPLARIKKIMKRSARDGSGGDGAGARMISCEAPVVFSRACELFVAELTRVAWDATLEGRRRSVHAEDVAAAVRDTDVFDFLVDVVKARPSDDGVNAGDGEGRVAPAVGVHGSMQGRRL >SECCE5Rv1G0334210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565150584:565150961:-1 gene:SECCE5Rv1G0334210 transcript:SECCE5Rv1G0334210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKNQLIRHGREEKRRTDRTRASDQCPQKQGVCLRVSTRTPKKPNSALRKIAKVRLSNRHDIFAHIPGEGHNSQEHSIVLVRGGRVKDTPGVKSHRIRGVKDLLGIPDRRKGRSKYGAERPKSK >SECCE2Rv1G0141890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:937534522:937535920:1 gene:SECCE2Rv1G0141890 transcript:SECCE2Rv1G0141890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress respons [Source: Projected from Oryza sativa (Os04g0691100)] MEKYEPVREIGSGNFGVAKLMRNRDTRELVAMKFIERGYRIDENVFREIVNHRSLRHPNIIRFKEVVLTPTHLGIVMEYAAGGELFERICDAGRFHEDEARYFFQQLVCGVSFCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGGYPFEDTKDPKNFRKTIARIMSVQYKIPEYVHVSQTCRHLLSRIFVADPRKRITMAEIKAHPWFLKNLPRELKEEAQQAYYNRRHAADVAPASTNGAATASSNGGGGAAVTAPAPAPAYSAQSVEEIMKIVQEAQTVPKPDKPVTGYGWGTGDGEASDEDDGNQEGEEEEYGEDEYDRTVREVHASGDFGMSKLQI >SECCEUnv1G0545890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:147887443:147892006:1 gene:SECCEUnv1G0545890 transcript:SECCEUnv1G0545890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSLEAAIVWLVQAIYGSLLVGGELDAWIRRAGLADDIERLRSEVEGVEMVVSAVKGRAIGNQPLARSLARIRELLYGAEDLVDELDYRRLQQQLELEGGTRHDLDEHGSLQVERSTESGSVDRLGSESWGHFDIEEFHENGGPGRARCKHCRAIVLCTADKGTPVLRKHLKSKSCKKKRGASDLSSTGDATASATSTATDGISSTRNMRGVEVEEPKHGTRSDTYTWDKGDFSNRLQAITHQLQGIRGDVRAALMILGSDSSASSNHHVEHPHRRTSSLVQGKVYGRDYEKAEIIRLIKGHKLSSSVTVLTVLGIGGVGKTTLAQLVYNDPSVESQFDHRIWISVSNKFDETRISREMLDFVSRGTHEGLYSFARLQELLKGYLKSKRVLLILDDVWDDLNDCQWNQLLAPLKSDSAKGNIILVTTRKPSVAKKRGTTGPIKLRGLPKDDFWILFKACVFGDENYMVKGTLKVLGRQIIEKLNGNPLAAETAGALLREHLTIDHWSNILKSEDWKSLQLRRGIMPTLKLCYDQLPYHLQQCFSYCSIFPYNYQFLAEEIVRIWISQGFVKSSHSGMRLDEIGRLYLADLVNLGFFQQIEVDCSSSNQTTYVMYDLMHDFARVISRTECATLDVLQCNEISSTVQHLSVVTGPVYWYNEKFEEILRKTVKNLRSLVLIGQYDSQLFQQVFEKAHSLRLLQVSATARSHSFLCGLVNPTHLRYLNLQVAPVWQGDLPQVLSKFLHLQVLEVGLCRGTSFASGQMVNMEGYGERLIFPSLERLLFLTTLKLSNMRKIREVSVPSLQELILVEMPELERCTCTSLGDLNSSLRVLEIRSCPALKVFDLFEKGHNFAEQPRLFEGHNFETKWKPWLPGLRVLTVINCPHLLMPCLLPHSTTVSRLHIDGVPTLLNMEGSSREELKISPNSQSGVNTDEIVRLDGKILAFHNWGDLKSLHISFCGNLTYISFQGLSELFSLKDLHIVGCRKLFSSDVWPELTDEYAIGAYRSLRCLRSLYIRSSGISGKWLSLMLQHAEILKELSLDDCPQFTQLQIEEEDNSQANIISASEASSSSGPDGLLCIPLNLTSSLEKIAISGSPYLRFDRNKEGFAGFTSLEELIIRCCPGLLLSLVRNNGNDVQANRTWLLPQSLGQVVISSYDYETLSLCFMGNLTCLKKLEVLESPGLVSLWLHSCTSLEDLRIQKCEQLATVEGLQSLVNLRNLEVQENLNLVSLQLHSCRLLEHLRIEECESLIALEGFQSLVNLTKLTVLSSPGLPPYLERLSGHYELGPRLESLHIDDLSLLNMSLCNGLTCLQCLRLEKLEWGGTRLTDEQERALLLLRSLQNLEFYDCFNLVHLPVRLHSLPSLKTLMIVCCDNIPRLPKKGLPSSLEWLVIYDCSTELREQCESLTRGKLRVVMND >SECCE6Rv1G0397020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:384951301:384956532:-1 gene:SECCE6Rv1G0397020 transcript:SECCE6Rv1G0397020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVAPAPLPHVKVRGGGIGFTKSVDFAKVLSVPGALRTGSSRGRALLVRSSSTESDTMELEPASEGSPLLVPRQKYCESIHQTRRRKTRTVMVGNVALGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADFVRITVQGKKEADACFEIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEDGYEKELEHIEKVFSPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRNLDFHNFVFSMKASNPVVMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCRRLANLGTQAANLQIGVAPFEEKHRRYFDFQRRSGQLPLQKEGEAVDYRGVLHRDGSVLVSVSLDQLKAPELLYRSLATKLVVGMPFKDLATVDSILLRELPPVEDAEARLALKRLVDISMGVLTPLSEQLTKPLPHAIVLVTLDELSSDANKLLPEGTRFAVTLRGDESYEQLDVLKSVDNITMLLHNVPYGEEKTGRVHAARRLFEYLETNGLNFPLIHHIDFPKSIDRDGLVIGAGSNVGALLVDGLGDGVLLEASTQEFEFMRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATEALIQLIKDHGRWVDPPTEE >SECCE4Rv1G0248460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:572346898:572350967:-1 gene:SECCE4Rv1G0248460 transcript:SECCE4Rv1G0248460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAGDGLAPPSSASKGKARMDDAAEAEAVAAALAPEGVPCGICFTDSRRAIRGELDCCAHHFCFVCIMAWARVESRCPFCKARFHTIRRPPVPGRLPSERIVNVAERNQVYHPRGNVSSVVSTDPYVNSGCSVCNCSCDEDLLLLCELCDAASHTYCVGLGTTVPEGDWFCKDCETSKEEHSRCQIDDGGSSDQGEIEITIEVPTAEPVTEPSASDIVDEGYSLSSVRHTNTRSSATIEVPTAEPVTEPSASDIVDEGYSLSSVRRTNTRSSATIEVPTAEPVTEPSVSDIVDEGYSLSSLRRTNRRSSGPIPVPSIYDNVDEDYGTLPMHGTNAQSSGSFPVPSVYDIVDEDYEINPVRRTNARSTRPDRKANDLPSQGTSSDGSYSHESPQGRGSGRALLHAHARFGTERARTFRNSRNLSNRIMLLRENWPALRAGSAGFATHLHNNNASSSVKEHQQSAAPPPKETRHVNKAWKNLEIAKSAGGRKKCDRPSSLDCTPRFSMGNRSTSFSPIDTILGQKKQSLSPTVTQRNTMKFDRGAKRDNILPRKDVVGQCDLPGNRHVVRERIGSFQSRLTNQESPNGKVAPASHSQLVDQTPESSRGGKVASSSHRQLVDQTPESSRGDKVASSSHRRHVLQTLESVRGPLGSGKPKTDALHPSANSLLSGHPTAISLLQIVSSAGSQSGARVNPEEPSAIRAATPNGTGSAGAVVEVTKGSGPDSGGSKRKHRSGTCDDQRSKKPRVNPEEPSAVCATTSNEIGTVAAAVEVRKSSGPDRDGSKRKHRSGTRDDQGSKKARKSGKLAKGEISCLAMLELKLLKIDKTYGSDRFKEAARAATHTVLASYGLEHTPSVALALPKLVCKHSCRTGSSKQSAIANTCKECLRGFVKQAISSVLASKQMDQTAASS >SECCE2Rv1G0117990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:778502403:778503517:-1 gene:SECCE2Rv1G0117990 transcript:SECCE2Rv1G0117990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIHSSRRLDGTLLALLLLLAAATAFVSAAAARGDALAARHERWMAKFGREYTDAAEKLRRQEVFAANARHVDAVNRAGNRTYTLGLNQFSDLTSEEFAEKHLGYRHQHGVDSTPVAAVNMSKAQFQSTPDSLDWRAQGAVTQVKNQAPCGSCWAFAAVAATEGLVQIATGNLISMSEQQVLDCTGDTSTCKGGSIIAALQYVAASGGLQPEAAYAYTGQQGACRSVGPNSAASVGAPRWVGLNGDEDALRELAAGQPVAVGVEADPDFQHYMSGVFVGSSSCGQSLNHAVTVVGYGADGGGQEYWLVKNQWGTGWGEGGYMRLTRGNGGNCGMATVAYYPTVDSS >SECCE6Rv1G0403140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:497273430:497274908:-1 gene:SECCE6Rv1G0403140 transcript:SECCE6Rv1G0403140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCPACGMLLQIQPATGGHRLRLFCPTCPYVCPIKNKIVKKARLVKREVESAESAPKAEGEPKESAPKTDGEPKADDGQLKQSAPKTEDT >SECCE7Rv1G0524810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887136665:887149274:-1 gene:SECCE7Rv1G0524810 transcript:SECCE7Rv1G0524810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKAAEASQRDVAAEGVVLWDEALVTTTIPEDPQMRCKELRCYSDVPVLCLKKDVNCQLENDGLLPNIEAQVSRPAHQDSVPLTFGRNLAVSLDGKAGQIGEVSEHRTGMERVACGSQGGGMLSFDRGFWKGVVGDKNQFSRMEGCHENGGLSDLGKHGTEKFPRGADGLSFINANHELGRDCFLSNIDEEASFPVDEASAPSFYKSHTDVIVEDTKSYIEKLTQDSLEGDMLSFESEASFRTEASGKGNQHHRMAVSKGHISSVCKDENNASLNACGLFPEIQVPLQQADKKYKFFELPPEIYLARPSYNPSCSDGLGCSGKESSAVYVGHQDSSGIKLRCPDRLVQELNAYNSFVVKPCSADFVGNANEQESQNQISESLNASKRRNPRRAASSRNRVPVEHDQISKGSSSTCKSKKVESSCSLVESTLIMFPNKTTKVRSGINRPVNSTTWGSLEKLADGFGQNCEPSTSNSHLISLENGGRSNKRSGKKEQPIVRKARSSRCPKNKFPAFSVTRYAPDELNGQPTFSVMDGAYGSPEGYIGNFPKLAPHAFLNVSHDAHRHVQHMSIQTDMQQLDRCLESVAQETCPAYMCGEFAKSISEPSLNNAGVGFSPDSVLEVASVTCENNTSASHDVKLRGNPSYPAALTGSDLHASDLCTSDFGKNHASSSTDFEQQPKTVRGDDNTRSEEINLSHAIIGYVGEGKMQGLENSNAVRKTKMLEKQKGRKKDGIKGNNTRDGSSTKISSSEASKYRAFSDDPSSLVSSGPFKFSSCFEVVTSATQGISMHEHGWVQGPSVIGKEKTSALNNVKSPRCKKSDGLRGKKDMVRDPHVKQKSKKKNIADDIFIDYGSSTLPYHLATDLATSHTNEQGHRSPAIEFTLQNPAAISTELPGNAACLTDGASVPQPKRAAWACCDDCQKWRCIPSELADVIGENRWTCKDNDDKAFADCSIPQAKTNAEINAELELSDASADEADKDGSNSKASRAPSWTNLRSNTYLHRNRRNQSIDESMVCNCKPPQDGRMGCRDGCLNRMLNIECAKRTCPCEEQCSNQQFQRRNYAKIAWFHSGKKGYGLQLLEEVPEGRFLIEYVGEVLDITTYESRQRDYASKSKKHFYFMALDGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISGSVIITQHDAEPEHFEPVVTYKDAEEMLGHACSHGVNPSIVELEHETSIQQEDSNDCRPVTPDSETHQNSLILFDNSELENSWEVWSPEDAEDPTRTPVHVSRTIDSTLQMIPVYDTQPLQLLPKAPNTMDGSKAPNVMNRSACSSDLGSNLVAPGFHAKKKNNLKDHRDVKSSSCSINNENTLGVEARLNNLLDGAGGISRRKDSTNEYLRLLFMTAAARDNAVTAAARDNAVTAAARDNAVTAVACDNAVTAAARENALIVVKCENALTAVERENALTAVERDNAVTTVERDNAVTAGERDNAGGTSKSVRDLSLILDALLKTKSRSVLSDIISMNGLQMLHNILKQNRDTFLRRPIIRKLLKVLEFLALKGILKAEKINEGPRVARTESFRDSMLKLTWHSDKQVQTIARQFCEKWIHPYMDGPVPTSKWYTDSYSNRRKRKSRWDHRPESHYKMVGSQVRKVYGELGLQAGLTRNRGSSSTGTDDDVPPGFEPQQGRSVAPGFCYPNSGISYGIPMDRVQHLGTPEVEGGGNCGQKWKVAPGVPFIPFPQLKRGSRCPSTSTQMPRHDAMRQNNSSGYHGRGFDRGGRVQRNGRNGARTRYPYDHQGRRFPSNHHRSERWQPWPEEHDGGSGSRGRQ >SECCE2Rv1G0107660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:651163358:651166249:1 gene:SECCE2Rv1G0107660 transcript:SECCE2Rv1G0107660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGKAAAAAASASDSGASSPQGSVEGGGEKEGSFLLGQPTWEDAGGGRWRCAETGHELPEREKDAYARSRACRLALIDHGVAHKKPPLNAFKPHPEHKSKLVCNITGDTINKSEEHIWKHVNGKRFLNKLEKLEEKMASGETGKVEGEQSNEVAKKSKSTKKKEKKKEKKEKKKAAIVDPSLPREPEPEIDDSDDSEFWVPPVGSRWDDDDGKDRWESSPVKDGIAEDEGASDDEDDDEMADKDDEVSEELASRTKRLSVEAVGPSSFATRKKKPRKDE >SECCE5Rv1G0319960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:380485180:380488218:1 gene:SECCE5Rv1G0319960 transcript:SECCE5Rv1G0319960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCADDTQGKRVRVLELSRRLKHRGPDWSGMHQVGDCYLSHQRLAIIDPASGDQPLYNEDKSIVVTVNGEIYNHEQLRAQLSSHTFRTGSDCEVIAHLYEEHGENFIDMLDGVFSFVLLDTRDNSFIAARDAIGVTPLYIGWGIDGSVWISSEMKGLNDDCEHFEIFPPGHLYSSKQGGFKRWYNPPWFSEVIPSVPYDPLALRKAFEKAVTKRLMTDVPFGVLLSGGLDSSLVAAVTVRHLAGTKAAKRWGTKLHSFCVGLEGSPDLKAAKEVANYLGTMHHEFTFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEELHRETCQKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINEAMSIDPEWKMIRPDLGRIEKWVLRKAFDDEEQPFLPKHILYRQKEQFSDGVGYSWIDGLKAHAESNVTDKMMSNAKFIYPHNTPTTKEAYCYRMIFERFFPQNSAILTVPGGPSVACSTAKAVEWDAQWSGNLDPSGRAALGVHLSAYEQEHLPATIMAGTSKKPRMIEVAAPGVAIEG >SECCE6Rv1G0430720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:744300211:744302879:-1 gene:SECCE6Rv1G0430720 transcript:SECCE6Rv1G0430720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRTLHSWFASSSNTLPIVPESNVAPINVIVQPTLVAADPTNECTNPSVDESTNPSVDVSTNPTSNERTNPPTDESTNQPAPPTQYILEFHPSQIFGDPADRIPIEDYAPEIRSEVRRAYLLKERNKASEHKFEVTLDDRFDWLEYSVAKESTFCFPCFLFKKPSQAATFGNDVFTIDGYKRWKTALASFQKHVGGPSSYHNIARGLCDDFNNQRANVATKFRAHSKESEIKYKIRLTASLDCARYLIAQGEAFRGHDESSDSVNKGNFREFLNWYKDKNKDVKDAFDNGQGNALMICSQIQKDLATCCALEVTKVIKNELGDKKIAILVDEARDCSIKEQMAIILRFLDDNGELQERFLAIKHIIDCTSAGIKESLFHVLKYHGLYINRLRGQGYDGASNMRGEFNGLQKLIRDESPYAFYVHCFSHQLQLVVVTVAQCCPVIPDFFNFLPLIVTQVGSSCKRKDALLAKHQDYLIEMMENCKISSGTGLHQETNLARPGATRWGSHLRTLLRVYTMWNAVVDVLAIMECFQFVFIMIFLINLSNMLSQALQRKNQNVFEAMRLILDVKEALQTMRDNGYEPLCEQAKNFCEEHGIDVPNMDDLVGAMGQCARRKNKVTRDHYFRVSIFNDAIDATLTEMNHRFNEVNTELVDCMSCLNPASQSLMLLLHVFSKFNDQKLLRLAEIYVEDFTQAEWLLLRYELPTFLTNIRRSEEFNGCPDISTLARLMVQTMKHRTFQLVYRLIELTLILLVATSSVERIFSAMKVIKTDLRNKISDDWLNDLMVCYYEKRIFKSIPDDQIIIRFQKKKNRKGHFPPEYDVIS >SECCE5Rv1G0349330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682926844:682928783:-1 gene:SECCE5Rv1G0349330 transcript:SECCE5Rv1G0349330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTGGVVPAPSRAAAAPSRLPNASEPKKKKTSAKKKAAEGSGSSKKKKLAGWRTAPASTEAPASSIVEPAADAHHVFDEMPPSLNDDAYMSTMGVGSNNSHWSQTNEIHLDDHEFEVDEEGEGIVEAPKGRAGNYTTNDDKLLCNTWLQVSRDPSVGGDQSRDAYWGRMKEHFDAQNVSGIDRSERSLRSRWSTINSNCQKWAAAQKAVDKLNPSGTNEDDRYNIAQNLFKEETRTTKKGKIKKGKIFTLPHCYEVLKDDEKWKKREDLDDLHLSNKRKRTIELNDDEEEDDSSSEDGKRSPTPNSVSYSKPKRPNGCKMDKIEKKKRKGDDELTNAMEAIVKARKEANEVRKMARNQDAAAEERRLAAEERRVAAKERKVALEERKVGMEERAKLLEWEKHLFFLDTSLFNEAQIEYVNLAREEVLIQKRAMICTMGGGGLGGMGGGGLGGMGGMGLGTMGGLGGFGAMGGMGAPPAAMGGMGGFGAPSNAMGGMGGFGEPSNAMGGMGGMSFASLMGGMGAPPAMRSGMSFDVPHTHTHENAVEELAKTVGATRDAVRDEVREEDSSAEEEEESSTEEEEEDEEDEEDG >SECCE3Rv1G0193800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:774878813:774881625:1 gene:SECCE3Rv1G0193800 transcript:SECCE3Rv1G0193800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFWNQAKMTSKQEPWTRLSHSKKLPGWVAYNPRTMRPPLLSGDTKQMKILSWNVNGLRNIVQSGGFSTALAQRENFDVLCLQETHLKEGDVKDFMNLIPGYEYNYWSCSVARLDYSGTGVISRVKPISVQYGIGIAEHDQEGRVITLEFENFYLVNAYVPNSGRGLRRLVYRVDNWDPSFADFVEKLESFKPVIVCGDLNCARQSIDIHNPAAKTEDAGFTEEERESFQINFDMRGFVDTFRKQHPKAVGYTFWGENQRRNNKGWRLDYFLASGSIIDRVHDSYILPDVTSSDHSPIGLVLKL >SECCE5Rv1G0332430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:548259243:548261641:1 gene:SECCE5Rv1G0332430 transcript:SECCE5Rv1G0332430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNESSEKGMEGNGSSGPGGGIPVEWQSQFSGGGFSAHHHQHQQQHPHMMDSFASGMWPTASQHGAGFLAPVPGFLPPPGLGGHFPVDSGFIERAARSSCFVGPGAGGGMMGAGPFGGAGDQHMGSAFGEGYLDHRRKEGGDKAEPELAGSGGVPSPEAAGGDCSSKGSDSKKRRRPSEAMGGGDQVQSSNVAADSANESVQSKDKGEESSPATGTTTGGKSKGKGTKESSEKEDYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVSSSMGFSPEMMHPQLQLSQPGLMQGGAAAMANSDVFRRIMQAQLGAKDGSHSQMAHALNGPFSDHVAQMAYPSMGSSHSHSQDLSIRPSQDAYQM >SECCE4Rv1G0239760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:420886840:420889799:-1 gene:SECCE4Rv1G0239760 transcript:SECCE4Rv1G0239760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNLVLRHLRLAAAPASSVALRPAAALLGARRRWMSSEDAKGSFLDKDEVTERTIKVVKNFQKIDDPSKVKADAHFKDDLGLDSLDAVEVVMSLEEEFGFEIPDNEADKIDSIKAAVDFIASHPKAK >SECCE1Rv1G0030650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:430433386:430434747:1 gene:SECCE1Rv1G0030650 transcript:SECCE1Rv1G0030650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQEYMDKALLRRSYRNVWHTDLTNAIQADFPYCCLSLWCAPCVSYMLRRRALYNDMSRYVCCAGYMPCSGRCGESKCPELCLATEAFLCFGSSVASTRFLLQDEFNIQTTQCDNCIISSMFCLQQLACICSLVACIVGNQELSEASQAISCVSDAVYWTVCSCMQTQHKVEMDKRDGKLGGAAITVPPTQQMSRIDQPMPPQVGYAPQPASYR >SECCE2Rv1G0123820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828020340:828021432:-1 gene:SECCE2Rv1G0123820 transcript:SECCE2Rv1G0123820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPYCSHDAGVKKGPWTEEEDKALVEHIRKRGGKVGSWRGLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFTDDEERLIITLHAGLGSKWSTIATHLEGRTDNEIKNYWNTHIRKKLLRMGVDPATHQQLPPDHHLHRASAALLPEAPIWEATATSLRGLDTGALMQALLLQQLLQAIGSNSGTTGLMANLAAANTVLNSSSSIVPNLQLKDQMNCLQPGYLCNTSSFAEQHVAQQQLTNDTSPGTSSFAAAEQADQHCNTAASSASPYVAPTGDWSLEQEFAGLLEPMMELPDLCSLESDSFWKDILEDSYHL >SECCE5Rv1G0371810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:849943096:849946935:-1 gene:SECCE5Rv1G0371810 transcript:SECCE5Rv1G0371810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMPPRRAPRLAAAVLPLLFLLLAPAEALPFVVVHGIGGQCTDSSVAKFTRLLAGWSGADGHCIEIGNGARDSWLMPLQQQADIMCNKVKEMKELSGGYNIVGMSQGNLIARAVVEYCDDGPPVKNFISLAGPHAGTASAPHCGSGFFCVIVDALIKSEIYSDYVQAHLAPSGYIKIPTDIDDYLKGCRFLPKLNNEIPGERNAAYKEKFSSLENLVLIMFENDTILIPRETSWFGYYPDGAFDPVLPHQQTKLYQEDWIGLKALDDAGRVKFVSVAGDHLEISNSDMRKHILPYLKDKPSA >SECCE7Rv1G0519010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863066114:863068652:-1 gene:SECCE7Rv1G0519010 transcript:SECCE7Rv1G0519010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQLQQTMATTSTSFRTSSPATVRCAAAAGPRRSANYAPSSWDYDSLLQLSPNNGVHADQVDKLKAGVRERLVAASRGDHQAAKLTLVETVQRLGIAYHFEEEIESILKSVHREPHRCSWDNDASDVASAALRFRLLRDGGFPVFFPPESLETVKRGFDDVNGLLSLYEASYLAFGGEETLDEARTFSKKALIKLLRSMDHHLRRSVARSLDLPLHRRSPRLEARWFIDQYARDESNSDPLLVRFAMMDFNNVQRGHQEELARLARWWKGTALSEKLGFARDRLMECFHCANGIVWGPNDGACREVLAKVANLIILLDDVYDVYGTLDELALFTDAIGRWEERSSEMLPEYMQALYSVMHNTSTEVAENVLKQHGCDARYFLQKAWRDMAGSFLVEAKWHHGNHTPTLHEYLDNGSISASAPLLLQHAFPLLHVEEKLTSMSLAKVGSYPNLVQSASLVLRLCNDSATHSAELERGDAPSSIAIHMSENSSTEQESREAMEDLTMEAWKSINEDAFKHCQFSKSFAKTCVNLARTSHCIYQGGDGFGAPDGQKRRQIRELYLDSFISEKH >SECCE4Rv1G0216290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10331386:10332534:1 gene:SECCE4Rv1G0216290 transcript:SECCE4Rv1G0216290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAEHSSYIPRSISLIQFKEMSSFAAGHARRPKKKLYHREPGLDKAMDLQKKPALLLRLRELILAQRTGSLLVRDLEKEVGFVQKWNFVALIERHPNIFRVSGGSTSREPIAVTLTEKARNISGEEIQAQEQMEPILVRNLRKLLMMSMDCQIPLEKIELIQPELGLPKNFKDKLIPSYPDFFSIRDVRGLDNLCLESWDSSLAVTAREEKLNFDGFQMEYRGVPKDGNILGPFAFKLKYPAGFRPNRKYLEEVVRWQKMAFPSPYLNARRVEPATPQARKRSVAVLHEVLSLTMQKRLTSDKLEVFHNEYRLPCKLLLCLIKNHGIFYITNKGARSTVFLKEAYDDTNLIEKCPLLKFHDQFSSLIGRPCSDLNTPLAV >SECCE6Rv1G0400820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:458529958:458532246:-1 gene:SECCE6Rv1G0400820 transcript:SECCE6Rv1G0400820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACDKPRPSYRKGLWSPEEDQKLRDYILRHGHGCWSALPANAGLQRNGKSCRLRWINYLRPGLKHGMFSPEEEETVMSLHAALGNKWSRIARHLPGRTDNEVKNYWNSYLKKRVEAGKEAPSSPAPAADSDGSQSPGPGEVGTAQERASRPSHSGSSEPPHESSSADSSCLTVTEPPACRAHAPVAPKVMFADWLNMDYIGAQVAAAPGVEAAGVVGAGAGASPGGDDHRQVTSQGSAQVDGPPGVEDPLHGGLGDNGTCWEFLEQFDSMDQMQAGGGFCDLLSMTEFFGLN >SECCE2Rv1G0111520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:701601962:701603548:1 gene:SECCE2Rv1G0111520 transcript:SECCE2Rv1G0111520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGRDGFLRRRRRRRPQAVHGDDRISALSDDLLLDILGRLDTRTVLGTGMLSKRWAGLPREHPVLDLSVGDILPPRYRRWVLLHQDPEVTDLHHESGTAKVEKATRHIRRYERRAMRALTSSVERLSDAAAGRRVSRLTLEFFSTHNTGCINRLISTAIDAWEVRDVVAVAKPVYSQRRKFHAFPSQGICEAPHASRLRSLKLGGCALPPLRGHGALTVLVLQDTPMSTPVSAYEGIFTSCPQLQVLHLISCYTAGFGQITVDAPGSDLRELVVDDCVGFIGIALRALPKLERLASLGTQVSFETASFPCLWQFNLALCMGVTKETARRYFVPRTKLELGSFVGCIPDVTDMVIRFTGPDRWIVPSVSSPALLPNLRRLLVADVPRCWDVSWPRLLLETAPSLETLHVHIAPPCKEEEEPSGDEISWRPAMVGHRHLKEFVMAGFEGTERQVYLVRFVMGVCSTALRHVAMFKKGHVRDKGHWDWEMVTQQQQHSQWTDEEKDKTLKQIMNGVPSSSTASPVIVFG >SECCE2Rv1G0097930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:471935279:471936262:1 gene:SECCE2Rv1G0097930 transcript:SECCE2Rv1G0097930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDSAAPVVVDDCRGVLLVYSDGAVVRRDVPGFATPVRDDGTVEWKDAEFDAPRGLGLRLYRPRQRNQLLPVFFYYHGGGFCIGSRTWPNCQNYCLRLATELGAVVVAPDYRLAPENRLPAAIDDGAAALLWLASQAGPAGDTWLTEAADFTRVFISGDSAGGTIAHHLAVRFGSAAGRSELGNVRVKGYVQLMPFFGGTERTRSEAECPDDAFLNRPLNDRYWRLSLPPGATVDHPASNPFGPDSPALEPVELAPTLVVVGGRDILRDRALDYAARLRAMGKPVGVREFEGQQHGFFTIDPWSAASAELMHALKRFIDTDGRFD >SECCE5Rv1G0310880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:180173579:180181704:-1 gene:SECCE5Rv1G0310880 transcript:SECCE5Rv1G0310880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLDVVGAERSDQHNRKKPRRETEMPLAGSIAAGSSGDCGGIEESGGCLSMRVEDIVQHPLPGYGAPVALSFSPDDRRVAFLYSPDGTLHRKVFTFDPAKGRQELLFAPPDGGGLEEGNLSAEERLRRERSRERGLGVTRYEWRARRSGGPSSRAGIVVPLPSGVYFQDLSGSEPVLKLQSSSTSPIIDPHLSPDGTMIAYVRDDELQCKTYNFGFDDGETKQLTYGARESGKVHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSSAIPLYRIMHQGKSSVGLDAQEDHAYPFAGAANVKVRLGVVSSGGGEVTWMDLLCGEPNGAHGDEEYLARVNWMHHNALAVQVLNRAHTKLKLLKFDIATGKREVLLEEEHDIWITLHDCFTPLDNGVSSKHPGGFIWASEKTGFRHLYLHDKNGESLGPITQGDWLVDQIAGVNESSGVIYFTGTLDGPLETNLYCTNLFPDWSLPLQAPKRLTHGTGRHSVILDHQLLRFIDVYDSVKSPPVILLCSLLDGSVIMPLYEQPLTVQPLKKFQQLAPEMVQFTGKDGTSFYGTLYLPDEKKYGPPPYKTLVNVYGGPSVQLVSDSWISTVDMRAQYLRSKGILVWKMDNRGSARRGLHFEGQLKYNIGRVDAEDQLAGTEWLIKQGLAKPGHIGLYGWSYGGFLSAMCLSRFSDTFCCAVSGAPVTAWDGYDTFYTEKYLGLPSEHSDAYEYGSIMHHVKNLRGKLLLIHGMIDENVHFRHTARLINSLMAERKSYEILLFPDERHMPRQLDDRIYMEERIWDFVERSL >SECCE6Rv1G0444660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:830127743:830128690:1 gene:SECCE6Rv1G0444660 transcript:SECCE6Rv1G0444660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRCGPRRRSARLQAIGGGRDRISALPDDLLILILDRLHCAAAVARTGVLSRRWRSLWPRLRQIVFCDVPYRSLEAALGRVPRPSPALCLLDIRIPKRVPDEQRADEASVKSLLCAAALLEPEKFVFEIPSDSELIHGSLVVNLPCFHRATSIVLDLRYVFLRVPAGAEFAALETLSLSYCTVAIDRLLSCCPRLRKLCLAWVSFNKGDFTVKSASLQELLLEGHCQQIETIDIETPMLKQLIMSFSTPEDISVLSPMLEMVWWNCYCRVHVMFDLWRLQQVTLTTAEGQGQLRSLQIGACAVRPFSCSIFCVL >SECCE1Rv1G0060850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712399552:712402140:-1 gene:SECCE1Rv1G0060850 transcript:SECCE1Rv1G0060850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSMSGSAAAGGEDLGGDHRAGDDVFFQSRSACGGGDDGGVTPSAYSSITDYLQGLLDPAELARHLDAPPCYPTMGDVIGEAATPVTPNSSTSGEAAGAEPRGCKRGSPAPEEGDEDGSADHHNHRSDEKEHKKKGKGEKKARGSRVAFATKSEVDHLDDGYRWRKYGQKAVKNSSFPRSYYRCTAARCGVKKLVERSQQDPSTVVTTYEGRHGHPSPMAAHRGARMLMATGADTAYSLAALQHEQHGFFPAGADVYAPPVAHRVSEYGGMQFHADLLPDATMGYQQGYR >SECCE5Rv1G0356520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:740067254:740068923:-1 gene:SECCE5Rv1G0356520 transcript:SECCE5Rv1G0356520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSMLLGIVTLVSSAAAAFLPTTGAVNVRGPLLKTQTFLSPPFFLRPGGVANKWYYDVDFPRGHVAIKSFNGEVVDEAGSPVPLHETYLHHWLVSPYYGPSRIPITNSGPCKELLGQYFGLGSETRQTATWVPDPYGIEIGAPAAAGYEERWLINVHAIDTRGAADKLACTECRCDAYNVTVDETGNRIGNGYVGGIHCCYDSLRCRVEDAFANNGQPPRKLFLRYTVSWLDWSAAAVVPVRIYILDVTDTALFDGSPKPYCKIEYRVDECSSADRARNNCVDTQTTKEMVSRGGDLVYAVAHLHRGGLGSSLHGQDGRLLCKSMPIYGTGQEAGNEEGYVVGMSTCYPEPGTVKVSDGEVLTIVSNYSSERQHTGVMGHFYILVADQQEQTLNKPALCFSFPYSWCLPAWMWSNQM >SECCE4Rv1G0290750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874003023:874003823:-1 gene:SECCE4Rv1G0290750 transcript:SECCE4Rv1G0290750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAQPPPRTVLRVAAISGSLRRASANTGLIRAAAEICRESIPGLQIDHVDISGLPLLNTDLEAGGGFPPAVEAFRDRVRAADCFLFASPEYNYSISGPLKNALDWGSRPPNCWADRAAAMLSASGGSGGSRSQYHVRQVGVFLDIHFINKPEVFTQAHLPPEKFDADGNLVDAETKEQVRRLLLSLQAFALRLQGKSADSV >SECCE7Rv1G0470610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:123122356:123124310:-1 gene:SECCE7Rv1G0470610 transcript:SECCE7Rv1G0470610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQACARAAGERLPLVRAPARQPLAQSFVKVSRLSSLHETNRVVSCSARVSESIAHKIEASTDHILPASQDHVVKAFEAINGGQVIAVPTDTIYGFACDACSAEAVNRIYEIKGRIHTRPLAICVADVPEISRFAVVDHLPHGLLHNLLPGPVTVVLKRGENSMLERSLNPGLDSIGVRVPKLDFIRSIARGAGSALALTSANLSGRPSSVSVKDFGDLWPHCSYVFDGGILPSGRAGSTIVDLITPGVYKILRDGSSREETTAVLGKFGFVEAS >SECCE6Rv1G0441300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810105839:810108330:1 gene:SECCE6Rv1G0441300 transcript:SECCE6Rv1G0441300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAACRRAVSYTLLPARPTGREPRRGDRERGKGGEAHHRRPTRTENNSPTFDTSGDPCLDFFFHVVPGTPADTVTSLIASAWAAEPATALRLACNLRGVRGTGKADREGFYAAALWMHGSHPATLALNARPVAEFGYLKDLPEILHRVIHGGASTRTPGKQARLAAEGGGLIARLRLRTARHRGPRKEVSGLERRNKKRTKKARVAASQRRDLEEAAQAAVARRKKRADAAATAVQRYARDQNYRLLHDMTAEVFADLLAEDMKKLSEGNINLSLAGKWCPSVDSSYDRSTLICEAIARRLFPKGSVPDLPEDLPDAHYAFRARERLRKEAYVPLRHALELPETFMSAGEWGKVLYTRVASVAMKNYKDHFIYYDQERFNGYLADVKSGKKKIAAGGLLPHKILESAVHGEDEVADLQWKRMVEDLLALGKLNNCLAVCDVSGSMHGVPMDVCVALGLLLSELCDEPWRHHVITFSAAPRLHHIRGETLSEKADFIREMAWDMNTNFQAVFDQLLRVAVAGKLPPERMVRKVFVFSDMEFDQASSRSWETDYEAITRKYAERAIFFVDGDAVPQVVFWNLRASRSVPVTAEQKGVALVSGFSKNMLKLFLAGDYTPSPRAVMDKAISGPMYDKLVVFD >SECCE6Rv1G0397920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:407012293:407020377:1 gene:SECCE6Rv1G0397920 transcript:SECCE6Rv1G0397920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSSSKETPPHKAWWTGETVAVVTGANRGIGHALAARLAEQGLSVVLTARDEVRGEAAAAELRARGLPSVRFRRLDVTDPASVAAFASWIRDDVGGLDILVNNAGVSFNKIDTNSVEHAETVLKTNFYGAKMLIEALLPLFRRSSGTSRILNLSSQLGLLNKVRDPSLRSMLLDEGRLTEQQIEAMASRFLAQVKDGTWQDHGWPVVWTDYAVSKLALNAYSRLLAARLRGTVAVNCFCPGFTRTDMTRGWGKRTAEEAGRVAAGLALLAPAGLPTGTFFKWSTPQLYSKL >SECCE3Rv1G0150800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:34292868:34294331:-1 gene:SECCE3Rv1G0150800 transcript:SECCE3Rv1G0150800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLSAVTGDLVSRFFSFLVSRYSAFTCSEEAHVDVERLQQLLLRVQMVVEEADARYITNSGMLLQLKMLARAMYHGYYVLDTVNCNQLIHKEDSKEVMNTGSFASYLGTALKRFRTNTDASNHKVYNNCDLEGALLNLEIAVSNITEFVILLGGCQPMFRRPYDTYLYVNNFMFGRWTEKQQVINFLLQRYHLGSPPVLAIIGGFQVGKKTLVAHVCNDENVCSYFSSVLHFNEDNFSRIENERYTSGRTLVIVEFSSDVDDEKWKPFYRAMTSMSIGSKVIIISRMESLTRYGTVKPIHLSRLPDEEFIYLFKTLAFGSARIEDHPKLTLLAGEFIKMCDGSFVAAYSVANGLRTNLSLRHWLCMFKRFKNVRKNNLSMFGEHLSHRLERQCPVDFTNFLPSPSAHLYLMPPQTEAGVSGRRLPKIRIRDIIDDPSVHPKGDFVLVIWESRIPPYTEFSYHVPSCAQPQSKITLRRKREATISL >SECCE6Rv1G0416770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:650644353:650644847:1 gene:SECCE6Rv1G0416770 transcript:SECCE6Rv1G0416770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDKRRKDVAELEHGLEHNGDIPLRPTSTARSYAQVARPRSRRARRVQCAPITRTGRARLASTAYCPAPLRPQPQRISSMPPCRCVSLEPPPCRSSAASSPCRRSHHGLLAAPRTSIDRHTARSFTAQPPANAATAGTPPARRTTPKMNQLMIPIVGAAAL >SECCE6Rv1G0419860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:673434281:673435603:1 gene:SECCE6Rv1G0419860 transcript:SECCE6Rv1G0419860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVQSSKSIKPDYGGHRPVAPPFTANAVPLSVFDKANLDTQVSVIYAFHPPAPPNGVLEAGLAKALVHYREFAGRLARDADGSRRAILLNDAGARFVEATAGVALGSVMPLRPTPAALSLHPSGGDELMLVQATRFACGSLVVGLTVHHTVADGRGFCNFILAWGQATRGAHVDPVPVHDRTSFFAPRSQPKMEHDHRGVEFKPYIARKDDDAGGGGGGEEVVVERAHFSAEHIAKLKAQASSAGRRYSTVQCVLAHLWQGVTRARGLDGGDTTALLIGVDGRRRMSPPVPDGYTGNVVLWARPTAPARELVDMPLRHAAELIGRAVARVDDAYYRSFIDFASSGAVETERLVPTADAADMVLSPNVEVNSWVRLPFYDLDLGGGRPFLFMPSYVPVEGVAFLVASFVGGGSVDAYVSLFRRDMDAFRNCCKSGPSKL >SECCE3Rv1G0193020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:764962004:764962820:-1 gene:SECCE3Rv1G0193020 transcript:SECCE3Rv1G0193020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAMKMADMKAVICIAAVTMVSLVHIAAATDYIVGSPTGGWQGKTDYKSWASAQTFLPGDTLTFKYSSNHNVLEVTAGDYEACSTANPVIIDNSGSTTIALTAPGKRYFICGGPGHCQNGMKLEVEVADRPAPTAPSSPPQLPPAPTPPSPIPRTWPPAPAPAAVPPRHAGHKRHKKKHSPPPAAPTVDPAEAYFPLATVAPMSSPAASLPMSSDTAAPLHGQWGYATLGLVALWFAVLAL >SECCE3Rv1G0156240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70808240:70817080:1 gene:SECCE3Rv1G0156240 transcript:SECCE3Rv1G0156240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRVATCNLNQWAMDFDTNLRNVKDSISRAKAAGAVVRIGPELELTGYGCEDHFLEQDTTAHAWECLKDILSGDYTDDILCSIGMPIIFKSVRYNCQVFCLNHKIIMIRPKMSLANDGNYREFRWFSAWTYKDELVDFQLPIDVSEAIDQETVPFGYGYLQFLDVSLAAETCEELFTANAPRIDLAFSGVEVFMNASGSHHQLRKLNLRIDSIRDATRLCGGVYMYANHQGCDGGRLYYDGCCCIAVNGDVVAQGSQFSLKDVEVLDALIDLDAVSSYRACVSSFREQASHVTKVPCVKVQYKLCQTFRDGMIPTDPIEIMYHCPEEEIAFGPSCWLWDYLRRSRASGFLLPLSGGADSSSVAAIVGCMCQLVIKDIDKGDEQVKADAMRIGQYRDGEFPTDSRELAKRLFYTVYMGTENSSEDTRSRAKRLAEEIGSFHFDVPIDSIVSAFLSLFERLTGKRPRYKVDGGSHTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAVNLQYSSLAEVEAAPPTAELEPIRTDYSQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHRWCGRLSPSEVADKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSAWPYQFRKIDQLVQDIDKDGKWVEDRRADSQLRQRGAARPAQGGGMGVVAAGSANPSVGL >SECCE4Rv1G0223200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:67136844:67137254:1 gene:SECCE4Rv1G0223200 transcript:SECCE4Rv1G0223200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDTIADLLTSIRNADMNKKGTVRVVSTNITENIVKILLREGFIESVRKHQERNRYFLVSTLPHQNRKTRKGIYRTRTFLKRISRPGLRIYTNYQGIPKNLGGIGIAILSTSRGIMTDREARLNRIGGEVLCYIW >SECCE3Rv1G0164200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:158827515:158828600:-1 gene:SECCE3Rv1G0164200 transcript:SECCE3Rv1G0164200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFPRARNLPARRGRSSSSDVRSSCWKTKEADEQSDLPLACEKREWKGATCPVCLEHPHDAVLLLCTSHHKGCRPYMCGTNYHHSNCLEHFKEAYAKEKLALGDSTESALNLPFSPNTEPANKHPSTMELACPLCRGDVKGWTVVEPARHYLNRKKRACVHDACLFVGSYRELCKHVNSKHPSAKPREVDPALASEWKKFECERERQDAISTIRASNPGAVIMGDYVLELNGGSNNSMFADGDEFDLEERLNFFTSMDRTLNERIDFYESSEGSLDESIDFLASLFGRGRRITSGDSHSRAYRRHRERPRRNHLGISVDSSDIQQDPVNTQRGQRVAAVRGRAPRRQHPMVTHVRSTRGS >SECCE7Rv1G0498790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:645706138:645707222:1 gene:SECCE7Rv1G0498790 transcript:SECCE7Rv1G0498790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATASSVVGSRAEFGSRLGLARPWLRPTGGRGGPHTLLSFRHLPWSTSRLVRSRATRTRGVAAAANPHQQQVGVKGGEAMEAERGSPHEVREEIARCYELVRRLGRGAVYLGSSRVPAAHPHYHQTAQLAAEIAKLLDCTTWTGAGPGLMDAATQGALEAGKPVGGFKIGKEAGEWTASNFHPYLPSETYLTCRFFSARKHGLVDAVVRNSSADKTAIVALPGGIGTLDELFEIMALIQLERIGSALPVPLLLMNYDSYHSKLLNFLDDCEDWGTVAPGEVASLWKICSGNHEALEYLAQFYDVPTGERNYGISSPPSKEDRVPSYTVRR >SECCE4Rv1G0280460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:821560722:821564280:-1 gene:SECCE4Rv1G0280460 transcript:SECCE4Rv1G0280460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIIGALLKTTLPKALSVLGGSRDVRSIQSELKYIEATIQDHRKKTGGRDTSHLRAAWILDLTRFAFDIEDCVDRFLQQEMPSGDGEAGFLRRSARAAKSTVYTRTWFSFKIRKLKTRSVEIHRRLKRYIEEETTGVTVTPDGAASIPTAGAHAWSGAPAAAVGMDEPLGELLELVLEPGRPSEGKLRVISVVGIHGVGKTLLARQVFDHGDVRESFPLRVWVSTAGKGKPEVLKDMFHKVLQNSAGSSADAVRQVDSAPSGTHGAAATSNTNGITSQVEENVLEQVQNGERTSAGVVHRVSTWRQCLQAVWWALATILLYILSRAFTVANMVRASSIQVEPAGISSATSNVSISTSTKIVAQVDENKSVQMQRQADRGKAIQEDTHEDGVDDTTLIEQRLGSYLKNKRYLIVIDGMQTEELCNITSVFPVDNGVDSRVIVTTTTKKIARRCSSANGRVYQMKTLDDDDSRKLFFEVFSKGKYSVADAMELKASALLEKCGGLPLALESKAKFLKSEEDLTKSKCEDACRKLCAAEGCDDTLGRMHGVLASSYESLCSLVLQQCLLYFCMFPRGHRVRRNPLIRRWVAEGLVHVQPGDAVTPQDIAIENLETLIDRSIIQSTEVTTCGNVKRCQPPGMMLEYIVRKSMSQNFITLLCGESETAEEWDYVRRLSLHDYCAAKLPKGLSRLRTLAVFPAGDAAKNEPTLDFAKYDLLRVLDLEECDGLNDGHLQNICNLVFLKYLSLGGLISEVPKKIARLQWLETLDLRRTKIEKVPVEVIQLPQLKHLHGKFQLIEGDCGKANPDHLSRRSTLETLSGFVTGESEGFAQLMSHMKRLRKVKIWCKSTASRRNLNHLEEAIKVFIRDGNEWPHRSLSIDFQECPDPFLSSLKAPGSLASLKLRGNLGRFPQFVTKLNRIEELCLSSTSLGQGVLLSSGRLDTLKYLKIIDDNVGPLEIRHEHFPSLRRIWLVGAQSLHGVTTGALPHLTSLHMICESLNGLAGIEIRRLEGLKEVRLHSGVDQGTKDAWKQAARGHPKRPDVLLH >SECCE4Rv1G0257940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:673953798:673955279:1 gene:SECCE4Rv1G0257940 transcript:SECCE4Rv1G0257940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAKPTIVLLPAWGVGHFMPMIEAGKRMLQCSGGALSLTVLLMPAPTAQAASDIADHVRREEEQAGAVDIRFHHLPPVQLPTDHTGVEEWISRIVQLHVPHIRAAVSGLACPVAALVLDIFFTPALDVSRDLAVPAYVYFTAGAAMLALLLRSPSLQEEVEGEFEGAVDVPGLPPVPPSFLPETLLDKKSPTYTWFLYTGRRYMEANGIIVNTAAELEPGVLAAIAQGRCTRGVRAPTVYPIGPAISLLSPPAEQPQPHECVRWLDSQPRSSVLFLCFGSKGMLPPPQVHEIAHGLERSGQRFLWVLRGLPTDTTMGARDPTDANLAELLPEGFLDKTKGRGLVWPTRAPQKDILAHASVGGFVTHCGWNSILESLWFGVPMLPWPLAADQHLNAFAVVHGIGAAVPLEMDRERGNYVEAAQLERAIRSLMLMGGSGGEEGVNNNKAREKAMEMKRACRNAVEQSGSSYASLQRLSDELLRGAVLPKTGSS >SECCE1Rv1G0051450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:653803896:653809964:1 gene:SECCE1Rv1G0051450 transcript:SECCE1Rv1G0051450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1-complex protein 4 [Source:Projected from Arabidopsis thaliana (AT2G47210) UniProtKB/Swiss-Prot;Acc:Q8VZL6] MDAKDILGLQKTSFPSAQEKKQRPPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAVEKEKVAWQWLPFTSSARTDNLQLYHWVRVVNNVPPTGDYDFAKYNTKVDVLKYTDEEYEKYLTEPTWSREETDQLFELCQRFDLRFIVIADRFPTARSVEDLKSRYYSVTRALLIARARSFDEVAGNPLVKETFNAAHETERKRALSALFSQTKQQERKDAEVLAEAKRIMESRAANKNVEEAGAPTSFPNAAVPADAVSPLNNNLPPSAATHPAAAANTSIPDTLRMLKVYLRTHALDQMVQAVTASAGIRMIKRVDQTLQDLGVNLKPKVPTKAVCAEHLELRNEILTLLNIQKQLQNKEAEVLANRESSFTEAPTTPKRSNRDIDRPFVPDMAGFGGERAVKRDHKRKTAGRFDAPPSPTQGKRPRKMKASD >SECCE7Rv1G0510300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:788343746:788348365:-1 gene:SECCE7Rv1G0510300 transcript:SECCE7Rv1G0510300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLELEDSGEHQPSLEVSLASDVIYDDAPVCPCIGSEHQAEIPNLYTEDERQQLMTSTLGSVLPGFDYPVTIGLAIPVTWEPSEVRKEEELVRHHSSETKARAISQDEDRPVTSVCPTSNDMSDHHSTYQDPEPMVPVDHVESGSNQAHAENLAPCSTQEGHSFTNKPMTQQGEIDQFTPLPCASTSLWSGIEAECFLLGLYIFGKNLSLLSRFVGNKTIGDVLSYYYGKFYKRDAYRRWSDCRKARTRRCILGERIFTSWRQQEIISRLKSVILNEAHDSLVEIFKSFNDGQTSLEDFVFVLKSTVGTEALVEAVGIGKEKRDLTGFVLDPSKPNQVISHPDMPTGKDCSSLASEEIIKFLTGDFRRSKTRSNDLFWEAVWPRLLARGWHSEQPKDVSTTKNSLVFLVPGIKKFSRSKLTKGTHYFDSVSDVLKRVAADPILLDLEVGRLDNDVTAEENGYDTDMNNNQDDPLDGNQEPPRFTIIDTTLVQGQEPFRVRDLRRLPADAKVRSVPSRQSRKVVTVSSSEESDADGRLSDDQEYHGPVTADANDTEIFSVRNVKKETQVDSLQNMVTASCSNFPVNGHSSNGSGNKTDLTCLFEPKTKTERRKYLSPVSKRRKLSSCNNDQTSRRSFPFSKGVGSAKEKIKPLSTSSKPTVGDVSGNSQIKEKIKPLLTSSKPTVGDVSGNSQIKEKFKPLSTSSKPTVGDVSGNSQIKEKFKPLSTSSKPTVGDVSGNSQIKEKIKPLPTSSKPTVGDVSGNSKIKTVARCSTEKRREQMRGASNTLTNDRSSEKMKVKNLYEDKSFERKVDALPEVHSKITIGETKFAKGAQASSSVGLVKQETPLDSMTGAIVCVTLSDDDDYMMAEEAPSISSGDQVRDPEVAERPMAMVRPASSQADLAPQADSRRHGTRNRPPTAKALEALALGLIGKRKGEPKSPGTSRPAQRARKSSKKSVHTPTGSDTDKSSMDADAQL >SECCE1Rv1G0025810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:360441398:360453115:1 gene:SECCE1Rv1G0025810 transcript:SECCE1Rv1G0025810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein, Phased small RNA biogenesi [Source: Projected from Oryza sativa (Os10g0485600)] MAMPDDDGPIPPPPPRPPPRRPHRQLQPRRYQVEVFEAALQGNTIAVLDTGSGKTMVAVLLARHHVGRVRAGEAPRRIVVFLAPTVHLVHQQFEVIREYTDLDAAECYGASGVGDWSTERWNKEVGSKEIAVMTPQILLDALRHAFVTMSAVSLLIFDECHRACGNHPYTRIMKEFYVGSEWRPAVFGMTASPVATKGTSTVQDCEAHIAQLELTLDAKVYIIKDRSELESFSPPATIVNKYYDAYSIDFEDLKSKLQILYKEHDALLASLQESSPNKFEDTNNILETSRKTLSRYQEKIFYGLNNLGPIITAEVVKIYNESIKIVGDSEDCLFSKASFRLHVAYFKEALDLIEEVLPHGYGELMKSESGSAELSRRGYISSKVDALIKIFKSFGSSNEVLCLIFVDRIMTAKAVERFMRGIVNFSSFSFSYLTGGSTSKDSLSPAVQRYTLDLFRSGKVNLLFTTDVTEEGIDVPNCSCVIRFDLPRTVCSYVQSRGRARRSSSNYVLMIERGNMDQQEHIFRIIQTEYYIKHFALYRHGSPNVSSSELPMQDKYAYHVGSTGATITADCCIDLIRKYCEKLPKDRYYMPKPSFVVAIEDGLYQCTLTLPPNAAFQRIVGPLCSTSNLAKQLVSLDACKKLHQLGELNDHLLPFTEEPIDIDVALRDGKFLSGPGTTKRKELHGTRNVLALSGSWIHESESVTLNTYRFDFLCDQEGENYAGFVLLMESALDDDVACSKMDLFLIPNKMVYTTVTPCGKVQLDKKQLRDGKLFQEFFFNGIFGRLFHGSRTSGVQREFLFRKGHEIQWSSESMYLLLPLRHSPHIQQDLNIYWEAVESCTQAVEQLRNLYLEDENSRANFIQHRGIKEKDIIHLANKSLHFSGIKDSVVLSLHTGRIYSVLDLIYDTTAEDSFEEMYNGKASPFSSFVDYYREKYGIIIQHPKQPLLLLKQSHNAHNLLFSKSKYIDGSTGDPLLMEKEQIHARVPPELLIHIDVTIEVLKSFYLLPSVMHRLQSLMLASQLRRDIGYTQHIPSYLILEAITTLRCCETFSLERLELLGDSVLKYVIGCDLFLRYPMKHEGHLSDMRSNAVCNATLHKHGIWRSLQGYVRDSAFDPRRWVAPGQISLRPFPCNCGIKTAIVPTNGRYISDDPSFVVGKPCDRAHRWMCSKAISDCVEALVGAYYVGGGIVAALWVMKWFGIEIKCNRKLVQEVKLNASYKCYLPKMSVIEELEAKLKYNFSVKGLLLEAITHPSLQELGVDYCYQRLEFLGDSVLDLLITRYLYVTHTDVDPGELTDLRSALVSNENFAEVVLRNNIHSHLQHGSGILLEQITEYVRSNLECQGKVNKFLQHATCKVPKVLGDIMESIAGAIFVDTDFNVDVVWKIVEPLLSPMITPDNLALPPYRELLELCSHLGYFINSKCSSKGEEVSIEMSVQLRDELLIAQGQDRNKKSAKAKAAARILADLKKRGLSLKQYSSKAKQLDIISLDQQYPLASLESSLDYCDVNVNPSLGGFPSLKEAVVLRLKMDKGGPRSALFKLCKRLQWPMPEFEFVEQRFRTPIVLDGVTTTNFNSFVSTITLHIPDVTAITLQGEQRTDKKSSQDSASLIMLRKLQELKVCICKT >SECCE4Rv1G0281160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825279019:825283576:1 gene:SECCE4Rv1G0281160 transcript:SECCE4Rv1G0281160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCYSSSSLCGISRAAWRHAVAGTLSLSGISHHHQHSLRYLPLRPPVPPIALGLAERFFSSSSPKRSTKRSAAKKATPPPMDSASGDPFYVVRKGDVIGIYKNLADCQAQVSNSVCDPSVTVYKGYSLRKDTEEYLAARGLKNALYSINAADARDELFDDLAPCPFQQPDGTSTLKRPQETETGPSKKHPKVAEQEPLPDSHLSCILEFDGACKGNPGKSGAGVVVRRSDGSVIAQLREGLGIATNNAAEYRALLLGLRYATKKGFKYVRAQGDSKLVCNQVQDLWRVRNDNMADLCKKVKEMKGNFLQFQINHVLREFNSDADAQANFAVELPVGEIQEQSNFPC >SECCE6Rv1G0409780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:591718032:591718486:-1 gene:SECCE6Rv1G0409780 transcript:SECCE6Rv1G0409780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFKGFLLVFALLLAAAFLVASAEETQAKKEEPTADVQDYYRGGGGYPGRGYPGRGRGGGYYPYPGRGGGGYPGRGGGGYCRWGCCGRGYYGGCRCCSRADEVPEPMYRAEAEVHH >SECCE7Rv1G0489850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:464537548:464542093:1 gene:SECCE7Rv1G0489850 transcript:SECCE7Rv1G0489850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLSTIQQTLTPEAAAALARAMDEAARRRHVSTTPLHVAAALLAAPAGLLRQACARAVAAGGAGAASGGAGAHPLHCRALELCFSVALDRLPAFAGAAIGGGAAAPPVSNALVAALKRAQAQQRRGCPEAAQQPLLAVKVELEQLVLSILDDPSVSRVMREASFSSAAVKNTIEQSLTSPSPSSSTAASSPVPTPTPFSPSPSSLLRAGTANGYINPRLAAGAGCGDDARKVLDVMLKPARRNPVIVGDSGPDVVLKEAIRRIPTASSAALAAAKILHLEAELTKLAGDKVAMAERIGKLGALVERLVGEHGGVVLDLGDLKWMVEGPAASSSEGGKAAVAEMGRLLRRFGRGEVWAVATAACATYLRCKIYHPGMEDEWDLQAMPIARSTPLAGAAPRPGSGTLSNSVGMLSPMLRPSPVAPTPLRWLAGGGHTQMVKPAMCMLCKGGYESELAKIAAERPAVSVPEAANPSLPHWLQRTNDQNQSKAQELKWKRSTDELEKKWRETCAHIHLTHAGAPALSVPLASSGTCPHVEVKLPIARGAVIQTLKMNTNRDKPASSPIVDPRKSPPGSPVKTDLMLGRLDPAINPPMDPAINPPMDERKENFEGLTALQKAKIAGISDIDSFKRLIKGLTEKVSWQSDAASAIAAVVVQCRSGSEKRRTLRTRGDMWLMFVGPDKAGKRKMVNALSELMANTRPVIVNFGGDCEFGRTKNDGLNKGFWGKTALDRVTEAVRQNPFSVIVLECIDRLDIVVRGKIKRAMETGRLPDSRGREVSLGNVIFVLTTNWVPDELKGPDVESLLQGELRMLEMAGSSWQLELSIGDKQAKHRADWPCDDVRPAKVAKELSSSHGLSLDLNLAVGALDDTESSHNSSDVSVEQEQEKGQLAVRSTPAPDCDLLELVDDAIVFRPVDFNPFRKTIRDCISAKFELVIGSINSFRIDEDAIDHIVSSVWLTDERFEDWAGEVIMPSIERLWRNVKHDSARAVVRLALVADEALPRWGEGREGLPATVTIAIDGM >SECCE7Rv1G0475300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:185028940:185029440:-1 gene:SECCE7Rv1G0475300 transcript:SECCE7Rv1G0475300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPDIMLFPDCIIDSAGLGFAVGAIGGSPYHFIKGLYSSPNGRRLAAGAQAVCISAPRIGGSFAAYCGLIEAFRFAMVSARKKDDFWSFVLPGFATGMCLPVGRGPRAVGISALAGLSSAAAVYVARFWARHIRYTRSYARLSLEDPGLTPPMVIDSGPSGYFDS >SECCE7Rv1G0499800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:657524606:657525907:1 gene:SECCE7Rv1G0499800 transcript:SECCE7Rv1G0499800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLSTAFPLMEEDHHQDHHQGHFQAFTLPKDPPILFPFVISNSSPSDNSSTLSYGSDQHLMQHHAMLDQPQHMLGGSSSVFSTPFPTVESIRDDMIERSYSYDPYDMEKLQATSGSLKIGKWTAPAPAAKMRITRKTSDPGVKKPRKRAQAYEDHGHMGGMNQALGVIRTCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASGAAPATDVAAAKAATPGDAAVTVHPPKVKKEKRTADVDRSLPFKKRCKVVLDHTATNAAASTTVEAAAEPPVAVTTTTTAAAAAAPARDLVDTIGVNWSTSPTAAAASCFRPSLAPFAVPVPVQDEITDAAMLLMTLSCELVRS >SECCEUnv1G0543830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:119550680:119554241:1 gene:SECCEUnv1G0543830 transcript:SECCEUnv1G0543830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAACSVRLLAAAAHRRGAAAFLHAPRRPVACSLSFPRGHGGVRGRAGLSAISLPSSAQGHAPFSLLPPDSEPFIQWDPPPPQDASAGGAGAGGREEEEGPALVVLLGWLGARQKHLRRYADLYRDRGVGSVRFVVPVRELLGLDLGRRVERRVADLSAEIAAWCDADRSRTLLFHTFSNTGWLAYGAVLENLQSRADIIERIKGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSLTGPSADSPDGSTLNGALNKVTSVSELTKPSWGETFLLSTLQKFFEIVLHLPDVNQRMHKVLAVLSDKQPPCPQFYLYSSADRVIPAECVESFIDMQRSLGLSVSAHNFVSSPHVDHYRSFPHLYSAKIDEFLKVCSPVSV >SECCE4Rv1G0285910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:847156041:847157165:-1 gene:SECCE4Rv1G0285910 transcript:SECCE4Rv1G0285910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELVSDAVAEVLLRLPPDDPAGLLRASAVCKSWLRALTEPAFGRRYRDFHGTPLVLGFLRNPVDRRLARFVPTTAFRPPAATDHRTSVVLDCRHGRALLYDYRSSEFVVWDPITGRERRMPDDLPDGYTNHAVLCPAGPGCDHSACSGGPFLMASAGVHVIDLVQADARLYSSETGVRRGPDGIYLDYNIGGRFNHNCPYSLEADRSAVLVGGTLYFVCQSGALLRYGFQTKQKLSLIKPPPGKFHGRGTIVTRAENGGLGLATLGRDVLHLWSTETGPDGDVKWAKMNAIHLRKLLPFKSPACLIGFIEDANVVYVTSDDHVIFTVELKSLLTRKVCEMGNVKHVFPYVCFYTPAGIASCTSSSLFGYL >SECCE3Rv1G0146950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12961685:12963193:-1 gene:SECCE3Rv1G0146950 transcript:SECCE3Rv1G0146950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIPARSNTVTPFGELPPFQPLNDDDLRSYLHKAVDFISDYYKSVESMPVLPSVKPGYLRDELGVSPPVHPAPFDVAMKELKASVLPGMTHWASPNFFAFFPATNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLRLPRSFMNRTGATRGTGGSVLLGTTSEAMLVTLAAARDIAMRRSGAGIPNLTVYASDQTHSTFFKACRLAGFDPANFRSIPTGPETDYGVEPVKLLTAMQADAMAGLVPTYVCATVGSTSSNAVDPIGDVTKVAAMFNVWVHVDVAYAGSACIYPEFRHHLNGVEGVDSISMSPHKWLLTCLDCTCLYVRDAHRLSQSLETNPEYLKNDASVSGDVTDLKDMQVGVGRRFRGLKLWMVMRTYGTANLQEHIRRDVAMAKMFEDLVHADDRFEIVVPRNFALVCFRIKTSGVRADDEVNRLLMVNVNKTGKAYLAHTVVGGRLVLRFAVGSSLQEERHILSAWELIGKTISEMMN >SECCE6Rv1G0389990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:168512354:168513283:-1 gene:SECCE6Rv1G0389990 transcript:SECCE6Rv1G0389990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATASIHGHHLPPPFNTRDFHHHLQQQQLHLKSEDDHGSGSPFGGHGTKHGHEDDENSGNGNGSGGELALISPSGGGLQDGGENGSRRPRGRPAGSKNKPKPPIIITRDSANTLRTHVMEVAGGCDISESITAFARRRQRGVCVLSGAGTVTNVTLRQPASQGAVVALHGRFEILSLSGSFLPPPAPPEATGLTVYLAGGKGQVVGGTVVGSLTAAGPVVIMAASFANAVYERLPLEEDELLAAQGQADSTGMLTAGQQASQMAGGDVDPSLFEGLPPNLLGNVQLPPEAAGYRWNPGTAGGRPSPF >SECCE5Rv1G0335890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:580376006:580377669:1 gene:SECCE5Rv1G0335890 transcript:SECCE5Rv1G0335890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLKKAIVKKRVKHFKRAHSDRYIGLKQSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTQKRKAIVERAAQLDVVVTNKLARLRSQEDE >SECCE2Rv1G0073730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:70673471:70675160:-1 gene:SECCE2Rv1G0073730 transcript:SECCE2Rv1G0073730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRKAKPAGGSFDDRLSDLPDCLLHDILSRLKARQVVQTCVLSRRWQHLWPTSPCLDVDIGEFIPDATLHPRQWPPSPAQLANYGEEPAEYADFEDFADSLLVHRIRSAGAAPLQTLRLRLPPSWLSDPWMYGYGNDIFGTKYTRWVRRGLQCSPAALDVRGSVRLPPLASHRLTSLRLDQVILHRDFAEHLASGLPALEDLQITGTMLSASLPRIASGTLKNLLVESSSTLNSQSLTLTIAAPRLASLHLTVNLQYLISLAVVLEEAPCLVQASVRLLGNPELSLEQDRDLLRALCNFMGSLSNVCTLKLHGFGNMAVVEQPIPHAPPLGAQFFPYEQVIEEIMHPYIPVVPPPGPFFFPYQPAMPPLAEDKFRCPMLQVMLDEEHHGLPVFRGMRTLILEDSEIGGDAQTLWRFLHNAPLLEKLILKNCQCHEFLNSSMMELPRTISLTPHLKSVVIIEGGKDGSIDTEQTNKVMKSMMLGKLPATTMIEVTKFGGRN >SECCEUnv1G0529910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9771438:9778442:1 gene:SECCEUnv1G0529910 transcript:SECCEUnv1G0529910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEELKKKLQPLLFDDSDKGGVSTRVPFPEDTCDSYVVSDGGTINLLSRSFGEYNINEHGFHKRSTGPEEPDTGEKAYRCASEDMHIFGPIGNGASSVVQRAIFIPVHRILALKKINIFEKEKRQQILNEMRTLCEASCYPGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIRVKKSIPEPVLAHMLLKVLLGLKYLHEVRHLVHRDLKPANILVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTILECATGKFPYNVNEGPANLMLQILDDPSPAPPADGYTPEFCSFINDCLRKDADTRPTCEQLLSHPFIKKYEPTGVDLAAYVRGVVNPTERLKQIAEMLAVHYYLLFDGSEGPWNHMKTFYKEESSFSFSGNVYVGQSAIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRANGESEIAIRVSGSFITGNQFLIFGEGLQAEGMPSLDEIGVDIPSKRVGQFREQFTVLPGTSMGCYYIAKQDLYIVQS >SECCE5Rv1G0350190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:688998794:689001491:-1 gene:SECCE5Rv1G0350190 transcript:SECCE5Rv1G0350190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PEROXIN-4 [Source:Projected from Arabidopsis thaliana (AT5G25760) UniProtKB/Swiss-Prot;Acc:Q8LGF7] MQASRARLFKEYKEVQREKSADPDIQLICDDSNIFKWTAVIKGPSETPFEGGVFQLAFSIPEQYPLLPPQVRFITKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEADSPLNCDSGNLLRSGDIRGYQSMARMYTKLAAMPKKE >SECCE7Rv1G0502620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:698909425:698910705:1 gene:SECCE7Rv1G0502620 transcript:SECCE7Rv1G0502620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family nuclear protein, Control of tillerin [Source: Projected from Oryza sativa (Os06g0610350)] MIGSLHSSSSSDTDNNNSNADLRNSSGEGEGDAALLAGGGRALVAAPSTRDLVLACADLLQRGDLAAARRAAEILLSAASPRGDATDRLAYHFARALVLRVDAKAGLPFSPRPPSGAAPAPSGAYLAFNQIAPFLRFAHLTANQAILEAVEGSRRVHILDLDAAHGVQWPPLLQAIAERADPVLGPPEVRITGAGADRDTLIRTGNRLRAFARSIHLPFHFTPLLLSCAASTHHVAGTSTTPSTAVTSLELHPDETLAVNCVLFLHKLGGQDELAAFLKWVKAMAPAVVTVAEREASGGGIDPIDELPRRVGVAMDHYSAVFEALEATVPPGSRERLAVEQEVLGREIEAAVGSTGGRWWRGLERWATAARGTGFAARPLSAFAVSQARLLLRLHYPSEGYLVQESRGACFLGWQTRPLLSVSSWQ >SECCE7Rv1G0463660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:55872062:55873172:-1 gene:SECCE7Rv1G0463660 transcript:SECCE7Rv1G0463660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDTSCLVGPVPFKDVNGDDDHVICPPDEYADIVSALPSIGSPDLHLRLYQDVWLFDELVPGFISVQRRFAPRPGDVLLASPPKCGTTWLKALAFATMARAAYPLSDADHPLLRLNPHECVPFMEALFSAGQEAKLEALPSPRLLHTHMHHSMLPRSLADNPDCKIVFVCREPKDMLVSTWHFIKSAGGSSNSSFSDLFELACQGKNPYGPIWGHILGYWRASKAAPERVLFLRYEEMLADPVSAVREFARFVGVPFSAAEEAAGLPMDVAQMCSIDTMRGLDANKTGSSGTFVKFPHETFFRKGVEGDWVNHMTPEMARRIDAIVEDKLRGSGLTFSSRLSL >SECCE4Rv1G0286730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850269261:850272165:-1 gene:SECCE4Rv1G0286730 transcript:SECCE4Rv1G0286730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48820) UniProtKB/Swiss-Prot;Acc:Q8RY00] MRVLPLALAAAIFSGVTAILIYISGLSSYGGAGLSEADLAALAALQGGFSKCVDANGLGLQAFAGEDYCRVVIQYPSDTVSKWTDPKTGESEGLAFEFNLCEAVASWEQVRNSTTILTKEYIDALPNGWEEYAWRRINKGILLNKCKNRTLCMEKLSLVLPETSPYVPQQFGSCAVVGNSGDLLKTKFGDEIDSYDVVIRENGAPVQNYTEYVGEKSTFRLLNRGSAKALDKVVELDEAKKEALIVKTTIHDVMSKMIRELPITNPVYLMLGTSFGSSAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRVVKWLPSKDTIEAARVASEKFLKRPGAGSVDPLRTCTMIKKRKNGKAPNRSGLRDAAMNHLRYMKGATRYPLERSAGGGYLCMINDR >SECCE1Rv1G0031930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:448526134:448526352:1 gene:SECCE1Rv1G0031930 transcript:SECCE1Rv1G0031930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVMDVRTRLINWRNDGWEPLLEDVKSFCTKNYIPIPNMDDMFTKWGKSRKGGRNNVTADHFFHVDTSMLL >SECCE3Rv1G0198730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:835590704:835593558:-1 gene:SECCE3Rv1G0198730 transcript:SECCE3Rv1G0198730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGLLAFENNQGLWNGGYYSQFFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLGQVPKKKERQRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFSKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIRRTEGVSSTDIVGRILQTFKHKEGVNENAGVESCDQMKTQLSNFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQSIREKRGYPPIMHLHERTLGVLACRYVDEVIIGAPLEVSRDMITTFNISLVVHGTVAEGGSASEVDPYALPKSMGIFQVVTSPKTITSVSVATRIIDNHEAYKKRNLKKKASEDKYYTQKKFVYGD >SECCE3Rv1G0152740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:49961703:49963010:-1 gene:SECCE3Rv1G0152740 transcript:SECCE3Rv1G0152740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTISTVSIRPSALIGPCAADSTSPRPGYPDWVLLNKTARISDHRNATTASCRTREGQAVAASFWLVDPPAVSYFSVHCPGLEDDDLSDTPPFLLCAEGAFVVFCVTIDDSVHHFVYSARGIPGGRPSLHRLPDPKPTVEAFMSQQFGLLPCGNEHYAVAFLHHQWESSDQAWHYYAYVFSSETNAWKRSKEALLNLSKSDQLLFDRHASSKQITVGESSLGWVDLLRGILLLSNLFDERPVITYIPLPKVKACITDRNGYPSYAPEFFCDITCSDDLIKFVEIKFDEPNCRANGKAWKAITWNRKVSWDDWGRCCTADVAEISVDPSYLLLLPQLLNDETKQLEIKNLYFLNPTLSVGDDDLLYMMGKVNEEDDTAWVVVVDMKRAAVEALVPVSTQPSYTVTMYCPCTFPKYYLSITPGMVTIFFFFSSCHVI >SECCE2Rv1G0125360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:840346408:840350484:-1 gene:SECCE2Rv1G0125360 transcript:SECCE2Rv1G0125360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSSTSVGQRRRRLQALAAAVVVALAMAPVLCESESHQQQQQKKPMVTAVIVFGDSIMDPGNNNGLHTVVKANHAPYGKDFANHEPTGRFSNGLIPTDFMAQGLNVKQLLPPYLGVEHTPEDLLTGVSFASGATGFDPLTPVIVNVISLEQQLAYFDEYRGKLVGIAGEEETQRIIDGALFVVCAGTDDIANTYYTTPLRSAHYDIPAYVDLLLVGVESVLRNVSARGAKRIGFVGLPPIGCVPSQRTTGGGPHRGCVPERNAAARLYNARAQELIRRLGEEDPAGFPTLVFIDIYTVIQDLVDNGARYGFSETKKGCCGTGTAEVAVLCDARFMPVCDDVSEHVFFDSYHPTQRAYKVIVDYIFDNYMQFLNL >SECCE7Rv1G0469930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:114719248:114721483:1 gene:SECCE7Rv1G0469930 transcript:SECCE7Rv1G0469930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGRSDDGKSTGHPDDLAASLGEMGLGNPSPQPREQQEQALQRQQREAWLLQRYAMPPPPPPRGQQYGTRLLMEAGLQVARQSWSQQPQQRAYQWEEMGSSSGGGPYVGAAAAANHPSAWYDVSAAAAANNPSAWYDSAAAGGTYFGAQGQSGSQRHFGAACGPSWAQQPCTLSASASQYQPVASPVAASPSTNANASLQYLYQQQYAIFNQSGAGAGALSASDDEKHMDLRLRAIRAAQAQAGYVPYRAAPVAQPRRPRTLEETRSRLLKAPIDVHVVTFPQSPEHVVKLLEEGVDKIRLNVLAGVTHRMHDFMDSRNGHAVFVALLRACAGRAGEVKDIVLAATHYGRHNLLPLMRHEHGEYCLAELMAAAAPYRNLCARLVERFLFEEVLLDLKGDQVLHHCFATMRYEDTKLLVVAVLRDNMLDAMAYSQSPAGSKCLVECYNNARGDEFSKLRAALLDKAVGLAMGEYSNYFVQHALEHSDAQTRQELVQRLMGHAMGLSLHRSGSYVVEACFNKAGLLNLVLPQFLQMGDAQLVELVQGRSSNYVVHRMLEAAKDRYPRETLVLARRIYKLHENGVWQVYAEKVMRVVGKILSRHSHHGPSPYR >SECCE2Rv1G0084570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:176284564:176299849:-1 gene:SECCE2Rv1G0084570 transcript:SECCE2Rv1G0084570.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAEPVKLTEEQRRRIEASRLAALERLKRSAAAAGTTAESLRLAKCPRIAHPLPPRPCPPPQPLPPPAPPTGFRAVLEVCSPDEFQVTVGRAEGKAFPGEADCLRAVEDCVASAVPFSTTQSQTGHVSSVFKLVHYELVLQCLKKLTGVVVQDIPYRTRRAVQNAGTDCASDKEVDELLMKLPRRLRDALLPFQLEGVKFGLRRQGRCLIADEMGLGKTLQAIAIACCFKDEGPLLIVCPAVLRYTWAEELERWDPSFLPKDIHLVFGRRDSLEYLDFCPKVVVISYTMLSILRKSMINRRWALMIIDESHNIRCTKMKEENTQTKAVLEIALNINRIVLLSGTPSLSRPFDIYHQINMLCPRLLGDDKFEFAKTYCSLHVAQSSQGKIYQDFSKGARLTELNVLLSQTLMIRRLKEHLLNELPPKRRQIIRVKLKALNSRTATSIIQGDYNSTYSSSDAPTIASSEISNDCEEAKEGKDDSCKKSPRHFSPQEIGIAKIPGFSQWFSNHLMHDNLDAQSSCQKTLIFAHHLKVLDGVQVFLSEKGIKFVRIDGSALPRERKEAVDSFRLNPEVKVAIIGITAGGVGLDFSSAQNVVFLELPRSSSDLLQAEDRAHRRGQTNAVNIYIFCAKNTSDEPQWLRLNQSLFRVSSVVNGKKDAIREIEVDQVYHFGEISNTKERPEIEFLPNCDAGLLESGTMSVDHLPGISDMELESDFTIRTIPLQIEDEGLHSGMQYTPGPAVHEDTVCNSFSISPAISVSSSTRSKSMKARRSFCENRDTLGLPGTISDGHIRVEYLRFEVSHHTGRIHLYICVPGHDSRPRPLFQNFLPEEVESPLCSSSDKKASRQLLSNPAFCNMFKAFVKEWLELRPIDQRKLLGKPLQLPLSLELCYLTNSISHSTQGLIKGGSKRRITPLGVVSDHLPSNAEWRKVVLRRGTSKEREYTQGWTTDDEPLCKLCQKLCNGNLAKSPEYFEDLFCNLDCFRLYRLRTNQSALRQALFQIEHGICSQCKLDCCKLVKHIKPLSNQKREAHIRSVAPNIACRKKLLAKLVEEPTQGNAWHADHIVPVYKGGGECTLENMRTLCVACHAVVTKDQQKERKEARKEERRKAEELLRNAMNQLKDDACEATEDDDSWLVTVPGSAYSAVPQAPCTPKK >SECCE4Rv1G0249150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:579182492:579184892:-1 gene:SECCE4Rv1G0249150 transcript:SECCE4Rv1G0249150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUPPRESSOR OF GAMMA RESPONSE 1 [Source:Projected from Arabidopsis thaliana (AT1G25580) UniProtKB/Swiss-Prot;Acc:Q6NQK2] MLLELWSNFAVFIYLNSTANNFCRTSWIIDSQRIASKIKNVSGSRDLSKQKWLSNPTKECPNCSHIIDNSDVVHQWPGLPKGVKFDPSDQELLGHLLAKHDKAGSQPHPFIDEFIPTVEEADGICYTHPQKLPGVKQDGTVSHFFHRTFKAYNTGTRKRRKINTSDLADVRWHKTGKTKPVIVDGQHLGCKKIMVLYMSTVKGGKPKKTNWVMHQYHLGTGEDEQNGEYVVSKLFFQQQNGEKSALELTNTDVMETTVAVAYLTDLPEPTAEEEDERISSISNQEVLLNIEYNADEEALHSHEHNTYQENGDCEINMEEKAADENAVYPSSERPEDGDNPPSQDPNLWEGDSQFLLDSQQLAENLAICDEFLQSQTSCGDGDEPGLIKPRLAVYAQLPVEDLKKDLQECKDLGPSDNANLEPENNAELRLSQLEFSQDSYTMGIFAGQATD >SECCE6Rv1G0392650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:266891379:266895929:1 gene:SECCE6Rv1G0392650 transcript:SECCE6Rv1G0392650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRNYYQQFEEQQTQSLIDQRIKEHLGQAAAFQVGAPFNQHLLQYPGNMPRPRLPILPTPMMQHGYPQHQQPGGPFARPPILPVPGTPGYPGAPTMSQHGGPPGSMPMQVAPLPRPPTLPPPTSGAPGAPMSNNASPPGPPPMYQPNQPPTAGSTSGAPPLAPAAPPQAAFSYAQSNEGNH >SECCE7Rv1G0471120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:127811498:127813553:-1 gene:SECCE7Rv1G0471120 transcript:SECCE7Rv1G0471120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked sulfhydryl oxidase ERV1 [Source:Projected from Arabidopsis thaliana (AT1G49880) UniProtKB/Swiss-Prot;Acc:Q8GXX0] MAPSGSNPLGPVFQTVAAFSRRLLIAPDTAPDDHRLRPLLSLSLSPPAPPPPPPPPEVLKQKDAKVAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQKRDAKELMALISRMYPCKECADHFKEVLKANPVQAGSQAEFSQWLCYVHNVVNRSLGKTIFPCQRVNARWGKLDCPDRACDLEGSNDIMPNR >SECCE3Rv1G0166270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:184706519:184710264:1 gene:SECCE3Rv1G0166270 transcript:SECCE3Rv1G0166270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPGPEPMVLDAPPPLAAAVPAHAATEKARTEGGDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRSMDHPNVVSLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYMYQLFRGLAYVHTVPGVCHRDVKPQNVLVDPLTHQVKICDFGSAKVLVPGEPNIAYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGETAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPAEAIDLASRLLQYSPNLRCTALDACAHSFFDELREPNARLPNGRPFPPLFNFKPELANASPELINRLVPEHVRRQNGLNFAHAGS >SECCE5Rv1G0298190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10078439:10082697:-1 gene:SECCE5Rv1G0298190 transcript:SECCE5Rv1G0298190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKAAANWRSWITGDTTVVKVQAFTANSGYPCERTHSMFPVMVRVTALDERGGSKPQRHVGVDIVAVLDISGSMFGDKLEQMKQAMTNVIDRLGTDDRLSIVSFESNVYRLMDLTYMSEQGRTAARDKINDLFTKGGTNMGPALHEGAQILRGRQTQEMSSRVGCIVFLSDGLDDGIFKETISPDFPTHTFGLGDDHDPHALKYIADQTSGTYSFVNKDPKKINYAFQLFIAGITSVAATSVEITLGTPPDGVLISSIASGGYPNKTGADKRSGTVHINNMYAGDKKNFIVYLVLPATKEGKQKVLTIGGQYHGLSAVSKQLADIDVSVMRPLDKYSSKLSEDHDVAEELARVKRLNEIRSAYEAEELARINQLKANGSLSPQQQQAYLASLASRMKTLLDTFQNVPAFKEDYQKMVPADIRNTEQYKKSGLPYMLSWLSSNNWQRATTMDDLFNAHFLTPGQKDVQDASKAADASAAAAAANPPAPSPSPSPTTRWGKIMARAKSKPFSFLLPSVAVALAVASLFLAMLYSAVEGSSKPAMNLGPLQYPMLADNNYAAWAIKMEAYMRAQGVWDAVAAKDPHKVSPRKNQMALAAIYQAIPEGTLFLLSQKKTAKSAWEALKTMHIGDQRLRDAKLQTLKLEFEGLRMKETESVDQFAVRLTTVINKIHALGEHIEEPYTVKKFLRAVPNKYLHIASTIEQFGDLDTMTLQEVIGRLKVHEERLGRLGAAEKENELLTRAEWIAREEADHLSHGASKVYASVAKILLRDSEKENELLTHAEWKAREVVDQLSHDASKVYASVAKILLGKSGGGYGGDTYRVSTSVAKILDTLLTSAARKERELKSLIAEAHGDNEVTLRL >SECCE1Rv1G0042640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:582536439:582537660:-1 gene:SECCE1Rv1G0042640 transcript:SECCE1Rv1G0042640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRGSPPAGCNGGSMLRLLVLASLCCGCFVVGRWGSDALRPDSGRMVAGAAIYAGELESHHHGGGRRRLLAGGPGPGSHPPRCTSKCGSCSPCVPVHVSVPPGVLVTTEYYPVAWRCKCRDRLYMP >SECCE4Rv1G0214960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:2150152:2158428:1 gene:SECCE4Rv1G0214960 transcript:SECCE4Rv1G0214960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLDDARITEYFRNKSVLITGSTGFLGKILVEKILRVQPSVRRIYLPVRAADSAAARHRVETEVVGKELFGVLREQHRGGFDAFIADKVVALAGDVTREAFGFDASTLQDLRLIDELHVIVNSAATTNFYERYDVALDVNVTGVKHMCAFAKKCPNLEVLLHVSTAYVAGEKQGLVQERPFMDGETLRDGTHLDMDVELRLAKDLKKQMEADPDLPPKAERKAMKDLGLSRARHFGWPNTYVFTKSVGEMVLSREMRGEDNVPVVIIRPSIITSIQNDPLPGWIEGTRTIDTIIISYAKQNLSCFLADLDLTMDVMPGDMVVNSMMVAMAVHASRSSFMTSLEKKMEPQPPAVYHVSSSLRHPATYAVLYRTGIRYFEEHPRVGPGGQAMRAHKMRFLSNITLFHLFMILKYRLPLELLRLLTILCCGFFGLATLYHDLDRKYRFVMQLVDVYGPFSLFKGCFDDVNLSRLRLAMAENGIGYAGLFNFDPKTIHWEDYFSNVHIPGVMKYVLK >SECCE5Rv1G0313540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:261621732:261625630:-1 gene:SECCE5Rv1G0313540 transcript:SECCE5Rv1G0313540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPKGPGREKAHARLPPPVTAPSVGRPASVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVAKEDGGEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHLVMELCAGGELFDRIVAKGHYSERAAAQLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKATDFGLSVFYKPGDKFADVVGSPYYVAPEVLLKCYGPEADVWSAGVILYILLCGVPPFWAESETGIFKQILRGKLDLETEPWPSISDSAKDLVRKMLIRDPTKRETAHEVLCHPWIVDDSVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYEELKDGLKRVGSDLMEPEIQSLMDAADIDNSGSIDYGEFLAATLHVNKLEREENLVSAFAFFDKDGSGFITIDELSQACEKFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGGSSGSSGAVGAGRRTMRNSLHVNLGEILRPGGTT >SECCE6Rv1G0431590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746891850:746892625:1 gene:SECCE6Rv1G0431590 transcript:SECCE6Rv1G0431590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQLPTVLLAVCATFLALAAPLLAGDPDMLQDFCVADYKSLDGPLRLNGFPCKRPENVTADDFFSSALTLPGNTGNPVGSAVTAANVEKLPGLNTLGVSMSRVDYAPWGVNPPHTHPRATEIIYVLEGSLDVGFVTTAGKLFARTVCKGELFVFPRGLVHYQKNNGGAPAMAISAFNSQLPGTQSLAVAMFGASPPVPTDVLARALQIDGGLVEAIKSKFPLK >SECCE4Rv1G0296410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:902928837:902932559:-1 gene:SECCE4Rv1G0296410 transcript:SECCE4Rv1G0296410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAM10 family protein At4g22670 [Source:Projected from Arabidopsis thaliana (AT4G22670) UniProtKB/Swiss-Prot;Acc:Q93YR3] MDPSKLGELRSFVEACKKDPALLADPALAFFRDYLTSLGATLPAAAASSAKPAPKATSMDDIDDDDEDEEEDDQDMRDPTPEPDELDEDIVESDLELDEEGVVHPDHDDAPQKMGDSSVEVTEESRDASQEAKGQAMEAMSEGKLEEAVEHLTKAILLNPTSAIMYGTRASVFIKMKKPAAAIRDANAALEINPDSAKGYKTRGMANAMLGKWEEAARDLHAASNIDYDDEISAVLKKVEPNAHKIVEHRRKYDRLRKERAEKKAERDRLRRRAEAQAAYEKAKRKEQSSSRSSGGMPGGFPFPGGMGGMPGGFPGGMPGGFPGGMGGMPGGMGGGFPGGMGGGMPAGMGGGMPAGMGGGMPAGMGGGMPGMGGGRGMGGGMPGAGGAPGNVDMGDILNDPDLMAAFGDPEVMAALQDVMSNPANLAKHQANPKVGPIIAKMMAKMNGSR >SECCE1Rv1G0027650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:386312994:386316283:1 gene:SECCE1Rv1G0027650 transcript:SECCE1Rv1G0027650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQPQHQGGGPGQQFGLHPQEMPPFSPSRTTGQQRISMAEAPSPISSRPPAPGPPPPQQQQLNNELAGAGAAGFDEDALAAGEEGLGGGAGGNRWPRQETLALLKIRSDMDAAFRDATLKGPLWEEVSRKLAEEGYRRNAKKCKEKFENVHKYYKRTKDSRAGRNDGKTYRFFRQLEAMHSTSGAAAAPMASAPPPATSVGVPGVVGPTAVHRPLAEPPPVGAAAAATAGLPTPVVVGNLSFSTSNTEEYSDEDDSDDEGTDDMGGGADERGKRKRTSEGGAAAGSGGDAGSGKMMRFFEGLMKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMARLAREQEILAQERAMAATRDAAVLSFIQKITGQTIPMPSIAAQAITFMPPPPPSQPHATPIAYSAAPVPPRPSSSQPPATHSPPQPQPSPRQQKPPTMPPVTPQPHKSPAPATPQLQQQAPAVNSSCMDIVVAPSEAPHDSSGYDGSGGGSGPASSRWPKAEVHALIQLRSNLDMRYQEAGPKGPLWEEISAGMRRMGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLEALYRNKAALGSPSGAGGALALPPPAEHANAAPQERIEAFTVAAPISQTAPQQPPVAKNDASNAGNGNGNGGGASAGVSGGVQRLASNGGSRFVLSEAGGDAAAKKPEGIMKETTMEQRQPQPQPAQQAAINSYNRTGGGGGGESDNMDEDDEDEDDYEDEDEEDELDGNKTQYKIQFQGQQQHQNQHQQHHHNVVRPNAPSSGGGGGNPPGAAAPSAPAAATTTAGSFLGMVQ >SECCE2Rv1G0064280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:2577573:2581372:-1 gene:SECCE2Rv1G0064280 transcript:SECCE2Rv1G0064280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSTMIQMPPTPHNNGEPTVALATPKDAGYVPTTVPAVAAGPAPTATDKVMSSAANLAQLLPTGTVLAYQALSPSFTNHGKCFSSNQWLTAALVVVLTISCVLFSFTDSVLGHDQKLYYGIATPRGFNVFNFSDDEEKLQWTPAEFRRLRIRLLDFVRAIFTALVFLTVAFSDVGLQNCFFPGAGRNTEELLKNLPLGIAFLSSFVFMIFPTKRKGIGYSDTTPRQKLT >SECCE6Rv1G0437120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:782473480:782474683:1 gene:SECCE6Rv1G0437120 transcript:SECCE6Rv1G0437120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRQAAWCLVVIALAVTLAVASAQPVKPRVGGPGKKGAKMPPGKFETVTFAKNNKRKYEVACTDNRGPPCVISCPKTCPNKCLAFCQYCMTFCMCDMFPGTSCGDPRFTGGDGNTFYFHGKKNQDFCIVSDKDIHINAHFIGNHNPDMKRDFTWVQALGVTFVHGGADHRLYVGAKKVVEWDEEEDHVQISLDGVPVEVEARKSTQWVSRAMPGLSVTRTDTVNTILVELDGVFSISANAVPITDEDSRIHSYGKTEKDSLVHLDLGFKFHTLTNGVDGVLGQTYRSDYISKVNVTAKMPIMGGAPKYLSASLFSTDCAVSRFHRSGATAIETHAS >SECCE1Rv1G0034750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:489258057:489258533:-1 gene:SECCE1Rv1G0034750 transcript:SECCE1Rv1G0034750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAADRHEAPPMARDGASTTSVPEVQRAPLSELAVVGGSGTPEDSGGGEDEQVERFYALLANIRALRDVYGAGGPSRKRARGAEPPLWKPKFRMEDFREADDAVPAKKGRSDRVDRQRLENSDAAEADGEDGEVVEENDRASASQTTCVLTAEQPA >SECCE7Rv1G0468310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:96151800:96155632:-1 gene:SECCE7Rv1G0468310 transcript:SECCE7Rv1G0468310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAALPFSCAATLQTLTRTLSPRRSLLIHRHRLRSLAASPRLPDRPRPRLRRPVSASASAAPNGSSSAGEYDYDLFTIGAGSGGVRASRFASTLYGARAAICEMPFSTISADDLGGLGGTCVLRGCVPKKLLVYASKFSHEFEESHGFGWTYDTDPKHDWSTLIANKNTELQRLVGIYKNILSNANVDLIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPDIPGIEHVIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQPKVLRGFDEEVRDFVTEQMSLRGITFHTEHSPQAITKSNDGLLSLKTNKETIGGFSHVMFATGRKPNTKNLGLEEVGVKMDKKGAIVVDEYSRTSVDSIWAVGDVTDRINLTPVALMEGGAFAKTLFGGEPTKPEYRAVPAAVFSQPPIGQVGLTEEQAIEEYGDVDVYLSNFRPLRATLSGLPDRVLMKLIVCATTNKVVGVHMCGDDAPEIIQGIAIGVKAGLTKQDFDTTVGVHPTSAEEFVTMRSPTRKVRRKTAAEAESKDEVVTQK >SECCE7Rv1G0516230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844093144:844094283:1 gene:SECCE7Rv1G0516230 transcript:SECCE7Rv1G0516230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSDYRPFNLAARVAGKRRVLILPAKLKSAVPAIALVLSAVAMIAVIAQHHRSITYFMRPLWDTPPKPFTVIPHYYAPNTSMAELCGLHGWRARASPRRVFDAVLFNNELDILEIRYRELLPYVHKLVILEANATFTGIPKPLSFAENLERFAFARSKIVYDRLPIATHSSPGRREEPFDVEARHRRALNALLRRSGVAAGDVVIMADADEIPSPETVQLLRWCDGVPAVMHLQLENYVYSFEFPVDHGSWRATAHLFSERTAYQHSRQSDLILADAGWHCSFCFREIAEFAFKMKAYSHADRVRRQSFLDPERIQRVICGGDNLFDMLPEEYTFRDLFKKMGPIPRSASAMHLPSYLIKNAHRFRFLLPGGCLRSG >SECCE3Rv1G0148060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:17405208:17405760:1 gene:SECCE3Rv1G0148060 transcript:SECCE3Rv1G0148060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCGGPRGDAWKMDVRGGDRIVKVILWHAGAVDAISVSYERDGRIEQTEHWGKPEGRQRSEICLEPDEYLTSMKGHVGEFGGSFLVGALTLVGNRRSFGPYGTRKGPSFELPAAGGRIVGFHGRSGGLLDALGIFIKMDD >SECCE5Rv1G0372570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853588274:853591907:1 gene:SECCE5Rv1G0372570 transcript:SECCE5Rv1G0372570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSQRRASQIQENNVGCTWGLIRIFYSRRDPKLILDRKQGSRRHSFTGFAGRGHSRKKSRDFEEQDEDGDNMEECSTTKPTVKKLMEGELCKLKQSKKIPKDEVQRILADLGHDVCLDKCTMQNSKPNGVASPNAGIGIASSSGSFDPGGSKCMTQAEEDGLELASSDFMGHVYKYHDGGPHDGCRNTSELYPELESIIHTQLNEYKNPPRDLAYEKSPVSEEKQLVDNKHICNRHVVARLEPKKMLQKTNIVEDTKASNQRELAIKTKNKESRNIFFWKKDKSSRTDAPEGRSSQPVNKIVILKPNPTRGFDPTVATASTYLHQQSGTIQAPEYSAAECSTFSVKEVRRRFRIVTGETRKGRPPMNEDDVQRDPCLLRDSFTIIKDSRQAPPATDKNDVRPPNSSKHKQMNHSLGGFNSDLSTSKDASTFYAEAKKHLTEILKDKYPSPTVQVSRSLVGMLSLPQCSTPSSPGNTPRIKECIEHSQEEKNICAIHKTEGGGSAEEGNKSEEDSGSAECGTSEAPVEKADQERHYKEEDTQQDIELDIGCIEETDNLDHSQAIRNAQCIPAEQHKYNSPPETTEAAEPGKEHAEICPGSPENVVKMLEHQEPETPRRSASVGPTSQEENYEKQEQPSPVSILDPFFHEDVGSPENKSPIQCESRQDVSSPHQYYQDDGSDPEEIFWEDRDVRLGYIETVLELSELCTDQNLDVWYLEDELVSTYLFEELLQGNDQTDDFKLFFDCICEAITVIQGKHFGSPHCLSFVRQNIQAPPMGQNLISEINKHIEGHLYYEFPSTLNQLINMDLEEGTWMNLRSESEETVVDIWESLLDELLEDVAYDLWI >SECCE7Rv1G0508740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:774107895:774109103:1 gene:SECCE7Rv1G0508740 transcript:SECCE7Rv1G0508740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPATDWSGLPADILISIFQLLECPDLLRSAAVCTFWQKAYSTVRRNGVCPSRQTPCLLYCIEVPGAKALGMYSLSERKAYSMPLPEPPISNWIGSSHGWLVTMDEKSDLMLLNPITGDKIPLPPVTTMEHVKPIVNDDGVLEKYKLSFYDGELPRVEDTPFACPLDRYGDLVYLKATLSSDPSAGECTVMLIHQPYAQLSFAKVGDAHWSWLEMHSFYADCIHHDGCFYAMTEAGAIDLFDLNGPSVVHRRILPNLVRMVQKCYIVQAPWGDVLQIIKEDSLDPEQPDGETYVTAYEVYKVDFVELKCVKMRGIGEYALFTGKSTTSCFSVKDHPGLMANHLYFTHDDQEELLSGKDDPRDIGVYDLENDTRTNLVDPEPWRTWFPPIWFTPNLAKAGIS >SECCE3Rv1G0194200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:778087181:778089106:1 gene:SECCE3Rv1G0194200 transcript:SECCE3Rv1G0194200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYTGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEDQEAEEDM >SECCE2Rv1G0115860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:757889410:757892342:-1 gene:SECCE2Rv1G0115860 transcript:SECCE2Rv1G0115860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAKPHLSSAARRPTLPQLLAVALLCSASYLAGVWHHGGFTAGPAASPSPVSIAAAAASVTCVSPNPPAAAGSSLDFEAHHAADDADVKAAPARRAYEACPAKYSEYTPCEDVERSLRFPRDRLVYRERHCPAEGERLRCLVPAPKGYRTPFPWPASRERAWFANVPHKELTVEKAVQNWIHVEGDKFRFPGGGTMFPHGAGAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWQLYDGLYLIEVDRILRPGGYWILSGPPINWKKHWKGWQRTREDLNTEQQAIEAVAKSLCWKKIKEVGDIAIWQKPTNHIHCKALRKVIKSPPFCSNKNPDAAWYDKMEACITPLPEVSDIKEVAGGELKKWPQRLTAVPPRIVSGSIEGVTAEMFNEDTKLWKKRVRHYKSVITQFGQKDRYRNLLDMNARFGGFAAALAGDPMWVMNMVPTVGNSTTLGVIYERGLIGNYQDWCEGMSTYPRTYDLIHADSVFSLYKDRCDMDTILLEMDRILRPEGTVIIRDDVDLLVKIKSISDGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLGDEKQQQ >SECCE6Rv1G0422230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689217881:689218632:1 gene:SECCE6Rv1G0422230 transcript:SECCE6Rv1G0422230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRLALNFKGLSYEYQEEDLANKSDLLLASNPVNKKVPVLIHNGVPICESLAILEYIDEVYHGIGPSLLPADPYQRARARFWAAYIDNKLVAPWWKMFVGKTDKEKDEGTKQTLAAVDMLEGALRECSNGKLFFGGDNVGYVDVVLGGMVAWMQGTKALCGVELLHATKTPLLLAWMERFGEMEPAKVVLPKVDRLVEFAKMKRAQRALI >SECCE2Rv1G0098020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:473817675:473821277:-1 gene:SECCE2Rv1G0098020 transcript:SECCE2Rv1G0098020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALLRVLCLCSLVALARPARPANVSIGALFTFDSVIGRSARAAIDLAVADVNRDARVLRGTHLSLVAQDSKCSGFVGTIQALQLMEKKVVAVVGPQSSGIAHVVSHVVNELHIPLLSFAATDPALASAQYPYFVRAARGDDSSQMAAVADIVTYYGWREVTVIYVDNDYGRGGVDALGDALEAKRAKVSFKAPFPPDADQTAIADLLVRVTMMESRVCVVHVNPDSGLAVFAAARSLGMMASGYVWIATDWLAAALDSTRPPNPKAMSLVQGVVTLRQYTPDSGAKRSLASRFAAGQLNRSATLNAYGLAAYDAVWIAARAIDEFLEDGGYVSFSADPRLQQEVNGTSTLRLDTLRVFDQGEQLLQKVMLANFTGVTGGVRFSADGRSLADPAYEVLNVGGTGVRRVGYWSNHSHLSVAAPTPFQIKTNISQQQQQRLYSVIWPGETTSPPRGWVFPNNGRPLRIGVPYRTTQKQFVSKDSGPDGASGYCIDVFKAAVALLPYPVPVSFILFGDGVKNPDYSDLVNKVANNVFDAAVGDVSIVTNRTRVVDFTQPFVESGLVIVSPVKEKSSNAWAFLKPFTLGMWAVTGAFFLFVGAVVWVLEHRFNPEFRGSPRKQLVTIFWFSFSTMFFAHRENTVSSLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDGLLASADPIGYQVGSFAKSYMMQELNVPESRLKELAIDDYATSLQLGPRNGGVAAIVDELPYVDLFLSTNCQFKTVGQEFTKSGWGFAFQRDSPLAVDLSTAILSLSENGDLQRIHDKWLNPGQCDSSQGDDVAADRLNLSSFWGLFLISGVACFIALVIFFTRILCQYGKYNQGDGEGGKPPEECPVRRPERLRSIRDLITFVDMKEEEVKRAIKRKSSDDRRDRSIASSGGASSFSASTV >SECCE1Rv1G0018140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:177358789:177370669:-1 gene:SECCE1Rv1G0018140 transcript:SECCE1Rv1G0018140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEFQSSLVQQMIWSGTGDGGTSSVMSSLKPCHEEQDASPKLPSLSSPSLLFSQQFPRSLSALGHINGGTSLLSLHEGSTGIQESHMPESWSQMILGGLVGGQEREGYSTTTALLSKGLENWGDHQAAASACMVGTKEDGSMPRSVAGGAASYNFYGNHLAGDGHEIQAKSQLSQMLLASSPRSCVTTSLGSNMLDFSNSLAPPPRSNHHSDNSSECNSTATGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYVRFLLGQIEALSYPYMGHGSNGASIQNGPTGESNPGHFPEYPGQLLNHNNNTGGVQQQAPGQPEQQGAVNEEASKKGLRSRGLCLVPVSCTSHFGGDNAADYWAPATLGGMILR >SECCE7Rv1G0474450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:172066511:172070182:-1 gene:SECCE7Rv1G0474450 transcript:SECCE7Rv1G0474450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDGLHKIPLLEGGNSSQENSTEYTGDGSVCTSGHPASRKHTGNWKASSLALVCSFCCYLAYSSIGKNLVSYLTKVLHETNLDAARHVATWQGTSYFAPLVGAFVADSYLGKYRTALISCTVFIMGMMMLLLSAALPLISAGPHAWTLWADPISSRYIIFLVGLYMVGLGYGAQSPCVTSFGADQFDDTDQVEKTRKSSFFNWHYFTINAGSLIAGTIIVWVQEHEGWLWGFTISTLFVTLGTGIFFLGSIVYRFKKPGGSPLARIWQVVVAATRNFDKVLPCDSSSLYEFSGQGSAIEGSRKLEHTTGLEFFDKAAIVTLTDCESAGQLNKWKICTVTQVEELKILIRMFPIWSAMVLFAAVQEQMFSTFVEQGMTMEKHVGSFEIPAASFQSIDTLTVIMLVPIYERVLVPVIRKFTGRANGISSPQRIGIGLCFSMSSMVIAALVESNRLQIAQSESLVHSRVTVPMSILWQGPQYFLLGVAEVFSNIGLIEFFYDESPDAMRSLGMAFSLLSISAGNYLSSFILSLVPVFTARGGSPGWIPDNLNEGHLDRFYLMMAGLSLLNIFVFVIYARRYRCKKAS >SECCEUnv1G0538880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74549150:74549732:-1 gene:SECCEUnv1G0538880 transcript:SECCEUnv1G0538880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPYPPLASSPRTVAHSVQPPAGMLPLVPCPSCGIRSTIRLVSKSETNPGRIFYKCPNHHIPPNPCQHYYWEDGPDNYFDFLVRGGYISHGLSSFDSAGVIASEEIEVQEECAGAMQSTVETVVNADVLKKMNELIFLCKSILSALVVLIAVVVYVGFKK >SECCE2Rv1G0066040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:11458222:11460542:1 gene:SECCE2Rv1G0066040 transcript:SECCE2Rv1G0066040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWLGLCIISFATSLALWLLKLFSKPSKKRLPPGPWTLPIIGSLHHVVSRLPHRTMMELSRRHGPLMLLKLGEVDTVVVSSADVAEPVMKTNDLVFADRPHSATHDIVGCGGKNIFFSPSGKYLGQMRKICTMELLSSRQVKRIEGIRAEEVGNLLRNITNAASTGSTINISKILMALTNNIITRAVFGGKFTQQGEYLHELEKVLTLLGGFCLVDLFPSSRLAWCLSNGNRQIKKAYGRIQHIIGEVIEGRMAARVAGDGVTRTDEEDLLDVLLRLQQDDSLEFPLTTENIGAVLFDIFAGGTETTGGVLAWAMSELVHAPESMIKAQQEVREVLGEDRAVITNNDLAELHYMRMAIKETLRLHPPGPLVPRATREDCTILGYDIPKGTNVYINVFAISRDPRYWNNPEDFKPERFQNSTVNYIGTNFEYIPFGAGRRQCPGIHFSFPVMEMVLTNFLYYFDWKLPHGASLASFDMSEKFGLAVTRRHDLQLRAVPHV >SECCE6Rv1G0434480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:765650728:765652244:-1 gene:SECCE6Rv1G0434480 transcript:SECCE6Rv1G0434480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAPSLPDDAVADVLRRLAPRNIAASRRVCKSLRRVVDGHHQLRADLLPLKVGGIFFNFFELWSATQFLSRPTTSAAVSGRLGYTLDACHPDNDFLPIPYVIDHCNGLLLLGHCVVNPATQQWAPLPPAPDLPKPAPPIKHVWTSWYLVFDPMLSPNHFDVFIMPKIAFCVLIDPSCEFEWPPSSLILPVFSSKIGSWEKRTFFREGEAAGTIPGLVVVRLDCNERQSAYWRGSLYICCENSFILRISLSDNRYRVIRLPTRLPKDDSKCDQQFYIGKSTKGIYCASIFTLHRSHLHVWFLNDLNEWVLKHDKDIFPVLPNIDYGNPYGPWILQQFEDDSVVDDNREAAEKEKFEAIVKEGKFEWDSDNDNVLEPGSTCGGNDTCFLGFHPFKEVVFVTLWDRVLAYHLSSSKLQDLGKIFPEFYVDGHDTYWHTQVEESFLYTPCWLGELPEKLN >SECCE4Rv1G0244700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:519229908:519234552:1 gene:SECCE4Rv1G0244700 transcript:SECCE4Rv1G0244700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G55500) UniProtKB/TrEMBL;Acc:W8PUA9] MMAGRNHHQNHGQRLRRLVPCILVVVFAVHAVSFALYLLLQPHHPPPNPGNPEAQTHERVREPTSQKPWPRLPSFLPWTADPSLPPRSCEAYFGNGFSRRVEVLPEGRGGGGWFRCHHSETLGSSICEGARVRLDPALIAMSRGGEPIDQVMGRKEEEELPRYESGALVVEGVAAGRRGPLVEPGFLDAHVPTNGIGMHTMRALLDSARVVPPGELHCSQWIEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTNLPDRPNVVFVDGHCKAPLEQTWEALFSNVTYAKSFSGPVCFRHAVLSPLGYETALFKGLSESFSCEGASAQSLRNKPDHQKTARLSEFGEMIIASFDLLEDGIVPPKKTSNGHKILFVRREDYLAHPRHSGKVESRLSNEQEVFDAVEKWAKGQKCKINVVNGLFAHMSMKEQLQAILEASVIIGAHGAGLTHLVSATPDTKVLEIISSFYRRPHFGLISHWKSLEYHAINLPGSYASIPDVTSELGNILKGLGC >SECCE7Rv1G0511120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:801262416:801271490:-1 gene:SECCE7Rv1G0511120 transcript:SECCE7Rv1G0511120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGSVLGFVLGLPLGLAAAYLVYLRFVAPRRRLQDPVIRPLRDLDYETLQTMVNDIPLWVKYPDYERIDWMNKFICDMWPFLDKAICKIIRGVAKPICDQYVGKYGIESIEFGNLTLGALPPTLQGIKVYEMREKELVIEPVIRWASIANVTVNVKVHSFELSAQLLDLHIMLTPRATLKPLVPSFPCFASVCVSLMEKPHVDFGLKLLGGDVMAIPGLYRFVQEQISKQIAILYHWPKVIEVPILDGASGATKKPVGVLHVKVIRAMNLPKMDLLGKSDPYVKLRLSGERLPSKKTSVKMSNLNPEWNEHFRLVVKDPETQVLELQMFDWEKVKMHDKMGVQVIPLRLLTPYESKLFTLDLLRSMNPNDQQNKKNRGKLVVELTFDPFREENSTSPLISDGEGNTSLKRDVPDGGGVLLVSVENAEDVEGKRHTNPYAVVLFRGEKRETKVIRKARDPRWSEEFQFVVDEAPMDEKIHIEIRSRGRRLLPFRTQESLGHVNINLVDVVNNGRINEKYHLINSKNGKLQLEIKWNTV >SECCE7Rv1G0478930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:243398083:243400665:1 gene:SECCE7Rv1G0478930 transcript:SECCE7Rv1G0478930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTYPVVSAEYQEAVEKARQKLRALIAEKNCAPLMLRLAWHSAGTFDVSSKTGGPFGTMKKPAEQAHAANAGLDIAVRMLEPIKEEIPTISYADLYQLAGVVAVEVSGGPVIPFHPGREDKPQPPPEGRLPDATKGSDHLRQVFGKQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTRNPLKFDNSYFTELLSGDKEGLLQLPSDKTLLTDPIFRPLVEKYAADEKAFFEDYKEAHLRLSELGYAEA >SECCE7Rv1G0491040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:516396897:516410658:-1 gene:SECCE7Rv1G0491040 transcript:SECCE7Rv1G0491040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPVTSLSAPSRRRRLVKNPIAPASVNSSSAASGRRGGPCTPMLRWSVRESQEGVSEEKAPRDSSVRRLAAVVWRLRPPEEAPAAEHQGKSRVVLEFIPRHLQAQLLRKDHLGHKHGLKVETSSPNSVLEQHSGELHKVQLHLASALMPITGLENSTKWESESVKGGELDGAYVIANQLDLIETQQGQTHANILQMELQRGQDRVGKLEAERVSAKKQLDRLLEKLREEKAAWRRREHKKAQSILEDMKADLDHEKKNRRQLENINLKLVDELKGVKLAANNLLKEYDKERKTRLMTEEVCNKLVREVEEQKSDIEVLERDFVKLRKEVDEDRKLLQMAEVWREERVQMKLVEARLTLEDKYRELCKLQQDAEAFVASLGCAKGDSSILLGEAEKIIREIGLVRNREVQFKYEPPAASEEILAIFEELRPSQELGRCKAERSSLDELGEIQQASGRMADVCLVNPTNKSPCQDSEIEDGSSWETTSHQDVQGSNFSRNGNGSEPSVNNVCDRISWTSGDDSEEVWQNDLSNIKVVEHKKKQSAISKFWRPCPQKNHEIHQVDVEVEPVNSLNRRMYYDAGEAADRGMGLSSPSMGPWSSPWPDSTNRGFRGCKELVQRHSLKAKLLEARMESQKIQLHRVLNQTT >SECCE5Rv1G0326720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:484652405:484654228:1 gene:SECCE5Rv1G0326720 transcript:SECCE5Rv1G0326720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHFHLRSSALPLSSFPGELGARFSPAKPRPPASSVSVAAASPSRTLRPHMAKAVAKEVGAQEAAQGSAALGWAARDSSGVLSPFDFTRRAQKDDDVTIKVLYCGICHTDLYTIKNEWGTAMYPVVPGHEILGVVTSVGSGVSKFKAGDTVGVGYFVGSCRSCECCGNGYENYCSGMVLTSNGIDPEHGGAVTQGGFSDVMLVNEDYVVRVPDGLPLDKAAPLLCAGVTVYSPMMRFGLNAPGKHLGVVGLGGLGHVAVKFGKAFGMRVTVISTSPGKREEALERLGADEFLVSRDPEQMQAAVGTMDGILDTVSAWHPISPLFALMKPMGQMVFVGGPTKPLELPAYAIVPGGKGIAGNCVGGMRDCQAMLEFAAKHGITAEVEVIKMDYVNTALERLQKNDVRYRFVIDVAGSLGSTS >SECCE5Rv1G0350950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:694758302:694759537:-1 gene:SECCE5Rv1G0350950 transcript:SECCE5Rv1G0350950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLQLLSFIATRVVIASLFHPCASIEIHRELSGWSGGIATWYGDANGAGSEGGACGYQYAVDQPPFSSMIAAGGPVIYDSGDGCGACYRVVCGGNQACSGIPVTVVITDQGPGGGPCLSGLVDGQCQNEAAHFDMSGTAFGAMAKPGQADQLRGAGLIQIQYTRVTCDWTGVGLTFKVDSGSNPNYLAVLVEYEDGDSDLCAVDIMQSGAGATGVWIPMQQSWGAVWKLSGSTLQGPFSIRLTFQSGKMLVAGNAIPTGWNPGVTYQPGGVAVSERASGGRRRHGFEGRLGWLCHLLLLLMVLFQL >SECCE7Rv1G0486130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:398375625:398378374:1 gene:SECCE7Rv1G0486130 transcript:SECCE7Rv1G0486130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRARRRGTGTGTSPSPGRNKVWVEPPGKSQHHPPRRSPPPAAVGKSVAVVYYLCRSRHLEHPHFIEVPLASPEAGLYLRDVINRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDISEDDLVLPAQGNEYILKGSELLDRSPPDRQQNGLSNTKGESPKHPQQESPQSRGSQEGCSSSSSPSAVIKDASPPTPTQQPQQLAHSTFVPSSSASTNREDEQCRTTHSGSSGNLSPEPVGTNVPLSEASSPGPSEYRVCKPIGAQDASTQTDDSERDVPAKHARAAGIRTEDCTSDAEVQECHERSTQASPKVPVLVRESPHVCSSDASPGGRVETLESLIRAEASRRSSYNKVLEEEHLYGPMGVKLKPANLLMQLITCGSISVKDHRGFGLIPTYRPRFTQVEFPSPMFSTPMALRHLDNVPCSARTIGIRVSESEHLSESLVEVSKQEESGRGEIPTLKRSSSYEEDRVYRATDSRRDMESLAESGSFRCLPQTIKMISCKQSRSGTAFSPNSDVRYSSSRQECSTGSSPLGSSRSASNRMTDPVGKLSSSRGESFHEEKDKMIKIEERLASGARVIIQSVPLCEESDGSTESL >SECCE4Rv1G0281660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826666346:826669437:1 gene:SECCE4Rv1G0281660 transcript:SECCE4Rv1G0281660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNVEITRKAVLRPSPDSAWGGAQMVPITVFDRASTDGYIPTVFAWSTPAPTNGTLKDGLLATVARFPHLVGRFAVDDHGRKCLHLNNAGVLVIEATVATALAHDASAHIAELYPKAEKFWSTLATNVVVLSNLLYTCGGLVIGMASHHQVADGQSMSGFSTSWATAVRTNSAVLPSPFLDRGATDNPRSPPLPVFDHGSIEFKGEHSSSRSYRVLPLGRIKKLAVHFPGEFVAELKARVGAPCSTFRFQCLLAHAWKKVTAARDLAPDDFTQVRVAVNCRGRAKPPVPMDFFGNMVLWAFPRMRVRDLLSSSYPAVVGVIRDAVACVDDEYIQSFVDFGEAQRDVKLASTAATLGMAFCPDLEVDSWLGFGFHNLDFGGGPPCAFLPPDLRIDGVMILVPSCAAKGGAHLFVALDNEHVEAFKQICYSIE >SECCEUnv1G0538190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:71623058:71623942:-1 gene:SECCEUnv1G0538190 transcript:SECCEUnv1G0538190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B12 [Source:Projected from Arabidopsis thaliana (AT3G54120) UniProtKB/Swiss-Prot;Acc:Q9M392] MMDRRRHHHAGEFVTDVVLWRRGRAELSALLLAAAVASWILFHGASGYTYTAVSLAADVLLLLLAVLYAWSRAARLLGRPAPPIPDLQPAADDLAALIHSGLAAIASAFRRVAQGQPGSGRVFLYLAAAALLGRLARDLPTLCYAVVVGGLTIPAVYQRLSMERYMRLASLNLYRYELLYQSFSFTCYLSARDYLIELLKEP >SECCE6Rv1G0452040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874922725:874924988:1 gene:SECCE6Rv1G0452040 transcript:SECCE6Rv1G0452040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLASIYSTPSHPSHPSMHPAMAFFHGGDDEGGAGAGRPVFGGGGFSAMPPAFVTQQLFPTTATQQQAMERCVLAGAAAAAGDGAAHWARPASRAKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDQAAIKFRGVEADINFLLDDYKEDIGKLAALSKEEVVQVLRRQGAGFVRGSSRFRGVTLHKCGKWEARIGQLMGNKFVYLGLYDTEMDAAKAYDKAALDFSGEEAVTNFEPKAAAAAVCDGELGLQPWDGEPDLELSLGCSGGGDRSTVTSGDTLRTAATQGSQRTSLTFEMPGEEEEEATAPWHPGRNRSIWIRPSPTSITPALRCPDGDHRPAIPRSSMLQMGQIGGGGRAEPHMLGWPTGAGVDRWQSYPTEAAAAASSGFPPAPGLGGVQRLNHHRPGSREQLWR >SECCE2Rv1G0104400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:605921503:605922348:1 gene:SECCE2Rv1G0104400 transcript:SECCE2Rv1G0104400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPGDDAGSVLPSARTPTTAVDGDVVFSAVALLFLGLALAFVLYHYLIVSRRGVRGGAGTVRGGPRLGVGAASASGIAKGVDPVVLRALPVTLYRAKDFADALECAVCLAELSDGEAARFLPKCGHGFHAECVDLWLHSHPTCPLCRVDVDKPDALPLALPPVRPEPANYATNLPTNVLFWGSPDAVTTGRTVGGPSSSAGAAAVIVIEVPEATESALAPRDGEAGKSQGLARMRSIRRLWSRGIREVGASSSASSCHQASAGDYTEGTLGVTARIFDP >SECCE5Rv1G0340250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:613402107:613403207:1 gene:SECCE5Rv1G0340250 transcript:SECCE5Rv1G0340250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANFIPTDLLVEILLRLPPSARRRARLVCKLWRDLVGEHTMEMQSRAKVLLWHAGYAAAYVVDDLSSSSTGSYTELWRVAGDRTYYHQGEVQLVGICNGLLCICDNRGERTGGTVTLFNPATGEKLPVPPLPGAAQFALDYRCQKWDTAYSFAFHPTSGQYKVVHVPCSFDRVCDYDAAHVLTLGEAAWREAPGTNGKRCNLHAGVVSVDGVTYWVTDGGTARIVSFDLDNEHVASSTIELPSLPDKPDDYNLTEVHGRLGVVIRNPSGAREAWVREKGKWICRYALRCKWQEIPRPQFAHGQYFLKFEGRLLYGYSRKSGVVKVSHKDKGVLVAKMNHGSHTLNYKIFTYVKTSEPLGVFYATK >SECCE2Rv1G0079590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:121251796:121253866:-1 gene:SECCE2Rv1G0079590 transcript:SECCE2Rv1G0079590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSTFESELADVIPVIKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCIPDQVVVQRIDERLSALGNCISCNDHVALTHPDLDKATEELIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPTAIVDDMRKSLIDSYV >SECCE5Rv1G0335510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:575597418:575599789:-1 gene:SECCE5Rv1G0335510 transcript:SECCE5Rv1G0335510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 38 [Source:Projected from Arabidopsis thaliana (AT2G24430) UniProtKB/TrEMBL;Acc:Q9ZQ25] MEGGGGGGGGAVSKKKSSKEGEEESLPPGFRFHPTDEELITYYLRGKIADAGFTARAITEVDLNKCEPWDLPEKAKMGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFTGQPPSAQELVGMKKTLVFYKGRAPRGEKSNWVMHEYRLHLKPASKSNKDEWVVCRIFAKSPGVKKYPSSTNAHSRSHHHPYTLDMVPQFLPTLLGHDLFGGGRGHHHPYMTPADLAELSRFARGTPGLHPHIQPHPAGAGYLNPSGPFTLSNLNLNLGGPSPQHVLHHAMSMPMGQQQQQAGGGNGQAMTMEQHMAAGLGGIPAAGGDGGFGGEGHAGGAGMRYQNLDVDQMVDRYWPGSY >SECCE3Rv1G0212610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956012068:956016796:1 gene:SECCE3Rv1G0212610 transcript:SECCE3Rv1G0212610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVLFLLACLAAAVQPTSAAEAGSDGILHIPSAADLARARCPSRCGGVAIHYPFGIGPGCFRQGFELTCNSTGGHQRLFLGNSTTEVLELYPGDNELYTSAIHFNVTMKPGTNDTYTMSWETPVKGVVTSEQTSLFVVGCGVGVYLFGHDTNKSIGSCMSICLDDKEAMKEANANQQYDGDVGVGVGYCSIRLQHDVRAFGFIVGRINGGLSALSSQGQGPSNSSIKVFLAEDYTFHTTDIYSSKIDEQNVDEPYFSMAITDQPNCESAQKNKSSYACSTDSDCLDVPSGGYSCLCRRYTNNDNPYLMDGCQQRAYNNFNPIGGCTRLCGSTHIHFPFGLEKGCYAAEQFRLICTLENITILYRGGNKFNQGTKFIVANISVNEGYLSLRETQNNSTYVSERLAVIDKSDMGLGLEDTSSEGYYFSEEYDMRMWWSIDNLTCPVAMSKERSATYACRSGNSTCVIVKQQDYRDGAMQLGYRCQCSQGFDGNPYTLDGCKDVNECLQMDICNGTCQNYPGGYSCCTHGEEFDPVEKRCFMLTKRHNILLGITIGISSGLGAIILVLGAIVLISKWKRGIEKRLRRAYFKKNQGLLFEQLILDECAADKTKIFSLEELDKATNHFDANRILGRGGHGTVYKGILSDQRIVAIKKSKLVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYDLLHIDASVKCLLLWDDRIRIATEVAGALSYLHSAAAIPIFHRDVKSSNILLDENFTTKISDFGASRSLSLDQTHVVTTVQGTFGYLDPEYYHTGQLNAKSDVYSFGVILVELVIRKKPIFINDQGIKQSLAQYFVEGLQQGVLKEIMDPQVLVEANHNEIEGIASIAEACLKTKGGERPTMKEVEMKLQFLRTSRRLRSQLPLRYDGEIEPFWCPNTTSSHAQSNNIISTVGLTHTCISSKYSLEQEFLNSASLPR >SECCE3Rv1G0199640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:847112424:847119133:-1 gene:SECCE3Rv1G0199640 transcript:SECCE3Rv1G0199640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGEEASCSWRMASAAHDHHERAVLHLNHQAALAAYHGGLQLQPHHHHASAAPPAATFLDFQPAAAAAAYFGELEEALIHSANADAGAGVADHLHHPHPSSMIAGDAQAKSAAAGGGGGGGYLQAGAGRPPTLEIFPSWPMPHPQQLHSAGNSQSVGSTTDSSSARNTMAQMELVSPGPPAGSVRPSPSSTTSEQRQQQQRQEVMMVTTDDYSYKPGLPPPAAAGLAAAVPSFQPHHQHQPQLHGGDHDKRKHGSSTRKDGKSVDSKTERRLAQNREAAKKSRLRKKAYVQNLETSRVRLQQMEQELQRARSQGIFLGGCGAGGEMSPGAAMFDMEYARWLDDDGKRLAELRGGLQAHLADSNLGAVVEECMQHYDELFRLKAELASADVFHLLTGAWATPAERCFFWMGGFRPSELLKILIGQLDPLTEQQMMGICGLQHSSEQAEEALAQGLHQLHQSLADTVAAGTLNDGTPGPNYMAIMAIALDKLASLESFYQQADNLRQQTLHQMRRILTTRQAARCFLSIGEYYRRLRALSSLWASRPRENFIGTESLSPTGTAELQGMQHHHQPQQNQFSGF >SECCE3Rv1G0145490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:7389291:7396927:1 gene:SECCE3Rv1G0145490 transcript:SECCE3Rv1G0145490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYCAAAAVEGEQQPVAVAVLPMPAAAAGRYLYGDYDRCSTKQVFDNLHGNISLDPLAREFVDTEEFQRLRDLKQLGLTYLVFPGAVHTRFEHSLGVYRLAGEAMNNLQKYQGNELDIDRIDVQTVKLAGLLHDIGHGPFSHLFEHEFLPRVHPGSTWSHEHMSALLLDSIVDKHAIDIEPDYLKVIKEMIVASSDFSTAEGVKEKRFLYDIVANGRNGIDVDKFDYIDRDCRACGIGSNFQHWRLLEGMRVMGDEICYPAKDYLSIHKLFTTRADLHRTVYTHAKVKAVELMLVDALVEANEYLGISLHAHDPEDFWKLDDTIVKSIETAPNDELKKAKEIIQRIRRRELYKFCNQYSVPKDKLDHFKNITAQDIVCSQKSSKVVLKEEDVAVSNVKIDLTRGKDNPLESIKFFKDFGCEEKFPITDERVSHLLPVCNQDRIVRVYAKKPELVEAVSEAFENLQLRMYGEKTQVHDTPTKKKRRVN >SECCE2Rv1G0139000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:924966583:924969507:1 gene:SECCE2Rv1G0139000 transcript:SECCE2Rv1G0139000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMILGSAQGAVDSLLGRLTSVLVGEVQLLGGVRRDMQFIKDEMESMNGFLLHVAEADEEDHQVGAWMKQVAEVAYASQNSIDLYIQSLGGGRREPGFLGNLRRLPKLVLTLPTRHRIADQIRDLKIRACEVGERRMRYDVKVPDIRNCDRANVRKAGNDGTNGQEDARRRAWADHRFPQGRREMYGYCESVLASLLKEAREEHGGDSLKVIAVVGMGGIGKSYGAERAYQDPSVVSSFDCKAWICLGQESTEASVFLSKVLAALDSPSDQFDSDSAQLQPNEEEELIAQIQPDEKEQLITQLQPNEKEELITQLQPRDEGELIAQLQLRLKGKRFLLVIDDVRDRSLWNRIQSAFPHENCARGSCILITTRSIDVAQSVCPHENIDLDTGSHEYVSYAMGLMNITNQSDLCPILRDIVSKPLPVGLFLRALYVNPNKTKAELQTLCDNLDNSNTASSNNARQVLKFCNLTSNCKNCLLYMSIFPEDTFFERTRLVRRWAAEGMTAKRGRLSALDEADHCFDLLVAHGFLEPIHRSDKRKVKSCTMPGHILEIVTNIAREDHFVKNNYYPGLAQHLSVHYEGEPHQAEKELNVTRSQACWNIFRHQAAVEVSSFIQEFLDSLTSSSHTLKVLDLELCEELKYHHLKNICDHVFHLKYLSLRGTGITELPKQLDKLQSLETLDIRETKVKAFPKNSVFLPKLKHLLAGHWTYEELDVNGNVQSKMTFFTVAIPKHIGDMTELQVISHIAVSGDGSELKCVGHLLQLVKLGVIVSGSKTSSVLRHLYHATGNLGYLRSLSIQVAETEEENENMNKEDAYPIYPKYLRKLKISGLKNGLPSWVEKLKALTKMTLRMTLITEDDFKILGWLTSLSWLTLQQESCNEGTLTFKDYTFQRLEFLDIECSAITCISFDNEACPKLKNLAWSSTGEPSLSGIERLPSLKKLELTGSFDKQSVMEAVNANKNSILFNHWAHE >SECCE3Rv1G0179900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:555105424:555106111:1 gene:SECCE3Rv1G0179900 transcript:SECCE3Rv1G0179900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILYQCKEVLKIQKFRRLASYARFYSFTTLVTYAYTSNTTRAGTSRADQYYASYPSGTELLTDTTKLYKAALGNCFEIDDWGPTEFCIMAKHFDRQGKPPYDYHDQYMSHLLSRGQLDGSG >SECCE7Rv1G0458090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19959165:19960445:-1 gene:SECCE7Rv1G0458090 transcript:SECCE7Rv1G0458090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSGGFSLRLVPSAGWNNTMHVDSGGFLHLNEQAATTSLRPHIHGRRGLTYSVATTVGTGRGRRTYDLALDTVSSLTWMQCMPIAHPFPQMPPPFHPEISTSFRRVSHGSGLCHKPYRGSVCEFRATSLNGAGAHASGVLGNETFAFANVGGAAAAAEVRGVVFGCAHSATGFDSQGVLAGVLGLGKQRPSLIWTQLHQHGQDGRFSYCLFGPGRPDRHGFLRFGQDVPATGHMKSTKILYMQHMTSPAFSGYFVSVFGISVAEKALAPPPREPRMRVLLRRHRSHDGTWHGGCLIDPGTSTTAIMQPVYHVLEHAVEEHVNRLGLPVVKRDGYRLCFGGATQAALEHLPTVTLRFEEGAGLVIRPQQLFVFVQHDICLTVVPSRDMTIIGAMQQVDTRFVYDIAAGKIHFAPERCSDDTGGQN >SECCE6Rv1G0437600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:785430110:785433481:1 gene:SECCE6Rv1G0437600 transcript:SECCE6Rv1G0437600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKDNAVLIACQAPNGRVTRAQAATNRGRFGAPNPAPVPVRTERKPATKGKAKRGASDENTCASAVTSAPAPKRRAALKDVTNISCANSFRNCTTATKLQSRPTQKVGRNPSKNKQCAKKAPKPPLPAVSGTSFVVNDSHIVEEAKKAELLPPKEEPIALLDNKGPLSLWNVARNRESGVHEPFFQGRNTGDKSETADSNTGDYVGLNVIDIDKDNGNPQMCASYAAEIYRNLMAAELVRRPKSNYMETLQRDITKGMRGILIDWLVEVSEEYKLVPDTLYLTVYLIDQFLSRKYIERQKLQLLGITSMLIASKYEEICAPRVEEFCFITDNTYTKNQVLKMECEVLNDLGFHLSVPTIKTFLRRFLRAAHASQKAPCATLGYLGNYLAELTLTDYNFLKFHPSVVAASAVFLAKWTLDQSDLPWNCTLEHYTSYKSSDIQGCVRDLWELQQGNTSGSPPLNAIREKYRQEKFECVADMLSPAMPVSLFHRQANGTSPLLINNS >SECCE4Rv1G0285330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843783356:843783904:-1 gene:SECCE4Rv1G0285330 transcript:SECCE4Rv1G0285330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGSERKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSAAAAEKEATKSPKKKAATTKSPKKKTAATKE >SECCE3Rv1G0173570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:347941924:347944734:1 gene:SECCE3Rv1G0173570 transcript:SECCE3Rv1G0173570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLPLSLSAQCRSGGPPSHRCFVDGGSPSQRTWPGLLANNGVRVRVRRRALRGTDVQPDTPPLRRDGDKPLHADAQQGEGSGVELLDSVRKLLLEDAEPGEEEQGQFPKRWAIVFLCFSAFLLCNMDRVNMSIAIMPMSAEYGWNPQTVGLIQSSFFWGYLLTQIAGGIWADKVGGKTVLGFGVIWWSVATALTPVAAKLGLPFLLVVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPFLIHKFGWPSVFYSFGSLGTVWFATWAAKAYSTPLEDPGISSEEKKLIISQTTSGEPVTTIPWGVILSKPPVWALIGCHFCHNWGTFILLTWMPTYYNQVLKFNLTESSLFCVLPWLTMAISANVGGWIADTLVSRGTSVTTVRKIMQSIGFLGPAFFLSQLSHIDSPALAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKLSVTLYLVGTVIWNLFSTGEKIID >SECCE2Rv1G0135770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:908488300:908491618:-1 gene:SECCE2Rv1G0135770 transcript:SECCE2Rv1G0135770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNLYKANRPIVVDTQLCGTPSYCLMAIVKPSGELLRLLTFLICLLHHCSAGYDVESVVGTRSVLSANLKLVGGTTEFGPDVKRLDLTARLETDSRLRVRITDADHPRWEVPQDIIPRPTSVSEDVLLGSPSMNNATLPSSATISKVSSDLTFTIHTNPFRFTVSRRSTGDILFDTSATLIFKDRYLEVTTALPAGRASLYGFGEHTKRTFRLQPNDTFTLWNEDLERSDMLDQNLYGSHPFYMDVRPGGNAHGVLLLNSNGMDILYGGSHITYKVIGGVLDFYFFAGPSPLAVVDQYTQFIGRPAPMPYWSFGFHQCRYGYKNVADLEEVVAGYAKAKIPLEGIWSDIDYMDGGQDFTLDPINFPANRLRPFVDRLHSNGQKYVVIIDPEIKRQATPNEDFFLKRNGTNVVGRVWPGEVYFPDFLNPRAVQYWAQKISEFRRTIPVDGLWCDMNEPSNFGTWQPLNALDDPPYHINNSGTHRPLNNQTVPVSTVHYNGVSEYDAHNLFGLLEARATHAALLKDTARRPFVLSRSTFPGAGRYAAHWTGDNSARWDELANSINTMLSFGLFGIPMIGADICGFRGNTSQELCSRWIQLGAFYPFARAHTERTTERRELYVWESTAQSARKAFGTRYRMLPYIYTLMYEAHTTGAPIARPLFFSYPQDPNTYGVDKQFLLGRGVLVSPVLQPGATTVDAYFPAGRWFSLYDHNYPLTVATRTGKHVTLRAPVDSANVHLAGGNILPLQQPGLTTSAARQGEFHLLVALAENGTANGELFLDDGESTEMGGVGGNWTLVRFSCDTAESKGIITTTVSSHVVHNSYTPSRAPVIGNVVFMGLQSPAKGFTIYVNNVELKAARTKSRTSGVFSVSGLSLAIGKEFQIKVVMSH >SECCE1Rv1G0000050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:471429:471746:-1 gene:SECCE1Rv1G0000050 transcript:SECCE1Rv1G0000050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINIPDDKFYLGDVGYAWRPGVLPPFRKTRYHLNEFSGRNYLRTAHELFNLRHSSLRVIVERAFEALRNRFKILDQKPFHPYSTQVKLVIVCCILHNWIL >SECCE7Rv1G0481420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:283902962:283906257:1 gene:SECCE7Rv1G0481420 transcript:SECCE7Rv1G0481420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 24 [Source:Projected from Arabidopsis thaliana (AT2G47330) UniProtKB/Swiss-Prot;Acc:O22907] MSKRPRLEGFSIPRPTSYSFERSQPAPRLYVPADDDLDDIAFSDDAAAPSDAAEGSKAEDDEIDPLDAFMAEIQEEIRAPPPPPKPEALRPADSDEEDDPMESFLRAKKDAGLTLAADVMNAGYNSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKRKIEPIPPLDHSTIEYEPFTKDFYEEKPSVSGMSVEEVADYMKSLAIRVSGFDVARPVKNFEDCGFPVPLMNAIAKQGYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFAKPYNLQVAAVYGGVSKFEQFKELKSGCEIVVATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGSANEDIKQVVNVLPSDAEKMPWLLEKMPGMIDDGDVLVFATKKARVDEVENQLNQHGFKVAALHGDKDQASRMETLQKFKSGIYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKESRFAGELVHSLIAAGQDVPNELMDLAMKDGRFRANRDSRKGGKKGGKGKGGGGGVGGGGGGGGARGRGRGIRGVDFGLGIGYGSESGPQVPAPRSATVNTLKTGMMQNFKSSFVSASSSAPSNSAPSRSTPFVKPALRGFVSGGTIGGDSGAARPAPPVPSFVPASRPAPPAPSFVPASRPAGNTNENGNTNPESSRDQRRERKRPSGWDR >SECCE3Rv1G0157310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80787308:80788496:1 gene:SECCE3Rv1G0157310 transcript:SECCE3Rv1G0157310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEACMFALQLANSAILPMALRTAIELGLLETLVGAGGKALAPEEVAAKLPSANPDAASMVDRILRLLASYNVVSCVVEEGKDGSLSRRYGAAPVCKWLTINEDGASLAPFALLATDKMLMESWYYMKDAVLDGGNPFVKAFGMTARECNGKDMRLNRVFNEAMTHHSGIITSKFLELYTGFDGIGTLVDVGGSVGTLMHAIVSKYPSIKGINFDLPHVISHAPDYPGVQHVAGDMFEKVPSGDAIVIKWVLNGLSDQQCATLLKNCYDALPAHGGKVVNLQCVLPVHPDATHGAQEVISVDVSMLAYSVGGKERYLRDFEKLAKGAGFAGVEATYIYANFWAIEYTK >SECCE5Rv1G0342690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633459866:633461068:1 gene:SECCE5Rv1G0342690 transcript:SECCE5Rv1G0342690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSELRPLPAASFSGDLPADALYEVLLRIPAKELCRLRAVCPAWRALTSNPLFVAAHKSRHHKAPPLLAVAYGNDGNPNGVEILDLWGNVVKRIPNTKYKSGLMFDSIRVVRTRLDLICLSWVVGSRAFWVLNPATGATVALPLGFSEELELELEGKRPCHFESCAFGHVSSTREYKALRVSSIDDRQVSEVITFDDTKHGCWRRKQDPPSHICARRQMRLDGVLYFLMNFYPTYHNTGVLTIEPGSIASFNLETEEWGVLSGPEQVQRFVQENDDFGYAELDLQLSLAELDGCLVMVQNIHYESMDLWFLTDSEKRIWVKKYSMPAHVAEFNRYPFLMLDDGTIFFSGSNGLQGLFSAGEQGAGFLLSYDPRNDTYGYALKLRGSQSIGIYTGSLLSL >SECCE2Rv1G0080260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:128714623:128716465:1 gene:SECCE2Rv1G0080260 transcript:SECCE2Rv1G0080260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQASHQPPIIVSRGVCRRRLSHSQEMEVQPEAVRDWSELPLDVLASVFAKLGAVDILMGAGLVCHSWLEAAKVPSLWRYVDMEHHAVLRGKKKKSRDVLCAMAKAAVDRSNGELEVFAGSEFVTDQLLKYIAERSPSLKSLSLDYCNVTNEAFTELIIKLPLLEELLISLCPFVDGDAYEVTSRACAQLKRLMLRQGSYGGIRDGALGIEMMHELRYLTLVNSNITTEELVAIIDGCPHMERLCVRNCCNIVVDGTLRAKCSRIKTLILPPLQHMQHARSRYIFHPDDSIFTDRFDDWRSS >SECCE4Rv1G0283010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:832710044:832711945:-1 gene:SECCE4Rv1G0283010 transcript:SECCE4Rv1G0283010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPKSPLDPCGRHRLQLAVDALHRQISFLEGEIDSIEGLHAASICCKEVDEFIGKNADPFLTISSEKGNADQSHGSQKKIRTRWACLRCFPWICGGGCSAVQLKGPSCCCGCPRCCAGSGGCGGGPSCGCSCSCAGCSSSCTCPACAGCGTACCGVVPRPRCCLC >SECCE7Rv1G0504830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:727008642:727009601:-1 gene:SECCE7Rv1G0504830 transcript:SECCE7Rv1G0504830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRINLTDVVRSVQLLRVNGYCMTKTMDSLEGCIKSRWNVYGYDWEIHLYTAGLPGHHSMYISWIWVELFFLGEARTRNVKATLGCRLVDPRGKLKPSEETRRGGTFKNPQDSSSVFRLIEKSALEISAYLNDDSFTVQCTITVLKEIPDLPSISVRRIMEVPSSNLHEHLGELLRSGTGADVTFLVAGESFAAHKAILAARSPVLMAEFFGHMKERSSRDAEVKDMDAAVFKAMLHFVYTDMVPELADEKTETVTTLALAEQHNCPLLKANCLEFIVRTPATLDAVMATDGYQHLETSCPLVLRELLKSARGRKRV >SECCE5Rv1G0355280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731182564:731183282:1 gene:SECCE5Rv1G0355280 transcript:SECCE5Rv1G0355280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLRSAFSKLRMLTILGIFIEFDLIWTTALLEAAPSVETLQIQVWDHLCDIDGQVRLRCFLERRSPQWEMDCRGSKNLLLRELQIIYFRPLKQQLAFIRAMLERAPNLQKIILRDESCESCDALGSPPCSSAERLFPESKDEQEIVVRGITDGVIFSGQVIFDDRKKL >SECCE1Rv1G0018890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:212452319:212473849:-1 gene:SECCE1Rv1G0018890 transcript:SECCE1Rv1G0018890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT1G59820) UniProtKB/TrEMBL;Acc:A0A178WFR7] MSSRIKEMVRVVTARLGGEPSPRAGPSQPGRAESSRTARLGGGGASLRRQPQPQAPTVRTIYCNDREANAPVAYKGNSVSTTKYSVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGRKWESSPWKRLQVGDIVRIKQDSYFPADLLFLSSTNADGVCYIETANLDGETNLKIRKALEKTWDYILPEKASEFKGEIQCEQPNNSLYTFTGNLIVDKQTIPISPNQILLRGCSLRNTEYIVAVVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGRVEDQFNPKNRLVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCAQFINNDLNMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEIYGTGITEIEKGGAERAGVRIDDDEGKRSASAVHEKGFNFDDARIMRGAWRNEPNPEACMEFFRCLAICHTVLPEGEETPEKITYQAASPDEAALVAAAKNFGFFFYRRTPTTVMVRESHVDRMGSMQDVAYEILNVLEFNSTRKRQSVVCRFPNGKLVLYCKGADNVIYERLADGNYDIRKTSREHLEQFGSAGLRTLCLAYRDLSMDQYKSWNEKFVQAKSSLRDRDKKLDEVAELIEKDLILIGCTAIEDKLQDGVPACIETLSAAGIKIWVLTGDKMETAINIAYACSLVNNDTKQFIISSETDTIREAEDRGDPVEIARVIKDSVKQSLRSYLEEAHRSLSNTPERKLAFIIDGRCLMYALDPALRVNLLGLSLICHSVVCCRVSPLQKAQVTSLVRKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRYLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIMVGLFDKDVSASLSKKYPQLYQEGIRNTFFKWKVIAVWGFFAFYQSIVFYYFTAAASQHGHGSSGKILGQWDVSTMAFTCVVVTVNLRLLMSCNSITRWHYFSVAGSIAAWFLFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLILVPVIALFGDFLYLSLQRWLFPYDYQVVQEMHKDDPHEYSMIRLPERSHLSPEEARSYAISMLPRENSKHTGFAFDSPGYESFFASQQGVCVPHKPWDVARRASMKQQRQQPHRTGRS >SECCE7Rv1G0484870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:350123237:350126691:-1 gene:SECCE7Rv1G0484870 transcript:SECCE7Rv1G0484870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLTCFSYGSVAVTTASVASVSGRGCALNRSLQAATTSVYNASLSSGKEILIRVTWSRSTTGAPGLTVAFDNEGLPSLSSSSSRSAPQQHVLRKKRGSRSIVADSGTAVGVHWDVTAAEYSSSPEPSGGDYCLAVVADAELALLLGAGDLSRRFASAQAIQQLFHQITLSLLTASAPAAATGHLLSRREQLRCGDAEATAAHATRCRFREDAEEHEVAVRACGSRDGVDGEVRVSINGEEVAGVLRVGWGFRGNRAIVLADGEVVDVMWDVHDWWFGRRGGGARAQFMAVMADQPSAAPPGGFFLHVQCYRR >SECCE3Rv1G0200280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852115319:852116734:-1 gene:SECCE3Rv1G0200280 transcript:SECCE3Rv1G0200280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAGGKMHVVMLPWLAFGHVLPFTELAKRVARQGHRVTLLSTPRNTRRLIDIPPGLAGLIRVLDVQLPRVEHLPEHAEATIDLPSDDLRPYLRRAYDAAFQRELSRLLQEEARPDWVLVDYASYWAPAAAARHGVPCAFISLFCAAALGFFGTPEALLGLGRHAKTEPAHFTVVPEYVPFPTTVAFRGYEAREMFKPRMAPVDSGVSEDYRYAKTIQGCRLVGIRSSTEFEPEWLQLLGELYEKPVIPVGLFPPAPQQDVAGHEATLRWLDGQAPNSVVYAAFGSEVKLTSAQLQRIALGLEASRLPFIWAFRAPTDAVSGGLPDGFEEGVAGRGVVCRGWVPQVRFLAHASVGGFLTHAGWNSITEGLAQGVRLVLLPLVFEQGLNARNLVDKKISMEVARDEQDGSFAADDIAAALRRVMVEGEGEQFGAKVKEMAKVFGDDEVNDQCVRDFLRHLSEHTKKNQEQD >SECCE4Rv1G0240870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448170803:448170976:1 gene:SECCE4Rv1G0240870 transcript:SECCE4Rv1G0240870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEALIIVLILVVGLVYAWRKGALEWS >SECCE6Rv1G0444530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:828989526:828991854:1 gene:SECCE6Rv1G0444530 transcript:SECCE6Rv1G0444530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSGPRRSSTQEPDGRCDGADRISALPDDLLLDVLARLPCAGAAARTRVLSRRWSGLWARLRQIVFRDVPFPSLEAALVRIPPPPPAVSLLEIRVPPKQRRRGESAFPKPNQNQDRAGSAVVNSLLRAAALLAPEKLVFCLPSYLIGRHHLTVDLPRFDGATSIALDFPSPFSLGLPAGADFPTLKALSLTYCITNLNAWLSCCPRLRTLRLCRALYPSHKCDIRVNSPSLQELVVYREASLTQHVDIVAPALKELTLSFSTMTLASISVLAPLVEKVSWQCCYLGSYIVFGFWGINKLQLQTADRLGQLSSLQIHAYADMSFFHAEAGNFAQELEKHMVAAFSVLELHLTAKGHAFGGFVFHLLGMDRVRCVTQRLKIILRKSPMKGGCSPLCACEFPNWKPQIICLAALEEVEFNGFEGEDHEFDLLKLLLGCAPMLKRMIVKLSQETSASNDGCGKIYNIFEACSSVQCDVYDNSGLMLGCYN >SECCE4Rv1G0286390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849320787:849323670:1 gene:SECCE4Rv1G0286390 transcript:SECCE4Rv1G0286390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLCMAIVALAAVAAAAAAAVEHTFVVSEMKMTHLCNETLVTVVNGQLPGPAIEVTEGDSVAVHVVNKSPHNITIHWHGLKQRLNCWADGVPMITQCPIRPGHNFTYHLNVTGQEGTLWWHAHVSCLRASLHGAFIIRPRHAYPFPKPDKEIPIVIGEWWSMNLAQLAKNMEDGYYDDTSSATTINGKLGDLYNCSGVVEDGLVLDVEPGKTYLLRLLNAALYSEYYVKIAGHEFTVVGADANYVRPFTTDVVAIGPGETLDALVVANAIPGKYYMVAVGGQAPKPDIQIPETRSRATVRYNNGDEAALPVAPEMPDQHDFMVSFNFHGNLSSLNRTGSPQVPTTADESLFVVLRMGSICRQGRLSCKRSGSKESIIVETMNNVSFQLPAAAAATPLLEELYYDHRRNGTAGGGGLDQLYTLPDRPARPFNYTDRALIPWGPNEAWLEPAEKAAAARRFRHGAVVDIVFQNAAMMDTDNHPMHLHGHDMFVLAQGHDNYDTVRDVARYNLVDPPLKNTVLVPRLGWAAVRFVADNPGVWYMHCHYELHVSIGMAAVFIIEDGPTLESALPSPPVDFPKCNQ >SECCE4Rv1G0214610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:937019:940455:-1 gene:SECCE4Rv1G0214610 transcript:SECCE4Rv1G0214610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAAARAAARAPGRAAARFVQTRLRSSGKVLSEEERAAENVYIKKMEQEKREKLARKGPSAGEQAPSTPTPAAADVNTGGAGSTASASAAATSTDKNRNYAVLAGTLAGLSALGWYLLSKPKKTEEVVD >SECCE1Rv1G0049740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642894538:642897122:1 gene:SECCE1Rv1G0049740 transcript:SECCE1Rv1G0049740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPKVGESSKNLRKPKPWKHSQPITPAQLKQMRDEFWDTAPHYGGQKEIWDALRAAADADLTLAQTIVDSAGIIVSNPDLTLCYDERGAKYELPNYVLSDPTNLVREG >SECCE7Rv1G0520940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:872395669:872398160:1 gene:SECCE7Rv1G0520940 transcript:SECCE7Rv1G0520940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTKADAASDLDPPSLAEASELVFSVARAMEGLAKEIRLLDQSHRKWVPRWIKVATTELELLKTNMLQIWFFSVVVDPGDKAPAQQARDATYSIEVIQDKIEYCRLYSSLVPRNKILNHLFKMLLARRCRWNRFNPNMIIKEIKDVNEKARRLVPLLDKGECQFSNPPLLPLGFVGDTHQTTVYGRNRERDEFVQMLISLCNEAAPEMMASLVGDGGIGKTTLAQIVFKDESVRQHFDVRCWVSVSSVSNQMELMVEILRSAQPSWVGSDKKRILDFQVLQSELHRFVAFKRCMIVLDNVCKSKDEILLDILTLFLASNIRSIILVTSRMIPHMLGASQVYTVNPLGTCFPIDSENVHPDLQLIGKEIATKTNGSPLAAKLVGGLLGETRSKAQWRNILETGLQDGTAFSALLLSYKKFPGPLKRCFTYCSLFPEDYKFDPSHLARLWIAEGFVHPRGRVEKRMEHIAREYFNQPLSRSFFQEIKIGQKTYYLVHGLLHELAKSAAEEDCFRIDYGMNCDIPSMVRHLSVTVNNLPGLANFSGLETLRTLLIRSSVPSSNSCFQEDFLVNLKGIPTKSKHLHVLDLSCYNSEELPNCIADLLHLRYLSIHGSIQRLPDLIGRLRHLKTLHFTKECSLEKLPAGIIMLVNLRHLIVETKYTAGLVGIGRLANLQGSLELHIEKREGRKLEELRNINGLRGLLKIKGLENVSSYKEACKAELNKKTHLKSLNLEWSSAGRNNPPPTDAKVLEGLKPHQGIKVLHIRRYCGTKAPSWLQSLQQVRSLHLINCRSLVILPPLGNMGSLRYLHMKERDTSPTYL >SECCE4Rv1G0229560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:151061897:151068006:1 gene:SECCE4Rv1G0229560 transcript:SECCE4Rv1G0229560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAIVVLVSLILAVVAGGASAQLSSGFYSRSCPGMIKAVRSALRPAIARERRVGASIVRLFFHDCFVQGCDASLLLDDAPGLRGEKNAMLICLVSPNSVRGFEVIDAIKAAVEKECPGVVSCADILAIAAEESIVILGGPSWEVKMGRRDSTTASFNGAENNIPPPTSGLANLTSLFAAQGLSQKDMVALSGAHTIGLARCTFFRDHVYNDTNIDSGFARSRQSGCPRTAGSGDNNLAPLDLQTPTVFENDYYKNLVQKRGLLHSDQELFNGGGADALVRQYIGSQSAFFKDFVVGMIKMGDITPLTGSSGQVRKNCRRIN >SECCE3Rv1G0203690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:884577673:884580236:-1 gene:SECCE3Rv1G0203690 transcript:SECCE3Rv1G0203690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGTSREERWSLAGATALVTGGSKGIGHAIVEELAGHGARVHTCARSAADLEECRRRWEAKGLPVTVSVCDVSLRAHREQLVETVKEVFDGKLDILMNNAAQAPAKAAVEWTSEEYSHLMATNLESCFHLSQLAHPLLLGASIAGGGSIVNISSIGGALGFLGHALYGITKGGMNQLTRSLATEWAENKIRVNCVAPGATKTDMFNSLAPEIIEKELARIPMRRLGEPEEVAAVVSFLCMPAASFVTGQVITIDGGRTISA >SECCE4Rv1G0289750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:867646425:867649156:1 gene:SECCE4Rv1G0289750 transcript:SECCE4Rv1G0289750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVDDATAEILLRLPPDEPEHLLRAALVCKRWLRIICDPGFLRSYRAFHGAPPLLGLLHRLMFCQLGPPCPASHPPPPCPTSPTRAPTAASQAPSTTATAASSPTWVRTPAITDRHAVPTADDWLIQSVTVLCAVDGCDHLDCHGGPFRLLFVATHGYTYKIIASVYSSETGEWNEPVGLHNSCETYARHMQEGLADPHRYDPNGDAVYFTVRLGNAIVEYDLDNDRLSMIDPPSAARDVCYISLMAMEDSSLGFACIKGSSLYIWSRKVDMTEAAEWVQYRVIDSGAGLFTIKLNSGQVKKVDESGVSFSVLPYMSFYTPDRDRLLSLSRTQ >SECCE1Rv1G0059380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:705569325:705570654:1 gene:SECCE1Rv1G0059380 transcript:SECCE1Rv1G0059380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQGPIVGRITATAAARWKELHGERSWDGLLRPLDLDLRRTVIWYGEMAQATYDAFNHESHSPHAGLSRFGRKRFFERVMLPGHAAAYRVTRFLYATSSAPAAPAAAFVKGRHPRHPCRESNWIGYVAVATDAGKAVLGRRDVVVAWRGTIQALEWVDDLEFSMVHPQGILGDAAGGDAMVHRGWFSIYTSTDPASTHSNDSARSQVLAEVRKLVDTYKDEEVSITVTGHSLGAALATLNAFDIAENGYNCTASATLPVTAFAFASPRVGGAGFKKRFDVAAAVGLRVLRVRNARDIVPRYPALLYHDVGSELAIDTGASPYLRATGDERVWHNLECYLHGVAGAPTAAGAAFELVVERDVALVNKLYDALREEHGVPAGWWVPRNRGMVKGDDGRWRLLDCEDEDGEDADVPPVNK >SECCE5Rv1G0298170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10046518:10047495:-1 gene:SECCE5Rv1G0298170 transcript:SECCE5Rv1G0298170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSAVEGSSKPAMNLGRLQYPMLADNNYAAWAIKMEAYMRAQGVWDAVVAKDPHKVSPRKNQMALAAIYQAIPEGTLFLLSQKKTAKSAWEALKTMHIGDQRLRDAKLQTLKLEFEGLRMKETESVDQFAVRLTTVVNKIHALGEHIEEPYTVKKFLRAVPNKYLHIASTIEQFGDLDTMTLQEVIGRLKVHEERLGRLGAAEKENELLTRAEWIAREEADHLSHGASKVYASVAKILLRDAEKENELLTHAEWKAREVVDQLSHDASKVYASVAKILLGKSGGGYGGDTYRVSTSVAKILDTLLTSAARKERELKSLIAEAHE >SECCE5Rv1G0365620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:808326014:808326283:1 gene:SECCE5Rv1G0365620 transcript:SECCE5Rv1G0365620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVPMEYGAMTTTVAEEQGWETPRREDCRIPALPTCPPPPPRKKPAVELGRAAPRREPPKGGYFQPPDIESLFMLAPPRRHTASTCA >SECCE2Rv1G0140500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931534274:931539388:1 gene:SECCE2Rv1G0140500 transcript:SECCE2Rv1G0140500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPFLPAAASSALLQGKKKEGNAAKYITRTKAVKYLQISLAVFRKLCILKGVFPREPKKKVEGNHKTYYHMKDIAFLAHDPLIDKFREIKVHRKKVKKAMAKKNRDLADRLLNRPPTYKLDRLIIERYPSFVDALRDLDDCLTMVHLFAALPAIEGERVQVQRIHNCRRLSHEWQAYISRTNSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFVNFKLYHSINVNYPPILDPRLEALAAELYALSRYMSSGRLPGNPESNGFIEDKETENNKESSKTDESELRLAQLQHQLPANEPGALMHLVEESTADDTEEESVKECRTLFKDLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFDETDQDITHQIVDRPTQSHVFLSRHYVQPQWIFDCVNILKVIPTDDYIVGRVPPPHISPFVDNDKEGHIPDYAVTLEGYKSAGQNQVMPLPGLGDEDLGNSIVEAKSENNEFAKKKKELEMQEKKYHEELKMEIEGTTFSNLANKKADSAADVADEDEAQAAIEQAEKDADDIAKSVVSRKKRGLMEAMKISNDRKKSKVELLKQRKKAAESSASAKSSASAKRR >SECCE2Rv1G0086860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:216454480:216456015:-1 gene:SECCE2Rv1G0086860 transcript:SECCE2Rv1G0086860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLSHPSLTAAQLRQLHGHLLTSSLLGDRYLPNILLRGLLPDAPLRALALFPRLRRILPAFLPNNYTFSFLLTAAAPAIPISSPPCSSSAHTKFVCALHALAVALGWDAHAYVSNGFIHAYACRGLLDAARRVFDGAVLSRTDDVCSWTSLLTAYARAGHMDDARALFDGMPQKTPIAWSAMLSAHVGAGAFADALEVFDGMLRAHVRPNRAAVVGVLAACSALGALEQGRWVHALFAAAASRMDRVVATALVDMYAKCGSLDSARQVFAAMPEPERDVFAYTAMISGLSDHGHCQEAVELFGRMRDLGVRPNEVTFICVLSACARAGGGLVSVAKEIFGSMSAVHGIEPGVEHYGSLVDVLGRAGMLAEAAAVARAMPMRPDSYVLGALLNACRVHGGHGVKLGKQVVEWLAELGLDHSGVHVQLSNMYACSSKWEDVVRIRSVMEEKKVAKVPGCSMVEVDGVACEFVAGDRFLDPCINTVVRGLDQQLRLLGHDYRHLEELSNLM >SECCE5Rv1G0358700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756822237:756825961:1 gene:SECCE5Rv1G0358700 transcript:SECCE5Rv1G0358700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVKKVCASGAKARAGLLQIGSSSVETPALLLSTRKGLPAFMSPDLLSSLPLPDSLLLNVCPTHFIEVPSSKTISNIGGLHRMLGLPDHILVAAAGESTECLPSSDATNKFGASFETPAGRKLVKPSDYMELISCLQPNLWASLADEVPAWVNEKRNKTSVERTLCWLDACIALDAASGRNSLGVVVGGSSIEQRKLCATEVSKRNVSGFWIGGFGLGESVEERCSLLNAVTDCLPLEKPRIVSRLGLPEEVLEGVASGIDLFDSTYIYQLTMGGFALIFPIDMVEREMQNGVFDSSAGDSAKINLRATTYRKDTSRIVDGCTCFTCQNHTRAYLNHLINVHEMLAQILLEIHNTHHYLRFFRSIREAIKAGEFDIFWKQFVENRRSQIAAAAI >SECCE5Rv1G0310740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:174301913:174304374:-1 gene:SECCE5Rv1G0310740 transcript:SECCE5Rv1G0310740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVVVSTGCKGGNVGKRKGGGGGDEAEERERQQLSVLALLLAAVRRSVVACRVERGPDRVAGGGGGCRWGEDDEDAAAPELAEMEIGWPTDVRHVAHVTFDRFHGFLGLPVEFEVEMPPRVPSASASVFGVSAESMQCTYDGKGNSVPTILLLMQERLYGQGGLKAEGIFRINPENDQEEHVRDQLNKGVVPVDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLQCNSEEEFLELVTLLRPTPAALLNWAVELMADVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLREREDVATGEYTPYSSPASSGRHSDAEYYGSEREMMDRSCELSDMHSQISKSGGQVDYLVRYNTCFDSEQEGDHPLTEAEEVFLDRLESQLEDDRPEGSTSKQCEVSSEIVEMEDDNPELKSESKALEDIQEEEGAELLK >SECCE3Rv1G0170530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:255103785:255108434:1 gene:SECCE3Rv1G0170530 transcript:SECCE3Rv1G0170530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDKIQVANPIVEMDGDEMTRIIWKLIKDKLIFPFLDLHIKYFDLGLPNRDATGDKVTIESAEATLKYNVAIKCATVTPDEGRVKEFNLKAMWRSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDTIIRGPGKLKLIFDGIEEQIELDVFNFSGAGGVALSMYNTDESIRAFAEASMNVAYQKRWPLYLSTKNTILKKYDGRFKDIFQQNYETNWRGRFEDAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLVCPDGRTVEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSTGLAHRAKLDDNKRLLDFTQKLEAACVGTVESGKMTKDLALLIHGPTVSRDKYLNTMEFIDAVAEELRTRLSAKSKL >SECCE2Rv1G0092340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:352275326:352278086:-1 gene:SECCE2Rv1G0092340 transcript:SECCE2Rv1G0092340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKEVGRIFVGGLSWDTTERTLERAFGEFGKVIETQVVTERDTGRSRGFGFVTFSEPRAVDVAIREMHNGELDGRTISVNKAQPRMNNDDGGYGYGGSSYPSGARGGYRGAADIVPAASDDCFKCGRPGHWARECPYSDGGRPGRYSPPSRYGNGAGGRGDRFGGSDRFTNRYVDDRYDGGRYADDRYGGGRDRYPPAADRFPGDRYGGGDRYASGGFARERSYDRDGGRPGGSYYRDEPRGTGGYGRGGPRVANGDRYGSGGPARLGVSYRDRPAPYDRTTRGSRSYDERY >SECCE3Rv1G0153220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:52859713:52862958:-1 gene:SECCE3Rv1G0153220 transcript:SECCE3Rv1G0153220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIMSLPWSLVLALTIAVVSAGDEAALLAFREQISDGGPLASWNSSASFCSWEGVTCSHWTPKRAVALRLDGRALVGALSPALGNLTFLRTLNLSFNWFHGEIPASLGRLRRLRRLDLGDNSFSGMFPVKLSSCISMTEMRLRNNKLGGRIPAEVGNKLKSLEVVSLRNNSFTGPIPASLANLSYLQNLDLGLNQLVGSIPPGLGTIHSMQQFSVVRNNLSGMLPDSLYNLSSLQVLNVGVNMLYGTIPADIGSKFPMMKTLALGGNHFTGTIPSSISNISSLVALGLVQNGFSGYVPPTLGKMGALQYLNLADNKLEANDNKGWEFITSLANCSQLQKLILSNNSFEGQLPGSTVNLSTTLQQLYLDDTKISGSIPADIGNLVGLNVVLIANTSISGVIPDSIGKLDNLIELGLYNNMLSGLIPSSLGNLSQLNRFYAYSNNLEGPIPASMGELKNLFVLDLSKNHQLNGSIPREIFKLSSLSWYLDLSYNSFSGPIPDDVASLANLNILILAGNQLSGKIPDSIQNCIVLQWLSLDNNSFEGSIPQSLKNIKGLTILNLTMNKLSGDIPDALASIGNLQELYLAHNNLSGSIPVALQNLTLLSKLDVSFNNLQGDVPNEGVFRNITYLAVDGNVNLCGGTPQLHLSPCHTNPLSKNKKKMQKSLVISLATVGALLLSLSVILLVWILYKRLKPSNKTLSQNSIADDHYKRIPYQVLLRGTNEFSEVNLLGRGSYGAVYKCVLDNEERTLAVKVFNLGQSRYSKSFETECEAMRRIRHRCLVKIITSCSSVNHQGQEFKALVFEFMPNGNLAGWLHPKSQEPATSNTLSLAQRLDIGADIMDAVEYLHNYCQPSVIHCDLKPSNILLSDNMSARVGDFGISRILQENTSGGMQNSYSSTGIRGSIGYVAPEYGEGSVVSTHGDIYSLGILLLEMFTGRSPTDETFGDSLDLHKFVEDALPDRTLEIADPTIWLHGEPKDDMASSRIEECLASVFRLGISCSKTQPRERTLIRNAAVEMHAIRDAYLLFAGKHIGEHGAKGEPRLKTFRLE >SECCEUnv1G0551600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:236919417:236922419:-1 gene:SECCEUnv1G0551600 transcript:SECCEUnv1G0551600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAPVRVNVGFAAGVLFALLVCLILQQEVAMTGLSVATTAAVGQWITLKQLTRDPGAMQLIKAPGESETLVASDVQRTPDAQPIQGSDNGKVVCNTEGRSRDWSETCEVDGDVRTNGTALSVTLVPTSRSSEHREWMISPYARGGQSLRSVTITQLPDRSAAPPCTLTHTMPAVLFGIAGYVGNYWHDYTDILVPLFVASRRYHGEVTFLVSNIQHLPRWLVKYKALLQGLSKYEIVDMDRDAYVRCFPRVTVGLHLDKELTIVPELVPGGPLTMADFTRFVRETYALPRGATTREPGKKPRLLLIHRGEFRRFLNEPEILQAAEAAGFEVMLSEPRVNGSEVDQARLVNSFDVVLGMHGAGLTNAVHLPPGGVLIQVVPYGKMEYLARAEFSEGATDMGLKYLDYSMSAEESSLMETLGPEHPAIKDPESIHRSGWENMFELYLKQNARINTTRFAPTLAQAFDHLRQQ >SECCE5Rv1G0363720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:795935204:795938644:-1 gene:SECCE5Rv1G0363720 transcript:SECCE5Rv1G0363720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLACGCKFADPDTVVPSAAAASHRVRPDTMSCGSDGCRDGGGSGGGGSDGFGRSRPSKVAADDSAEPARCSDATSPPGSWIDRKLLVDPKMLFVGSKIGEGAHGKVYKGKYGDQIVAIKVLNSGSTPEEKLTLEDRFIREVNMMCKVKHDNLVKFIGACKEPLMVIVSELLPGMSLKNYLNSIRPSQLDIHTALGYALNIAHAMECLHANGIIHRDLKPDNLLLTANRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLQRGEKKHYTNKVDVYSFGIVLWELLTNKMPFEGMSNLQAAYAAAFKQVRPAFPEDTPQELVSIVQSCWVEDPAMRPGFSQIIRMLDAFLLTIPPPPASEADEDATESEDTTSSLSSKSSSVSSIVSRATSKLSVVRHLFASKKGGSNGKA >SECCE3Rv1G0150160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30760352:30760867:-1 gene:SECCE3Rv1G0150160 transcript:SECCE3Rv1G0150160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRMLNSNATLMVIMGLLIMSLAINSATAAQCGCCISARAKACCFTCIAAGGSNTICKNTCCFPCVLADSVVAKMVEMGVQAHMEGEL >SECCE4Rv1G0256000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:653372347:653374133:-1 gene:SECCE4Rv1G0256000 transcript:SECCE4Rv1G0256000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGGGAVRSLLDRLRPPPRGRRSPAMPPPAAAAAKGACFCSFSHSGAYGEGRRKGLLDLGSGRRFAPGSALSLKGCLDWQDGGRFRRVDGGDGDAVEIKARVLAPHRQFVRDAEVQLSEEVGVKSVDGNGAYRRGKRLDFPEQPVPTKMVVAVDVDEVLGSFLAALNRFIAERYSWNHSVSEYHVYEFFRIWNCSREKANLLVHEFFTTHYFQDGVHPIPGARDALHNLSSFCSLSVVTSRQDVIKNHTLEWIEKFYPGLFEQIHFGNHFALEGQSRPKSEICRSFGAQILIDDNPRYALECAEDGMRVLLFDYDNTYPWCKTGVDQSHPLVTKVHNWQEVEQKLLSWVAPES >SECCE6Rv1G0409440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:589136466:589137644:-1 gene:SECCE6Rv1G0409440 transcript:SECCE6Rv1G0409440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSRHALRGGVRKSFGRSRSGPAASAAGAAHHTVALKSSTLGSLSLDRDEEMMKWRADSFGGAAKSKATPAPPPQPQLAARRQRPVVGTPTKTPVREPEVINVWELMEGLDDNRNEERDAAAEGDEEERPEKSPPGSPEFDPEVISAFRKALGEASPPRDCQGDGELVKKREIQRFPGIVRARVSAFQQRIDAKLAKMARPPTPTTTPTPTPTPTSPPPSPPPQPRLPPPPDSRRKVVLYFTSLRGIRKTFEDCWATKSILHGYSVRIDERDLSLHGGFKDELHASLGSAGRLPQVFVDGEHLGGAEDVRRLHEAGELSKALEACEMAPASVGGKGAALEACSGCGGVRFVPCEECSGSCKVFLEELDSFRRCPDCNENGLVRCPLCCL >SECCE1Rv1G0027200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:379569363:379569635:-1 gene:SECCE1Rv1G0027200 transcript:SECCE1Rv1G0027200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRDTRLRLTLLLVALLLLVDMASVSHGRRTPDVDAMAAVGGAPPRAKGYFAEQASSSTARQRKAHVYRRMHRVSKRLVPQGPNPLHN >SECCE2Rv1G0067670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19605949:19607486:1 gene:SECCE2Rv1G0067670 transcript:SECCE2Rv1G0067670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTQVLPGELLADVLRRVAPRGLAACRCVCKALLGIIDARRLLRTDLLPHSVGGIFINFHSEGLLEFFARPSTGPTISGSFDYLPPTDGRSQYRGKIEDQCNGLVLLGDYLENYYVVNPATRQWDSLPPHPSMSRTKEMDTDFRYQEYLVFDPTVSPHYQVFVIPNPRHKTKPGDFGYDRRLDELDPVMEKSEWPPSLCALPVFSSRSGRWEERSFIRDGEAAGTIADMRQHWEWYYDKHYAVYCRGALYVHCKTDFIMRISLSNDKYQVIKPPIHGELGKCQKLHLGRSEKGVYIASCEASHLIVWVLDGSCGQMNWVLKHSSCLPPILDYDLPVLGPWVLQDINYNGYLKERKELSEGGEDTEYMKYLKENKLELNSGKEELVEEKFEWDSENDNILHKEDVVDAHSNGYFDILGFHPYKETVFLGVSMYRGIAYNFKDSKVQDLGYLYPTTCHLALRNECFITESFPYTPCWTVYQ >SECCE6Rv1G0427480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:719755202:719755577:-1 gene:SECCE6Rv1G0427480 transcript:SECCE6Rv1G0427480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGGRKFGGGRLPTGTPSLAWSSVAVVASLLAGASVVHNVYKPDMTIPPVESADGGGDGNGGKQS >SECCE2Rv1G0102430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:577435527:577436777:1 gene:SECCE2Rv1G0102430 transcript:SECCE2Rv1G0102430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGGAIAIALLLCACFLTALACDGNGAKFGYAGPLGPDHWGALNPNFTRCAMGTYQSPVDIKTSEVAYNPMLGPLHRKYTAANATLVDNIVNIALRCEDAAGTVQIDGVKYKLDNIHWHSPSEHTINGERFAVEQHMVHFSDEGNISVVAILYRLGRPDPFLMQLQDKLSELYVEACRAEKGAPIPAGVVNLWPLRRYANMYYRYVGSLTTPPCTENIIWNIHGRVREMTMGQAAALIAPLEEGYRRNNRPTQPLNGRTVQFYGRFWKKNGNGKP >SECCE4Rv1G0217990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19502464:19506108:1 gene:SECCE4Rv1G0217990 transcript:SECCE4Rv1G0217990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGRRPRSPERQRPPARKVPVVYYLTRSRHLEHPHFVEVPAPSSSDGLYLRDVIRHLNMVRGKGMASMYSWSCKRSYKNGFVWHDLAEDDLVLPATDGEYVLKGSEILDQPSSGQFHHASNGNQKQQSRQKEGSTRLPLSREASFSSSPPSVTVREAKPRRVPSVPSRDEDDSPSPCRGTSSETMSPESEPQRTVMSRPMPAEFRVFKPTGLMDAATQTDDLGRRSARRVPEMHKKSLSTDHDAVVRDVTEYRQQSHPRRSAELQGISRDVMSRCATPLSMASTHGKSESLESLIRADNASANSFRILEEDDIVVPTCPKLRPANVLMQLITCGSLSVKEHDNIGLVGAYKPRFPNLKFPSPLISRSMMMGELDYLSENPRFIGTRFEEKEYFSGSIIETKTQRDVPTERHSVLKRSSSYNAERGGEKLCECAGPDEEEMVPRSRCLPRTPILSSLLHPKSETQKSPVSDCRRSSSAGPDSIDGGSKRFTDAPGSRAESFRKESKEKLVKIEESCRRELAS >SECCEUnv1G0546720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:161523495:161529207:1 gene:SECCEUnv1G0546720 transcript:SECCEUnv1G0546720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDTQQNKGLAAVVVPAPMPRKGLASWALDMLERLVVRLGHHKKAEPNPWLSGNFAPVLHETPPTAGLPVRGHLPECLNGEFLRVGANPKFAPVAGFDGDGMIHAVHIKHGKASYVSRYVKTSRLKQDEYFGEAKFMKIGDLKGLFGLFMVLTQELRKKLKVLDATYGTGPANTAFIYHHGKLMALSESDKPYVVKILEDGDLQTLGLLDYDQRLKHPFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGLMLDPVPITVPESVMMHDFAITANYSIFMDLPMFFRPKDMVKNDEFIYKFDPTKKARFGILQRYEKDDENIKWFELPNCFIFHNANAWEEGNEVILITCRHNNVDLDQVNGHRSDKLEDHGNELYEMRFNMKTGAASQKQLSVSTIDFPRINESYTGRKQWYIYCMILESTVKVTGILKMTGIIKFDLHAKPERNKEHLEVGGNVTGIYDMGPSTFCSEAVFVPKEPGVSGEEDDGYLIFFVHNENTGKSEVNVIDAKTMSPDPVAAVELPSRVPYGFHVFFVNEEQLGHQVEQ >SECCE7Rv1G0512480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:811742165:811743584:-1 gene:SECCE7Rv1G0512480 transcript:SECCE7Rv1G0512480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE4Rv1G0295570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898851419:898852237:-1 gene:SECCE4Rv1G0295570 transcript:SECCE4Rv1G0295570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSGAPPITMKLLVDTRPPRPRVVFAEAGKDAVDFLFSLLTLPAGTAVRLLGKESVAGSVGNLYASVERLEGSYLRPGFAKDALLRPAVHASPLLRLPAPPAPAPRAFFTCGATINHFNYQGCRGYVSDARGARCPSCGNEMATESQYVPPPAPASPQQQPEQSASTVKTGYVQGAVTYTVTDDLAVTPMSAISSMARLGALAAAGGLAALQERTVQLGYEEGLEILKASLRSKTVLSDVFLGTGGRKPRPSSKNKGGGGTRYECLTWRV >SECCE2Rv1G0082610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:154416471:154418651:1 gene:SECCE2Rv1G0082610 transcript:SECCE2Rv1G0082610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSVLGFMRQALVKRSYPPALRLYSVACCLKSSDHQEPGGSFFFSEYRNQCVLPLITLAVRTSDWNKASKITFRECVKLYGLSRSVGLFALLMQSFLPRRIREIRCFMRSIVDYCGSAGPELFELAPMLVSNLGGSMTLLQVHATVIRVFVELSMFEDALLTYIEAKKVGVELQLSNFLLKCLVQRNQIMSARSLFDDMNTSGPLPNVYSYSVMMSAYTHGDMLCLEEAFELLCEMEMKGVKPNAVTYGTYLYGLCRTRQVSSAWNFLQMLCQRGYPRNSYCYNAVIHGFCHEDQVQKAMEVFDGMKKGGFIPDAHSYSILVDGLCKQGDLLAGYDVLVEMVRNGIAPTLVSYTSLLHGLCRAGKVELALDLFRRLKEQGVKHDHIVYSIFLDGCCQHLDLDFVYDLWNSMVHHNFIPDAYNYTSLIYAFCRHSYLKEALGVFELMFEKGISPNIVTCTILVDSFSKEGMIDEALLFLDKVRQLGIVPNLCMYRVIINGLCKVNKCDDVWAFFADMIKRGYAPDTSIYSIIIDGCVKALKCEEAFRLFHKMLDEGTKPNIFTYTSLINGLCHVDRLSEAVTLFKHMIWEGLTPDRILYTSLIACYCKRSNMKAALEFFREIEKGGLSADAFVYTCLIGGFSKVLAMDGAQWLMEEMINKGLTPTVVTYTDIIVGYFKIGDEKKAHMMYNSMLQTGIRPDEKLSSILGFDNDGDSFQDPQEEKDIS >SECCE5Rv1G0342600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633018958:633020217:1 gene:SECCE5Rv1G0342600 transcript:SECCE5Rv1G0342600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKPRPAASSSGDLPADALYEVLLRITAKDLCRLRVVCPSWRALTSDPLFVTAHTFRHRTAPPLLAMGYRDHSGVSGVAISDLSGIVVKRIPSTEYELVFVNESGDAIRRFTSNDDSICVVRTRLDLVCFNRNVLSGFFWVLNPVTGATIDLPLDFSEEIAHELEVKGIKEWGCLDESFAFGQVSSSREYKALRISRVYDQKVCEVITFDDTNHGSWRRKQDPPSNIYTSDKMRCAVVDGVVYFLMEFCSSYYETGFFNIEPGSVASFNLDTEEWMGVLHGPEQLQWFVQENEEYSVLELEQGLSLAELNDCLVMVHNIHKVSMDLWFLTDFEKGIWVNKYSLPSHVARLFWYPFLMLDDGRIVFSVMEGLEGILSGGEQGEGFLISYDPRNDTCADALKLKDPRSIGIYTGSLLSL >SECCE4Rv1G0216140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:9007899:9010212:-1 gene:SECCE4Rv1G0216140 transcript:SECCE4Rv1G0216140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRARATIPLLLLLGTSLLFAAAVSASHDDDEDTRGGHSLQQCVQRCQEDRPRYSHARCVQECRDDQQHHGRHEQEEHGRGRGQHGEGEREEEHGRGHGRHGQGEREEEHGRGHGRHGQGEREEEESRGHGQHGEGEREEEESRGHGQHGEGEREEHGRHEQGRGQRGEGERDEEQGGSRRPYVFGPRSFRSIIRGDHGFVKALRPFDQVSRLLRGIRNYRVAIMEVNPRSFVVPGLTDADGVGYVAQGEGVLTVIEKGEKRSYTVREGDVIVAPAGSIMHLANTDGRRKLVIAKILHTISVPGKFQYFSAKPLLASLSKRVLRAALQTSDERLERLFNRRQGQEKESISIVRASEEQLGELRRQASEGGQGHHWPLPPFRGDSRDTFNLLEQRPKIANRHGRLYEADARSFHALAQHDVRVAVANITAGSMTAPYLNTQSFKFAVVLEGDGEVEIVCPHLGHDSERREQGKGRWHEEEDDDQRQQRRRGSGSESESEEEQDQQSYETIRARVSRGSAFVVPPGHPVVEIASSRGSSNLQVVCFEINAERNERVWLAGRNNVIGKLDNPAQELTFGRPAREVQEVFHGKDQQDEGFVAGPEQQSREHEQERGEEETHRRRGDRGRGDEAVEAFLRMATGAL >SECCE5Rv1G0308600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:134438367:134442092:1 gene:SECCE5Rv1G0308600 transcript:SECCE5Rv1G0308600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLAGIDLQILSVQVTQSTDFTELSNQEPWLSSTKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSDRLGCTISFSECGGIEIEENWDKVKTIFLPTEKPMTLDACAPLIATLPLEVRTKIGDFIRGSFSVFQDLDFSFMEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGDIEFPLPFGRVLSPSESYIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEEEVLHYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARVNIYVRRGGPNYQTGLAKMRALGSELGLPIEVYGPEATMTGICKQAIDCVMAEA >SECCE3Rv1G0150350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:31559003:31562906:1 gene:SECCE3Rv1G0150350 transcript:SECCE3Rv1G0150350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g32500 [Source:Projected from Arabidopsis thaliana (AT1G32500) UniProtKB/TrEMBL;Acc:Q058Q9] MSPPSLCAAPPRAGPPPPPLLRLRRRAASSVPASRAAAPSVSDDLVLRIAEQLEDSARPSPLLGPLRSASALSLLTTPWPTRRSNEAFRFTDISYLRSLPISTPARTPGLAPPPPPSPDLESHVLFSDGRLVSASGAHVSALADMPPGHARDRAAAAVAASARFPHRDIFYDFNAVGASDVAVVYVPEWAKMADDPVHIMFAYSGSDGASLMMSNPRVLVIAEKGAEVAIVEEHFAVGEEDGGCYWANPVVDIIVEEGARVVHSYVQRQSPAAAHTKWTTVQQNTSSKYEFVEVSTGARLNRHNLHIQQLGPETETELSTFHLTSQNKQIHDLHSRLILDHPRGFSRQLHKLIACGTGNGIFDGNIQVNRYAQQTDAGQQTKCLLLSPKAVVNVKPNLQIIADDVKCTHGAAISGDLDPNELFYFQARGVDANTATDALLFSFGAQVINRIPFKAVEKKALAQLKELLAVSRQNN >SECCE3Rv1G0190000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:733442606:733442866:1 gene:SECCE3Rv1G0190000 transcript:SECCE3Rv1G0190000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIGAAVLCMALIVGAGLVATPTEANALADVVYAPAVTNMAAGAATVASGAGVRPGRWSIARRLQGDAAHKREVPGGPDPEHHH >SECCE2Rv1G0123900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828298183:828299607:-1 gene:SECCE2Rv1G0123900 transcript:SECCE2Rv1G0123900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADGRAAAPGGDATTDPSSPYFIDAAHPYAAAAASALTSHRAKSKWSQLASLPLPDPLPASAVSGVLLLLRHRPHVALSFHQFALRRLLPSRSPPPLILSASAAHVAAASRLRRAAISVLSSATCHYSPSQIFNALAATYRRFASAPFVFDLLLLAYLRSRREPLAAASIARRYLASGACPLPSTVALLFRSLPCAESALEMYHQIYTRPGPRTNRALQPTAQTFNSLLLAFYRHGKCQDFDIVLDEMDRYSCKHNVGTYNIRMAACCDDREMEKARGLWDEMVQGGIQPDVTSYNTMIGGYCAAGEMGMAEEMYKDMEISGIEPSVTTFEWLVRGHCRTGDVDAAMLVRVDLRRRGFGMAAEVVEEMVDRLCQKRRVEEALGILRAEMKREEFTPSRGSYEVLIRGFCEKGEVEVAMRLQAEMAGKGFKAGAEVYHAFVCAYEKDEDHEMVERLRKEMAVIGIEDGSDLDCM >SECCE6Rv1G0448380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:855795436:855797987:1 gene:SECCE6Rv1G0448380 transcript:SECCE6Rv1G0448380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRSENHRGAAMEGVKLASEAAANTNRRALRDIKNILGAPHQPQAVSKRGLQEKPAAAGAKSQPGAVGHRPVTRKFAAILQQTQPANAPLAPIGSERQKRNADTAFNAPSDMECSKASDDDLDEMMTNELKEIDMEDTEEEEEMPDIDSCDAGNSLAVVEYVDEIYSFYRRTEELSCVSPTYMAHQSDINEKMRGILIDWLIEVHYKLELLGETLFLTVNIIDRYLARENVARKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYSREDILDMERTIVDRLEFNMSVPTPYCFMRRFLKAAGSDKKLELLSFFLIELSLVDYKMLKFQPSMLAAAAIYTAQCTLNGSMSWNKCCELHTKYSEEQLMDCSTMMVELHQGAARGKLTGVHRKYSTFKYGCAAKSEPAAFVLDARRA >SECCE4Rv1G0232180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193909276:193909626:-1 gene:SECCE4Rv1G0232180 transcript:SECCE4Rv1G0232180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISGARSLPDEQFRIASTKMDGIGPKKAIQLRYRLGISGNIKMNELTKYQIDQIEQMIAQDHVVHWELKRGERADIERLISISRYRGICHQDGSPLRGQRTHTNVRTARKKIWK >SECCE6Rv1G0401650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:472168560:472171547:1 gene:SECCE6Rv1G0401650 transcript:SECCE6Rv1G0401650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNPSSGAAAADDLDQLLDSALDDFTSLDLAAAPKSSGEASASSSSGSARPVRGLGMSLPDPRAPRRRAARQPPPPPMGAHASEALEKLTRETREAVRGLETATGGIAGLDDEAMMEDFVKQFEEFAGGQDMDSIVETMMKQLLSKEILYEPMKDIVEKYPKWLEDNKSKISKEEYERYSNQLELMLKLNEVYEHEPENMSKVFEIMQNMQECGQPPSDLVQDIVPDLDLSKLGQLSPEMLESTENCCIM >SECCE2Rv1G0088520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:246119866:246122334:1 gene:SECCE2Rv1G0088520 transcript:SECCE2Rv1G0088520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFFFLLLFGQILLCTAGDTINSVTPLSGSQKIVSQGNKFTVGFYTPPQSNTTSSTSSNYYIAIWYSNIPQVTTVWNTDKPVSDPATASLEIASDGNLVLLDQAKNRQLWSTNVSIASNSTMAIIRDSGSLDLTDASNPSIIHWRSIDHPTNTWLPRGKLGLNKTTGLSQRLIPWKNSADPSPGLFSLELDPNGTKQYFIQWNESINYWTSGPWNGNIFNLVPEMTANFRYDFQFVDNATESYFYYSMKDDAVISRFIMDVTGQIKQLTWVEFSQQWILFWSQPRRQCEVYALCGAYGSCSEAALPYCNCVKGFSQKVQSDWDLQDYSGGCRRNVPLQCQINSSSGQTKPDKFYTMAGVRLPDNARSAVGASLKECEQVCLKSCSCDAYTYNTTGCFIWSGDLLNLQEQYSGNGVGTLFLRLAASELQDPKKSKAVIIGAVVGGVAAILIILAIVFFFLYQKFRRERTLRISKTGGGTLIAFRYSDLQHVTRNFSEKLGGGAFGSVFKGKLPDSTAIAVKRLDGFHQGEKQFRAEVSTIGTTQHVNLVRLLGFCSEGSRRLLVYEYMQKGSLEVQLFPGETTMLSWDIRYQIALGTARGLNYLHEKCRDCIIHCDVKPDNILLDDSFVPKVSDFGLAKLLGRDFSRVLTTMRGTRGYLAPEWISGVPITAKADVFSYGMMLLEIISGRRNSDHGEEGRSIFFPTLAASKLHEGDVQALLDPRLKGDANPDELTRACKVACWCIQDDESTRPTTGQIVQILEGFLDVNMPPVPRSLRALGESPDVINFFSDLSSSQTSQTQNSTTTSQTHSATSGNSHFQSS >SECCE4Rv1G0260510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:696360017:696360541:-1 gene:SECCE4Rv1G0260510 transcript:SECCE4Rv1G0260510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSAPLAIKAAAVAAIILAMLVAPSLGRCGHPQAPAPAPASPPPPTPTPPPQPPAPAPTPAPGPGPTISCNDCFSQCSSPCEASAASNCSSYCDSIEYACNSCKTDVIEGCKKLNNCTGSCDECNFDPSAACVSPCTTRYCDLCLGALGQQCRENCQKECSAPKCVPWSPQN >SECCE7Rv1G0487800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:430666094:430666880:1 gene:SECCE7Rv1G0487800 transcript:SECCE7Rv1G0487800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMSRQLKPPTFCQTLDRDIWIDGWIYISIQVSFAIIPKSLIWPGNKALSAASPTHFFISPFPFHMRFARHWRFALLLFLHWWVRMDFVVPSQRKWKGLYHIEMSIRFPPQMRWGISHLCPFIFLKGIKARPGSRCSNNRRGAPQYTIACSNSEPYYT >SECCE7Rv1G0508950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:776262875:776263144:-1 gene:SECCE7Rv1G0508950 transcript:SECCE7Rv1G0508950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDKARSASKEEASRRQDIERSRAEARRKVEQMADTVQFNDPWIHHSDVTKSPEELLQARQQAWRYQAHLIEMARRRDYAQEMQIHG >SECCE4Rv1G0223540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:71508861:71510546:1 gene:SECCE4Rv1G0223540 transcript:SECCE4Rv1G0223540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAARQVEDKECYSYTTNGAANGRPHRKNPAASSRLKPMPSKWDDAQKWLVGMSNGGGDGMHGGGKAAKPRNSNADDRRLLSSSSQNGRISCSSVDGGIEYNMVAAPPTPPQLGEDDVGETKNMDCMVRPHAHGSPVSVCLRDMGTEMTPIASKEPSRAATPLRSTTPVARSPIPSRSSTPGRRRQDAPPAGALGFDVVRAAEQAAVSNNAVSSGEADMDSNGGADGGASRANTLESRAAAWDEAERAKFTARYKREEVKIQAWENHEKRKAELEMKKIEMKAEQMKARAQEKLANKLATARRVAEEKRALAEATLNEGAARTSEKADYIRRTGHLPSSFFSFRIPSLCG >SECCE3Rv1G0203840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:885650618:885656714:-1 gene:SECCE3Rv1G0203840 transcript:SECCE3Rv1G0203840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKAAAAAAAEPVSVEDLFTALHRHIEAGEFPQAVKVADQVLAASPGDEDAVRCKVVAHIKSDATDKALAAIRAAERLPIDLSYYKAYCYYRQNKLQEALDILNGQEETVAILQLESQIYYRLGRMNDCMNSYEKLQKFKVDSIDLKINIIAALVAGGRASEVQAAMKAQKVDLTTRALRDTRSFELAYNSACTLIENKKYSEAKEQLDLAKRIGKEELMVEDYAEDEIEYELAPVSVQLAYVQQLQGQTQEAMETYANMINKKSGDPSSLAVGTTNLISIKGTKDVADGLRKLDRLVEKSTAPNQLQLIENLEFKLSSRQKEALYSARVLLLLHANKIDQAQELVSGLLAMFRDSVFPVLLQAAVHVREKKVPKAEEVLSRYAEKHPDNSKGVLLALAQIAANANHFQIAADSLSKISDIQHMPATVATLVALKERLNDSNGAASVLDSAIKWWKNAMTEDNKLDVFMREAATFKLNHGRDEEACQLYEELVKSYGSTEALAGLVATSARTDLAKAEQYEKQLKPLPGLQGIDVKSLEKTSGARHVEQAMKVDAPEEVKKQKAKKRKRKPKYPKGYDPANPGPPPDPERWLPKRERSTYRPKRKDKRAQVRGAQGAVSREKHDASATNAGATSSKPTTSAKAPEPPKGSNKSRKKKSRS >SECCE1Rv1G0025600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:357070875:357072664:1 gene:SECCE1Rv1G0025600 transcript:SECCE1Rv1G0025600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACPDNRRCLPAWMMKPCSSNEVSKTERRNKQAAESDKQSGALDQTKPIRRRIKIVDTEDAKALQRCQGKEKVRRKSKDADSVVTDEFDEIEKITRKNERKVSGRAAPKNSRKRVLEDVASDASSSGITDDEIELSAGSSRALQRCQGREKTRRKRDGADYSAKDELEEIEATRKNVIKVSGRAAPKNRKQKPDNVGSEALSSGTTDDEIELTVEDLVSIAEEIVNADKEKLQDIRTTKKARNEERPPRPPVSTPADTGGSVSSTWSTKGLMQCTAATTTDETPSECRVDKNKRHEEPERPPSIKMTGDVAEDMMNILLGPLWNSEPAAYENKPEAVVPRTVNVNLAPRRKNDWQKTVAQVQGAPVVKKKSSLKDMVAFFLD >SECCE3Rv1G0163780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:152420032:152423283:-1 gene:SECCE3Rv1G0163780 transcript:SECCE3Rv1G0163780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTLQFVGAFLLPLFAVASSFDPFHRDAQRMDSMGGGRQQGPFIPHEYVRFADVKRQCRSVLSAAADLKFDANRAAALMPELSFVKGDWKQDVHDGAPLMPFDGTDAPESGAAGAPSDPIPLATFMLTHVDVALRGKTALNVSGVLGVAVSRNGTAPEMGHYVSPELKVWPGSTEMKILFEGVYTETGDGESVLCLVGEAVLPRRGKDAANPWDWAKNTDRNNFQPPITRDKNILLVLRYPTTLTLTTRAVRGELTSTNGKSDAGYFGALTLLSQLGGYSNYKFGSEELVSTACGTHPYRDDILGDGRGIYRGGSLCGILDLFTSEDVFAVVPNRKCNSTDALCRRLGPFETDKSVDATDGGFKDVSIAMQDIRCEPSTVPGERSAKVSAVFRAVAPWEHQYTAAKRSGLSGMTLAAEGVWRPTTGQLCMVGCLGVGKKACHSRVCLYVQTTFSATRRSVAVGQITRIDGKGGVAHSPLTFKRAVHPSELWNRFGVSGGAPLSMTYDYTKDKQAGEFLTRSEPFNFGTAIAKSLLSYPRLAGDLARDLSSLADELTVHVPAVPDPFPRERFERPFLQLEVLSLGPLVGRNSPGFPGGTDGPGKESPSSEMQTARTTSLLNVSAELTLSGSPYVNVSALFLEGVYNPVDGRMYLIGCRSIYAPWRAFSAIGALEDGMDCSIEMRVDYPPTTAQWLINPTAKVHITSTRDAGDPLWFDATSLQTLPIMYREQRQDILSRRSVEGILRVATLVTAIAAEFSQLMYIKANTDVMPYVSLVMLGVQALGYSMPLITGAEALFARIAAAGGSVDGVAPPSYVVDKSQLYWIIDCVVKILILAAFLLTLRLAQKVWRSRIRMLTRSPLEPGRVPSDRKVLLYSFGVHMLGFMVILGARYVSALGRPLRQEDSYMDARGRSHALRQWAVTLEEYVGLAQDFFLLPQVVGNVLWRISCRPLKKSYYVSVTAVRLLPHLYDYVRAAPAINPYFAEEYEFVNTSLDFYSAFGDVAIPLLAVALAAAVYVQQRWNYKIISRTVKTQQKKLQHLGSRVYERLPSMSSGNFEAELVSGVNEAVGLVGPRRDASLG >SECCE2Rv1G0099700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:525398656:525408369:1 gene:SECCE2Rv1G0099700 transcript:SECCE2Rv1G0099700.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPPPAVPSVLAHLRSLLSAASSALSSLPSPLLPCSTTTTPTIATSSTLPAPAPTTPLPSFPSSPTSEIPLPPPAAPAPYYDCPAVVRTINQPPASSSLPAFLAAECADFSSSTAAPTLSSPPRILPSELCLLRREVDSWSSHHPPVAYSYAAARVVEALRLGALQWEVELRRWLLASSPRYGIVIDAASRDHVFVLVRLCLKAAAAEAGCSLERLPKGESEEFGLDPRAVRFECPRLVDGVSWLARQLEVLYGKGNCWFFAITAVKEAILRLGSCLAVGVGDGVAGGASEKGCELRDTGTCPIFVSQVAAAIAALHERLSLDKKIRALQAPRPSKYQLLLEYSQILKQGCDERSKRPNYRAVLDYDGILPRQMDNQESGRSKTREELLAEERDYKRRRMSYRGKKMKRNPTEILRDIIDEHMAEIKQAGLVDCLGEDPGDIAQNILETKSHGGAYQGSSCNKAVLGSRLPSRENSPHTASRSHDTRDSYRNIRYESSGHHHQNVSEHEKGGIRESESAMNRGYSDRHDYTHKRNTNDLRKYGNKYEKKIPDYHSESSDRSAWSTRTPKSSEREYGGMPGDKSNDRTRTTQNRHRSMPGNKDQFSDRYDPQSRYSDEDPPTSMCYDVSEGKHETYPDATYPREHHIRKRDLNY >SECCE4Rv1G0226060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:103419620:103420174:-1 gene:SECCE4Rv1G0226060 transcript:SECCE4Rv1G0226060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKAVLLLLLCAAAAAVLLAPASAADDGLTKFKLYWHDVLAGTSPTAIRIAQAASTNSSSTFFGAVVAIDDPLTTGPAVTGSAKSKDEIGRAQGTYTFADQATFGLLMNMNFVFTAGDYKGSGLTIYGRNEVLSAVREMSIVGGTGKFRMARGYVEARTMDSGAKSGETVVEYTVYVKAAAA >SECCE6Rv1G0378800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:10581833:10587471:1 gene:SECCE6Rv1G0378800 transcript:SECCE6Rv1G0378800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase MAGATAMA 3 [Source:Projected from Arabidopsis thaliana (AT4G15570) UniProtKB/Swiss-Prot;Acc:B6SFA4] MAVDKPGGGGGASSSSSSPVSTTDRFLKIVLSWDYLRIVADSKGADKAKGLQHVKNSYASVDEYLGVFEPLLFEEVKAQILQGRRNEEEEEDDEVGLDWQRGAVGSCTESEGFHKLSMVVSDGLRDIVHENDLLLLSKEKFEEGVNPTAYAFAAVEQRGGKDNLSLRTFVAGEIKNLNVARPVKSSRLQRFASILSTPNSFLWILKMCSLSTILREYSGMHSVASHPFKDLILSASENNRNGDDQNRAWNVPQPLMDYLKTNLNGSQLDAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMQTRGGFDVQKHGPELDIESKHANWMKASPWLIGANPRDLIMPVDGDDGFYPTGNDLKPEVISSNRKYRAHVLVCAPSNSALDEIVLRVLQTGIRDENNSTYNPKIVRIGLKAHHSVKAVSMDYLIEQKKSGVASDGGRRGAGEQDRIRASLLDEAAIVFSTLSFSGSAIFTRMTRAFDVVIIDEAAQAVEPATLVPLVHGCRQVFLVGDPVQLPATVISKTAQNLGYGRSLFQRFQAAGFPVQMLKIQYRMHPEISVFPSKEFYEGILEDGEGLNKKRPWHSYSCFGPFCFFDVDGVESQLSGSGSTVNEDEVEFITLLYHQLAMRYPELKSSSQVAVISPYRGQVKLLKDHFRSTFGDQSKEVIDVNTVDGFQGREKELVIFSCVRCNKEQNIGFVSDFRRMNVAITRARSAVLVIGSASTFKKDKHWTNLVESAKERNRYFKVSKPFTAFFTEDSFKSMKVERPVPEARMSQAIEAINEVVARQEVMDADDAGDQQADGDDYDTMEADDGGGGDD >SECCE4Rv1G0219150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:28430123:28430985:-1 gene:SECCE4Rv1G0219150 transcript:SECCE4Rv1G0219150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLGSSPAFLARPAAKPHVSCAPPSRPPSAQPPSDQPPPPPEPMQAAPARAPAAPKRAATSADSTDWVASSLTRRFGIGAGLAWVGFLAFGVVSEQLKTRFEVAQQEANTKDVEEQQEVVLPNGIRYTELRVGGGDVPRPGDLVVIDLQGRVAGGGGGGEAFVDTFGDGKRPLALVMGSRPYTRGMCEGIEYALRSMRNGGKRRVVVPASLGFGEDGADFGDDGAQVPPGATLEYVVQVDKVSIAPA >SECCE1Rv1G0054900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:677286324:677287715:-1 gene:SECCE1Rv1G0054900 transcript:SECCE1Rv1G0054900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSSKRKSVMPSCVKITAEAFPSNKRKKAVIPLCAGLLPDDMMLEVLLRLPIKSILRFQAVCRSWAALFSSKDFCSLHMATSKVPPQAPKLLVVSPTAELDSTSVCSYLLSSSSSRDALLFTVDSARRNSMEVVMPSPCCGLTLLLDAVAPAYYVCNASTREVTRLPPHSLPKYDSSAGLGFDARTWEYKVVRLINGLCGDKETIRCDVYTPGADRWRPAAGGVPFKWSQFANAAVAHAMLNKIPPVFANGFLHWLINPSFIMRRPRAAIISFSVAEETFGCVRSPPFWGPTEHLRSWSQSEREHLVVMDDRLCIVRDLRNRTPDGSTLEIWGLLDYGSGDWSLKHRIDLFGQVKRELGEPKVVRVIGSVGNSTLGKKIVIATSERWADETFQKKVYTYDPRCQVLEVILSVTETHPSVTLLIPGSRFSLFEESLAPVHKTDDELVMPYTLAKLTKETTTTS >SECCE7Rv1G0455980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8857008:8859915:-1 gene:SECCE7Rv1G0455980 transcript:SECCE7Rv1G0455980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDDCFIPLPIPLHDRWRRGSHPCLSQQDLDERAAAGSHGVPRRILRSPNRPLAVPPTPLDPLPTYKGNSLRAFPEEEPKQHQVQVSRAASKGRYARFKDNLNAKPQETKQHRHQVAVSCAPSKGRNRDGWMSQERSTPEGINMEEHALRFRPLPRPKTRGFDRASIGITPVMQKRKPPEVRNALRKRRVAAKQKVARYHAEVALRKYNRANNTKFELVEVKVISIFYEFGGAGAHYNFTAKQPEEQQNADADSTKLFFSEVDLYFRSENDVIMCCIVGENDAGRCYGCENYQPVVHPSSQAYGGGSSTCIEHPGSDGDSDSD >SECCE4Rv1G0250120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:589559713:589566803:1 gene:SECCE4Rv1G0250120 transcript:SECCE4Rv1G0250120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGSKRRVGEEAELEAVEEEKEYEVEEVHDRLQSSRNSRLALFGSDLRLGPRRRRPPRRPAVDGEDGFFHDHIILPDNKLYLLWTKFILVWAVYSSFFTPFEFGFFRGLPDKLFVLDIVGQIAFLIDIVLKFFVAYRDPDTYRIVRNPTSIALRYCKSSFIFDLLGCFPWDIIYKACGSKEEVRYLLWIRLTRALKVTEFFRDLEKDIRVNYLFTRIVKLIVVEIYCTHTAACIFYYLATTLPESMEGNTWIGSLKLGEFSYDHFRELDLIKLYITSLYFAIVTMATVGYGDIHAVNVREMIFVMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKEIREQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYKPYVESTPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQIYFVCHGALEGVGIGEDGQEETILMLEPESSFGEIAILCNIPQPYSVRVCELCRLLRLDKQSFTNILEIYFVDGRKILSNLTDNNEYGGRVKQIESDITFHIGKQEAELTLRVNSAAFYGDLNQLKGLIRAGADPKNTDYDGRSPLHLAASKGYEDVAQFLIHEGADIDLADKFGNTPLLEAVKQGHDRVATLLFSRGAKLNLENAGSHLCMAVSKGDSDFVRRALAYGADPDSKDYDHRSPLHIAAADGLYMMAKMLVDAGASVFATDRWGTTPLDEGRKSGSKPLMMLLEQAKADELSKFPARGEEVRDRMHPRRCSVFPNHPWGDGAERREGVTLWIPHTIDGLIRSAREKLGLSGPGLRLLGEDGARVQEVDMVHDGQKLYLVGGDDGAGQGE >SECCE3Rv1G0195010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:788981852:788983725:-1 gene:SECCE3Rv1G0195010 transcript:SECCE3Rv1G0195010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPRMRAGGAAVVLALALLAVVVRAEDPYLFFDWKVAYGTKSPMGTPQKMILINGEFPGPTINCTSNNNIIVNVFNQLDQPLLFTWHGIQQRKASWQDGMPGDMCPILPGTNFTYKMQFKDQIGTFFYYPSIGMQRAAGGYGLISIHSRPLIPVPFDPPADDFSVLVGDWYTKDHTVLAKNLDAGKGIGRPAGLLINGKNEKDASNPPLYNVEAGKTYRFRVCNVGIKTTLNVRIQGHVVKLVEMEGSHTVQNEYDSLDVHIGQCLSFLTTANQKPGDYLLVASTRFIKGVNTITAVIRYKGSNTPASPKLPEAPEGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLSTSRGKVDGKERYALNGVSHVDAETPLKLAEYFNATKGVFEYNLITDTPPKEGTPIKLAPSVITTEHRTYIEIVFENPEKSIDTFHLNGYAFFAAGMGPGLWTAESRKTYNLLDTVSRHTIQVYPRSWTAVMLTFDNAGMWNLRSNLWERYYLGEQLYVSCTSPARSLRDEYNMPDNALRCGKVVGLPLPPPYTIA >SECCE4Rv1G0279500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:815242929:815246881:1 gene:SECCE4Rv1G0279500 transcript:SECCE4Rv1G0279500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRAKDLARRSPKKYVEEALYRRLFRRGSTPQAVREEVDGFLGSRKRAFKWEVGVCVRRLRRQELYRPALKLTEVMTRRGMNPTVGDQAIRLDLVAKSRGIAAAEKYFMDLPETSKTHLTYGALLNCYCKELMTEKAESLMEKMKELNFAFTAMSYNSLMTLYTKVNQPEMVPSIIQDMKADDVLPDVYTYNVWMRSLAARQDISGVERVIEEMTRDGRVAPDWTTYSNLASIYVDAGLSEKAEAALKELEKRNTGNDLEAYQFLITLYGRTQNLVEVHRVWRSLKRNNPRKANMSYLNMIQVLANLKDLPAAEACFKEWEARYIRPPKTKATDAVTTETSKLEEEASTEASSNDSDVKETENKGAEELDLKRPKYDIRVANALMKAYVTEGMLDKAIALKKRAKMRGGRLNAKTWEIFMQHYLKVGDLKNAHWCADRAIKKGHSSGRIWVPPHDVTETLMGYFEKKKDVDGAESFVEVLKKVQKDLGTVVFEPLVRTYAAAGKKFPGMRHRLKIENVELSEETDKLVDSICVD >SECCE2Rv1G0125520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:841404940:841408426:-1 gene:SECCE2Rv1G0125520 transcript:SECCE2Rv1G0125520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQGEERPLYKDASAPVEARVRDLLGRMTLQEKAGQMAQIELSVASPRALTELGVGSILNGGGRPPWDGASPSDWAGKVDGMQRLALSSRLAVPIIYGIDAVHGNNNVIGATIFPHNVSLGASRDPELVRKIGEATALEVRATGMHWTFAPCVAVCRDSRWGRCYESYSEDPDMVRSFTTIVAGLQGQPPADHPHGYPFLHSVRENVLACAKHYVGDGGTHKGINEGNTICSLDNLERIHMKPYPDCISQGVATIMASFSQWNGEPLHASHHLLTDVLKGKLGFQGFVVSDWEGIDYLCEPRGSDYRHCIAQAVNAGMDMVMIPFRFEKFLEDLVFLVETGEIPLSRIDDAVERILRVKFISGVFEHPFSDPALLDVIGCKEHRLLAREAVRKSLVLLKNGKNQKEPFLPLAKNAKRILVAGTHADNIGYQCGGWTISWHGDSGKITPGTSILEAIQEYVEVETEVVYDECPIDATIEAGKFSYAVVVVGEVPYAESLGDRTDLSIPFNGSDLITRVASKVPTLVIVISGRPLVIEPQVLEKVDALVAAWLPGSEGMGVADCLFGDHDFVGTLPVTWFRSDDQLPINIGGANYDPLFPFGYGLKCSEAMEI >SECCE5Rv1G0318510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:362146063:362151232:1 gene:SECCE5Rv1G0318510 transcript:SECCE5Rv1G0318510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAQARAVLPVVLLGCGGVGRHLLRHIVSCRPLHANQGVAIRVVGVADSSSLLVADDVRASGLDDALLNDLCSAKSAGSPLSSLLARGHCQVFNKPEAMGKVIDAATMLGRTTGLVIVDCSATYDTVGVLKNAVDHGCCVVLANKKPLTCAYEDFKKLTTHFRQIRFESTVGAGLPVIASVTRIIASGDPVSRIVGSLSGTLGYVMSELEDGKKFSEVVKTAKALGYTEPDPRDDLSGMDVARKALILARLLGQQIGMENINVESLYPSELGPEVMSTMDFLESGLAQLDEAIEERVKAASLRGNVLRYVCVIGNTGCQVGLQEVPKDSALGRLRGSDNVVEIYSRCYESSPLVIQGAGAGNDTTAAGVLADIIDLQDLFQTRA >SECCE1Rv1G0042230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:578662430:578663185:-1 gene:SECCE1Rv1G0042230 transcript:SECCE1Rv1G0042230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWPSWAKTRASRSKSSPSTALVAAAATTRRFSITSHSPSLKDIPSLVHPEHPRPPRVFHRLSVAASALRLLRALKSASAHATARKPEVHVVLYFTSLRVVRRTYEDCYTVRFILRGIGASVDERDLAVDNAFVAEFAALLPPRLGLALPQVFVDGRHLGGVEEVQRLHECGELNRIVAVPISPTPAHPPCGRCGDKRHVPCGSCDGSRKKHIDDESRKEDSDEDGVLACASCNQSGLVRCPDCLFPAAA >SECCE7Rv1G0506070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:745650497:745659406:-1 gene:SECCE7Rv1G0506070 transcript:SECCE7Rv1G0506070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMGGRGEGAEGSSAATTASSAPLLLSRAPAPAAPDEVWRQLGLAAPLVACSLLQYSLQVVSVMFAGHLGELPLSAASVASSFANVTGFSVLLGMGSALDTLCGQSYGAKQYDMLGTHAQRAIFVLMLSSVPLAFVLAFTGQILTALGQNPEISYGAGTYARLLIPGLFAYGLLQCLTKFLQAQNIVHPLVVCSGVTLIFHILLCWFLVQNSGLGYRGAALATSVSYWFNVILLALYVKFSEAGRRSWHGWSRAVLKDVNLFLSLAVPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGAGNPQEARLSVLISGIMCLVEGLLVVIITVSVRDVWGYLYSNEEEIVKYVSIMMPILATSNFMDGIQCTLSGAARGCGWQKVCSFINLCAYYAFGIPSAVIFAFVLKIGGKGLWLGIICAMVVQIIALLVMMLHTNWDKEAERARARTRVEESDGTITFA >SECCE7Rv1G0468700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:102885248:102886200:1 gene:SECCE7Rv1G0468700 transcript:SECCE7Rv1G0468700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQQRRPSSDQAQADVGGQGQGQGGGAVRYGDVFPAVTGGLAQKPVAPQDAATMQSAENLVFGQTIKGGPAATMQSAAMRNERMGVVGHDQATDATAEQGVTVSETRVPGGRIVTEFVAGQAVRQYHAPDDASAARGAAGDDTKMTIGEALEAAGYAAGDRPVEHSDAAAIQAAKVRATGQDVYIPGDLAAQAQSAADANVWAARDEEKAKLGDVLSNATAKLVADKEQNATAKLVADKEVESDDAVRVALAETRNKDDKTVRPGGIAASVAAAARLNKQDA >SECCE2Rv1G0137880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920242202:920245600:-1 gene:SECCE2Rv1G0137880 transcript:SECCE2Rv1G0137880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) UniProtKB/Swiss-Prot;Acc:Q94AP0] MAAGTGSVLPLLAVAAALAAALLYGAPFSKSLGGEGCSLLPHGHFWIASQRVVALGRVGPAAVEVKEGLINAIAVGDYRSFVLRRPLVDYGDAVIMPGLIDVHAHLDEPGREEWEGFSTGTRAAAAGGITTLVDMPLNSYPSTVSEETLKLKVDAARDKLYVDVGFWGGLVPENALDPSKLESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYKRPLLIHAERIPDVASDEKLDGELDPRSYATYLKSRTPAWEESAVRDLKRAMKDTEVGGRSEGAHLHIVHLSDSKTTLELLKDAKHSGAKVTIETCPHYLAFSAEEVPDGDTRFKCSPPIRDAANKENLWEALLDGHIDMLSSDHSPSTPDLKLMEEGNFMKAWGGISSLQFVLPVTWSYGKKYGITLNQLASWWSEKPAELAGQKNKGSILPGYHADIVVWKPEAQFHLDDTHAVYHKHRNISAYLGKELSGKVLSTFVRGNLVFAEGKHAGAACGATILAK >SECCE3Rv1G0188860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:719440072:719441555:-1 gene:SECCE3Rv1G0188860 transcript:SECCE3Rv1G0188860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGGGAMSSSSSSRPRGGIREETLLRVPGASVHLVASGSEGPLELGRGDLSVVRIFKDDVAVTTVVRVGRDLGWPLARDEPVVKLDGLHYLFTLPDKDGGLLNYGVSFADAALLPSFDALLKSNSCFSTPSAPSRGSRPPPPASASPDAYWNDFSPKVASYNNVLAKAIGAGTGHLVKGIFMCSEAYASQVQKGANLINPQAAGGASKRLGGTGGADGSSQAGPAKRGAVNKSLKRVRKLSEMTEKMSKTMLDTVISVTGSMAAPLLRSNQGKALLSTVPGEVVIASLDAINKVMDAVEAAERRSLAATSNVVSGAVSKRYGESAGEATGDAFATVGHTVGTAWNIFRIRKAVTPSSSLPGNMVKSAVRNRN >SECCE7Rv1G0464810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:64312587:64315834:1 gene:SECCE7Rv1G0464810 transcript:SECCE7Rv1G0464810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Uroporphyrinogen III synthase [Source: Projected from Oryza sativa (Os03g0186100)] MAFHSSSVAPIPFAPPPGPGAFHRAHRPAGAPRAGAPGRRLVAVAHSSPSPDVVVTREQGKNAKLVAALEKHNVHSLELPLIQHVKGPDADRLSAVLRDEKFDWIAVTSPEAAAVFLEGWKAAGSPKVRIAVVGAGTARTFDEVLQSNDGTLEVAFSPSKALGKVLASELPRTSETACKVLYPASAKAGHEIQSGLSARGFEVTRLNTYSTVPVHDVDPRILELALSAPVVAVASPSALRAWLNLMSRVDNCSSSVACIGETTASAAKKLGLESVYYPATPGLEGWVESILEALRAHKQSSK >SECCE4Rv1G0247140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:553091575:553092899:1 gene:SECCE4Rv1G0247140 transcript:SECCE4Rv1G0247140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMPRLFGRRTLQPAGALRSPRDPWMRFHGANPPRPCPLLRPSLGGDGSAGAARRWWFLSPVRHGSTALTLNTDGGFARFSVGDPGTNQNGGQNQPPAKKKKMSKKSKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEAPRAPEPVHDPEILTEEEKFYLKRTGEKKKNYVPIGRRGVFGGVVLNMHLHWKKHETMQVVCKPCRPGQVYEYAEELARLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSKFIEQLEQELEDYLKHVTLFKKRVGAISEQISNEDSAVDDLTTSSDTD >SECCE5Rv1G0354680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:726135599:726136756:1 gene:SECCE5Rv1G0354680 transcript:SECCE5Rv1G0354680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELLGGTAMEQQQQQQLKCAGNAANHHGSLPMVLQPISSNPSPTSSSTSSRSSTQRSPSAASSPQGQGQQGQQGPPGPEQPPLRCPRCNSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKPRPMPAPVAKAQPSSCKSVLGMGVGTAPSLGLGMGVGGGMSWATAPQTATAQLMALLNSARAGYAGSNMHRLLGLDTMGQLQVLPGSANGGHGMSPSLWPQATHRPTMPPPPMHLDSHLGMGSLGLGQGQGHHNLLSGLELKPPSSSPSQSSLAASYYSDQLNAVVSNGGPGRAHPYDTPASSYPCSTAMCSLPPSASTVSAAQSSHTVGMDQQPPTMSLGTQEMQYWSGGPASMMAWPDLPTLNGAFP >SECCE4Rv1G0248700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:574501612:574502166:1 gene:SECCE4Rv1G0248700 transcript:SECCE4Rv1G0248700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAEVASVLLPAAYLPPPANATSFGSHYSYQIAADDHLLFQCGGSSLMQLPYADELFLNHPPAGLRNGSSSDEPAAHAVDRQRAEERRKRRMVSNRESARRSRVRKQKQLGQLWAQVVHLRDANRDLLDQLNRAIVDCDRVVRDNSRLRHERAELQRRLRDLPVVDAGDAEGVAVGEDDESTV >SECCE7Rv1G0473990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:165211621:165214857:1 gene:SECCE7Rv1G0473990 transcript:SECCE7Rv1G0473990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMAATHTFLLAVLLLLLATATLSIASGSPTSAASLEAAALLNLSVALADPAGYLSAHWTPATPLCSWPRLSCDAAGSRVVSLDLSALNLTGPIPAAALSSVPHLRSLNLSNNLFNSTFPDGLIASLTDIRVLDLYNNNLTGPLPAALPNLTNLVHLHLGGNFFSGSIPTSYGQWSRIRYLALSGNELTGEIPPELGNLSTLRELYLGYFNSFAGGIPPELGRLRQLVRLDMASCGISGKVPPELANLTALDTLFLQINALSGRLPSEIGAMGALKSLDLSNNLFAGEIPASFAALNNMTLLNLFRNRLAGEIPEFIGDLPNLEVLQLWENNFTGGVPAQLGVAATRLRIVDVSTNKLTGVLPTELCAGGRLETFIALGNSLFGGIPDGLAGCPSLTRIRLGENYLNGTIPAKLFTLQNLTQVELHNNLLSGGLRLDADEVSPSIGELSLYNNRLSGPVPAGIGGLVGLQKLLLADNKLSGELPPAIGKLQQLSKVDMSGNLISGELPPAIAGCRLLTFLDLSGNKLSGSIPAALASLRILNYLNLSSNALDGEIPPSIAGMQSLTAVDFSYNRLSGEVPATGQFAYFNSTSFAGNPGLCGAFLSPCGGHGVATSTFGSLSSTTKLLLVLGLLALSIIFAVAAVLKARSLKRSAEARAWRITAFQRLDFTVDDVLDCLKDENVIGKGGSGIVYKGAMPGGAVVAVKRLSAIGRSGSAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRYKIAMEAAKGLCYLHHDCSPPILHRDVKSNNILLDTDFEAHVADFGLAKFLNGNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRMATGSTKEGVMKIADPRLSTVPIQELTHVFYVAMLCVAEQSVERPTMREVVQILADMPGAASMTAGTTRSEATIEGEGEEHQEGTQESPAQQDLLSI >SECCE5Rv1G0325320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:464291530:464296353:1 gene:SECCE5Rv1G0325320 transcript:SECCE5Rv1G0325320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKAAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDSNPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASGSADFSAKVWDALTGDELHSFEHKHIVRACAFSKDNHLLLTGGMEKILRVYDLNKPDVAPKELDKSPGSVRTVAWLHNDQTILSSCTDTGGVRLWDVRSEKIVQTLETKGPVTSAEVSQDGRFITTADGSSVKFWDANHFGLVKSYDMSFIVESASLEPKSGSKFIAGGEDMWVHVFDFLTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPANADAEEAADTNGKPKAGVEEIACKIEGFQIAKEEKAEE >SECCE2Rv1G0086480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:213218185:213218887:1 gene:SECCE2Rv1G0086480 transcript:SECCE2Rv1G0086480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELENYYYETIDKRDVFLFLFGLAVGFLFGAAFMLDNPATDYYSVNLTGITASSPTSPRAFNFTLYVENKGLVFENCFSHGQAAVSYAGVVIGEGRVPGVCAGPGTKGTAEVMTLAHGGGGGAPLSDGQRKRLEDEMRRGSAEFDVEAKLFRDGHIRGPVVLWCKLGLQELQQPSDCKAFTDLGRRAMEQYWI >SECCE6Rv1G0414710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:635962224:635963306:1 gene:SECCE6Rv1G0414710 transcript:SECCE6Rv1G0414710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSNFLKSMAAAAAAAKHGGGGEQHQAGTAARKPQHAVGFPRLSTSSKALVLLPILLLAFIYLFVYPKEFELQSMMSSCVPPPGAYTAANGSTLSTAIAYARKPDFRLLIGILTRADVYERRHLLRMVYGLQLAADPILAAQVDVRFVFCRLYKDDQRVLIPLEILAHGDVIVLDGCEENLNGGKTHTFFTAVASLYADAPYDYVMKADDDILIRLPALVASLGAMPREDMYYGATIPCNSMDPGRGYMSGMGYALSWDLVQWVAGAGEVTRGRTVGPEDRMTGEWLRVGGKGRNRFNAKPAMYDYPLPVPVDQCSHEFVPDTIAVHRLKDNPRWAHALGYFNFTAGLKPSKFYKFDP >SECCE4Rv1G0231220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:179846176:179846692:-1 gene:SECCE4Rv1G0231220 transcript:SECCE4Rv1G0231220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTHCPRTAPLKQMTSKEEKNGNFGREFVKCESKPDGQIVKKCNHFEWMDDCIQRLQGLGLLDSRGNAIREFNLPHDSAAPAAAAARPEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE2Rv1G0084660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:177836417:177836791:1 gene:SECCE2Rv1G0084660 transcript:SECCE2Rv1G0084660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASMGVTSLVLLLSLSVVVVSGKDWIVGDGKGWTFGVSDWEKGKKFMHNVLEVATPEYKACDIGAIPSRNFTSGHDRVQLIGVVASYICGTPGHCGRGMKIHMIIEDRE >SECCE4Rv1G0286090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:847967315:847972688:-1 gene:SECCE4Rv1G0286090 transcript:SECCE4Rv1G0286090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHTIQVPSPRPYSVLDAGEDPEEGVAVRAPLLRQLTYRSSPSFKRSKSSLASPSFSASPSFSASPSFSYLASPRPRVSPRINENETHLAISEPTIQEEAPLLPLLDDQNNRPSSKAPFVVLGFECLESTAFNGISTNLVVYLETVLHGSNLASASSVTTWIGTSYLTPIFGAVVADTYWGNYNTILVSLAFYLLGMILVTSSAFLPVAAAGGAVAGATGFFSAKTLAFVGLYLVAIGSGGVRSSLLPFGAEQFDDAVVADREGKAAFFSLFYLCVDFGPIVSGLLIVYIQQNVSWALGFGIATACIAAAYAAFLLATPMYKRTMPTGSPLRSLCQVVVAACRKVSLCLPANAGLLHEASGKDDETQPRIAHTDQFSFFDRAAIYSELDQAERMIDGEPGSSWKLCTVTQVEELKILLRLLPVWTTSIIVSVAYSQMNTTFIQQGSAMDINVLSLSIPAASLLSFEVLCVLTWVLLYGSVIVPALNKFSISSGGGGGGGGGGEPSQLERMGAGRVLMAISMAVAAVVETCRLASAARGEQISIAWQLPQYFFLAGGEVFCYIAQLEFFYNEAPDTMKSMCTSLALLTIALGSYLSSFLYAVIELLTATADSPGWIADDLNQGHLDYFFWIMAAISMINFFVYSAFARNYKIKTVLS >SECCE4Rv1G0268560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:743658675:743659166:-1 gene:SECCE4Rv1G0268560 transcript:SECCE4Rv1G0268560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSLVQATVIFFIGCLVVCAQCGLNNKQTAVNQDDHVANTTTNTTIVNSTSVGESKITWIWCKQYFLCFLNGKLHATCYCCEMVNRDVCFKTMSECKDTCHLYNQKSPPSPASLG >SECCE4Rv1G0290760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874009080:874011613:1 gene:SECCE4Rv1G0290760 transcript:SECCE4Rv1G0290760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEGGASPSHHGGGGGGSIRLQCECGFKDEYSLDDTEDGRFYCGRCYGDLDTQATAVDHADFQTPGYISFHRVSQPTKTATLRPTQTLTTPAAPPFEEEIDEPRDFVPGADPEELGAQVRRRYVEGLQVILHQQLQVLVERYRVSALVCGVAGTVWLRWVAASKVFDGMWAPKALAEVEATHRPKRSASGGEQKPQGMKCEWADEASPRKDRRRVEFVYLRSLRTMLPLHSTLSVCFLACHIAREAVLPTDIYRWAMEGKLPYVAAFTEVDKLLGSPLKHCPLNARQLFRPVRVIGAWQLEAAAGFIAQRIGLQLPSVNFYAIAQRYLDELSLPVERILPHACRIYEWAMPAELWLSSNPARVPTRVCVMAILIVALRVQFNINGQGAWEEICEAARNPGGSDCDADLSPSMKPDGGTSEEFGTRELLWTLVDAYDKIDVSHDYSKDLHSYFRYCKDVVFPGIACSVEEEHLIEIFQDLYKGREDENSKAHTTNGASKRGRDGTSVGARCFSESSSSGIQNIKSELEDHGFCYMPPRKWPRSDGYLHYRRKTTTGRLVFAGHADYYLLIRSFAKLAEVDIRVMHASVLKLERRLGWIEERIGRSLDALRNLPG >SECCE1Rv1G0042110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:577137611:577137922:1 gene:SECCE1Rv1G0042110 transcript:SECCE1Rv1G0042110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE5Rv1G0360310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:768774480:768778105:1 gene:SECCE5Rv1G0360310 transcript:SECCE5Rv1G0360310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDEAPAGVAGKVTCAAWVRRPVGGPAAPSSRSLLVVYGRGATASSPPFLDLLAFDTRACELAPEPLLRVAMGEEGADADTPRAIAVHPAGDEFVCATAKGCRLYKLVYDDFCINLVSRDSSALQSVGPQRCLAFSTDGTKFATGGEDGHLIIFHWPSLSVLLDEPKAHKSFRDMDISLDSAFLVSTSTDGSARIWKIDEGAPLVNLTRSSDERIECCRFSRDGKKPFLFCTLVKGNDVVTMVLNISNWKRIGYKRLLRKPISTLSVSLDGKYLALGSRDGDCCVADVQKMQVSHLIKKVHLGSPISSIEFCPTERIVISTSHQWGAEITKLDVPAEWRVWQIWLVFLSLFVTSAILFYTFFKHTNLV >SECCE7Rv1G0518140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857767525:857770779:-1 gene:SECCE7Rv1G0518140 transcript:SECCE7Rv1G0518140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPSSLPRAPYGAAASPSSPLSGHTRPRRHPLAVARCSVRRTPPPTAAATGREAAVAGKLDPAASWAGKLAGAVPWKAAVSGALALTLSFSCFVGSVSAKAGVNKPELLPKEFTTVIDVAGFLSSGQENRIRQEIEDLEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNIINFNIGSLIDLDIPQSFWSRVAGKYGNMFYWKEKGEDASIEGAVMAISRCLREPTGASNCSEVF >SECCE1Rv1G0015790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:129324674:129327602:-1 gene:SECCE1Rv1G0015790 transcript:SECCE1Rv1G0015790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTAAAVPAGRHQHGHHRRLRPCPSPRRLHRLRLPASVAFSSSSAPSTSSSSSLSAPATPPPEGGGRLVAELVGVFNELTGRMGEGLATSSSSRLLFRALKLALPALRDGDGGQALTRALAVAATLADLQMDAEVISAGILRKALDAGTISMSDVEAQIGTGIADLLHESLRLKVAPSKVDVLDDDSASSLRKFFLSYYDIRAVILELSLKLDTMRHLQYLPKHLQRVKSLEVMKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYDHVDQWLRNREAECKLLINAYKAQLLQALKSDDELTEIVQDISIEGRYKSRFSTMKKLVKDGRKPEEVNDILGLRIILDPRCDGGSSDWGPRACHRTHEIIRALLKEVPGRTKDYVTQPKRNGYQSLHVAIDVSEPGKTRPLMEIQIRTKEMNRIAIGGEASHSLYKGGLTDPGEAKRLKAIMLAAAELAAHRLRDLPASDHRGGECKNQAFCLIDKNGDGRISIEELTEVMEDLGAEGEDAMALMHLLDANSDGSLSFDEFESFQRQVELMRSLEDRDDHYTKILKDKLQTIDSAGLIHFYRKELGDKLLVS >SECCE1Rv1G0018660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:204571547:204576526:1 gene:SECCE1Rv1G0018660 transcript:SECCE1Rv1G0018660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MSAAVMQATASVERRDSSSGGAEIAFVSYGGEQHLPLVMSLVDAELSEPYSIFTYRYFVYLWPQLTLLAFDAKDGKCVGTVVCKMGEHRGAFRGYIAMLVVLKPYRGRGIATELVTRAIRVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPRPDLGLDPMMMLGDERDGQHMDSPYL >SECCE5Rv1G0372030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:850979476:850979989:1 gene:SECCE5Rv1G0372030 transcript:SECCE5Rv1G0372030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGHIMKKCHHFEWMDDYIQRLQGLGLLDSRGNAIREFNLPHDSAAPAAAARPEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCEUnv1G0541880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98327677:98328027:1 gene:SECCEUnv1G0541880 transcript:SECCEUnv1G0541880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISGARSLPDEQVRIASTKMDGIGPKKAIQLRYRLGISGNIKMNELTKYQIDQIEQMIAQDHVVHWELKRGERADIERLISISRYRGIRHQDGSPLRGQRTHTNARTARKQIRK >SECCE2Rv1G0110660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:692828360:692829859:1 gene:SECCE2Rv1G0110660 transcript:SECCE2Rv1G0110660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGSCNLGGNADAVEFCPHRPFRHILAAATYTLQEQAGEQQEQQQDRAGTVSLFSVDAGADDESRRLRLLHTVETAGVFDMKWSPTAPLLAQADAHGRLVLRRLEHEDGSDEGVVLTDVVAGNISSSMCLYVDWNQNADSLAVALSDGSLSVVPMREDRLEVSEQWTAHQYEVWTCYFDRARPHLLYSGSDDCSFGCWDLRESPSNAVFRNKKTHTMGVCCIAQNPLEGNMLLTGSYDEFLRVWDMRSMMKPVNEKSLNLGGGVWRLKYHPNIADVVLAACMHNGFAIVKAGAGDATILETYCKHESLAYGADWQTSEAEERNTNSSVIATCSFYDRLLRVWQPENLVNHPTS >SECCE1Rv1G0056710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:688959674:688959937:1 gene:SECCE1Rv1G0056710 transcript:SECCE1Rv1G0056710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGVLRGAVLVLCALIVLSSASAAAEAAGRQRGHAREATAPAAMAMARGRFARKVLREEIVQADRQGDIGQSKRTSPGGPDPQHH >SECCE4Rv1G0281020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:824918808:824919998:1 gene:SECCE4Rv1G0281020 transcript:SECCE4Rv1G0281020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKDGSLERNTKPRLDDHPGATAAVAASMLTEDIILEILSRLPARSVHRFKCVSVLWRDLIADPANRKKLPQTLAGFLYTTVSEEHRHHFASVSGGAAPFDPSLPYLHPSKDESITQVDACNGLLLYRRYKKSKASPWKGDDFRFVVCNPVTGRWLELPPQPQSPANRNTAGLAFDPAVSSHFHVLHFDKTIPEGYITGVSIYSSRTGAWSHRDCGMVEKVKLFFDSTCVFVGGTMYLSGSLYFSNNYVLVAVDMEGKVWKTTRMPYSPRFGTIGSSQGCLHYAVASVDDNNKILVSEMALWCLKDRDSKGLVLKHVARIDMLMSMTGLEYRVVQIHPDCDTIFLLSCGGDTLASYDMRHHKVGCILDLEKRNTQQFLPYAPLFSESLADADEQ >SECCE7Rv1G0462250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:44806415:44808543:-1 gene:SECCE7Rv1G0462250 transcript:SECCE7Rv1G0462250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSLCLVALATVIIFALFLKSKSKRPRLPPGPWTLPIIGSLHHLLGGLPHRRMMELSRLHGPLMFLRFGEVPNVVVSSAEAAELVMKTHDLTFATRPRSATIDVISGGGKGIALAPYGDHWRQMRKICIVELLSAKQVKRMESIRSQEVAKLLRSVSDTASSSSSIVNLSSLVAVLSNDITARAVFGGMMCAQQSEYLRELGQVLKLVGGFCPADLFPSSRLVRWLSSGERNLRKSCGGIQRIIDNIIDGRKAERQSGDACSADDEDLLGVLLRLKEDDSLAFPLTSETIGAVIFDIFGAGSESSSTTLEWAMSELMKSPEAMVKAQMEVRKVLGRGRVVITNADLGELHYLQMIIKEVFRLHPPGPLLIPREARDDCEIMGFDIPKGTKIHVNAFAISRDPRYWENPETFKPERFSNNTLDYKGTNFEFTPFGAGRRLCPGMLFGTSTLEIALANLLYYFDWVLPDGANPKTLDMSEKFGLAVSRKYDLQLIAIPCT >SECCE4Rv1G0221790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:52671036:52671386:1 gene:SECCE4Rv1G0221790 transcript:SECCE4Rv1G0221790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAMKLSVTLVLLLSGLVVFGEIGGAGAACDVACFQGGHITCDNYPGQELDGCACECAPKDGKGCVLHLDDGVTHTNCRARNN >SECCE4Rv1G0217830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18821557:18822231:-1 gene:SECCE4Rv1G0217830 transcript:SECCE4Rv1G0217830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSKSLAAGLFVMLFLAPAVLATDPDPLQDFCVADLDGKAVSVNGHTCKPMSEAGDDFLFSSKLAKAGNTSTPNGSAVTELDVAEWPGTNTLGVSMNRVDFAPGGTNPPHIHPRATEIGIVMKGELLVGILGSLDSGNKLYSRVVRAGETFLIPRGLMHFQFNVGKTEASMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLTKALRVEAGVVELLKSKFAGGF >SECCE1Rv1G0031910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:448139465:448142713:1 gene:SECCE1Rv1G0031910 transcript:SECCE1Rv1G0031910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLPEIAAEGKGILTDAWDSKGRPAARSTTGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGSAAAANTVTNFIGTSFMLCLLGGFVADSYLGRYLTIAVFTAVQATGVMVLTISTVAPGLRPAVCGDATGQSPDCVPANGTQLGVLYLGLYMTALGTGGLKSSVSGFGSDQFDESDDGERKMMMRFFNWFYFFVSIGALLAVTVLVYVQDNIGRRWGYGICAVGILVGLGVFLCGTKMYRFKKLVGSPLTQVAAVTAAAWSKRALPLPSDPSMLYDVDDAAAAGEDLKGKQKLPHSKECRFLDHAAIIDRAEAASPAEASKWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFAVEQASLMDRGIGGSGFLIPAGSLTVFLIGSILLTVPLYDRLIAPVARRITGNPHGLSPLQRVFVGLFLSIVGMAAAAIVERHRLTSSTHGVTLTVFLLMPQFLLVGAGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTCALGFFFSTVTVTIVHKVTGHGPRGTGGWLADNLDQGRLDYFYWLLAIMSAVNIIFFTMAARGYVYKEKRLADAGIELADEEAMIVGH >SECCE1Rv1G0030590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:429041700:429053801:-1 gene:SECCE1Rv1G0030590 transcript:SECCE1Rv1G0030590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPEREREGRGEEWSDGGVALGFRVKACSRESSGQKAANVLEPDLRSHWSTATNTKEWILLELNEPCLVSHIRIYNKSVLEWEVTAGLRYKPEAFVKVRPRGEAPKRDMVYPANHTPCRYVRISCLRGTPIAIYFIQLTGIPVPGLEPEFQPLVNHLLPQISSSQKQSHSSHNIHLQLLKDIASRLPPFLPQIEADLNSITDTPESSVRFLALLAGPFYPILNLINERDATKTSISSADSDTLKTSLASIPTVSSNFEAQPRRARSPSSVQPASCMLAFRSETAILLLRKAHKDKALSIVCHRASRVLQKLLEPEPFVDETIPNGCMLSSEVSDEIPKSDASSLVPYTNYFSLFGEEFSLSENYFDGSFLNILDVAAVEEGILHVLYAAASQPQLGCKLAEITSDMWSVLPLVQALLPALRPPFSAGPTEQIDDCFSQWSHPDVHNALSQIVSMSVSSVFHPLLRGCAGYLSSYLPSHAKAACVLLDLCRGPLSPWVPTITAKVDLAVELLEDLLGVIQGVGQSLPRSRAALKYILLAVSGNMDDVLAEYKEVKHKILFILEMLDPFVDHAISAMKDRISFGGVSAMYLEKQAKVCDMALNIIRTAAKNPAVLPSLELEWRRGAVAPSILLSILDPHMPLPPGVDLCKSSLPEVDQAALAVSDCPAPHSCNPEVVDGRDTSEIAMRIESFEQYSSLFAPEELKQSELTNTLREDHDKVRTNFDQNIPEGRKTNVTLPAGLFQLEDTVADDYNDARADYLQLLNQENCELRALEFRRLALNLCMQQEPTIEGHNAGIDALLLAAECYVNPFFLLDLRLNSEPLDRIERTHSELIQGNASFELKDLRVKGLDLATVHSLENKRDRAVLDLLVQAAKFDSEYHAKIPDDEVYPNDAEDDKQAIEISPEVTDLVDAVTLVRKNQALLWHFIMKQFGRKGPLANEILLDSLLFLLHSATDLFCPPDNVIDIILNSAENLNRQLAYLYSSVNAGDKKLDNVKLHGLQRRWALLQKLVLASSGSDNTRELVSIKKDGFRFRSLVPPSAWVHKISEFSRFSGPLPRFLGWMAVSRYAKEYLNERLFLASDFSQLTTLLSIFTDELCLMDGVATQKVKSADKKESMSSDKPSMNKLFQILLPELHFFFPSMSRLFHTFGETILEAVGLQLKCLPKSAVQDVLCWFSEMCMWPYLEGIKEHLVLANGVSSLRGNIAANAKAVVFYLLESVVSEHLETIVPEMPRVVHILVSLCRASYADVAFLGSVLCLLKPLISYFLRKGTDDEKVMGHITDCSDFELICFEELFEIIRCGKHTKDATSDKIQVPLLIFILGSLLPDLSFKRRIEILDSLLVWVDSISSDPPSLLCSYLEGFHTLIDGCVTILVQNIELLGIIILSVREQSGEAANSISGDAMMQPEKNSQDSAEQLLVKSTDNTEKLKGPPAGCIIEFCDALEKVISHLTLSIESSWKWHHQLASRLSSSMAKCLLYAKCLKAATQGNIISSSTRQEVELVQKHWESALEGLAETILGNQEKQCWQVASSMLDYMIKLPNVLAWGNVLSATCSAIEHFCSHAPRISWRLQTEKWLSLLVSGGIKDLKNSETSLINLFCTMLSHAEPEQRSVALQQLGRIIHLASTAEVGSGSTMASHLVTHTWNRITALALYDSSMLLRNHAMALLTEYIPFVDRNHLQSFLASSDGVLKGVGQLSCVIEEGYLTRMSLLLLSKACLYSSSEDIALIPECVWRKLENMQTSLTGGFGDVEKDLCRALCQLRTESDAKTVVKELLAGSTAKPVNTDYKDIRESILQVLSSLSSVESCFEFFSIRSDQECQELEEAEIELELIKNEKAVQKFVGHPQDTVAPGALSYYKDSSEVNKQLQQIREDIRSLERSKLREEIIARRQKKLLIRHTREKYLEETSCKEMELMQELDRERTLEMERDIERQRQLDVERAKSREMQYNLDMEREKQTQRELQRELEQVELGRSSRREFSANPSSRARERYRERDNGRGAQQEGSRGQGHEGQPTVMMAGQSRPSSFPTILQSRDRGSDGGYEENAEGSRDSGGDTSSMGDPELDGPGSGSRHGTRAGSSKSSRQVMERRERDGRREGKWERKQ >SECCEUnv1G0562440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:365985262:365985777:1 gene:SECCEUnv1G0562440 transcript:SECCEUnv1G0562440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSALILILAAALTAGASARSFSITNRCSFTVWPAATPVGGGRQLNSGDTWNLDIPDGTSSAIIWGRTGCSFNGNSGRCSTGDCRGALACTVTGQPPLTLAEFTLGGNTDFYDISVIDGYNLPMDFSCSTGVNIQCRDPSCPDAYHKPNDVRTKACSGNRRFNVVFCP >SECCE4Rv1G0291350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876439641:876441369:-1 gene:SECCE4Rv1G0291350 transcript:SECCE4Rv1G0291350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASSHGDAAASKFTLPVDSEHKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLVMLSAPTVFCMSVIDNASGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIQKCGATPFVAWRIAYFVPGMMHIVMGLLVLTMGQDLPDGNLASLQKKGDMAKDKFSKVLWGAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFHLDLRAAGTIAACFGMANIVARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCIWLGRASALPASVTAMVLFSICAQAACGAVFGVAPFVSRRSLGIISGLTGAGGNVGAGLTQLLFFTSSQYSTGRGLEYMGIMIMACTLPVALVHFPQWGSMFFPASADATEEEYYASEWSDEEKSKGLHIAGQKFAENSRSERGRRNVILATSATPPNNTPQHF >SECCE2Rv1G0068930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25889940:25894900:1 gene:SECCE2Rv1G0068930 transcript:SECCE2Rv1G0068930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRIRTFEKRLLRPLLSLPSRSRAFQTLARSAPPPPRAPSLLLLLRTRHLAPHPHPPRCASLPPLRSFASVSPAPGKDLQGSSGHDDDGLPPAPLPPPPEELGSDDDAYYQEQLLEYAQEDEARLVPVKAYFPCTSINLKSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKTGVIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVERHASGLLPEMRKDDYAVVERPAMEKWMEGGLDFIILKDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEFLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQM >SECCE7Rv1G0523340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:881769651:881781415:1 gene:SECCE7Rv1G0523340 transcript:SECCE7Rv1G0523340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFQFTDTADEVSYMYHAYVGSPPSRVVLHESGVIQRMVWNPAALGWSIFWSGPRDECDRYGVCGAFGVCNEEDAVVCACVRGFRARSPAEWRMRNASGGCARSTPLQCEGGGEDAFYALRGVKLPETHGSTVDAGASLEECRRRCLANCSCTAYAASDIRGGGLMDTRFIDGGQDLFVRLAMSDLDAAPASPEPAKTKKFVAVITIVIIGFGLLVLWLGFLIWKKVWLSKEATIFNDIMGGDCPTYHFETIRAATGGFCPENEIGRGGFGIVYKGQMQDGQEIAVKKLSTDNRVQGLKEFKNEVDLIARLQHRNLVRLLGCCIHCSDRILVYEYMSNKSLDNFIFDLRRRATLSWKTRMDIILGVARGLLYLHQDSRHTMIHRDLKAANVLLNRDMVAKISDFGIAKLFSSIGDNQDSTVTERIVGTYGYMAPEYAMDGMVSFMQDVYSFGVLLLEIVSGRRNQRNFNLIAHAWKLFEENKSLKLLDPTVRDDCSPTELEQAATCIQVGLLCVQESPSQRPQMAAVIPMLSQQQVLGRPLRPVVCMPVSTPADLLDIQEDTSGNFQMIITDLEGR >SECCE3Rv1G0169600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:238569002:238570600:1 gene:SECCE3Rv1G0169600 transcript:SECCE3Rv1G0169600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYVQGEDQVPVYESGADALQKLQEKWKSTAAPYPAMYSSFLGGIILDPAMMALPIDDHMVHRGHGVFDTAMLLDGHLYELDAHLDRFLRSAAQAKVGTPFPRDTLRGILVQMTAASGCRKGSIRYWLSSGPGDFLLSSSGCPGPTFYAVVIASDYAQCRDGVRAVTTSVPMKPPLFATMKNVNYLPNVLSIMDAEERGAFASVWVDDQGYVAEGPMVNVAFVTQGGELVLPVFDKILSGCTAKRMLALAPKLVEAGLLKGVSTQHITADDAKRSVEMAFVGSGLPVLPIVEWDGQPIGDGKVGKLMLALSDLLWEDMKSGPDRVAVPYK >SECCE1Rv1G0007330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39965625:39970488:-1 gene:SECCE1Rv1G0007330 transcript:SECCE1Rv1G0007330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEAEAEVDSYINYFLMQRRKAKEAQEEAERRKTGGPGRKMKKRKTETGKSSKAAAVPAESDLARTTEIVEAAMAKLLARMNGVVIKEPAKTMTEEDVAAAAVYRAKAEEARRNHDRPEETSALIKWIATGDPEAVKCRKGWIREDMEALRLKDMDPDEDTSDWYAFQAKEFREFWEFLYPKSFGKFEETTRIPSMLYTDKKSSGGIAHPIRTLQVFSVKVAGLQDGVHWPLEVFGVVAARDSLDHNRNIIFQRERDNCQKIDKENPYLTLTGPSRAIVVVDPVWFELALQVKGATKSEDKELSYHVDPYYMSGSMKSYVFNRVRTSRLSTMELTLGDMVHSVEATISVRVVGGEWPEGFRGVFTTTTASIDDMKIELLDSGDDTLVVAADGSIQLSRSVVSVEDDGQLRVSVMARHKVDQSVQRDSGVVAAKTSSRSYANLEVGSCKMEVTVAWSLLPDWTHYHNMPCPSI >SECCE6Rv1G0412800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:617568403:617569200:1 gene:SECCE6Rv1G0412800 transcript:SECCE6Rv1G0412800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase, Biosynthesis of jasmonic acid, Defence respons [Source: Projected from Oryza sativa (Os03g0438100)] MAAPPSSVSVKTGASVSAKLTPSQAARAGFGGRVSVSSGRRCGGPVRASLFSPKPAVAMDARPTKVQELHVYELNERDRESPAYLRLSAKQSQNALGDLVPFTNKVYSGSLDKRIGITAGICILIQHVPERNGDRYEAIYSIYFGDYGHIAVQGPYLTYEESYLAVTGGSGVFEGVYGQVKLNQIVFPFKIFYTFYLKGIPDLPKDLLCTPVPPSPTVEPTPAAKATEPHACLNNFTD >SECCE2Rv1G0063920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:628386:630528:-1 gene:SECCE2Rv1G0063920 transcript:SECCE2Rv1G0063920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLFFSFKSVMHSKSAFLLIVVAVSTTAMVHGHPAASTPAARFWEQALSGTPMPEVLADMVQKGMDLSPLVEHYSAQPSIGMCTLINTICNARTVAETGIFFHEAELHPGSTMTLSFPAEAETAFLPHDVAGKVPFENLSDVLSTFHISPGSAEAAQVEDTLRKCQQPPIAGEMKACTMSLESTVKAAMEMLGTTIQQGGGGGDVWAATSTLPRGGLLPRREYIVEEVTKLEGTAYVACHKVPFPYAVFHCHIAPTGYTAYKVTLHGRGDDEGPVVSLLAFCHFDTSRWNPAHPAFQILKAHPGARTSVCHFMSYGNLAFVKKARTA >SECCEUnv1G0559470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:338540202:338540594:1 gene:SECCEUnv1G0559470 transcript:SECCEUnv1G0559470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAAPQLPRRDARKLARCPRLQLDAKTVTAVEQSTGTPVADAAAGAATTSPGGGMRVKIVLSKQQLKQVAAAVAAGGAFALPPALEQLVSVIKRQHAKKQAAPTNAAAARRPGRWSPALHSIPEDIFS >SECCE4Rv1G0280280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:819234586:819235958:1 gene:SECCE4Rv1G0280280 transcript:SECCE4Rv1G0280280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDGEAGVKKGPWTPEEDKLLVDYIQEKGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFTDDEEKLIIHLHSLLGNKWSSIATKLPGRTDNEIKNYWNTHLRKKLLGMGIDPVTHRPRTDLSLLAGLPGLLAAAGNFGAAGSATGAWDMNALRLQADAAKFQLLQGLVRALTTAAVPAPAPGMDNLMALLAASNGGQNGAGGVDQSMLLQQCQWDGMNNLPALTNSAQPSGMHNMSGMFDSFGAGDGLSSTELGVHGGASGSNVTVDAVAPPRPMVANDQECNNNGGGGVSCEQTPASSPFDGLESLNLMDDLSTDGSWKDLLDQMSWLNSSEL >SECCE7Rv1G0502140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:693355482:693355769:1 gene:SECCE7Rv1G0502140 transcript:SECCE7Rv1G0502140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVVVPTEFRLRNNTGCSWKVMVKLMNGRVTLDQGWATYTAVHQIKIVYMVTFKLLTPDTLKVIIFDDDGIEVVNKCGKHEEAFATKD >SECCE5Rv1G0352420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:709478451:709482205:-1 gene:SECCE5Rv1G0352420 transcript:SECCE5Rv1G0352420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N(7)-)-methyltransferase non-catalytic subunit [Source:Projected from Arabidopsis thaliana (AT1G03110) UniProtKB/TrEMBL;Acc:A0A178VZV6] MEDAAVEEAEVSGAGEFAPALVAAHPLGNSVAVAVGPELRVFDLKGGCAVLLSDDSGGCSHSDAIRAISFSANGALFASAGDDKLVKIWKTDSWRCIQTITSEKRVSAVAISKDGLYVTFADKFGVIWLVTVGEHGGGQVSSDDNKPVSIYGHYCSIITSMKFSPDGRFIATADRDFKIRVTSFPKDPIKGAPQIQSFCLGHTEFVSSIAFTSLAGGSSFLLSGGGDSTVRLWDYINGCLLDTYEVKDKVGEQPNETEDSNLAIAELCLTNDDSLVAVAIQSLNGIMLLACDLVTKKLSFLKVITTEKSYIPTSLAYSSSDDLLWTVMGASNMPNQAASQLLTRVRIIPRLHKDISADPSVLEDSEVPHGEKLLLAMQGSLDASKQEEVLAAVLAALRVSMHKMLVKKNYSEERREQRKRGRNDKKMKK >SECCE1Rv1G0001940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6824300:6824557:1 gene:SECCE1Rv1G0001940 transcript:SECCE1Rv1G0001940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKVAAAIALCVMLVLNMGHPAAATECEDCLGKCVAICIAYAETSCRGICNAIPQPPACQTCKDGALIQCGITCYGGCTLFC >SECCE1Rv1G0044850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:605916260:605916926:-1 gene:SECCE1Rv1G0044850 transcript:SECCE1Rv1G0044850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVALSSFAVVGRSAARSPVVAPRRRALVVRAQTEPGMESTKETTSASTSSSSPSTSATPTPIPAAPKPRTKKANPSVWDALAFSGPAPERINGRLAMVGFVAALSVEAARGGGLLDQAGSGAGLGWFLVTAGLFSVASLVPLLQGQSVESKSSGFWSADAELWNGRFAMLGLVALAATEFITGAPFVNI >SECCE5Rv1G0341300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:622817034:622823708:-1 gene:SECCE5Rv1G0341300 transcript:SECCE5Rv1G0341300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G25150) UniProtKB/Swiss-Prot;Acc:Q6S7B0] MEDEEMERKVQQYLHRKGFRLTELALQEERNRLSTTSLSDVSLSRPDNDPARYYDGYNKLRSWAYNSLDQYKHELLRVLYPAFIHCFMDLVSEGHTLEARAFFLKFREDHELMHSRDLQKLEGTLSPLHLEEMDLARSLRENKFRIKLCEYSYELLLQYLQKTQALVMLGIINERIIFEVSAGQPSLISDDADVVALVGTSKDLAKQINQKEVHWGLLEDSVEERMEKALSDSDRAEAESKDADAEDNNKKKSSEGGKQGGPLNKKLKKDKLVGATGKNTKSETSMISAAPRVKPELTLPATPVEVEQSILEDLRNRAQLNNLALPSVSFYTFLNTHNGLNCSSISNDGSLVVGGFSDSSVKVWDMAKIGQPAKTSSSQGENGSSQDERLSSTSEGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLADVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSTGRCISPLAGHNSCVWSLAFSCEGALLASGSADCTVKLWDVASSTKALKMDDTKAGSTNRLRLLKALPTKSTPVYNLRFSRRNLLFASGALSL >SECCE3Rv1G0160090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:102628661:102631571:-1 gene:SECCE3Rv1G0160090 transcript:SECCE3Rv1G0160090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRSHGLVALAMGVSFLLLLTTVISQSDREKNQTVQVSHLPGFHGPLPFSLETGYVQVDDSNGVRLFYYFVQSERSPTEDPVLLWLTGGPGCSAFSGLAYEIGPLSFQPDSYTGGLPELVYRPDSWTKVANVIFLDSPVGAGFSYSATDQGYKSCDTKAVDQIAIFLTKWYEEHPQFLPNPLFIAGDSYSGLIAPPLTFQIAKGIEMGDKPLLNLKGYIIGNPVTDRKFDSPAIVPYAHRMGLISDEQYEIYRESCGADTGMNRNIECKNCHDAIDKCLKGINIHHILEPECSSEYNGNSDSGRTLLDYGNAKLGLSDISSECREKGYSMSGIWANNMAVREALGVHKGTVPVWLRCNHGTPYTTDIRSSVEYHRSLTSRGYRSLIYSGDHDMTVPFIGTQAWIRSLGFAVVDEWRPWHVTGQVAGFTTLYANNLTFATVKGGGHTAPEYRPKECLAMVDRWLSGRPL >SECCE6Rv1G0381900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:36169081:36173102:1 gene:SECCE6Rv1G0381900 transcript:SECCE6Rv1G0381900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFGFPILSPAEIAEQLFQYGIAPVANLRPENIASPQPDLLPGVLARFFDSFVDAPGDREDGLLGFSDLEVLDNPEHHAEAIRVLRLYNKSQAFLDSIQFKDFTLADFTRPTPRRVVEVLSALINFLFYREEKVTLLQPIVSETPDYHERTLELKARMAQLQKEIADHELAEQMEEPMAQQLEADVNALQQKVQVYNKQQLALRAKAAAINDKKEEIHRKITQADFELTKHAQENSRLMSKLVKSPEKVQRALEEKKSARAKLKESEKIAMQNDQEKSAALEIRNKAHEKLTKQHSKIQDVHEQLVAAKTVEKEVKARKAKLNDESVSVMSFDAQIVDWQGKVHEMEERLKGKVKERNQIVAVENQKLGALSSEIEGKLQRLEPREKKAEAMIAKASNLCSEAASARTAATAEQHKIRAKFNNIVKEFNTYMDSVDPFLERVEEVGRQLAGEGASAPDPSAAVTTKTTPRASAMSKKRARKRT >SECCE3Rv1G0154480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:60922064:60922470:1 gene:SECCE3Rv1G0154480 transcript:SECCE3Rv1G0154480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKTNTAALILAALAVMAAVLSTCCLADEEERCFPPISGPDCNLRHCRKLCGEKSKAHCYMALADVQPMGVTACCCSPLRRAKAAPAVL >SECCE1Rv1G0038050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:534700907:534701470:-1 gene:SECCE1Rv1G0038050 transcript:SECCE1Rv1G0038050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRAACGGEAAGGVQRRRPYKGVRRRQWGKWVSEIRVPGTRERLWLGSYATAEAAAVAHDAAVCLLRGGGGGGLNFPGRAAAYGRVLHHGGAGQQLSPRSVQRVASDAGMAADAQLVELRERVPAPLCQETLDSAGIGAVHGDGGTGAGEQVPYGGTRSCSPGSEQLVYGELSVDDIEIVTMLQSL >SECCE6Rv1G0428900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:731507441:731508769:1 gene:SECCE6Rv1G0428900 transcript:SECCE6Rv1G0428900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLIVPPRDQVCRVTKLLGDEHGTASNIKRRVNRLSVLAAITSAQQKLKLYNRVPTNGLVLYTGTIVTDEGKEMKVSIDFEPFRPVNAFMYLCDNKFHTKALHEMLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPNNHGRGGQSALRFSRLRMENRHNYVRRTAELATRFFINPATSQPNVSGLILAGCADLKTELSQSDLFDQRLAAKILKVVTLSHGGEDGFNQAIEISAEVLSNVKFIKEKKLIGKYFEEIIQDTGKYVFGVDDTMSALEMGAVQTLIVWENLDINRYILKNSATGETFFKHFNEAQEADQSNFKDKATSAVLEVIERTLLLEWFAENYQQFGCALELITNNTEEGSRFCTGYGGIGGILRYLVDLNACEDPSDEEYDEVFEEQSTDTPVNSVQEELVLARNRNAPQPEAEASSSSSRKN >SECCE3Rv1G0151020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:35286606:35287577:-1 gene:SECCE3Rv1G0151020 transcript:SECCE3Rv1G0151020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKAYGTSKPTRYTFSEVKKVTRRFKDRLGQGGFGSVYKGQLANGVPVAVKMLENSKSDGEEFMNEVATIGRIHHANVVRLLGFCSDGTRRALIYEFMPNGSLEKYIFAHESDIFRELLAPNKMLDIASGIARGIEYLHQGWNQRILHFDIKPHNILLDYRFSPKISDFGLAKLCARDHSIVTLTAARGTMGYIAPELYSRNFGRISSKSDVYSFGMLVLEMVSGRRNSDPWIENQNEVYIPEWIYEKISKEQELESSRDMTQGEKDMVRKLAIVALWCIQWNPKNRPSMPKVLNMLTGTLQSLPMPPKPFVSSPGHPMPQF >SECCE5Rv1G0332930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:553059545:553062917:1 gene:SECCE5Rv1G0332930 transcript:SECCE5Rv1G0332930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSCAVAPRRLALLVSLACAALLVAPCRCVNEQGRALLEWRRSLRPAGGALDSWRASDGSPCRWLGVSCDARGAVVSLSVTGVDLRGPLPANLLPLAPSLTTLVLSGTNLTGAIPPEIGGYGALVTLDLSKNQLTGAIPPELCRLANLETLALNSNSLRGAIPDDLGDLASLTHVTLYDNELSGTIPASIGRLKKLQVIRAGGNQALKGPLPKEIGGCADLTMIGLAETGMSGSLPDTIGQLKKIQTIAIYTTMLSGGIPESIGNCTELTSLYLYQNSLSGAIPPQLGRLRKLQSLLLWQNQLVGAIPPELGQCEELTLLDLSLNSLSGSIPVSLGRLPNLQQLQLSTNRLTGAIPAELSNCTSLTDIELDNNALSGEIRLDFPKLGNLTLFYAWKNGLTGGVPASLAECASLQSVDLSYNNLTGPIPKELFGLQNLTKLLLLSNELSGVVPPDIGNCTNLYRLRLNGNRLSGTIPAEIGNLKNLNFLDMSENHLVGPVPAAISGCGSLEFLDLHSNALSGALPAALPRSLQLVDVSDNQLSGQLRSSVASMPELTKLYLAKNRLTGGIPPELGSCEKLQLLDLGDNAFSGGIPAELGALQSLEISLNLSCNRLSGEIPPQFAGLDKLGSLDLSHNGLSGSLDPLAALQNLVTLNISYNAFSGELPNTPFFQKLPLSDLAGNRHLVIGDGSDESSRRGALTTLKIAMSVLAVVSAAFLVTATYMLARARRGGRGSPPVDSHGTWEVTLYQKLDISMDDVLRGLTSANVIGTGSSGVVYRVDTPNGYTIAVKKMWSPDEASAGLAFRSEIAALGSIRHRNIVRLLGWAANGGSSTRLLFYSYLPNGNLSGLLHGGVVGGAKGAPTAEWGARYDVALGVAHAVAYLHHDCVPAILHGDIKSMNVLLGAAYEPYLADFGLARVLSAGQGKLDDSSKPQRIAGSYGYMAPEYASMQRVSEKSDVYSFGVVLLEVLTGRHPLDPTLPGGAHLVQWVQAKRGSDDEILDARLRESAGEADAHEMRQVLAVAALCVSRRADDRPAMKDVVALLEEIRRPAAADDAKPTPATTLPAAAAAPMLSPARGDSFAVSDYSA >SECCE5Rv1G0363160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:791270901:791275787:1 gene:SECCE5Rv1G0363160 transcript:SECCE5Rv1G0363160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDAVKSGTGRHGGGQVCQICGDGVGAAADGELFAACDVCAFPVCRPCYEYERKEGTQACPQCKTKYKRHKGSPPARGDESEDDASDFNYPASANQDQKNKIPEKMLTWRRNSGASDDIGLTKFGSGEIGLHKYDSGEIPHGYIPRFSHSQVSGEISGASPDHMMSPAGNAGKRGHPFAYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMTNGTSIAPSEGRGNGDIDACTDYGMEDPLLNDETRQPLSRKVPIPSSRINPYRMVIVLRLIVLCIFLHYRITNPVRNAYPLWLLSVICEIWFAFSWILDQFPKWSPVNRETYLDRLALRYDRDGELSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDFLKDKVQTSFVKDRRAMKREYEEFKVRVNSLVAKAEKVPEEGWIMQDGTPWPGNNTRDHPGMLQVFLGHSGGLDSDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSSALREAMCFLMDPNLGRKICYVQFPQRFDGIDTNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPMKNKESGLFSKLCGGRTSKSKSTGSKKSDKHADGSVPVFNLEDIEEGIEGSGFDDEKSLLMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDRSDWGREIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLIYCILPAVCLLTGKFIIPQISNIASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKASDEDNDFAELYMFKWTTLLIPPTTILIINLVGVVAGTSYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDIRMCGINC >SECCE2Rv1G0089000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:250986179:250989649:-1 gene:SECCE2Rv1G0089000 transcript:SECCE2Rv1G0089000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGDDAGMDEVQRRLMFDDECILVDEQDNVVGHESKYTCHLMEKIESLNLLHRAFSVFLFNSKHELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIQENFLGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKLVPNPDEVADVKYVSREQLRELIQQADAGEGGVKLSPWFRLVVDNFLMGWWEHLEKGTLAEAVDMETIHKLK >SECCE4Rv1G0220590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41216362:41216820:1 gene:SECCE4Rv1G0220590 transcript:SECCE4Rv1G0220590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPVEEEPAAEKAEKTPAGKKPKAEKRLPAGKSSAAGKEGGKSKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE1Rv1G0044230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:601133662:601134717:1 gene:SECCE1Rv1G0044230 transcript:SECCE1Rv1G0044230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGLSESVMRKVLLSYCYVAVWIFLSFAVIVYNKYILDPKMYNWPFPISLTMVHMSFCSSLAVGLVRVLRVVEPPSSPPMTPQLYTSSVVPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDLRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLCFLLVPWIFVELPRLRAVGTFQPDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVAYYNHIKLQALKAKEAQKKSAQADEEAGSLLQERDSHSDRKSENQA >SECCEUnv1G0533270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:28179487:28181656:-1 gene:SECCEUnv1G0533270 transcript:SECCEUnv1G0533270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCNRALLLHLVLAALLRPAAVVAVDDATSGRSKGFSLRLVPSPGWNSTIHVDDDGFVHLHEPVEHAPNVLRPHMRHKVRYNIITTVGTGHGRRTYTLAMEMTQSLTWMQCVPIQNPFEQVPPPFEPATSPSFHPLAPTDHLCSPPGGSVASGVLGHETLSFAASGHGAAPAVEPAVVIGCAHTSEHFESHGVLAGIIGLGKTYPSLIWLLGRGGLHRFSYCPFFPSTAQANRHGFLRFGNDIPVDTRHMKSTRLLYPEASAYYVNLAGISVGGTRLGGNLAEVFRRRKLADGSWHSGCVLDAEMAWGGTSMIRHAYDILEHALAEHGRRLGVPRVPRHNFALCFRATRAIYSHFPTVTLHFESEQDLVLTPNKLFVLLGQDMCLTVKPNPQITVIGPATQVGTRFVYDLAAGRVYFGPENCNADTGVKD >SECCE3Rv1G0172970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:311773941:311774186:-1 gene:SECCE3Rv1G0172970 transcript:SECCE3Rv1G0172970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRRVGVPRKSDYLSGAVDGGDDPGVAHLEQRRPIGPGEDADLALELLHLQGKAANGKNQRQSSQHEEDEDALLWTKRF >SECCE5Rv1G0351880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:705220965:705222083:-1 gene:SECCE5Rv1G0351880 transcript:SECCE5Rv1G0351880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSHAGGNGLPSGSGSASSIVAGAVSGYHLLKIVGYSRTKEVPNGKWIDSCPFQVGGHTWHVRYYPNGFKTEDKDYVSVFLELDATVEEDQAVKAEVKFSLLDKDGTPVLPYNWGTGTRNFSVEKTQKTWGFDKYIKRQELEKSELLKDDSFAVKVDVTIMTEFHAHKTPSVVVPPSDMHRHFGDLLSSKAGVDVEFRVGGETFSAHRLVLAARSPVFRAEFFGPMKEGTTTEPICIDDIEAEVFKALLAFIYTDALPAMDQQEESAMAQHLLVAADRYDLERLKLICEDKLCNRIDTSSVATVLALAEQHHCHELKAACLVFLSSPVNLDGAMESEGFQFLTKSCPGVIKDLLMSHVAPSLLGKRKSKA >SECCE4Rv1G0217040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14001027:14002376:1 gene:SECCE4Rv1G0217040 transcript:SECCE4Rv1G0217040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKIESSNIVKPWYEDGEAPAHAQWVPLSVLDKVTYGEHVALIFAFRPPNPLNSEVQLGLAKALAVYREWAGQIGDGPDGRRSVLLNDAGARFVEATVDAPMAVSVPSEPSPVMRRLHPRIDDGPVELVQVQLTRFSCGSLAVGFAGHHQIADGQATANFLAAWGLATRRLPMPPAPVCDRGAWFAPREPPLVEFPHREVEYRAPASAKKRDTVDEEHEEFGFVTAAHDKVKVHKMHLSKEFVARLKARASSRLPPSRRGYTTFQSVVAHLWRAITAARGLGTGMTTKVRISVNGRTRMRPAVPRDYFGNMVLWAFPRSDAGELVSRPVGHAAELVYRAVAGVDDAYFRSFVDFASSGAVEAEGLVPTADSEQAVLCPHLEVDAWLGINFHGLDFGGGSPIRVMPTYYPMEGSLFMVPSTLGDGSMEAYVALFDDHLAKFKRICHKIE >SECCE5Rv1G0361830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:781230053:781234190:1 gene:SECCE5Rv1G0361830 transcript:SECCE5Rv1G0361830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHGGLDDQIERLMQCKPLPEAEVRALCEKAKEILMEESNVQPVRSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVSLKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNATVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRTQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >SECCE1Rv1G0047180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:622048754:622049467:1 gene:SECCE1Rv1G0047180 transcript:SECCE1Rv1G0047180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGELPAATVVVGEEHCEMEDRELTVRKTTLFSPGDGLEAYDHRTGELAFRLETYGRGGACGGGAAAGDLALLGAAGDPVLTVRRRRPSLHHRWDGFLGDGGGAGGAKPLFSARRSSILGAGAGVLVDLLAPAHAPAKEFRVDGSFPRRCCRVVAVASSGGEEDAGEEETVVAEVRRKVDEGAHVVLGRDVFVLWVRAGFDAAFAMGIVLVLGRITGDELDGVLSEELLLEATSPV >SECCE1Rv1G0015280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:122180404:122181747:-1 gene:SECCE1Rv1G0015280 transcript:SECCE1Rv1G0015280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHHKVIGVAPAAAEGGRMCCVECRTTTTPMWRSGPTGPRTLCNACGIRYRKKRRQELGLDNKPQNQQLNQQQQQQQQQQQQQQQQQQQQQQQREDNGEVTSAVKDSSSSSNNKSNSLQVVKKKRTVSMGVEEAAFLLMALSSSSTPPLLHG >SECCE3Rv1G0208570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:933379765:933380349:1 gene:SECCE3Rv1G0208570 transcript:SECCE3Rv1G0208570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMSIEKIRAMRKYRRNRKQQQLLLLPALAPYLVATCGVLCLLLTSPAWFPSLCSLLVSFLLTTLPDLATAFLLSPKCLFVVGNLIVAFLIAQSRLAPKSQPTSVVDVDDVVHEEHVKRNVVPTTAKATTTTVAFFDHSASVEAVWEGEKEMEKEEEGEEQGEEELEKRVDDFIARVKRQRKLEGKSFFDTDR >SECCE4Rv1G0280560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:822399215:822400368:1 gene:SECCE4Rv1G0280560 transcript:SECCE4Rv1G0280560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNNDTFVLHSELERQGKIKPIHVIDVPGHARLKPKLDEVLPQAAGVVFVVDAQDFLSSVQAAAEYLYDILTKATVLKKRVPVLIFCNKADKVTAHSKEFIKKQLEKEVNKLRESRNAISSTDITDEVQLGVPGEAFNFSQCPAEGAGLSGNVSAVEQFIREYVKP >SECCE7Rv1G0467290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:86356027:86357007:1 gene:SECCE7Rv1G0467290 transcript:SECCE7Rv1G0467290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSRQARHDLRYCPSPLPLPRCQSFPARFDAGAHLVRLTSSTLGSLEVDKAAGLRAPEVAASRRMVPRTPTMTPPNEPEDIDAWELMAGLEEHSPLLVAPYGRHSFSFPIAATAQQEFAASSKVTPLPMRMPSVKDDEMASKAKEPPPPRKAVLYFTSLRGVRATHEDCSLARAILKGYGMRIDERDVSMHRGFRDELDGLLGLRAGALAKCWAPAPPTLPSLFVDGELVGNAEELKRLHETGELAARLAGCESGAPGACEACGDARFVLCEACSGSCKVYVDEEDEGEEDGEGTGDGEGSGFRRCTECNENGIVRCSVCCCS >SECCE1Rv1G0006870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:36293893:36294354:1 gene:SECCE1Rv1G0006870 transcript:SECCE1Rv1G0006870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNTAMFDEANQMMEWLNEDEEHVILDGSDAASAVFEEIRRLNSSKKSTHLGRKGNGTKRKRVLEEDEDDYINCDDDDEEDEYIDIDDEDECGDDSASEADGEDSTTRVEKDQPSQDGNEAEERNDGGFLNCRRSRRGKQSTKLKDLTSLHT >SECCE5Rv1G0367640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:822855488:822855861:-1 gene:SECCE5Rv1G0367640 transcript:SECCE5Rv1G0367640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARHATLCFLLFLVLHGNPTAVAEDCRYRNDKLPLCEDVLCKTQCWLEGAVVNAEVKESRCVGSGPDSTCYCLFCKKD >SECCE6Rv1G0420160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:675590490:675593067:-1 gene:SECCE6Rv1G0420160 transcript:SECCE6Rv1G0420160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVVLLLIVLHLLVATAAQRRLPVARPGCRDRCGNITVAYPFGIGPGCYREEAGAKSLRFELVCDDGRSPPRLVDANQKNQLADLSLEAGEARAYLNATRHCYNSTGGFAGQNTNKRYMMLSGTPYLFSSTKNRLVALGCPNLGYFVHGDGYYVSGCMSVCRPSQAAMPGPCTGIGCCQSEIPSGIDFFEPTMRDFPRGGEDFSFYANSTRCRYVFLVDKEWFSYTDRVFLNRTDDFDVPVVLDWAIRNVGNCNAARRNATDFACRGGARSKCFEVANGPGYRCNCSEGYQGNPYLDGGCIDIDECKQKDKYSCYGNCTNTIGRYTCQCPTGTSGDANVKNGCRPDDKFRLALKVVTGVSLGVFLSVFMCFWLYLGLQKRKLIKAKQSFFEHNGGVILQQQMRSYSGTAGGGGGGFKIFSEEELEKATNNFAADQILGRGGHGIVYKGVLEDKTVVAIKKSKVMEATETKEFAREMLILSQINHRNVVKLHGCCLEVEVPMLVYEYVSNGTLYQYIHGGEGLDNNKALDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDNLTAKVTDFGASKLAPNDEFEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTGKKPIRFDGPEEGRSLVSGFMVAAKAGGHYELLDSQVRNEMGPEALEEITNLMMRCVSMSGDERPSMKEVAERLEALRRYQRHPWGQAAAGDLEEGQSLLGREQQRGVNYKFKAQDVLDLQEGSTYTFSL >SECCE2Rv1G0119470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:791318743:791319081:1 gene:SECCE2Rv1G0119470 transcript:SECCE2Rv1G0119470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAPAKKIRRLFKAKPPTPLLFFLLSCDRGDRRSMSTMGVGGAVKMIVGAKEERVLSTSKAPGACPSCGGPVVATDVESERRILCLPLCLKNKRKYSCTRCFRRLVTVFS >SECCE4Rv1G0251510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:603897098:603899534:-1 gene:SECCE4Rv1G0251510 transcript:SECCE4Rv1G0251510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWLPELQHHAPSVPIVLVGTKFDLREDKQYLLDHPGVVPVTTAQGEELRKHIGATCYVECSSKTQQNVKAVFDAAIKVVIKPPTKQRERKKKKARQGCASLGVLSRRKLACFK >SECCE5Rv1G0336730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:586341252:586342384:1 gene:SECCE5Rv1G0336730 transcript:SECCE5Rv1G0336730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRDFLGFFLGAAITAAVVVLLLPPAPCPCGQDLASVNGSQANLSMSKLNTAAAAAASKEDNELAELLRSAAMEDNTIIMTFTNEALMLPGSLLELFLESFRVGVGTQPLLKHLVIVTMDGKAFERCGRMHPLCYLLVEGRSGSDDGLAAEMWFMSPNYLDMMWARNRFQARVLGLGFSFVFTDVDIVWFRNPLLRIPAGADVAMACDQFYGHTHNPYDLEKSANGGFVYARASPRTAAFYRGWYEARARYPGEHEQGVFQLEKRELAARHGVTLQLVDTAYLGSHCEPKKDFYKLCMYHANCLFGLQNKIGNLTAMLDEWKLFKAQHELTY >SECCE6Rv1G0429340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:734679528:734683708:1 gene:SECCE6Rv1G0429340 transcript:SECCE6Rv1G0429340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEDRKRSLTVAPFKCAWGEEFRFVEAGRGCIAFEASAQNDVTLVFREQPGSQHYHYKMDSSRHYAVILGSHRNKRLKIEVDGRTVVDEAGVGLCRSSSFQSYWISIYDGLISIGQGRHPNNNVLFQWLDPDPNRNVQYVGLSSWDKHVGYRNISVLPSAPQNSIRWSQIEYAYAERHGEGGHAKNEESKDGCEHRVIADFLESWDFSDAMFVVGSERKVVPAHKIVLAASGEFDFISVSGTAIELPSFSYPVLRSLLEYIYTGSTQIAESQLNSLLELSLQFKVKPLVKRCEEMLDCFTKMDNDLSVSSRNLEVSSSGSQAHQVDYLPFKAPVSVQKIKQFLASGEHSDINVFVSGQGFVAKAHKLVLSLWSMPFAKMFTNGMKESSATNVFFEDASAEAFSLLLQFMYSGELNVDDRYITPALVQLLLLSDQFGITVLQFECCKRIIEFLSEDTVCSVLQAVSSIPSCKLLEEMCKQNFATHFDYCTTACTDFVLLDEATFKDILQASDMTVTSEEKVLDAILTWCMEACETFYWSSVDKLLSTSTPEQLFGERLAAINTLLPFVRFPLMPPSLLQRMEKSNLAKHIQLFRQLVAEAIEFSNAGPWMMMTNKCERFLHRRSSYRELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNITVTASSPNSRYTDPKALVSKNYQGTCFAGPRDEDGKKCSWWMVDIGQDHQLMCNYYTVRQDGSTAFMRSWVLQGSMDGENWTSLIVNEDERAICQPGQFASWPITGPAALLPFRFFRLALTGPTTSNNWNLCICFLELYGYFR >SECCE1Rv1G0013880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108847832:108849291:1 gene:SECCE1Rv1G0013880 transcript:SECCE1Rv1G0013880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSHCSRLLLVCSVLVLCLVTGDARCNKLSSNFYDWTCPGVYNIVQQHVFSAMREELRMGASLLRLHFHDCFVNGCDGSILLDGADGEKFALPNQNSVRGYEVIDAIKADLENMCPGVVSCADIVALAAGYGVLFSGGPYYNVLLGRRDGLKANQSGADNGLPSPFEPISSIVKKFADVGLNTKDVVVLSGAHTIGRARCALFSDRLASTKSSAESTLDATMAANLQKLCTGGDGNQTTALDVSSADVFDKQYYHNLLTKKGLLSSDQGLFSANEDVVTSTTKALVQTYSDDGEQFFSDFGASMVKMGSIPLPGGSAGEIRCNCRVPNPK >SECCE2Rv1G0119760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:794208649:794209281:-1 gene:SECCE2Rv1G0119760 transcript:SECCE2Rv1G0119760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNDTKLAAVADAENPAVVCGDVDDSESSIDFAGRANWLRAAVLGANDGLVSTASLMLGVSAVKPDARAMVVSGFAGLLAGACSMAIGEYVSVCSQRDVEIAQLDQAGKRGGDEEKELPSPAQAAAASALAFSVGALLPLLAAGFIVGYNLRVAVVVLVATLALAAFGCVGAVLGRAPVARSCARVVVGGLAAMAVTFGFMRLFRASGV >SECCE1Rv1G0030740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:431245694:431256513:-1 gene:SECCE1Rv1G0030740 transcript:SECCE1Rv1G0030740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALVVFSPRGRLYEFASSTSLQKSIDRYKAYTKDTVNNKTVQPNIQQVKADALTLAKKLEALEDSKRKILGENLGRCSAEELHFLEGQIEKSLRTIRGKKTQLLEQQIANLKEKERALLKDNEDLRGKQRNLEAPLLLPAPNCVATLQPRGEPAPEQEPVPNDEDVETELYIGLPGARCSSRRSGQAK >SECCE3Rv1G0145120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5777906:5778448:1 gene:SECCE3Rv1G0145120 transcript:SECCE3Rv1G0145120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTATTSTATVLVMLVILSCGLPAAHANAVFISHTCKKTKDAALCVHVLRLDQNSVNASTVHDLASIALGIATVFANFEAGNIRVGARFNQGTPVGDALSVCLRAYLDAVDDLKHSAKQSFDGGDYVGASKIVMGAKAAGCVCDNALKQIKKDFHAEVDRHMKERCGVAGELIGLLIHK >SECCE7Rv1G0509800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:783616008:783621795:-1 gene:SECCE7Rv1G0509800 transcript:SECCE7Rv1G0509800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWLVRRAARRLAGELRGGGPGPWSLSSSTVPPVLGVPAGDQSRRFCSVRRFGGDGAAAAAAEEPENGLDAADLQFVDFPGGKLSFVGEMNFLPESQRERINCYRVLDDDGGTIYGSRFQEVNKELALKMYSNMVTLQIMDTIFYEAQRQGRISFYLTSNGEEAINIASAAALSAQDIVLPQYREPGVLLWRGFTLQEFANQLFGNKLDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPQAVGAAYSLKMDKTKACAITYFGDGGTSEGDFHAALNFAAVTEAPVIFFCRNNGWAISTPTAEQFRSDGVVTRGQAYGIRSIRVDGNDTLAVYSAVHTAREMAITEGRPILIEAMTYRVGHHSTSDDSTKYRPADEMEHWRTARDPVSRYRKWVQGNGWWCDTQESQLRNNVRQELLQAIQVAERMPKHGLAELFTDVYDQMPSNLREQERSLLDTIKKHPAEYPADVPV >SECCE6Rv1G0443910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:825522689:825526629:-1 gene:SECCE6Rv1G0443910 transcript:SECCE6Rv1G0443910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 3-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G20420) UniProtKB/Swiss-Prot;Acc:Q9LTQ0] MSSSSKTVTRTGTPEMTKPGSRKRRAPSPAPSAPVTLPPPGFVADREEAAARVERLLQYQFNKRSLLEEALTHQSFAGGAAASYQRLEFVGDAALGLAFSNFLYLTNPTVGPGALSTLRAANISTEKLARVAVRHDLYPLLRRNCPRLDLLVGQFIQSVKQELEDDLGTTPYGGTVFKAPKVLADIVEAIAAAVYVDCNFNLEKLWKVTRFLFEPIVTAETIDEQPISTLHELCQKHGKDIKFKTWQKGGTTVVNVFVGGALVGMGSSEQMVIAKLNATRDALSKLLGGGNQEVLTIGVGHGSGVEVGELRECKQKLIEQCSRKHWPKPIFKLEKEEGPAHDRTFVYSVQVETQGGIWVTLSDKMSRVKDAENSGAQKMLEHLLKL >SECCE2Rv1G0083360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:165450137:165450578:-1 gene:SECCE2Rv1G0083360 transcript:SECCE2Rv1G0083360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLKPDVYPLIGAMSLVTGMCVFQLARNVLLNPDVRVSKSNRQSAVAENAEEGERYSQHAFRRFVSAHRPEVFPAINRFFSGFSDK >SECCE4Rv1G0231660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:186915283:186916987:1 gene:SECCE4Rv1G0231660 transcript:SECCE4Rv1G0231660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MSLAGAANATFRPRLVTAAADTSDTHTRRRHWKAGEFPFPTTSPSSGTQQRRRPRTTERPPPPPPSKGEEPEGRGRQRHWKAGEDPEGRGRQRHWKAGEFPAAAESQSQSGRRGRARTPIKNVQKRLDARADAKAWACTVTEALADRIAAKNWREALQVFEMLKEQPFYYPKEGTYMKLLLLLGRSGQPSLAQDLFTEMQQQGCQPTPELYTALIGAYCRSGLLDEAFKLLEDMKAAPLCQPDVYTYSILIKAFVDASRFDLVDAMYKEMAERSVAPNTVTQNIVLSGYCRAGRLDDMEKLLSAMLESANSKPDVWTMNIILSLFGNSGQVELMEKWYEKFRGYGIEPETRTFNILIGAYGKKRMYDKMSAVMEYMRRLAFPWTTATYNNVIEAFAEAGDAKNMEDTFNQMRSEGMKPDTKTFCCLINGFSNAALFHKVVGMVKLAERLDVPANTSFHNSVLAACAKAEDLMEMERVFRHMKHMQCEPDAITYSILLEAYRKEGMTDKMYALQQENPSLVSTELVMV >SECCE4Rv1G0216960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13562720:13564069:-1 gene:SECCE4Rv1G0216960 transcript:SECCE4Rv1G0216960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKIGSSKIVKPSYEDGEAPAHAQWVPLSVLDKVTYGEHVALIFAFRPPNPPNSEVQLGLAKALAVYREWAGQIGDGPDGRRSVLLNDAGARFVEATVDAPMAVSVPSEPSPVMRRLHPRIDDGPVELVQVQLTRFSCGSLAVGFAGHHQIADGQATANFLAAWGLATRRLPVPPAPVCDRGTRFAPREPPLMEFPHREVEYRAPASAKKRDTVDEEHEEFGFVTAAHDKVKVHRMHLSKEFVAQLKARASSGLPPSRRGYTTFQSVVAHLWRAITAARGLGAGMTTKVRISVNGRTRMRPAVPRDYFGNMVLWAFPRSDAGELVSRPVGHAAELVYRAVAGVDDAYFRSFVDFASSGAVEAEGLVPTADSEQAVLCPDLEVDAWLGINFHGLDFGGGSPIRVMPTYYPMEGSLFMVPSTLGDGSMEAYVALFDDHLAKFKRICHKIE >SECCE1Rv1G0007300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39901693:39906357:-1 gene:SECCE1Rv1G0007300 transcript:SECCE1Rv1G0007300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEAEAEVDSYINYFLMQRRKAKEAQEEAERRKTGGPGRKMKKRKTETGKSSKAAAVPAESDLARTTEIVEAAMAKLLARMNGVVIKEPAKTMTEEDVAAAAVYRAKAEEARRNHDRPEETSALIKWIATGDPEAVKCRKGWIREDMEALRLKDMDPDEDTSDWYAFQAKEFREFWEFLYPKSFGKFEETTRIPSMLYTDRKSSGGIAHPIRTLQVFSVKVAGLQDGVHWPLEVFGVVAARDSLDHNRNIIFQRERDNCQKIDKENPYLTLTGPSRAIVVVDPVWFELALQVKGATKSEDKELSYHVDPYYMSGSMKSYVFNRVRTSRLSTMELTLGDMVHSVEATISVRVVGGEWPEGFRGVFTATTASIDDMKIELLDSGDDTLVVAADGSIQLSRSVVSVEDDGQLRVSVMARHKVDESVQRDSGVVAAKTSSRSYANLEVGSCKMEVTVAWSLLPDWPHYHDMPCPSI >SECCE1Rv1G0037110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:519277892:519278761:-1 gene:SECCE1Rv1G0037110 transcript:SECCE1Rv1G0037110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SGR9, amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G02750) UniProtKB/Swiss-Prot;Acc:Q8GXF8] MEASHAHSPTLSSSETIMAALLSSIPAVQFPSLVRAIAADARRQRGRLAFLLLSPPHFARALARLRSMPLPAKAALLGRVLLRSLLMLLPALSPDGTHHLLRLPAPDLDAALLLVAMCDSYSPAAASASPVDWHALLVDDVVRNALSISGLGGTPWATVAPYLDAAAKCRRFADVVSEDRAVAGVGGGNKESVGRGGASHATVLALPTTPGDGTPCAICREEMAPGRGGVCVLRPCGHRFHWPCALRWLARRNTCPCCRAELPAEDALAETRRLWRAVERMAGDSGGCA >SECCE3Rv1G0164530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:164415848:164418538:1 gene:SECCE3Rv1G0164530 transcript:SECCE3Rv1G0164530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARGGGSAARVGDWDVELGPGWDWRAIPQLLSSACIFICSGGCLGCCEKAAKHVGDLSKSLIAHAQNPTVAEEFWSTTTIEVDPADLRAPYNTSSWDLDLHGVGSSHNLGDSANHGFSLWQQTRDEWTENTRLRQQPVVKQVQEPVLSWNAAYESLLGSNKPFPQPIPLHEMVDFLVDIWEQEGLYD >SECCE3Rv1G0200480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:853942487:853944326:1 gene:SECCE3Rv1G0200480 transcript:SECCE3Rv1G0200480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIARDVRDGFGSLSRRSFDVTIASLYGLTGHHKGKAQSSLDDFLDPAPVIQESRWASLPPELLQDIIRRLEADESTWPSRKHVVCFAAVCKTWREMCKEIVLSPEFSGKLTFRVSLKQPGPRDGMIQCFIKRNKSKSTYQLYLCLSNVVTAETGKFLLSAKRNRKTSCTEYAISMDSANTSRSNRTYIGKLRSNFLGTKFLIYDTQPPYNGAAIPPVGRSSRRFNSTRVSPKVPAVSYNIAQVTYELNVLGTRGPRRMRCIMHSIPASSVEPGGVVPGQPEQIVPRALGDSFRSITSFSQSFRSSATFSRSIMDQSMDFNSARFSEISGGGMTDGDGEGEVKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLTAASNPPPAGAPTPSQPGPVDPEKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >SECCE5Rv1G0338350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597672132:597675072:-1 gene:SECCE5Rv1G0338350 transcript:SECCE5Rv1G0338350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLVLLLLCLAALPRDAAALTRRDFPDGFIFGAGTSAFQVEGAAAEDGRRPSIWDTFTHQGYSFDKSIADVSADQYHHYKDDVKLMHEMGLDAYRFSIAWPRLIPDGRGEINPKGLRYYNNLIDELIRHGIQPHVTIYHFDLPQSLQDEYNGLLSPRFIDDYTAYAEACFKSFGDRVKYWVTVNEPNIETIGGFDSGVLPPQRCSHPFGVNCTGGNSTTEPYIAAHHLLLAHASAVSLYRAKYQATQGGQIGITLLGWWHEPATDSSEDAAAATRMNDFHIGWFMHPLVYGDYPAVMRSRVGARLPDFTAEQSKELPGSFDFVGFNHYLVVRARAHESAFSMKQRDYYADAYAIANPFDDIQEGRLEYAPWALGKLLDHLKLKYGNPPVMIHENGYADASELPRKVEYDDDYRSEFLQDYLEVLYLSIRNGSDARGYFVWSFLDVFEFIFGYRARFGLCGVDMNSEGRTRYVRNSARWYSGFLKGGELRPASSPGKAYVAA >SECCE2Rv1G0087340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:224546337:224547360:1 gene:SECCE2Rv1G0087340 transcript:SECCE2Rv1G0087340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin protein, Coordination of interlink between abscisic acid (ABA) and brassinosteroid (BR) signalin [Source: Projected from Oryza sativa (Os07g0569100)] MLSGQTAVSGSSSIIVSRGVEQGSGSGEQQQQRRPIEEEEEEPEFRDIHALSPPRALSLYRRSRPGSRDSWGSAAGAGGSRHTSIRSVGSDTAPSELFPTMSREFSAMVAAAASANASSSAAAGHRESVDRVANEDALGRIGEEELEETNPLAIVPDSNPIPSPRRGLSPRPVVEAAAPGAGQGDEVSVGQVKKGEVETKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKASAWLNKYERKLEEKRAKAMEKAQNEVARARRKAEDKRASAEAKRGTKVARVLELANFMRAVGRAPTKRSFF >SECCE7Rv1G0496750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:615775541:615780173:1 gene:SECCE7Rv1G0496750 transcript:SECCE7Rv1G0496750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTAASARITLWWSLLLFLSLSFAETAEEGEAGTLNVGAILNMRSLLGKMSRTSILMAMEDFYAVHRDYATKLVLHIRDSNGGGNIQAASAAVDLLENHHVQAIIGPGKSAEAAFVSDIGNNSQVPVISFTATNPTLSSANVPYFLRATLSDAAQVNSIAALIKAFGWREVVPIYEDTDYGRGIIPYLVDALQEFGASMPYRSVISQSASSDQVEQELYKLMTMQTRVYIVHMLPTTGSILFKKAKEIGMMTEAYVWILTDGIANMVNSLSPLVLDAMDGALGVRFHVPRSKELDDFTARWNKRYRQDYPDDSLSQLSIYGLWGYDAVWALAQAAAKVRMNRPTFQKQQYRKNSTCVGTLGVSTVGPKLLDAILQCKFRGLSGDFDLRNRQLQFSTFQIINVVRRESKEIGYWTAKQGIFRNLNQDVSAHTYLNPMPDLNPVVWPGQTHTVPKGWQIPTNGKKLRVGVRTSGHPEFMKAERDPVTNAITATGYAIEVFEEAVKKLPYAVPYEYVSFDALGVNYGSYNDFVYQVPLGVYDAAIGDITIRHNRTSYVDFTLPYTESGVAMIVPVKDDTNKNTWIFLKPLTADLWFASIAFLIYTGIVIWLLERRIRNAELTGSFFRQLGIAIYFSFFADREKVESILARLVVVVWVFVLLVITSSYTANLSSMLTVQKLQPKETDVHELLKRGEYVGCGSRSYVRELLEQLGFDRSKIRAYTNPDDFADALDKGSKNGGIAAAIHEVPYIKLFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPLVDDFSRAILKITEGDAIIRIEKKWIGDEHACKNDETIISPSSLNFKSFSGLFLVTGVASTSALLVTLLMFLYKNKHKIRINIGRDHIQREHGTELVTKRIQERATDSDILCRMIQMTTPASKR >SECCE5Rv1G0301210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29482413:29483516:1 gene:SECCE5Rv1G0301210 transcript:SECCE5Rv1G0301210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRKLTSAIVAQDETRTHVIKIDGYSRTKELLKSGECTTSIPFMVGDHTWVVRYYPNDTTNGPGYISVYLILDSDDAKDVKAKFTFSLLDKGGEPVPSYIVTDATEQIFESKGAGWGFHDFIKQEDLERSVHLRGNSFRIRCDVTVVKKIRSEETHANQFVVVPPSDLHRQLGGLLKSKDGADVAFQVGCEIFSAHRSVLAARSPVFKAELYGAMREKADEPIVVDDVQADVFKSLLHFIYMDSLPESTDNEGATQDDVVTASHLLVAADRYGIERLKLICENKLCNNIDCNMVATSLALADQHSCDGLKEACFEFLASPSNLEVVIASEGYQHLKSSCPSVLKELVARLLPVELTVARDIIRSM >SECCE4Rv1G0232750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:212543049:212543345:1 gene:SECCE4Rv1G0232750 transcript:SECCE4Rv1G0232750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDTPVLEGEEPKSAAEIVDEVLKTEVKQSTFLMNVGLQSSRNNSEKATVAMAAHVYDLQQKLERSELQAEVMQEEMAAIKMKAEEAEAAREKEF >SECCE7Rv1G0503020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:703204699:703207874:1 gene:SECCE7Rv1G0503020 transcript:SECCE7Rv1G0503020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVWLSAILLAFLIGASPFAQVARAQSEEDAAMQEVVDGADLGYVGDDTPVSSEEPLSPAPGVETVCVFPKNAGKIVPAGEETQLLVGLQNEGESTLNVVAVHSTLHLPYDHKMYGQNLTVQSFYNASVPVSVQATFPYTFAVSKFLQPGAYDLVGYIVYEIDQHPYQNVFYNGTIEVVEVGGLLSVESVFLITLGIALLGLLGLWAYGQVQQLSKKTKKGPKVELGTGTTDANMDEWLEGTAFAKDKAKKNK >SECCE7Rv1G0508810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:775104369:775109203:1 gene:SECCE7Rv1G0508810 transcript:SECCE7Rv1G0508810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHCLNRFRRRRLLAFLRRNNLPATFDALKHETRVFLDVRFLRRLVADGRWKEARKYLSSFLPCQDEIEAPTALRFLTRLNVLDDLAQGKLEGIDLAQNLRIQINDIPSTIVREDPHYAAAFRTVLYWGSHPAYRDPVDWRLIRRKAAQVVTDLVTRTPEFRHLLRLPPCPSHLPFGFGGCRRHNRKKNIGRMSASLLARRFLQKERRHSSPWTQGLSCEPMGFEEIIEETLLAGKLEVIEHSDSCSEGVPGSPVGLSIGKSFGTAAANAVTKRLSQECSAESSNGAKLKPTTGQFCPDVVDAPSRWTVDAVHVLNKTEHLGDISTAMAMLVNKTCTYGGPDHTMFMEKIFEHEKMIFELRLDCHKSKTKRLNLSIGNLSTRQN >SECCE2Rv1G0087740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:231145592:231149760:1 gene:SECCE2Rv1G0087740 transcript:SECCE2Rv1G0087740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPKKKGDRFSRCPDEGAPRPMVVVVVKQEQDDDAAAVAWGTWEELVLGGAVLRHGAADWHAVAAELRARSPCSFSPKECEAKFSEIQARYSACDAWFEELRKQRVAELKRALRKSESFIGSLQSVIESLSNSKHDNGNNLGCHTESCSHTENAADTTSSSKELSKDRSSAASFTEEASNSQKSQKVQNTSAETLSKPHAEKKLCAKDGLLWGSRKKRGLRDKKAILMSVDSSRDGENTSTPCIQGEGSSEGCMKKLRTPKIEPGVSVCKAPCVQREVPSEGCIKELKTPKVEPGVSVCEGAKLKLADIMNSISAQGDCKMLQHQIDIQRKRARYKKMIRQHMDFRILRSKIKSGAISSAKELLKDMLVFVNNVLTFFPKATLEHMAAIELRGLVCKTMQQSSVVLSMNCEAAGIASDPVIKKTKSRIASGPVIKKTAAAGIAAEPLLKKTMAAIASEPVIKKTMAGIASEPVMKKTGAGIASVAVIKKTAARVASDPVSKKAAAGATSAPAAKKISRTLPPVRHVPRDAKRSKVSSRETGSTASQGESETKDVPTVAAATAEEETVERSAPAAKKRGVGRPPKSGQKRAAPPPQDSPSKGRKRSRR >SECCE7Rv1G0515320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839408750:839409778:1 gene:SECCE7Rv1G0515320 transcript:SECCE7Rv1G0515320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENACTNLNQVARSVRLLNIHGFSLTAGLGGDYCLRSIWNIDGYELEVLIYPGTTWVALKLVFLSEARTEWGVRASMTCQLVDLSRELEPYHEYGVSETFHHPQDFGALVFMDRNKIPSGYIKDDMLTLRCVITVLKELPVLTIPAEEAIAQPSTNLHRHLGELLQSKMGADVTFLVCDESFAAHKYILAARSPVFKAQFFGDMKDKFSARVEIEDMEAAAFRAMLHFIYTDTVPELDRPLEEVTTMAQHLLVAADLYGLERLKLICEIKLCGCITVDTAATTLALAEQHDCSKLKAKCVQFIVSTPAVLEAVLATDGYKHLEVSCPLVLTELLKSVHVSKR >SECCE6Rv1G0415060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:638747367:638747891:1 gene:SECCE6Rv1G0415060 transcript:SECCE6Rv1G0415060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAPAFRTTVVLAAGLAVLVLALPSLACEEASPAPAPALVPAPAPAPVMLCGDCESRCQARSTCEAYVAAAGCGYGCNTSYTPKCEPCKSAVIQVCTTGCNSHCVTNCHGSQCGNCAASCSGNCAQAAESACRSKCTYSYYQVKSCNECQSSAVRRCIDACNTDCKANCVSG >SECCE3Rv1G0166490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:187273593:187277896:-1 gene:SECCE3Rv1G0166490 transcript:SECCE3Rv1G0166490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase [Source:Projected from Arabidopsis thaliana (AT1G78650) UniProtKB/TrEMBL;Acc:Q9SYL7] MADGALLDLLPQIHISYKWLSRNFSVSSNDAKRLLQEFVNKHGTDHEVIYSVSGWLKNNPENYCVKLTSGPKLEEARRTFKGSCSVQVYSIQACIPKDTAVLWNPEFVQAEELFNKPFDEENCLRDNRFCGVRNSFVKRTTTGKHVSSMPPKPTNSVVAPAVPKPSSAPKEQSFIVRQQDLREPADPVKETSIKAEKDNAPVLDKTVNAPAVKESSVSVHANKTKAQNGKALPSNGASLATMWGRASAKPKAPAVTKAKDVPSVAVTADAQICAKEEADADSSDDEKSAHYKRASNAASNRKRRAIFDCSDDDDDDDFVAIASPEPPKQHAKNPVTEAAQDNISEPKVESKQDTVSNVKGVTKGADSEFTSECKTRSDNAINRSGITLKEKANDPPIDENKKDHAAETASTSPKRRKVLKTRIDERGREVTEVVWEGEAPASDKAEKTVTNTDATNRPTLPSKPQPAANTDRNNAPSKTAGSKKPAKAAPKQGKNIMSFFKKV >SECCE1Rv1G0039060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:545218882:545221119:-1 gene:SECCE1Rv1G0039060 transcript:SECCE1Rv1G0039060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSVALVLVALWVRPAASADTVAASSYIVHMDKSAMPSGVSSHLRWYESTLAVAAPGAEMFYVYDHAMHGFAARLPVEELDRLRRSPGFVSCYRDDARVVRDTTHTPEFLGVSAAGGIWEASKYGENVIIGVVDTGVWPESASFRDEGLPPVPSRWKGFCESGTAFDAAKVCNRKLVGARKFNKGLIANNVTVAVNSPRDTDGHGTHTSSTAAGSPVSGASFFGYARGIARGMAPRARVAVYKALWEEGAYASDILAAMDQAIADGVDVLSLSLGLNGRQLYDDPVAIGAFAAMQRGVFVSTSAGNDGPDLGYLHNGSPWVLTVGSGTVDREFSGVVRLGDGTTFVGASLYPGTPSSLGNAGLVFLGKCDNDTLLSMNRDNVVLCDATDTDSLGSAISAAQNAKVRAALFLSSDPFRELAESFVFPGVILSPQDAPALLHYIKRSRTPKASIKFAVTVVDTKPAPLLATYSSRGPAASCPTVLKPDLFAPGSLILASWAENISVANVGQQPLFGKFNIISGTSMSCPHASGVAALLKAVHPEWSPAAVRSAMMTTASAVDNTFTPIKDMSGGDENGPASPLAMGSGHIDPNRALAPGLVYEAGPADYIKLMCAMNYTMAQIKTVAQSSAPVDCVGASLDLNYPSFIAYFDTVGEKTFARTVTNVGDGPARYSATVEGLDGLKVSVVPNRLVFGGKHEKQRYNVVIQVRDELMPEVVLHGSLTWVDDNNEYTVRSPIVVTTTSVL >SECCE4Rv1G0260070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:692182604:692184037:1 gene:SECCE4Rv1G0260070 transcript:SECCE4Rv1G0260070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVAVRTYTRRGARRWPPGPRPWPIVGNLLDLRGGNLHHNLARLARFHGPVMTLKLGIVSTVVVSSQDATWEAFAKHDRRMAARTVPDTRRAVAHADRSMVWLPCSDPLWKTLRGIAATHIFSPRSLATAQGARERAVHGMLDAFRRCTGQEVEIGHVLYHGMFDLLTNTLFSLNGQEKLRDLLEDIVGLLAEPNMSDLYPSLQVLDLQGLRRWTATHMSRVFHLMDKIIDDRQDRGEGKHHRDVLDALLALVAAGKLSRHDLKAMLFDILAAGTETTKITVEWAMAELLRNPGVMAAVRAEIKAALAQDQERMITEADVVKLPYLQAAVKESMRLHPVAPLLLPHLVVEEGMEIGGYAVPKGATIIFNSWSIMRDPAAWERPEEFMPERFLGKTELGMWGKEVKFIPLGTGRRLCPALPMVELLVPFMVASMLHALEWRLPHGMSPDQVDVTERYTSNDILVMDVPLKIVPMVVT >SECCE2Rv1G0120520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:799347821:799349704:-1 gene:SECCE2Rv1G0120520 transcript:SECCE2Rv1G0120520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSDMMSPEKSGRPGARLPLREAGSMPSMPPLQEPGSRPRPYMPSLCSTPRNPAVKCYGDRFIPDRSAMDMDMAHYLLTEPRRDRKNGATPSPAKETYRKLLAEKLLNNRTRILAFRNKPPEPENSIFTELRADAASVHARPAKKRRYIPQSADRTLDAPDLVDDYYLNLLDWGSANVLSIALGNTVYLWDAASGSTSELVTIGEDDGPVTSVSWAPDGQNIAIGLNSSAIQIWDSSSNRLLRTLQGVHESRVGSLAWNKNILTAGGMDGKIVNNDVRIRDHAVQTYRGHTQEVCGLKWSGSGRQLASGGNDNLLHIWDVSMASSAQSAGRTQWLHRMEDHSAAVKALAWCPFQSNLLASGGGANDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNDRELLSSHGFTQNQLTLWKYPSMAKMAELNGHTARVLFMAQSPDGCTVASAAADETLRFWNVFPDAPKSAVKEKTSQSRMFNSYNHLR >SECCE5Rv1G0376740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873860226:873865141:1 gene:SECCE5Rv1G0376740 transcript:SECCE5Rv1G0376740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAAPPGPGQEPPAGKQLSYQLAAAVRSINWTYAIFWSMSTGLRPPGVLTWKNGFYNGEVKTRKIISSTTTKLTADELVLQRSEQLRELYESLLSGKADHGAKRPAASLSPEDLGEAEWYYTLSMTYAFRPGQGLPGKSFASNQHVWLYNAQYADTKTFQRALLAKTASIQTVVCIPFMGGVLELGTSDLVLEDPNMVNQIDTSFWELPFLACSLSEVPSSSLSTNETGNGEVDIVMLEDLDHNVIKGMVSELGEVECMSDVNLDHVTKGVDEFYGLIEELDVRSLEDNWVMERSFEYMSSLEMAPDMDAPSIEDDIITLSSFVKGSRPSCFTVWKRSSHWKDVVTRVTGESQKLLKKAIAGGAWIARPQESNIKTHVLSERRRREKLNDMFLVLKSMVPSINKMDKASILAETITYLRELEQRVEELESSGVPSSRPSEATRRGLHEVVGRKKIKLSTGSKTKALEMEREDNDCPSNIVNVTVMDKEVLLEVQCRWKELLMTRVFDAIKSLRLDIISVHASTPEGLLDLKIRAAQQASIVPTSKFAVGSAAIAPGMITEALQKAICNR >SECCE5Rv1G0345220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649702127:649702525:1 gene:SECCE5Rv1G0345220 transcript:SECCE5Rv1G0345220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKVALFFALNLVLIVVVHANPPIIPTPPLVPTPFVAPTPSSGGSCPINPLKITVCSNVLLLLKLRINVPETEQCCPLLSGLADLDAAICVCTAIKANLLGLITIDIPVDLALLLNHCNKTYPSSFTCSR >SECCE4Rv1G0222210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55222169:55225876:-1 gene:SECCE4Rv1G0222210 transcript:SECCE4Rv1G0222210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVASAPFLPSLPSPNHRRLSLRYPARRLGLAASAAPKGAAASEAARERRRFLERYGLNPDDFEEDAEPDPREERRKERRMRRSGRGEEEAAVAPARPVERRETHKMLQVLAGKVRRRKLLSPKDRNVRPMMEVVRGAAFDIIQSAGGSPASLKPGLWLDLYSGTGSVGIEAMSRGCSQAHFVELDPWVISEVLKPNLDCTGFLDTSHIHMLRVENFLDNAEKSKGRYPSFDYISVTPPYVEVNYSTLLDQLARSPLVGEDCFILVEYPLKTDMPESCGKLIKIADRKFGRTNLLIYGPTWSEKKKGRILR >SECCE6Rv1G0422870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692475711:692477750:1 gene:SECCE6Rv1G0422870 transcript:SECCE6Rv1G0422870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPGGGVGSTAGAFGPGEPPPAAEEVVEENSGGEEELELGLCLGSGKQQLLQQPVAPAPCRILTARDLQPAAALSPDSSVSSSSPAAAKAEDGPAPAASPGTLASGHPQSNFGVVGWPPIRTFRMNSLFGQAKDNASDAETKKAAADESGSQKDKEETEKKVRVPGWVKVNMDGEVIGRKVDLHAHRSYKTLALALETMFTKPSAGLCASNSTKSLKLLDNTCEYQMTYEDRDGDWMLVGDVPWEMFVGNVKRLRIMRTSDASGLGPRSQANHRTAASTRGRT >SECCE2Rv1G0104480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:607460563:607461021:1 gene:SECCE2Rv1G0104480 transcript:SECCE2Rv1G0104480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPVEEEPAAEKAEKAPAAKKPKAEKRLPAGKTASKEGGEKKGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE6Rv1G0404730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:523630660:523632211:1 gene:SECCE6Rv1G0404730 transcript:SECCE6Rv1G0404730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPRLLLPVALLLVAVTGEADAGGAGHGRARYDRVFSFGDSLTDTGNSAILPITAGGSFTNPPYGQTHFGRPNGRASDGRLVIDFIVESLGLPPPTPYLAGKIAADFLHGANFAVGGATALDPAFLQSRGITSFVPVSLSNQTTWFNGVLQLLDSTEKGQRKIMARSLLYLGEIGFNDYSFVAVFGNNTVGVAESLVPHIVGAIRSVLTDAIGAGARTMVVAGMIPMGCEPELLALLPGGAGDYYDRASGCITRFNQLAQQHNRALKRMLCQLRRDHPGTAIHYADLYRPITAVVSSPRKYGFGDMPLAACCGGGGGRYNFNFTFFCGTPAGSACDDPSRSVSWDGIHYTEAANQFVAHAMLRGL >SECCE1Rv1G0028180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:395767365:395767910:-1 gene:SECCE1Rv1G0028180 transcript:SECCE1Rv1G0028180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTVSQTTPAAGNANKQREGAEIITGAEACYEHSKELLKGLGFPGGVMPLRGLEECGLVRETGYVWMRQGKPYEHHFRATGTRVRYDAEVTAYVEEGRMKRMTGVRSKQMMLWVPIVEMSLDGADKVYFKSNVGIGRSFPAAAFADEDAAADNAPPAADKDAAADDAPPAAATEANDKH >SECCE2Rv1G0118940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:786925540:786927329:-1 gene:SECCE2Rv1G0118940 transcript:SECCE2Rv1G0118940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELPPWAPFLAAVLATILFFKAVFRRKGICNLPPGPKPWPIIGNLNLIGTLPHRSIHALSRQYGPLMQLRFGSFPVVVGSSAEMAKLFLKTHDVVFTDRPRTAAGKYTGYDNSTITWSPYNAHWRQARRVCLAELFSAKRLESYAYIRREEMRALLCGLHEASGRVVLLKEHLSTLSLNVISRMVLGRKYLEKQAAGEGGSAIMAPEEFKCMIDELFFLNGVLNIGDSIPWLDWMDLQGYVRRMKKLSKKFDRFLEHVLDDHNERRRLQGDNFVSRDMVDVLLEIASDPNLEVKLHRNGVKAFVQDLIAGGTETTAITVEWAMSEMLKKPEVFSKATEELDRVVGRERWVTEDDTPSLPYVEAIVKETMRLHPVAPMLAPRLSREDAHVGGYNIPVGTRVLINVWSIGRDPAVWDSPEEFLPERFVGSKIDIKGHDFELLPFGSGRRMCPGYSLGLKVIHVSLANLLHGFVCKLPDGMTTRQLSMEEIFGLSTPRKFPLQVVMEPKLPGHLYARGH >SECCE5Rv1G0375570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868708100:868710101:1 gene:SECCE5Rv1G0375570 transcript:SECCE5Rv1G0375570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALYYLMLVPLLAIVPFFCLIHCYSWRRTVASSRLPPSPWALPVIGHLHHLIGALPHRGMRDLAQRHGPLLLLRLGGLPVVVASSAMAAREVMKTCDMHLATRPVSRMIRIAIPEGAEGVVFAPYGDIWRLMRKICNVELLSAPRVQSFRPLRDDEVGRLLRAVALAASAQQKQTVNLSKLVAAYAADFSARAIIGSRFKERDSFLAMLARGIKLFAKIGLPDLYPFSWLAMVVSRIPGQMKKHKEDADAFLNSIIEEHQQNYRVCGGDKEEDMLDVLLRLQREGGLLQHPLSTDRIKTIMGDMFAGGSDTAATTLQWIMAELMKNPNLMHKAQEEIRRELAGHHKVTAKGLKNLNYMHLVIKEALRLHPPLPLLLPRECQKNCKVLGYDVPKGTMVLVNAWAINRDPRHWDAPEDFIPERFERSNIDFKGTNFEYTPFGAGRRMCPGMAFGLANIEFALVGLLYHFDWELPHDTPVGKLDMAEEMGVTVRRSQDLLLVPTIRVPLPIDYQ >SECCE6Rv1G0412740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:616688878:616692123:1 gene:SECCE6Rv1G0412740 transcript:SECCE6Rv1G0412740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--nitrite reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15620) UniProtKB/Swiss-Prot;Acc:Q39161] MASSASLQSFLPPSAHAATSTSRHRPSRARPFQCAAVSAPSSSAASPSAGPVPAERLEPRVEQREGGYWVLKEKYRTSLNPQEKVKLGKEPMALFTEGGIKDLAKLPMEQIDADKLTKEDVDVRLKWLGLFHRRKQQYGRFMMRLKLPNGVTTSEQTRYLASVIEKYGKEGCADVTTRQNWQIRGVTLPDVPEILDGLRSVGLTSLQSGMDNVRNPVGSPLAGIDPLEIVDTRPYTNLLSSYITNNSEGNLAITNLPRKWNVCVIGTHDLYEHPHINDLAYMPAEKDGKFGFNLLVGGFISPKRWGEALPLDAWVPGDDIIPVCKAVLEAFRDLGTRGNRQKTRMMWLIDELGMEAFRSEIEKRMPNGVLERAAAEDLIDKKWERRDYLGVHPQKQEGLSFVGLHVPVGRLQAADMFELARLADEYGSGELRLTVEQNIILPNVKNEKVEALLAETLLQKFSAHPSLLMKGLVACTGNQFCGQAIIETKARALQVTRDVEARVSVPKAVRMHWTGCPNSCAQVQVADIGFMGCLTKNSSGKIVEAADIFVGGRVGSDSHLTGVYKKAVPCEDLVPIVADLLVERFGAVPREREEDEE >SECCE7Rv1G0506530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:749144325:749145344:-1 gene:SECCE7Rv1G0506530 transcript:SECCE7Rv1G0506530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMDPFTAELLSGPPIPVALSGSGAQTRAIDDYLRAIGALPALRPVDHHAVMEELVPSLLLGSVVPVFDTPESNNSATRPLLSDYDADIDFNLRELEMNVVEPPRPDYLQTVQGHRMSPSMRANLVIWMDGFTRYYGLAPGTLHRAVSYVDRVLSERTLPTTNMEYELHLLGATAVFTAAKYEERDTIFKVNAAKIADDCGFATSKEVIDIECKMLAVLRYELSRPTAYTFVDHFTRYSKGERDLEVQKLAHQLAETSLVDYRCLQLMPSAVAASAVFLARLILNPMASQVRQWNREFTELTGYKPTDLIIGIEFLYMMNPDPRFAILSAFLHDEQEL >SECCE4Rv1G0274270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783597125:783597967:1 gene:SECCE4Rv1G0274270 transcript:SECCE4Rv1G0274270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSIREETLMAGAQELKLLGWWASGVSPYVLRAQMALAVKGLSYEYLPEDRWCNSDLLIASNPVYKKVPVLIHNGKPICESLLIVEYLDDAVGLAGNGKSILPADPYSRAVARFWAAYVNDKLFPSCIGILKTAKQQERADKVEETLSGLRRFEAVLAECSEGEAAEAPFFGGDSIGFLDIALGCYLPWIEAVGRLAGLGPLIDPARTPKLAAWAERFGVAEPVKALLPGVDEQVEYITTVLYPKWNVPVTAGN >SECCE3Rv1G0200800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:857586377:857601721:1 gene:SECCE3Rv1G0200800 transcript:SECCE3Rv1G0200800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRAGAGDTSAFFAATLVLWAVSVGFEIGARGRRELAAVAAGFAFFQAANTAVRAAVSRAPLFVNTAVSLLHSSLTSASVIFVLLCQWRTKGLENMFQHEELVGSSWIGAYSALCFSCGYFAYDQLDMLRYRLYSGWIPGILMHHLILLICFTLALYRNVTINYLILTLLCEMHSIFLHVRKLRRMAGFRDFNRKLVKLEWILNWTTFVTARVICHILITYRLITDAHKFDKGIELPLALFGMAGMNVLNVSLGLDLFKAFARERNQQTHQD >SECCE1Rv1G0047770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:629071610:629073250:1 gene:SECCE1Rv1G0047770 transcript:SECCE1Rv1G0047770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTKFIKCVTVGDGAVGKTCMLICYTSNRFPSDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADVFVLAFSLISSASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDHRAYLADHPGASAITTAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRREVIAARKKTRRSSGCSIMHLMCGSTCAA >SECCE4Rv1G0272860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:772387110:772388113:-1 gene:SECCE4Rv1G0272860 transcript:SECCE4Rv1G0272860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPDSNTLLADDSILEYVLETTVYPREHERLRELRLITQQHAKSFMVSSPDQMQFFSFLLKMIGANNTIEVGVFTGYSLLTTALALPAGGKVVAIDANREYYELGRPVIDKAGVAHKVDFREGDGLAELDGILSEDGGGRAGTFDFAYADADKLQYAGYHERLLRLVRVGGAIAYDNTLWGGSVAMPRDKPGSSEYDRLVRDSLLDFNAAVAADDRVEACIVPIADGVTLCRRVK >SECCE7Rv1G0475190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:182997868:183000856:-1 gene:SECCE7Rv1G0475190 transcript:SECCE7Rv1G0475190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTTFTKLFVGGLAWHTQRDAMRRYFEQFGDIAEAVVIADKHTGRSRGYGFVTFRDPEAAARALQDPTPVIDGRRANCNLAALGASQRAHPAAAPPFGMVRSTPATASSSSYQGSAAAAIAASYFPQQAHYTYPYYYGYTGGYSHENMYQMQMSYYGAQGGAVAQQQQQQQSQVQTYYSTAAGPEGGQHGFSPYYLQQMQAASNQGLQYSQMMQYAAHMQQAAHAAGQHGADAPESASEAATGTGGAAAAVGSDTSSDTDRRAAA >SECCE1Rv1G0056530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:687219261:687219768:1 gene:SECCE1Rv1G0056530 transcript:SECCE1Rv1G0056530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit VI, chloroplast precursor (PSI- H) (Light-harvesting complex I 11 kDa protein) (GOS5 protein) [Source: Projected from Oryza sativa (Os05g0560000)] MASLVAVQPVAVRGLAGSSISGRKLAVRPASAAASRSTRRARGAAVVAKYGEKSVYFDLDDIANTTGQWDLYGSDAASPYNGLQSKFFNTFAAPFTKRGLLLKVLLLGGGSLLAYVSATASPDLLPIKKGPQLPPTPGPRGKI >SECCE3Rv1G0200410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:853077039:853078779:-1 gene:SECCE3Rv1G0200410 transcript:SECCE3Rv1G0200410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGHPGYFKSMIDAKLQEQGFFASQHCANCGHELDYKPDMVGFPAGVKFDPTDQELIEHLESKVMKRAHSLIDDFIPTIEGEDGICYTHPERLPGVTRNGQSKHFFHRPSKAYTTGTRKRRKVHAENEPSNSKSGAKTQWHKTGKTRPLMVDGRRKGCKKILVLYINFGKARKAEKTNWVMHQYHLGDLEDEKEGELIVSKVFYQTQPRQSAAATTSTVLHEPSTNGDMEVKTPKSMKIGLTGHAVAATAAIQMQRQKQQMLKQGDKMNKGKEGQDQQQQKFDHRPAGLEGLIMACKSASTKEVSSLIKSLVKF >SECCE3Rv1G0160460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:104999222:105000352:1 gene:SECCE3Rv1G0160460 transcript:SECCE3Rv1G0160460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGDVFDHGRHGTSLTKVEQATRCCAASPDDAQSPPKQLLVAAPCDAGEYPVVLFLHGYLCNNYFYSQLLQHVASHGFIVVAPQLYTVSGPDTTGEINSAAAVIDWLAAGLSSKLPPGVRPNLTAVSISGHSRGGKVAFALGLGHAKTTLPLAALIAVDPVDGTGMGNQTPPPILVYKPNALRVPAPVMVIGTGLGELPRNALFPPCAPLGVSHAEFYDECAAPACHLVARDYGHTDMMDDVTTGAKGLATRALCKSGGARAPMRRFVAGAMVAFLNKWVEGKPERLDAMREPTVVAPVVLSVVEFRDE >SECCE5Rv1G0300190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:23929349:23931899:-1 gene:SECCE5Rv1G0300190 transcript:SECCE5Rv1G0300190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPSPQATAAAAAALSRHRSAAAPPAVPRSARVAAAARRPGSTRARGGLVARAVVAAQGEVASSDTGGHEVLMFEALREAMIEEMTLDPTVCIIGEDVGDYGGSYKVSKGLSEMFGDLRVLDTPIAENSFTGMGIGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLPYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLLKAAIRSDNPVVLFEHVLLYNLKEKIPDEEYICCLEEAEMVRPGSQLTILTYSRMRYHMMQAVKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDELDARPMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEEICQS >SECCE6Rv1G0439540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:796945110:796945451:-1 gene:SECCE6Rv1G0439540 transcript:SECCE6Rv1G0439540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDLSKLVKEKRFWAASFLIAWAAALQGHMMWLKRQDAFKDKFGDPEAPNKVTEQGHEQPLAGEQGELTADAEIR >SECCE1Rv1G0033100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:462890778:462893995:1 gene:SECCE1Rv1G0033100 transcript:SECCE1Rv1G0033100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASRSHPSQYVRLKKDQDASASGVEDIRPGELNLPVAVPQLEQRKCFECGQVLPESHRAPADEPWTTGIFGCAEDPESCRTGLFCPCVLFGRNVQALREDIPWTRPCTCHAVCVEGGIALAILTAIFHGIDPDTSVLIGEGLVFSWWLCSIYTGKFRQQLQRRYHLENSPCPPGMVHCCFHWCANCQEHRERKGRLAESSAVPMTVVNPPPLQEMSMAENNAPTSENEVSKAEPVDVEVKPL >SECCE4Rv1G0273930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:781165495:781170107:-1 gene:SECCE4Rv1G0273930 transcript:SECCE4Rv1G0273930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIGGGGVLRHSNSSRLSRMSFSGEDGRGAQARPAPGAGNGGGADRPMVTFARRTHSGRYVSYSRDDLDSELANSDLAGGGGGFSPDREEFLSYHVHIPATPDNQPMDPAISARVEEQYVSNSLFTGGFNSVTRAHLMDKVIDSEASHPQMAGSKGSSCAVNGCDGKVMSDERGQDILPCECDFKICADCFGDAVKNAGALCPGCKEPYKATEMEDLVGAADGGARPTLSLPPPPGGAPASRMERRLSIVRSQKAMTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENDADNGGGGGGGGGAGLGGTDGQPAEFTSKPWRPLTRKLKIPAGILSPYRLLVLIRLAVLGLFLTWRIKHKNEDAMWLWGMSVVCELWFGFSWILDQLPKLCPVNRATDLAVLKDKFETPTPSNPNGRSDLPGLDIYVSTADPEKEPPLTTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHGIEPRNPDSYFSLKRDPYKNKVRSDFVKDRRRIKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKRQREAALDDAVETVKIAKATWMADGTHWPGTWIQPSAEHTRGDHAGIIQVMLKPPSDDPLYGGDGEEGRPLDFTDIDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIAYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRVALYGFDPPRSTEHGGCCSCCFPKKRKIKSTVSSATSEETRALRMADFDDEEMNMSTFPKRFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRRMKCLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVKELDVTFLTYLLVITLTLCMLAVLEIKWSGINLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGADDENDEFADLYIVKWTSLMIPPIVIMMVNLIAIAVGFSRTIYSEIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAINPPSQNSQIGGSFQFP >SECCE7Rv1G0469500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:109736541:109737905:-1 gene:SECCE7Rv1G0469500 transcript:SECCE7Rv1G0469500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSTAAPPGRRGTNGDGAGELCQHQHGGPARAPQLGLEIRDPFLRLITSMRAALDPAAADGVTGPDGEEAPAGKNRGRRRLTRVGLRAFQIGDRKIDRTAEFDVAAKFQYNKPRKIVLQLRRGDALVKIDILWSNILAMSARFDDARFDTLRIQVKCASQRFSATQPPAGSRMHLRWQRCDDVIPRTFSLWFDKGTLERGYGKMMYADPSLLLLPPPPPRQGEEGEQEAPSPPFVGGATPSTMMMMTGPANTGADSAAYQEYCGTLLQDLIQSAHICSLYR >SECCE5Rv1G0369890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:838129117:838131793:-1 gene:SECCE5Rv1G0369890 transcript:SECCE5Rv1G0369890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAALQVAVVALALCAGGIGATPAAGCRKHVRRVTEYGAVGDGRTLNTAAFARAVADLGRRAGDGGAALVVPEGRWLTGPFNLTSHFTLFLHRGAEILASQDLEDWPLIAPLPSYGRGRDEPGPRYSNFIGGSNLTDVIISGNNGTINGQGQVWWDKYHAKELDYTRGYLLELLYSRDIIISNVTFVDAPSWNLHPTYCTNVTISGVTILAPVHSPNTDGIDPDSCSHVKIEDCYIVSGDDCIAVKSGWDEYGIRFNMPSQHIVVRRLTCISPTSAMIALGSEMSGGIQDVRVEDNIAINTESAVRIKSGVGRGGFVRDVFVRRLSLHTMKWVFWMTGNYGQHPDNSSDPKALPEVTGINYRDVFAENVTMAGRMEGIPNDPYTGICMSNVTAQLAPKAKKLQWNCTDVQGVASGVSPEPCPELGAEGKPCTFPEEELVIGPPELPKCTY >SECCE3Rv1G0156930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:77678460:77680902:1 gene:SECCE3Rv1G0156930 transcript:SECCE3Rv1G0156930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGASVPNGKGRKRRSVVQEVAVFIPTIRVPVAADVVQPLRGLVSKELVDRLATLRTNVVVLAEDIYHGDMSAVSELQRALEEYLPVVLGLTAKESRLEASVHFSWRTIDDDQECCLASAWYEVLSVIHMMAMLALFEANLKLIPRSGQNGIEKKVSEDSKKDVVDSLLRASGCLDYSVHHILVKIPEQIKKGFPSYLQEGMLEAISIQSLAQCVEIQLGLASDCEKATLSVKRRLACEQVTYFSKAHYCLSGCDTSDSYGRKLLLFLKWKCMDAKAVAYYYHALVLDKGSEPTNHISAVCCLSAADDLLTESKRACLSFCLANPITRVPPPWGIMKNMHKKIPDVAYKKFQMYGHLFEQDKKSALQSLPDLPEFPLSLRPEDYEFPCTDSIWENVDCQPQIQSLKEHLEDETEESSK >SECCE6Rv1G0395220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:320919983:320941234:-1 gene:SECCE6Rv1G0395220 transcript:SECCE6Rv1G0395220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLRWRRLAAAATRAASTLTAAESPPATAAVPPPHRFLQDRRKWEGPSSSSGGGSFSSSSNDEPEPRRIRAEAHCPRCSKHMDILFSHRAHPPSGPAAAGGYQTLNLCPNCRTAYFFHPNHLAPLQGTFVEIGRVRADLPPNGVRVRDPSSREAVRASSSSRDDGDGSGVAVHVPPGPPFHPSLNVVRVAGGGGGGGAAVAGGEEGGGKDGWGGSNLGKDFPTPKEISKGLDKYVIGQDRAKKVLSVAVYNHYKRIYHQSLQKGSGADLGCSDGEADGEDNVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVADFNVQAAQQGMVYIDEVDKITKKAESLSISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRASMRTSGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLTEPKNALGKQFKKLFSMNNVKLHFTDAALRIIAQKAVCKNTGARGLRTILENILMDSMYEIPDTKSGEKRIDAVVVDEGAVGLVDRPGCGAKILYGDGAFDRYLSQIKAMGDGAGSEVDGDPDLSSSRAMSM >SECCE5Rv1G0331770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:541188453:541188716:-1 gene:SECCE5Rv1G0331770 transcript:SECCE5Rv1G0331770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYSIQTQKMIIAATMTLHNYVRLHDKEDIHFLRCERDPDYVPTIPERYKKYVIPPNASDSSTPAESGPNMDLFRHELATAIALSW >SECCE1Rv1G0002780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:10203243:10205751:1 gene:SECCE1Rv1G0002780 transcript:SECCE1Rv1G0002780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKNITYGWVDVPHPFSLTDLCQRLLLDLYSDGVDAKEAVAIGMMEGQDPVQGCCKVVRENKCLLVFDGLRSTNDWDLVKKFLLSQTQPIRCTPIVITREKAIAQHCSEGDRDHNVKGLQPEAALELFTKAILQDKPMFPEAKSISELIMSKCGGLPEVILAIGEKPLVRVWRRYLEYLNDDFIGKLQNLKEFPSLGGLFCWMQSYFEACSDELKPCIFYMLVFPADQSIRWKRLLRRWIAEGYLSAGTADERGENLMSELFGLSIVHVEEISELFKLNGFFREYIKSRPMEDNRVFELNGSCSPSPRLSGQHLSISCSWDRDEIVFRSLDLSRLRSFTVFGDWRSFLICDKMKLLRVLDLEGTSTSDGSASVRDEDLEQIGKLLPRLKFMSLRGCRLITRLPDSLGDMKQLQTLDAKDTSIVELPSAITTKLHKLQYLRVGTTETRFLLEPTTPEETPPAAAAAAAAPQEDGNDGTCHFVRAKAALSGVLQGSSTSARKMAHDLQKSCSRWCQFKNLRRRRRVAANGGGAEVVPAAAKGIGMLTELHTLGVVNVAGGTGTFLFFKELKKLTQLRKLRLSGITRENWDGFCVSISGHCHLKSLSLKLLLLEEGGNYDFARFDSILEPPKTLTSIKVLYTTDAGAGAGGAWIRPTWIQQLGDSCIDNFDHELTISSQEDMDIILYKRMQVSETRLRIKPTEQHLSADEKLKYRNTRRTVDALHIDCGSISSTLTFGGSRTIQFKELNIRCCGSCELSSCLRIVGLNHIRTLEKVLVTGPCNTDFKEDLRKQLEGHPNEPKVFPL >SECCE7Rv1G0500810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:675421403:675428607:1 gene:SECCE7Rv1G0500810 transcript:SECCE7Rv1G0500810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASVPAVVGMPSPLFLWRFKAILFLLWGLCCCKIGWDSVMRMSADLRDLFLYEVFLYYNPLFLVALMIWLWGVNLWVFAQSSVNYVKVFDLAQTHLSHREIWRCATWLTLIVPTSMTAYLYLYSHGEVSLAASQPVLLYAILLIVLLSPFDMFYLSSRFYFLRTMLRIILPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATIAWFEADSICGSHSIAIPLVLVLPYLCRFFQCLRQYKDTKEKSCLLNALKYSTAVPVIFLSALKYHVFPDQWVSFYRPLWLISGVINSLYSFYWDIKRDWDLSILTRIFMFKSPSTWTSLLYGRNWVYYWVLGSNLILRCTWTYKLSAHLRHNYLTVFAITALEMLRRFQWVFFRVENEWNKMTAKQNFEMSSDMPSESDRLLESSSHTGSEGQGYTIVGL >SECCE6Rv1G0416260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:647794201:647799060:-1 gene:SECCE6Rv1G0416260 transcript:SECCE6Rv1G0416260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAMGVMNPLIGKLTALMGDEYKKLKGVRKEVAFLRDELSAMNAALEKLEFMEKLEPDTKNWRDHVREMAYDMENCIDDFMKDIGGADANAGAGFVKRMSRRLKTLRVRHRIAGQIEDLKALAVEANERRLRYKIDDCNTSCGSVDIDPRISVIYKDAAGLVGTDGPKKEIVSLLTDTEKKLKVVSIVGFGGLGKTTLAKQVYDDLEGQFDCKAFIPVSQKPDMPRLLNSLRLKLGINESSGICEVQDIIDQLREHLANKRYFIIVDDLWNEEAWDIIRCAFPENGNGSRVIVTTRVEDVASSACSYNCEHIYKMKPLSSEGSRRLFTSRVFGSENKCPSNFEEVSNEILKKCGGLPLAIITIASLLASRRERSRNDWENIRNSLGAQFAINPTLKGMRSILNLSYMNLPLHLRTCFLFLGMYPEDYEIKREDLVRKWIAEGFVSNSHGKNLEDVGISYFNELVNRSLIQPTRDIFGRVCYKVHDMMLDLILSKCAEDNFNSVAYTSKDMTGLSNCTYRIRRLSLISSIDRKSETLSWTVSDSTSQVRSLVWFGNRQSIPCLSEMKYIRVLSFEYSDLHGCSHLDLTAISQLFQLRYLKVSAYFYAKLPTEIRGLVHLDTLDVPNGSIPSDIEDLPRLSNLTMGMYGKIGLPERIGIMESLRTLDGFKLERSSLEALEGLGKLTNLRSVKLHKKCNLLEKAKSNAFASSICKLRNLKYLQMIGNHDDKDDILGSISDPPALIEEIYLISWKMLGVPKWISNLNCLRHLGLSVRETKTDGITILGGLPSLVSLNLTVVTCPKEEAIIVSKGLFPVLERLRFIFDEDVTAYLGFEAGAMPKLRQLHLQLVARSWGGAAPVGMEHLLALQQISFIARHNSVGYLEQVKLKIQSAFRNALQLHPSPPSLYIKC >SECCEUnv1G0528220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3513246:3516971:-1 gene:SECCEUnv1G0528220 transcript:SECCEUnv1G0528220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLHSLLARPNSAPLAFSLPRPRPRRRPPVACRAASRWADRLFADFHLLPTAAAAAPAAVSASPFVPLFPDAADRSLPLQVDFYKVLGAEPHFLSDGVRRAFEARAAKPPQYGYNTDTLVGRRQILQIAHDTLTNQSSRTEYDRALSEDRDAALTLDVAWDKVPGVLCALQEAGEAQAVLAIGEHLLEDRPPKRFKQDVVLAMALAYVDLSRDAMAASPPDVIRCCEVLERALKLLQEDGAINLAPDLLSQIDETLEEITPRCVLELLALPLDEKHHNRRQEGLRGVRNILWSVGRGGIATVGGGFSREAYMNEAFLQMTSAEQMDFFSKTPNSIPPEWFEIYSVALANVAQAIVSKRPELIMVADDLFEQLQKFNIGSQYAYDNEMDLALERALCSLLVGDISNCRNWLAIDNESSPHRDPKIVEFIVNNSSIDHQENDLLPGLCKLLETWLVSEVFPRSRDTRGMQFTLGDYYDDPKVLSYLEMMEGGGASHLAAAAAIAKLGAQATAALGSVKSSAVQAFNKIFPLIDRTAMENPNDGLEESVNKFDQKNIMGFDILDSKNAALKIVSATALFALLTVIGLKYLPRKRVLPAIRSEHESAAVANVVDSVDDDAVDEPIQIPRMDAKLAEDIVRKWQSIKSKALGSDHSVESLQEVLDGNMLKVWTDRAAEIERKGWFWDYTLSDVAVDSITVSLDGRRATVEATIEEAGQLTDATDPRNNDLYDTEYTARYEMAFTGAGGWKITEGAVLKSS >SECCE1Rv1G0053770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:671641137:671641529:1 gene:SECCE1Rv1G0053770 transcript:SECCE1Rv1G0053770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKEKPETTSAASPPPPRLDCIKCFDALWFCYTPYHQLQTYYRHGDFDTCFGKWGDLVDCLSLKTKRRAEVEEILIAREKAKPHIWTFRTVEEAEANWWKMYKHKVVMSSPPKTAGSAVPPPGSSGVLS >SECCE4Rv1G0232830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:214861797:214863304:-1 gene:SECCE4Rv1G0232830 transcript:SECCE4Rv1G0232830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDDWKKSIWRKDDDYVFSEALLSSNMWWAALEWVLNLLEPLYKALRYADTQKQCTLSGFKKSMMTAVQTLESRLGGGSEMLRRVMSKVSNRIEAMEKDTLMVAAAVLDPYTHYVINLSNIPEFASALTVAIEKIADPDSAALAIYEISAFRERRGRFGQRVAHISAEKMSPTEWWFQFGGDVPNLQKYALRIVSQCVSSSGCERNWSVWALVHTKQRNRLLYGKLHKFVSVRHNLKIRAEEDKDRVRENDKYKEVDPCAMMMNTAMFDEANPMMEWLNEDEEHVILDGSDAASAVFEEIRRLNSSKKSSHLGRKGNGTKRKRVLEEDEDDYIDCDDDDEEDEYVDIDDEDECGDDSASEADGEDSTTRVEKDQPSQDGNEAEERNDGGLLNCRRSRRGKQSKKLKDLTSLYN >SECCE4Rv1G0229070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:142492040:142494268:-1 gene:SECCE4Rv1G0229070 transcript:SECCE4Rv1G0229070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDHGHRCRCPHGPRCTRGPRHGGLAAAALDPRVRAFPMDAATQAMYREHGMDPVTLESDVTTAMTFLHYVLPNPPFVSDRAALSAAFLPPQDDDGGVDRISGLPEQLLRNIVSRLPAKDGARTAALSCRWRRVWLSTPLVLVDADLLPAGCGSSLRVERAHAQRVESAITRILDAHPGPFRFVHLISCHMQETPGLLARWLQLLAVKGVRELMLVNRPWPLDMALPATFFGMATLTRLYLGALAFPNTADLPRGVSFPHLRELGLLGMAIVNRDMDFVLARSPVLEILCIQANVLLKWLSLVSRSLRCVQIIEGIDLNIVVKNAPNLERVIIWTSSARDGLHRMIKIGHAPALSLLGFLEPARHLLEIGNTIIKAGTKASPSTTVPSVKILSLRVCFGVRNDAKMLPSFLRCFPNVERLHLESNETDEPTGKLNNKFWHEAGAIECIQSHLKLMVFYAFRGGRGELSFLKFVLESARMLTKLVIVFCKGSFTSMDEANSKVKPLFDARWASRDCSLVLFESPLVTGDDKWVLNFERGSDFSKMDPFACTAALQGCIL >SECCE2Rv1G0073820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:71771420:71779606:1 gene:SECCE2Rv1G0073820 transcript:SECCE2Rv1G0073820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLDRPLNPNKLLKEQFVSNLTGSSLLEIAALSTIVPAVVVLRKWSSRDNIRRDSVKKNDDALADHKDGVYYFSALVIDCLTVVLPILLIFTILAEWAYICAISLVVVISIYILLKRSQSHLKAQQHLPSLRADISSYRVSVVLVTCLSILAVDFKIFPRRYAKAETYGSGIMDLGVGSFVVANALVSRQARNITSMRWKAALRSISPLVFLGFARLISTSGVDYQVHVGEYGVHWNFFFTLAAVSILTSIIRIHPKYCGIVGMLVLAGYQVWLNFGLNEYLTSDERSADIIGQNKEGVYSIFGYWGMYLIGVSLGYFLFHNLSSKGKIRSTQMVKVWVLAASFWILAIILDSYVERVSRRMCNFAYVMLVFGQNFQVISILTLAGSISHDKNLVLEEAFNQNMLGVFIVANILTGLVNLSVDTLSASPLAALMILVAYTFTLCMLAGLAQFSGVRIKFW >SECCE1Rv1G0028220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:395806501:395807989:-1 gene:SECCE1Rv1G0028220 transcript:SECCE1Rv1G0028220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-E [Source:Projected from Arabidopsis thaliana (AT5G53560) UniProtKB/TrEMBL;Acc:A0A178USU5] MAGEKKMFGFEEVAKHSVAKDCWLVIAGKVYDVTPFMDEHPGGDEVLLAVTGKDATSDFEDIGHSDSAREMMEKYHIGEIDASTIPAKRTFVPPQQGSHVQAKDNDLLIKILQFLVPIFILGLAFGIRHYSKSE >SECCE4Rv1G0235300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:326207765:326248253:-1 gene:SECCE4Rv1G0235300 transcript:SECCE4Rv1G0235300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISVAVRFRPPKPSVDADADSSSFSGGGAGGNREWRIDDSRISLLHRAVPIPGTSFVFDHVFNETVTNAQIYGLLVRSLIRAAVDGFNGTAFAYGQTSSGKTFTMNGSGADPGIIPLAVRDIFDTAAEAADREFLIRVSYMEIYNEEINDLMTLGSEKLPIHESLERGVYVSGLREEIVNDAEQVLQLLELGEANRHFGETNMNARSSRSHTIFRMVIESSAKHQMNSGDAIRVSILNLVDLAGSERIAKTGADGVRLKEGKHINKSLMILGNVINKLSENGKQRGHIPYRDSKLTRILQSALGGNAKTSIICTAAPEEIHIEETRGTLQFASRAKCVSNCAQVNEILTDAALLKRQKLEIEELRKKLQGSHSEVLEQLILKQRNDMHKSELERDRLAMELEEERRLRETLEHRLAEQKMSQNHNNVDISVEQFADSSQLDVFKTPDSKQTPDGFVAGRSRYLKDVEFSPMPENLGSMADEDLWMQLNKGCITDLDMLEMTSDFKCPPYPEDTTAVVPLEEPIDVICQRLETACSSDRQQLEDSKARCTTLEKELELLKEENSSLRQELSRSKHDTDHEKEGLLKELETERYKMEELKQDIRLFRQAFSQRQVQLTSLYTKSKAIMENCKASQVALP >SECCE6Rv1G0405350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:529710186:529713487:1 gene:SECCE6Rv1G0405350 transcript:SECCE6Rv1G0405350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGLSRHNSCPKVHSSLCRELTMMLDKISSILPSIEAARPGCRAGIQELCNLYHLVEKGKLITQHCIECSKLYLAITAEAILSRCERVRDSLKRSLFLIQNMVPTVLANQIADVHNDLRDVKFAVDPLEQEAGKSILEMLQQPDATEELQLQTFVQVASKLNLTSPKAILIERRAIKKLLDKITGTDKKKEHVLKFLLCLVKKYGKNIKPGISERNGNLQSESQSLSPSLSLESDASTPEKCYKPTYFQGYEYQNSMSGETTPPTEFCCPISTKLMHDPVIITSGQTYEREYIEKWFSEGYDTCPKTQKKLENFAMIPNTCMRDLIFNWCREHGFTISDFLPSKDSYSYLPEQLHGHSMSSLHNVSVPLIAGNARNFMIDHSNSSVAFSDASYVSDSSRVKDMEEPKDGFSQFSWSADYQKYLSFHNFNQAMFLRFFCELSKLPLEIQGSSIKDLKSILQDDDDVSWAMMSHGFAEAFLEFLRNDGSSYSMKAQQAGLHFFLNFLSNSRTKIPCMDEEAFQLITSFLSSELKTEALLVLHELIRHLSDGQSRQMASVVTPPVLAILASEDMEGLELPLKIVCDLSSDADVKSLLISLGIISKLVPILTEGSFIECCLKILRNLCDVEEAMVLITRTDRCLGSIAEYLDTGSPKERELAVIILLAICSRSTEDCSHVMKEGVIPALVDLSVNGIDEAKTCSFKLLNLLRDMRQSELSNSCSQEVAAAVDVIEDPPTESPIHRRPASKSSGFFQRKLNIFSKPRSLTLF >SECCE2Rv1G0142570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:941472201:941473094:-1 gene:SECCE2Rv1G0142570 transcript:SECCE2Rv1G0142570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKACTAQSDLERMLDDETAEPKALPLSLLEDITGYFSDDQEIGRGGFAVVYKGIIGNRAIAVKRLSNAFMDENEFHREVQCLMRANHKNVVRFLGYCANRQGNMATYNGKLVMADVHQRLSCFNYIPNGSLDKYILEANREWGTCYKIIKGICEGVQYLHENHIIHLDLKPANILLDDDMAPKITDFGLSRCFDENQSRDITKTILGTI >SECCE3Rv1G0205300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899809918:899812765:-1 gene:SECCE3Rv1G0205300 transcript:SECCE3Rv1G0205300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGVRPSLPSAAAGGASGPDEPRDARVVQELLRSMGLGEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAAKPQLDADDVRLAIQAKVNFSFSQPPPREVLLELARSRNKIPLPKSIAPPGSIPLPPEQDTLLSENYQLLPALKPPTQIEETEDDSERADASPANPNPNYPQDQRGSEQHQPQSQRVSFQLNTVAAAAANRSLVSIDQLKMG >SECCE7Rv1G0502250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:693852441:693854083:-1 gene:SECCE7Rv1G0502250 transcript:SECCE7Rv1G0502250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTGTGRSRLSALHHDVLHHIITYLPVTEAAAAAGLARDWRHLWRSYPLVLEDYGIPEAARDAVVPQVLRDHPGHFRAVILYDCRLASLDRELPAWPRLLVDKRTEKLVLANRLVMDKPNPARLLPADILRCDSLQELTLDLWTFPSGTEVVLPSLRTLTMIRIGTSDQDMESLIADSPVLESLRLVLNSPKHVRLRSKSLMCALVGLPMVEELTVVDTPLLARLYLFLPRNGVRIRIVCAPNLRALGYLDTRLLKLQIGDSVVRSDRMVSASTVVPSVKILALTVNFGVFEEIKMLASFLRCFPNVDTLHIESALHGPSVTANEPTWEHHAKFWQEISPIFCLRSHVKKMVIHDFRGDQNEFEFLKFVAMNAEELQSLLVASHKEILSSADKVNEIKDKLQCLQFPTGISAVLRVSPKAGIILRLGKARTLTIDDPFEC >SECCE2Rv1G0118260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:781642212:781643543:1 gene:SECCE2Rv1G0118260 transcript:SECCE2Rv1G0118260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVARVDAAGELLDDLLLLVFERLPGVQDLLRCAATCKQWLGLIKDPVFLRRIGLWPGTAPRPSVLVGIFYQNVVVPKAIQPMRRIPDSPPQFLSLQAGGAHLTFDSFVANGDGLFNFAWPLASRRGLLLVHIMLPAQVDHQGHRQKLQLAVCRPLIDRRGRHLLPPPPFLVNGFMNGHRLTGYSLLTDEDHRAIDDLDQQRRPSFQVVLTYTGAYRVMYACTYSSATGSWSTPIRCHQVSHLFRCGPYAGVVSCGTVHWLLTDQRSFYTLNVSVATAHVSLTKIPINVHAGEQRRRVPFPCVTRERQLSFVSIRDDGVLELWAKQGQDDNDHGGEAGAGGWLRSELINLGSGDKINLVFFAERRGAMLVEQGGAFFTIDLKSKEMALVDLKGEEKKHGRGMCRFPMHRCSSSWCSGFDCSWGKVASCGYNKPVLYEVDLGI >SECCE1Rv1G0044510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:603259227:603259607:1 gene:SECCE1Rv1G0044510 transcript:SECCE1Rv1G0044510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKNLGSGQLAEQAKPDALTDDQGALKHTADSHTGNPTPVNGHNSVDMNMEAAISADDVMRAGGFGAKDDIGSLLPTAMDSTDFEASLRDARDFEGEQVQPARPGLGYRASEGDAASKPSDAPPQ >SECCE2Rv1G0108690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:667277541:667279307:1 gene:SECCE2Rv1G0108690 transcript:SECCE2Rv1G0108690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g38010 [Source:Projected from Arabidopsis thaliana (AT4G38010) UniProtKB/Swiss-Prot;Acc:Q9SZK1] MPANLRAILASPTPLPARVIPAAQALLLTSGLAADAAVLAHFARHLASATRAPADAVRALLRLRPRPLCAHPFNTLISHLTLSGDPSAAFRAFAVLAAGGDAGRPDGYTLPAALKACARLRGGLREGRQAHAVAARGGFLGRLPVRNALVTLYGACGDCGDARRVFDEMAGRDVVSWTALVSAFVRGGRFAEALAVFGEMDVAPNGGTLASALVACGRLGAVRAGKAVHGWCLKTERELELIVGNALLDMYVKCEKLDLARRVFDRLLVRDIISWTVMISGLVHCRLPSEALELFNGMQTSGVKPDKVVLSTVLSACASLGALESGRWVHEYIERKGIEWDVHVGTSLVDMYAKCGCLETSLSIFHKMPVKNVSSWNALINGFALHGHGREALVYFDRMVASGLPPNEVTFIIVLGACCHTGLVQQGLGLFNLMKNSYKLSPWEEHYGCMVDLLGRAGLIQEAYGLIKVMPMMPAVSTWGALLSACQAHGRVEFSQQILRHVHELESSGSGVYVLLSNLYALNDRWSDVKRVRGLLSEKGLWKEPGSSLIEVNGKTSEFVVGQTNHQDMDVICAMLFILMKQIHLDGL >SECCE2Rv1G0113670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:724887222:724888706:1 gene:SECCE2Rv1G0113670 transcript:SECCE2Rv1G0113670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPSAKRHHGQTSDKSSNLVDVHDPVQKREYTRTLTGVELHGKESLEIVCTSEPHKADEVMSRLRMKGGGLYPSFIGVDVEYTSDDGLPQMATVLQLCIEELCLVYHITAATKWPKRLKDFLQEEKLYTFVGFSIGGDKQKLNKSGLEINPNNFIDMQRKWKDPNTSKYFDSLADVAGGVIHPFYSDMKKKLDKKEHKLWGTTPLPDNLITYVGIDVYATYKSWKTIDNIVTGWDISKEQEADPYYRCNFAG >SECCE6Rv1G0388490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:137198814:137199494:1 gene:SECCE6Rv1G0388490 transcript:SECCE6Rv1G0388490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLSSLSSAAAAAGKRFVLCSPSLSFASRRIAAPARLRAAAVPGARRFAASAASASTVVATIAVGDKLPDATLSYFDPADGELKTVTVGELTAGKKVVLFAVPGAFTPTCSQKHLPGFIEKAGELRAKGVDTVACVSVNDAFVMKAWKESLGLGEDVQLLSDGNLELTRALGVEMDLSDKPMGLGVRSRRYALLADDGVVKVLNLEEGGAFTTSSAEEMLKAL >SECCE2Rv1G0088490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:245819492:245820142:-1 gene:SECCE2Rv1G0088490 transcript:SECCE2Rv1G0088490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFACFGGAAAVADEAVAARTHRTPRHRGRFFFRSKGEKSPSPSTATKRITSPGRREKDLGVFDMVAASAGKLTSVPSSSALSAMASLDSAYSSSSSSSSSFSCSRSSSSSSLCLEVVPTPAKKRPDERRSPAVGVAAVLVCLLMMMFCGRLAATLLTTAVLCFFPRTWPPARAKAAESLLVVASSPEHSAASRRRVETIKTKAVMDGFLVRNRKK >SECCE6Rv1G0420790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:680139678:680145091:1 gene:SECCE6Rv1G0420790 transcript:SECCE6Rv1G0420790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSARRLAAHWHWLVDALAGDEAVDFSVIKALVGASPELLAGAPEATRERFALRCLQEVAAQGEVPVAAATAAGGRRMLRVDAARSCEQLLLELLGQVGSSGSLEKDTVSPFINDIQKFICTKTPALPETSFELLREVNPEITSMVPPSPVEQGGKNNGNDQSFCSISPDHVNTERHGCHTDSSDFQRQKDPMERTPDLHEPSRLYNRPQEDTIGVSVRSAQTSPSKDSRNMSITTEPTSASCSAALLRSKAKLMSKQDAVETTMSQEKSPTAILQQESCGEKYRNPCHKSDGERRHGDDTNIQLSKNLCHEGLTMQATVAPASDRTDALPTNTSETKHLPEFVAADVTGMITELNGRKTERNSPQHDSGETAGQVLDEGSPRIQPVEKDPGHNELNLQTAGVVPSVSCNRAVQGDKSETNLQKENATGHSEIFEDKNGNKAHLEVSCADKANPALLDDGNVLKNNTSGGRQTALDSRCCNVVETLNVHHSDDSSSGFAAACLLPLIGNMPSSSQDKDANVIKGFTEEDLCIKCGKDGRLLKCSSCALAGHDGCFGSSVTFDASGQFHCPVCFYTKATEAYQKAKKAYLEARKNLSAFLGTKQFPRQHEQSTGKQQTATNSKDHLNECNTSKRQGNHQSEADNLSHMDEEPSQQRNKQRTNDTSVACPEDQLNGCNTSERQGNHQPEADNLSHKDEEPGEQRKKQKTNDTSDACPEEDQLNGCNTPKRQGNNKSDAYNLSHKDLEPGQQRKKQKTNATRDACREEVVTEKASFGLNSNIAPNRDSVLQNKRKQVHVTEHEQSVENAETHEDGNGNSFCEPQHSSQNRCSPVVSQNVEADKHVTLTNSHDSVKQSSPPWRNMRHHKAKFQENETVVSKNSKKALGCQDQLMPSPSRKRKHAYPHKRYCNSLAPAGRRSKLCWTEEEEQALRDAMLKFTPKDDGLIPWIQILEYGRNVFHKTRLPSDLRVKWRSMKKKSES >SECCE3Rv1G0184090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:659430404:659434246:1 gene:SECCE3Rv1G0184090 transcript:SECCE3Rv1G0184090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIENLSQVQRPAESARIPKEARRLLHDLAAAWPNVADCRALEVVPLKGAMTNEVYQVRWLTAPAEAGGEAVALKEREVRKVLVRIYGDGVELFFDREDELRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAPDMRDPEISALVATKLREFHNLDMPGPKHVLLWDRLKNWLKTAKNLCPADQAEELRLDCLENEIASLEIEFSGDYHHWIGFCHNDLQYGNIMMDEETNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHILDYSKYPDIDEQRRFVKTYLSTSREEPEAEEVENLLQSVEKYTLASHLVWGLWGIISDRVNDIDFDYQEYARQRFEQYWQKKPAVLAS >SECCE2Rv1G0135570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:907669290:907674630:-1 gene:SECCE2Rv1G0135570 transcript:SECCE2Rv1G0135570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT1G14570) UniProtKB/Swiss-Prot;Acc:Q94JZ8] MAGAPPTPAEKDALVSSFLEIAAGQTPHTAAQFLQMTSWHLEEALQLFYIDGEAALAGAHPPAQSAAEAALAAAAAAAEVEEGFRFHPPPAAALEDGMLQGLGDEDDVRAPLPVRRETLYGDTPMIVTRPNATVAFRNFEEEARQSAWDSEQNATSSSRDNLASLYRPPFDLMFNGPFDKAKLEASSLDKWLLINLQSTEEFSSHMLNRDTWANEAVAQTIRSNFIFWQVYQDTSEGRKVCTYYHLVSVPAILLIDPITGQKMRGWSGMVYPDSLLEDLMPYLEKGPKEHHAAQPQKRPRKVDQETSMVRQGKTAVESEDEELARAVAASLEENKGAGGSDAADEKSNPVEEKEPSMSVKIEYPPLPEEPKVSRDLLCRVAVRLPDGRRIQRNFLHTDPIKLLWSFCSSQVEDGEKRAFHFGQPIPGAAINKLQYESEQTFKEAGLANSMINLLWD >SECCE6Rv1G0438450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:789816368:789817672:1 gene:SECCE6Rv1G0438450 transcript:SECCE6Rv1G0438450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMEDVPTELVVEILLRLPWTSRRRARLVCRSWRDLIYQRTTEMQQCRDAVPLVVTTKTAYVLDVDDQDPETSIPRPRPRELWTGHTDVYKHMEVVGVCNGVLCLCDDAKPGGAITLVNPATSDTLALPPIPRHGLFRRHNSRRTDRSWHQAYSFGYHHGTGQYKVVHVPCFFKTKETMQVFTVGEASWREVPAPNAKCRLDAGIVSANGASYWATEGSQEQIMSFDLKSERVKPTKPLPMPARPIGNLTEVQRRLSTITFGEYMIKVWILESTGKEQSWIYQYSVNASLGAEHQLTRPNFILGEYVLIVGGEGKKLFRWYLHTRYNYKKRSEGDAVHAHYCSQMVSVPNIRGHICRTFAYIKTAEALSVYRRW >SECCE1Rv1G0007720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42172173:42174669:1 gene:SECCE1Rv1G0007720 transcript:SECCE1Rv1G0007720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLNFQEMPRYDDRYGNARLYVGRLSSRTRSRDLEYLFSKYGRIREVELKRDYAFIEYSDPRDADDARYNLDGRDVDGSRIIVEFAKGVPRGSGGSREREYVGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRSLRRERSYSRSPSPRRGRARSRSYSRSRSYSRSRSRSYSESPRGRRAERDERRSRSISYSRSPRRSLSPEAKEMDRSPTPDRSRSPRRSISPVAKDNGDSPRGRETSRSPSDRSPVANGRSPRSPANNGSPSPARDNRGSPSPRGNNNGSPSPKGNGDGGSPSPRGNGDDDGGRGSGSPRGRSMSP >SECCE4Rv1G0282990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:832321637:832322999:-1 gene:SECCE4Rv1G0282990 transcript:SECCE4Rv1G0282990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGETSKHARTGKEPSHPPAIRRRDESHPAPPFPAVDSQMRRRQMQPASEAVNFPEGPLVEILARLPYRSLCRFQSVSKQWPELCSDPKIVKRAPQTLAGFFHNRPVGSLRFSNLSGGRPLVDASLPFLRGRYQRIKLEQCSTSLLLYKCWKLESEKEKFDFIVCNPMTEQWTVLPPIEWLDDDGYTECFELSYPFLVFDPAAPSRFVVFAPLLESVDVVAVYSWETGEWAPSSGWEYRQYPAVTNDCVFLNGMIHFLHLFSDESFITVLDIEGQLFPQIDAPDGMLGATPGYGSVGCSQGLLHVWYMDPHDYELSVWVLENYVSGEWILKHTVDVPKLFEEMESKEEKGDRDQEDGSCKYEMFAIHPEYNVIFLTDRKMVSLSYDMDSGKVHPMCTSGDFLGGLPFIPCFADLALE >SECCE4Rv1G0247050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:552322816:552323882:1 gene:SECCE4Rv1G0247050 transcript:SECCE4Rv1G0247050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSFLLPLSLLVLAASSAVAQLEIGYYRKSCPDVEAIVREEMVKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLDSTKGNLAEKDAKPNKSLRGFGSVERVKARLEAACPGIVSCADVLTLMARDAVVLAKGPSWPVALGRRDGSVSNATEASDELPPSFGDIPLLTRIFASKGLGLKDLVVLSGAHTLGTAHCPSFADRLYNTTGNGLVDPSLDSEYADKLRLKCKSVDDKSMLSEMDPGSYRTFDTSYYRHVAKRRGLFRSDAALLTDTATEEYVRRVATGKFDGAFFRDFSESMIKMGNVGVLTGGHGDIRKKCYVLN >SECCE1Rv1G0012670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:95362389:95363968:-1 gene:SECCE1Rv1G0012670 transcript:SECCE1Rv1G0012670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKESRGPRKRSRDGELISDGDLISHGDLIGKLPDDILRTIISLLPTKDGARTQAIARRWRPLWRSAPLNLDASKHLCSNDYKRFSLISKILSYHPGPARRFVIYSIRLHIAKKRYVEEGAQIENWFVFPALDNLQELDINFRQPFLSGRENRYPLPASVFRLAPTLLVAKFGLCNFPNEIAHSLSFPLLKQLTLCRVSISEDVFSGVLSGCRVLESLKLQGIRDVNCLRISSTTLRIIIIAYTLFEREGELFIEDAPCLERLLLLQPGTGGETIRVIKAPKLEILGLLSARISEIEIANIVFKRLIPSSLEVPICTVKVLALHFFSPDLDKVLGILRCFPCLEKLYVFYFTRVAGKNVPLYESLDPIKCLERHLKVLVLKNYEGGEEDVGFAKFFILNAKVVKEINFHVYKKIDINKKWMTDQLRLLEVENKASQDARLKFGRSSASWFSCLDPQDLSIADPFNCCFVDAPSEAYL >SECCE3Rv1G0201000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:859182884:859184858:1 gene:SECCE3Rv1G0201000 transcript:SECCE3Rv1G0201000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRSLLVYAQPQATANMGDAVAGAVDYRGRPAYRAATGGWKSSVFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSKMLPLPVACVADAWLGRYRAIVLASILFVVSMGTLSLSSAFHIFRSGGHLAVFYVALYLVALGEGAHKPCAQAFAADQFDDKDPKENVARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIVLSLAVFLIGTPSYRYYTTKEPSPVARVGKALLVLIKSWRSKHRTSPASVKVDGNKNSDDDLVEEVKSVFRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGPNFKVPPAALQTFISVTIVAFIPVYDRLFVPLARRYTGRPTGITMLQRIGAGLSLSLVAVVLSALVEMKRLGVARDAGLLDAPKASHLPMTLWWMVPQYIVIGVSDVFAMIGLQEFFYDQVPDAVRSLGLALFLSIFGVGHLLSSFLISVIDRATARRGASWFSNNLNRAHLDYFYWLLAGLCVLELLAFFFFSRAYVYKKKSDDNGDYRGADADATLV >SECCEUnv1G0536270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63227009:63228601:-1 gene:SECCEUnv1G0536270 transcript:SECCEUnv1G0536270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASMALVLTVCLLSFFVPALASPSDGNDFLRCLSTDVPSQLVQTPSSPSFKQLLVSSIRNARFVAPATAMPPLCIVTPTNASHVQAAVRCGRRHAVRLRVRSGGHDCEGLSYRSCSPGGEAFAVIDLAKLHAVRVNPQEATAWVDSGAGIGELYYHVAMAAPGLGFPASVCPTVGVGGIFSGGGIGLMMRKHGLAADNVVDATMVDAEGNLLADKKAMGDDLFWAIRGGGGGNFGIVLSWKVRLVPVPPKVTFFRVTKTMDQGAVDAVTKWQTVAPALPDDLSIRVVVQNRQANFQGLYLGNGNSSTVVATMGSRFPELGVTGADCKEMSWVQFTAYIYFRDAIYSEPLERLLLNRSMTLGPFFKNKSDYVKKPLTKDTLEKMFLWPSGVATGQLVLEPHGGRMGRIAAGDTPFPHRSSVLYSIQYVEFWNGEGTGGEVTPNWVGSLYDFMAPFVSKNPRGAYVNYRDLDIGANKVVDGVTSYESAKVWGEIYFGPVNFRRLAKIKRKVDADDYFRSEQSVPPLPLNQ >SECCE5Rv1G0344330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644751592:644759564:1 gene:SECCE5Rv1G0344330 transcript:SECCE5Rv1G0344330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAVLLTVAIVAVLLARRAAAEYPWPVCGSTGSFAANDSTYLASISAIAATLPRNASASPGGLYATARAGQVRAVGLCRGDANATACSDCLAQGFQDLPSACAYSKEATMYYDPCFLHYSDADSRASDDTALGSAFSTAYSANATAEPARFHRVVAALLNATADYAAYNSSATRLYASGAVPFDREIPEVYSWAQCTPDLTPARCRDCLANMIQELGTPLYSVGARVLGIRCGVRYENRPFLDGPVLLHLPAIGAPAPSPTPAPAPAPDVVPNVVTPVAAVGGGRKYSVPGMVLIVVLPSLAAANLLVGLFIWRRRRRSPAQAKKPYSSYSTEAKDTESVDSMLMDISTLRAATGDFAESNKLGEGGFGAVYKGTLPDGEEIAVKRLSRSSTQGVEELKNELALVAKLKHKNLVRLVGVCLEQQERLLIYEFIPNRSLDQILFDPEKQDQLDWGKRQRIIRGIARGLQYLHEDSQLKVVHRDLKASNVLLDADMNPKISDFGLARLFGRGQTQGVTNRVIGTYGYMAPEYLMRGNYSVKSDVFSFGVMVLEILTGRKNSDMSQSEDLLTTIWEHWSARTLLEMMDPCMNNIFSESDARRCIQIGLLCVQENPVDRPVMSVVGMMLGSDTVSLGAPSKPAPTFYARNPSADSGNGFSISTISLNDDPKDHSSMT >SECCE5Rv1G0338560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:599041596:599042996:-1 gene:SECCE5Rv1G0338560 transcript:SECCE5Rv1G0338560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDVATVFLEMSLGTRLAVSFPASSTTVADLKRIVSHEHAACFPRLGQIAVQSIKVEQGGSRFHLADSMAVRDAFRFHGINELWHLQVDASLQVDQGMVTQTQGHSGGANSANHSAPAPGRGHGYEGSSSRRRSNSEMLPADQVEAEAEDKDSEQGTCKKRLRSRTQVSNTPIELSSDSSSSSESEEMDVNTVHAPTPIRYAPTPQFVVELKKCHFVEKNGQYLNVPQAFSRAHGYAERKKVLLRMGGESWTVNLKHGNRSCGPRTSLRYGWHQFCVDNRLRVGETCFFRALPGDDGGGHHVLKVEVRRLDGSYAT >SECCE7Rv1G0454250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2031131:2032222:1 gene:SECCE7Rv1G0454250 transcript:SECCE7Rv1G0454250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDHERLRALKAFDGTKAGVKGLVDAGVTTIPAIFHHPPESLADAPHHHHGHHQFAIPVIDLAGLSTPSGRASVVGAMKAAAATVGFFQVTNHGVPESAMSEVLAAVRGFNEEPAAAKAPFYSRDPNRRARYQCNFDLFRSPAASWRDTLYMEMAPEPPPPEQIPPACRAIAPEYTRLVQRLGRTLFGLLSEALGLRRGYLEEEAGCLEGLSVGCHYYPACPEPRRTLGTARHSDPSFLTVLLQDAVGGLQVLVDDDERRPAWVDVPAEAGALVVNVGDYLQILSNDRFRSVEHRVVANAAGPRVSVACFFRADGSTRALAPIVAGGDAARYRSTTVPEMLRHYGAKGLDGVSALQRVRI >SECCEUnv1G0567170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:412245802:412246035:-1 gene:SECCEUnv1G0567170 transcript:SECCEUnv1G0567170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE4Rv1G0246890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:550836739:550841931:1 gene:SECCE4Rv1G0246890 transcript:SECCE4Rv1G0246890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHLLPLLLLLLLPLFASAAATGDEAHLSAVIGDTGLAFAKDVLISEAVRSLTPLRLPGAEKAFRVPFLGGIRAAVSNITLFHLDVGDDSVVRLGDSALVVVASGITANISMAWSYSYYSWYFPMEISDSGTASILVQGMEVGITMEIKNSNGSLALNATQCGCSVKDLVISLDGGASWFYQGFINAFEDHIRAAVEKVIPENIIDSTSKLDSLLQGLPRSVSLDNVTALNMTFVNDPQYGNSSIEFDINGLFTSAVAKTSNLQKHPLLSLSCGGASNMLLLSLDEAVFNSALEVYFKAGSLHWVVDKVPDQSLLNTAGWKFIIPRLYWNYPNDDMVLNISMASSPIMRITSEKIGATINADMIIDVLHGTETVPVACISVVVSVSGVVEASGNKVYGTVDLDNFSLSLKWSKIGNFHMSLIQGVIRVFLNTVCMPYLNSHLGNGYILPVVHGFTLKDVHVVTSTEQMTLCSDITFANASRLAALPVL >SECCE7Rv1G0505790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:741303517:741303750:-1 gene:SECCE7Rv1G0505790 transcript:SECCE7Rv1G0505790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDEGGLNLPCFYDNVGTRVQSERNPSRIHAFLQAHREIEDATTHGRLRDDLVEHHWQLDGRRIGP >SECCE4Rv1G0246670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:547855029:547858031:-1 gene:SECCE4Rv1G0246670 transcript:SECCE4Rv1G0246670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPAEIRSLPIDIAFARLQEWLVDRKRVPQDWRKRLAAIRARLAAAFSSLPRDLHPYLQTLELEEIGYLEAKKIYSILLESNTDSRNIFGRLTGSAGEWESIVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRREADIKRLASLSATRYVEACQELGLQGIDVRQELIESAKTLPSTFSKILEVLNSDTVSQAMEYYTTFVRDCHTEDKENYKSVVHDLKQLQANPPSLHVSVCKEVQNSLGTAMDGGEPIDSNVPSDDIDWDISVDNNGIDWDIGAVEQPVEESGNGFGSYEIIDANIELAGSENYGVGASAYPSADKEGLTCDTSENQICWDISTDNPEESATVENTPTESGQYECLTEERSQLLEKEYRNNILDDLLEVKSFLILRSAEMRNADTSSLQHQVQAVSPFVLQQYAPECLENMLVEVSSAISLLTNQKTLDLIMILNSKRFLDRLVSTLEEKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCEATLSSMFDSRPVHIIGEINNLLSSSVSQLAE >SECCEUnv1G0534540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:42842229:42843714:-1 gene:SECCEUnv1G0534540 transcript:SECCEUnv1G0534540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCEGQHEAPRAGPALIVGATGYIGRFVAEACLDSGRRTFILVRPGNACPARAASVDALLRKGALVVEGRVDGKDGRRSVEAALRAHGIEVVISVMGGANILDQLGLIKAIQAADTVKRFLPSEFGHDVDRARPVGAGVGFYEEKRRVRRAAEAAGVPYTYICCNSIAGWPYFDNMHPSEVRPPLDRFQIYGDGTVRAFFVAGTDIGKFTVKAAYDTRSINKVVHFRPACNLLSTNEMASLWESKIGRTLPRVTLRKEDLLAMAAEDIIPASIVASLTHDIFINGCQTNFGIDGSRDVEVSSLYPDIPFRTIDECFDDYAHGLHLEEEAEESKKSNAPMVERLAVYPTCA >SECCE6Rv1G0452750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879262136:879262648:-1 gene:SECCE6Rv1G0452750 transcript:SECCE6Rv1G0452750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRMLTSTTTLMVIMCLLILSLSVNSTTANCGCCISFAAKTCCSTCILAGGSDFACKNTCCFLCILADSVVAKMNEMGMESKMEGLD >SECCE7Rv1G0476550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:207364909:207376396:1 gene:SECCE7Rv1G0476550 transcript:SECCE7Rv1G0476550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKQALIDSITLDNSHLVRRASANVVSIIAKYAVPAGEWQELLPFLFQCSQSPQEEHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDETSSRVRIAALKAVGSFIEYVNEGGDIVKMFRDFVPSILNVSRQCLANGDDDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQDMEINIRQQAIQIISWLVKFKASFLKKNKLIVPILQVMCPLLTETANEDEDSDLAADRSAAEVIDTMAINLPRHVFGPVLEFASVNFRHVNPKYREAAVTSLGVVSEGCSEHFKDKLEECLKVVLEALNDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGEDILPYLEPLICRLVISLQSSPRNLQETCMSAIGSVAAAAEQAFIPYAEKVLEMMKGFMVLTKDEDLCARARATEVVGIVAMAVGRTRMEAILPPFIEAAISGFGLDYSELREYTHGFFSNVAEILDDSFSQYLPHVVPLAFSSCNLDDGSAVDIDDADGVDNGFSGVSSDDDDDDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIKHSGYFHEDVRLQAIISLKHILNALRAIPAHPDILEKQKEVLDTIMNIYIKTMTDDDDKEVVAQACMSVADIMKDCGFAAVELYMPRLAEATLALLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMRSYFDPIFAKLFDPLMKFAKSPHPPQDKTMVVATLAEVAQEMGAPISAYVDKIMPLALKELASSEATNRRNAAFCVGELCKNSGAAALKYYPDILQGLHRLFANSEQDLAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMAVYSCLCNLLLSSHPQILTLVPDVIHVFAQVVVSPDESDEVKTTIGKAVSHLISVYGQQMQPILSALPPAHANALAAFASRR >SECCE7Rv1G0526780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:898571700:898575061:1 gene:SECCE7Rv1G0526780 transcript:SECCE7Rv1G0526780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQGVTLETLPMELSFDFLKKITNGFSEDLLLGSGTFGSVYKGTLDDGGVVAVKKLMENSPVPHDKIFEYEVQNVMVLKHENIVKMVAYCREPQSRLVESNGRQIVEEITQTLLCYEYFSKGSLHQNLFGESSSINWDKRFKIIKGICEGIQFLHTLPHPVLHLGLKLQNILLDDNMAPKISDFGFSRIFGQEQTRMNTRSVVGSVGYMAPEYLYNGEISTRSDIYSLGLIIMEISTGEKNSSSIDQKHARKYIDGIKQNWPLDQIMSEYTELEEHGCEQIEACIKIGLRCVEIDARKRPSIEEIVNMLNKLPMN >SECCE5Rv1G0334020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:563337762:563338439:1 gene:SECCE5Rv1G0334020 transcript:SECCE5Rv1G0334020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDISAASDLGTMPAAGQVAPSSGDASSPAPISIHHVDILTHVPAKLDFDADNYAEWRDGMLSALAEFGAGDHVEEQGQSLHEGDEEWMRADVTIVLWIYTTISDELLDEVMAAHSTAYEVWAQLRGFFEDEGVFDPAEELRAAAQGDMSVNAYGWRLKALARAVADAGGEPVSDTALALQLLRGVTPGLRVMGTSLLVNDRFPTFMEAFSLLRLEEYRREQGEF >SECCE6Rv1G0419570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:671088753:671090422:1 gene:SECCE6Rv1G0419570 transcript:SECCE6Rv1G0419570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLEAIKDLVTDANFDCSGTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLGNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPNQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSSEFSRICKDLSSIGDTVIISVTKEGVKFSTAGDIGTANIVCRQNNTVDKPEQSTIIEMQEPVSLTFALRYMNSFTKASPLSDQVTISLSSELPVVVEYKIGEMGYIRFYLAPKIEDDEEMKA >SECCE4Rv1G0250530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:593461687:593465022:-1 gene:SECCE4Rv1G0250530 transcript:SECCE4Rv1G0250530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAAGAPAAAAQPPPPPYQPPRLVIHGAGGGSGAAARACRHHAYSRKQKSLGLLCSNFVALYDRDDVETVGLDDAARRLGVERRRIYDIVNVLESVGILVRRAKNRYTWIGFEGVPAALKELKERTLREMSGLAPPPEEPSAANVSDDEDDDDKLGDADGDADSEKLSQSIDNASDKLDTPMCPLRSVDHRKEKSLGLLTQNFVKLFLTMEVETVSLDEAARLLLGERHAESNMRTKVRRLYDIANVLSSLNLIEKTQQVDSRKPAFRWLGQAKRKEGATVTVALPPTRKIMSSKRAFGTDITNIDNKRGKLVLETENKPKLMQGGSSMLKTFESQLGQGKSSGFVYGPFHPAGARKHEVDDQTVRENEMKNIQDWESLAVSFRPQYQNHSLNDLFGHYVEAWKSWYLDFTRESTS >SECCE2Rv1G0100050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:533234330:533235549:-1 gene:SECCE2Rv1G0100050 transcript:SECCE2Rv1G0100050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKSKTDLQGQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKSALREAYKNKKLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >SECCE5Rv1G0349900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:687262283:687265869:-1 gene:SECCE5Rv1G0349900 transcript:SECCE5Rv1G0349900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAMLAAGLALLALLAAAPAAAFYLPGVAPTDFAKGDELLVKVNKLTSIKTQLPYTYYSLPFCKPNTIVDSAENLGEVLRGDRIENSPYVFQMREPKMCQIICKITVTEKEAKELKEKIEDEYRVNMVLDNLPLVVPVQRPDRNTVAYQGGYHVGVKGQYAGTKDEKHFIHNHLSFSVKFHKDEDSELSRIVGFEVKPFSIKHQFDGNWNDANTRLSTCDPHNGKFVVNSETPQEVEVGKDIIFTYDVRFEESEIKWASRWDTYLLMSDDQIHWFSIVNSLMIVLFLSGMVAMIMMRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPVNSDLLCVFVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLIAGYSSSRLYKMFKGAEWKQITLRTAFLFPGIAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAMEPPVKTNKIPRQIPEQAWYMNPLFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITIVLCYFQLCSEDYNWWWRSYLTSGSSALYLFLYAGFYFWTKLQISKLVSGILYFGYMLLASFSFFVLTGTIGFCACFWFTRMIYSSVKID >SECCE1Rv1G0057620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694320434:694321045:1 gene:SECCE1Rv1G0057620 transcript:SECCE1Rv1G0057620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASASRRSWPRYGTMPMTRCPACPRTAPLKRLVTTTDKNGNLGREFVKCESKPEQGKKLKQCTHFEWLDEYIERIQLEGASGELDLPLEAEKFGSGLYGSGGPGSGNSIGATVGDAGVTAELKKLNKQMKTLIELQKQGNLMGLMAGLFYVFVIALAFVYVMIISRK >SECCE3Rv1G0200790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:857583254:857586042:-1 gene:SECCE3Rv1G0200790 transcript:SECCE3Rv1G0200790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G17620) UniProtKB/Swiss-Prot;Acc:Q0WTP1] MASKQMEEIQRKLAVLAYPRASAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYDERVELLRLIVDLVEASCYADNPEWSVDEQLAKDVQLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDIAELELKLSEYTKKMSNLQQMVQELASKYDYNPNEDYAETELKLREHLQSFLETVKSFNMIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSLRDSYTAMAAGSLSNSSEPSSVTKIISDCESALTFLNNSLAILSTSVAREQGEML >SECCE4Rv1G0293360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885691992:885693434:-1 gene:SECCE4Rv1G0293360 transcript:SECCE4Rv1G0293360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSAPGEREHAVCLPAPFQGHIIPMLDLAKMLHARGFHVTFVNTEYNHARLVRARGAAAVAGVPGFRFDTIPDGLPPSDDDVTQDVVSLCKSLTETCLGPFRRLLAGLNDPAAPMGHPPVTCIVSDNVMDFSMEAARELGLPYVQLYTSSATSYVGVRHYRVLFERGLAPIKDVKQLTNEYLDTPVEDVPGLRNMRFRDFPSFIRSPAPDDYMLHFVLGIGERAVGASAMIVNTFGDLEEEAVAAMEALGMPNVYTIGPLPLLAPSSSISMSLWKQQEECLSWLDDKEPGSVVYANFGSITVMTNEQLVEFAWGLAKSGRHFLWIIRPDLAKGDTVVLPSEFSAETAERGLVASWCPQQQVLNHPAVGAFLTHSGWNSTLESMCGGVPVISWPFFGDQQTNCRYQCNEWGVGMEIDGDVRRDAVADLITEVMEGESGKVMKKKALEWREKAVRATKPGGSSHRNFDALIRDVLAPSRSG >SECCE5Rv1G0317870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:354780525:354784305:-1 gene:SECCE5Rv1G0317870 transcript:SECCE5Rv1G0317870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) UniProtKB/TrEMBL;Acc:F4K0A8] MLSVAMSTRPVVSRRPHLPARAANSTPPPSPDTETRGARPGAEVRRSLLRPRPIVLSSVAVGSLVAAGAANAADLGDSLLGSSGLLLADLSIGDWFGGLLFSAGQQANEAVLDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRAEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLGIVMGLNLLEVVELQLPSFFSDYDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSTWINPISGAFLLGGGVYTLLDKLFPATSMAM >SECCE2Rv1G0088730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:247852624:247857877:-1 gene:SECCE2Rv1G0088730 transcript:SECCE2Rv1G0088730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAARAGAGRVGFRRMFSVSAFAPPPPPTARPAAEPCNNLFVSGLNKRTTSDGLREAFSKFGQVIDARVITDRISGYSRGFGFVKYATVEEAGEGIKGMDGKFLDGWVIFAEYAKQREAQQPPQSAGTQSSGYQYPS >SECCE6Rv1G0377790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3352350:3353633:-1 gene:SECCE6Rv1G0377790 transcript:SECCE6Rv1G0377790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPSLPAAPNPAAVLHAALLRSSPSRLPPRLSFNSLLAAASTSSHPRLRSLALPALALAHRCPAAAGPLDSYALCSALRHASAAQAGPLHALAARSGWLGSVFVSCALAASYGGSGRFLDARRLFDESPARNGVFGNAVLAAYVGAGKWAPALDFARRFPELGLPADGYTMTAVVRACGETANADLGGEAHGHAIRRVRGVGSDVFLISALVDMYAKCGLVGHAERVFRLALRANAGGDDVVLWTAMLNAYGRHGQCKEVIQTYDQMVAFGVWPDDLAILAVLSACHHAGEVAKGLRYFESMRADYRLVPTPEHYGCVVNMLCRAGEVARAWEIATREECGGNIGVSTWGALLSACCDCRNVELGRLAARKAIELEPGNVGIYVELSNLYASAGLWEEIKKLREVMKDRGFEKDVGSTWVEQNS >SECCE5Rv1G0367520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:821974903:821979842:1 gene:SECCE5Rv1G0367520 transcript:SECCE5Rv1G0367520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCFAPAARRGPGDLKPSKLAHHCTDDDSVADAQRKVAPDVGSGCAHSFTFKDLLVATGYFNEANFIGEGGFGKVYKGKISKTNSQGASDAQMVAVKQLARESVQGRKEFLVEVLMLTVLSHPNLVSLVGFCAQGDERLLVYEYMPFGSLESHLFDVPHGKPPLDWNTRVKIAVGVAEGLSYLHNVADPPIIYRDMKAANILLDEDFSPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVVSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQSLLTWSRPFMHDKRKFHRLADPALRGSYPSSALNQLVVISIMCLQDQPHVRPIIADVAIGLNHVASQPYVSLASMGSPAYSGSPQYSRTPSRRRGGGGGGSRRASQYAS >SECCE6Rv1G0410030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:593595693:593596703:1 gene:SECCE6Rv1G0410030 transcript:SECCE6Rv1G0410030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDMYKYNTSTHQIGSASDQELMKALEPFIRSASSSSSSYPYPYHHYSSPSMTQDSYMATPSSSYASFASSPLPTTAPTSPSFSQLPPLYSSQQYAASAVNGSMGLAQLGPAQIQQIQAQFFVQQQQQQQRGLAGGSFLGPRAQPMKQSGSPPRVSAAALALAGVAPAQSKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDKAAFRLRGDLARLNFPSLRRGGAHLAGPLHASVDAKLTAICESLATPSSKNSAEAEPESPKCSASTEGEDSVSAGSPPPPTPPVPEMEKLDFTEAPWDESETFHLRKYPSVEIDWDSILS >SECCE1Rv1G0016020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:133767893:133772391:1 gene:SECCE1Rv1G0016020 transcript:SECCE1Rv1G0016020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYRRSGAGGGGGSAPRTVEDIFKDYRARRNAIHRALTHDVEEFYAQCDPEKENLCLYGYANEAWEVALPAEEVPTELPEPALGINFARDGMKRSDWLALVAVHSDSWLVSVAFYYAARLTRNDRKRLFGMMNDLPTVYEVISGMRQSKERDRSGGIDNSGRNKLPSKHTVEAPPPPRAENNARDADEGYDEDDGDHSETLCGTCGGIYSAEEFWIGCDVCERWYHGKCVKITPAKAESIKQYKCPSCSSKRPRQ >SECCE2Rv1G0122440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:816125670:816127928:-1 gene:SECCE2Rv1G0122440 transcript:SECCE2Rv1G0122440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVIHGPPVRATGRRLLSEREKILPSARSQILIESKSPGEGGSKAGQERDPLGLREAWLRTRKSIKQIAEKRKAHEGERRIPGRQIADMKEGAIRRIRERMQVMRDNLRHGLGDLERGQKAPATGGSPSKDPPDKGGRISISTTLEGDQQVGKESKISREDTAQQEADQGRACIKGLECKGAVTQITKTPSANPQPLSMAGQENQKNKAIVEAEGEAPRAGDGSNTARVLLRGDSSRSHGGRASLRRLGAGGDTIAPRFPVDLGMRRDGVVELGLTKERAAMRTGWIDVGLYFSPLPYSNEGLFGNLKNKWGLRGHLDYKPLKNNRFLLEFEREGDRRFILDNGPWTYNGDAFLMVAYDGSTPPGDVEVAYMPIWARIHDVPPIMLDEGVAWKLGAMLGEVLEVDTNRSGKIWGDFIRVRVNHDVDEPLRNKITSHDKPRNELFFLEVKYERVPRFCGYCGFLGHGQRDCKLPADLQEMRYTATMRASPFKKSNSKGGYVAPVASSARRLLSFGKEVFRGDTASLTGQEKERIPEEVLAYPLVQEAIAAVSAIKVSDGGSNSLLQVAPSGLRQAEDEVEAGGQAKMPQVQASVQTETENMGDGTPPKPCQINEEEQHAGNADVEDLLFPPGFGPVARYANAATDAPTPARVPTFPETATARNRGLKVNKMTLEDADQVLTILGKRGDRENENKESAETEKDEDSKDQKKKIKSTAEEEDGKDLGDAGGVEATGTGAPGKLVGANDGARQEP >SECCE5Rv1G0309620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:156851347:156852312:-1 gene:SECCE5Rv1G0309620 transcript:SECCE5Rv1G0309620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMKFCRECNNILYPKEDRDQKVLLFACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKEVRCAVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRE >SECCE7Rv1G0517190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852453635:852454039:-1 gene:SECCE7Rv1G0517190 transcript:SECCE7Rv1G0517190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLALFLALNLVLLAAAQGCGPYCPPVVVPTPPIRPPPVVPSTGGGSCPINTLKLGVCADVLNLVKLRIGVPANEQCCPLLSGLADIDAAVCLCTAIRANILGIQLNVPIDLTLLLNQCGKNCPSDFTCPI >SECCE4Rv1G0225320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:91091180:91092643:-1 gene:SECCE4Rv1G0225320 transcript:SECCE4Rv1G0225320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPTKMLGTRLNFAGSSRYATAAPTAGAQKIVSLFDRFKKKPAPKPKPVPVATSGAGIDDELAKWYGPDRRIYLPNGLLDRSEVPEYLNGEVPGDYGYDPFGLGKKPEDFAKYQAFELIHARWAMLGAAGFIIPEALNKFGANCGPEAVWFKTGALLLDGNTLNYFGNSIPINLILAVVAEVVLVGGAEYYRITNGLEFDDKLHPGGPFDPLGLATDPDQAALLKVKEIKNGRLAMFSMLGFFIQAYVTGEGPFENLCAHLSDPFGNNLLTVISGAAERVPSL >SECCE5Rv1G0351350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:698788856:698790418:1 gene:SECCE5Rv1G0351350 transcript:SECCE5Rv1G0351350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSPASASSSTTSPLLPSSPSISAFLASHPALTLLHTQCANMAHLRQLHAALVKSGLAKDPIAASRAVAFCAGEGRDAAYAARIVKHHPRPNSFMWNTVIRALSDGPGPDAAVALFLDMLRSPTPPERRTFPSLFASYARLGRADDGAALHGMVLKLGLAGDVYTRNSMIAMYASCGRADEALALFGQCQVFDVVACNSAIVALSRAGRVDEARAVFDGMPARTVATWSVMVSAYSRAARFQDAVDLFSAMQVDGVEPNANVLVSVLGCCASLGALEQGAWVHAYIDKHDVAMNALVVTALVDMYCKCGSIHKARQVFDTTRSQGLAKLSSWNAMMLGLAAHGQCQEALALFSELEPYGLRPDNVTFIAMLMAYGHSGMADEAKALFASMAREYGVTPGIEHYGCLVDALARAGRLREAEDIIRAMPMKPDAAIWGALLSGCRLHGDAEAGARAARGAVECDPQDSGAYVLAASVLARDGEVGRGLGVRGKMREEGVAKVPGCSMIEVNGVVHEFVS >SECCE4Rv1G0242330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:474878932:474883989:-1 gene:SECCE4Rv1G0242330 transcript:SECCE4Rv1G0242330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARRPGAEEERPWKLADHARLGKGKVVGVVVLDGWGEAPPDPFNCIHVADTPTLDALKKGAPERWRLIKAHGTAVGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDLALASRKIYEGEGFKYIQQSFETGTLHLIGLLSDGGVHSRIDQLQLLLKGASEHGAKRIRVHILTDGRDVLDGSSVTFVETLENDLAKLQEKGVDARIASGGGRMYVTMDRYENDWQVVKRGWDAQVLGEAPHKFPNALEAVKKLRDDPKANDQYLPPFVVVDENGKPVGPIVDGDAVVTFNFRADRMVMLAKALEYEDFDKFDRVRFPRIRYAGMLQYDGELKLPSHYLVAPPEIERTSGEYLARNGVRTYACSETVKFGHVTFFWNGNRSGYFNPNLEKYEEIPSDTGIPFNVQPRMKAVEVAEKARDAILSGKFDQVRVNIPNGDMVGHTGDLEATVVGCIAADEAVKIILDAIEQVGGIFVVTADHGNAEDMVKRDKSGKPIRDKDGNVQPLTSHTLNPVPIAIGGPGLAPGATFREDLPDAGLANVAATVINLHGFEAPHHYEPTLIQVSPVPF >SECCE4Rv1G0242200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:471402525:471403453:1 gene:SECCE4Rv1G0242200 transcript:SECCE4Rv1G0242200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQSQALALWVVITHLLCLGGCAKPCFWPGSATHDDAGCLSWRVMVEANNARGWRTVPAPCVGYVKSYMTRGQYGRDLDSVMEQVSAYVDQIAAAADGLDAWIFDIDDTCLSNLLYYQAKRFGAYDPMAFKKWASQGACPGIPPVLGLFAALQDKGFKLFLVSGRDEETLGSCTSQNLESEGFSGYERLMMRTPEYRGQSSSLFKSAMRKQLVDEGYRIRGNVGDQWSDLQGDNVGDRVFKIPNPMYFVP >SECCE1Rv1G0034410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:481800388:481803754:1 gene:SECCE1Rv1G0034410 transcript:SECCE1Rv1G0034410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRKFKRTRTKVRVGLPRKKPREFKPAFDLPEALAAAAAAEAGGHVPSWDAEGSVVKNYSAFGVVANPNLLGAHSRGTRGLVQSPSLQAPDVDALRAPVDEFGPVDTGSDLECDDLKSALGKKRRDGKSAPLEPLTKIQRLCIGRLIEKYGDNYKAMFMDTKLNSMQHSVGTLKKLCERYHVGGKTILYPM >SECCE5Rv1G0305460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:72547585:72549604:-1 gene:SECCE5Rv1G0305460 transcript:SECCE5Rv1G0305460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVAAMAGQAYMRQLSKHPLRTKAITSGVLAGCSDAVAQKISGVKKLQLRRLLLIMLYGFAYAGPFGHFFHKLMDKIFKGEKKGKETTAKKVIVEQLTVSPWNNMMFMMYYGLVVEGRPFGQVKSKVKKDFANIQLTAWKFWPIVSWINYEYMPLQLRVLFASSVASCWAVFLNLKAARSIAGASKNA >SECCE2Rv1G0098950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:502081985:502089992:-1 gene:SECCE2Rv1G0098950 transcript:SECCE2Rv1G0098950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAGRRPVLSRRRSGCGAGQQQLQHPQAQQQRMLAVAVAARVAAAKPAATEAALYGGGGGDGCCVDFLVCMLRALGVTPASAGPAQFKWAARPLRRKRHGGSSPSGASAEGRRPELIRGAPGRVAGNGACAGASLYTMQGKKGVNQDAMVVVENFGSKDGTIFCGVFDGHGPQGHLVSKMVRDLLPVKLSANIARDEYKELSNSTVTNGTTEGDAVESVVEDTDAALGAVENGGYPEIFTSLRTSFLRAFYVMDRDLKSHQNIDCLFSGTTAVTLIKQGQDLIIGNLGDSRAILATKDEDNHLVALQLTVDLKPSIPSEAARIRERKGRVFSLPNEPDVTRVWLPKYNSPGLAMARAFGDFCLKNYGVISVPDVSYHHITEKDEFIVLATDGVWDVLSNDDVVKIVSESTSEASAARLLVQTAHRTWRTRFPTAKVDDCAAVCLFLKTDLTNKLSDSGTKDFTTSVELDGGKHFSTVKSSTVVPTDLATAFVTGNEWPVLSGDPMPATPTNLPTPTSAANQSMKG >SECCE5Rv1G0338330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597490374:597493141:-1 gene:SECCE5Rv1G0338330 transcript:SECCE5Rv1G0338330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVFPFPVAVAAVLFAAAAFAPRHASALTRHDFPEGFVFGAGTSTYQVEGAAAEDGRKPSIWDTFTHQGHASDGSTADVSADQYHHYKEDVKLMHKMGLDAYRFSISWPRLIPDGRGQINPKGLEYYNNLIDELILHGIQPHVTIYHFDLPQALQDEYSGLLSPRFIEDYTAYANVCFKSFGDRVKHWVTVNEPNIEPIGGYDNGSQPPRRCSYPFGADCAEGNSSTEPYIAAHHLLLAHASAVSLYREKYKAAQGGQIGITLLGWWHEPATNTPQDAAAAVRMNDFHIGWFMHPLVYGDYPPVMRSRVGRRLPALPSPELAKVRGSFDFIGFNHYLIMRARSIGTSSGQEPRDYYVDAAVENPAADITTGEVETAPWSLRKLLEHLKLNYGNPPVWIHENGYADAPGTRSKADGDDEDRAEFLQDYLETLYQSIRNGSNARGYFVWSFLDVFEFLFGYRLRFGLCGVDMGDAARTRYVRSSARWYSGFLAGGELRPAAPPQKPYDQ >SECCE7Rv1G0465180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:67590413:67590994:1 gene:SECCE7Rv1G0465180 transcript:SECCE7Rv1G0465180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVLKVDITADRCKAGAMSVVAKLPGIKSMAVDGEKGTLTVVGDVDVVCLAGALRKAKFTAVVVSVGPEVEPKKPEPAKKPDEPKKADTPKPATPCCCSGPGHACCPRPMPPYPGAAIVCYDEEPDGRCIIL >SECCE7Rv1G0492250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:547779015:547782344:1 gene:SECCE7Rv1G0492250 transcript:SECCE7Rv1G0492250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPMAAAAGNGEASPLVEAVGCNMLRPGRGAPPPSPSPVVGKPLTSGAVPRHALEFDGDGRYMDASWDLPTSPETSPSSAPGAFTWHHVELPRLLAGGAAAKPLHHAQALIELLCPPLTLQEILALVGNGPYCGGGADGGGGALVLRVSAPGPLGSAFAIRLAARVTESSVITVSVGAVPRLAFGTTQVSLLSEVPLGVAASLAEEGHGGGRAVEGGVVIDERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLSTHVDHVHDIVTRLEMEIDNIELHIDKGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGEQVFPRVKERCASKSWFATEDITALEDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIVFLPLSIVTGVFGMNVGGVPWTEQNKNPANLDGFANVMLICAVILLLLLLCFLFPSLYSHVSAWRTRRELTRSGSQNKRHLKLFKDHREGYMRL >SECCE5Rv1G0357470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:746667938:746668630:1 gene:SECCE5Rv1G0357470 transcript:SECCE5Rv1G0357470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTASIATSTAFAAKPRLARPPARLSVSCSASADNTTPSISTSIKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKQSVKKLTSSLKKYAPDSAPALAIQATIDKTKRRFENYGKFGLLCGSDGLPHLIVSGDQRHWGEFITPGVLFLYIAGWIGWVGRSYLIAVSGEKKPAMREIIIDVELASRIIPRGFIWPVAAFRELINGDLVVDDADIGY >SECCE7Rv1G0510080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:786857588:786857908:-1 gene:SECCE7Rv1G0510080 transcript:SECCE7Rv1G0510080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANALTGASVSRGGGAGMSGSVMAVWARSVVAAAPTSTTSSVRPVRCGVVGTASPVVATPEGGDGRRFGQLPAPPGLVDELVEEALVWCSQHGLVVGDKNHPVT >SECCE2Rv1G0106270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:633943718:633945284:1 gene:SECCE2Rv1G0106270 transcript:SECCE2Rv1G0106270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRSRRCPSEQLGGGPDRISVLPDDLLLLILARLGCAGASARTGVLSRRWRGLWPRLRQIVFRDVPFSSLEAALGGFSRPPPPVSLLEVRVPDKRLPWYCSPDSTRINSLLLAAAGLEPETLVVALPLHLIGCSLVLDLPCFHRATSIVLDICSILLVPAGVEFPALETLSLSCFLSDLDSFIPCCPRLRTLRLGGYFFQEEALSLNSVSLQELVVDREAGLTDHISIVAPVLRQLTMSFISSNPSISVLAPMLEKVSWRCCYTDSSIVFGVWLLEEVLLRTAETQAQFPSLRIRFGASLPVFDGEADTLTQKIEKHMIAEFSVLELHLQTSGHVFGALVFHLLGSNRICTAMQKLKVILQRSAVKERCPPDCPCESSGWRVQTISLTALEEVEMNGFEGDNHELDFLKLVLKCAPMLKKFIVKLSDKASASNYKRTKLYNIFRANSSVELCVYLSSGEYVWHS >SECCE4Rv1G0273510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:777989767:777991433:1 gene:SECCE4Rv1G0273510 transcript:SECCE4Rv1G0273510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSQLLNAATAANSTFYLSQWRSQVMMGVESSLLAQQSSLLRAVLACILSFLAATMSSAAGVGGGSLYVPILNIVAGLSLKTSVAFSTFMVTGGTLSNVLYTLLARGPGLIDYDVAVVSQPCLLLGVSVGVVCNVMFPEWLITVLFTAFLSLATFKTYGTGLKWWRAESAATERGVLEGASTREGAEEALLIGRKEEASHGYHWVDLAVLFFVWLCFFVIHLFIGGEGAKGAFDIKPCGVAYWLITVAQIPVAVAFTACIVHQKGRSQTRKSQVVELATSMKSRLDALPVYAFPVAALLTGVMGGLFGIGGGLLLNPVLLHIGVPPKTASATTMFMVLFCASMSMVQFIILGVDGIVGALVYAFTCFVASIVGLLVIEGAIRRSGRVSLIILMVAAILALSAVVIACSGGVHVWAQYTSGQYMGFKLPC >SECCE3Rv1G0152530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:48143684:48145524:1 gene:SECCE3Rv1G0152530 transcript:SECCE3Rv1G0152530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAAEMYTQDGTVDVKGNPALKKNTGNWRACPYILANECCERLAYYGMSTNLVNFMKDRMGMANAAAANNVTNWGGTCYITPLIGAFLADAYLGRFWTIASFMIIYIFGLGLLTMATSVHGLVPACASKGVCDPTPGQSAAVFIALYLIALGTGGIKPCVSSFGADQFDEHDDVERKSKSSFFNWFYFSINIGALVASSVLVYVQTHVGWSWGFGIPAVVMAIAVGSFFVGTPLYRHQRPGGSPLTRIAQVLVAATRKLGVAVDGSALYETADKESGIEGSRKLEHTEQFRFLDKAAVETQADKSSAAAPSPWRLCTVTQVEELKSVVRLLPIWASGIVFATVYGQMSTMFVLQGNTLDASMGPNFKIPSASLSIFDTLSVIAWVPVYDRLLVPAVRSITGRPRGFTQLQRMGIGLVVSMFAMLAAGVLELVRLRTIAQRGLYGEKDVVPISIFWQVPQYFIIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTVVAKLTTRGGKQGWIPDNLNVGHLDYFFWLLAALSLLNFAVYLLIASWYTYKKTAGDDKAKGGADIDAHDQ >SECCE7Rv1G0476110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:197174409:197175551:1 gene:SECCE7Rv1G0476110 transcript:SECCE7Rv1G0476110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGPSSSEAGHDRDRACTCTAKRARPQLKQRHLYVALDDRDKVYSVYKLNVDRGDGDGDGSSQQRLPEPPALRLEVPKRRDTYLFAAIDAKIIAVCANRSPTLVYDTHTAALAVGHRAPRVLARASFTVPAAGRLYALPPRFTEDTYYLEPEEMAKTIIDRWVWNPTDSRSPVPFDPRAVTCHAVHPDGRTVFVSVRRRRDAWMDHGTFSLDAGHRGAEWTHHGDWMLPFKGRAFFEPQLDAWVGLDEDGHICSCNGMSRRWSRRAPPALKVAKETLLREPNPGRWLDATLAHMGDGRFCLVERASREGCEAMDTFGDGDACVLHVTTFAVRYDSHGDLTVADRRHAGSYVASMHDRFFKPQAFFL >SECCE1Rv1G0025430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:355468761:355469339:1 gene:SECCE1Rv1G0025430 transcript:SECCE1Rv1G0025430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSTDMKDRNMLFAAIPSICASSPKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNEVIPEESNEQQRLLRISLRICSTVVESLPTARCAPKCEKTVQALLCRNLNVKSATLLNATSSRCIRLQDDIVTGFHFSVSERFVSGSTFKASTIDLIREGLIVLRKVRVGGSI >SECCE4Rv1G0232760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:212678013:212681236:-1 gene:SECCE4Rv1G0232760 transcript:SECCE4Rv1G0232760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLWRLQVVLSWVLAVVLGSVHGHVRRQWGEQVPLESRPHSVTITEFGAVGDGRTLNTLPFQNAVFYARSFADKGGAQLYVPKGRWLTGSFNLTSHITLFLEKDAIIIGAEESSQWPIVEPLPSYGQGLDLPGPRHRSLINGYNLTDVIITGNNGLIDGQGSIWWDWLRSHELNHSRPHLVEFLYSEEIVISNLTFLNSPAWSIHPVYCSNVKVHNVTIKTLLDAPLTDGIVPDSCLNVCIEDSTISVSHEAISVKSGWDKYGISIGRPTSDIHISRVDLQASSGAALAFGSEMSGGISGIHADHLRIHGSDKGFSFKTTPGRGGYIKEVVISDVEMDGVRVAIEFIGNLSSHPDDGFDPSKLPLIDQITLKNMVGTNISVAGVLSGIEGDPFTAICLSNLSFSITGSTHSIPWSCSNVSGYSESVFPEPCLELYTPSSNSSICFSLPSYSSLAVA >SECCE6Rv1G0421580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:684438910:684446436:-1 gene:SECCE6Rv1G0421580 transcript:SECCE6Rv1G0421580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRGGLLFLLLRALLLLPAAAAALHANIQPSPAPHPSNPPEIRVFGPRISPAFSPGVLSARSPGIAVHSHKHHRRPHHAPPPSLSLPPEAGCSSTVCPEPLSSTPIGSPCGCVLPLSVIVDIAVAPYLLFMHTAELEVEVAAGTFLKQSQVKIMAAIPSVQDDQKTRVTFYLVPLREHFDHYTASLISDRFWKKKVQINSSVFGAYEVINITYPGLGPAPPAMSSLTSGPPGNGEYPITADVHHQKKKLDSWIVVVVAGSSLVLIMGCIALIILIVKWKKFMRFHEAGNPVITPSVKRRHGGRSQSTSLVSSASASMLSTVATCAASVKTFSLAQLEKATDGFSSRRVLGQGGFGRVYHGTMDDGNEIAVKMLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICTERGKRCLVYELIRNGSVESHLHGADKDKGMLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKGSNILLEDDFTPKVTDFGLAREATNGINPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVGMSDNMDPENVVTWARPLLGNREGLERLIDPSLNGNYNFDNVAKVASIASVCVHSDPSHRPFMGEVVQALKLIYNDAEEAVGDSYSHRESSCDPDDDLPAGFIFDSGSGSWWNSGASGCLDYRNPSPFINMEYSSGRIEARQEREGGPYSVASTGGRVQKPAPQSRSAPLQIRKLSPSHWSRGSFSEHGRPLRH >SECCE5Rv1G0369950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:838915575:838918187:-1 gene:SECCE5Rv1G0369950 transcript:SECCE5Rv1G0369950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHVVPPLLFLLATVLPYTALAVFSPDFKIFLACGAGADVPFPSDNPTRTFVPDANYLSRRGAAAVSASASSSAASPLYATARADRSAFSYRLTYPATAGASSFLVLRLHFFPFVPASSSTSLSSARFTVSVLDAYALLPAFSPPPDGVVKEFFLPRGGSKDFTIRFAPEAGSSAFVNAVELFPAPPELLWNGSSSVVPVGVLGNDDLPQWQLDALETVYRLNVGGPKVTRENDTLWRTWLPDGPYLFGAPGQSDVNNTSSPIIYNPPNTREVAPDVVYRTQRAANVTDFLRATTPGLNFNVTWTFPAEAGSRYLVRLHFCDYEVVSSVVGVGIVFNVYVAQALGSRDLAPNAQATQPNEALYLDYAAMAPRAGNLTVSIGTSSKSSGGGILNGLEIMKLRPVDLSPTGSRGGTKRTIIIVLATVLGAAVLASAVLCFFVVRRRKQRQVAPPASTEDKESTQLPWSPYTQEGISGWANESTNRSSEGTTARMQRVSTKLHISLAELKAATDNFHDRNLIGVGGFGNVYKGALADGTPVAVKRAMRASKQGLPEFHTEIVVLSGIRHRHLVSLIGYCNEQAEMILVYEYMEKGTLRSHLYGGSDDEPPLSWKQRLEICIGAARGLHYLHSGYSENIIHRDVKSTNILLGTEDTGGSTGGGAIIAKVADFGLSRIGPSLGETHVSTAVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLFEVLCARPVIDQSLDRDQINIAEWAVRMHGEGKLDKIADARIAGEVNDNSLRKFAETAEKCLAEYGADRPSMGDVLWNLEYCLQLQETHVNRDAFEDSGAVATQLPADVVVPRWVPSSTSLLMMDDADETGLSMTELADSQVFSQLNARGEGR >SECCE5Rv1G0339280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:606245623:606251617:-1 gene:SECCE5Rv1G0339280 transcript:SECCE5Rv1G0339280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATGKPILYSKWFSSCSHRVRIALNLKGVDFEYRATNPMTDPDYEKINPVKFVPAFVDGDFVVSDSFAIILYMEDKYPQCPLLPRDLKKKALNLQIASIVCSSIQPLQSHAVIGSYLGTMDTNESLQMVQHYIDKGFRAIEKLLEGCDSKYATGDEVQMADVFLAPQIHAGVTRFQIDMSKYPILARLQEAYNERPAFQAALPANQPDAPPSQ >SECCE6Rv1G0411080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:605509439:605527242:1 gene:SECCE6Rv1G0411080 transcript:SECCE6Rv1G0411080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQGTEEEVRVALDHLPADASDILDILKAEQAPLHLWLIIAREYFKQGKIEQFRQILEEGSGPEIDDYYADVKYERIAILNALGAFHTFLGKAERAPQKEVHFKDATQYYNRASRIDETEPSTWIGKGQLCVAKGELQMASDSFKIVLDEDGDNFPALLGQASVYFLMGDTEQQHKKALECYKNSLELYKRALRGNADCPAAVRLGIAFCRYKLGQLDRARQAFDRVLQLDPENFDALVALAIMDLQTNEAGEIRSGMEKMRRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLSETALSSSSHGLLKSQSYYNLARSYHSKGDFETAGRYYIASVKEVNKPQDFVLPFVGLGQIQLKFGDFKRSLASFEKVLEVHPENCESLKAIGNIYANLGENDKAIETFKKVTRIDPKDHQAFVELGELLVESDWAAAMEYLKTACTLLRKAGEKIPVELLNGIGLLYFEKAEFELAEQSFKEALGDGIWVSIMDATAGSSIANWSVQYRDQSFFHQLEENVPLELPCDKVTTLFNYARLLEELHDTVKASLLYRLIIFKYPDYIDAYLRLGSIAKEKNNIQLSIELIGDALKINSKYPNALSMLGSLELQSDETWLTAKEHFRDAKDATDGKDPYSWLQLGNWNYFAANRPEKKAPKFEATHREKAKELFLNVLKQNHGNMFAANGLGILHAEKAQWDIAKELFTQVHEAASGSIFVQVPDVWINLAHIYFAQGLFQQAVKMYQNCARKFFYNTDATTLLYLSRTHYEAEQWQDCRKSLLRAIHLAPSNYLLRFNVGVSMQKFSASTLQKTKRTVDEVRATVSELQNAIRVFSLLSVASTYHSHGFDERKIETHVEYCRHLLDAAKVHRDAAEQAEKQTKQKLEVTRQIALADEARRRAEEQRKFQLERRKQEDELKQVMQQEQHFERVKEQWKTSNNTPGKRKDRSRHEDEDGESGKKRRKGGKRRKDQKTKMQYEDDEEDQYRNEPDEDDYANMSRDPGGDKPEKAPDHLLAAAGLEDSDAEDETVHPQSVIERKRRAWSESDDEEPVQRSVQPTSPGPNDLSE >SECCE4Rv1G0267150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734831547:734833073:-1 gene:SECCE4Rv1G0267150 transcript:SECCE4Rv1G0267150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQCWFVGGLLVCCFVLYYRHLQSKKTTKAEPTEWPLLGHLFGTLANASDFHDWATGVLAGTRYNFRAHMGLTGARFFLTCDPSNVRHIFISNSVNYPRGEEYADIFDVLGDGIFNAEGESWRRQRAKAQLLMAAPRFRSFSARSSRDKVARSLLPFLAHAADAGARCDLHDVLLRLTFDMTCCLVFGVDPGCLQIGLPAVPLARAMDVVLETLFLRHVIPPACWRLMYRYEVGLEKKMAVARRTIERFAADTVAKRRSDHKLRDEGVGESSDMLSSFICSDDASEYSDEFLRDTIVNLLFAGRDTTGTVLSWFFYLLCKNPRVEHMILDELAPIAATKKLDDTVVFDASELSAMVYLHAALCECLRLYPSVPITHKAAAASDVLPSGHEMKAGDKILVYSYSMGRMEDVWGKDCMEFCPERWVTDDGKLRYEPPYKFIAFNAGPRTCLGKEVAFTQMKAVAAAVLWNFALEAVPGQIVKPKLSIILHMKNGLAVTVKRRNFTALHG >SECCEUnv1G0531170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16336152:16338200:1 gene:SECCEUnv1G0531170 transcript:SECCEUnv1G0531170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVGVLALQGSYNEHMAALRRIGAKGVEVRKAEQLLGIDSLIIPGGESTTMAKLANFHNLFPALREFVGTGKPVWGTCAGLIFLADKAVGQKTGGQELVGGLDCTVHRNFFGSQLQSFETELSVPMLAEKEGGSHTCRGVFIRAPAILEVGQNVEVLADCPVPAGRPSITITSGEGVEDQVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRLFLDMDKESQAKALAALSLSASSNDADVGSKNKAPDLPIFE >SECCE6Rv1G0431970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749122881:749123300:1 gene:SECCE6Rv1G0431970 transcript:SECCE6Rv1G0431970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKLAPLSLFLAILLITCCEMVEEVGAKLVCEIYNPLCIEKCYKPGNCMRCCKNWGFVHGRCNPWRGMGCYCCADDSDPGDAALRGRNQYHQQEKMVAPPPES >SECCE6Rv1G0401800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:474451225:474451669:1 gene:SECCE6Rv1G0401800 transcript:SECCE6Rv1G0401800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVCSMCGDVGFQDKLFRCARCRCRFQHSYCTNYYGDAAPADAGAGVCDWCLSDDPLVKNGRPSAPPAMQHCGPPTGMGCGKVKVTGGGDQEGGRRVAKAAVRRYKLLKDVLC >SECCE2Rv1G0116280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:763057794:763060964:1 gene:SECCE2Rv1G0116280 transcript:SECCE2Rv1G0116280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQMRFGLGISPSRTYDDEEEEEEEDDEEEEEFEEDEFEEAESDGTASPPASTMMQAGRGGGLVGAVVGALRRSLVMCSAGAVGEDDDSDSDGEEGMEIGRPMDVRHVAHVTFDRFGGFLGLPADLEPDVPQPTPSVSASVFGVSPTSLQCSYDQRGNSVPTILLMMQRELYLREGLKIEGIFRINAENSQEIRVRDQLNSGVVPDEVDLHCMAGLIKAWFRELPTGVLDSLTPEQVMHCNTEEECALLACMLPPVEAALLNWAINLMVDVVELEKYNKMNARNIAMVFAPNMTQMADPLTALMHAVQVMNFLRTLIVKTVREREEAAAASMALQSCSDSPNDQDKPQMPEHSERPFTCSSRQSVDHPMIDKAISEQFLSEAQQVLHDSKNDFEEQEKKCDTDHNKCPSGAPPCHNDPNSQVNSSAKEFGSRNAEGLFDRFSFRKGVERLCRHPVFQLSRSMRKAPDVAVFDAPREARQAWV >SECCE7Rv1G0524170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885088172:885089413:-1 gene:SECCE7Rv1G0524170 transcript:SECCE7Rv1G0524170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSMALLHREIYFSEDAALSGRVSSIRCPWEGRDDPPSRQEISDAVADYLRTFKAHVVVADPPAPSFLHILRPPAYVGLPMQLHGFDLATISSADKHLVAMYVGGYRPGNSLRGGYLIYDARNDSISVIPELPSDHPHIALGYESAVVVCQAAGSDYFLAELVTVWPEGSQAALWLWNSSVQEWALHPTRLPLPSSTTGHFSADLCFSFRGSILCWVDLLKGVVLCDLKQNCKFSFIQLPKDCPTYDDDSNMFTYACAEEFRSMACVAGEIKFVALDECDEHQPEKGLELTIWTLSPDLSEWKESHKYNVENIWANEAYKPVGIRNLAPSFPFLSIHEDGVVYLVLNDLRELDHGLEYIGQWMLRVDIRNNKVQFYPQQENSSVNSQLFAIEFSAHRQHLQDHPVLQLPRHL >SECCE4Rv1G0232290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:195295842:195298010:-1 gene:SECCE4Rv1G0232290 transcript:SECCE4Rv1G0232290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSPVATAAAPAMPPRTTRSMAAGKQGADAPAKKEEAEAAPVAAREKGRKKAKKEVSAVAKEVETVLSPPAAAKQKVKKNAKKEAAAAAVDEGGAGAENGKRVIVEACTQCQQFKRRALKVKQDLESAVPGVSVTINPEKPRRGCLEIREEGGDVFISLLNMPRPFKKMRELDMDKVIKDIAQKIA >SECCE4Rv1G0290080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:870622320:870624557:1 gene:SECCE4Rv1G0290080 transcript:SECCE4Rv1G0290080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISRFPPVSSYDASARERRTAASDLDGTLLASSSAFPYYFLVALEAGGYLRALALLLLAPFILLLYTAISEPAAIGLLVFATFAGLRVRDVEAVARGVLPRHYAAGVRADSWEVFRGCGAGRRVVVTASPAVMVAPFVREFLGAEVAGTELGTCCGRFMGLISGGVLVAGRKREVVERLFAGGDMPDVGLGDRESDHDFMAICKEAYMVPTNKRAPRAAPDALLSHVVFHDGRLVRRPDPAHALFALAYLPIGFALAVLRVLISLPVPPHLVRHTYRLTGIRLAVRGTPPPAPHEGFPGSLLVCNHRTALDPIIVAVALGRPVTCVTYSVSRLSTAISPISAVVLARDREADAARITELLASGRDVVVCPEGTTCREPCLLRFSALFAELTDRIVPVALEAAQSTYYGSTARGWKAMDPWFFYMNPRPGYNVTFLPALRPEETCGGGGRSAVDVANHVQAVIAKELGYRCTTLTGKDKYMKLAGNVGTVAAAGDDGKKFA >SECCE3Rv1G0211210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:948132299:948134074:-1 gene:SECCE3Rv1G0211210 transcript:SECCE3Rv1G0211210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDRLSALPDQLLRRILHFAPAKEAASTRALSRRWRSPLLRSSGAVHLETHVEYIERLYQSWGEERKSLEATFFSRRDAFVSAAQAALAAADHVTTLTLRVQFESGVDRRLWLSQDEDQSLIATLLSHPAARRIQVLRLTVEGRYDAYYEPEKEIARKVVGDYMLSLGFLPPETLRVLDLTSCNGILPPAATIVFTRLSSMRLRLCTVRLDDLQSLIHAAPALTTVHLESVVITITPTDDMSPPSHHASGDTSPPPPTEVVLRCPAATVLVLQRCSWRGNDHAEKPAVVAVEIHAPRLRRFVYMGLLRRFTMSPRPPDLSRADLHFFWPCDEERYVYPHLKHRSDEDPNPNLLTFWRFLHDISSAKELKLRVNNLEDVAVLSEARRVELLPAFSNLERLELQGVHRPKGKTASVAIANLLRCSRVLRNLRIDLTTAQHGAILRDDRIKGYVETKFLSDCDKSIDLINRAEPTVVSLKDDGDVTSAEVLDIPGLSRHSFECLQSSLKRVGLQFRLEESNCLGVKLIKFFSENATVLEEMHIDSGNAKLCEHMNSEVKTWITSSSKRRKSGASSFIVLPLESRELCIERGF >SECCE7Rv1G0499430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:653827695:653828108:1 gene:SECCE7Rv1G0499430 transcript:SECCE7Rv1G0499430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSWLTALGFVFLTFNSGMAVYRSNGDAGSVIFVAVSYLDLVALFACLRLYERLDRHSPRRERLKAAVWALTTLLTVMFTYKVAEVMPLAVKLLVWAMAAATTCGGFYVFFVHDDKPYQQLQDASAAAERGDAAN >SECCE2Rv1G0110500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:690561947:690565410:-1 gene:SECCE2Rv1G0110500 transcript:SECCE2Rv1G0110500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGTASTSWGLQLGVVFVFLLASGCQGLSHEGWLLLALKSQMVDTYHHLDNWNPKDPSPCAWSGVNCSSSSSSRLAVVSLNVSNMNLSGTVGPGIGGLTELTRLDLSFNEFSGAIPPDIGNCSKLMLLNLNNNNFDGTIPPELGKLAMLTGCNLCNNRLHGPIPDEIGNMSSLQDLVGYSNNLSGSIPHSVGNLKHLKTIRLGQNLISGSIPAEIGECRNLTVFGLAQNKLEGPLPKEMGRLSLMTDLILWGNQLSGPIPPEIGNCTNLRTIALYDNDLVGPIPATIGNITYLEKLYLYRNSINGTIPSEIGKLSFAEEVDFSENFLTGEIPKELGNIPGLYLLYLFQNQLTGFIPRELCGLRNLSKLDLSINSLTGPIPAGFQYMTKLIQLQLFNNMLSGDIPPRFGIYSRLWVVDFSNNNITGQIPRDLCRQSNLILLNLGSNKLTGNIPHRITSCKSLVQLRLSDNSLTGSFSTDLCNLVNLTTIELARNKFNGPIPPQIGNCNALQRLNLANNYFTSELPREIGKLSKLVVFNISSNRLGGSIPLEIFNCTTLQRLDLSQNSFEGSLPNEVGRLPQLELLSFADNRLSGQMPPILGKLSHLTALQIGGNQFSGGIPKELGLLSSLQIAMNLSYNNLSGNIPSELGSLALLESLFLNNNNLTGEIPDTFVNLSSLLQLNVSYNNLTGTLPPVPLFDNMVVTSFIGNRGLCGGQLGKCGSESPSSSQSSDSVSRPMGKIIAIIAAIIGGVSLILIAILLHHMRKPLETVAPLQDKQILSAGSNIPVSAKDAYTFQELVSATNNFDDSCVIGRGACGTVYKAVLKPGQIIAVKKLASNREGSNTDNSFRAEIMTLGKIRHRNIVKLYGFIYHQGANLLLYEYMPRGSLGELLHGESSSSLDWETRFTVALGAAEGLSYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPVSKSMSAIAGSYGYIAPEYAYTMKVTEKSDIYSYGVVLLELLTGRAPVQPIELGGDLVTWAKNYIRDNSLGPGIFDSNLDLEDKAVVDHMIEVLKIALLCSNLSPYERPPMRHVVVMLSESKDRARASSASSPASDSSSKKDSS >SECCE7Rv1G0501240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:681082538:681084127:1 gene:SECCE7Rv1G0501240 transcript:SECCE7Rv1G0501240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTEAILRLLLALCILPCNTSISSAASTADAFLHCLSAAIPPHLLHTPPSPSYSPLLLSSVRNLRFVTPGTPRPLAIVAAGEASHAQAAVRCGRLHGVRLRLRSGGHDYEGLSYHSLDPREPFALLDLAALRAVRVDPARAEAWVGSGATLGELYYAVAAANRALAFPAGVCPTVGVGGHLCGGGFGTLMRRYGLAADHVLDAVLVDASGRLLNRTTMGEDLFWAIRGGGGESFGVVLSWKLRLVRVPETVTVFTIRRSRNQSATHLIAKWQEIAPALPPDLYLRVVVHKQDAQFQSLFLGRCDRLVSLMRAHFSDLGMVRADCEEITWIQSTVYFAFHSSSKPLELLLDRGTKPESYVKAKSDYVQVPIPWRVWESTWTWLAKQEAGILILDPYGGRMGSVAPSATPFPHRKGNLYNLQYYSSWSENGTGAFDKHMAWVRGLYKQMEPYVSKNPRTGYVNYRDLDLGRNELGENVTSYAKAKVWGEKYFKGNFERLAAVKAMVDPDDFFRNEQSIPPLPAAKGWNCM >SECCE4Rv1G0242150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:470371123:470372479:-1 gene:SECCE4Rv1G0242150 transcript:SECCE4Rv1G0242150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKTKMLIYAAAAQWFINMITLVVQSRKRKRREAITYAPIDERDRMRREYFDNKIWKNDTTCVNMLRLRREPFFRFCQLFRDRNLLKDTIHLTIEQQVAMFLHTVGHNIRNRVIGTNFGRSGEVVSRYFQRVLHAIGELRDDLIRKPSLETQTKIEGNYRWDPYFKDCIGAIDGTHVRASVTPDMEASFRGRKTYATQNVMAAVDFDLRFTYVLAGWEGTAHDALVLRDALERENGLRVPQGKFYLVDARYGAKRGFLPPFRGVRYHLNEWGNNPVQNERELFNLRHSSLRVTVERAFGSLKRRFKILDDATPFFLFPTQVDIVVACCIIHNWVINDGIDEYIIPEDEWVPNITHASSSSGQAHEHAYMANFRQGLADQMWEDRQNHLQGQNM >SECCE1Rv1G0003070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:12375607:12377273:-1 gene:SECCE1Rv1G0003070 transcript:SECCE1Rv1G0003070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVISKSPSVLVAPSEATVTGGDINLSSYDKMFGARSVTVFFIFECSIQDPVETIKRGLSQALVNYYPIAGRLAAGATAGDFVIKCTGEGVSFVAASANCAIKDVPEFRDPSLQEKLAVFHAAEGFNNTEPLVLMQVTVFTCGGFVMGVTWNHAVGDGSGMAQFMQVIGDFARGLQSPSVVPVRSSDLLILDLPPFSTNFVQFLGTLQPSPMVFLDITVPSSLVNHIKSTYTMNYRLPCTVFEAVAAILWQCRTRAIMSDPASLSNTLAVLTFPANARKHAVAREGFYGNCVTAQLVTATSGAVANGDLRELVKMIKHAKDRVPGQSEIDELRQLDGYNLFFMSCWRNLGMEAIDFGFGPPARLMEYTKVRTTLPSCATCVPCNDEYNVQSICVKEEHADAFIRELAKSHTTYNLLSASSKL >SECCE3Rv1G0164320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:161784428:161785522:-1 gene:SECCE3Rv1G0164320 transcript:SECCE3Rv1G0164320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASEIVADTTHGRHHLKIDGYSITKATLPTGEFLKSQPFSLGGRRWAIQYYPNGDPSSHKGHISLHLVLDDVVAGEVRAQVQFRFKAEKKHALSFLKSWGRKPAPLKLGRVEVGEAGVWECPNFVKRKTLEESNHLKDDSFTIRCDIIVVNEFRADGGSPPAPAPRSIAVPPSDLGQQLGDLLADDKGADVVFEVGGETFAAHRWLLAARSPVLSAELFGSMRESGTAGAVHVADMEAQVFKALLRFMYTDSWPQETAEEEEFAMAQHLLVAADKYRMERLKLICEDKLCKNIAAGTVASILALAELHHCHELKGACFDFLSSPKNLTAAMAGDDFENLRSSFPSLVKELIAKCSIDASAQ >SECCE4Rv1G0282040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:827757860:827758381:1 gene:SECCE4Rv1G0282040 transcript:SECCE4Rv1G0282040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPDTSWVFADLAVADSARYSTRTRLMLTGLSFAIGILTLLLYLAVSYACRRRRRRHRCRRQRGAGVGAEDQEAGMSDAAIVYEQADALAAPMDCAVCLGRVEAGEKLRRLPKCAHLFHADCVHAWLRAHSTCPMCRAATTGTTPAATAAAEAPPTGVVAVAGSPPALERMN >SECCE2Rv1G0098790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:495791526:495798309:-1 gene:SECCE2Rv1G0098790 transcript:SECCE2Rv1G0098790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSPPPRARSRRGATPPSPNPAAALSPPFSPAPLRTRLGAAAAAAAAAASSSPDEHPCVTLWEWWPVRVEGEERKLAVSGFTERNDAFTSAPIAHRYEPLTLQDEGGVVVLLHGSISLLRMRENGFSMQICEQFMIGFPSWWETWDSHMESYPNCFIDSRGGSAQFYLEKFQLGNFIHKFGPSFIEDLLNNAKKFPIDDLDAFTESSRFQEYNCGNDASIKENSAASDDARTAAVANVEIGLTASSTSQERDHVDIECNVSLAPAETYTGDETCKEAGNQNDTVHPDAREDNAGSHLFNSDWTCTMSPDHMPNDWEGGNATMSPDHMPPDNMPNDSEGGNATSAENSIELLAKYPLAIVPPESANCCSEIPGAFHSVEPSSYQSTPVASLKNQHCLERTEHITLTQKAVSNEDTPSSIHSDVQSQEKTVGSAKKRRSAKQVLERPIRSPMTRTSAPYGHKSRFTRSRAQSLSISTPESLKMRRTKSGRVVVPQLDPGSRRIVYDNNGLISGVAPVNGNKSARPARKTRGPL >SECCE5Rv1G0376440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872368350:872368919:1 gene:SECCE5Rv1G0376440 transcript:SECCE5Rv1G0376440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEFNRSSLAERRIFLAHYQTITYSQTSCGTNRFHLPSHGKPFSFRLALSGILVIGSVGTGRSYLVKYLTKNYDFPFIKVRGLLIPQERKHLFILSYTRGFYLEKTMFHTKGFGSITTSSSALDLVALINEALSISIPHKKSILEKNTIRLALHRQTWGLRAKVRSARDHGTLFYQIGGALVQNRLTK >SECCEUnv1G0543280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:112382360:112387407:1 gene:SECCEUnv1G0543280 transcript:SECCEUnv1G0543280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGALGTLLPKLGELLQDEYNLQKDLRKGIKDLRDELLVIQAALLKVSDVPLDQLDPLVKIWANDVRELSYAIEDSLDSFMVRVEGVEPTKPHTFFGFIKKTCKKATKLKIRRKIANDINDVKIQVREVKERYDRYKDVIGNTNARTEVDPRLLAMYTKVSDLVGIEKSMNELTGRLSKGNDLSGETLKVVSVVGFGGLGKTTLAKAVYDKFKKNFDCGGFVPVGQRPDTKKVLRDILLELDRELYKASATMDERQLINQLQTFLVGKRYIFVMDDIWDIRTWEVIKCALMDSHPESRLIITTRIVDVATKAGGIYHMQPLSNDNSEILFYTRTCGGEGLSSSNQHVEVTNKILKKCGGVPLAIITIASLLVGKQSEDWSKVYDAIGFGHEDSEVVQNTRKILSFSYYDLPSHLKTCLLYLCMFPEDRFIEKTSLIWKWVSDGFVPDRSFQLGESYFSMLVNRSMIRWIDRDDDLDGRSGCRVHDMVLDLIRTISNEVNFVTVHDLEHHGTCPGENFTKKVRRLAVHGKIGEHNCSVAMEHVRSFNVVECSANSMPQLLNFKVLRVLVIEDCVFSEGSSLEHLGKLLQLRYLGLVKTEVKIPEGIGHDLKFLEILDVRGGLISELPPSVGELMNLRCLWADKGTVMKGEIGKLTRLEELQLYSVEKCPDFFTEVGKLTKLRVLNIFFGEIEESAGKGLMESLCNLHKIHSLTAVDDDDETKYAVVLNHSLEDFAPCTKLYELILPIIVIPRVPSWINQLTVPLLSRLCLHVDAVDVGDVQTIGRLPSLLTLILWSKDEKIISYTFGSNEFHKLRFLWTKKIEIAVGEGALPMLDGLAYSASAKRKDAASLVPWGRNSCPLLKEVVCFLDCTNSSYREVKEAEQALRQASRNRFGLGIRNENYDKDSDDEDYYYDDDDDATNQAEVRASLLHYIYSHTI >SECCEUnv1G0555300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:298270471:298274054:-1 gene:SECCEUnv1G0555300 transcript:SECCEUnv1G0555300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSWTRACVLVLCVMPFLQPAAAIRFVIDREECFSHNVEYEGDTVHVSFVVIKADTPWHYSEDGVDLVVKDPNGAQVRDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETIDFDVHVGHYSYFDQHAKDEHFSPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNESMSRRAIHKALLESAALIAASVIQVYLLRRLFERKLGTSRV >SECCE6Rv1G0390880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:198174934:198180840:-1 gene:SECCE6Rv1G0390880 transcript:SECCE6Rv1G0390880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMKHLLRKLHLSGAGAGTASAGGATAATPSSDHHRPRQRRSAHSPLPPPVVAAAVADPPLPAPAAAAPEEPTGMGAETTMTRLEEEYHVRLALAISASDPAGLVDPDSVQMRAAERISLGGPAAAPGDRTTMEALSGRYWNHNVVNYDEKLSDGFYDVCGAPMDPGFQVKFPSLSSLRAIPVGRDVAYVVILVNRERDPMLKRLEGTAMAIAAQSRAQRGGIASAELVQKIASLVVDAMGGVVEDADAMNREWYTKSRQLCAGLNTIALPLGSLGIGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAINFVKIDFDSAEYIVDLMGAPGTLIPSEISVSQFQDSNNSQLSSDAIEESVAELCIALEQVSGVYESKNDMGGSSSDRNSVLELPTPHLEDTCLTENPLKQRIISDEGQFDEFNIKGGISQQKKVNDTSKYLVPGVVDPQFAQNLHDLLLEGGALLPSGLLSCQNSHNSGNTTEMGKNSSPEVKETPGWLLVAQTGQNSPERSVAEDSLPKIPLPPCEDVQYSVENTEATIGSLGTISIEGERVAEHSLANMSGSSSANLGKLSCSSTKTISSVMDDVAEDEISWDDLHIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVALEQFKCEVRIMSRLRHPNVVLFLGYVAQPPNLSILTEYLPRGSLYRLLHRPNSKVDETRRLKMAFDVAKGMNYLHTSHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPANEMCDVYSFGVILWELATLRVPWSGLNPMQVVGAVGFQNKRLDIPKEVDPLVASIISSCWDNDPSKRPSFSQLLSPLKKLQRLLVTESL >SECCE7Rv1G0491190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:519084659:519085210:-1 gene:SECCE7Rv1G0491190 transcript:SECCE7Rv1G0491190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFICPRCRAGVDRRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLQKKVRKLEDQAQIAIPICNYFWAVVGMILALVIMLKMYGKA >SECCE4Rv1G0243670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:505166221:505166730:1 gene:SECCE4Rv1G0243670 transcript:SECCE4Rv1G0243670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCFANKHATGNYAMGPGVPLGTPIVVEDKDKPNVMEGEGTTDEVLQHLPGSNFVLPTASATQDPSPTSNKKRKRASGLTEEDSIQCSNMTDARREIASAINNTCHAETHPDLYNAVMDLLLFDQDERLAVLDYLTEHKAKGLNFVKMDDEVRKASFKRILKANPDLF >SECCE5Rv1G0345970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:659735089:659735757:-1 gene:SECCE5Rv1G0345970 transcript:SECCE5Rv1G0345970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNVDGVSASTVTTTATKCHSHILKIQGYSQTKLLIDGASLESKTFEAGGCTWCIVLYPNGTSGADDDRICLALVRADSFDRPVSAQVLFTLVPHHGQPAPATTRSSSSFRWTFRRRGDNRSAPPSPLITRAELEGSEYLVDDGFAVRCDVTVVSVWAMRDEVVQSCDLERAGIACSCKDQLCNRRHASSWVSSKSETTPPSRRRPGFKAAWFWLLRRTLP >SECCE1Rv1G0015620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:126939615:126941371:-1 gene:SECCE1Rv1G0015620 transcript:SECCE1Rv1G0015620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGERWVGLAVDFSEGSRAALQWAADNLLRAGDNLLLLHVLKDPDYEQGETLLWEASGSPLIPLSEFSHPSIAKKYGVKPDAETLDMLNTIAKQKEVAVVSKVLFGDPREKLCQAIHDMPISSLVIGSRGLGKLKRVLLGSVSDYVVNNAACPVTVVKPASTHA >SECCE6Rv1G0416350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:648232166:648233377:1 gene:SECCE6Rv1G0416350 transcript:SECCE6Rv1G0416350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELNEEIYMQQPDGFVIDGQERKVCRLIKSLYGLKQAPKQWHDKFNTTLTSVGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLKVIEEVKSFLSQNFEMKDLGVADVILNIKLLRDNEGGITLLQSHYVEKMKATTGYMFTLGGGAVSWKSCKQTILTRSTMEAELTALDTSGVEAGWLRDLLMDLPLVDKPVPAILMNCDNQTVITKVKSSKDNMKSNKHIRMRLKAVRKLRNSGVIALEYVHTAKNLADPFTKGLSRVVIDNASREMGMRPT >SECCE2Rv1G0110710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:693332466:693333596:-1 gene:SECCE2Rv1G0110710 transcript:SECCE2Rv1G0110710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSISSALLLLLNMAGALLAPSPRAPRSLPASAGAADDDGDVDFFFFPFLVRYKSGRVERFVGTDTVPASVDPATGVASKDAVIDAAAGLAVRLYLPSIGNGTRSGRGDRLPLVVFYHGGGFVTESAFSPTYQRYLNALASKAEALVVSVDYHLSPEHPLPAAYDDAWAALRWVLGSARSGAEPWLSRRADLTRLLLVGDSAGGNIAHNMAMRAGREGLDGGAAIQGIALLDPYFWGKRPVPSETRDPEERRLNDRIWSFVCAGRYGADDPVVNPVAMARDEWRRLGCARVLVTVAGLDVLSARGRAYVEELRASGWGGEVRLYETPGENHVYFLLKPDGEKAAKEMDAVVAFIHGDRSLGSSMSEGSAKYYTNS >SECCE7Rv1G0497640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:628491708:628492910:-1 gene:SECCE7Rv1G0497640 transcript:SECCE7Rv1G0497640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATRRPDVFLLLAAVLLAVVVQPAAATLHPVDYLALQAVRRALSDLEGSGFFASWDFTGDPCGFVGVSCRGDGRVVTLALGDPRAGAPGLSGAFPSAALARLYALSSLSLVPGRVSGGLSSAVTALPSLRFLALSGNLISGNLPGAFSPALRTVDLSKNSFSGRIPSSLLQITSLRTLVLSHNSLSGEIPKRVSSPLVHLDLRNNRLSGGVPPLPVTVVYLSLAGNRLSGRVGGVLRRLTRLSFLDLGGNWFSGEVPGEVFSFRIGYLQLRKNAFSGELRPVGRLPSGATVDLSHNALSGRVPAELASAAAVYLNGNKFAGAVPAEIVAAAEGGRMRVLFLQDNFLTGISVRGVPSSSAVCAHWNCVAPPPTVVAACPAKGGRGRRRPPAQCGGRRG >SECCE7Rv1G0472150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:137787056:137793695:1 gene:SECCE7Rv1G0472150 transcript:SECCE7Rv1G0472150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSRDLLRGVGGGDEAGRGEEKPRRGDSQTEEVELSLGLSLGGRFGQERRRGEGLARSSSVACIQQVAPAPPALPRTNSLPPMAEAEAGNNAASPRSGAEVQPQGSGVPLNGIVVEPAALPRARLSPPSTGSSDAEGKLRTSLSPPSTGSSDADGILTRTISLPSGIEDGWRKRKLAETLNKLEMKRSIERMNSSNVSVGEVGKNPDEMSEQAGGNSKAQRMNRSNVRRRLSGLPPPYQPPAASQGPRRNSALKGTPGALERSLSSAVLPSSEGANSSAMTTPSSSALAVRAAAPGISIGEQQDGSERPAARATRTRSVADMVRKMPVVYTSGLPNGERIDGFLYQYSRANEVRIVCVCHGSFLTPAEFVAHAGGGQVAHPMRHIVVNPPSS >SECCE3Rv1G0210030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:942019109:942019666:1 gene:SECCE3Rv1G0210030 transcript:SECCE3Rv1G0210030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCPSTLALSLSLLFLGAASLVDATGGDVKAACADTPYPEYCVTVLSACPKSKSADAPALAEIAVHAAANTSAEAATLARSEEKGIKDGTWWCMDNCAADIEDAAARLSHHNMKLADVRSFITRTESDSVVWNCDECRRDGASKKNDLLSKDGDLEKIMGVMSALVKRVRVTKGTKAATPSAY >SECCE5Rv1G0343160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:635677386:635680259:1 gene:SECCE5Rv1G0343160 transcript:SECCE5Rv1G0343160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTALDAMAGTAWGRWLGLVAAVWVQCISGNNYTFSNYSDSIKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAIGSLEGFLGYGAQWLVVSKTVAPLPYWQMCVCLCLGGNSTTWMNTAVLVTCIRNFRGSRGPVSGVLKGYVGLSTAIFTDVCSALFANDPASFLVMLAVVPAAVCAVAMVLLREGRVSSADSGGDEADARGFAAISTLAVAIALYLLAADLSGFSGRGGLVSTAFFAVLMVLVAAPVAVPAYVAWTSWMKSRKAANADAEDAAAPVLLDAKEAPAAAAMQQQGNGEAEEEARGPGERPRLGEEHTIADATAAAATQQQGNGEAEEEARGPGERPRLDEEHTIAEAPAAAATQQQGNGEAEEEARGPGERPRLGEEHTIAEATAAAATQQQGNGEAEEEARGPGERSRLGEEHTIAEALASVDFWLLFSSFLMGVGTGLAVMNNLGQMGKAMGHTNVSLFVSMTSIWGFFGRLGSGIISEHFIKTRALPRPVWNAASQVLMCAGYVVMAFGMPGSLFVGSVVVGVCYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAEATKVPGGGNTCSGVHCYRLVFVVMAATCVVGFGLDVLLSLRTRRVYAKIHQAKRAKRSAAAAQRVS >SECCE3Rv1G0158240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:86576571:86579974:1 gene:SECCE3Rv1G0158240 transcript:SECCE3Rv1G0158240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLKKKKARRKKVTPLPSVTKSDKMPAAKFTCFCYLPNHCHIRNRTKETAEMRPVSLLLSSLLFALMIAAARDSVGNHDDDGAALLAFKAGITSGGSSGPLRSWNSSASFCSWEGVTCGSSSGRVVALDLSSHGLVGTLPAAIGNLTSLRTLNLSFNWFHGVIPASLGRLRLQTLDLSDNSLSGMLPDNMSLCTSMTALVLGSNKLGGLIPSSLGDTLTNLRKLSLTNNSFTGAFPASLANLSSLQHLDLSINRLEGSIPPGLGGLRSISHLDLSANGFSGKLPSSLYNLSLLKSLQVEGNTLQGSIPADIGDRLPAMQKLVLSRNRFSGDIPHSVTNLSSLTTLRLGWNQFSGYVPRTLGRSQDLRYLELAGNRLEADKSRGWEFIDSLANCTELQYLAVDNNSFRGQLPGSLVNLSTSLQKLFIGYNNISGEIPSDISNLAGLKVLQVANTSVSGVIPESIGKLANLVMFFMFNNGLSGLVPPSVGNLTKLNWILAYNNNLEGPIPASLGKLRDLNILDMSKNRLNGSIPREIFKLSSLSIQLDLSDNSLSGPLPSEVGSLTNLNWLVLSGNQLSGKIPESISSCTVLEYLLLHNNSFEGSIPTNLKNIKGLTTISLSMNKLSGTIPDTFAGIATLKEVYLAQNNLSGPIPAVLQNLTLLSVLDLSFNNLEGEVPSGGVFRNLTYESVEGNSKLCGGVHQLHLAPCSIYPVRNNNKKSIVVPLTVTGSLVLLVSVIVIVWLLHRKLKESNKFHHMLPLTIDKHQRVSYQAIFNGTNEFKEANLLGKGRYGAVYRCTLDDEGTGTNVAVKVFDPQQSGSSKSFEVECEALRRVRHRCILKIITCCTSISPQGQEFKALVFELMPNNSLDSWLHPRSQEPAPCSTLSLAQRLDIAVDILDALDYLHNDCQPPIIHCDIKPSNILLAQDMSARVGDFGIARVLPENASQTMLSSTSSAGVRGSVGYIAPEYGEGSAASPLGDVYSLGILLLEMFTGRSPTDDMFKGSLNLHKFAEAALPDKVMEIADPTIWIHTEANDTGVADTGTARTRIEECLVSVMSVGISCSMQQPRERMLIRHAASEMHAIRDSYLIFASSPIHQRK >SECCE3Rv1G0188880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:719455591:719461397:-1 gene:SECCE3Rv1G0188880 transcript:SECCE3Rv1G0188880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRVYDRELVDPEGKRRAVHAVEGPAVANGGFIRAPRKPTNQSKSGGLRALGRSGIAQEEEEEEPQALPPTMAGRFGYYYSTGSSCKAGRKFRHDEIKKYYLDTADALDGQLDYVCDFDS >SECCE5Rv1G0362410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:786136326:786137582:-1 gene:SECCE5Rv1G0362410 transcript:SECCE5Rv1G0362410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTRLLVCSSLLVLLLLSACHASPDNAIDTSFKSSTRKNVFVLDNYGAHGDGKYDDTQALAKAWNAACSSSRPAVLLVPKGKTYLLKSITLSGPCRSSINFKVKGTLIAPPTMSAWTENNRRRWIFLQRITGLTVNGGGTINGNGEIWWKNSCKTNKALPCKGAPTALTFHLCTNLKVENLKILNSQQIHVSVQDCSNVHLARLTITAPGTSPNTDGIHITHSKYVQVRDCLIKTGDDCISIENGTHDLHVTKVVCGPGHGISIGSLGDGNSRAEVSRIYIDTVRLYGTTNGARIKTWQGGSGYAQDIVFQNMIMDAVQNPIIIDQNYCDSTKPCKSQNSAVEVRNVVFDNIRGTTISKDAIKLSCSMDLPCSGIALENIDLKLEGGKGGTESTCQNAKWRMSGNVIPVPCKGKI >SECCE3Rv1G0191830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:751656776:751677110:-1 gene:SECCE3Rv1G0191830 transcript:SECCE3Rv1G0191830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVREHYKEHGDIDVAIRNFPRRLIAERAILQRLKKYPGNYLQALMAIPRTLRLMYVHSYQSYLWNHAASMRAGMYGLSGVIEGDLVYKKECVGEGTVTDASKNNDDQTNASEMDIFAETLPEETMQSVKIADSEDLLKAVYTLEDVVLPLPGSETLFPGNEVARIYHEIANKDGISLTESVHGVKEFSITSMKGGYRRVLQRPIDCEWDLMTYTDDKIHLVETDLDVLSKAKSSEVNELLSTGASHDDSLDTSGATILAEIESIGSSDLLPELAVKLSFTLPTSSYATMAIRELMKTSTSVDCQNALNS >SECCE6Rv1G0420190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:675960710:675964287:-1 gene:SECCE6Rv1G0420190 transcript:SECCE6Rv1G0420190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHWASSEPGPALSPGRREKRQPIRPTSDTALVSLQVRAREVWFGVGKKKNSNPSGAPLPPPLETMRYTRHELEALSGAPSREAQALRWAEAYAALAAAGFSGDYDGLLASDEPLTRRGKKVSGGGKKRPEAAAHQLSEVDAWRNGDSRVHQATGEPFDQGEDVEYEDDSDDEYDGILKPAFAVDGEPDFESGEPLDGFEYLRRVRWEAKQIPKVKVAKIDLSAARKEQTPYMPEIPDIPKCSPDLCASKEWEDSFITYFSETRLAFLELDSSDGPSVSVAVKNFCKPDISFEPQIDPTLTMIRKMDAISRAATLRNYIDMIQSFDTLSRNDCLWLFALCVAVEPPLDAETCASLRSMLRKCSTILAAKSEMDDEVVMLNILVAISGVYFGQYEK >SECCE1Rv1G0024930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:335370495:335380987:1 gene:SECCE1Rv1G0024930 transcript:SECCE1Rv1G0024930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEAAVVEAASTAAAEAAEGGEDGRMEGWLYLIRSNRLGLQTSRKRYFVLEDSALRCFKAAPAPSSSSSKREDPVRSAVIDSCLRVTDNGRESVHRSVFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHVVACSHRRWQAFRLSRRSNRMHSIDWTILSSSHNDPMASDVIAPSAWTIFGCTNGLRLFTEAKDGGSRGKYWDDHPAIMAVGVVDANSEDIFQTLMSLGQSRSEWDFCLREGRVVEHLDGHSDIIHKKLRGDWLPWGMRKRDLLLRRYWRREDDGTYVILYHSVFHNRCSPEKGYIRACLKSGGYVISPVSQGRQSVVKHMLAIDWKFWKSYLLTSSAKYITIRMLGRVAALRELFRAKNGNCACMEFSSGELTRDMGLQQGGNERRKIEMQSANECGRLEGPVEGLQGGSNRHLGSTGSLVQLNDATDEFFDVPDESEYDQREAMWSSDESTHAADQRHAKLSSAAVFVRKLHDLAVQKRGYVDLQGAADADNAPCYYGHTLPKDSSCTMPSSWSMTDPTTFLIRGESYLLDRQKIKAENTLMQMVGADWIKSDKREDDLAGRPGGLVQKYAAQGGSKFFFIVNIQVPGSTTYSLALYYMMDTPLEKVPLLERFVNGDDTFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSLEEC >SECCE7Rv1G0458990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23842584:23843408:-1 gene:SECCE7Rv1G0458990 transcript:SECCE7Rv1G0458990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCWLLLHLLAFLLPAASATSCHADDLRALQDFAGNLNGGGVLLRVIWSGTWCCGWEGVSCDGTSGRVTALRLPRHGLAGPIPGASLAGLTQLVELNLANNKLIGTIPSWIGELDHLCHLDLSGNSLDGEVSKRLIHLKGLVTTGRSLGNRRTLQQQQPNIISGTNNKVRSGRTNVVSGNDNTVISGNNNTVAGSNNTITTGSDNTVTGSNHVVSGSKHIVTDNNNVVSGIDNNVSGSFHTVSGSRNTVSGSNNTVSGSNHVVSGSNKVVTGG >SECCE4Rv1G0224670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:82929152:82930435:-1 gene:SECCE4Rv1G0224670 transcript:SECCE4Rv1G0224670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHHAPGAGIALGSLSPPDVDSGAAADPPESCLLDSKPFFGERTNATTARYHTTDPDDGHRAMAIQATLFVARPPRVSYVLAWATGTHFTEEPLVLSAHGGLVLLTIAPGGGACAAPSLYLIPQPSGTRLVDDICAIGLLSHGGDGGYHVAALAMQVQGEFELYLFCSETRDWTVKKPVLSSQADEAADFGYYTPGKAIVLGGGGGAMAFVDVWYGIFFCNNVLDGGEHPELHYLRLPSADLPRPGTNYWPQPRDVAFDVSGDTIRIRFVEVVICPSSSSGWSVSTWTTTTTATSPWRQLDAWQKDSVLYDSELLVADGVGIDGLLQPEALELDAAPSFGHLLVDKPMLSLHENKVVCFLAKHHLADREVWAVAVDMVTKRLQGVNLLQGGRCTRLFYSTISGHLTMHDGD >SECCE3Rv1G0180600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:585406099:585407472:-1 gene:SECCE3Rv1G0180600 transcript:SECCE3Rv1G0180600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTVAKSPPALVPPAGPTPSGVLQLSSIDKTAAVRVSVDFIQVFPPSSDWGGDDKVATMRQGFARALVPYYPVAGRIAEPSPGDLVVDCTGEGVWFVEATASCSLADVNGLERPLLIPKVELIPRPPPEENLEDLVLMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGELARGFPAPSVAPVWDRETIPDPPKLPRGPPPSFTAFSFVTQVVEISPESILRIKDEFKAATGETCSTFDAVTAVVFKCRALAVELPDAAEVRLGFAASTRHLLQGVLPSVDGYYGNCVYPVGITRSSKAIREAALPEVVGVMREAKEALKVRFTDWMHGGAKDDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGQPGYVFTLNDDVNIVASVIYLKPPAPKHGIRLMLRCVEEPHAAVFADELAKYA >SECCE6Rv1G0433470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:759754817:759757590:1 gene:SECCE6Rv1G0433470 transcript:SECCE6Rv1G0433470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDEFPSWGSRGVDCFEKLEQIGEGTYGQVYMARETETNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPDRDEQGKPIDGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMKQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGSTRYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLTKIFELCGTPDELIWPGVTKMPWYNNFKPPRVLKRKVKDAFKHFDRHALDLLERMLTLDPSQRIPAKEALDAEYFWTDPLPCDPKSLPSYEASHEFQTKKKRQQQRQAEEAAKRQKINHPPPHSRLPPIQHPGQSHQIRPGHAPPVAGGPSHYAKPRGPGGPNRYPQGGNQGAGYSNPNRGGQGSGYGSAPYPQQGRGPPPFPAASGPRGSATSGYGVGGPNYPPGGPPYGTSGPGRGGPNYPQGGSRNQQQYGSWQ >SECCE4Rv1G0268970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:746052749:746053949:1 gene:SECCE4Rv1G0268970 transcript:SECCE4Rv1G0268970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVQTIEVPTDAELLQAQADLWRHSLYYLSSMALRCAVELEIPTAIHRLGGAVSLPDLMSALSLPSVKMPFLRRVMRVLVTSGVFATDNDSGSEAIYRLTPLSRILVHGVEADEHHSQKYFVLGVTSPHYTEAAMGLAGWFNKDHEPPVPSPFEDIFGVPLCDDRTPLLDKELDDVVTQGLAAHDNLGIATVMRECHDLFKGLDSLTDCGGGDGTTARAIIKAYPHIKCTVLDLPKVVDKAPADGVVTYVAGDLFHSVPSSQAVMLKLVLHFWSDEDCVKILVQCRKAIPSREEGGKIIIIEIVVGPSLGPIMFEAQLLMDMLMMVNSKGRQRDENDWCKLFMKAGFTDYKIVKKLGARCVIEVYP >SECCE2Rv1G0120450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:799059679:799072380:1 gene:SECCE2Rv1G0120450 transcript:SECCE2Rv1G0120450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAVEPKREQNLVKVGMDTWNQSFGAPSKTRLVHILKNLHTAEVKIYSDASREFIELLDGDSGGEVLRDYVQQSPRLVELMEAWRLHREKPGMAYILSLFATVLGNPGGKSRQHAFSKKCLDAVARTILEDKDKVGDVYRELNSGEFWRQNAALDLLAAIVRRGGGLAAEVAESFDFKMPVVTQLAGIQKKRGGRDGRNQKKGANFGSTRRSLVGFAMSFLEVGNPKLLRWVLQQRELYSGVLRGIGEDDAETVVYVLSTLRDNVLVEESLVPPGLRSVLFGSATLEQLSLISRNLDAGEAADLAHQVLVMVCTDPKNGLMPSSHLRGNEKRLLDLMKKLHSTENIHHKNLLLAIVSKRLSFCAAYMNEFPYNVEPRPSPSWFAAISLAADIISSAKTDSIFHSFLSHDLVSVDDEQVQVVLKCIVPHVCSRAVINRGLQHSDDLVKHGSLRLVFESLNLLCYVTEAINGLVSSVGSTSEFSSSTKGKIRMNSFPGLSCSTATDAFLVDKLNQGDQMRVKRWISLREYIQDEVRGAIPDPQVLLKLLSSASQKHQNCSQSRLERRAQVSEPPQKKQRCNAIDEDDDIIIGGIDVEWAKDVSEEQDQDLAGDHATTLCEIWGLDKQDLEMKDAEVVDSVFHSKLLDVLRLYLRVMPSSFDGSFDFFRVIPPNPLALSKDEQHSLLSLLVEYSGQHEGHWDPERVPESMHKHLQPLIDIMLHSPVKIIREQAYILVKAALASSGAFDHNFAEIDAWLVFLPGYEAKWCVRESLGVGVSNKLSHIVIPFLCDAVSLVGNNLYKYQEHMRTVISKSGQLEVYSPAFSPLVICVLQKCFRLLDSESGGMKVHEKSTISLYVCNTIYLILQSQVDAQLLLDLIGTVLNQRFDIFSPEELQSRIYIAEWRPFMNLLHISRSISDQQNSSLFTAVEHSSELHSNSLSSVIRKVQEMLSQQHTNLPDDVATAFLFSIICAAPQDIICSFPELLDVVKTHFPSHMQFLSSVLYLQHDYLAEIATCWPDIFFCSLRQIEGNLDVDEGKCQNHSISAELTALSTFLNVTPFCALLPSVLSLVFSGPAKTEEAHALLLNALVRLIRAKLYESTISELTFNLRVILFWSHRLLLSYTRKGSNVLEELCHVCSTLVDSIFERIQVLAANTADLNASAECFKDIVESVLHHPTIDLPRSLSNCPDLTDGSAEHVEEAFTSFSKENLHLVDGFVVNLLNKLYDLLLLADNDGQSLESLFASPKVMLEKILLLFKDKFEVCMDNGNFGLLLPNFYMVRAVKKFMSPVRLLELVNWMFSELESRGSNCSAAFAPAAFVCLSVADIAMELLYDYLQQTDQRSESCQLWGLEIRSSDIATIQRVYHFILHFTAKLNLESADVCLLKMLIRIHNAERSAGQNTEYTAFHMMLSTMATNTPLSILHHCMFPTSKVKAKVLWLLLEVSPIHMNFFGQMLMKVLEEDTSILQGMDYSDSSRAHDDSSILLLPAALSYMRHHSDGHMQCAEFLEPLANFYCEMLLGDNGFPCWKSFITRSVFEENFGDFQHESVQDMMDYFSDTLLGKSVTMLHYCFSLKEMPRKQRLEIVASLCPQSSGLLDSDVNDINPDPHKGHLKLTNELLAKISLIRLLLSPPRRLSSNETASDRESKRVSNAKLNFISILVRTLDQILRNFPRCDGLSHSDKQQRVIRSLEYTVLKNIIELSSEIQTHLNQLKSIPFLNQFIRSSLLHRFNDHVTLKAIRCVLVVLSEGKFPADEILELILGHSHFLSSITCSEVSEYSSAFNATGSLLQPAPGILKSVDSLFTKKSEFYICIAEKRKIEIIRLLRILYDIKSRQQSNGLLNESRELSFLLLSVYGATLSETDLEIFNLMNEIESHECKTIAEMDHLWGSAAVKYREELKLDSSISEIHKTENTESNSRRRALFRENIPIDSKLCVMTVLQFCYKRSSRTSVFSLEQLRQDQFDDILKTTSRSMDMVRIYDPMFILRFSIHTLLMGYIEPAEFSRVGLLAITLVCISSPDEELRKLGYESLNTFKKSLEASQKSKEKWQLQLLLTYLQNGISKPWQRIPSIIAIFAAEASLTLLDSSHTQFNTISKFLMNSASVDMQSIPLFPTLLKSSSVHFKADRLWMLRLLYAGSNLADDATICKNKSVLELALAFCSSAISDSESKHLILQVLKKCVKLPVLAQHLVKNCGLLSWISSVISTQDKGLDNNSSSTTVGLALEVLNALILSRFITEWLQETALEQLSEISKCLYLLVEDGKLLKGDITMLSSILNVIASTMRLSMKRKIYQPHFTLSLHGIFKLCQAIDGNSRSIELKPSMELGTDVVLMNGPLPIFSEMDKSRTVMVVSWVTSNIFWLCKQKSAAEMSCEEPLNNECLLSKILRWLVASVILGRISRISPEKRGGLATSTNSPGTLQSFLNHSSETVEMVDSHVADEALAAIILYLQGHVKKKSDTLPSVVTALSLLLLDRCSEQVLVDSRGQIETLCSKIHCPAESNPAWRWHYYQPWRDPALQHTATERMEVEQSCRSLLIMFSNALSAAGLPAGVPVLSVGDIEKSGLFQWERDSVVEQPHA >SECCE7Rv1G0479550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:254941143:254941980:1 gene:SECCE7Rv1G0479550 transcript:SECCE7Rv1G0479550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWSDEETSVLVDAWGPRHLGRNRGSLRMEDWDFVCNAVNAHRAAAGLDFKRNPAGCKRRISTLKAQYKEEVAKGGPTSGCRHFAHLRAFLADPSGAPPGIPAKTRAAFVKKEKEEEVEEASGCAGGSVGTRKVPAKRHFSSLRDILQRSGGAKMPATVKEEEVVGCGCELVEGPPAGVTKLVAEMTKLAEVYERVEMERLKFSKEMLKVKKEEMEMEDAKLEPKKVKAENREEEKSTGTEGQEL >SECCE4Rv1G0267850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:737732933:737734944:-1 gene:SECCE4Rv1G0267850 transcript:SECCE4Rv1G0267850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRDLRLAMLLLFSAAVLLSAVPAARAQQETDHEEEFSYSLDAENGPAHWGDIKEEWSACGKGNMQSPIDLASPRVSLVRGLGYLNHSYVPANATIINRGHDIMLQFEGDAGSVSIDGTPYFLRQLHWHSPTEHSVNGRRYDMELHIFHESAQGKAAVIGVFYQIGAHDDFLHKLEPYLEMIADRKDREEKMGMMDPRGARGKASVYYRYVGSLTTPPCAEGVIWTIVKRVRTVSRHQLELLREAVHDDMEKNARPRQEVNSRDISMFRPFEQNRH >SECCE5Rv1G0330000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:523987765:523990180:1 gene:SECCE5Rv1G0330000 transcript:SECCE5Rv1G0330000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGKGKEAKGKGKQAAGSSDDAGGAKGGKGKGKGGKGGDDLGTCTFVKARHVLCEKQGKINEAYKKLQEGWLDNGDKVPPAEFAKVAQEFSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTPVGATSAPFKSTHGYHFILCEGRKN >SECCE1Rv1G0001700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:5981890:5986056:-1 gene:SECCE1Rv1G0001700 transcript:SECCE1Rv1G0001700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFCDLKFSGNGIFVLTMASADEHNYLTEEPITELVEVLERVRIFPGVKGLVTTSEAGTFCAGLNYDNHAESEEQAENLAKRVAKVIRLLLELRVPTVAAVRGNATSLGLALALAHDHLVVWDQAVLGLPEVQRGRPLPDYVPALLRDKLSHARLRKLLMLNSQTCTGKELTDTWLSTDGADGNRQVVLDRAIGLLHDLQVGDGANFAKARQMLCAETCAAVGITHAELGSHKAAEPSGTLFRKYMADLVLRKKEEEEEQEEEEEEEEEEEEERRGKKHPWEYAKRHHSCAVGS >SECCE3Rv1G0183630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:652363508:652366733:-1 gene:SECCE3Rv1G0183630 transcript:SECCE3Rv1G0183630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Persulfide dioxygenase ETHE1 homolog, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G53580) UniProtKB/Swiss-Prot;Acc:Q9C8L4] MVPLRLYRHLIPQLAAAARARSPSCAPRPISPLPALAMAAYGTGACADSKLLFRQLFEKESSTYTYLLADVGDPEKPAVLIDPVDRTVDRDLNLIKELGLKLIYAMNTHVHADHVTGTGLIKTKLPGAKSVISKASEAKADQSVEHGDKIYFGKLFLEVRATPGHTAGCVTYVTGDSPDQPSPRMAFTGDALIIRACGRTDFQGGSSDQLYQSVHSQIFTLPKDTLLYPAHDYKGFTVTTVEEEVAYNARLSKDKETFKTIMENLNLSYPKMIDVAVPANMVCGFQDPPSKV >SECCEUnv1G0537840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:70250325:70253246:1 gene:SECCEUnv1G0537840 transcript:SECCEUnv1G0537840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRRPPEFDHMFTEEAWASMTQDTRRRIVDISCRVEEEPTQEERRMQQLIAGAGGPCNIFADAAALAEVLDFADRHHQEAEREDWEAVLQGRHYADEYADDEDDGKTMTKTTTAQTVDTRSPNRHIPRQTLSKDIQKSRAFLWTRYHQLHLLHRPSPARPGPMKYASCEFGGDDRCPHNPVPMLQFLDVMVELYTKSYCFVKFMRDNMVQLNNIEVYGMIAVRDHLDFSRNYIFHCSRENAQAVDPKGGYLCLRNPARGILASCCLIEIDIKAKAKAKVAAEDLTIVSGCLKATEQFDPWRLNHVDDMNGKITLETCVVPRGMEATIDLDFVEVPADGFLVRMRGNTVLSQVSYSFIDEHCRDTVGFIPSSGKHIQKFVAAVNLGDTLRIDFLEEGQDALSFVASKHGEEEQMYRFSNGALVSVRVVWSTILSVDDYEE >SECCE3Rv1G0203810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:885189886:885190956:-1 gene:SECCE3Rv1G0203810 transcript:SECCE3Rv1G0203810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLQGEEKQANCACKRSRPEPDRKHLYLVLDDWQDGFSIYKIDAEAADDDADLGQPPVLRLVSPAPAYPMQFAGLGSNILIVTDPYCGQAPTLVYDTKRAGLAVGPPLPPPLVGDFHTSVTTGEMLYALSFRQWDQQHAFEVMSRAPPSPRAMGWSWRSVPSPPPFEEHEWVTSYAVHPDEHTIFMSVLNNHNMKRRTFSFEIGRSEWSFHGERALPFQGQGYYDGTLDAWVGLREDGYVCACQVAPRSGAMRPEWKVVKEKMFHKVPERKQAAPYATLTYMGNARFCLVESVVRDEVEYEDAFGGCDGCMLHITRFGLRYSHKGELHTTSDCTTSSYPLSKNFTIFSPVAFWM >SECCE4Rv1G0250820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:596459107:596460151:1 gene:SECCE4Rv1G0250820 transcript:SECCE4Rv1G0250820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVRQPWLLLLIVLLPALATATVFDENYVPSWGADGYHLVDQGTETRLTMDRTSGAGFRSRSTYGSGFFHMRIKVPGGYTAGVVTAFYLASEAPHDGNDRDEVDFEFLGNVDGENITLQTNVFVNGVGNREQRLNLWFDPAADFHEYKILWNPYQLVILVDDVPIRVLRNLTGEVPEYEFPAKQMGVRASLWDGSDWATDGGRIKIDWGRAPFTAGFRGFDVDACANTSSTPCDSTDLWWNARRHRRLSVREEAAYEHVRRRYMKYDYCADKDRFQNGKVPVECSYLA >SECCE3Rv1G0177490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:466346505:466375714:-1 gene:SECCE3Rv1G0177490 transcript:SECCE3Rv1G0177490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCD1 [Source:Projected from Arabidopsis thaliana (AT1G49040) UniProtKB/TrEMBL;Acc:A0A178WQS8] MSSTSRIFEYFVVCGLGPEIRALDGLKGFHGAEEMYMPAFIDQFPHSGHALYPPPPPQLPTCVLPAGVRIYSSGLDANDVSTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDIIEAYQIPLNSFADKCICFVSHSPCFQVLRDALEEIFVLCFSPAGSSKPLWDIISHVVSNVPLPTPGKDCVLFAIDNCLLSAETPPKEWLPHADISFQPLVQCLDVDKLIQLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPIRWQHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSTVTMDGVVVVDLEYNRITTTEDIPPIPESEHSFLRGEILKLLQPNVVAIDYMKINLGSMGDHSLRTVTKSWGQDHDFQLRLIFLRFFAQIMSGYRNFIDNALPTGFNAQAFLKKRSRATNQPVESMSMIMQFIETQGFLDYLERCNNAEEFTNNLLDKLQDATGRGQSPLAIFPSHVADPEIITIADSEIEGSEPGNRYCYKSFPANARTEEQEEKRKSILALVGGASKQVPSSPAVRISGGPKAESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAITLASSRDDVSTIRDALEVSAEMYRKDPNNVQDYVQRHLLSLSVWEELRFWDGYFEYLMENCSNKSTNYVTLVTAQLIVMATHMAGLGLPDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRVGYWGVPIGKGQPPPSYSMASPRALDISDESEQPAEASVLGRSWVHSMFSRDRSLRASSFNRASDAKAGATAGKTDLAAAQKKTQTNMRILRGHTAAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKVWDPSLRGSELRATLKGHTRTVRAISSDRGKIVSGGDDQSVIVWDKQAFKLLEELKGHDAPVSSVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCQSAVLCMEYDDSTGILAAAGRDVVAHVWDIRSSKQMFKLQGHTKWIRSMRMTGETIITGSDDWTARVWSLTRGTCDAVLACHAGPILCVEYSPSDKGIITGSSDGFIRFWENEGGIKCVKNLTLHSASVLSISAGDHWLGIGAADNSMSLFHRPQERFGSFSNTGSKVAGWQLYRTPQKTSAVVRCIASDLDRKRICSGGRNGLLRLWDATTSI >SECCE1Rv1G0051290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:652664669:652665079:-1 gene:SECCE1Rv1G0051290 transcript:SECCE1Rv1G0051290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE5Rv1G0330630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:531581242:531584418:-1 gene:SECCE5Rv1G0330630 transcript:SECCE5Rv1G0330630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSAAAAGDRRPVLSRTILLLCACSFGLGMLFTDRFGAMPDLKSPVVAQRRRQEEELQIVSEDFVAKTKPSDDRDVMGEVAKTHEAIQYLDKSIATLQMELAARRSKHELLESADGVRQDRKKAFVVIGINTAFSSKKRRDSVRETWMPQGEKLKKLEEEKGVVIRFMIGHSPASNSALDQAIDVEDAIHHDFLRLDHVEGYHKLSAKTKTFFSTAVASWDADFYVKVDDDVHVNLGMLLTTLGRHKLKPRVYIGCMKSGPVLSDKSSKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISVNKPLLHKFANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGNACVASFDWRCSGVCNPVERLKDVHARCGEGDDAIWSASF >SECCE5Rv1G0335100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:570580177:570580884:-1 gene:SECCE5Rv1G0335100 transcript:SECCE5Rv1G0335100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARLLARLRGGEHGGRRACYGIAATCAWLLLFCVLAVALRSAWKAFAFASLSLVAFGAVDHFAPDTWCGGAPRDGAGASERGQFTAAASARRFGLGRAAIDALPMFAYGAEGGGSDDVDLECGGDSACSVCLEDLRAGEMVRRIPACMHVFHVGCIDMWLHSHRSCPLCRCDLSPPRKVVIKTPAVESGTPADDALPAVAATVAETEPPADDHDASPPVVTVETKPPADVLPTV >SECCE7Rv1G0503000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:703078476:703082242:1 gene:SECCE7Rv1G0503000 transcript:SECCE7Rv1G0503000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGPNRSGLPPVGECEWREELRQQQSQVEALRDRLVEVKVGMRRSEDDSGRELEHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRNQDGVGFVDRHGVPLADWSKAADSDDRTSAEGSGVHKNGDAVEGDGDVDDILKSIRVVTDVMESLVKRVIVAESETANEKEKVRIGLEEIRRKTIQVESMSVKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKNDFESLRTYVSTLVSVRETLLSSEKQFETMEKLFDRLVARTNQLETEKAQKEAEVQKVMEENVRLRAMVDKKDAQLQAMSEQCKFMALNRPN >SECCE2Rv1G0136970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:915859510:915861141:-1 gene:SECCE2Rv1G0136970 transcript:SECCE2Rv1G0136970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAWPAAAAAARAAVRTLGRLVPRTHTHSTAAATTTSVSSSSSSPAHTLEDYNRLLAALARDGDGESALRVLRRMRHGSPAACAPTAASYVSAMSALAKAGRAADATALFDDMLAHGVAPDRRAYSLLLHVYSTHLGLPAAGRSVLHWMSGLGVRPSAVDYADLIFSFCRAGQLPDALQLLDEMRDLGYPLPPHVYSPILQAHCDAADIPAAEALIAFMRASGGRSGCNPDVVIYNIYIHGLCRVGDFHAVQRVINDSVWNWWVPNAVTYSTYVAALCRSGYIEDAFRQLDIMVAKGLQLTVVGLNILLDYAAKDVDMWVAKEVLERCQELGFEVDVVTYNTVMDHFSKKMQWRLVLRLFTDLLKKPITPDVQTYNILISSLCRAGKFELAKFVFSCNGFVADTVTCNILIHQFYGAGKEGELGFLFSDVDAGKITPDTITYNTLVDCLFRSGRRTEAANLVRHIDGGYPAEPVAHLAFWLVRSGNVREALSLFDDMLVKGVTLDAMVFANVIKAFCRKGPGECTDMTQLCSVLDRMLGIG >SECCE7Rv1G0457240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14941151:14946393:1 gene:SECCE7Rv1G0457240 transcript:SECCE7Rv1G0457240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRLAAVAPTAVSASRLGRGRASLSAAARPEPGGQCCARRGLVLRCQSQSGAAALNKGQAADAAAGFTVVMKFGGSSVSSAARMREVADLILSFPEETPVVVLSAMGKTTNNLLLAGEKAVSCGAPKASEINELAVIKELHLRTIDELGLDTSIVSGFLDELEQLLKGVAMMKELTLRTRDYLVSFGECMSTRIFAAYLNKLGKKARQYDAFDLGFITTDDFTNADILEATYPAVAKRLHGDWIDDPAIPIVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYANAVPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGGIPVRVKNSYNRHAPGTVITKTRDMRKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDSVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVNDSEAKQCVQALHSAFFENGFLSEVEEADLAQNGVPVLVSSNGAINGN >SECCE2Rv1G0134160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900498901:900501015:-1 gene:SECCE2Rv1G0134160 transcript:SECCE2Rv1G0134160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFTGGLFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >SECCE7Rv1G0478490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:237636112:237639209:1 gene:SECCE7Rv1G0478490 transcript:SECCE7Rv1G0478490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGAFFATAASVPWRRSLLLLPTLALLWQLCRLLHRLWWRPRCLERELRSKGLRGTSYRFLTGDLREQGRRNKDAWSRPLPLRCHDIAPRVAPLLCDSVREHGKVSLSWYGPIPKVTIADPELAKSVLSDKSGHFEKPKFPALWKLLANGLLNHEGDKWVKHRRLLNPAFHLEKIKCMLPEFSACCEELVGRWTEAIGSSGGTRELDIWPELKNLSGDVISRTAFGSSYLEGMKIFQLQTEQAERLMTNIRRILIPGYLSLPTPNNKRMYQVNNEVESILRGLIANRTKAIKKGESTKDDLLGLLLESNMRHTDDNAQSSMGMTTEDVIEECKTFYFAGMETTSVLLTWTMIVLSMHPEWQDRAREEVLGLFGKNKPGYEGLNRLKTVTMILYEVLRLYPPSIHFSRKTCKEVLIGDKRYPAGMMIELSVLLMHHDPHIWGSDVHEFKPERFAHGISKASNNSGAFLPFGWGPRICIGQNFALTEAKMAICMILQSFDFVLAPSYTHAPYTVVTLHPMHGAQIRLGLI >SECCE6Rv1G0443600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:823609230:823610468:1 gene:SECCE6Rv1G0443600 transcript:SECCE6Rv1G0443600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTDIPDHLLAEIFLRLPTPQDLARASAACVPFRRLATQASFLRAFRRLHAPLHLAILNMDGFLPALAPHPSAPAARALALQADFSFSFLPSNRHWMKVKQDIRDGRVLLGRATGDYGNPVFRELVVCDPLHRQYVLLPPIPDDLAASVEHPLTNPFLAPLTEKETETVVSEETSFKVICLVRGKTKLVAFVFSSSIGQWQAAASKDWSDLALGSGDLAMMSLFHPYSLRRHYAYGCFYWDWLVIKMNLLLVLDTNKMEFSITVLPPGEWSTKGVAIVEAGEGGLGMFGLHGENEFASNLSYTILRNRGESPSQWMMKKTISLDPGYRYYIKSVTERCLLLMRTGSRLGSPLEKPLLEFFSMDIKTLQLQRVCAKQCKLTLSETTIYAYFFQTGMYTNYPPSLLPPRTV >SECCE5Rv1G0298470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11272084:11275417:1 gene:SECCE5Rv1G0298470 transcript:SECCE5Rv1G0298470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGRRRRRGNPATDTEARKKKKTTKRSLALAEDPRLMSAAFESEKAQPQPKLVVDRAYGDDAAESSSEESDCPPSPLLHRPYIPDELADRPDIRAAFKRAEAEYRADKSCRFVFTLDRHSSLSCLSKDRSLLHIREPAKDAVLLAADSIISLSSYLDDEPLNRCSGLWIQRDDKKNTAVVLTSAHLIRAKEYDEWKNEWTGEYHREAEVIVHLLDDTTAVASLLYLQEHYEFALYEVLVDKPVQLSTFNDNVHSGQDVFRLGRDGSLDLRITHGRVEYKIPIRHERCHYMYFSNDEHHFRDDGGPVIDLEGKVVGMVNNQISETFLPSSILHKCLDSWRKLKCIPRAHLGMTFASIKLLDPICIERMRRKHNIASGLIVEQVSKGSNAEKLGIHRGDIIERFNGEYISNTIELEKMLLDIGGDQFVQEKVLYAEIDVRIQIFRATKLCRRVRNLTVIVSDCGENIIEGTYPITDGL >SECCEUnv1G0530400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:12822678:12826229:1 gene:SECCEUnv1G0530400 transcript:SECCEUnv1G0530400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLSAVARVLEQPTAWGAACEMALLAGPLWAAALVGLLLGWAWRPRWAAGIVAPADPPQFASLDFWRAQLPARIRAPLDYLAGARQQQQQQEDDEASLQGSSEMANEELAVGKADLVNLWRLVEGNDGGPAWIKMMEKALPNMTYQAWRRDPQNGPPQYQSSTIFENATPDEVRDFFGDDEFRMSNKWDDMLISHQTLEECQTTGTMKVHWVRKFPFFCSDREYIIARRIWKLGSAYYCVTKGVPCSSIPRRSKPRRVDLYYSSWCIRAVESRRGNGGSAACEILLFHHEDMGIPYEIAKIGIRQGMWGCVKRIEPGLRAYQEARAAGEPPSPSALMARINTKVGDNFVRGLESNSDQDILEAEEKPVKNRMARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRNTM >SECCE2Rv1G0101870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:570532980:570534227:-1 gene:SECCE2Rv1G0101870 transcript:SECCE2Rv1G0101870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPATFVVVVALLAVALCGVVCRAQDMDNEWARYRGFFGGGGTLLPQSDVDLLEFPLNLEYLEAEFFCWSALGYGLDGIDVNLTGGGPPPIGGQTAALTPFVRDVATQFCYQEVGHLRAIKQNVRGFPRPQLDISATNIGKIVQQAMNTTLDPPFNPYENSLNFLIASYIIPYVGLTGYVGANPKLLTPQARRLVAGLLGVESAQDAVIRALLYERGLSRVASYGVGVAEVTSHISELRNELGRRGVKDEGLVVAPGEGPEGQTVGNIIAGDRFSLAYDRTPEEILGIVYGTGNPAQAGGFFPQGADGRIARGLLM >SECCE4Rv1G0284070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838161605:838164888:1 gene:SECCE4Rv1G0284070 transcript:SECCE4Rv1G0284070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLKLGDLLTEEYKLQTGVKEDVEYLKRELESMYAALGKVGDVPRDQLDKQVKLWANEVRDLSFIMEDIVDKFLVRTEGVEPAIKPHKLKKLMKKMGNLFSKSKTRHEISDEIKDIKVRIKEATDRRDRYRVNDVAVNPVGAITVDPRLLDLYKDQKELVRIDDSLNEITKMMSDGDSDVSKQLKILSIFGFGGLGKTTIAKAVYDKLKAEFDCCAFVPVGRNPSVKKLLNGILLEIAGQKYLELDERQLINKLRGLLENKRYLIVIDDIWDTKSWELVKTALVCNNCGSRIITTTRILEVATMASEVYKLQPLSLDLSKDLFHRRLSCAAIEWPNHLPAEVYDNFLHKCGGVPLAIITIASLLVGKPVELWSKVYTSIGFGDEDIENTRKILLFSYYDLPCRLKTCLLYLSIYPEDHLIEKDSLIWKWVAEGFIHEEPGVGLFEIGERYFNELANKSMIMPVEEPLYLGMEMHVGTITGCRVHDMMLDMICLLSKEEKFVTILDSDEQYTTSHCNARRLAVQHIVQPLASTSTLQARSLIAMVTVEMLPSLSCFEVLRVLALEGPYDSHHPSHLEHVGKLVHLRHLKLSSMDIGELPKEIGYLKFLLVLDLGKNSISELPESIGRLSQLKCLNICETDIEVPHWIGNLTSLEELSLGEVDDDNFVTELGKLTELRKLYIDGILKLSNDRAMNDWAESVAKVGKIQVIDISLVLGCRISSHEENPWERCALSPQLRFLHMAYDEPGLVARINPSLLPNLSHLKLRIFGPDLEVFGSFRELVSLKLGTSSVPHHDTMGGAGAFPKLRVFKTDATLGSFQEGDMPVLESLEFSVVAQSNDDGISFDFDFGSLGNLPLLKEVMVTLDYP >SECCE5Rv1G0329990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:523520253:523521186:-1 gene:SECCE5Rv1G0329990 transcript:SECCE5Rv1G0329990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSARAPVAALRPAASASSPRFLGDSGRVGLAKPTRRDVAVQAKGSWLPGLPSPAYLDGSLAGDNGFDPLALAEDPEDLRWFVQAELVNGRWAMLGVAGMLIPEVLTKAGLLNAPEWYDAGKETYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPHECGYPGSVFNPLNFAPTLENKEKELANGRLAMLAFLGFLVQHNVTGKGPFENLQQHLADPWHTTIIQTISGQ >SECCE1Rv1G0030950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:437402231:437405809:-1 gene:SECCE1Rv1G0030950 transcript:SECCE1Rv1G0030950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAAGRDQRVEGVRQYNRSKVPRLRWTPDLHRCFVHAIHTLGGQYRATPKRVLQLMGVAGLTISHVKSHLQMYRNMRGSDLDMMQGIQQMDQEHTFAGGGVEVWTDMQQVHHHSEYCDGPYCRCHSTKHTKGSLLHHPQLKRPSEMETAQEAGASPQKNLVRGQGICERDVTSGTYGLAAAGQAYYYYSRCMQTTTTTHEQGHHLPRRSRTWQRTPAAGGGGEQSARPRCTPAPRRGGGGGDLAPYREERSGELVHGHHGVAPASHGRPRAAAAERDDGEPSLSLTLELDSGRLGGSGVGQCRTDVSEQGSFVTSSSASLSGSCSERCRGGVSLDLSLSLYN >SECCE6Rv1G0389000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:144914671:144916299:-1 gene:SECCE6Rv1G0389000 transcript:SECCE6Rv1G0389000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVADRLSALSDDLLQHILSFTTEREAAASAILSRRWRPLWRGTSAVNLDIRPYMAADEGQGLHISPSPLDALFRDAVPALAAFPGRRGGTILKRLTLFLDLNDVDSSYDSVDDAEPVDDGRVAGLLADPAAAGLEEVLIGCEHGRMRTTTYGVPLASMACAATLRVLELESCNFEPPLSPSRAFPCLTELRLRHCFFKEGCLQAMVDAAPALTSLSLDRVAQQPPDSAKKPFEAMPAYCWVPFRLRCLTATTLELETYSVGAEEEELAHDGIELDMPSLRSFRYTGDSIKLSLASPAPDLSSVDLNATLRGEQSQWEPTSRMLVSFSNTRALKLHVNYMNDIIYGEEEHGGAILPTFPNLKLLELDEYKFYEFKSGSAALAMARLLRSCPAMSELRLNLNMTQWYRDDEPDTNDPARSPFAQSMDRFNRLASMTDSSRRAVSKVSELPATSLRKVTLQFNAKEADCFQVQLAKFLVENAMVLQEMHVDDGNTFWPEHLCNKLERWRADSFRRRNLTDTSGFRVHQLE >SECCE5Rv1G0371180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846020074:846020625:-1 gene:SECCE5Rv1G0371180 transcript:SECCE5Rv1G0371180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENANSSWFHAQAAAAAAAADLTLAFGPAGGNQGWEESREAVAPTTRVNGKDVRLFPCLFCNKTFLKSQALGGHQNAHRKDRLYSDPYMYSPYDEGPFRGTDANSGSGRSMSTSLLSHGGSRVSAPAGADARPEMWGSGAPRFAEHAQLLVEAAAGPEGEVEMLSTRASVARAGEELELELRL >SECCE2Rv1G0086350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:210503117:210504570:-1 gene:SECCE2Rv1G0086350 transcript:SECCE2Rv1G0086350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATECEVNKSRRFDRGMSRRTRRPVSLVACYEDRYVPPLARQLLHEAKLKRLLQCEDTKELQAPQQCEDAEQQLEIPQAPQECEGVEKKAPEQYQDEQEKKPQQYEDEEQEKKLHQHQDEELNIPKQYQDEEEETGQQQQDDDAPEQCLDEDQKTPEQYQDKELDEEEEEEQNIPEQYQDKEEEEEQNIPEQYQXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRRRRIKRHQSNTKMRKQYLGEEQKMAQEYQDEKAPEECHDEEQAPPEKCQDEEEKTGKQSQDEDEKASEQYQDEDEKASVQHQDEEQKAQQGGQDTKQKTLAQRLSVKKPITPPPVGDVPRLSLLELIREKQLGTGEAKACRDLGYGENAIADHRAAAAAAAGGTTLAMVIRRPDGGKKSPGIVRRCVKALNQMIKAKHGSKKSVPFKEV >SECCEUnv1G0564970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:388867248:388868717:-1 gene:SECCEUnv1G0564970 transcript:SECCEUnv1G0564970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSPKVSEIRCAQRAEGSAAMLAIGTANPANKVSQEEYPDYYFRVTKSEHLTDHKDTFKIICGLTGTENRFFYHTDELLNSHPVLLDDTSPSREARHDIVAKAAPELAAAAAKKAIATWGRPATDITHLIVSTNSDAGAPSADVRLVSLLGLRTDVCRTMLHLNGCFAGCSALRLAKDLAENNRGARVLVACVELAISGFCSPGEGDCLDTLITHALFGDGAGAVIVGADPMHPVENPLFEMVSVSQTLVPSTEHVLTLNLGSNGTHGKVYTKLPTLVADTMEPCLLKAFGPLEMDIKWNDLFWAVHPGSRGILDQLDKTLQLEPTKLAASRTVVQKFGNMFSATVIFVLEELLRRMEEEGERAEWGAMVGFGPGFTIETMVLHATGALKKK >SECCE1Rv1G0012280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:91086317:91086822:1 gene:SECCE1Rv1G0012280 transcript:SECCE1Rv1G0012280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCADCPHSAPLIWLTSKEVKNGNYGCEFVKCESKPEGQIVKKCTYFEWLDDYVKKIQFNGSPARELNLPSEPMNLVSESAALTIGDADLKGELKKMNKNLKQMIQLNKQANLISLGFYFYIVALGVGYVLVITH >SECCEUnv1G0528750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6005780:6018991:1 gene:SECCEUnv1G0528750 transcript:SECCEUnv1G0528750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYGAREANLRKESYLAKIRRSRRSSSNEAHKSASLGAGARDEQATTSLEDDGGGGMLHQHVAVKKGQEDAGNSVGKLYVAVGGDEEDGQSNLLWAARNLLAGGDKLVLLHVHQPAHRIMIGFRKIDSSQIQEKELRAYRLSEKEEMNTLLDQYLDFCISSLKTQAEAMVIESNSPTNGIVKLVDQNHITNLVMGTSSFSPKSKVPKSKVAAIVHLQAKPFCQIFYVCNETLACSREASKVSYQSEFPASFLGSTERQAHQRPTMPVSYTYPSPGSTTDVVENISGAWRRSVDMTQTSCSLISGQQSTGGSSSCFSLQDMNDTNAPPVHVSTVSSKEQRHSMVETGAQHDVFEQLHRVRNELERSKKEASERQQKAERNMFEASMMFTARENALRKEKKEVEERLAIEKTGLRKEHQQMRNDLHKANEKRAELEEMLLRNNFLMAELQQSQGELQREKDHIAKQVEQMCQTNSSSVFVFGPGSTTTTSAIPLTEFSYMEIKEATGNFDDSKKIGEGGCGSVYKGFLRHTTVAIKRLNREGTTGDKEFHDEVETLCKMRHPNLVTLIGVCREAKVLVFEFLSNGSLEDCLQRNHQREALSWRMCMRIAVEICTGLIFLHSNRPKGIAHGDLKPDNVLLDASFRCKLADFGISRPLNVTNTTITPYHRTNQLKGTMGYMDPAYIASGELTAQYDVYSFGVVLMRLLTNKSPLGLPHAVEVALRTNKLLDIVDTSAGEWPFEPTEGLARLALRCCRYERKERPDLQKEVWDVIQAMLNYPEDKCKPPTFFICPMTQEIMRDPYIAADGFTYEGESIKDWLAMGNKMSPVAYLSFAHHELTPNNALRLAIQEWQKRHQQ >SECCE4Rv1G0256390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:656509887:656512311:1 gene:SECCE4Rv1G0256390 transcript:SECCE4Rv1G0256390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRTQIGRINGRILSGRDTGALTRGYVKKLKIAVPDKPGFKAFVNATAHKVSGYCIDIFEAAAKNLPHALDYEFVVVDGSSYDELVRNVSLGIYDAVVGDITITAERALDVEFTMPYTESGVSLLVLNKNDSEPTIEWVFLKPLTMELWLALVGGFFFTGLVVWMIEWPRNPEYQGSNSRQCSTALYFSFSTLTFSHGQIIRSPLSKIVVVIWCFVVLVIVQSYTATLSSILTAKRLWPYVTDLDQLLSNGDYIGYQSGSFIYSVLRKQGFNVSRLKAYKNKEEYAKALRKGSKNGGVSAIVDEIPYITWFLSDPKYHNEFQMVNRIYKTPGLGFVFPRESPLVHNLSAAILDLTFGAEGSRIEAKWLGTATPLPSYGIPNTDVTPLTLRRFSGLFIITVCMSALMLLISIAKSVHAKYTKVRDSDMQSADGDGGSEGHGGSGPLQNSTGNGSMAEQPHHEARNEDPPGVNGIGESPGDVEPNGSVPGHAILIEMNTR >SECCE2Rv1G0073560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:69131792:69134312:-1 gene:SECCE2Rv1G0073560 transcript:SECCE2Rv1G0073560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFRAPWTRRLLSMESDSAPRHKVHEDRLSALSDDILISILGRVDLAMAARTSVLSKRWRNLPWLLPDLKLHVMDFLSAPCTGDAHTDQAMASLTRAASSFLAQPHREHTISTLCLKLYLMGNYSRDIGLLVSKAIDSEVLKDLELAILSEKEVRGSGNEVMVRQARDVDGFFSEYPSVLRCLTRLHLHNVRFAERDMNHLLFDCCKQLLHLRLDHCDTGDLSVWKINAPHSNLRVLEVYLSTLKRAEVLCLPKLERVRWQSWLFHEAPLCFGSVPSLKELSLVCDAALCHQDFSLSQVLDGATNIHTLTLNFRGYKIWILPERKQLCTAFNKLRKLFIHGIFMKFDLLWTLNLIEAAPSVETFDVEMFEHPCLEGDEARIRCFGPQRVEPSWKIPGFTSCHKWQLKEFQFGGFRPLLDHHLLFASTVMGRAPDLKTVLLTDGQYPCEGCETMIPIPPPVGGFFPRDRETQESIVKQLREIVQSSAQIIFRGGSTHPSRATWSCIY >SECCE1Rv1G0028000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:392199971:392200279:1 gene:SECCE1Rv1G0028000 transcript:SECCE1Rv1G0028000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAAATMDGKSSELARAVAEAEAREERLRRELEAALARVAVAEEAEERLCVQLGELEAEAMTQAMEYQQHVRALSERLALMDGLLRSSGLHSAVVQSGLH >SECCE1Rv1G0061730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717142952:717143770:-1 gene:SECCE1Rv1G0061730 transcript:SECCE1Rv1G0061730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRCAVRRLIGGQRPQAVYKAVVSPLVEEGRRGLIPSLVNGGRPASFSLSLRSMSSGGAGCNDFSGAAAAAHPPNGNPTRKHQLFYLIAELEKRHPYRRYTGKNMELLFHLFGHVDPNPSDPLWCRARLRERLNNCLLYGMPTAMATWMYMDWESWRSMFAFALGIGH >SECCE2Rv1G0087510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:227080168:227080957:-1 gene:SECCE2Rv1G0087510 transcript:SECCE2Rv1G0087510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFNQQQQQAPVTAYPPPQANYVVAAPPAAYYVPTAPPPPPGYPTNYDAAMGAPPPVQTKSRGDKAFLEGCCAAICCCCLLDMCF >SECCE6Rv1G0404990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:525458811:525459911:-1 gene:SECCE6Rv1G0404990 transcript:SECCE6Rv1G0404990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASKEMSRRCTTEKVTASRCSTHKEEGAHIFEIAGYSLKKDMGIDKFVRSVTFTVGGYDWSIRFYPEGTNESLEGCMGICIELMSSNAEVRTSFQLGLVKHETGLIGSMFSQNNKVFSSKTRNSREYHLFILRSSLEAKPVKYLQNDLLLIKCNITVIKESEVYETVGHSEIEVPPSDIMEHLAKLLDTKEEADITFSVGGETFQAHKILLAMRSPVFKAELFGPMKEKKMRCLTIRDMQPAVFKALLHFIYTDSLPDLDDLEGDDKCEMIRHLLVAADKYAMDRLKIMCQNILGKSLDVENVATTLALADQHNCDKLKDVCIEFIASSDKMDDVVATKGYANLKRSCPSVLIDALEKRSRPRI >SECCE7Rv1G0518720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:860813308:860814492:-1 gene:SECCE7Rv1G0518720 transcript:SECCE7Rv1G0518720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAAEMAAVEEEACIYAMQLSSTAVLPLTLKNAIELGMLEVLMGAGGKMLSPSEVAARLPSTTTNPDAPAMVDRILHLLASYKVVSCEVDEGTHARRYGPTAVCKWLTPNQDGISMAPLLLLTNDKVPMESLYHLKDAVLDGGLPFHKAHGMTMYEYTKTDARLNHVFNEAMKSYTTIVTGKLVDLYAGFQDVAVLVDVGGGVGATIRAVTTKYPHIKGINFDLAHVIAEAPQSPGVEHVAGDMFKNVPSGDAIVLKWILHNWTDQHCATLLRNCYDALPVHGKVIVVEGILPVKPEATSRGQQASLSDMIMLTHTAGGKERNLREFEELAKAAGFTGVKTAYIYSNTWVIEFTK >SECCE6Rv1G0420110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:675078873:675079270:-1 gene:SECCE6Rv1G0420110 transcript:SECCE6Rv1G0420110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKVFPSLVLLVLVCGQLVVDGHAQPYIGGGRIRGGGSAAGLQPPTYTATHAHSSTIGEYDGAGRPSAAEEEKAFVVNSLPAHDRPLPVPPSGH >SECCE1Rv1G0005950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28662645:28664036:1 gene:SECCE1Rv1G0005950 transcript:SECCE1Rv1G0005950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLLTGLLLAHAVAYLVWAAAVRRRQSRCYLLDYVCHKPSDDRKVTTEMAGALIERNPRLGLPEYRFLLRVIVGSGIGEETYSPRNILDRREDAPTHQDAVDEMDAFIDDTIAELFAKSSGLIKPQDVDVLVVNVSMFSPAPSIASRIVRRYGMREDVAAYNLSGMGCSAGLVSLDLARNALRTRRKATALVVSTESIAPNWYPGTDKSMMLGNMLFRCGGSAVLLSNDPALRGRAKMELRCLVRSNIAANDEAHACALQREDADGRVGISLSKALPKAAVRAFTANLRTLAPRILPVSELARFAAGLMLRKLFSSRKQGRKTAEGPKINFKSGVDHFCLHPGGTAVIEAVKRSLGLDDGDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLRRGDRVLMVTFGSGFKCNSCMWEVTGDMADKGAWADCVDNYPPANTANPYMDKFGWLNDVEGDTVML >SECCE1Rv1G0025710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:358605322:358606993:-1 gene:SECCE1Rv1G0025710 transcript:SECCE1Rv1G0025710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRHMLLQARQRWPQPPPRLSELLHIFRSLSILPSGASATPPCRSPARIQLPQTLPCSDANPLGAGFHIDVVDDDLWPASFGFSSDPTPGDQCLDTFQEHGEEHMHDSDDEIDDMRHRKQLFYKLDRGSKEFEEYNLPLRRRWKRDKPNAKNTADCEKVEPDKPACLKVPKLKAEPAVHKDDIVEVKRDRVPTFNQMTDPYHHPFCLDIHVSKGSVRACFVHRVTSRVVSVAHSISKDMKFDLGSRKGIKACVAVGALLAKRAIEDDIHNAIYTPRKGDKIEGKIEVVLRGIIENGVDVKVKLKQRRKLTKNVPVVQQGGDSR >SECCE5Rv1G0368720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:830548293:830549702:-1 gene:SECCE5Rv1G0368720 transcript:SECCE5Rv1G0368720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVRILSRRMVRPEPSTSRPPERQPETIHLTPWDLRMLAVDYIQKGVLLPKPPAAATQGHGRDVAVVHRLATSFASALGRFYHLAGRLAINEDENGAHQTISLRCSDEGAEFVHAVAPGVTTADVTAPLCIPRVVWSFFPLNGVLGAEATTASCPVLAAQVTELDDGVFVAMSLNHGVADGTTFWHLFNTWSEISRRSVDGGCQFEISSPLPGFERWFPDGCAVPIPVPTGKLDDMVGQRRRAYGQRPPMQECFVRFSGESVKKLVSKANAEMSGSGATISSLQAVLAHLWRAVCRAWRLAPEQDTRYVLLVGCRGRVDGVPAAYSGSAVSHVAADSTAGEILEKGLGRTAWLLNRAVASFDGARERGKLAAWAREPSFALPPALARGAGAPALLMTGSSPRFDVYGNDFGWGAPVAVRSGAGNKIGRKVTVYEGGCGAGSMALEVCLAPDALASLVADPEFMSATMP >SECCEUnv1G0535100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:52034365:52041269:1 gene:SECCEUnv1G0535100 transcript:SECCEUnv1G0535100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFLPPAPAVHHPPRPLNPPPLAFATHTGFSFLFPPASRWPSLQRASSAASGDGAGSSEAPADSSLDADLLRRVSGAEDADRALDIVAESLGGGAGSGSKASLDASDCNAIVAAALDRGNVDLALSVFDAMRSGLARVGRWSWARPDARTYALLVQRLAAALRVSDAIRIVDYVSRAGVSSAEEVPFGITVRCPTCMVAIAVAQPQHGTQVVSCSKCRYQYELFSGDVTSIESEEVSMDISAFEKALQFINVMKDDLPAAVHSIVIRTPSGTARTHRFATKTVELPAQGGERVTISLAAPANAYRQMGPLKIAARSKGFSPGEPMCLTNHVSGEISKLLRVPSKNAGPLFLSPYLVVGAVALLASGDAVSAFIDPSLPRLITATAIASAAVGTTLNQVILPETRKLPQKAVDIVAVQQKLLSQYDMLQSRLKDLKRLVEKEVWMLARMCQLENKILAVGEPSYRTRRGRVKRVRKSLETTLLAKIELMESYAKLCSMIEIEVEMDSDVIVAEAATGAERISEQIEQLMEIDSLEEQWRIQAEANDEAERLLGPDSSEAFSAERAGHSDL >SECCE3Rv1G0158150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85798157:85798933:-1 gene:SECCE3Rv1G0158150 transcript:SECCE3Rv1G0158150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGDMFGPLPDDVLSHVLSFLPSREAVQTCCLARRWRHRWRYAPAIRVRGEGKKFLRFVDKLLFCREVVSAPPLHSFEIDAKLEIRLACSYCKQHDCDCDFDDYRECSKVNPRVDEWIWHGLRECRARSLRARFHETRWKPRRARPFASPHLTRIHLHAVYLKGGQLNFSGCPALLRLELVLCSLYGDALVSPSLERLAIVHCHTDIDEDPEEPDERFHMSLSTPRLGFLEISDNYDKKQFLEMAPWLTEESVSYY >SECCE2Rv1G0124850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:834996940:835001289:1 gene:SECCE2Rv1G0124850 transcript:SECCE2Rv1G0124850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLALNAALLVVGKALAPVADGLLEAWAASNKLGPNIEALKMELLLVKATLENAGDKQLDGRQALEDLLQKMRDFAHNAEDVLDELDYFRIHDELYGTCDAANEDVRSCGHNLLLNARHTAKAVGELFSCTSAATSGDHVEDATQRVLCCAPPCGRHRARGNSSSVPDTNLAREKISSRMSKLGKFFPCSSIPNVHDDDNSGNSVLSGVHPRKAPQNNPAKETTNLRFHRVDVSNRMKQIVEQLRPMRQEVTTILRDCRLRIAAGPVHNRPTTTSESLEPKLHGRDHMLNSIIHDITKGKYCGKDLTVLPLVGPGGIGKTTLTQYIHNAEEVRNHFEVVVWTCVSLDFNQNKLLEEIKGKIPKVEGEKEGEVGKVIEQRLKSKRLLLILDDMWKCGDREDWERWLLPFQKSQKKGSVILVTTRFQALAETVKTTGHYIKLGGLEPEEFRKLFIAYVFGDEDSTEDHTYFLETGDKIMKKLKGSPLAAKTVGRLLRDHLDLHQWKMVLESKEWETQTGNHDIMPALKLSYDYLPFHIQQCFSYCALFPEDYKFRSKQLIHFWIGLDILHSSDQTRTMEDIGLSNLNYLVTHGFFRKEETNGEPYYIIHDLLHDLALKVASHECLNLNFPNVRSVEIHPRTRHLSVIINIVDYNKAMASEKIETELRKLKTTFNVEKLQTLMVFGEMDESIASIFGDFLREANALRVLFLSKMCIPVESMLHNFSTFVHLRYLSLGTALEMYLPDTISRFYHLKILDLEEWHGYHDVPRDMCNLAKLCHIPTQHDEIHSCIYNVGKLKLLQELKAFKVNKKNEGFEQKQLEDLVELRELGIYNLEKIRTQEEAAKAKLINKNYLRALTLSWDSEQTNAEPENEGLVLESLQPHRNLQELYIRGNKGPSCPTWLGDNLDVEALKSLHLFRVSWDVLPSFGKMWDLRELTLDHISPIKEFGLEQCFCKLVKKITLTSLENFEKWVPQPTHFFPHLQVLIITDCPKLSELPFSSHIVYPLKQDWNIDWFPKLQELKIENCPEVLLVPPIPWTESLCSVNISDVGSKLLDKLVYSKSSSRVALRVEAKDGLHNLDQLLLFSQLTELQEFTIKNCPPLELKYFLMLTSLKKLWAWSSNLGVVASKVQSGVEWQHPIEHISIGWSGPSGKELTQFLSHLPKLSKLEVYRCENITQFAVGVDLQQTTAPVSSSTSSDDGLLLLPAHLSNSLQALAINSSCLVIHSLEALQALTTLEINECSFRHPFPSSLLDLTLSNLTSLTRLKIYGCREDLRCEGLLPLLTRGHLSTLEVHQTPNFFGAWDPMWGMQDEQDKATESKLQTLYTDDMEGFLGAPALCSLLSSSLTELCFGGNHETARFTEEQEEAFHHLISLQDLRFWICDKLEYLPAGLNKLTNLKRLEIWRCPALRSLPKDGLPSSLQELVVDDCGNELTQQCRRLIGTIPKITL >SECCE7Rv1G0521490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874162600:874165981:-1 gene:SECCE7Rv1G0521490 transcript:SECCE7Rv1G0521490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVRCAECLQSFEHLVEKQVLVVSCASLAWYYVTSLPSSSVPHRRESISPACFSRRLLPQSSVGDSSAMGGAAAASGAARWIQRLSAARISTEALERGQGRVIDASLTLIRERAKLKGELLRAMGGVKASATLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMHVISESVKTVMDEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNTYSHASSFARIMEGGYARRLLQVGLRSITKEGREQGKRFGVEQYEMRTFSRDREKLENLKLGEGVKGVYVSVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILQNLQGDVVAGDVVEFNPQRDTVDGMTAMVAAKLVRELSAKISK >SECCE4Rv1G0255000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:643219154:643223148:-1 gene:SECCE4Rv1G0255000 transcript:SECCE4Rv1G0255000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MAASALSVSAAASQSLRNREILDAVGTTAAALSLAGSSFIVLCYLLFRELRKFSFKLVYFLAVADMLCSLFTIMGGPANAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGFIFHLYVWGTSLVTTVLRSIGSDYGRPGTWCWIQQGSTGKILHLITFYLPLWGAILYNGFTYYQVNRMLNNATRMAVGMSDRSSQSDVRADKKAFNRWGYYPLILIGSWAFATINRLYDFANPGHKIFWLSILDIGFAGLMGLFNSIAYGLNSSVRRAIAERIDMYLPERIKRSLPTLSRLRSQQENELTSLIVESNNRFNNASNT >SECCE6Rv1G0444280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827428500:827432864:1 gene:SECCE6Rv1G0444280 transcript:SECCE6Rv1G0444280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAHLVFHNKEIDGTGMKRLISRLIDHFGMGYTSHILDQLKTLGFHQATTTSISLGIEDLLTIPSKGWLVQDAEQQSFLLEKHYYYGAVHAVEKLRQSVEIWYATSEYLKQEMNSNFQITDPSNPVYLMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTADAGYLTRRLVEVVQHIIVRRRDCGTIRGISVSPQNGMTEKLFVQTLIGRVLADDIYIGSRCIAARNQDIGIGLVNRFITAFRAQPFRAQPIYIRTPFTCRSTSWICQLCYGRSPTHSDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTADLVRSPSNGKIKFNENLVHPTRTRHGQPAFLCYIDLHVTIQSQDILYSVNIPSKSLILVQNDQYVKSEQVIAEIRAGTSTLHFKERVQKHIYSESDGEMHWSTDVYHAPEYQYGNLRRLPKTSHLWILSVSMCRSSIASFSLHKDQDQMNTYGKKDREILYYSTSDRIMSNGHWNFIYPSIFQDNSDLLAKKRRNRFVIPLQYHQEQEKELISCFGISIQIPLMGVLRRNTIFAYFDDPRYRKDKKGSGIVKFRYRTLEEEYRTRAEDSEEEYETLEDEYRTREDEYEYETLEESKYGILEDEYEYETLEDEYGSPENEYGNPEDEYGTLEEDSEDEYGSPGESAEEKYGTLEEDSEEDSEDEYESPEEDSILKKEGLIEHRGTKEFSLKYQKEVDRFFFILQELHILPRSSSLKILDNNIIGVDTQLTKNTRSRLGGLVRVKRKKSHTELKIFSGDIHFPEEADKILGGCLIPPERQKKDSKKSKKRKNWVYVQRKKILKSKEKYFVSVRPTVAYEMDEGRNLATLFPQDLLQEENNLQIRLVNFISHENSKLTQRIYHTNSQFVRTCLVVNWEQEEKEKAGASLVEVRANDLIRDFLRIELVKSTISYTRKRYDRTSAGPISHNRLDRANINSFYSKAKIESLSQHPEAIGTLLNRNKEYHSLMILSASNCSRIGLFKNSKHPNTIKEWNPRIPIREIFGPLGAIVASISHFSSSYYLLTHNKILLKKYLFVDNLKKTFQVLQELKYSLIDENKRISNFDSNIMLDPFLLNCHFVHHDSWEETLAIIHLGQFICENVCLFKSHIKKSGQIFIVNMNSFVIRAAKPYLDTTGATVNGHYGEILYKGDRLVTFIYEKSRSSDITQGLPKVEQIFEARSIDSLSPNLERRIEDWNERIPRILGVPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVQIHNRHIEIIIRQVTSKVRVSEDGMSNVFSPWELIGLLRAERAGRALDESIYYRAILLGITRASLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGIIPVGTGFQKFVHRSPQDKNLYFEIKKKNLFASEMRDFLFLHTELVSSDSDVTNNFYET >SECCEUnv1G0554560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:287017756:287019291:1 gene:SECCEUnv1G0554560 transcript:SECCEUnv1G0554560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFEGYSEKQKSRQQYFVYPLLFQEYIYAFAHDYGLNGSEPVEIVSWNNKKFSSLLVKRLIIRMYQQNFLDNSVNHPNQDRLLDYKIFFYSEFYSQILSEGFAIVVEIPFSLRELSCPKEKEIPKFQNLRSIHSIFPFLEDKFLHLDYLSHIEIPYPIHLEILVQPLQYRIQDVPSLHLLRFFLNYYSNWNSFITSMKSILFFQKENKRLVKFLYNSYVSEYEFFLLFLRKQSSCLPLAYSGTFLERIHFSRKMEHFGIMYPGFSRKTLWFFMDPLIHYVRYQGKAILASKGSFFLKKKWKCYLINFWQYYFFFWTQPRRIHINQLANSCFDFMGYLSSVPKSPLLVRNQMLENSFLIDTRMKKFDTIVPATLLIGYLSKAQFCTGSGHPISKPIWTDLSDWDILDRFGRICRNLFHYHSGSSKKRTLYRLKYILRLSCARTLARKHKSTVRTFMQRLGSAFLEEFFTEEEQVFSLMFTKTTLFSFSGSHTERIWYLDIIGINDLVNPLN >SECCE2Rv1G0105400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:619981433:619985534:-1 gene:SECCE2Rv1G0105400 transcript:SECCE2Rv1G0105400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATPVRSPHTSTADLLTWSATGADASAASPAAPSRPSLKPAGGITPAMFGAPVSEHDAEDLSNSERRLMSGSKMKEMTGSGIFAEKNENSDSESSNPANRTSVRMYQQTVTGVSQISFSADGSVSPKKPSSIPEVAKQRELSGTFETDAEAKINKQLSEAKNKELSGSNIFGPPPETPARPLAARNMELQGNVDFALPQRRSVHTSVKVSNPAGGPSNITFSEDPVTKTAKKIHNQKFQELTGNNIFNEDAPPGSADKSLSSAKLKEMSGNDIFSDGKASSRDYLGGVRKPPGGESSIALI >SECCE1Rv1G0015050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:119536634:119541392:-1 gene:SECCE1Rv1G0015050 transcript:SECCE1Rv1G0015050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPNCRKIEKGNWLYANGSRPSHDINMDEWAHEEDLYDVSYSEMPFRFHWCPFGRLAQLPSFFEEGESPPPVTFHDFMGQHVFPENLSVSAAPGAHPCPYVAYLHPLPSLASSSSSHVPERTMDGSAYHDHWNHLAGPSDGRPLQTVQPTDFHHNHWAHLPHSYVQSNGNNGVTEQPGVPFGTMRAARVDGDSQRRGSVVSPSYFSNGSGSRSRAPNVPPLVPQFMRAHGNINEQYTQSSSSSLFAGAHRSGGMRPAPPPPQPENPTFCLFPPGSSGHSSMDTDEAGGSRFYAWERDRFAPYPLMPVDCETSWWSSQQSHGASESTPAPAPRRLFGQWIGLGRSSPENRSPEGSSYRQMHSPRM >SECCE2Rv1G0107760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:654297386:654299485:-1 gene:SECCE2Rv1G0107760 transcript:SECCE2Rv1G0107760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVVSAAALPAAPSPARYTSRRSALRDVPQLHAALLKSGELTTSPDSFHSFLEATALPSPATTAAHLSYAIRLLRLGPHPPLSARSYNILIRAFFRAGRPEDALHLFVEMLDAAIAANVCPDQHTIANTVKSCARIYALATGRSVQAYAVKLGFMADQFVLNSLIHMYASFGDIMAAKVLFNTVEEKGVVTWNAMIAGYFKNGDWKEVVQMFKGMLEVQAPFDEVTLVSVATACGKLGDSKLGERIGVYAEENGMVRNRNLATALVDMYAKCGELDKARRLFDRMHSRDVVAWSAMISGYTQADWCREALAIFNEMQATEVNPNDVTMVSVLSACAVLGALETGKWVHSYIRRKALPLTVVLGTALVDFYAKCGCIEDAVEAFESMPVRNSWTWTALIKGMASNGRGREALELFFSMREANIEPTDVTFIGVLLACSHSCLVEEGRRHFDSMTQDYGIHPRIEHYGCMVDLLGRAGLIDEAHQFIRNMPIEPNAVVWRALLSACTVHKNVEIGEEALKQITPLDSNHSGNYILLSNTYASVGQWKDAAMIRKEMNERGIKKIPGCSLIELDGTIFEFFAEDSEHPQSREIYEKVDEMIENIRMAGYVPNTADARLDVDESEKQVSVSHHSEKLAIAFGLMKSRPGATIRLSKNLRVCVDCHSATKLISKVYSREIVVRDRNRFHHFKDGLCSCNDYW >SECCE3Rv1G0204670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:896154180:896164392:1 gene:SECCE3Rv1G0204670 transcript:SECCE3Rv1G0204670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRRGTGRVRRGGGGAAAARPPRGERAADVQLIELSDDDVKSQSARQTEPPKGEIAEGRAAEDFKALPCDSTKEASTKNLERLRKRTAASGAATSELHEDEIKIADVMLSNHEGGQMLPPCRSSKRLCGQQIGKQDSVGRESEDDVFADSEDGGQMLHPCRRSKRLQRKLAAKQELSSRESQHDVFLNSEDESRMLVQQRRPNRLRKRFISEYYSDELAEDKHTSRSSSDYDYDHLPPQRRSKRLRHRMQTRYDAYDDDSGSDSMDNHRKAIPCRRMSKRLQEKQKADNVSDESRTEASLYMLSVSSSTGSDDELLRSTVKPCKSTSSGPICSICKSGAGSCLLIQCQNSSCSRSFHTFCLSPPMQDTRGILVCPLCKFDKASLPKGMEVYASKKIQRLVGCRRVILQESDFQYQILVKWHLLSHHHDCWVPLDWLLVFDRLRAQSYIRKNTLPKEVYTDDQRKPEWFEVDRAIACRRKFDSSLCDVLASSEDNEDFEGYEFLVKWKGLDYCDATWESYSTEGVQSAVSMLVKRHQNTSRRVDCVSPMNIEGMVSENVHNDALYDYQLQGLKWMFDNFKSRRSVILADEMGLGKTVQVVSFLSHIIKGSFTTSPALVLAPKSILLQWKKEFDRWAHDLNIVVYQGDKDSRKCIQAHEMYSSEGKTLFDALVTSYEIIQIDKAVLQKIKWSTIVIDEAHRLKKLDCNLASCLKKYSSEFRLLLTGTPLQNNILELFSLLHYIDPDEFSDPKADALFSPIESELGLTIDEKVARIHDILKPRMLRRMKSDVLKDSMPTKKWVEVPCALTDSQRDLYINILEKNYSKLNSAIQYGRKLSLNNVLMELRKCCNHPYLFPGLEVKQHEGEDVFQSLVSASGKLQLLHKLLPKLKERGNRVLIFSQMTKMLDILEDFLSFLGYKYARIDGQTALSARQESIKEYNNTESETFIFLMSTRAGGLGIDLPGANRVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCSVEEKILQKSKQKLAIENMLMNSSNSKKPSVDELQSILLHGAKTIVDKKKINAVSIHYDDEAIESLLKLDPSSGEMCTSDNNGYLGSIVSFAHGAEDVVVPPSPNVDDLKVFKPLTPKVDLGRGRRQRNVVKYFEEMDHEDSDDMYAPEPSSESSSSSSDDETEQEIPEVASARTEAAVKTQD >SECCE2Rv1G0090430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:276474273:276475148:1 gene:SECCE2Rv1G0090430 transcript:SECCE2Rv1G0090430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPQILLSPRPHLHPGTLHPHLAASRHPFSPAHAFRTPPRLHASGHRRRGRLAASGPGPSPSPDQYPSEPDDGLVELPLFPLPLVLFPDATHALHIFEFRYRIMMHTVLDTDLRFGVVFAGSDGASDVGCVGEVVKHERLADDRFFLICKGQERFRVSRIVRNKPYLVAAVQWLEDRPPAETPAPGEDAEALAVEVEALMRDVIRIANRLNGKPEKEVGDLRRGLFPTPFSFYVGNTFEGAPREQQALLELEDTAARLRRERDTLRNTLNYLTAASAVKDVFPSSPSSG >SECCE2Rv1G0096690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:442886557:442891365:-1 gene:SECCE2Rv1G0096690 transcript:SECCE2Rv1G0096690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MATTTLPRSHIRLHLPLHTTNPSYPRCCRLLPSSATSSRLQNSATTTTTHYPIPPPAPSPSLLAAEEASLVPRRTHRFPGSVAPPRIQDSWEDLDVPADDDVLRRALEVRRAVATEALVAALSGGKAGGLTYVSNLTSRMGAFVDRIVVGAAAMRRDRPELAHQSFNARARTYIQESGVVELVKWFKHNSLTYPQIAKVVCSCSGDLEKVRRMLKWLRSIYVKGEFLGRVLAKGESLMSRSFEELEEITGYLECRGVRRDWIGHVVSRCPQLLDLSLDELETRVRFYTDMGMNENDFGTMVYDYPKVLGFFSLEEMNSKVQYLKEFGLGTEELGKMLAYKPQLMACSIEERWKPLVKYLYHLNITRDGMKRMLVVQPTIFCLDLETVIAPKVRFLQDIGVRNDAVGNVLVKFPPVLTYSLYRKLRPVVIFLRTKAGVTEDDIGKVIALDPQLMGCSIAHKLEVSVKYFRSLGIYHFVLGQMVADFPTLLRYNVDVLRPKYQYLRRVMVRPLKDLIEFPRFFSYSLEHRIEPRHKILVANRINMKLRYMLPGSDEEFAQRVQEAVERRARFEAGETSGTPETPSSNGDGAAAAGAAGVACQEMEAD >SECCE4Rv1G0225470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:94329991:94339379:1 gene:SECCE4Rv1G0225470 transcript:SECCE4Rv1G0225470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDVHEPPNGMSLNSSPSQSIINSEKVNPGQIPELTWEHKLSHVRYDLPSFGLTWREIRQMAGLGLRLGRHILEETSQGRTAIIDPMKKRTAKSGQGVPLGGIGAGSIGRSCKGEFQRWQLFPGACEDKPVMANQFSAFISRKDGAKYSTVLHPGKPDLPKGTNVSGIGSWDWNLNGQKSTYHALYPRAWTVYDGEPDPDLKIVCRQISPIIPHNYQQSSYPAAVFTFTVTNSGTTAADVTLLFTWANSVGGKSELTGYHSNSSMTEKDGVHGILLHHRTADGLPPVTFAIAAQEKEGVHISECPYFVMSGSSDEFTAKDMWNSVKEHGSFDLLDPVNSSTSSKPGTSIGAAIAASVKLAPQATQNVSFSLAWASPEVKFCSGKTYHRRYTKFYGTDVDAAASLARDAILDHSSWERQIEDWQHPILQDKRFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTAIGGKKFSLDMSNGEIDDDNEMTPQTNTATDILHQMASVLERIHASLASNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYSSFSLIMLFPKLQLSVQRDFAAAVMMHDPEKLKLLHDGKLAARKVLGAVPHDLGLYDPWFKVNAYTLHNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDKDKDGMIENEDFPDQTYDVWSMAGVSAYCGGLWVAALQAASALAREVGDKASEKLFWNKYEKAKSVYDKKLWNGSYFNYDDAGTKASTSIHADQLAGQWYAKACGLSSIVDKDKSQSALEKIYTFNVMKFKDGNRGAMNGMWPDGTLDMSTMQSREIWPGVTYALAASMIQEGMVEEGFKTAEGVYHAAWSSEGLGYAFQTPESWNNDDEYRSLCYMRPLAIWAIQWALSNPKLHKEPQTDITQDSFPKNQFSYARIAKLLQLPEDESSKSVPRVIYEIVRNRFTS >SECCEUnv1G0533140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:26957661:26962282:1 gene:SECCEUnv1G0533140 transcript:SECCEUnv1G0533140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEEKCSPKEICDKYHVIHDEVYKWFDIKFDKFGRTSAPEQTEVCQAIFHKLMENKWLTENTMQQLYCDTCERFLADRLVEGKCPTEGCNYEAARGDQCENCSKLLNPTELIDPKCKVCKNSPRIRDTDHLFLELPLLSDKLVNYINNTSVAGMWSQNAIQATNAWLKEGLKQRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITASYTPDWEKWWKDPDNVELFQFMGKDNVPFHTVMFPSTLLGTGENWTMMKTISVTEYLNYEAGKFSKSHGIGVFGNDAKVTNIPSEVWRYYLLMNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPAGAGYDSIIPDAPNAESHTLTNTFAEKTNKWVEQYLEAMEKVKLKQGLKSAMAISSDGNAYLQESQFWRLYKEDPAACAIVMKTSVGVVYLLACLLEPFMPSFSREVLRQLNMSPDEDLSFCDDKGETAKAKRPWDFVSAGHKIGKPVPLFKELKDEEVEAFRTKFAGSQAERISKAQADADAKKVAEKLKGTKLSGTCELLTICFFV >SECCE2Rv1G0079280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:116979568:116980689:1 gene:SECCE2Rv1G0079280 transcript:SECCE2Rv1G0079280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLQEGDEQRGTRMPKRGRERLYLVFDDWCHGYSIRTVNLLQHTFGAAHPAPVHFGQPFCRIMAPLVSCFTSAFGSKILAVFSRDPEAESESYFPMLDVRSRCVTFAPGQGCPIPPIYYLPVGSELFSLSFCSFEMLCSEEPSPWLRDSSSMGWSWKELSEKPPFYVDHVTSYSLHPEERTFLFSTKTGATEATYTFDTEKRSWKLLGNWKLPFAGCGHFDLGLNCFVGLSKEPDTLGQLYSCEVPINDTDYDGLCPPPVVKLCKEKLLSERPIDMHVGATLVYMGGKSEFCLVQCVSINHGSPDQDRNDEDDVAHGVQLPGRRFYRLTTFSLTFNKDKELTTGNTRRLQYYKVPKEATKPFLQDPVAFWM >SECCE5Rv1G0308790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:138004598:138008430:1 gene:SECCE5Rv1G0308790 transcript:SECCE5Rv1G0308790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDVTQILLSAQSADGSIRKHAEESLKQFQEQNLPGFLLSLSTELATNEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDAGVKAQVKALLLQTLSSPVASARSTSSQVIAKIAGIEIPQKQWPELIGSLLTNIHQVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEGNSEVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSPDVKIRQAAFECLVAISSTYYDKLASYMQDIFNITAKAVRGDEESVALQAMEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEDDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKPEWRHREAATYAFGSILEGPSADKLTPLVNVALNFMLSALVKDPNNHVKDTTAWTLGRIFEFLHGSALETAPVITAENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYVDAGSASPLSPFFQDIVQSLLVTSHREDAGESRLRTAAYETLNEVVRCSTEETAPIVMQLVPVIMMELHNTLEAGKLSTDEREKRSDLQGLLCGCLQVIIQKLGGMESTKFAFLQYADQMMDLFLRVFACRNATVHEEAMLAIGALAYAAGSNFAKYMAQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHTTATDDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMPYAPHILQFLDALHNGKDMDDSVMKTAIGVLGDLADTLGVNAGPLINQSTSSKQFLDECLSSDDPLVKESADWARIAISRAVSG >SECCE2Rv1G0091720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:328376890:328424141:1 gene:SECCE2Rv1G0091720 transcript:SECCE2Rv1G0091720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MPRPRPRGRGKTRSGSQRMDPEEGTTPPAPEQPACDPSQEEIAAPVDEEKADTDAVAGEDQGASENEAERTREELERTVTELSIQNEYLKSQIAGAQQLANSAEVGIGSGREDEDDSELVRSLKEQVERLSKEVQEQKQTQKVAEAALEHVNMCYAEADGKVQELTAKLNEAQLKIEKELKERDDKYVELDTKFQRLHKRAKNRIQDIQKEKDELEARFNEINQKAMETASLQLAAQQELERARHQASEALRAMDAERQQLRTVNNKLRCNFDETQLALEARNNALEKLQQSMLEKEQMLEQIQRSMQSAEDKRHASVSELSAKHHKQLESLEAQLAEVSAERTKASETIQSLQMVLVEKDSEITGIEAASTGEAARLKATLEEIKGELTHLKDQHEKERQSWEAECESLREKLEASESARFRSEIESAKFRNQLESELSTQNQVLQNKDLDLISAKEEISRLESEFSAYKIRAHALLQRKDAELGAAQNSDVLKAHEEAIREAEKEVAVALEERDEAIQDLQAAQRRHSEEIEARDLGLAELDKKLKNVMKKLDYVTSQFLAEKESWEKKLASVEESWRLKCESMKGQSNGHVEDHLQKNLGEWTLKYEKLKEEHESFRDISDRMIEEKEREIAKLLNENKDLHHSLEAKAAVSNSDNQSPGYVEQDALSIELAEQQILLLARQQAQREEELGQSHRHILALQQEIEDLERENRLHDQQEAMLKAELRNMERSQKREGVDMTYLKNVILKLLETGEVGALLPVVAMLLQFSPDELNKCQHGVLSNVASLPATALSDGGSTPNSFFGRFTF >SECCE1Rv1G0027950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:391145471:391146298:-1 gene:SECCE1Rv1G0027950 transcript:SECCE1Rv1G0027950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFALRGTSAAGRKAAHARSASHPCHCQCHPVHTRLDAGVRALRAWSASAADGSSGLAQVEAVLAVLGEFLALPQAAAALRDDVAACDRFLTLADAYGSFEQALVALKQSVAQLRAGVRLGDGTMVAASLQARRRAEKELCGLAAAMRHASRHAMLAPADAVDGEVTGVVAEAAAATASASEAIFLWCAAMSPDVSAVVETVPVNAWLARLRVVHVAKKAVSLPETAAALERLEERIGEVESGSEKVFSSLLQTRVSLLNIHNSIILLVPCSQRF >SECCE6Rv1G0393780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:284558354:284559234:-1 gene:SECCE6Rv1G0393780 transcript:SECCE6Rv1G0393780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVPRGYIARRRRTKMRSFASNFRGAHLRLNRMITQQVKRAFVSSHRDRGRQKRDFRRLWITRINAATRVYKVFDSYSKLIHNLYKKKLILNRKMLAQVAISNPNNLYTISNKIKIIN >SECCE2Rv1G0129920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:871693197:871693439:-1 gene:SECCE2Rv1G0129920 transcript:SECCE2Rv1G0129920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMGQLGRLVDGLRSKMRAGGGKKGAGKKAAAAAYEQMGKTDSMRVEIKSRQAQKLIAKNLVAADSIGRRSRKRFFLAF >SECCE1Rv1G0041450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:571325702:571325893:1 gene:SECCE1Rv1G0041450 transcript:SECCE1Rv1G0041450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSYSNSGMSNYGGAKEKRPPLKRGQLKRQIVRTISNLVLPRSDGMAAEKRASGRASFGTYN >SECCE2Rv1G0088970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:250705717:250709974:-1 gene:SECCE2Rv1G0088970 transcript:SECCE2Rv1G0088970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAVTTMTLKDFHGGSIPSELPLPSAPGVSARPPDRPTAAPSASSTASARPRTPAASSAAAAAAAVPSFLTNPSRIGRHFDEDERTPFELAAPRRPAPSSPSFSSVPAVAPTRSGPGNAWGAKREVAPAAPPVVPAASSGQIWSATRIAQASAVEKVISGRWHLSKPSSPPASVSAPVLETHVVPPEMERSGLVVTRGFDSAIEIPRSLAVRELDGAMERPRSVGLRGLDVAMDKGVEPVRPASHEGRVGEGKIGEVPERPKLKLLPRSKPIESPTPSPTYIEEKQVLPVPVIASVMQIEVVHEARQNVMVAKTGVAGADAESKATVERPRLNLKPRSNGVGQSGENATKERPSLFGGARPREQVLRDRGVDVLASDLEITSPVGRSKNEFAKVEQKVEAMTINPSGEKAESFAVGHRGPRNADRKDYRRDTDRADAYRPTRREENRKVARDVEKQPEQQRPEPETWRKPVEPPKPEVTAPRFGKAATALELAQAFSKSMSDTVPQSRLTSVPSPRVPPSPGARDQVGFSRLTDNGALHSGSSQRKINGY >SECCE6Rv1G0419560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:671048216:671048861:1 gene:SECCE6Rv1G0419560 transcript:SECCE6Rv1G0419560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLAPPTNTGRLLLGGKRGFSEAFEDHEHRASAAVTTTLPLFDDGSSSSSSSSDIGVGMNKKALVGWPPVSSARSRACGGGGGGGRHVKVRKEGAAIGRKVDLSLHGSYADLLTTLARMFPDPAGDRAAGCPHAKGGKMVVTYEDADGDWMLVGDVPWEDFARSVKRLKILL >SECCE5Rv1G0329740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:521166337:521166774:1 gene:SECCE5Rv1G0329740 transcript:SECCE5Rv1G0329740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIFSFGLATRKHAMGLGEPLGSPMLDFSGTPDVEVLDGPDKPFDHVHDRKKKRGGLMEEEINVFCTMTEAMKEVAITIRECKPLDVHPDLYGAVMTQGGFSDEALMAALNHLLDNKAQGVGFVAMADAHWVLWLRTWLGKHHY >SECCE2Rv1G0133320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895983440:895984258:1 gene:SECCE2Rv1G0133320 transcript:SECCE2Rv1G0133320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGTGTSHIVLPRAAPGHAGSKRTLALYDSAAAQQRADAARLSVDEGALVPSPMGMAGEPIKERAEPPGIRAPKGLLAYLSLRIDLPVHFIDEKTVTATDVDPQQNRFRLPIEGVMQNLRPVLSHLDRQAANLLHVEAPRPRLPKLPKVPGEKTKKRRGKEHGGLPVLVIEPYAGIRELQLTRWESSGVCVIKGEGYMDFINTCGFRVGDVVEIWAFKQTAMRLFGVDIYQEEYPESPLFILFIKKGQMLPPPHAPVISDGREETAQDNAP >SECCE7Rv1G0464350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:60142009:60145777:1 gene:SECCE7Rv1G0464350 transcript:SECCE7Rv1G0464350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEGDRGIGVGVGDAEGDDRVDEPTRCLRCGISANATPHMRRGPEGRRTLCNACGIAWAKGKLRKNIDSDAPIDDATIAKMVPEIGMEFDNEDKAYECYNRYAGHMGFSVRKSSSDKSADNITRSRTFVCSREGFRKDKKGANEVKRPRPETRIGCPARMIIKITSDNKYRIAEFIADHNHQPAPPSTMHMLRSQRVLTEVETPECDSSEDSTTPSRFSGGSLVQQAGAFRNVKFLPADYRSSLCSKRMKNMQPGDAGGVVKYLQSMQLNNPSFFYAVQLDEDDKLTNIFWADSKSRVDFSHFSDVVCLDTTYKINAHGRPLTLFLGVNHHKQISIFGAALLYDESVESFKWLFDTFKIATDGKQPKTILTEQSIAASAAISAVWPNTIHCLCPWQVYQNTVKHLNHIFQGSKTFAKDFSRCVFDYEDEEGFLLGWRTMVEKYDLRNNEWLNKLFEDRDKWASAYNRHVFTADIKSSLQLESVSNVLRKYLSPQFDFLSFFKHYERVLDEHRYAELQADFHASQSFPRIPPSKMLKQAANVYTPVVFEKYRREFEMFVDSVIYSCGESGTASDYRVAVTDRPGEHYVRFDSSDLSVVCSCKKFESMGIQCCHVLKVLDFRNIKELPQKYLMRRWTKDAKSADRGTQEFLSDGASQTPSSCLNAPVPIIDQPQLHLNNHHGHVASVSSFGHQALQGNAHGNQGYAPSAGMNNQQPFIGGFPLNHETGFG >SECCE1Rv1G0034920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:492992088:492995011:1 gene:SECCE1Rv1G0034920 transcript:SECCE1Rv1G0034920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLKDQLNMFMFSLHSGPPNPSEFWIPIAAYLTVGILSLLMFLYLFSLWRRKISVSWMKVIARSKRRNFERNQKVPTAEHIWSAESLLRAKGLRCCVCLESITPSQPLGTVITAENMVHRCDVCGAAAHTICSSNSQRDCKCVSLLGWKHVVHQWTVLWTDIADQPEEAQYCSYCEEPCNGSFLGGPIYCCMWCQRLVHVDCHSSMSTESGDVCDLGPFRRLILPPLFVGAKNKPGGILSSITQGANELASTVRGHLRNRGKRHKYDNRLSSDSMAGESNDDSSSDTAPCSNQRAKELKTNGVSVQRHAENEHDGSESDCKEVVSEPRRLHNDDTGGAKLKYVLTDLPADARPLLVFINKRSGAQRGDSLKHRLHFLLNPVQVFELSSSQGPEAGLSLFRKVPHFRILVCGGDGTVGWVLDAIDRQNYASPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDIEHAAVTILDRWKVTVEDKQAKNVLLVKYMNNYLGIGCDAKVALDIHNLREENPDKFYSQFLNKVLYAREGAKSIIDRTFADLPWQVRLEVDGAEIDIPEDSEGVLIANIPSYMGGVDLWQNEEENLDNFDPQSIHDKMLEVVSISGTWHLGTLQVGLSRARRIAQGQSIKLRFSAPFPVQVDGEPWVQHSCTLKISHHGQAFMLKRAIESSLGHATAIVTDVLENAETSQVITASQKRALLQEMALRLA >SECCE5Rv1G0305600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:74482090:74483697:-1 gene:SECCE5Rv1G0305600 transcript:SECCE5Rv1G0305600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTPTLPMAMALVTILIVLVLSSVLLRRRHSEALNLPPGPRGWPVLGSLGALAGALPPHRALAALAARHGPLMHLRLGSYHAVVASSADAARLVLKTHDLAFADRPRTAAGEHAAYGYLGIVHTPYGAYWRMARRLCATELFSPRRVDSYEYIRKQELRALVCALFECRGRAVAVRQHLADTTLRNVLRMSVGEKWSGCYGSAEGEEFRRTLDEAFAVTGAVSNVGEWVPWLGWLDVQGCVREMKRLSKVYDRFLERILDEHDDEARRKVGMATGAGHGESTAARDLVDVLLQLAEEEGETVGTSSTEARLTRDGVKAFVQDIIAGGTESSAVTMEWAMSELLRRPDAAAAATEELDRVVGRSRWVEESDLAHLPYIDAVVKETMRLHPVGPLLVPHMAREDTLVAGYDVPAGTRVLVNVWAIARDPASWPDRPDEFRPERFAGSAVDVRGQHFELLPFGAGRRICPAYGLAMKVIGAGLANLLQGFAWRLPEGVSPEDLSMEELVGRLSTRRKVPLVAVPEPRLPAHLYTAMT >SECCEUnv1G0529270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7024242:7026189:-1 gene:SECCEUnv1G0529270 transcript:SECCEUnv1G0529270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLQNLTGKMVIVKLKWGMEYKGYLVSVDSYMNLQLSGTEEYIDGQCSGNLGDILIRCNNVMYLRGVPEEDTDIPDAA >SECCE1Rv1G0043250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:591269842:591270912:1 gene:SECCE1Rv1G0043250 transcript:SECCE1Rv1G0043250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAIAAAGKPSGSASAIVAASARGNHTLKIDGYCCTKVTPTGEFLQSSQFSVGGHHWRLNYYPNGVDSQSAGYISIYLKRDEIATEDVKAMFTISFAKVAEKRLPWPWACTPVNVFGGEQMRGYPKFIKREDLEKSEYLKDDSFTIWCEIVVLQDICTKEARAPKFVTVPPPQLNQHLGDLLKTEKGADVVFEVGGESIAAHRCVLASRSSVFSAELFGVMKEGNTASVIRIDDMEAQVFKALLYFAYTDSLLETEKEDEEAMYPHLLVAADRYDMERLKLICEEKICEYINIGSVPTILALAEKYHCNGLKKACFDFLSCPARRSAVVSTDGFKHLYKSFPSLVMELIAMPSPP >SECCE6Rv1G0400580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:454748716:454750262:-1 gene:SECCE6Rv1G0400580 transcript:SECCE6Rv1G0400580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSNVIEGEQSGRKREEGKQHNSAFVADTDFGSHSMTHQTLISSAPAAAFSSAPHLLHASFPTLSPRPRSSAAASAMSSSFAQHHGSLQVERMSALRSSLRPYEAAEEVAAAVVAGPAAWGAGLLGDGFSVEELLDLEELCEVDKEGDFLCETAPAAEKEEKCSDSHGSSAVSYELSPLIPPEMDLPAHDAEELEWVSRIMDDSQAELPPPPPQLQAPAAWPPQHRRPQESAVSAVDPMRTPTICALSTEALVPVRSKKRSKRSRGTTVWSLSGASISDSASSSATSSSCSSSASLSSFFLMDSPSFSLLDEPPRTKNKNKKSKHKPKKRGRKPKSHLPPQLSGGAASPPGQGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRFKSGRLLPEYRPACSPTFVGNLHSNSHRKVLEMRRKKDPVPVAVGIEAAAPAVASF >SECCE2Rv1G0110320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:688589725:688590351:-1 gene:SECCE2Rv1G0110320 transcript:SECCE2Rv1G0110320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAAETDAGGDNGNPAAGVLVVERVVTVEYLEQSMSRGLLGKFPDSSAYDFDYCQSGIWSPVNKIPSESPASGAGSRDFLIAKLKRRARAGSRLKDAAGGGGSRSRWRRRRLRRDGSFLELHDTGRAKLDLSPPLPSPAPTKEGWRRVLTAAIRKFKARHRRSRPAPLLQMMLPML >SECCE2Rv1G0125900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844017659:844019155:1 gene:SECCE2Rv1G0125900 transcript:SECCE2Rv1G0125900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGDGTTRRKTACVTGGSGYIASALVKMLLEKGYAVKTTVRNPDDAEKNAHLKALAALGPLEVFRADLNEEGSFDHAVAGCDYAFLVAAPVNLESENPEKDVIEPAVYGTLNVMRSCVKAGTVKRVVLTSSTAAISSRPLEGDGHVLDEDSWSDVEFLRATKTGPWAYPVSKVLLEKAAMAFAEENGVSLVTVCPVVVVGEAPAADVVTSVGHVLSLLSGNDAGAGMLEHIQSASGSIPMVHIDDLCRAELFVADQEAASGRYIVSSINTTAVELARFLAAKYPQYNVDTDRFGDLPEKPRVCVSSAKLVKEGFEYKYKNLDEIYDNVVEYGRALGILPY >SECCE6Rv1G0402350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:483260715:483261532:-1 gene:SECCE6Rv1G0402350 transcript:SECCE6Rv1G0402350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPGELCFKIFHLLDHQSLAAAPRVCRKWNALTCDDELWRKLFEDRWGADATAFYAPEPEGAKPWKDVFVVQDRCDRYGLGVRIIREGNDYYLIYQGEIQSYLGTSSDAKDAPPQSAEAGKRQISDRILFFLGDLETAYADAKRVKL >SECCE4Rv1G0279220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:812573047:812573748:-1 gene:SECCE4Rv1G0279220 transcript:SECCE4Rv1G0279220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDINEVKLLGMWASPYMARVKLALHLKGVSYEYMAEDLTNKSELLLRSNPVHKLVPVLIHEGKPICESQVIVEYIDEAFASTGKALLHADPYERAVARFWAAYLEDKVLAPWKMVLKAKTEEERAEWARQTFAGVDALEEGFRKCSKGKGFFGGDDVGYLDVLLGGMVPWLYATEKISGHNFFDASKVPLLASWMERFTGLDVAKTVFLEQDVDSLVEFARTVLFPVAATN >SECCE5Rv1G0349080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:681683289:681685486:1 gene:SECCE5Rv1G0349080 transcript:SECCE5Rv1G0349080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSKRSLCLLLLLTVCLHGSPASSRPATEDTDPMTLRMMAQRFRRWKAEHNRTYATPAEERHRLSVYARNMRYIEATNDDAGAGLTYELGETAYTDLTSDEFMAMYTSRAPPLSDEDVPMTITTRAGPVAVAGGRWQVYVNESAGAPASVDWRERGAVTAVKNQGQCGSCWAFSTVAVVEGIYQIQSGKLVSLSEQELVDCDKLDHGCNGGVSYRALQWITSNGGITSEEDYPYTAKDATCDTTKLSHHAASISGFQRVATRSELSLTNAVAMQPVAVSIEAGGANFQHYRNGVYNGPCGTQLNHGVTVVGYGKDEVTGESYWIVKNSWGEKWGDSGYLKMKKGIIDKPEGICGIAIRPSFPVHVK >SECCE5Rv1G0376430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872364080:872365802:-1 gene:SECCE5Rv1G0376430 transcript:SECCE5Rv1G0376430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCSNLPFDETQWIIRICRILEEEIELGGDQPVSIFDVLKPLLCTKPEAYVPQLVALGPYHHCREGLRDMEMYKLSAAKRAQSHMPSMKFQQVVDVFATFEHRIRSHYHRQLNLTNDTLAWMMAIDVSFLLEFLETFCETKNQRILQRMPSRMLHLVDPSHRTSVHSMLLRDIVMLENQIPMFLLVKLVDMSCSSSHGVLRPNLSSILSRFFQEAISSPCTDATRHAHLLDFLYSNMLPCGCVEESDGATEDAEDRLSDHPQRSTLSSITELLVKRGLKLASLVTDFMVTMFLKFLATLPCLSVVRQPIEQLTSQRITQRDAPEPKGASDVQNKNISPLLEEITVPSITELAYVGVTFTPTVGGISTIEFCARTATLHLPVISIDMTTEVVLRNLVAYEASIGSRALVFARYVELMNGIIDTDEDARLLREHGIILNHLKSDQEVAELWNGMTRSVRLTRVPALDRVIDELNRYHGACWKVRVRTFVKAHLLGSRDLVACAMMVLLLMFVGVQAFCLSRGCLLSWHGMGMVRRKNGCNILKN >SECCE1Rv1G0061660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:716768133:716768926:1 gene:SECCE1Rv1G0061660 transcript:SECCE1Rv1G0061660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKSTVAVLFALLAIAATLQPSEARLQPAAASNQEEAKATTAADGDSPSLPGVPLPQIPGMPSLPPIFRSLFPPLPQIPGLPPLIGPLPGGSPGAPPSNALPSLPHLPLPTGSPSPPPPKECLTPLMSMIPCMDYLTNITVFSPPDACCDGLKLVVNTAPICLCHGLNNNGGMSKLFPKPIDPIRMIILPLRCGAMIPIQTIFSCGTQPLPPLMPPATTPAPHAASPAPSP >SECCE6Rv1G0447380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850320211:850326102:-1 gene:SECCE6Rv1G0447380 transcript:SECCE6Rv1G0447380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLPPAWVPPGYGHGPWPRALVVKGPRRFRRKGDAALVETAGSRVALQIAQATSVSSANGLQTNLVGNDIQILERTEEQCELDDYSVIPGTEFEQSLVDQVRVMLGSMSEGEINASAYDTAWVALVPSLDDGDSPQFPTTLRWILDNQLPDGSWGDAALFSAYDRVINTLACVVALTKWSLGPDKCRKGLSFLEENIWRLAEEDLESMPIGFEIAFPSLLEVAKSLGIGFPYDHHALHRIYANREVKLKKIPVEMMHRIPTSILHSLEGMPGVDWQKILRLQSSDGSFLYSPSATACALMQTGDAKCFEYIDRIVKKFNGGVPNVYPIDLFERIWAVDRLERLGISRYFKQEIKQCLDYVHRHWTDEGICWARNSTVIDVDDTSMAFRLLRLHGYDVSPIVFGKFQKDGEFFCFVGQSTQAVTGMYNLNRASQVRFPGEDLLQRAGRFSYEFLRERKAHGTIRDKWIIAKDLPGEVKYTLDFPWYASLPRVEARVYLDQYGGDNDVWIGKTLYRMPLVNNNTYLELAKHDFNRCQVQHQLEWLGLQKWFTENGLETFGVTLRDVLRVYFLAVACIFEPSRATERLAWAKVSVLANIITKYLHSDLSGNEMMERFMHGGIYEGNSNISWRKGDAKEDILVGALEQLIDLLAQEALPIGEGPMHIKDLLRCAWIEWMMQQKNREDVTCGSGVVQAGSCMVHDKQTCLLLVKIIEICGGRTGEASSMIKTMDGAWFIQLGSSICDNLHHKMLLSEDTERNEAAMSHMDEEIEAGMQELTQSVLQVHGDGTSSEMKRTLLSVVRSCYYAVNCPPHVLDGHVYKVVFEHVF >SECCE2Rv1G0075030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:82036548:82037174:-1 gene:SECCE2Rv1G0075030 transcript:SECCE2Rv1G0075030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNALLKLWAMVEDSKSARVTDNLESSFTIHHLTEEKNKLEANYDKLVQDVHELMDFQEDRVVDFRYLQSNLTYQQQCRSEIVADMKAEMAKKDVEYQKLNQKYEVLLNLTRAQATVIQNLKLKNMTEKQLLSEAKMNLELKNAELMKGEEKLNQDKLELKLQVSDLLKGKAKHVEEKGQLENQIVELIKAEEKLKVKIKGIQAILQN >SECCE2Rv1G0115820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:756857160:756859280:-1 gene:SECCE2Rv1G0115820 transcript:SECCE2Rv1G0115820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASRWLRGLLGGGKKAGPASGEHKPEREKKRWGFGKSFREKDPVRPPTPPVQRAATPRRTYAASDDGGDEQNKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCPPAGAKHEEWAAVRIQAAFRGYLARRALKALRGLVKLQALVRGNIVRRQAAETLRCMQALVSVQSRARASRASRSRQAAAHPGATTPEKYEQAAYDGVLRHGRSGSLKGGSLKTPCGERMSRERSESCGRNWLDRWVEERYMDDEKNAKILEVDPGKPGRHASKRRGSSGSHLQSSCSTRTSEQNSRSYATMPDSPSRDSTTAQQSVPSPSSVGMGAGEALSPLRLPADLAELYESPQFFSATSRPGSSKRGAFFTPTKSECARSLFGGYSDYPNYMSNTESFRAKARSQSAPKQRPLYEKSGSLRKASAHAFAPGAAAQRSSASLHAKFTNKAYPGSGRLDRLGMPVKY >SECCE2Rv1G0067320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18596150:18598013:1 gene:SECCE2Rv1G0067320 transcript:SECCE2Rv1G0067320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSPPVNKATPTEQRRLLRARRSSKRVTALSPEALLDATLPAAEHLAPVLHQDDARVVAAATPKPPKRATPSDETLAVHAGEKMGKNGSMDTDSIVTPIVSGTTHWFKSSNDLVAFKEGRRHSFEYGRYGNPTVKVLEDKISALEKAESTLVTSSGMNAIVATLLALVPPGAGHVVTTTECYSEARAFIRDKLSKMGIKVTFIALNDMETLKAVLDTGDVTLFYTDSPSNPHLKCIDIKLVADLCHRRGALVCIDSTLASPINQKPLTLGADVVVHSATKYIAGHHDVIAGCISGSETLISRIRAWHHDLGGAISPDSAYMIIRGLKTMALRVETQNRTALRMARLLENHPKIERVYYPGLLSSPWHDIAKSQMTGFGGVISFEVASDLHGVMRFVDALEIPLIATSLGGCESLVQQPAVMSFWGQSDEEKAKNGIKDNLVRLSFGIEKFEDLKDDILQALEKI >SECCE7Rv1G0508100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:769111732:769112826:-1 gene:SECCE7Rv1G0508100 transcript:SECCE7Rv1G0508100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVAIGILAMACLVAVARGGNFIQDSEMTWGDGRGKVVDGGRGLDLTLDKTSGSGFQSKSEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGTAHDEIDFEFLGNVTGEPYTLHTNVFAKGQGQREQQFRLWFDPTKAFHTYSIIWNPQHVIFAVDGTAIRDFKNHEARGVSFPKSQPMRLYASLWNADDWATQGGRVKTDWSKAPFVASFRNFNADACVMSGGAQRCPAGTMEASAAGGSGSWWNQELSGMGYRRMRWVQRKFMIYNYCTDPKRVAQGLPAECKLR >SECCE1Rv1G0046790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:619749419:619751373:1 gene:SECCE1Rv1G0046790 transcript:SECCE1Rv1G0046790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVAVGTKVAATAAAGQPKKRTFRKFSYRGVGLDALLDMSTDDLVQLLPARGRRRFQRGLKWRPLALIRKLRKAKREAPAGEKPAPVRTHVRDMVIIPEMIGSQISVYNGKTFYVIEIKPEMVGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >SECCE4Rv1G0295460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898509742:898511359:-1 gene:SECCE4Rv1G0295460 transcript:SECCE4Rv1G0295460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVQEWKPVMGMLAFDLISAVMTALVKKALEQGLNRLVLVTLRQLIATIFLAPIAFFKERSTRPKLTFEILVYLFFSAVFGAALSQYTFFYGLQYTTATFAITFINLSPVLTFLIAVALRMEPLKLKSMAGAAKITGTLTSLAGLLLLSLYKGVPLTHQGTTAPSPAAHHAAPSDSSGNRSWMLGTMALLFNCLCFSFWLLLQTKLTKKYPAIYSSTAIMFFISTLQGGAVTLAMERHVSLWMLTSKLEIVTVLYAGIVGSGAGYLIMTWCVEKKGPVFTAAFIPLMQIMVAIIDFFFLHEQIYLGSVLGSVLMILGLYLVLWGKKRDEASVSCTTTTNKQVDEEADGKP >SECCE2Rv1G0133870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898726473:898729716:1 gene:SECCE2Rv1G0133870 transcript:SECCE2Rv1G0133870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPLPVFVLLSLICLCKSDDRLTPPKPLYAGNKLVSDNGVFALGFFSPTNSTAGSYVGIWYNNIPERTYVWVANRDKPITNGSSGKLVVTNNSGLVLSDSQGRTLWTTMNNFTSGATGASAVLLDSGNLVIRSPSGTEIWQSFHYPTDTILPGMQLPLSTDDDLYTRLVAWRGPDDPATSDYSMGGDSSSDLQVVIWNGTRPYWRRAAWDGALVTALYQSSTGFIMTQTTVDKGGKFYLTFTVSDGAPSTRLILHYTGMFQFLAWNSISSSWNVFIERPNPSCDRYAYCGPFGYCDATETVPKCNCLSGYEPDGVNFSRGCRRKEELTCGGGDSFSTLSGMKTPDKFVYVRNRSFDQCEAECRNNCACTAYAFSNMKNGSTSSDQARCLIWLGELVDTGKFRDGSGENLYLRLASQTVNKESNVLKIALPVIATILILACISLVWICKSRGKRRIKETKNRYTGQLSKNSKSNELENETIELPYICFEDVVTATDNFSDSNLLGKGGFGKVYKGRLEGGNEVAVKRLSKSSGQGADEFRNEVVLIAKLQHRNLVRLLGYCTHEDEKLLLYEYLPNKSLDAFLFDATRNSVLDWPTRFKVIKGIARGLLYLHQDSRLKIIHRDLKASNVLLDAEMNPKISDFGMARIFGGNEQQANTIRVVGTYGYMSREYAMEGSFSVKSDTYSFGVLLLEIVSGLKISSSQLIRDFPSLIAYAWSLWKDGNARELVDSSIVENCPLHVVLRCIQLGLLCVQDDPDARPLMSSTVFMLENETAPLPTPQEPVYFRKRKYEVQDQRDNLEISLNGMTMTMQEGR >SECCE6Rv1G0418220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:661781118:661781579:1 gene:SECCE6Rv1G0418220 transcript:SECCE6Rv1G0418220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSQPSGPVLSIPSYRSASPTRVKLAAGSSATRSPGKSVSVSSPPSRSRRSCMCSSTNHPGSFRCSLHKERKQEVPACSSKPASPPSVRSASSLGSKRMDSAQCARRALAPFPAAQQSQHRRRAGGFRPRPSRLSAMSTAGDRPGDDYQ >SECCE5Rv1G0347960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:671622947:671623912:-1 gene:SECCE5Rv1G0347960 transcript:SECCE5Rv1G0347960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRSRILRTLQSFPNAAAAAQSNALLPPPDAAAPEPADGDCRGGDDDDKENLSPEANPRKAKKMKVSSGQEGASSPGESGRRYRRPELESPSLFDPDLLAEFRGVVDAYAQALEKTQRRDDYFDDADDDIAAALDAGGRDEDPLAGLECRCPPGGERAVVLYTTSLRGVRKTFEDCATVRRLLDGLRVAFLERDVSMHAPYRDELRALLPSPPGGAGAGAVPLPPRLFVDGRYVGGADEVVALHERSRLRPMLRRAARRRAGDAACAVCGGAWFVVCGGCSGRHWLYDDGSAVAAAVAAGRVPCPGCNENGLVPCPLCS >SECCE3Rv1G0162280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:128842668:128844227:-1 gene:SECCE3Rv1G0162280 transcript:SECCE3Rv1G0162280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMSWWVRGFLGKYPEIMVSFACFLFLLFFRYRRRNGLPTNWPVVGSVPAITVNAGRVHEWLTEFLRMAPGMSHVARGPWGSPVDVLITANPADVAHVFTTNFGNYPKGEDFAALFDVLGNGIFNADGDSWAFQRRKAHALLSDARFRAAVAASTARKLDEGLVPLLDGVAADAAVVDLQDVLMRLTFDLTAMFIFGTDPGCLAADFPLVPFAAAMDEAEAVLFYRHVTPIAWLRLQTYLNIGHHKKMTKAQQVLDASIAEFVSLRRERAASADANADGGDAADLLTLYMACQDEVGKDGNEFDRFLRDTTLNLMVAGRDTTSSALTWFFWLLSNHPDVEARILAELRENLSSGGVGHHPTAADLKRLVYLHAALSESLRLYPPVPFEHKAGARPDTLPSGPAVRPTRRVIVSFYSMGRMESVWGKDCLEFRPERWLTAAGRLRHEPSYKFVAFNVGPRTCLGKDLAFTQMKAVVAAVLPRFRVEVAPGAVVRPKLSIILHMKDGLKVRVYKRQDDAR >SECCEUnv1G0532260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19699576:19700581:-1 gene:SECCEUnv1G0532260 transcript:SECCEUnv1G0532260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFQHGHFVRLRSLVHGTYLHAAEDGESVTLSQPRASLNAAWAVHIYHGGDDDGVGYEYDYVGGEDDVFGNGYVGGQRLLLHSAAYGRYLAAAARPARLGHSGLRAELSDYNHPEMEAIMWRAVGSGFADDVVLLRHVGGRHLRANGKYLPWNSSGVSVDDDASPMMYWVVESIPFRAAGMPVIPGPLPTRPRYLSAIFGSPGRWIRFVRALGDGYYPEEVDGHGWHQFWFSGRSVFRLRHDMASRLDGVVLYRQNIAMCVRAGRHGRLTPLVVDLPHGGYGETLEIVVLVAGTPGESLSPCPNF >SECCEUnv1G0565200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:391036774:391037319:1 gene:SECCEUnv1G0565200 transcript:SECCEUnv1G0565200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWTGLGQAAMVAQLVGADVGGLISMIVQAAMTARQNRSECEQLARRALMIAQLLPHVQEPEAAQPLAGLGDTLRDAHELVVSCQGRSAAYQFVMAGRTAEKFREVQSKIDSYLILFPVISHIGITRRLERIYNVLVPDDSTRDEPSPFPQSLQLQWRI >SECCE4Rv1G0235420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:334023090:334029347:-1 gene:SECCE4Rv1G0235420 transcript:SECCE4Rv1G0235420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFRWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKAEVKIFLKMLRAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHFIHRRFDLKGSSLGRTTDKPQTEIDEYTILKDLDLNFIFRLQKHWYQEFQRQVDKDCDFLEQENIMDYSLLVGVHFRDKRVIMTEGYFDSDSSRGSSPHLSPHLSRGDTDPNRLSKIKLGSNMPTKAELTIRKSDSEPQLIGEPTGELYDVILYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFKDFVYKAFQEDKVDI >SECCE5Rv1G0375120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:866875877:866877757:-1 gene:SECCE5Rv1G0375120 transcript:SECCE5Rv1G0375120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACAPLAVGTCVFLICAMAIVVYTTSSSLSTALLAVSGLRPYIVSLTTNGTGNTHVGGPLETSLHTCREPKLPPNPLPPFYCCPPASTSSSEPINFTLPDPAEPLRVRRPVHAVGAEYMAKYERAVALMKALPHTDPRSFYQMANIHCAYCTGSYRQTGNPELDMQIHFSWFFFPFHRAYWDVPDGMRMPPEFANSSSPLYDPVRNPRHAPPSLVDLGFVGVESNRTDEQQIQHNLRTMYKQMIGNAHLPSLFHGQPFRAGQSDKPGPGTVELSPHNTVHTWTGDIALTNVENMGTYYSAGRDPLFYPHHSNIDRLWEAWREVGAARGYRGHVDFTDPDWLDSSFLFYDEESRLVRITVRDVLSTEKLRYKFDGVGMPWVDARPPTTPNVSKNKALLKSVRFPLSLHRVVTVEVRRPEVLRSTKEKEAREEVLVIEGIETDGTEMVKFDVYVNAMEHEKVEPSGRELAGSYMCLSHPRADGTGKGMVVETSMRVALNVLLEDLDADGDETVTVTLVPRHGKVKIRRLRIVYMVE >SECCE6Rv1G0404560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:520835590:520840889:1 gene:SECCE6Rv1G0404560 transcript:SECCE6Rv1G0404560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYGVPRELSEVQQKRTLYQPELPPCLQGTTVRVEYGDVAIAADPAGAHVISHAFPHTYGQPLAHFLRKAANVPDAKVISEHPAVRVGIVFCGRQSPGGHNVIWGLHEAIKAHNLNSKLIGFLGGTDGLLAQKTLEITNEVLSSYKNQGGYDMLGRTKDQIRTTEQVNGAMATCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNMCTDALSAEKYYYFIRMMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVILIPEGLVESIPELYALLQEINGLHGKGVSVENISSQLSPWASALFEFLPQFIRQQLLLRPESDDSAQLSQIETEKLLAQLVETEMNKRLKEGTYKGKKFNAICHFFGYQARGAMPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKSPLNKWRCGAAPISSMMTVKRWSRGPATTQIGKPAVHMASVDLRGKAYEMLRQNSSSCLLEDIYRNPGPLQFEGPGADAKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDVLKAALSAMSSVTETLAIMTSSSTGQPPL >SECCE7Rv1G0478650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:239896626:239902081:-1 gene:SECCE7Rv1G0478650 transcript:SECCE7Rv1G0478650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRPPISPRLLFLVVQFVALCSIPGAFSSRLVTLDTIDIFTTHEWFSSKPTVFFRCSGDNQTNLPDVKEANLIYTFKGEESWQPLTELPEKKCKRCGLYEEDMFKNDVFDEWELCSSDFKDGKYTHFKEGQFNVTFLCPNCTVSAGDAAVHHSSSEVETKKTSVAVIIIVSVLASVIVVLALFGAYKYWLKKKRERDQLRFLKLFDEGDDMDDELGLSNEL >SECCE1Rv1G0004990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:22792061:22793950:-1 gene:SECCE1Rv1G0004990 transcript:SECCE1Rv1G0004990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSDPHSERLFFRRIFGSENCCPQLFIEVSNAILKKCGGLPLAIISISGLLANRPRVKEEWEKVKRSIGSDMNKSQSLEGMKSILSLSYNDLPPHLKTVLLHLSNFPEDYVIDRERLVRQWIAEGFISEERGRSCQEVAESYFYELINKSLVQPVDIRYDGMVHACRVHDMMLELIISKSIEENFITVVNGSQTVWENPQCSIRRLSIQDIDQELASVLAKKDLSHVRSLIITASGCIEHLPSLTKFETIRVLDFEGCWGLRKYDMGGMENLFQLKYLSFRKTYILGLQSGVVMLHDLETLDLRGTDIKTLPARIVQLTKLQHLLSSMDQGKIPIGIGNMTNLREIPRFDITMSSVGAVKELGNLINLKALHVLCKQNGEESQEMLLASLCKLGSHRLQSVGIESSNSSLYELLDSWSPLPSRLQRFEMVTKYCLPYLPKWITPALTNLSYLDINLSVITEEVLGILGEMSALLSLRLLTDTVNKDRLVLQGRGFRCLKVFVYQPFNDGAGTLVFEKGALPKLEKLELWFSVSVAKAYGFSFGIEHLPYLKDVEVILQDWYATPSEVDAAKVAIRKETNFHPNHPRLLLRNGTAGGSTAMVS >SECCE3Rv1G0156520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:73448275:73449462:1 gene:SECCE3Rv1G0156520 transcript:SECCE3Rv1G0156520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSHVDGKGSYTTVERVQRAMARSRQRLASFADMSVPVHWNTSQYVAEYLIGNPPQRAEALIDTGSDLIWTQCSTCSLKGSCVKQGLPYYNASNSDSFHPVPCNDTLCLANQEHSCARGDNCAFGAFYGAGVTRGSIATDVFAFQSGSARLTFGCVDSLRITPGSLDGASGLIGLVRGPLSLISQVGAKKFSYCHTPYLHSNTTVGASSHLFVGASASLSGGSPVMSMSFVQVPKKYPFYYVPLVGISVGHTRLSIPPTVFALKQNGTGGVIVDSGTSITALADGAYGPLRNELWRQLNGSLVPPPAGNGMDLCVAVAHEKRVPSMVFHFSGGADMVLPPENYWVPLDNFTSCMVLHASKGMSVIGNFQLQNMRLLYDLARDKLYFQTADCSSP >SECCE6Rv1G0434300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764724185:764725149:1 gene:SECCE6Rv1G0434300 transcript:SECCE6Rv1G0434300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPDFLHTVTESYLAEIRAVDFAEVDDVREETREEINQWAADATNNLISEILPEGSLDDLSRLVLTNAIYFKGTWETCFPKSLTEDHEFRRLDGADTVDVPFMTLPGTCELFVSYNEGFKVLKLPYKAGDDAMSRYSMCVFLPDEDDGLHAMVSTLADMGGSLLDHVPKHRSRVRELMLPKFKMSFFCRLAQVLRGLGLREAFTEEAADLSGIMDKSVCDVRLDEVFHKAVIEVNEEGTVAAACAAVIGRKKKSAMRLEFIADHPFAFYIVQEVSGAVVFAGHVVDPSSSQ >SECCE2Rv1G0131830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887333843:887335057:1 gene:SECCE2Rv1G0131830 transcript:SECCE2Rv1G0131830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELDEEIYMEQPDGFVVPGQEGKVCKLLKSLYGLKQAPKQWHEKFERTLTSEGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLDLIKEVEDFLSRCFEMKDLGVADVILNIKLLRDDNGGITLLQSHYVEKVLSRFGYSDCKPSPTPYDPSVLLRKNRRIARDQLRYSQIIGSLMYLASATRPDISFAVSKLSRFVSNPGDDHWRALERVMRYLKGTTSYGIHYTENPRVLEGYSDSNWISDADEIKATSGYLFTLGGGAVSWKHVKSRLKSVRKLKNSGVITVDYIQTTMNLADPFTKGLSHNVIDNASREMGLRPTV >SECCE3Rv1G0169720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:240486980:240490134:1 gene:SECCE3Rv1G0169720 transcript:SECCE3Rv1G0169720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVDRGASDDDLKKAYRKLAMRWHPDKNPTTKKEAEAKFKQISEAYEVLSDSQKRTIYDQLGEEGLKGQPPPGAGGPGTSSFYPGGGQSTSFPFNPRSADDIFAEFFGFSGPSFLGGMPSGSMRGEPRAYGGGVFSNEYLASRFAEGSAGNMPRPSHKPAPIENPLPVTLADLYKGVAKKMKISRKVTEISGRVSPVEEILTIDVKPGWKKGTKITFPEKGNESPSMMPADIVFIIEEKPHDVFTREGNDLVMTQKISLVEALTGYTVQLTTLDGRSLSRQVNSVIHPSYEEVIPGEGMPIPKEPGKKGNLRVKFSIKFPSRLTSDQKAGIKRLLGS >SECCE5Rv1G0369540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:835746062:835752949:-1 gene:SECCE5Rv1G0369540 transcript:SECCE5Rv1G0369540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVPEDPVLSTKSGLLFERRLIQRYIEDHGKCPVTKDDLTMDDLVTVKTNKIPKPRPLQAASVPGLLGMFQNEWDALMLSNYALEQQLHTARQELSHALYQHDAACRVIARLKKERDESRTLLAQAERQIPISAVGPAPVAAVTNGKRALEDEVGPDGKKIRPGINPVMIDELTECNSVLSAQRKKRQVPPSLAPIDALERYTQISSHPLHKTNKPGILSIDIHHSKDIIATGGIDTNAVIFDRPSGQVLCTLTDHSKKITSLKFVPRDELLITGSADKTVRVWQGNEDGSYGCRHTMRDHGAEVEAVTVHASQKYFATASRDNTWCFYDISTGSCLTQVGEASGQDGYTSAAFHPDGLILGTGMSEGVIKIWDVKSQAMVAKFEGEVGHVGTVTAMSFSENGYFLATAALDGVKLWDLRKLRNFRTLSPYDTDTPTSSVEFDFSGSYIAVAGSDIRVYQVANVKTEWNLVKTLPDLSGTGKVTSVKFGTDAKYVAVGSMDHNLRVFGLPGDEEMEEAKSESAEQ >SECCE1Rv1G0006190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:30858563:30860062:-1 gene:SECCE1Rv1G0006190 transcript:SECCE1Rv1G0006190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMWRRVRPRTSGGEDRLSALPDDLIHLIVSRLDSRTALSMSVLARRWVRIPRQLSVLDFRVSDILPPEYEQTVALRQRNLPHDDTLAGTLDGLKARCEIDTIRSFANGITSFLEADSGHDRRVKTLRLEFFRTYNSSCVDRLINAAVGAWGVEDLEVVVRQSSGYDQTQTYSFPDNCLNLQSCLRRLTLGKYCALPPLHNYNTLTKLVLRDMSASTPVKVYERVFRDCTGLQVLHLTYCCCAHTTLVVDAPCSHIRELVLEECSFSVIELRDLPMLVRLACCLTDTSNILFGSVPSLMDTNLSFSLEDDSVVAARGRDEFDSFLGMSPTMANLVIRFTGRRRWIRASRPERKLPHLKRLLVADVPSNWDISWTRGLLMASTFLEVLHIHVPQSETEPDYLRGMNWYKSHNELRHHHLKELVVIGFTQRNIWLLKYVVRVCTSLQRIVLLKDGHVRYNGLWDWRMVGQQTCPWSHDEKRVVRRMVNFFGLRPLPELILG >SECCE2Rv1G0096340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:437761018:437764647:1 gene:SECCE2Rv1G0096340 transcript:SECCE2Rv1G0096340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Pollination and drought stress responses, Reguration of potassium uptake by CBL1-CIPK23 comple [Source: Projected from Oryza sativa (Os07g0150700)] MVDSSAGGKMSAHGGGGGRTRVGRYELGRTLGEGTFAKVKFARNVETGENVAIKILDKDKVLKHKMIAQIKREISTMKLIRHPNVIRMYEVMASRTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMALYKKIYKADFSCPSWFSTSAKKLIKKILDPNPNTRITIAEVINNEWFKKGYQPPRFETAEVNLDDINSIFNESGDPAQLVVERREERPAVMNAFELISTSQGLNLGTLFEKQTDSVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHKFYHSISNGLKDVMWKPDGSIAEGDETRHRGSCDQI >SECCEUnv1G0554940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:294026385:294027926:1 gene:SECCEUnv1G0554940 transcript:SECCEUnv1G0554940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNSTEISELIKQRIAQFNVVSEAHNEGTIVSVSDGIIRVHGLADVMQGEMIALPGNRYAIALNLERDSVGAVVMGPYADLAEGMKVKCTGRILEVPVGRGLLGRVVNTLGAPIDGKGPVEHDGFSAVEAIAPGVIERQSVDEPVQTGYKSVDAMIPIGRGQRELVIGDRQTGKTALAIDAIINQRDSGIKCIYVAIGQKASTISNVVRKLEEHGALANTIVVVATASESAALQYLAPYSGCAMGEYFRDRGEDALIVYDDLSKQAVAYRQISLLLRRPPGREAYPGDVFYLHSRLLERAARVNAEYVEAFTKGEVKGKTGSLTALPIIETQAGDVSAFVPTNVISITDGQIFLESNLFNAGIRPAVNPGISVSRVGGAAQTKIMKKLSGGIRTALAQYRELAAFSQFASDLDEATRKQLNHGQKVTELLKQKQYAPMSIVAQSLIIFAAERGYLEDVELSKVGSFEAALLAYADREHGELLQQINQTGAYNDEIEGKFKNILDTFKATQSW >SECCE7Rv1G0492710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:555465307:555466878:1 gene:SECCE7Rv1G0492710 transcript:SECCE7Rv1G0492710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGGGRRRGSRRPKVKKKKKTKYLSLSRHLAKAVEVHRPAESLSVEDSLPPPSALPSPSAEEAVEAPEDGCGHEQQQQLEPFALHPEAPSTLFAAAPSLTDILGLSSPSFSGGGEGGSPSCTPSPDASAGTIGGEEEDLARRALRGRERWVYCRSSSSSPSAATPTTTTSSSCSSAASTGAASARSPLLKLDYDEILAAWAGRGSLYIGGTVGHVTPKLELDSEVFVDVAPPPQAATWSSPEASGRAERVRRYKEKRHARLFSKRIRYEVRRLNAVRRPRLKGRFIKENEGVAMAD >SECCE2Rv1G0077950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:103121898:103127033:1 gene:SECCE2Rv1G0077950 transcript:SECCE2Rv1G0077950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARTGSLKDLAAILDTASKVLPLVVAVSGRVSSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVYIVGARSAAGLILTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYASPKSIDQLILNLGKWAKLYQLASMGFAAFGVFLLAKRALDHFLQRKRQREFHKKARAAAAQRQARDAEGGNGTSDGEPKKDQLVLEICVICLEQEYNAVFVPCGHMCCCMNCSSHVTNCPLCRRRIDQAVRTFRH >SECCE7Rv1G0521650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874359113:874359805:-1 gene:SECCE7Rv1G0521650 transcript:SECCE7Rv1G0521650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCGVDLQEPYDAISGADEEAAPLLGMAPPSPAGSPRHSCREELRWYLTGVLVICLVVAVLAVGCHFHAAMVYANTPSFTVRLAGCDGIDAASPARIVSPAFSLTLRMNKTCADRADVVASYAGVTLGWARVEPHDCAGERPGRDVAVEARGHGVGLSRDLRERMASERRRAGAVELDVEVTVYNDVPDRFFAPHAHSMVISCKLRTDGRRASESEPCTWYSLYPILDD >SECCE2Rv1G0131820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887299334:887300404:-1 gene:SECCE2Rv1G0131820 transcript:SECCE2Rv1G0131820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGRRDLPADVLVDILLRLPPSTRRRVRLVCRLWRDVVDERTSEMQSRATPLFWDSWDAVAYVLDDLSKSSTGNCRELWRQATYGELVGTCNGLLCLCDMVTPGGGVTLVNPATHETLSVPQLPCAGGNNWYKAYSFAYHPTSGQYKVVHVPCSIDRMSVFNTVHVLTLGEASWREVPTGLEQDRWCECNLSAGIVSVDGTTYWVMSYGAAARVVSFDLEHECVTFVKGLPAHTGNYHLTEVHGRLGLVWILDKGHKWRCRYSLKQQDLMWPHFVYGEYVLTEENGWICGHYRRKGMWSSNKAVPVLVSHRAQGMLLAHTPSNLCRTFPYVETMEPLSIYAANC >SECCE7Rv1G0513080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:818188368:818190077:-1 gene:SECCE7Rv1G0513080 transcript:SECCE7Rv1G0513080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGKDSNMQQLVPMAPPARVSGGELVAKASVGDSGKQLVLVEGGGKSSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRITRMESDYERRKQVAEFNLRREERLRAAEERTAKKRLKRQKKKQRKKEKRAKPSGGGEEEPNSGLPNRVVESSDDDEGSDYEGDDKFKQCT >SECCE4Rv1G0218880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:25011072:25012384:1 gene:SECCE4Rv1G0218880 transcript:SECCE4Rv1G0218880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSMVSGPVATSSLPLSTRRRASSVSFPAPKKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRNRSRQILLDLSFDNKVTKDLSEEEVITLRKEVTKYMIEGDLKRFNRVAIERMKEIRCYKGIRHKLGLPVRGQRTKNNCRTLKGKRASVAKKKSASSSDE >SECCE6Rv1G0392490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:262497057:262497656:-1 gene:SECCE6Rv1G0392490 transcript:SECCE6Rv1G0392490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTTASRRSWPRYGKVPMTRSPACPRTAPLKRLVTMTDKNGNLGREFVKCESKPEQGKKLKQCAHFEWLDEYIERIQLEGASGELDLPLEAEKFGSGLSGSGAPGSRNSIGATMGDAGGMAELKKLNKQMKKLIELKKQGNLMAEIFYVCVIALAFVYMMITSR >SECCE6Rv1G0417240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:656336261:656341179:1 gene:SECCE6Rv1G0417240 transcript:SECCE6Rv1G0417240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRFVTLLRRRRSIPNPSLLHRRLPFSSSPPPPSPESPSAPSSSKPPSLSARLSFVFDQLDALDRNRSSDLSARDAALRRIQSWRRPAPPPPDAPLPPPPARPEPGGQPEKHIEAAAATVGQEVVEAEGLESMSVADVLRREVELVHPWPEWIELMERLAQQRYFDLGGGSGGADQESRLAAAVPMDLSEVAEEAGFDFSRDWTTVKNACMNFGRDRFDILKPLPRKDLQVLVGHGCPSMDPKVVFSAKLIRKLVHLDEGDVCSSCNLRNSCSRGYILTRKEDEARTLDVMRILLVYGFDHIKGTVENKPLLKLKSVKTVVRKLIHEIAKLSAVPIDPNLPPPVIRKLPPKVKQPPPPPKRRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHNRPADEYTNSQMEEYQSAPRKRLERPARKPDVSNAWNFDFDDNESDGADVAAFEFADSSKVRESSSVNNSYRDNAKGSEAEKFFGMDEPRSEGRERKFNERDSLNSSRVGFDDFDDEEDDIDSYELDLSKGGQTGGVSRVSYSDIENASSDSEDFSEFAHSRNSNHGAKDGATGSAEDDEFDDQPSLRSSHIADSWQKTRGWSGSSNSRSSSFGSEGNDGVISDFDEDVDNGFRSKQRRKQGGREAVPDMDSDMDDEFQSDDRRNRSPANFRENFPARSSNLNGRSTSGDRYGRTRGSEQFRSVDGRDGGLSFDRNHRGRGNQQDRGSRGSQRNAGRSWDNSSEFDRRGRGNQQDHGSRGSQRNEGRNWDRSSEFDGSDRSLRRSNRR >SECCE7Rv1G0482140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:301365256:301366438:1 gene:SECCE7Rv1G0482140 transcript:SECCE7Rv1G0482140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >SECCEUnv1G0547060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:166561559:166564426:1 gene:SECCEUnv1G0547060 transcript:SECCEUnv1G0547060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRLLLLLLLPWSCSGLAILLLAGARTVPVEFLYPPYNLTYMNYIDTSGVFLRSPNATFSAAVFNAGADSPSAGDESQMSRYFFSVLHDRSRTPVWAATAGSTIIQSIILSLNASGLYISDPAGQSGPAWSTPRLAAPVAALRLLDTGQLALIDGGNATLWSTFDAPTDTLLQGQALPVGVPLTATASEQDLSPGAYRLLLTPADALLQWASSSDGGADFVTYWALSSDPASVQDSNRAVRSMMVNASGIYLLADDGDGRDTVFSLRFASPPAPATGMLLKVDSSGRLRALSTAYSPTAALPSVVWAAPASDCDLPLPCGSLGLCTPGNNGSSCMCPDAFTTHTTGGCSPADGSALPVLSDSCLAGNASSNSKSSAATPYSYTRLGDGIGYFASKFALPTTAGDALPACRDLCSGNCSCVGFVYRNSSKSCLLLHNQIGSVFRAGNSAAAAVAFIKTVPPAPSRGQGGGGGSSSLSTITIIFGIVLPAVAAVFITFLLYVLGVHWLKNRHGGPSTGKAKKKQHGHGGSSWFMLHMMPSLSSSRASSNVPSEKGDGDGDSEEEDDEVLIPGLPTRFTFADLETATNGFKRQIGSGGFGSVYRGELPDRTAVAVKRMNNLGMQGRREFLTEIAVIGNVHHVNLVKLRGFCAEGARQQLLVYEYMSRGSLDQSLFFSPRAAAAAATAARKKRDVLEWPERLGVCVGAARGLAYLHAGCDRKILHCDVKPENILLDDRGGVKIADFGLAKLMSPDQSGLFTTMRGTRGYLAPEWLMNAPITDKADVYSFGMVLLEILRGRKNSKHDDDGDEHHTTGSTTSASAVSSDGGEATKLARSSYFPALALELHEEGRYLELVDPRLEGRADAAEVARVVRVALCCLQEEASARPAMTAVSGMLDGSMDVGAPRTEQLAYLRMYGRGLVDVRPGGWKGSDMTAGSSSWSPPSCVSAQQLSAPR >SECCE3Rv1G0188900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:719523606:719525110:-1 gene:SECCE3Rv1G0188900 transcript:SECCE3Rv1G0188900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKESVKRGPWSREEDAVLRNFVQRFANAGNWITLPHKAGLNRCGKSCRLRWLNYLRPALRHGGFTEEEDSLILSLYGDIGSRWSVIAAKLPGRTDNDVKNHWNTKLRKRYLLTAAPSTPPTDEDNVPADDSPPGEDQSCLSPLPPALVNLDAALATLDDDAELTHESEQLYAELMGLIEPQSTTHTSAGEVMSSPSSSSPPPFGASPTASNFAAGSSATWSVDVHDTILWPESSGNSMVEFDDPCVAHIFGPASTPDSFQDLLASSYDEVIATQELLYLGMNWSEDLN >SECCE1Rv1G0061790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717435893:717440787:1 gene:SECCE1Rv1G0061790 transcript:SECCE1Rv1G0061790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKEREGEYLGKTVQVVPHITNAIQDWIERVAMVPVDGQEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNMLACRSTKELEENVKEKLSQFCHVPAANIFTLYDVSNIWHIPLLLRDQKAHNAILKVLNLESVAQEPKLDEWVARATLYDTLQDEVRIAMVGKYTGLSDSYLSVLKALLHASVSCHKKLVVDWVASTDLEDSTASEAPDAYKAAWTLLKGADGVLVPGGFGDRGVKGKMMAAKYARENNVPYLGICLGMQLAVVEFARHVMKFPDADSTEFNPNTKTPCVIFMPEGSKTHMGGTMRLGSRRTFFKVTDCKSAKLYGDVNYVDERHRHRYEVNPDMVPAFENAGLQFVGKDETGQRMEIIEIPDHRFFVGVQFHPEFKSTPSKPSPPFVGLIAAACGQLDQVLQDSCNGHVVAAKHKLGDSSSTPLVHQNGHVQKQANGGVANGTCHANGNGSTHG >SECCE1Rv1G0039150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:545780085:545780816:-1 gene:SECCE1Rv1G0039150 transcript:SECCE1Rv1G0039150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVEASAVSSTTVEMEAAEQLIQLSGGGCDDDGGESESKSADSVRAGEKVPDMESKSTDSVKAAGEKARAAQSKSADSVMGAGEKVPMPTVESKSADSVIADEKVPMPTVESKSADSVKAGEKRGDGKAGAPAVESSAGKRREKLGGPKGGGQDEEAVIGGVARRRPRFRSLAAIYRDTRRMDDHGHRREEAEEEEEEEMGEAKRNKKKRSAMGGAAADDGEAIPAAAPCKAKRGVRRRTS >SECCE5Rv1G0368100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826539122:826540198:-1 gene:SECCE5Rv1G0368100 transcript:SECCE5Rv1G0368100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKVFGSPMSAEVARVLMCLFEKDVEFQLIRVDAYRGPNRMPQYLKLQPLGEALTFEDGSLTLSESRGILRHISHKYAMQGNPDLIGTGALERASIEQWLQTEAQSFDEPSAQMVYSLAFLPPAMPQKLNNGDGADGNGNGIADNGTRAVVNASAKRVTAAGAAKEEEMRKLFEKNQRELEKLLDIYEQRLEEAEYLAGDKFTIADLSHLPNADRLASDPRSRRMFQRRKNVSRWWDKVSQREAWTYVKSLQRPPTSNGAAAQNLQQPRSGEARDGSGNIHNYQRDQYADASDGGSNYQRSQYGEEHDGGRHSDYQQSRSGGARY >SECCE6Rv1G0400510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:453488512:453496036:1 gene:SECCE6Rv1G0400510 transcript:SECCE6Rv1G0400510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMHERPALGLGSLASILGVVYKSGRTRELSWRRSQLNGLLRLLTEKEEEIFDALHDDLGKHRTESFRDEVGVLAKSIRHTLQNLEKWAAPEKAPTPLVSFPATALVLPEPLGVVLIFSCWNLPLGLALEPLSGALAAGNAVVLKPSELAPSTAAFLSANIPKYLDAEAVKVVLGAPEVGQELMEHRWDKVFFTGGARVGRIIMTKAAKHLTPVALELGSKCPCIVDWLDSKRDSQVAVNRIIGAKWSTCAGQACIAIDYILVEEQFAPILIELLKSTLERFFTKPEDMARILNERQFNRLSGLLEDHKVNCSIVHGGDVDPKTLSIEPTILLNPPLDSDVMTEEIFGPLLPIITVKKIEDSIEFVSSRPKPLAIYAFTKSEALKQRIIRETSSGSVTFNDAIVQYGVESIPFGGVGQSGFGQYHGKYSFEMFSHKKAVFRRSFLVEFMFRYPPWNDDGKLGMLRHVFRYDYVSLFLALLGLRR >SECCE5Rv1G0336840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:586742844:586744974:1 gene:SECCE5Rv1G0336840 transcript:SECCE5Rv1G0336840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSNCSQLQYLDLASNELGGMLPSSISNLSTSLVYLSLSRNRILGNIPESIGNLLQLQVLSLDNNLLTGTLPSSLSILTNLGDLSLGRNNLSGTVPLAIGNLTQLSSLYLGYNTFSGSIPSTVGNLASLLNIDFSTNNLTGIIPSSLFNISTLSLGLDLSYNCLEGSIPSEIGNLKNLVEFRAASNRLSHEIPPTLGDCEILQNIYLQNNFLEGSIPPLLSGLKGLEILDLSSNKLSGQIPKFLEDLNTLHYLNLSFNNFVGEVPFTGIFTNATTVSVQGNDKLCGGIQDLHLPPCSFESSKKNKLPMKTIIIPLVAVLGVIFLVFFLLAWNKQRSNGNSSTATIQGYPLVSYLKLVRATNGFSTANLLGSGTFGSVYKGNLGGDRGDSANIVAIKVLKLQTPGALKSFTVECEAIRNTRHRNLVKIMTLCSSIDSKGDDFKAIVFEFMPNGSLENWLHPDQNEEKHLDLLKRVSILLDVGYALDYLHSYGAAPIAHCDLKPSNVLLDVDLVAHVGDFGLARILTEGNSFPQNSTSSMGFRGTIGYAAPEYGAGNVISIQGDVYSYGILILEIVTGKRPTDSMFIQGLNLHKYAEMAIHSGVMDVVDMRLFSHIEKGSPATDDSSTFSRTYDPSDERRIDCLTSLLKIGVSCSQEMPVSRMLIKDTIKELQAIKDFLV >SECCEUnv1G0560500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:347884945:347885364:1 gene:SECCEUnv1G0560500 transcript:SECCEUnv1G0560500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPTGLLTVPFLENVNKFQNPFRRPVATTVFLIGTVVALWLGIGATLPIDKSLTLGLF >SECCE5Rv1G0351290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:697704236:697707169:-1 gene:SECCE5Rv1G0351290 transcript:SECCE5Rv1G0351290.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYTTDDALTAMGFGKLQGLVLVYAGMGWVAEAMEVMLLSFVGPLIREEWKISAQDESLLSSVVFLGMLIGACGWGYVSDKYGRRTGLLFSTLFTTGMGFLSALSPNYLCLMALRFLVGVGLGGSHVYSSWFLEFVPAQNRGFWMIIFSFFWTIGTVLEASLAWIVVSTLTWRWLLALTAIPCFLLLPFFGITPESPRYLCAQNRMSDATLVLERIAETNQAALPPGVLVYPRDDEADHSAHTSEADHLLPLREKECTDDDVISPKSGSAAALRSLLSRKLRRSTLLLWFVFYANSFAYYGLVLLTAQLSNANRSCASGLKYVKNETDTSLYKDTFVTSLAEIPGLIASAVLVEWFGRKATMWCLLFTCCGFLGPLVFYQSELWTTGLLFGARACAMGSYTVVCLYAPEVYPTSVRSTGVGIATAMGRVGGIICPLVAVGMLRSCHQMEAIIVFEVVLFLAAIACMLFPFETKGRAMN >SECCE5Rv1G0365670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:808479638:808481831:1 gene:SECCE5Rv1G0365670 transcript:SECCE5Rv1G0365670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPCNTGRLPPGSMGFPLVGETFRFFKPSPSIDIPAFYKQRLKKYGPLFKTNLVGQSLVVSMDAEANRFIFQQEGKLFRSWYPDTTNIIFGKESLASCDGSLHKFVRSFAARLFGVDSLRDVLLAEMEQNVARSFAAWAAEPAGIEVKDAVSTMIFDLMAKKLIGFGPDKSRRLRKNLDIFFQGMVSFPLYFPGTAFYKCIQGRKNVQKVLKDLLKERLGAPQKPHGDFLDEVVDELKSGTGILNEKFAVDLVAALLFASFATVSSSLTVAMKFLSDQPGVVEALKEEHEAILKKRTGASITGITWDEYKSMTFTAQVTNEIVRLSNVAPGIFRKTLTDVQVKGYTIPAGWLVMISPISVNLNPELYEDPLAFNPWRWQDESKKSTLLKNFMPFGGGLRLCVGAEFSRIQIALFLHTLVTKYRWKEIKGGEVQRISEIVFPKGYHMIHIQIIPREESIN >SECCE4Rv1G0238330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:374780559:374796704:1 gene:SECCE4Rv1G0238330 transcript:SECCE4Rv1G0238330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTALAVRASPSCQFSHREYPHSTAPRLSRSCNHQLLVFSSSFACSPLFRRNGSSASPRLRGLQVSTSGVSARLDERIHGQSYLRKTTIVASENENPPLMPTIMTPGGPLDLATVLLGNRIIFIGQYVNSQVAQRVISQLVTLSAIDEEGDILIYLNCPGGSLYSILAIYDCMSWITPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNTEEVRRQVGETIYARDKVDKMFAAFTGQPIDIVRQWTERDRFMSSSEAMDFGLVDALLETGC >SECCE6Rv1G0388280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:132073393:132074464:-1 gene:SECCE6Rv1G0388280 transcript:SECCE6Rv1G0388280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSDLGSLGGRPTNAPPNPFESAMYGAGPGLIRTGLGAYGEKFLGSSSEFMQSNITQYLSNPQYYFQVNSQYVRNKLKVVLFPFFHRGHWTRITEPVGGRLSYKPPIQDINAPDLYIPLMAFGTYIVIAGYALGVLGRFTPEALTLQFTRGLVGWFLQVVLIKGLLYSLGSGEAPLLDIVAYAGYGFAGTSLAMLARIFWSYLYYFIMPWFCLCTGVFLVKTMKRVLLGGPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >SECCE5Rv1G0360450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:770113698:770114159:1 gene:SECCE5Rv1G0360450 transcript:SECCE5Rv1G0360450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASATGAVSKAKKYVVGRKLGGGPRKKAVARSVKAGLQFPVGRIGRFLKKGRYAQRVGMGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIIPRHLLLAIRNDQELGKLLAGVTIAHGGVLPNINPLLLPKKTAEKEPKSPKKAAKSPKKA >SECCE1Rv1G0030900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:436667156:436669207:-1 gene:SECCE1Rv1G0030900 transcript:SECCE1Rv1G0030900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g25060, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G25060) UniProtKB/Swiss-Prot;Acc:Q9LJR6] MHLLAFLDDPRRLRRLLSSCAALRTLTRLHALLIVSSSASSHHILSSCLATAYARAGDLAAAECTLATAPTLPSSIAAWNALLAAHSRGASPGAALRVFRALPPAVRPDSTTFTLALSACARLGDLATGKVVRDRASEAGYGSDIFVCSSLLNLYAKWGAMGDAVKVFDRMRKRDRVTWSTMVTGFVNAGQPVQAIEMYMRMMGDGLEGDGVVIVGVMQACAATGDLRMGASVHGYLLRHAMRMDVIISTSLVDMYAKNGLFDQARRVFELMSHRNDVSWSALISQLAQYGNADEALGMFRQMQVSGLQPSSGPVVGALLACSDLGLLKLGKSIHGFILRRLELDCMVGTAVIDMYSKCGSLASAQMLFDKVVSRDLISWNVMIACLGAHGLGRDALSLFQEMKRNEVRPDHATFASLLSALSHSGLVEEGKFWFDCMVNEYGIEPAEKHLVCIVDLLARSGLVEEANDLLASMHTKPTISILVALLSGCLNNKKLELGESAAEKILELQPGDVGVLALVSNLYAAAKNWDKVREVRKLMKDHGSKKVPGCSSIEIRGALHTFVMEDQSHPQHRHILQMVSKLDSKMRKMGYVPKTEFVYHDLEEGVKEQLLSRHSERLAIAFGLLNTSPGTRLVVIKNLRVCGDCHDAIKYMSKIADREIVVRDAKRFHHFKDGACSCGDYW >SECCE2Rv1G0138900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:924234407:924235696:1 gene:SECCE2Rv1G0138900 transcript:SECCE2Rv1G0138900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEVPSYFVCPITLDVMRDPVTLPTGITYDRHAIHRWLRLAASCPLTKLPVASDCEPTPNHTLCRLIRSWCALHRPDDAVDHSMKSAPADCARLAALVSRLADAKTKRPSREALAALRELRDVVAEGECGRELVAAVPGSADALLDVFVSSATSAPENADAALDVISSLRLPERCLARAVDRDGAALVGALVSTLQGHSGSDADAASRARAAVLLADVTACMAPSRAASLPEQAFVEAVRLLRGDNSDGTGASTAMATKAALRVLAGATAHGRNRVKAAEAGAVAALVDMLLLVNGERRRAWCELALCALNRLCGCAEGRAALVAHGAGVAAVGAWVARASMAASAKAVRVLRSIARHAATVAVVQEMAATGAVGKLCLVAASAPEEGRQWCDERTRERARETLRLHARAWRSSPCVHPTLQALYPC >SECCE3Rv1G0149310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:24096655:24099820:-1 gene:SECCE3Rv1G0149310 transcript:SECCE3Rv1G0149310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHKELGSVLSWIGDLRNLMSLELYMCDFSWTMPSSIGNLKALGSLTMFDCNLPRPILSKIGNLIGLQKLELSYCKLHGSMASSVHNLTNLRSLHITSCDSGGTMPAAIGYLRNLRRLEISGSDFSGSIPSTIGNLTNLKSMVILHSQLSGSIQSTIGNLTNLKIMVLLSSQLSGSIPYAIGQLKELTHLTIREGSISGRIPSSLLNLTRLAELDLSENHVSGHIPTPLFALPKLRYLNLGWNQLSGPIEEFDAPSSCLQVVILSKNSLAGQFPKSFFQLAELFCLEVNLNNFVDSVDISSFGRLRKLTFLDLSHNMLSVMIDEANNSLSTSLFGLDVLGLACCNITKFPSFLTHLDRMAYLDLSCNKITGDIPKLIWERWNNSLLQLNLSHNMFTGMQLTSYALPFSRSLEVFDLSSNRLQGQIPMPNLSSEFMDYSHNFFSSVLPNFTLYLNDTSYLSMSNNSINGYIPETVCDSRLDVLDLSYNNFSGPIPSCLIENAQRSVLNLRENHFEGTLPSNITSECIFQTIDLHDNKIEGQLPRGLSSCSYLEVLDIGNNLIVDTFPSWLGELPNLYVLILRSNRFYGSIDGDIGKHKSRGYFSTLQILDLALNNFSGKMNSEWFSQLKAMMANFNASGDIVRATNLHGMAEYYQDSTEITYKGSDVTFGRILTTLTAIDLSNNRLEGTIPESVGRLVSLRVLNMSHNAFTGKIPARLGGVTDLESLDLSCNQLSGEIPQELADLTYLAILNFSDNQLVGKIPQSRQFLTFDSNSFEGNLGLCGLPFSNACGVSLAPPSVAQVEKSSDVDVILFLFVGLGFGVGFAAAILMRWGRIGKWFVKSARALRT >SECCE5Rv1G0334680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:567167520:567169255:-1 gene:SECCE5Rv1G0334680 transcript:SECCE5Rv1G0334680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKGETVCVTGAGGYIASWLVKLLLSRGYAVRGTVRDLGEQKTGHLRSLENASENLKLIKADLLDYDAMAAAIEGCQGVFHVASPVPKGEVTDPEVEVLGPAATGTRNVLEAASAAKVRRLVVVSSIVAVDINPKDWPADKVKDESSWSDIEFCRSNEDWYSVSKITAEEAALEYGRRTGLDVVTLNPAVVFGPLLQPTVNASSQFLIYFLKGGPDRMRNKLWHIVDVRDTADALLLLYEAPEATGRHICAPHVITARDLLDLLKSMYPDYPHISKESISDMDHPAPMTTDKLKKLGWSCRSLEETIRDSVEFCQQAGFLDDVEGAEPCRFPPVYNKI >SECCE5Rv1G0366680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815401654:815402514:-1 gene:SECCE5Rv1G0366680 transcript:SECCE5Rv1G0366680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHCYGYDYNDLAYTPQQYGSLSLPQPHPPSYCHEQSIYSPWCHYGDQQYSSAFGHQQQQQLHFGGGGIGYHDYDMDQLSAVMHQAASFSVTSTAPPTNLVWEDQDVTNNQRRGEPMMYPCAATTAVEPGGGGAAEEDPLIGVRKRPWGKYAAEIRDSTRNGARVWLGTFDTPQAAALAYDQAAFALRGPAAVLNFPVNRVQESLNVLGLATTSAAAGDSPALALKRRHCIRKRKPKNNKTSAPVAARKQSLQGSAAASASCVLELEDLGADYLEELLGCAIDDG >SECCE2Rv1G0132980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894840335:894842724:-1 gene:SECCE2Rv1G0132980 transcript:SECCE2Rv1G0132980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTARLSSIFTSSFKAPSRERRAPLAALAAATERVRDGTLSRQDAHHLFDELLSQAATVPVRTLNHFLAALARAPPSAACGDGPALAVTLFNRMSRSAGARAVSPTCCTYSILMDCCCRARRPDLVVAFFGRLLRLGLRLDVISFNNLLKGLCQAKRSNEALDLLLHRMPELDCAPDVFSYSIVINGCFKEGEVEKACNLFHEMIQLGVQPDVVTYNSIIDALSKYGAMDKAEVVLRQMVDQGIGPNVMTYTSLIQGYSTSGQWKAAVRVFKEMVSLGALPDAVMWNSFIDSLCKHGKTKQARDIFDSMAAKGHKPDIFCYSTMLKGYVKEGCFDDMTDLFNSMVRNGIVPDHHVFNILINAYAKRGLMDKAMHMFEVMRQQGVNPNVVDYAVVMDSLCKMGKMAAAMDIFNQMVNQGVSPDIVVYQCLVLGSCSHGDFVKAKELISEAINRGLCSDSVFFYPVINNLCKEGKVMEAQDMFDFIVGIGQWADVIMYTSLMDGYCLVGKVEKALRVLDAMKSAGLQPTAVTYGTLLNGYCKIGRIADGLSLFREMSLSGVKPTTIMYNIILDGLFRSGRTVSAKEKFRTMSESGIPVGVDTYNIVLSGLCRNNCTDEAIELFKKLRAMNVKINVIILNTMISAMFKTRRIEEAKDLFATISAIGLVPSVATYGLMMTNFIKEGLLADADDMFSAMEKAGCAPNSRLLNQVVRVFLKNGEVVKAVTYLAKLDAKQLSLEASTISLILSLFSRKGKFREHVKSLPLTE >SECCE5Rv1G0351570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:700971017:700972282:1 gene:SECCE5Rv1G0351570 transcript:SECCE5Rv1G0351570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQQIRRVQRADGPASVLAIGTANPASSMLQADYADYYFRVTNSEHHADLKGKLKRICKKSGIERRYAHLDEELLGAHPDLTDRALPTLDARIDIASAAVPALAASAAARAFAEWGRPAADVTHLVFSTYSGGKAPSADLRLASLLDLSPTVSRTILSLNGCSGGGRALQLAKEIAENNRGARVLVACSELTLIAFCGPEEGRLDTILGHGIFGDGAGAVIVGDGVERPIFEMAFATQTTIPDTEDEITMQLMKGGLDFHVSIRVPKLLKSNVERCVIEAFESIGVSATWNDLFWVIHPGGRAILDNVEELLRLDAEKLAASRRVLREYGNMSGATVIFVLDELRPRRARGEEVAEWGVMMAFGPGITIETMVLHAATNSLGEN >SECCE3Rv1G0152090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:44183577:44185091:1 gene:SECCE3Rv1G0152090 transcript:SECCE3Rv1G0152090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ASPARTIC PROTEASE IN GUARD CELL 1 [Source:Projected from Arabidopsis thaliana (AT3G18490) UniProtKB/Swiss-Prot;Acc:Q9LS40] MQPLLGLALLLALLLASPPPALCRHRAPAAATETLDVEASLSRARAAVSTDALPLHQSLAATDTDTNVLPVRERPSGSSGRLALRLHSRDFLPEEQGRHESYRSLVLARLRRDSARAAALSARASLAADGVSRADLRPANATPVFEASAAEIQGPVVSGVGQGSGEYFSRVGVGRPARQLYMVLDTGSDVTWLQCQPCADCYAQSDPVYDPSVSASYAAVGCDSPRCRDLDAAACRNSTGSCLYEVAYGDGSYTVGDFATETLTLGDSAPVSNVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISATSFSYCLVDRDSPSSSTLQFGDAEQPAVTAPLLRSPRTNTFYYVGLSGISVGGQALSIPASAFAMDDVGSGGVIVDSGTAVTRLQSGAYGALRDAFVQGTQSLPRASGISLFDTCYDLAGRSSVQVPAVALRFEGGGELKLPAKNYLIPVDGAGTYCLAFAGTSGPVSIIGNVQQQGVRVSFDTAKRTVGFTADKC >SECCE4Rv1G0231110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:179273372:179274640:1 gene:SECCE4Rv1G0231110 transcript:SECCE4Rv1G0231110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPGSKESQNYDNNSQKVYPQPVDENMNQNMDTMDSMIGRIFNNISSLKAAYIQLQEAHTPYDPDKIQTADKLVIDELTRLSELKHTYREKNPKPVAASPQDSRLLSEIQEQQNLLKTYEVMVKKFQSQIQNRDTEIALLQQQIDEAKHRKSKLEKKLKQRGLLNKESEESDEEENYFSIELTPSLFTSATDNAYQSIHDFSKPLINMMKAAGWDLDAAANAIEPDVVYTRRAHKKYAFESYICQRMFSGFHEESFSIKSAAASVSNEAFFHQFLAVRAMDPLDVLSQNPDSVFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTAFYQAFLKLAKSIWLLHRLAYSFDPKVRVFQVKKGSEFSEIHMESVVKNMVLDESAERPKVGLMVMPGFLIGTSVIQARVYLSDVKYAD >SECCE6Rv1G0417030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:652861901:652863699:1 gene:SECCE6Rv1G0417030 transcript:SECCE6Rv1G0417030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMITSPIVAPTSVPSLSRRGSSFAVVCSGGKKIKVDKPLGLGGGLTVDIDANGRKVGKKGVYQFVDKYGANVDGYSPIYTPEEWSESGDRYAGGTTGLLIWAVTLAGLLGGGVLLVYNTSALAG >SECCE6Rv1G0397350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:390058040:390058474:1 gene:SECCE6Rv1G0397350 transcript:SECCE6Rv1G0397350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGGPPRLLSSRESIHPLSVYGELSLEHRLRFVLNGKMEHLTTHLHRPRTTRSPLSFWGDGGIVPFEPFFHAFPGGLEKAAINRTFLILPSFQEEREILFP >SECCE4Rv1G0269950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:750733284:750734869:1 gene:SECCE4Rv1G0269950 transcript:SECCE4Rv1G0269950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase A5 [Source:Projected from Arabidopsis thaliana (AT2G18030) UniProtKB/Swiss-Prot;Acc:Q9SL43] MARASSSAAAAFLWALACLVAAASGARLTVRSGGPGLTVRAGGGASAAAVFALGSFWRSEAAFGCLHGVLRTSVGYAGGSKANPEYRNLADHAECVKVEYDPRQIQYKQLLDVFWASHDPREVFGQGPDVGNQYRSVIFTNGTLEARLAALTKEREQAKDRSSVITTKIQPLGAFYPAEPEHQKFELKRKPFLMQLIGNLPEEELLSSTLAAKLNAYAAELCPPKTQKKISSKIDEIAKKGWPILRDI >SECCE6Rv1G0401570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:469265750:469266373:-1 gene:SECCE6Rv1G0401570 transcript:SECCE6Rv1G0401570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid phosphate phosphatase beta [Source:Projected from Arabidopsis thaliana (AT4G22550) UniProtKB/Swiss-Prot;Acc:Q9SUW4] MASASASSPANAHALQALPKSTLLGGVGDLDAAASLRLHALFLPVPRLLLKAFEVAGDGRIWLPVPISLLLISSTTSSVVSPLLAGLVVGLVLDLAFVGLAKLIVRRPRPAYNAADMYVAVSVDHWSFPSGHSSRAFLVAAFLADGGLPLPREVLFLWAAATSASRVLLGRHYILDVVAGAWLGVLEAWLSNLILRFLCGHSSFLVC >SECCE1Rv1G0057580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694121540:694122495:1 gene:SECCE1Rv1G0057580 transcript:SECCE1Rv1G0057580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFGRKGLLPAVSGFVILLLGSSIHGASDSLQGLNQSYKIVQPLELTPKLSLQLKLHAFLLWSSVGFLMPIGVLLIRFSSNVKSAKAVRVLFYCHVAAQVAGVVLATAAAALSITNFENAFNNTHQRIGVALYGLVWLQPLIGFLRPDRGVKARSVWYLAHWLLGVAVCVVGVANVYIGLHTYRERTGRSVGLWTALLTAEVSAVALVYLLQDRWNHVVRQEEAAAGDERRSETETSEEPSYPANDHKEVAVMP >SECCE5Rv1G0302580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:39959330:39960231:1 gene:SECCE5Rv1G0302580 transcript:SECCE5Rv1G0302580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLFLLAVLLSLVSWQAIAYDPSPLQDFCVADMKSPVRVNGFPCKDPMAVNPEDFFNPAMLDQPRDTKNSKVGSNVTNINVINFPGLNTLGISLARIDYGPLGVNTPHIHPRATELLTVLEGTLYLGFVTSNPNRLFSRVVKKGDVFVFPKAMIHFQMNLAHDKPAAALSSLSSQNPGVISIANAVFGSNPPISDDVLATAFQVEKKLIDWLQSQFWENNHY >SECCE2Rv1G0066100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:11909319:11912208:-1 gene:SECCE2Rv1G0066100 transcript:SECCE2Rv1G0066100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEARSGGSSASEGRWSQSGTSLPVRNVQALAGSAAELTADTIQRYIQPDVDGDTVLTEQHSGEVPVIDLGKLLDAVSVEAEAAKLRFACEDWGFFQVVNHEIPIEVIAGMKHDVQKFFQLPLEVKNAYAQRLGDLQGYGQAFVLSDDQKLDWSDMFGLFSQPPQARDLSYWPNQPPNFRNSIEEYSSELMKLTRSLATFIAKTIDADPKLMEDKHVGQFLRMNYYPPCTTMPEKVIGFSPHSDGSFLTILLEVNAVQGLQIRRHGAWIPVKPRGDALLVNVGDFLEIMTNGKYKSIEHRVTINAQKERLSISAFQVPKYDGIISPVLGNTEEKVLYKTMGVEEYARLYLSNKPDGKRTLDYAKLPQI >SECCE3Rv1G0210180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:942609072:942612304:1 gene:SECCE3Rv1G0210180 transcript:SECCE3Rv1G0210180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTAMAFSSLLPLLLLAAHGAAPALGFTRSDFPPDFVFGAATSAYQGAVAEDGRSPSIWDTFTHAGKVADKSTGDIASDGYHKYKDDVKLMADTNLEAYRFSISWSRLVPNGRGAVNPKGLQYYNSLINELVKHGIQVHVMLYHLDFPQVLDDEYGGWLSPRVVEDFTSFADVCFREFGDRVSYWTTIDETNVGPVESYDSGILAPGRCSDPFGITKCTVGNSTVEPYIAAHNMILAHASATRLYREQYQAVQKGVVGINVYSFWTYPLTNSTMDLESTKRYQDFMFGWILGPLVFGDYPQAMKTNVGSRLPSFTKSQSEFVKGAIDFIGINHYHSVYVNDRPLKDGVRDYAADMSVYQRGSRTDPATTEYLPMAYPDDPEGLRLVLQYLTEAYGGLPIYVQENGKASVNDILDDTDRVEYLMTYIGSTLDALRNGANVKGYFMWNFVDIFEFLAGYKSGFGMYRVNFEDDARPREARLSAHWYSMFLKIDGINAQSEVDGT >SECCE4Rv1G0260840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:698983988:698987146:1 gene:SECCE4Rv1G0260840 transcript:SECCE4Rv1G0260840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT4G11240) UniProtKB/TrEMBL;Acc:A0A178V1Q1] MDAAALDDVIRRLLEARGGRTVRPAQLSDAEIRRLCAAAKDVFLSQPNLLELEAPIKVCGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLKNMDQIRNIARPVDIPDHGLLCDLLWSDPDKDVDGWGENDRGVSYTFGADKVAEFLEKHDLDLVCRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSDKKGKAGTGNSKPGTPPRKIKINII >SECCE1Rv1G0059350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:705483332:705487244:1 gene:SECCE1Rv1G0059350 transcript:SECCE1Rv1G0059350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPATPKTSSTTSSRKAPAPAPPPVPAAAARPQTPTPARRPSGQCSPAPPGSGGKGGGGGSSFARSFGVYFPRSSAQVQPARAATAPDAAELARLVEDLQERESRLRTELLEHKILKETVVIVPFLETELAAKSSELGRCRDAMSRLQAENARLRAELDAAVASVSSKEQRIAEMERRMAEMARPQRGGADDCSSSDGSTKARGGDTAAKPASKPVRPPPPPPPPPPPMPAHAKPKSYLSGSSRASPANSSSSGSSTPSCSSDTAASTGRKPELSKLPPIPPPPPPPPPPSMPARGTRSASSSPSTSSGAAPAPPPPPPPPPPSGPCVRRVPEVVEFYHSLMRRDSKRDCGACPEAGGTGGSGAANARDMIGEIENRSSHLIAIRSDVERQGDFIRFLIKEVEGAAFADIDDVVTFVKWLDVELSRLVDERAVLKHFDWPEQKADALREAAFGYRDLKKVEAEAAAFCDDPRQPCASALKKMQALFEKLEHGVYSLSRVRDGAMNRYRGYQIPWEWMQDTGIVSQIKIQSVKLARKYLRRVSSELEATQGGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQEIKEKANALQSQRDQQHLQQQRLAAGRS >SECCE5Rv1G0316890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:332316035:332323519:1 gene:SECCE5Rv1G0316890 transcript:SECCE5Rv1G0316890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLANQPPPPSSDMDVDSAAAVEEKNPVRFSINVLELMREAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFLHGRGKYTRRNITESTVTDVRFLHVVFYMAERAWSHAMEKKTAGPNAPQRIYMLGRFRKAVKWAALFSQLCSIKGDSRTSLEAEAYASYMKGTLLFEQEKNIEAAMLNFKNTRTVYEELGKYGSIENQLLCRQRIEEVEPMIGFCSRKLGGSALQEHDLLDMEKEGPAYDLFKAKIEAVLSETRSQQAASMTEFNWLGRRFPITSAKTRVSILKAQQLERDLNGAATEPIPADKKLAIFDKLFSAYHEARSCIRNDLASAGNAENIRDELNGLDKAVSAVLGLRTIERNQLLVTIAKSKFAKHRDEKNEKITKPEELVRLYDLLIQNTTDLTDLVSSGRDKNEEENAFVHEYELKDLAFRAERCFYLAKSYSSVSKRAEAYALFCHARSLADSALQELANSPHKTLIQDLEALSFNCRSNSCIEHATGILEDESAPERLSKGVSTISLGDDKRKDTKYLLDILGSYESALGEQSAKAPCRISQFPPPFQSVPCNPIVLDMAYNTIEFPNLENRMKKEKKGLLRRFWG >SECCE7Rv1G0460480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:31970220:31971463:1 gene:SECCE7Rv1G0460480 transcript:SECCE7Rv1G0460480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQRLAPITCSSSKTNNTKREEPHLSGAYIRSLVKHLSSSSAARSKDHHHITMGSKSHQEEQQAPQTTPASLHQQQPHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKIHRASMREAKEQQQQQQLGQQLQHQQEVQVVQDHRVAFSAPSMSSYGSFSDYLHSSYPFSHSTATSNSGCSYYSSPPLLPYHTPPGAPMVPMVDALDQLLPLPTQPLGLNLTFDGFGGDVAGEDANNCPATASFDPPLLVQQPSPASSYSVYSSPPPATMVSQDVASAGAENTSQSLHRVLDEEEMAAIHSAGERHDIEWSDTVNLATSAWWSRLLESVEGDDGATAAQQANTVESMGMHQLGDEYYGQDVSFPCMDIGEIEGWDSEWFS >SECCE7Rv1G0505280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:733006431:733007692:-1 gene:SECCE7Rv1G0505280 transcript:SECCE7Rv1G0505280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTENRLAALLLEEARRLRMQAEKEGVHAYLQKPNVRHRPNSRFLAATVLGVQHANRVVEVNEMWRAREKEMELESKMKARTSGQSDSRSLKRKNDSRDQSPVSKTQRDRPYGASFSSRDSHTSSYSDREDGLGDDEIEEFLRSRVKRGRGAIGSRMDEPGPYLKPPSGRQDNSASPDARVKEEWKRRVYGPEKPLFLRSKSSDEEPSTSKHKHIKEKNNSSNRESKEEKNRRSKHHHREHKSRSRE >SECCE2Rv1G0110470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:690006742:690008301:-1 gene:SECCE2Rv1G0110470 transcript:SECCE2Rv1G0110470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMPAGAGSGSLDPDPSPFAPPPPARDHTQDLPDEILTLVFASLTPAERNACSLTCARWKEVDAATRHRLSLDARAMLGYNTPAIFSRFTAVTKLALRCARGSGADSLNDGGAAAVAATLPSDRLARLKLRGLRQLSDAGLASLAAAAPVLRKLSVASCTFGPKAFVAVLQSCPLLEDLSVKRLRGLPDTSGAVTATAITEDILFPLAMSLRSVCLKDLYSALCFVPLVSSSPNLRSLKILRCSGAWDLPLEVIAARAPGLVEIHLEKLQVGDRGLIAVSACANLEVLFLVKTPECTDGGIISVAQNCHKLRKLHIDGWRTNRIGDCGLMAVARGCPDLQELVLIGVNPTVQSLRMLGEHCRALERLALCGCETVGDTEIICLAERCAALKKLCIKGCPVTDRGMGALNGGCPSLVKVKLKRCRGVSYECVEHLKMARGDSFSISLDIVLEHDAVAPSENGVQETGQQTQITELTGQMAAMDLPTNAAGAQSFAQANSRMRSVMSALRRRFGNPPPA >SECCE2Rv1G0066960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:16506645:16510313:-1 gene:SECCE2Rv1G0066960 transcript:SECCE2Rv1G0066960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYARRGGDRVSERFQGGGGRGGYAMRGRSGLPPRGPLGINARPSARIIAKSFSRTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYRVSNEDIKELFSEVGHLKRFAVHFDGYGRQNGTAEVVFTRRSDAIAALKRYNNVLLDGKSMKIEVIGSDLGLSMTPRINVVGASNGRATRTVVMTPEAGRRGGGSSTRPLSNPTTRLNRGAFQAGRGAFQAGRGAFQAGRGGGRGFAPSQAQFQGRGRGRGQFRGRGRGRGRKGAEKSADDLDKDLESYHAEAMKTD >SECCE5Rv1G0364110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:798549440:798550465:-1 gene:SECCE5Rv1G0364110 transcript:SECCE5Rv1G0364110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPLEELEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKPKKVVQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPMLVYEFISNGTLYDHLHVEGPISLSWGDRLRIAIETAKSLAYLHSTASIPIIHRDIKSVNILLDDTLTTKVADFGASRYISVEKSGLTTMVQGTVGYLDPMYFYTGRLTEKSDVYSFGVMVVELLTRKKPFSYLSPSGDGIVAHFAVLFAEDNLSQILDPQVINERSKEVHEVATLAIACTQLKGEDRPTMRQVELTLESLQSSMHIVSDNLVDEEFENDVIPINCPMNEHERGAGEDSTRQYSMEEEFMLSSRYPR >SECCE2Rv1G0096270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:436703961:436705918:-1 gene:SECCE2Rv1G0096270 transcript:SECCE2Rv1G0096270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inositol transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G30220) UniProtKB/Swiss-Prot;Acc:Q9C757] MEGGAHGFDGSAFRECFSLSWRNPYILRLAFSAGIGGLLFGYDTGVISGALLYIRDDFRSVDKNTWLQEMIVSMAVAGAIIGAAVGGWANDRFGRRTSIIVADLLFFAGAVVMASAMGPVQLVVGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMLGVAGLPAVVQFVLMLFLPESPRWLYRKGRVDEAEAILRKIYTAEEEVEREMQELKESVEAEARERGSSEKVRLTALVKTATVRRALVAGVGLQVFQQLVGINTVMYYSPSIVQLAGFASNQTALALSLVTSGLNALGSIVSIYFIDRTGRRKLLVISLVGVIASLALLSAVFHETTSHSPAVGSAETRHFDGSLTCPDYRTSSSGWDCTRCLKASSTECGFCASGAGSKLLPGACLLSNATVRDACHGEGRLWYTRGCPSRYGWLAMVGLALYIAFFSPGMGTVPWIVNSEIYPLRHRGVCGGVAATANWVSNLVVAQSFLTLTEAIGPAWTFLIFGGLSVAALAFVLVCVPETKGLPIEEVEKMLDKRELRLKFWAPRGRGSKNDGV >SECCE6Rv1G0418740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:664521621:664528993:-1 gene:SECCE6Rv1G0418740 transcript:SECCE6Rv1G0418740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMAAASDSDPFGLLPDELLWRVLSFLPADDALQTCVLDTRWRDLWRRLTSLLFVFDGPTFPRCNHFEQLVKLVICLRGDSPLIRCEIDAYPDDEPENTFTSTRLLIDYALACKAEELVVRAADIQYDLPVFDVPLSLISQHLKTLHLEGVNLDHSALKFSGCPVLEDLRIQLCNIRAREISSMSIKRLCIIDFCVLPDNVRLRICAPNLISFQLDDFEGLAPFLENMPLLETAYVRLNDGCSDFCRNNRRNCDDPCCGCHAYPINEAVLLNGLSNAVKLDLIALPKMFLYRSDLKWCPIFGKLKTLILNEWFTAVDLVCILRQSPLLETLTLKLDNTEDIVGATGAQETKTQSFVCACLKSVYIECEEVDEGVLAVLNILSTCGILRDQISIKEDPRTDSDYSSADSDSTDSD >SECCE4Rv1G0227480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:123049752:123053019:-1 gene:SECCE4Rv1G0227480 transcript:SECCE4Rv1G0227480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWRAAALAVAMVCWVAGSCVLGFPEEDLVGRLPGQPSVGFRQFAGYVDVDVKAGRSLFYYFTEAQDHAAGRPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRLNKKSWNKVSNLLFVESPAGVGWSYSNTSSDYKTGDARTANDMYKFLLGWYKKFPEYRSTSLLLSGESYAGHYIPQLTDVLLTHNEKSKGFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEIFLAINKGCDFEDYTFGSPHNESKSCNDAIAEANAIVGQYVNNYDVILDVCYPSIVMQELRLRKYVTKISLGVDVCMSYERYFYFNLPEVQHALHANRTHLPYGWSMCSDVLNYTDKDGNINILPLLQRIVEHKIPVWIFSGDQDSVVPLLGSRTLVRELAHDMGLPVTVPYSTWFRKGQVGGWTTEYGNLLTFATVRGASHMVPFAQPDRALRLFRSFVLGQRLPNTTYPPIDD >SECCE7Rv1G0505840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:741343625:741345727:-1 gene:SECCE7Rv1G0505840 transcript:SECCE7Rv1G0505840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVGGGGGGASSAAERYRAEAARAFQHYLDRAAPHTAGRWAGTLLAAAVYALRVYYVQGFYVVSYGLGIYLLNLLIGFLSPMVDPELEALDQAGPALPTRGNDEFKPFIRRLPEFKFWYAITKAFCVAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQILHMVKYKYVPFSMGKQKYGGKKGPSSSGSKD >SECCE7Rv1G0521230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873199882:873204990:-1 gene:SECCE7Rv1G0521230 transcript:SECCE7Rv1G0521230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTSSLAFALLLVVTLTGVLPLVSCSRDLVGVATDRALEDPLLMMEKFHGWMAKHGKSYTGVEEKLRRFDIYRRNVEFIEAANRDGRLTYTLGANQFADLTHEEFLATHTNRRVVPSEKMVITTRAGDVVEDGSCRPASNAVPDSIDWVRQHKVTQVKSQGQKCGACWAFSAVATIESAYAIAKGGTPPVLSEQELIDCDTFDRGCTSGEMHNAYLWVMRNGGIATNSTYPYKETKGTCERRKLQEHAATIREYELVEPNCEEQLMAAVAVRPVAVGFDSNDECFRFYQAGLYDGMCIKHGEYVGPCSSNDRIHSLAIVGYAGKGGDRDKYWIAKNSWSKDWGNSGYVLLRKDVDKPEGLCGLAVEPVYPIA >SECCE6Rv1G0425330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:706231400:706233126:-1 gene:SECCE6Rv1G0425330 transcript:SECCE6Rv1G0425330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKRHHRRRNVNATQKANHNKDAASSEEDTDRLSKLPNDLLLNILERVDTLDAIRACILSRQMMKLRTMLSQFFLSCSSIPGHHVRARVSNVSEFVRTNSAVAHVTDNILSTRSKEISISKLKIRFLLTQPDCYTIGKSVAHAMATQKVGAAEFQIVTEKIHLKCSPADLLDHAKQFNAFFGACEDAFAGLRRLWLCNMRFGELDIPNILSTCKLLESLRLTHCDSGMNSVLQLEHAQLTELEVDYGKLEIVELTCLPKLQRVSYKSWFYSEKDPLYFGFVPQLSKLRLAKSGSRSTRTLELSQLLGNVPSVRDLHLDFESEKIWVLPESPKLLRPVLSKLQHVILDKLPEECDLAWTMFILEAAPSLKELCITVWDHWCHMVMRDKEFRERNGYCEKADVEWKPHAPDFKHKNLVKLTIYGFQPDDIFVRFIRCVMEHAVNMAEICLHDRKVCLRCGDLDPKMKCPSRYPRNADERKQIIEEMGISLPAIVHFLS >SECCE5Rv1G0342710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633494344:633495609:1 gene:SECCE5Rv1G0342710 transcript:SECCE5Rv1G0342710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSETRPRPAASFSGDLPEDALYEVLLRITAKELCRLRAVCPAWRALTSDPLFVGAHMSRHRTAPPLLAMAYRDDSEVNGVAISDLSGNVVRRIPVTGYEIVTVNESGDAFGRISSKDERDSICVVRSRLDLVCFHWNVYSRTFWVLNPVTGATLDLPMGHSKELEHELEVQGTKWACHVECCAFGKVSSTREYKALRICSIRDQQVSEVITFDDTNHGSWRRKQDPPSGICTDRQMRCVVVGGVVYFLMDFHSTYFETGVITIKPGRIALFNLETEEWGVLPGPEQVQRFVEENKKYSYSQLELQLSLAELNGCLVVVHNIHKVSMDLWFLTDLEKGIWVKKYSLPSHVARLFWYPFLMLDDGRIFFSWMDGLEGLLTGGKDGEGFLQSYDPRNDTYADPLELRDPRSIGIYTGSVLSL >SECCE2Rv1G0067810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20182936:20184229:1 gene:SECCE2Rv1G0067810 transcript:SECCE2Rv1G0067810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWLLTHSCPATRPWTRTRNDTGLQVTSITYRRTTCIGRSAAVAVKHEEEGADEEWLAYLEPAKLEVFDQLEPWAEANVVPLLKPSEVAWQPTDLLPDPASLGADGFHAACCDLRAHAAGLPDAHLVCLVGNMVTEEALPSYQSMANRFEAVHDLTGSSGTAWARWKRGWSAEENRHGDVLNRYLYLSGRVDMRQVESTIHNLIRSGMVLNAARSPYHGFIYVAFQERATFISHGNTARRAKEHGDVALARICGAIAADEKRHELAYTRIVGKLFEIDPDGAVRALAYMMRRRIVMPASLMTDGRDDDLFAHYGAVAHQAGIYTASDYRGILEHLIKQWGVEELVAAGLSDEGRRARDYVCVLPQKIRRLEEKAHERSRQKAQPTTSIPFSWIFDRPVNITVA >SECCE3Rv1G0143630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:1843402:1845869:1 gene:SECCE3Rv1G0143630 transcript:SECCE3Rv1G0143630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVARRREPELVVPARATPQVTMALSDLDDHRDLCYLQPAIEFFHAVDKESRNAPAVVRAALAEALVHYYPLAGRLREDPGTGRKLVVECTGEGVVFVEAEADVSIDELGRPPLPPYPCVEELLCEVGDTWVAVGAPLFFMQVTQFKCGGFAIGLHICHNIADGYGSNQFLNCIADLARTGGDDSRIVPPVWKRELLTARMPLQTNPAYEEFLKRLGSSSDDVMFSTPPKEMVGRFFLFTPENMAALRTHAPTHLTPPVTSFELLTAVMWRCRTVALGYEVHQRVRLIFSLNLRGRWKRNALVPRGFYGNALLYPAVDTTVGELCDSPLDYALGLVRGAKLDMTDDNMESMVDFIASLRWRPPFTVDRMYEVCDIKWVGQDALDFGWAKRVGGGVPMVGDFYSKSVSYHMRCKDGKGQDLIAVSMLLPGPAMDRFEKEINVWVNNGN >SECCE4Rv1G0289870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:868873961:868874458:-1 gene:SECCE4Rv1G0289870 transcript:SECCE4Rv1G0289870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMITLKSSDGEEFQVEEVVAMESQTIRHMIEDDCADNGISLPNVDAKILSKVIKYWKKHVQVSPKLADSTDASSSTSTAAAAPAEDLKSFDAEFVKVDEATLFDLILAANYLNIKGLLDLTFQTVADMIKGKTPEEICKTFNIKNDFTPEEEEPVGF >SECCE3Rv1G0202390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:873352264:873353799:-1 gene:SECCE3Rv1G0202390 transcript:SECCE3Rv1G0202390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLPNSFTTSSWQDASICTNQELDYDHPYHFGIEEVAVDADEPELGLRAHKATRVDYLSSPYHASWPPAQADLESSRVRKTKQFRDVLETCKQKVEAMEQSSSPVGGGRFEEQGEAVVAVDDVRAGGGGSGADGMRLVQLLVACAEAVACRDRAQAAALLRELQVGAPVHGTAFQRVASCFVQGLADRLALAHPPSLGPASMAFCVPRSSCLDGARGEALAVAYELCPYLRFAHFVANASILEAFEGESNVHVVDLGMTMGLNRGHQWRALLDSLATRACGKPARVRVTGVGARVDTMRSVGRELEAYAEELGMTLEFMPVDRTLESLHVDDLGVEADEAVAINSVLELHCVVKESRGALNSVLQTIRKLSPKAFVLVEQDAGHNGPFFLGRFMEALHYYAALFDALDAALPRYDARRARVEQFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSMPFKMAAKAREWLEENAGGSGYTVAEEKGCLVLGWKGKPVIAASCWKC >SECCE4Rv1G0252410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:614385086:614398090:1 gene:SECCE4Rv1G0252410 transcript:SECCE4Rv1G0252410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTMAAAASGTSAQSSNRRSMSWGSSISHSFRQPDTDDPFRRAQSRSDNDEENLRWAALEKLPTYDRMRHAVVLNQDGAGLVHIEQLARGEAGRALLERVFQDDSERFLRRLRDRADRVGIDLPAIEVRYQDLSVEVDAFVGSRALPTLWNATTNFLQGLIGRFGSSNKRTTTVLKHAYGILKPSRMTLLLGPPSSGKSTLMRALTGKLDKSLKVSGSITYCGHTFSEFYPERTSAYVSQYDLHNAEMTVRETLDFSRRCLGIGARYDMLAELTAREREAGINPDPEIDAYMKATAVQGHGTNIITDLTLKVLGLDICADNIVGDEMTRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYVRQLVHVMNDTVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSKKDQQQYWYLDQEQYRYVPVLEFAEHYKSFHVGQQMLEELQIPFEKSKTHPAALTTSKYGQSSWESFKAVMSREQLLMKRNSFIYIFKVSQLIILGLMAMTVFLRTEMPHGQISDGAKFFGALTFSLITILFNGFAELQLTIKILPTFYKQRDFLFFPPWTFGLANIILKVPVSFVEAGVWVVLTYYVMGFAPSAGRFFRQLLAFFATHQMAMALFRFLGAILKSMVVANTFGMFVQLIIFIFGGFIIPRGDIRPWWIWAYWASPMMYSQNAISVNEFLASRWATPNNDPTIDAPTVGKAILKSRGLFTGDWGFWLSIGAVIGFTILFNILYLLALTYLSPSSRSNTLVSDKENENGTNKEQMSEASVSSTMPSSIPMVSTGAMETTNRPAQSRTTLPFQPLSLSFNHINYWVDMPAEMKEQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGAIEGSITLSGYPKKQETFARISGYCEQIDIHSPNVTVYESILYSAWLRLSSDVDDTTRKMFVEEVMTLVELDVLRNAMVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGRVIYAGELGHHCDKLVEYFEEIPGVAKITKGYNPATWMLEVSSTLAEAHLNINFAEIYANSVLHRKNQDLIKELSVPPPGYQDLSFPTKYSQNFYNQCVANFWKQYKSYWKNPPYNAMRYLMTLLDGLVFGTVFWQKGTKIESQQDLYNLLGATYAAVFFLGATNCFTVQPVVSIERTVFYREKAAGMYSPLSYALAQACMEIIYNILQGMLYTILIYVMIGYDWKVDNFFYFMFFIIASFNYFTLFGMMLVSLTPSAMLASILVSFALPLWNLFAGFLVVRTAIPIWWRWYYWANPVSWTIYGVVASQFGDHGGSLLVPGGSPMVVKQFLEDNLGVRHDFLGYVVLAHFAYIIVIFFVFGYSIKFLNFQRR >SECCE2Rv1G0107320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:648094622:648095185:1 gene:SECCE2Rv1G0107320 transcript:SECCE2Rv1G0107320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNLTAGYVFQPTGRELVHHYLVPRAGLGGDFFPGFIEEGVDVLSMRPCELPFRENHIRDYGKVWGFFFAAKPADEMCPTPGARGCWVQYGPEKAYYGEGGGEAGAFRRRFTYRITWKGGRVWSPTRWLMKEYRPGDAAAFRRAHPDPEAWTSSSWSTRSTGSRCSPRMTTAAPARRRAPSAPSC >SECCE6Rv1G0385060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:71742410:71744827:-1 gene:SECCE6Rv1G0385060 transcript:SECCE6Rv1G0385060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20770 [Source:Projected from Arabidopsis thaliana (AT4G20770) UniProtKB/Swiss-Prot;Acc:Q9SVH0] MANLAAQLASVLQACIKRNPKPSRTHAKAAHARVLAGGLAADTFLLNRLVELYSLSGLPRDALRAFRTLPHPNAYSYNAALSAASRAGDLDAARTLLDEMPEPNVVSWNTVISALARSERAGEALGLYEGMLREGLVPTHFTLASVLSACGAMAALDDGRRCHGLVVKVGLEENLFVENALVGMYTKCGSVGDAVRLFDRMARPNEVSFTAMMGGLAQTGSVDDALRLFARMCRSGVRVDPVAVSSVLGSCAQAGASESNVVRAFQLGQCIHALIVRKGFGADQHVGNSLIDMYTKCMQMDEAVKVFNSLPSVSIVSWNILITGFGQAGSYEKALEVLNMMVESGSEPNEVTYSNMLASCIKARDVPSARAMFDNISRPTLTTWNTLLSGYCQEELHQETIELFRKMQHQNVQPDRTSLAVILSSSSRLGNLELGAQVHSASVRLLLHNDMFVASGLVDMYAKCGQISIARSIFNRMTERDVVCWNSMISGLAVHSFHKEAFDFFKQMRQNGMMPTSSSYATMINSCARFSSVPQGRQIHAQVAKDGYDQNVYVGSALIDMYAKCGNMDDARLSFDSMVTKNIVAWNEMIHGYAQNGFGEKAVELFEYMLTTEQRPDSVTFIAVLTGCSHSGLVDEAIAFFNSMESTYRITPLAEHYTCLIDGLGRAGRLVEVEALIEQMPCKDDPIVWEVLLAACAVHHNAELGECAAQHLFHLDPKNPSPYVLLSNIYASLGRHGDASGIRALMISRGVVKGRGYSWIDHKDDVRAFMVADDLQMVSGESTMFSNQESAAGVTEVHRKETCAG >SECCE5Rv1G0319860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:379483892:379485461:1 gene:SECCE5Rv1G0319860 transcript:SECCE5Rv1G0319860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPESPLLRDAGAAVLTGCVAMAVLRFWEEVGNRALLDQKLCRKLVHISVGLVYFLMWPLFSADDVYAPFLASIVIALNIIKVTLIGSGVVKDDGVVNSMTRNGDYRELLKGPLYYACTITLTTVIFWRTSPISIAVICNLCAGDGAADIVGRRFGHVKLPHNPDKSYAGSIAMFLAGFIASILFMCYFHLFGFVEQSWAMVAAFGITSLAAAIVESLPVSTRLDDNLTTSIASALVGGLVFYYVGGGAGSGDGSSISASAAMVFAGSS >SECCE2Rv1G0097460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:460087255:460093783:-1 gene:SECCE2Rv1G0097460 transcript:SECCE2Rv1G0097460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLRGIRTQTLSGANAGLFCTTRRPPLAHFTARVESVQTSEPKSVRKSIQQATKEAAEHKTHGFEAVIGIETHVQLSTITKAFCSCPYDYGSQPNSTVCPTCMGHPGTLPVLNAKVVECAVKLGLALNCEISMASKFDRKQYFYPDLPKGYQISQFDIPIAEKGYLDLDIPMEFGGGHRRFGVTRVHMEEDAGKLLHSESGSFSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAEIQRVVRYLGVGNGNMQEGSLRCDVNVSVRPIGQSEFGTKVEIKNMNSFSEISRAIDYEISRQILLHKESQADKIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSEYIDEIRNSLPELPEAKRRRYENMGLSMQDVIFLANDDIVAHFFDSTLERGADAKLAANWIMGDITAYLKNEKLSIDEIKLTPLELSELIAFIKNGTISGKIGKEILVELITKGGTVKSVIEEKDLVQIADPAAIEAMVDKVLAENPKQLEQYRAGKTKLQGFFAGQVMKASKGKASPVLLNKILGEKLKGN >SECCE6Rv1G0386530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:96965807:96971604:1 gene:SECCE6Rv1G0386530 transcript:SECCE6Rv1G0386530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRGLEDAAAGDGRPEAKRSRPPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALGKLGPAAITGRSSPKRIEGPGGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDTGNGCVVSSGPESCAKLDIVVLEGDFNNEDEEGWTEEEFESHVVKEREGKRPIITGDIQVTLKEGVGTIGEFTFTDNSSWIRSRKFRLGLKIASGFCEGVRIREAKTEAFMVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNKSGILTVEDFLRLVVRDPQKLRTILGSGMSNKMWDSLVEHAKTCVLSGKYYIYYSDENRTAGAIFNDLYAFCGLISGEQFYSSESLDDGQKLFADGLVKKAYDNWMYVIEYDGKALLNPKPKKKAALTSQAEARAPAAYVQRISSTSMPGPSTAGTNGSIGYDGNQTATQSVQLQSSSANVPVPYDEAFSFLPPIMLMGSNQGTASDTMGLELGQLHHTISQGHPIQPANVGYDNWHHNRDGQYADDFTEDIRMKSHQMLEGEDMQQLLRVFNMGGASPGLPDETFAFQSYMPSPLPNLGFEGEPSRPSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQIVELDD >SECCE4Rv1G0279920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817848962:817849636:-1 gene:SECCE4Rv1G0279920 transcript:SECCE4Rv1G0279920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRPPPPRLMLNALSCMRNAQTVLRDMNVSVHDGTALVLTGANGSGKTTFLRMLAGFSRPSAGEILWNDHDVTSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGRSAPAIDLMGLGRLINDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDSEGVKLLEYIIAEHRNKGGIVIVATHLPIKIEDSMNLRLPQRFPRRKTLVDFVR >SECCE5Rv1G0330150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:525328541:525328932:-1 gene:SECCE5Rv1G0330150 transcript:SECCE5Rv1G0330150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAASLALCALLALSLVCQAVSRVDPAGGVEVQGYPCGNVVVIRIPHEGVCQRDRCGGLCVEQFMWKYPGIKAVIGDCTDENTHECICSFLC >SECCE7Rv1G0511740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804909494:804910246:1 gene:SECCE7Rv1G0511740 transcript:SECCE7Rv1G0511740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVLLLAGALLALACSHGATASDPSLLQDFCVADKMSSVHVNGLACKDAKEVTAEDFYFSGLHVVGNTTNKQGSAVTAVNVAQIGGLNTMGVSLVRIDYAPFGLNPLHTHPRSTEILTVLEGCLHVGFITSNPENKHFEKVLNKGDVFVFPKGLVHYQYNNGTTHAVVLAALSSQNPGVITIANAVFGAEPSIPAGVTTKAFQVEKSTVDQIEAQF >SECCE4Rv1G0265780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727427327:727429259:1 gene:SECCE4Rv1G0265780 transcript:SECCE4Rv1G0265780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYADIPDMDHQTKYAVQKIAVSLHSAQDILENILDRASEEKAARMSDRSRLDPKDGLGFLELEAHHIHDLAKEVEIRISECRDKERKEKSRMESTISTLKKENQDIWSMLGVAVTEKEAAENSLRVLKGDVDQRRSSILQIAEKGLQKVGFGFIMEVISGESEGDDMSSSSASAASNGRESKQEVDSLASIVGKTLKNLHHEINDLRQALDESRSDCDHLQLLTAQQAQKIIKHESHVHDLREREILLLQSVEELNLRVKEVEQEAARWKEACALEVEAGKATIKELNQEVTVLREELRRVKADLDAVNSKIQLKEKLAASAMAAQAAADACLKLADSRSAGLQQRIEELTRQIEQEDTHARKGRDSTRKRIRYACWPWQRLRAISASSRARTWFVDQNGRLLPRTEALLQIRI >SECCE3Rv1G0211440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:950341132:950342333:-1 gene:SECCE3Rv1G0211440 transcript:SECCE3Rv1G0211440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVCSPKEQQQQRQEEEKDGGVKIGRVDDVQELQRACAGDVPERYVRDGDDRPGGGNVCAHAEIPVIDAGELLRGGADGDELDKLRQACEEWGFFQVVNHGIDGELLDEMERLSREFFMLPLEEKEKYPMAPGGIQGYGHAFVFSEDQKLDWCNMLALGVSPAFIRQPMLWPTTPAAFTDTLERYSAEVRALCHRLLEHIAETLGLAPGTFAGMFGDAVQAVRMNFYPPCPRPDLVLGLSAHSDGSAVTVLQQDAGRAGLQVLRDGAWVPVHPVPRALVVNLGDSLEVLTNGRYKSVEHRAVTNGEQDRLSIVTFYAPAYDVELGPLPELVADGEPCRYRRFKHGEYSRHYVTSKLEGKKTLDFAKIN >SECCEUnv1G0561120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:351975315:351976601:1 gene:SECCEUnv1G0561120 transcript:SECCEUnv1G0561120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETAAPQPEAVSLPEDAIREILVRVEGTAALFRCAVTCKSWSRLVADTSFLRRRWPDDHGASCFLAGFLAPKRLDRTDPNFRTGEPMFATFFVPTPRSVFACRSLSSFFPDADACLLDGAEPLTARRGLLLVHLSPDVAAGYKYGKSVVCLAVCNLLAGVCEVLPPLCGHFNFTESGYTILTSADCSSSAEQQSLQPGYSTFFKVLIIGMMKFGPPSLYTFSSSESRWSKPIKLTFDTAGGIRDCKHPDAIVSRGKVHWSARNWYPDYSLDMDMETFHISQTMIMDRTTYSETNEDPQLAVTAEGTPLVLLLSRPGLQLKVCTRQDGRKSEDGAIVKATWLTTRTIELKPPSQIKRRPEKIYLRLLGEKSGTMLLKDSQRKIYIADVETGEMEEFELPDGFDGLNRRKVVLLEMDWLALFVSRLRKW >SECCE7Rv1G0489230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:455002694:455020056:1 gene:SECCE7Rv1G0489230 transcript:SECCE7Rv1G0489230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPCPTLCRLPATNLGLPWCPRSIPLPRLALAARRARAVAARASSSSSSPDSSFGSRMEDSVKKTLADNPVVIYSKSWCSYSMEVKGLFKRIGVDPHVIELDHLGAQGPQLQKVLERLTGQSTVPNVFIGGKHIGGCTDTVKLYRKGELATMLTDLDIKVNNS >SECCE4Rv1G0243800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:506793106:506793828:-1 gene:SECCE4Rv1G0243800 transcript:SECCE4Rv1G0243800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLMEYAPGVGVTTKRKGEEEPGLFAFPDDGDGGSVPVSCRATKMRRLESAGTGRDVPAAAAVGTEGDVMMAEEQPAPSLGAAEGEKRAVVVYGPAVDAARAGGRLGLLGQWRLRPWAPLSAGADWIRDMLREADGRTVRAVLSSAHEEGGADLALVPWGAAHVPAEANQASTAAESGDGEDDAEGTAAMDVEEEREHHRAQAVGAGCCEGYLCRWPQHCLAPPPLPAVRQATPAVWSW >SECCE4Rv1G0288620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861350592:861351869:1 gene:SECCE4Rv1G0288620 transcript:SECCE4Rv1G0288620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRTVLLCLALFAAWHLCATLFADAPPGPGGGHSAEKKAVVSDAAGNASALSLAREAGVREAAGTGRNFVVSPLSIHAALAMVTAGARGDTRRELLGLLGSASLDELHRTPAIKLVGRLNGLKQTSFASGVWVDRRLALRPEFTATGASRYAATAESVDFVSGAELARRRVNGFVADKTKQLIRDILPPGSIDSSTAVVLANALYFKGAWPEPFDLFTAPFHTPGGATVRVPAMTTGRSQYIALYPGFRALKLPYRNDGDRDAAFYMLILLPDSGALSLSDLYDKAVSSPEFIRKHTPEEEVEVRRFMVPKFKFTTEFEASSDMRKLGVTRAFSGGDFSGMVSGGDGRLSIGAVYHKATIEVDEQGTVAAAATVILMAGSALQSEPPHVVDFVADRPFLFAVVEERTGTTLFLGHVVNPLAN >SECCE4Rv1G0247160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:553823822:553842483:-1 gene:SECCE4Rv1G0247160 transcript:SECCE4Rv1G0247160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERVEQGSRHSKKKGKHRDEEGKAKDKSKRHRDEDATGTDVAADIRENGNKEAVIGVAEEGEGRKSKKGKGRHEEKNKVNVSVVSGSMENGVGEEQAKKSGSMTLKPCSEGATDKVNVKKDKKNKEKKKEKEAEATEQKQSFDTTVGKEQAEPSGLMAVKPCMDCAEGDTSKDNVKKDKKKKEEKKEEKEPETTRQKQTFDTTVDKSGSESAERDKVEGKQSSKSKKSKRKHNDGAPAVEVSAGDQIVTREDKKRKKEHSVVLEASSQTKNTNEGENREIKKGGKESSKASLDFNENASAGGEEAGVDGNNDKKKKSKGIGGGKKEKEKAARSTKGKRVSSPDSAEMFRTEGGDGEGIGGRKKKEKAAPSKKKGKRVSFADSAEVFSIEGGDNEKDVSSDESKRAHGRFTPEEDATLMEAMRGYAEMKQLGEKGLEMIGSCSKYPELKGCWDDIAKSLPHRPHEAIYHRARILLYRSAERKWTDEEKEQIRRFVEKNGTDWKTLARELGKSEIHVKDTWRRMKPKNLKKGRWTQDEHQNLFDLVNLDLRLKAHQIKNPDHRMLRDNISWEAISDKLTTRNHKNCCLKWYETLASPMVKEGIWADVDDYLLVEALQKVDAVCIEDVDWDSLLDHRSGEVCRQRWNQMVRAIGGHREKPFIEQVEVLSRRYCPEMIEYRK >SECCE5Rv1G0343820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:641441936:641445445:1 gene:SECCE5Rv1G0343820 transcript:SECCE5Rv1G0343820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMVPLPRLHRLLLPFALLLLLLLLASRQAEGRASPAAPPSPARRSTSLRSQARALLHWKSTVEYSTHIGSWRGDGMHPCNWTGITCGDTRSRRGTTAKVIREISLGGAGIAGRLDALSFQSLPYLVNLDLSDSYQLSGAIPSGIGSLSMLSTLNFSGGQLDGSIPPSICNLGRLTHMDLSINNLTGHIPASLGNLSRLAFLYLPGNMLSGSIPWQLGQLGNMRKMDLRWNVLSGHIPSSFANLTNLNYLDLSGNRLSGPIPEELGQVKTLQALSLAQNNLNAAIPPSLGNLTMLRFLYIYHNQHTGPIPVELGMLSSLIELDLSENHLTGSIPSSVAGNLTSLTYFSVWGNNITGFIPHEFGNLVNLEALLLSKNFIVGSIPSSIGNMSSLNQILINTNNISGELPTELGNLANLEIIDSYENQLSGPIPQSFGKLVSMREMRLFNNQLTGSLPSALPNLTSLVLVELNDNKLTGHLPDLCQSKKLQVFQVFNNKLDGPIPKGLRDCSSLISLGIGNNQMEGDITEAFGVYPHLKNIGLYSNRFVGRLSPNWGSCQNLTSISFANNMIEGSIPSELGELKNLGWLDLSFNRLNSEIPIEIGKLSSLYWMDLRNNQLSGQIPKQIGRLGNLEVLGLSSNLLSGEIPEEIGNCLKLRSLHMHNNSLSGSIPRSVGNLASLQSMFDLSMNSLSGPIPSELSKLEMMIYVNLSHNKFSGTIPSSILSVFDVSYNFLEGSVPKGIHNASAQWFLHNKGLCGDLVGMPPCNLPPVDHTKRHENTVLSVVLLMFVATISIATAAIAFFICRKKVSQKTDDASRRDVFSVWSFDGRMAFEDIINATDNFDEKHCIGQGSYGSVYKAELQDEQVVAVKKLYPGDEEAHDEERFQHEIEMLTEIRQRSIVKLYGYCSHPRYRFLVCQFIEKGNLASILSNEELAIEFHWQRRTALIRDVAQAITYLHHDVHPPIIHRDITSRNILLDAGYKGFVSDFGIARMLKPDSSNWSALAGTYGYIAPEGVYSFGVVVLEVLMGKHPGDIQVFHSSLNDQFLLEEILDKRLPQPETEANDVKQCISVAFDCLTPSPKERQTMLKVYRDLAI >SECCE5Rv1G0367610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:822823146:822825816:1 gene:SECCE5Rv1G0367610 transcript:SECCE5Rv1G0367610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVVLREWFDRVDAAGTGNITAPQLQSALAVGNLDFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLQKVQSVFSTLERGRGFLSLEDVYEALIKLGFSLDSPAFYTVCESFDKSKKGMVRLDEFISICIFVQSSRNLFSSFDTTKQGKVTLDFNQFVYCTANCRI >SECCE1Rv1G0004160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16662021:16666495:-1 gene:SECCE1Rv1G0004160 transcript:SECCE1Rv1G0004160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRWLLLLFLAAGVLQPLAQPDSRGFISIDCGLSEETSYVDNTTELTYVSDAGFTNAGTNYNISAEYLGLGFSRRGHNLRSFPDGVRNCYTLRSLVSGLKYLVRASFLYGNYDGLNRPPAWFDLHIGVNFWKTVNMSAWGADQGNTAIVEAIIVVPNDLLQVCLVNTGSGTPFISSLDLRPLKRTFYPQATAEQGLVMLARFNAAPVNKTVTIRYPDDPHDRIWYPWFDATIWAEISTTERVYGVGDDLFEVPWKVMQTAIVTRNASENILIVWESLGVKPRDDNPSWPGYVAILHFAELQLLNTSNGERRQFYINLNDELAYPTGFTPEHLISNAIYDTKPSWHHGHILSINATANSTMPPILNALEVYSVIPTTNLGTDSQDASAAMAIKAKYGVRKNWMGDPCFPRTMAWDGLTCSYAAANPPRITSVNLSSSGLNSDISSSFAHLKALQYLDLSNNNLTGSIPDALSQLPSLTVIDLSGNQLNGSIPSGLLKKIQDGSLDLRHGNNPNLCTDGNSCQVAAKKKSKQAIYVAVPVLVIVVIVSVALLVFFLRRRNQQQEPVKNKRAVKPQNEEAMSTSYGGDDDSLRLVENRRFTYEELERITNGFDRVLGQGGFGYVYDGFLEDGTQVVVKLRSHSSNQGVKEFLTEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMAKGTLREHIAGSGRTGGCLPWRQRLKNALESAQGLEYLHTGCNPPLIHRDVKATNILLNAKLEAKIADFGLTKAFDYQNNTHLFTNTLAFTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKPAILMDPDPTSIVQWARQRLARGNMEDVVDARMQGGYDINGVWKVAEVGLKCTAQGSAQRPTMADVVAQLQECVELEEGRAPSFHNGGSSGDDNYNAYASAQSTDVSSNTAFETELRIPTEAADPGPTAR >SECCE4Rv1G0217150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14424481:14429123:-1 gene:SECCE4Rv1G0217150 transcript:SECCE4Rv1G0217150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRS2-11 [Source:Projected from Arabidopsis thaliana (AT5G22830) UniProtKB/TrEMBL;Acc:A0A178ULQ2] MASVSASCPRSPQAVRHVLPLPLQLQLVCRLRRLPLPQLVLSAGARRGWGLPRAAEGGDGRAAAKEEEDVEEDEEEDEGGREAGEDDGARAAEGAGAARGSGRFAADYISLGIREPVYEVVEVKANGSVSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILLNLGSLRAIAMHERVLIFNYNSPGGKVFLELLRPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLERRLMHVEPRVAALLEVLPNRLTGDVLEQLRLSKQSLVELGSRAGDLKQMLIDLLEDPHEIRRICIMGRNCTLDKVSDDMECSVPLEKHIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALIAGIFGMNLKSYLENNTWAFWATTGGIAVGAVAGFFIMYKYLKERKIL >SECCE1Rv1G0048600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636586912:636587169:1 gene:SECCE1Rv1G0048600 transcript:SECCE1Rv1G0048600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPEMKGRGDFLEGEDQEEEEEMELAKVNLEEVGVFQDQVLDNKNVQKHVEEDDDEDLMEGMEATDPGAAGKLTGPSVAPCREQ >SECCE5Rv1G0301770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:33971867:33975121:1 gene:SECCE5Rv1G0301770 transcript:SECCE5Rv1G0301770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFFILPSTGFLGWQLRAMNSTLFIIGVIGNIISVLVFVSPIPTFWRIVRSRSTEDFEAAPYVLTLLNTLLWLYYGLTKPDGLLIATVNGFGAVMETIYIALFLVYAVNNAKRVKTAKLVAALDIGFFGVVFAVTTFAIGGLDMKIVVIGLICACLSVFMYGSPLAAVRTVIASKSVEYMPFFLSFFLFLNGGVWATYAILDKDAFLGVPNGIGCFLGGIQLVIYAVYRNSKVGSQSHGGAEAAYDASTSLLSSDADRHGQNDVSTRV >SECCE7Rv1G0502690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:699614464:699620579:-1 gene:SECCE7Rv1G0502690 transcript:SECCE7Rv1G0502690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTYSKRRSGIMKKARELTVLCDAQVAIIMFSSTGKYHEFCSTGTDIKGIFDRYQQAIGTSLWIEQYENMQRTLSHLKDINRNLRTEIRQRMGEDLDALEFEELRDLEQNVDAALKEVRQRKYHVITTQTETYKKKVKHSQEAYKNLQQELGMREDPAYGFVDNPAAGGWDGVAAVAMGGGSAADMYAFRVVPSQPNLHGMAYGGSHDLRLG >SECCE3Rv1G0183200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:646091007:646093540:-1 gene:SECCE3Rv1G0183200 transcript:SECCE3Rv1G0183200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGGSRLVAPGLRRLLGPGAGERAAPGLAAAGARAYHERVVDHYNNPRNVGSFDKDDPNVGTGLVGAPACGDVMKLQIRVDEGTGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVVSIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYESKKAKLGDSPAEKAAEA >SECCE3Rv1G0198570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:833594389:833596843:1 gene:SECCE3Rv1G0198570 transcript:SECCE3Rv1G0198570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVPLVLALCAAMASAAVVEHNFTVGAMNISQLCMGSVIYTANEQMPGPTIEATEGDTVVVHVVNDSPYPLSLHWHGIFQLLSGWADGAHMITECPIQPSGNFTYRFNITGQEGTLWWHAHSSLLRATVYGALIIKPRNGTDGYPYAAPYGEIPIILGEWWNKNVDDVEKDAHLTGLGPDVSDALTINGKPGDQTSCRGAGIFEVEVEYNQTYLLRIINAAVNVELFFKVSGHNFTVVAIDASYTEPYATDVIVIAPGQTVDALMATSATPGGRYYMAANVFESKTVQIRFNTGTVTGIVTYTGAPNGTAPSMPAMPAPTDVVTAGNFYWSLKGLVRPSSPPLPTAVDHQMLVEFGVDQAPCAPDQTRCRGFALVAFMNRNSFQFPKNASLLQASFDGVSGVYTEDFPSSPPPGPAIRKATSVKRVNYSDVVEVVLQSAVYSSVLGTENHPIHLHGFDFFVLAQGLGRFDPNATYNLVNPRVRNTVIVPGGGWTVIRFVANNPGMWFMHCHLDAHLPLGLAMVFEVLNGPAPNILPPPPEDFPKCY >SECCE7Rv1G0509960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:785390241:785391392:1 gene:SECCE7Rv1G0509960 transcript:SECCE7Rv1G0509960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLAEHLSYIPRSLSLIQLKEMSSFSAGHGRRPKKKLYHREPGLDKAMDLQKKPALLLRLRELILAQKKGSLLVRDLEKEVGFVQKWNFVSLIERHPNIFHVSGGSTSREPIAVTLTEKARNISGEEIQAQELMEPILVRNLRKLLMMSMDCQIPLEKIELIQPELGLPKNFKDKLIPSYPDFFSIRDVRGLDHLCLESWDSSLAVTAREEKLNFDGFQMDYRGVPKDGNILGPFAFKLKYPAGFRPNRKYLEEVVRWQKMAFPSPYLNARRVEPATPQARKRSVAVLHEILSLTMQKRLTSDKLEVFHNEYRLPCKLLLCLVKNHGIFYITNKGARSTVFLKEAYDDTNLIEKCPLLKFHDQFSSLIGRPCSDLNTPLAV >SECCE2Rv1G0140600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931987056:931987416:1 gene:SECCE2Rv1G0140600 transcript:SECCE2Rv1G0140600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHKSHTPAPALLLSLLFLACFPLHAQCRIIEGMDDDKINIPGGLCVHHTDCAPGNCCYWCLVLDQCYASMGECNKECKGQVDARLFDLPSPSN >SECCEUnv1G0556400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310692865:310693353:1 gene:SECCEUnv1G0556400 transcript:SECCEUnv1G0556400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMQQGWLSNWLVKHEVVHRSLGFDHRGIETLQIKAGDWDSIAVILYVYGYNYLRSQCAYDVAPGGSLASVYHLTRIQYGIDNPEEVCIKVFAQKDNPRIPSVFWIWRSADFQERESYDMVGISYDNHPRLKRILMPESWIGWPLRKDYITPNFYEIQDAH >SECCE4Rv1G0261710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:703622619:703624053:-1 gene:SECCE4Rv1G0261710 transcript:SECCE4Rv1G0261710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRVNQEWNPSEVEGARSINDRLNNYYNNDGTTHEKNKKHDIVANLKAWFPGKTMQQVTDLYDDLITKMHMLQCPEKEHNDTNSDHGVIYMVDGLVNKNFGLQEEVPMEGMGILFDRPLESMRTMEIQEEAQMVEENMVVLENHMCIPQPVRGPHAKGFWTLEEHGLFLHGLSVCGRGKWKDISKYFVTSRTPAQISSHAQKYFMRLQSKGSGSQRYSINDVELRDADPWKMENSFNFWQALALQSTIGADNQNPSFDLQTPLSPFVTMNNIV >SECCE6Rv1G0446650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:845345052:845348604:-1 gene:SECCE6Rv1G0446650 transcript:SECCE6Rv1G0446650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAYSIVLSNVNTLAIQEATLLCGVTLEVESLKDELKQLQGFLRDADSKRRLGDEDIVVLVSQIRDVGYEADNAIEAVDHMQKRNRLKKGFMGALARYARLPSDFTTLLNVGVEIECIKRKISEIVESANRLKIIDLGNMPIENVHVDDEFQQDYPNHQNYGEIVLVGFEGEYEEIVEKLVDTEKRLSAIYIVAMGGAGKTALAKKVFMSYRVQEHFRKTAWVTVSQKYKGIDLLKEIMKQIMESTDESIDKLNEYEVGQKIHDFLLQTKCFVVLDDVWETDTWEQLNRSIRAFPDAANDSKILITTRKDITNHVQMPIHVHPLKKLDEEKSWELLSSKALPSYKRSTVHDVDGFEKLGRKLAKKCDGLPLALALLGGYLSKHLNAREWSDILLDWPSTRNGQIVRNIVARSYKDLANHYLRSCFLYIASYPKDYIIDVPRLIELWIAEGLIPHIPNHKLEETACTYVAELAQRSLVEVVERSRAHGCIEIIKIHDILHDWCAEEARQDGFLDVKNETMGQVGAPSSSNNLMSHRSSFQSLSNVILPGTTKVRTLLGFELKSASVPKLRFLRVLSIEDSTLKDFSTVISGCIHLRYLRLGHCKGVTIDLRDSNLESQVPKSLWDIPSLRNVYLDDGFSPPPPAKSVQLHHKELQTLFLSISHVGTKWCDHDMVIFLGQMNQLTTLFLMMFTMPTEVFNLFANMPHLVDITLGQFGVLDKLPHEFPQSVRHLVLYADVIRQDPMPILEKLPCLVVLKLEGYEGKTMSCSAQGFPQLQELELHRFSVEEWRTEEGTMTKLCILTLWGCEKMVKVCEELLDLSYLNHVTLAEVFFFRKGAFPGLCIKLMHTAILLRTKGQSLQNLLTKWSQASQRCPTSALRN >SECCE4Rv1G0246980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:551614891:551627685:-1 gene:SECCE4Rv1G0246980 transcript:SECCE4Rv1G0246980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigger factor-like protein TIG, Chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55220) UniProtKB/Swiss-Prot;Acc:Q8S9L5] MELATAAVVSTASIQPGRSHFSTPRAASWSSSSSPSSSRSLSTSASTSRSQRRRLPVASAAVELRKAAAGGGDSVRVIETPQPNSSVKFSVEVPPSICQECYQTTLLEYSKRFKVPGFRPGKIVPENVLLNYVGPQHVRAATVEAILRHTLPQALSSVEERALEDSVRILTKFDDMNEAFSLDHVFRYDVAVDVVPEVRWLSEDKYKNLKVVIEIDEIVDAEKAAELELKRRRKSLGLLRIVPDRGLQVGDLVVLDILAETITTDGSKGEKIPSAESTGFHLDTEENTNLVPGFLGSLIGIRPGETRTFPIQFPESFEQETLQGVRAQFTVVCKELFFRELPELDDSLAAKLLPGCNTIDEVKERILERCKEVEKTAIEQATDNAILDQLGKLVDVDVPRSLFQEQGQQLYGAKLLQLQAERKLDKDQLASLSSEKSVQEYLKSERENITRIIKQMLVVGEIFKAENLQYSTDQLVKEVENSIEEFKRYNQDYDEGNIKQQVQDVLEAAKVLEWLKENCTIEYIKK >SECCE1Rv1G0052850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:664907667:664911334:-1 gene:SECCE1Rv1G0052850 transcript:SECCE1Rv1G0052850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MASTSLGLSTSFLPGHDTRLRRRRRAAHAAASSFRPVVASAELGAEVGRQLVEAVGVGLPCTVMACGDVIYRSTLPHNDGLTITAPGVALALAAASYLWATPGVAPGFFDMFVLAFAERTFRPTFRKDDFVPGKKLGEGAFGVVYKASLADPKAAEKQGDVVVKKATEYGAVEIWMNERVRRACASSCADFLYGFRESKTKGKGEEYWLIWRYEGEDTLSALMQSKEFPYNVETKILGNVQDLPKGIARENKIVQTVMGQLLFALDGLHSTGIVHRDIKPQNVIFSEESRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSLGLIYLQMAFPALRTDSSLIQFNRQLKRCNYDLEAWRNLVEPRATPDLRRGFDILDLDGGIGWELLTSMVRYKARQRTSAKAALAHPYFNSEGLLGLSVMQNLSLQLFRATQKDYSEAARWIIGLMAKSGTEEGGGFTEAQLQELREIKPKKDSAQRNVLASMLRVQRKIVRTLSESMDELTSQRKSIWWSRWIPREE >SECCE6Rv1G0389610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:156664040:156667312:-1 gene:SECCE6Rv1G0389610 transcript:SECCE6Rv1G0389610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLVASHRLRLPALPSAAHAHLLRHRRLAATPLRLPTTPTPLRQPAALPLRPCLRPLRAASVASPAPVPGDAADNSRKFLGVDALTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYSKLADVLSKEALFYTVIFPFIAFFGVFGYVLYPMRDAIHPTALADRLLASLGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRQNLGPGVDGWAISLKGMMSIVVVLGFVIAGIYWGVNKYVIDKTSLPVERKKKNKPKLSMGESLKVLVSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRLILRKFGWGVAATITPAVLLVTGVGFFSLLLFGEPLTPLLATFGMTPLLAAVFIGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVIAWLGAVRSLDSQFSPLAKQDLEREQQLKAEAVETTAQVIGTGNGSLQENVASQNSTNGTVIKQSQEPEITAPEKSGKQSQ >SECCE1Rv1G0015640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:127327083:127327448:1 gene:SECCE1Rv1G0015640 transcript:SECCE1Rv1G0015640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHASMYCSAATATMTARSGPAYSAVSPKSSPRNTKRRSARKATRTTAGRRRCVEAIGRKMEALRRLVPSGGDHRSSDEMAEEDGVDELLFRAADYIMRLQVQVKAMQLMVDVLEHTKDS >SECCE7Rv1G0477530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:221074168:221076341:1 gene:SECCE7Rv1G0477530 transcript:SECCE7Rv1G0477530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/TrEMBL;Acc:A0A178V9G1] MSFVFRGSRADIEAGGFPGFATERRAMRIHAGGRPVNSNSLAFLVTVLVLFMVLSSHQMSPNFLLWMVLGVFLLATSLRMYATCQQLQAQSQAHAADGNGFLGRTELRVHVPPTIALASRGRLQSLRLQLALLDREFDDLDYDALRALDTDNSPHAPSMTEEEINTLPVFRYKFQAQQRSTPSRKSSDGPSEPLVSSPESGKEKKQDAYATSKMIEDELTCSVCLEQVVAGDLLRSLPCLHQFHVNCIDPWLRQQGTCPICKHQVSDVWRGGGSDEMDAMV >SECCE4Rv1G0278420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:808828999:808830414:-1 gene:SECCE4Rv1G0278420 transcript:SECCE4Rv1G0278420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSDETSEDSVMNISSSCDGIIKLPATMHDPNFNGTDADVNVLCEHGEPAERFVAFEGMHTGRRFLGCAKKEGINRGVIQWIDFEWPDSMEKALAKLWDMYEEIKSARTNDNLESSFAIHNLTEEKKKLQENYESLYADVNSLLDAQQQRGLELNNQKEQKQCLDMKIAELENVVGNLKAELSKKEEEKKKIQEDYDSLYDDVNALLDAQQQNGVELNNQKEQKKYVDEKIAELETVVCNLKEELSKKEDEKKKLLQKYDTLVNLTAAQANVIRNLKFNHLKEKERLTEERHKLQHHISELQKAEEKIKQKLQGVKAILDE >SECCE2Rv1G0108130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:658710260:658711417:1 gene:SECCE2Rv1G0108130 transcript:SECCE2Rv1G0108130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRCPNPKRLFRRSSSKISRSSSSCSSSSDNGSDASGGIRGGGGSGEIEWEVRPGGMLVQRRDGRGDVEIITVRVATGYSWHEVSIGATCTFGELKVVVSMVTGLEPREQRLLFRGKEREDSDHLHMVGVRDKDKVLLLEDPALKDMKLRATFAAQAMQSPYQTFIKA >SECCE3Rv1G0191600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:749634703:749635278:-1 gene:SECCE3Rv1G0191600 transcript:SECCE3Rv1G0191600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERKTFAQSHPVLAVLLLGSVVLGTILITVLAITLNSTPPEFSTTVSSFDGLDRRAGAPPTFHVDLRVKNGNVWRHCFEPAGAVVEYEGVPLASADLGELCVPARSVVDVPFVATGEGLGMPDRLYDHLDGRRRRQERVPLAVRLTLEEKDAVMQVWPLLLRCTAMLDGRPDLPSRCLLFFLVETGSERL >SECCE5Rv1G0357900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:750880068:750880705:1 gene:SECCE5Rv1G0357900 transcript:SECCE5Rv1G0357900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMLVAVVAAAALAVAFLPGLAVATEHMVGDDKGWTLNFNYTAWAETNQFVVGDTLVFKYNNTAHNVVEVGGPDFLSCTQPPNAVVWTSGEERVTLDKAGRKWFFCAIGEHCANGMKLKITILETAPPTPQPAPTNPAGKLQARFGEAAAVVTALAMAVLVL >SECCE6Rv1G0419900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:673831097:673832506:1 gene:SECCE6Rv1G0419900 transcript:SECCE6Rv1G0419900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSPVLLLLLFALLCCHIALCSSAAPAAKPKPKLSGGRKELVSIDDDEPVKATAAAGVKKKVASSGAKNQTKALKGKKPEESTTTTTKVVTKKPTPKADSTTTKKPTAKAGGDAAVAKPKVPKLDKAAATAKPKSADSAKSAKAGAAKPVKSEGGAPKAKKPSDSTADSAKSSKKPQVVADGKVDSAKKAKAVVADGKVKANSTVSSKEVTGVEEDVVFAEEAEGTEDLMSEFRGLPARLHQTLMPDLARLSYTSKAYLSAANAGIAGGVRPVLGGRWGAAAASAASVALLLLPLCMLTALVRRMGPYLPLLHRALLLSQAYLAIYFGTLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLVLQMVDLVAVFSGAASPEEEEGGADATKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFVIICACARAEKRKKAYLAGAEEWKKS >SECCE4Rv1G0296700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904244345:904245493:1 gene:SECCE4Rv1G0296700 transcript:SECCE4Rv1G0296700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAEIVNKSSAEVLEVVTSVFQPGNLAVEEIQVDAKKVPTLPIPVLIVAPKDAGTYPVAMFLHGFFLHNHYYKQLLQHIASHGFIMVAPQFNNCIIPCGDANDIAAAAKVADWLPDGLPSVLPEGVQPELSKLALAGHSRGGHTAFSLALGHAKTHLTFSALVGLDPVAGNGKSSQLKPKILTYEPSSFDIAMPVLVIGTGLGEEKKNIFFPPCAPNEVNHAEFYRECRPPCCYIVTKDYGHLDMLDSNASKLMTCVCKDGSGCKDKMRRCVSGIMVAFLNATIGEKHGDLEAILRDPTVAPTTLDPVEQRQA >SECCE4Rv1G0278350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:808524163:808525828:-1 gene:SECCE4Rv1G0278350 transcript:SECCE4Rv1G0278350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSLTPGEKPHAVMIPYPAQGHVTPMLKLAKLLHARGFHVTFVNNEFNHRRLLRSQSADTLRGLPGFRFAAIADGLPPSDREATQDIPALCYSTMTTCLPRFKELVGKLNEEAGASGGALPPVTCVVADSIMSFGLRAARELGLRCATLWTASACGFMGYHHYKDLLDRGLFPLQEEAQLSNGYLDTTIDWIPAMPKDMRLRDLPTFLRAMDPNDIMFNFFVHEMAAMLQASGVVINTWDELDVPLLDAMSKLLPPIYTVGPLHLTVHNNVPEESPLAGIGSNLWKEQDAPLRWLDGRPPRSVVYVNFGSITVMSKEHLLEFAWGLANTGYAFMWNVRPDLVKGGDETTLPPEFSTAIKGRSMLSTWCPQEKVLEHEAIGVFLTHSGWNSSLEGICGGVPMVCWPFFAEQQTNCRYKCTEWGIGVEIGDDVRRIEVEAMIREVMEGEKGREMRRRVIELQKGAVASARPGGRSMHNVDRLIQEVLLV >SECCE1Rv1G0007950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:43134019:43136031:-1 gene:SECCE1Rv1G0007950 transcript:SECCE1Rv1G0007950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGIQQLVFFVVLLANAISGRCSLEVWPPSEGHLEFLQPGVELASSRNSISSYVAHHAWIPGEDNNNYYGVEATLDVYGFDLQPGQLTEAGIWIASTGDGHPIPDNGIQIGWHIYPGLHKDSRTHFYVAWGASGSPDKGCFNTVCPGFRKTSSSIAPGDVIDPVSSINGTKLYITVRVFKDKSTGDWQVHYGLNGSPKPVGYFPKSLLPAMIDRPVMLRFGGYTSRKKPAPNPPMGNGYVPLSSTAALVSNLKLIDADGNDHVVNKDLPFFITSRQCYPLSIIDSGGFFYGGPGCFDN >SECCE1Rv1G0042140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:577379687:577379998:1 gene:SECCE1Rv1G0042140 transcript:SECCE1Rv1G0042140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCEUnv1G0561310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:353644572:353645063:-1 gene:SECCEUnv1G0561310 transcript:SECCEUnv1G0561310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGSGKSTVGAALAREINAKFIDGDDLHPRANIQKMASGTPLNDDDRAPWLLRLNDAAYSLRHKNETGIIVCSALKRRYRDALRKDNEGMVFIYMKGSFEVIAERLKARAGHFMPTDLLRSQFDALEEPGEDEPDVLRVNIDHKFEGVVDRCVAALKTIQK >SECCE3Rv1G0193360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:769349793:769356051:-1 gene:SECCE3Rv1G0193360 transcript:SECCE3Rv1G0193360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKLAAVSLLLLSASLAAVLLLRPSGADDGGARWGAGSGGILTALPFIPADVLPLLPRRVAMAALRALRGASDIFPVFVGAATAGPAQAGTAPVGWKGACFYENEAWLSFHNDSGSKYGGGTIHLKTTKAHSWTCMDLYVFATPYRVTWDYYFLGREHTLEIKEWESKAEYDYVKHNGVSIFLMPSGTIGTLRALWDVFPLFTNTGWGENANLAFLKKHMGATFEERPKPWVSELNPDDIQSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEEGEDIIAVLPWEEWWEFETTKDDSNPQIALLPLRQDLRAKFNETAAWIYAKRMNGKPYGYHNMIFSWIDTISNNYPPPLDAHVVASVMTVWNKLQPDYAASMWTEALNKRLGTKGLDLPEIIVESEKRGMTFDKLLTIPEKDNWVYTDGQSASCVAYVLMMYKEAGLFEPISSSIEVTEFTIKDAYILNFFEANMTRLPSWCNKDDTVKLPFCQIKGRYRMELPGYNAMEPYAHMNERCASLPPDYLRDENC >SECCE7Rv1G0504800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:726948430:726950446:1 gene:SECCE7Rv1G0504800 transcript:SECCE7Rv1G0504800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRAAAAVVLLLAVAFVSTTTTVAAARHHGHHHDGFAQVFDRQAEDLVEALPGQPAGLGVRQFAGYVTVNQTHGRALFYWFFEATHDVAKKPLVLWLNGGPGCSSLGYGALEELGPLLIQKGTPELRLNPHAWNKEANLLFLEQPAGVGFSYTNTTADLERFGDDLAAHDAYTFLVNWFERFPQFKGHDFYIAGESYAGHYVPNLAEKIVEQNKKVHKSRHINFKGFMIGNAAIDEASDDRGMVDYAWDHAVISDELYHALNKNCNFDQPRNSSDFSSSGHNPRNPACDGAMNGFYGAFDHVDIYSLYTPACTANAAGAAGQLPHRLHRASTTQSDNSRRMRPRYNSYDPCLDNYAAEYLNRRDVQDALHANATGRIPYAWAACSDPLFQHWQDSPASTLPVIKRMVDAGLRVWVYSGDTDARVPVSATRHALRKLGLKTLKQWRQWFTSDQVGGFQVDYDGLTFVTIRGAGHMVPTVTPVQARQLFAHFLAAKELPPKPIVA >SECCE3Rv1G0167680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:207575399:207579256:-1 gene:SECCE3Rv1G0167680 transcript:SECCE3Rv1G0167680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTSCSSTVFTSSSSSSIPYRTRKPLPSLRPPPRLAGLPGRPVLRCLAKRDPGEAPPLLGAPVVSDEREALEPAGRDSGGLAPSDPSWGLAFAAAAGVLMIQGSQQALAGTQFMGLQPPADVLGDLGDISTGFASAFLLIFFSELGDRTFFIAALLAARNSGGVIFLGTFGALAVMTVISVVLGRAFHYVDGVLPFSFGGTDFPIDDILAVCLLVYYGVTTLLDAASGDGEKMNEEQEEAEIAVSKFSGNGAGLVSVASTLASTFVLVFVAEWGDKSFFSTIALAAASSPPGVIAGSLAGHGVATLIAVLGGSLLGTFLSEKIIAYIGGSLFLAFAAVTLVEIATS >SECCE5Rv1G0344130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:643559122:643561696:-1 gene:SECCE5Rv1G0344130 transcript:SECCE5Rv1G0344130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVEVVARVAPRKKRTSTTLLHLLQLDNPDGVFVLAAAAAGNKLPPPEPEPEAESLIDKIASCCRVFTFAADDDADERDAKRERLEEVLAAVRSSGKNQPPGLDHLVMVALVKMIAANLFRTMPPPATCPSVLAEAADEEAPAASLLPSWPHLQAVYDVLLAVIAAADAKALRNHIDRPFLTSLLALFASEDPRERDRLKTAYHQLYAKLTPERAFMRRSMAAALLASVHECGGGVAELLEICGSIINGFAVPLKEEHRGFLLRVLLPLHRARWLHAYHRQLVYCVLQFVHKEPELAGAVVGGILRRWPVTNCQKEVLLIDELEEVVDALDQRQFDALAAPICSRIARCATSCSSQVAERALYVWNNERFLEMASAGGAMERILPPFVASVEDNLERHWSKCVQQVTASVKALLQQVAPELYDRCAADLAVNRAEADARAAARDARWRRLEATADAHQSS >SECCE1Rv1G0028940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:408497871:408500132:-1 gene:SECCE1Rv1G0028940 transcript:SECCE1Rv1G0028940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWVIAFLFLSLQPLLGASYLRARKNYIVHLHPRGGPVDSVEEWHRSFLPRTAAPESEPDGGAEDHGPRIIYSYSHVFTGFAARLTDEEAEALRATEGCLRLYPEVFLPLATTRSPGFLGLHLGNEGFWSRSGFGRGVVIGILDTGILPSHPSFGDDGLEPPPKTWKGTCEFKAVAGGGCNNKIVGARAFGSAAVNSSAPPVDDAGHGTHTASTAAGNFVENANVRGNADGTASGMAPHAHLAIYKVCTRSRCSIMDIMAGLDAAVKDGVDVLSFSIGAQSGTQFNYDPIAIAAFQAMERGIFVSCAAGNAGPEPGSVGNGAPWMLTVAAGTMDRAIRTTVRLGNGEEFDGESLFQPGNNSAAKPLPLVYPGADGSETSRDCSVLRGAEVSDKVVLCESRGLNGRIEAGQTVSGYGGVGMIVMNRAAEGYTTFADPHVLPASHVSYDAGTKIAAYINSTANPTASIAFKGTVMGALPAPAVTFFSSRGPSKASPGILKPDITGPGMNILAAWAPSESHTEFSDGGVGLSFFVESGTSMSTPHLSGIAALIKSLHPDWSPAAIKSAIMTTSDAVDRTGVPLKDEQYRHATFYAMGAGYVNPALAFDPGLVYDLHADDYIPYLCGLGLGDEGVTEIAHRPVTCAKLKATTEAELNYPSLIVNLLAQPISVNRTVTNVGKPNSVYTAVVDMPGDVSVTVQPPMLRFAEVKEKQSFTVTVRWAGQPNVAGAEGNLKWVSDDHIVRSPIVVPPKSA >SECCE2Rv1G0107340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:648186639:648187208:1 gene:SECCE2Rv1G0107340 transcript:SECCE2Rv1G0107340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAEAGYVFQPTGRELVGHYLMPRAGLGGFFLPGVIEEGVDVLSLRPRALSFPENHRRDYGEVWGFFFAAKPAGETCPTPGAGGCWEQYGQVKAYYGSEGGREAVAFRRRFAYRYTWKDGEVMSPTRWRMKEYRLNRNAAAFRRAHPGRVPADVVFVVHKVYRKPLVPRPPPPDSSSSEEEGSDSES >SECCE2Rv1G0110800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:694359764:694360366:1 gene:SECCE2Rv1G0110800 transcript:SECCE2Rv1G0110800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSCIAEQDDEERGGCCLLAIGMFVAILTGVVALIYELNTHDYDRSYSQPPKYSAAITGVAGLDLADVAGDRPTLSPVFNLTLHVNNSGSMDQACVPLLSTASVSYGDAFLGKGSMPSFCVEANGDREGRVRAWGQNVTVPRFLRDQLAGELKRGDAAVDVAVKMPIGCYILACDTVLLCKAKIRGGSPCSMTVTNVLR >SECCE7Rv1G0499780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:657062243:657062911:1 gene:SECCE7Rv1G0499780 transcript:SECCE7Rv1G0499780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSKDAPAEISHPAHPEHKLKLMATGAAEFQCDVCKELGAGDRYTCRPCDFDLHRDCALAEATLVHPLLKGREFQLRLEHPRHSDAGGRTCGACGGKVLGLHYHCAAKKGLDLHPCCAALPMVIPQEELTLELRKEASHRCSSCRERGRGRTWFYRSTCKTVYLHVACVKEIARRNRGPGDGSSSTDPFASVKEAALQIYRAKKDESELERVILELVLGG >SECCE1Rv1G0030080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:423484004:423486334:-1 gene:SECCE1Rv1G0030080 transcript:SECCE1Rv1G0030080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35500) UniProtKB/Swiss-Prot;Acc:O82290] MAGMSIAATTCCSSSFAASPGRHIATYSRAPGLSLPRPLYRRSPLAASPPQSRLRLRPRTSLSASPAAAHDYEFTDTNGEVELRLDIGKLGIESSRDVFVDVDDMSLLIRAKSDGTLRTLMNVGTLFDRVKSSETIWFIDEDQLVVNLKKVEQELKWPDIDESWKSLTAGITQLLTGISVHIVGDSTDINEAVAKEIAEGIGYLPVCTSELLESATQKSVDTWAASEGVDSVAEAECVVLESLSSHVRTVVATLGGKQGAASRFDKWQYLHSGFTVWLSVSEAGDEASAKEEARRSVSTGSVAYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGKKSLYIRLGCRGDWPNIEPPGWDPQSDAPPTNI >SECCE5Rv1G0363730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:795999372:796009426:-1 gene:SECCE5Rv1G0363730 transcript:SECCE5Rv1G0363730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINAGRWDWRTPASMVLVQLFITGMLLLSKVSIGAGMFIFALLAYRSFLGAAFILPFALISERDKWREMGSHATGWIFLNVFIGYAVPMSLLHYGLNDTNPSYAAIFVNMIPLVTFLLSLVFRMETLQISSIVGLLKIIGVILSVGGTMLISLYKGKTLHLRDPILVHHHKEHRVAKVACNHLRGTILLVGSSFTFACWYLIQSKVLKVYPYKYWSSMITCLVGGFQTLLVGILLRRDKNAWKLGWDLNLVTIMYSGALATAGRYSLNSWVVAKRGPTYPPMFNPLSLVFTILLDSIFIGDEITVGSLLGTTMVIVGIYIFLWAKSKEVRDK >SECCE5Rv1G0353960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:720816366:720818238:1 gene:SECCE5Rv1G0353960 transcript:SECCE5Rv1G0353960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MSQPITPRRATRTATSAPDSPASPPKSRPKSSHRRQLLAAAAEPNEGKSLDALLEALPGRRAQATDLLRLLAPAPALPVLLHGGAATGKTRALLLALRHLRPSPRLVYAALRSLPSPRALFASILSQLNALSSSNSSRQRVPDKPSDFIAALRDALAGVVAQAELVYLVFDNLEVARGWDKGGQLLSLLLRLHDLLRLPQVVLVYVSSATPDAYYTMTGSVEPNHVYFPDYTVDEARDILMRGQSNPKLYSSFLGVVLKPLFRVTRRVDELAALLEPLFRRYCEPLGDLQAVPDEGMRRRLFEHLQPHLAVALNETFSVPMRASVEKCKDDSSGGKASVKRQFGGRDGLSSELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGSDTRNRKRKSSQASIDRKDTMAEEILMKGPGTFPLERLLAIFQCITSVSEDVLDEVDCPDSTMDGSGTNALMSDVLLQLSTLCNSNFLFKSRSCPLEGSARYRSNIDEDLALKVARSVGFPLSKYMYRR >SECCE5Rv1G0374170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862045513:862047472:1 gene:SECCE5Rv1G0374170 transcript:SECCE5Rv1G0374170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGRQQRVVVMRHGDRLDHAEPMWPANKPRPWDPPLTDAGVLRAWTVGKRIRAQAAADGYALHRVLVSPFRRCLQTAAQAVAALCAVPDDAALAAVVDSSANVPLDASRVKVSIEYALSEMMNVEAMGTIVSQVAPSVEKWFPDVAELEAVLPPGTIDHSTQPLFPEVPKWGESVRGARIRYGSVIKALADKYPDENLLLVTHGEGVGSSVACFGATGMEVYEVEYCAYTVLEKQPGGEGGGDESMLKVVADRSGPTTGIHYLVTL >SECCEUnv1G0532000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:18815512:18818356:-1 gene:SECCEUnv1G0532000 transcript:SECCEUnv1G0532000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSRAQTRRGTPLSAAVMDTFFLSHGGPTLCVDETIPAWSFFKSWLPAAVAGTQRPRAILVVSAHWETAMPAVNVVPGINDTIYDFSGFPEAMYQLKYPAPGAPDLARRTKQLLEQAGFGPVEEHHGRGLDHGVWVPLMLMYPEADIPVWQLSVQTGRDGTYHYNIGKALAPLRAEGVLVLGSGSATHNLSKMTPHEAPVPQWASDFDTWLEDSLVGGRYGDVNRYDEKAPYGKLAHPSPEHFHPLHVALGAAGDEPKAELIHRSWTNTNHSYASYRFTPKN >SECCE1Rv1G0063770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726669200:726670583:-1 gene:SECCE1Rv1G0063770 transcript:SECCE1Rv1G0063770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFSKSRFEGKGGGAGRSISLAASRAKLAAATASAKKATTASGRSKGKGKAAKKVFSLTGQKFDTPEEREPLRIFYESLSKQIPTSDMAEFWLMEHGLLSPERAKKAYDRKLKRQQQIKSGTPIKSSNSTTLTKHNNNNNSNKPAETWKKPVPVVSSSSSTARHNTDHSVAKAKRRVDYSSDDDDKEFIVKLKRPNFNSNNNSNSYSRGG >SECCE3Rv1G0165670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:177242133:177242654:-1 gene:SECCE3Rv1G0165670 transcript:SECCE3Rv1G0165670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPNSWLFADNSKYSTRARLVFMGLSFAIGILTFLIYLAIWYACSRRGRRQRGAGAADLEAAAAAAAASERGMSDAAIAALPTFAYEQQADDAAVDCAVCLGQLEAGEKARRLPKCAHLFHAECVDAWLRAHCTCPMCRAPVGLAAATSSKKDGTTETTPATATAAEALPPV >SECCE3Rv1G0186100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:680170808:680175879:1 gene:SECCE3Rv1G0186100 transcript:SECCE3Rv1G0186100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSRHRHGHAIVLLIVLFAAASHGDPADRPTCQLEPLTCGELTVRYPFHLYNGTEDAAPAYGGPQSSHCGYPGLDIICDDDKPILRLGHDEYTVSDINYTSLTVSLADADALGGDGTSCPMVRHNVTVPEQFHLPTSTVAYLFFFLNCTFPLEAEFPPKPLHKPPSIKPITCRATEEEPSMSFVLPEREIPPKDWWQACRAVYAAPVLKDALPADAKDPGWREDGYARALRGGFQVGWDRSSGPCGLCEQSGGKCGYNRTGGLLGCFCADGALVLGTAAGCSKISDTTAPLPESKRKKKMVIAVAVAGVAAGLLALAAIVFLFIRKRRQRKVVNSSSKLLKYRYSGSGGTPTRSRGGDMESGSSQDMGNRFSYEELEEATDSFNENRELGDGGFGTVYKGYLGDGRVVAVKRLYNNSYRRVEQFVNEAAILARLRHPNLVMFYGCTSKESRELLLVYEFVQNGTVADHLHGPRAAERALPWPLRLSIAVESAAALTYLHAIEPPIVHRDVKTNNILLDTDFHVKVADFGLSRLFPLDATHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAISKIQKCQLEELVDLKLGFESDPAARKMMTMVAELAFRCLQQNGEMRPPIREVLDVLRAIQDECLGHKDGGGKDKDFAEPFSPNTVHAPWDSRTTTPNTSQ >SECCE1Rv1G0051750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:656703678:656706203:-1 gene:SECCE1Rv1G0051750 transcript:SECCE1Rv1G0051750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMDRLKIFVVKEPVVAASCLIAGFGLFLPAFVRPMLDSWETEKNAKTPVELKDVVAGVTGKKA >SECCE5Rv1G0356640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741646797:741648747:-1 gene:SECCE5Rv1G0356640 transcript:SECCE5Rv1G0356640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-interacting factor-like bHLH protein, Stress-responsive transcription factor, Regulator of reduced internode elongation under drought condition [Source: Projected from Oryza sativa (Os03g0782500)] MDGNGRSAAAHKKPLVADNDLVELLWHNGAVVAQSQTHTRPAPGGLAGGGGGETAAWFQDDVDALGNDVYAQLWNSIAVGAAPDVACAALPGPSSHPPPPPPPMRSGIASSWTAGDIGSTFCGSNLVPEVPPGGREEGSAAPPSEGTRGASTRDGGAGTSSSGGSGSNFGGSGLPSESGGRAHKRKGRGKDDSDSRSEDVECEATEEAKSSRRHGSKRRSRAAEVHNQSERRRRDRINEKMRSLQELIPHCNKADKASILDEAIEYLKSLQMQVQIMWMTTGMAPMMFPGSHQFMPPMAVGMNSACMPAAQGLNQMARMPYMNHSLSNHIPMNSSPAMNPMYIANQMQNIQLREASNHFLHPDGAQAMPPQAAGPYAYTPQVAPTNQIPEVPDCTVVPTSGPRQPPASASDGI >SECCE5Rv1G0324970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:458987094:458987708:1 gene:SECCE5Rv1G0324970 transcript:SECCE5Rv1G0324970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEWCWPLPAWLGSGAAWFVALNLVVGAIFALSSRAQPPSPRRAGSGITRRASSAVLQRIRSFSIFSFPSSSFHTAEPSPGAAAAVAATFRETEDAGTPRTRSPSTPRLPRPHATAGPAKEDAVEDENSMSMDEAYALALAGRQRAPPTEEEAAGSEVDAKAEEFIQGFKEDLRQQRLRSIFNYTQMLKRRAAAGQPPAAPE >SECCE6Rv1G0387360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:112071581:112073229:-1 gene:SECCE6Rv1G0387360 transcript:SECCE6Rv1G0387360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASRSLWASRAASYLKISTFPRAFSTVLRDLKYADTHEWVRVEGDSATIGITDHAQDHLGDVVYVELPEVGASVSQGTNFGAVESVKATSDINSPVSGEVIAVNDELLEKPALVNGDPYEGGWIIKVKVKDEGELNSLMDDKKYSKFCEEEDSKH >SECCE5Rv1G0334850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:568476824:568482232:1 gene:SECCE5Rv1G0334850 transcript:SECCE5Rv1G0334850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEGTARSGADEAPKAELAAAKAVSPTGEEREGVGGPFVIVNGDSDGHSDPGSDTGAGDSRSEEEDLPRANAAPAADAGGDHPIAGGELAALDGAVGLPSSNGHASPAVVVESEVVDGVGEVEGEGSHNSEAGVGEQGTAAAGELDGEVAATELKIDHADAADDSAPPAVESVLNVNDGESEKSAASEVVAPEEQPDGENAPAAESSGSDAPPTHAESGSIVLESEGSGEQSKGEEIAAEIMEPGTDESGVSGVTGQHDADTSVTATDPVIHVDEGKHEPAAVTELVEQDGSNGHDHVEQIADSGTSAPEVEADGSKGQQVEALTTEPEVLDEGDCEATSKGPSEEEVVANGLGCAKDTADTSLELEGESQVPSGVVQVEDVVGNDGEGDLHDGHTVVVPSSDEEAKPPAKEGTNQAIPAEAVKEGIISEQIVQDNESVKDDVSSVTLQSINSEDPVVEPKGDHILQVEDAAGDGNTAVSDVKAVMLEMNSADSVQTQDLKSASEDRSVPLHVNSSDQVEEEVNKEVSPEDAHLAHNDYSSEQTEKHEQLELPGTGAAAADKSDNAVIEAEPGKEMEVVEDVVLHAAAASIFHNEPRSIDFIDNNHVKPDTELESCDHVQAEECNSDEISSTTVNEVISGVSMEHETAVTDDAELRYDTGDASLSETAVDEGEAVALTDNKASVVDEVKPSSATGSESDVAREASDVSQVGESCDLVTDCQSNNDQPDILDASTTREELVSLTEYPCLPVVTLESGVKAPHTDKAPGTSDETSKDTENINACNISSTEVETKSLEVVEPSSVDAVVPVEHENDDEHAHKGKEKIAEDSSESPVEQPVEIESDKGNIQLMRPHKFFIVKVPKLAGDDVWARVQDAQVHLDRLTQERDAINARKKKQKASFDEYREKLEAARKEENEARAAHAGKRNVLDGVRSTIGKLNQATSVEEIDELIVRKQRTMEHETISLKEEKLFIKEINELKAQRKQACSNMGSEAEMSEAFHQKDHIHEQHKTLKKESDLLFKNLKSLEENRKKIQKSFEDERAALRKLNDDLYAANEKRQQAYENWVELRGEPGKKNKYFFMYKKDRDAAGKLLSSGDMDGLQSYCNNQVEGFMEMWNKDDDFRRLYVEANQISTLRRLGTHDGRSLGPDEEPPVIPSNNYSRRPNNPSQLTVSSPKVPITTSEGAAEKSTEKSTAVVVPVEEDSFPVLPPTQTHKQAKPKAAGSGSPQKEITPAPAPAPEVVDVKQIEKEKARLAEELELARKAEELARKEEELRAQRAAAEKERLRLEQIAKAKEAEERKKKKAEKAQERAEFKARKEAEMKEKKKAKKSKKVGTTPAELASGETNPAVIATADTESNTPDHVNRDIDVPQPIPRRPVKPTVRQLQPMPAPLRNKFKRRTRQYILIGVAVALAAVALILAGRTLDLPGLSSLRF >SECCE5Rv1G0334640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566912672:566913085:1 gene:SECCE5Rv1G0334640 transcript:SECCE5Rv1G0334640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVESHRAGAEVHKGNVICKKKTVELLEELGLPKGLFPMDDIEEVGHNCESGFVWILQKKKTEHTFKKINQTVSYDTEVTAFVEKGKIKKVTGVKIEVSLVEVHVDESSADKVTVKTDTGLSDTHDAAAFALGE >SECCEUnv1G0548310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:182805097:182806676:1 gene:SECCEUnv1G0548310 transcript:SECCEUnv1G0548310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEDRPLKAARVQETKEHRLTPDLPEDVLADVLRRVPPRWLAASRCVCKAWRDAIDAHRLLRADLLPLSFAGLFVHFNDHKYPEFFARPSSSGDAVSGNLSFLPSTAPHAGTIWDNCDDWHDYRVEDHCNGLLLLGKNRVVNPATRRWNAFPPCPAQDYARWKMRYTRRLVYDPMISTHYEVFMIPCLHDKRRGEEGESDLSMEESEWPSSPCKMYVFSSRTGCWEEKYFVRQGDAARTVSEMRVGWMEFRDAAVYFRRALYVHCGAAFLMRISLSDNTYRVIKPPIVIKSEYFLDLNIVRSEKGVYFVAFEEDNCLLRVWILHESCGEMEWMLKDDIDINHVLARHRFNQCVRGHWILEDINYNLFCSTRSSNEIKEASTEHIYEWNSDNDDDVEDCYQNKGSRDGNDYDIQILGFHPHKEIVFLSESDGTEITGLAYHLNSSKIEVLGNICPEGYSHFNELLNDDIRLVMSSPYTPCWIEEFPKKN >SECCE2Rv1G0105260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:617560256:617561227:-1 gene:SECCE2Rv1G0105260 transcript:SECCE2Rv1G0105260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKKAARGSAEAKVAPPLPPEKDNALAPPPVVVEEEVKEVLSETAVRRTSPPEPEPEPEPEHEKEKMVQEHEEGEASDSVSVDSSVVDKAMVKAGREQEVEKRTVDVPEKGRAKRMEPELKKCKDATGNGRARSPSPAAKQRRPGTIVSEQPVPPRPRRESPAVVSGIGCRSGRFSPSAARRAAESAVRRSYSAREADMALPSSAKRSLNTNINGNGGSSVRRDPGEHSGRRSDSPARRPPASPAANGTISRQSSATRKGPKENTSSEKTKQQCGRGRAPMEVGDELDEAPLAGKERREAADAAMGQNPSVAMECFIFL >SECCE5Rv1G0359670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:763272217:763273458:-1 gene:SECCE5Rv1G0359670 transcript:SECCE5Rv1G0359670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEREPERWASLMPELVDRVANLLLDAADIDCYMALRAVCHSWRAATADPGRTLDPRFRPRGWVMLDYPSHGVDARLLVNTATGRFHGRRMPLLRAYFVVSVTADGLLVLAARDPPHAACVLHPFTGHMVRYAARMRAHVLAAAVSGSSPPYLVLYCQDTMKLYRAGPDSKEFVRYKKRYAYPLVRKAVQGLVAVDGHQGLLPPLPAALVAKIADLMSPFSDVPADEIDTASWKRCFVVESAGETLVVFKLESRVEVFKMDMAASTLERIKSIGSRAIFAGGCHRCVCLDADKFPSIEANCVYFTDRLGSESTDMYHLNENGGEQTESVVMSAASGEAPSVNLNLPAFLCHPMTAHPFTIIQLLSIYTFNMQSGQVTQLVEEEDRILEKLRSGEMSFSDLLRSCQANSDADDD >SECCE6Rv1G0433320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:758138671:758144005:-1 gene:SECCE6Rv1G0433320 transcript:SECCE6Rv1G0433320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEEGLWAGLPVRVDWAGVAAQCAWAGQWVRALVVVPGIRLLVALSLAMTVMILLEKIFVAAVCYAAKAFGHRPERRYQWRPIAASACKTGGDEEAGGGGSAAFPMVLVQIPMYNEREVYKLSIGAACALEWPSERVVIQVLDDSTDPVVKDLVEIECQRWKGKGVNIKYEVRGNRKGYKAGALKEGLKHDYVQECEFIAMFDADFQPESDFLLRTVPFLVHNPDIALVQTRWKFVNSDECLLTRFQEMSLDYHFKFEQEAGSIVYSFFGFNGTAGVWRISAIEDAGGWKDRTTVEDMDLAVRTALKGWKFVYVGAVKVRSELPSTFKAYRFQQHRWSCGPANLFKKMLVEILENKKVSFWSKLHLLYDFFFVGKIAAHTVTFIYYCFAIPLSVFFPEIQVPLWGVVYVPTVITLCKALGSPSSFHLVILWVLFENVMSLHRIRAAITGLLDAGRVNEWVVTEKLGDANKTKPAMEVLDAVKVIDVELTTPLVPKLKKRRIRLWDKYNCSEIFVGTCIIICGIYDLFYANKGYYIYLFIQGLAFLVVGFEYIGTRPPTPSAE >SECCE3Rv1G0144600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:4949567:4951326:1 gene:SECCE3Rv1G0144600 transcript:SECCE3Rv1G0144600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPASISKASSLQLLVLDGCNGLESVGGLPSSLESFSFNGHGPASQWTQTVELPPEQFRPSIPADNMDIRVSKISLAGCTISADNMDIRVSKISLAGCTQLKNLFLCWLPNLVELDLSGTAIKILDLNTMVVEVPKLKRLFAIGCKRLRAIISLHENGSEMKHELELLCIDTRVGTVCSRPSINRTKSFKFELHVVAMDARLARSMKGLLLPYEQKGIMKDVSYNIHVTSSPVHNGVVQVDATSPNDQESLQQLVPAGLYSDVLSMVGNPPMQDFPQPPTTTRLDHHIEIAKGSCYVENELLGDLGYLVGHNAESLHVHDVSIRAIALFEFYQFALKWCCVERCQELDVVFPSVSTGFNVLETFWASDLLTARWIWGKATYKGFFSHTANTFPNLQHLHLRSCPRLQFVVPLYGLSFPSLKTLHIIHCGDLVHVFELNMDYPEEVTALSARFPKLATIHLHDLPKLQQICEVKMVAPALESIKIRGCWSLRRLPSVGARGQGEKKPAVEVEKDVWGALEWDDDHRPDHFEPPVHSRYYKEKLPRVSVLR >SECCE7Rv1G0494720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:587976606:587977226:-1 gene:SECCE7Rv1G0494720 transcript:SECCE7Rv1G0494720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAITAMSAEAKRAEFEFGTSADPGNGELWRRFVKFELDEGGGIEAARAVYERLLAALPDGETHMWGWFLTEREFGDVDSQRRVLQRWARWAQAQGGGFPRSKDGWWDYLEFEINNGGVERVPAVGEALLATFPMDPHAYVMYIRALAALSRHVEAEALARRGVKELSAFCRGHDEFIWRFMAVYLKRLRDRRSTAWDEDMFSD >SECCE4Rv1G0256140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:654556319:654557545:-1 gene:SECCE4Rv1G0256140 transcript:SECCE4Rv1G0256140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSAMQAVLVAPGVKGRKVRAFKRNGKDAVAGVVRSIAGAEEQGAFFVFDLATVVDLYSGWCRALDGVRPYYAVKCNPEPAMLGAMAALGAGFDCASRAEIEAILALGVVEPGSIVYANPCKPEAHLRYAAEVGVNLATYDTEDEVAKVKRCHPSCDLLLRIKGPDNPDAKIDLGTKYGAREDEVVPLLRAAQRAGLRVAGVAFHVGACTSRVDVYRGAIEAARAAFDAAAELGMPPMRVLDIGGGFMPNATFDEAAEAISEALAQHFPRGCGVEVIGEPGQYFAEKAFTLAARVIGRRTRGEAREYWIDDGIYGALSCTILGDYVPRPRPLDATRPPGGEKKYASTVFGPTCDSRDKVVTGYQLPEMKVGDWVVFDGIGAYAASSGTNFNGFLISDIKTHLAYST >SECCE5Rv1G0310920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:181070537:181080342:1 gene:SECCE5Rv1G0310920 transcript:SECCE5Rv1G0310920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRRVCDCELGFGAARANNRAGAWAGVAAALEGFLADPWLLRPAGAGDGQKAGTVQVEVPPLELPEEGEDEACRAAMQRQAAAAEDFARRLEGAYGSPDAEGDEDDSDREDQGNAAVKVMCRLCFSGENEGSSKAAKMLPCKLCNKKYHKKCVKNWGEHRDLFHWSSWICSSCRSCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPPHKNVTHGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQADQNLQYTCASCRGECSQIRDAEDAVRELWKRRNIVDHDLMVSLRAAAGLPSLEDVAPCPNSDDERLGALVLKNDGRNTLKFSFKSNSSKPPLDQSEQEKNVPKNSGTNKKHSKKKGNQGNKSVADPNEIFLERRHEAKSMSSHLGDHTVDVNHDRNSFKNNENVFVLSSTRSSEKDLKSTSAKAATNNADMIPKVKIKGSKVPSLHFKDIGEENNAKGDTGKGTKLVIHLGTRHKSKSGSPKSEMSNSHKEQELGLMHGGKIDVTSHFKSSKSSKKEKSVMKLVGETGVQQRSSLLGDLGTSKKHATGKRSSALISGMENASESGTRSRSFGHKQSIPSQLTENQGNASFAVNNSPDSLKPSLLKLKFKRPHLEQPSAQVSQPEEPSTWAPQQEDLNVAKGQRSKRKRPSTDKMDGSEGSTPSKRHGQSTGDEAMDATWILRKLGNDAIGKRIEIQLASDGKWHQGVVSNVISGMLCVQLDNGSSENLELGKQAVRLIAQRSKGGKR >SECCE1Rv1G0051530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:655060856:655062394:1 gene:SECCE1Rv1G0051530 transcript:SECCE1Rv1G0051530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTDAFHRRRLYADEDRLGALPDDLLLDILRRLDTRTALGAAALSKRWASLPREVPVLDLKVTDILPPRYHRCFRLREDARDSKISSTLSDRRLLEAITARYERRAMRSMVCSVKSLLASQARRRVERLSLEVFAYSTSACINRLVVDAVDSWGVRDLEVVATLTGPLTRQEPSAYSFPLGLISRKPGESRLRSLKLANCLPPPLRGFNALTTLVLRDLPVPTPAAAYEEVVAACPQLQVLHILSCQIKIRARRVVLDAPKSEIRELVVGGELVSVEIRSLPKLESLASQEATVLLRSAAAAPCLAHVSLAFSVGRLEGGGLAGLNRSYRDFLIQLLLQFFQGAITVKDLVLRFSGPVMWIMPKNPFSAMSNLRRLLVADVPSSWDASWPRLLIKAAPLLESLYVHVSHSEDEPRQEVPGETSALRHRHLKELVVIGFQRTERQMHLVRFAVEVSTALRRVALLKQGRVQDKGSCCDWEVVSQQSAWCDEERLAVLDGIGCSTGQIEVVLS >SECCE4Rv1G0262810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:710943207:710948883:-1 gene:SECCE4Rv1G0262810 transcript:SECCE4Rv1G0262810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKVFSSSAAAASRRSSLRRLLSSPAVSAACLLFGLAGFLAAAVSLSRAPAEAPRGRCPDSSHPLSVSVAWDRRPGDAAGGATELPAGHATGSRGRHKVMAFVGIFTGFGSVGRRRALRRTWLPSDRQGLLRLEEATGLAFRFVIGKSNDKSKMAALEREVEEYDDFVLLDLEEEYSRLPYKTLAFFKAAYALFDSDFYVKADDDIYLRPDRLSLLLAKERPHTQTYIGCMKKGPVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFNNEDVTIGSWMLAMNVNHENTHALCEPECTASSIAVWDIPKCSGLCHPEVKMLELHQRKECTGGPTEAAETDDE >SECCE6Rv1G0434850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:767931373:767933713:1 gene:SECCE6Rv1G0434850 transcript:SECCE6Rv1G0434850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRSPSAGRSSPESSNASQSSGSQSSGGPTNPDGSITIPFTIHRGNPDWLTGLGSSYLEMPSGQENITLGKEDYPELVLIIKDDDKHQRTRLEMMKSLTHKNVLGVYFWEQVEGGPYIRVHVEPYTASISTIIRDAEYLVDSTSNLVPSPAFEEIVSASIDGLDYIRESGYYHGNFSWETTLYHQEDDKRVVKLANFETKVRFPTECQVEDYISLAASLEWASQKVKEEYGNVKAHTCYLIDDLIRILKSVTVKSVQTIKLQLKNHEFFWNSKRNKIFFAYDVPQVFKNERVKQKFEQSPSMPQLPWTRSWPTHELIPGQLMKHMLIYRGNNGLGLYNGSDVEDFLRFISGMYSHENELKEKIPGLVVDSEVQKRYPLLWFDLKKAIRDTEEEADNAMVVDN >SECCEUnv1G0551490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:234872472:234875653:-1 gene:SECCEUnv1G0551490 transcript:SECCEUnv1G0551490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGAMGPVICKLAELLLGEYNLEKRVKKGVQSLLTELEMMHAVLRKVGEVPSEQLEEPVRIWAGKVRDLSCDMEDAVDDFLVRVDGGSSSEPTNMRNRVKKFLKKTTKLFGKGKALHQICDAIKEAQDLANELADLRKKYELDMFNTINGATTDPRVLALHKHVGGLVGVDRTTDELIKTLICEDGSSEEQLKIISIVGVGGMGKTTLTKAVYEKIKAQFDCAAFVPVGQNPDIRKVFKDVLYGLNKVKFGDIHNTTKDENLLIEDISEFLVDKRYLIVIDDIWEEEIWRYINCALYRNKLHSRVITTTRNVSVSEACLSSSDGMIHRMKSLSDEDSQILFHRRVFQSKEKCPEDLQLVSRDILKKCGGVPLAIITIASLLVSSQRVKQKHEWLHVYNSMGRGVTQSGIVKDMKRILSLSYYDLPPHLRTCLLYLSIFPEDYEIERDWLIWRWLAEGFIQRDKKESSLFEIGESYLNELMNRSLIQPARMDSEGTVVTFHIHDMVLDLICSLSSEENFISILDNAEWHAPNLQRKFRRLSLHNIKAKVQNHQINNTNLSKVRTFAVFSPVTCDWLPSLSNFQFLRVLDLGNCASRKSSYGISLKYVGNLIHLRYLGLRNADVHELPMDIGKLQLLQTLDIERTSIQELPSSVVQLRNLICLCVNLGVRLPKGMCNLTSLEVLQEVILTSSPHIVKELSHLTEIRTLKLYCDYIEGPLAELYCDSKGADLINMDILTESLGKLQKLQKLCIDFGCRLMDCMHESWVPPPHLHTFKSWSSQQGSFMRLPKWFNSTSLPHLSILEIFVEELQGDDLQIIGMLPALRSLQLRARRVMGTLVVRAGAFPFARWCVFYGFPTSPCLFPPGAMPRVQHLEFWVSARSVASGEVDCRMGHLPSLEHVKVDLKPENHSHEEMETAEVLLRHAVEAHPKRPTIGFYY >SECCEUnv1G0550630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:221952751:221954065:1 gene:SECCEUnv1G0550630 transcript:SECCEUnv1G0550630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIDESEHSYYALEWTIHHFFAPGQPQQYHLIVVSAKPPAASVIGIAGIGTAELLPRVELDLKRASARVIDKAKEHCSHVTDVSYEVKEGDARNVLCEAVERHHADMLVMGSHGYGAFKRAVLGSVSDYCTHNAHCTVMIVKQPKHHKKHEQWGIHRKEA >SECCE4Rv1G0290150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:870769663:870771673:-1 gene:SECCE4Rv1G0290150 transcript:SECCE4Rv1G0290150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRGTATMSRFPPVSSYDASARERRTAASDLDGTLVASSSAFPYYFLVALEAGGYLRALALLLLAPFILLLYTAISEPAAIGLLVFATFAGLRARDVEAVARGVLPRHYAAGVRADSWEVFRGCGAGRRVVVTASPAVMVGPFVREFLGAEVAGTELGTCCGRFTGLISGGVLVAGRKREVLERLFAGGDMPDVGLGDRESDHDFMAVCKEAYMVPTDKRAPRAAPDALLSHVVFHDGRLVRRPDPAHALFALAYLPVGFALAVLRVLISLPVPPHLVRHTYRLTGIRLAVRGTPPQAPHEGSPGSLLVCNHRTALDPIIVAVALGRPVTCVTYSVSRLSTAISPIPAVALARDREADAARIAELLASGRDVVVCPEGTTCREPCLLRFSALFAELSDHIVPVALEAAQSTYYGSTARGWKAMDPWFFYMNPRPGYKVTFLPALRPEETCGGGGRSAVDVANHVQAVIGKELRYRCTTLTRKDKYMKLAGNDGKKLA >SECCE1Rv1G0051420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:653572995:653576813:-1 gene:SECCE1Rv1G0051420 transcript:SECCE1Rv1G0051420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGLTKLLADHAPKSMREQKFESYFGRRIAVDASMSIYQFLIVVGRTGMETLTNEAGDVTSHLQGMFSRTIRMLEAGIKPVYVFDGKPPEMKKDELLKRHAKRNEATEELTKAVEAGDTDAIEKFSKRTVKVTKQHNDDCKRLLRLMGVPVVEAPCEAESQCAALCKDDKVYAVASEDMDSLTFGATRFVRHLMDPSSRKIPVMEFEVAKILEELEFTMDQFIDLCILCGCDYCDSIKGIGGLTALKLIRQHGSIEGILENINKDKYQIPEDWPYQEARRMFKEPDVTLDIPELKWTAPDEEGLVNFLVKENGFNQDRVTKAIEKIKSAKNKSSQGRLESFFKPTVSTSVPLKRKETSEKPASAAASKKTKSTRGRKK >SECCE2Rv1G0125050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:838590531:838592086:-1 gene:SECCE2Rv1G0125050 transcript:SECCE2Rv1G0125050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSNCLRGSLPDSIGELIMMAYLNLSHNSFFSIIPDSLDKLANLQALDLSHNNLSGSIPKYLANSTILYILNLSFNNLQGQIPEGGVFSNISLESLIGNSGLCGASRLGFSSCLGNSPRTNSRMLKFLLPAIIVVIGAVASYIFVIVKSKVWKQQGVAVSAGVVDMISHQLVSYQELSHATDNFSESNLLGSGSFGKVFKGQLSNGLVVAVKVFDLQLEHAIRSFDVECRVMRMARHRNLIRIVNTCSNTEFRALVLQYIPNGSLEMLLHNSRGSRHLGLLERLGIMIDVTLAMAYLHHEHYEVVLHCDLKPSNVLFDKDMTAHVADFGIARLLLGGDRSMISASMPGTVGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGRRPTDAMFVAGMTLRQWVHQAFPTELIRVVDGQLLQPQGSSSPSRGLVDGSLARVFELGLLCSSDSPDQRMTMSEVVVSLEKIKNDYVKWIAEA >SECCE7Rv1G0465620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:72230667:72234079:1 gene:SECCE7Rv1G0465620 transcript:SECCE7Rv1G0465620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLFDLSAGASARVAARDGSPVRGTQSDRKDYADLKSTVTGSMRRSSSDRSAGGTPMKTLIAREMAKEGDTNQKNTNVIARLMGLDEGVAFPKPVQPSSRRRSPEGRLSTTMARVNNQLSFDKHASSVENVEYKDVYEVGYQPQRCEHLSDDSSHRGRPHEDHDKKRMDLVRQKFVEAKQLASHENLLQSKEFHDALETLNSNRDLFLKFLEEPNSLFAKQSGEFHSAPTSPQRKQITVLKPAKSVELKSENALKRQQNHAVHGSRTEKSNLCRKPDAGHARAERRPQHTRIVVLKPTSAITAAEQFQQNGHGNSDDSDAPVVSRHVSDEIHWSAHSMCHQHDECLQGSIQSNMVGRDRSYYDRAEEGRSSFSGSELGSPTSCHSWDYIYRFSNPYFGSSLSHASCSPEAHVAREGKKHTSDRWPSASSNEIIREKVPMRRSLSTLGEMLAMSDMKKEDVVDQAPTNASSQLCGNEPRVAVPSNCSVDGAVGESSSNKISRSKSVPVSSAAFESLRLDARSSDSQHREATTPKEAAKPRNGKSSLKGKISSFFSMRRKAGKEKLIASPSGSLNGRVPVDSDVVVGVSRSACTGLQEDVASESLEDKYQYATIVLPVKESEALSSSKALISLERAHSFEIRNSHFDEPSPTSVLDALFEDRNEKSAGSSESAITSKQEPLSRCLPIGSVARTLSWDDSSSSEEAAPCSSKDEDSHEQEQYEFVEKILSSAGLCTEATSNIFARWHSLDSPLAPNVPDQFLERKVEDAKCRERRSSQRLLIDSVNAALLDIGRSKLWGAYPCTGPIVNASQRDEPVADAAWRLVKGWLSDDEASNGLPDNVGVAAERVVGEEIEGRGWSEMLRLEVDDMTKEICGDVLGEVVEEALSELAGCR >SECCEUnv1G0527740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1771171:1772229:-1 gene:SECCEUnv1G0527740 transcript:SECCEUnv1G0527740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALATYVTKMLADMAREEVAMLIGVSDGIKDLSVKLGDLKNFLADADRRNITDESVQGWVGELKHAMYLATDIIDLCQLKAMEQDQTKGKGCLNPLLFCMRNPLHAHDIGTRIKLLNQNLDAICKRGSHFNFIKLEAYEDRKTTRSLATNRKTDSLMERSGAVGEKIEEDTRALVEVLTREAAGDKSDRLMVVAIVGVGGIGKTTLSKKVFNDDGIEGKFAKKIWLSITQDFNDVELLSKAIITIGGDLPGDGGARDRDLLLGALKNAIMDKKFFLVLDDMWCVDAWDKLLMAPFSYGGPGSRVLVTTRYDIVARSMKVVHYHHVEKLGLEDAWSLLKKQVSVPTYL >SECCE2Rv1G0095890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:427189678:427202213:1 gene:SECCE2Rv1G0095890 transcript:SECCE2Rv1G0095890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISTPPPAPAEPQQEEEEVLVPHQELPNGTQPMEVVPAEPAATVENQPTEDAPISRFTWTIDNLSRVNTKKLYSETFVVGGYKWRVLIFPRGNNVEFLSMYLDVADSAVLPYGWTRYAQFSLSVVNQIHNKFTIRKETQHQFSARESDWGFTSFMPLSELYNPSRGYLVNDTCVIEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTAQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKELGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEEALTVGQLKEAANKAHNAELKLFLEVELGLDLKPLALPEKTREDILLFFKLYDPEKEQLRYVGRLFVKASGRPQDILPKLRKMAGFLQDDDVELYEEIKFEPNVMCEYIDNRIIFRSCQLEDGDIVCFQKSPKPDTADQFRYPDVPSFLVYIRNRQVVHFRSLEKAKEDDFCLEMSKIFTYDEVVEKVAQQLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSMVGDVLSDIKSKVDLSHPDAELRLLEVFYHKIYKIFAPSEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDEPLSSIKERLQKKLKVSDEDFSKWKFAYISLGRPDYFEDSDTVATRFQRNMYGAWEQYLGLEHPDTAPRKAHSANQNRHSFERPVKIYN >SECCE5Rv1G0345350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:651356134:651356552:1 gene:SECCE5Rv1G0345350 transcript:SECCE5Rv1G0345350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIHAMVILFVGWLAVTAQCRLEAERRSYLAPGDNNTSRNNATVANPMSSLDDAKINLKFCGDYDCSFGTCYCCDNVKARPCFNTFDECKSHCPSCPPECPPIELHA >SECCE7Rv1G0457540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:17680071:17688925:1 gene:SECCE7Rv1G0457540 transcript:SECCE7Rv1G0457540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTSAAGQQQRRLLKAAADGDLGRFKSIASALDGGKGRVREAVEAARDAGSGALHAAAGHGRMPVCVYLVERLRLNVNAGDDKGDTPLAYALRGRNVDIVRYLLDHDADPEKRGEHGMTALHVAAGAGMCEMIEVLLSKGAGINSISSCGTPLHAAIIGKQDAAVRTLLDHHADCNKAMAIHYTPLIAAIHVSSLKCVKLLINAGADVKGVAPLTPLIAAVEDGLTDFYKPLLAAGADPDVRDDGGQLPIEIAARNNKRKDVEILFPVTSRIPYVRDWSVDGILAYVKSVPKEEDDPLYKMGPAYLKSEGNKAYKRKDYVSAINFYNMASKVDPEDVTLHSNRSICWINLGEGDKALEAAELCRMICPDWPKACYRQGAAQMFLKNYEKACDAFQDGLKLDPTNIEIENALREAFNSLKISRVKTVS >SECCE4Rv1G0247800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:564014268:564020920:-1 gene:SECCE4Rv1G0247800 transcript:SECCE4Rv1G0247800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVHVLEARNLPAAEAQGLGDPYAKLQLGRQRAKTKVIRKSANPVWDEEFAFRVGDLKEELLIRITDEDKYFSDDFLGQVKVPLSAVLDADNRSLGTRWYPLQPKSKKSKIRDCGEIHLTISLSQSYPEETATLAHWASDDFASSSDKSSELRKGSSLPNIPIELSTSPPGSDASEITKEDKSNVGPSFVNRLHKIFSVKPKDTEASVPPLFKHDRGLDTLEETPLTSAQISDEQDSETSVNMSFDELLKAFASKHEGNDMPGNLSGGVLIDQVYAVAPSDLNALLFSPSSDFLQSLAKMQGTTGLDIQQWKLENDGEILKRVVTYTKAATKLVKAVKATEDVTYLKADGDMYAVLADVSTPEVPFGNNFRVEILTCIMPGPELIDDERSSQLVISWRLNFMQSTMMKGMIENGAKQGLKDNFNQFSELLAQNVRPVDAKDTTTNNESLSSVQPETESDWKLAFRIFGNFTVVSSVVAFIYVFAHIILASPSIIQGLEFPGLDLPDSIGEVVVCGVLVLQGQRVLNMIARFVQARRQRGGDHGVKAKGDGWLLTVALIDGTNLAATKSSGYSDPYVVFTCNGQTKTSSIKFHTLEPQWNEIFEFDAMEDPPSVMEINVYDFDGPFDEVASLGHIEVNFLKYSISELADIWIPLTGKLAQACQSKLHLRIFLNNSRGTEVVKDYLDRMEKEVGRKIAMRSPHTNLEFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEEIQLVPATLSSMGSPCLLITLRKGRGMDARHGAKQLDDEGRLKFHLQSFVSFNAAHKTIMALWKARSLTPEEKIQLVEEESETKDLQNEEGGSFLGTEDVKMSEVFSSTMPFDVPILMGIFEGGPVERRIMEKVGCVDYSVTAWEPVRADVHQRQVHCRLDKKVARREGEVMSTQQKSPLPDKNGWLVEEVMTFEGIPIGECFNLHIRYQLEQNSSKQKSCSVQVFIGMAWLKSCKNRKKITQEVASKLSSRLKKIFSQLEKELIPAN >SECCE1Rv1G0038420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:538626725:538627145:1 gene:SECCE1Rv1G0038420 transcript:SECCE1Rv1G0038420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTKLTAILILQAVLVMGILSHVNADYFPKCCNNCRSFSGVDVCDDAHPQCPKGCSACRVVTPSPHKTFRCADMKSTVDGTCGGPCKKY >SECCE7Rv1G0522650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878850851:878852898:1 gene:SECCE7Rv1G0522650 transcript:SECCE7Rv1G0522650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVQHSLHELLMLLQGRFPSPQAALVVSVLLVVCPLLVLLVARRLGTPSTATVTARAREDQLSKLPSPPSRLPIIGHLHLVGPLPHVSLRDLAAEHGRDGLMLLRLGAVPTLIVSSPNAAQAVLRTHDHVFASRAYSPVTDILFYGSTDVAFCPYGEHWRQVKKIATTHLLTNKKVRSYRHARENEVRLVVAKIREAAATGTTMDLSDLLNAFTNDVVCHAVSGKLFRKQGHNKLFRELVEANSSLIGGFNLEDYFPVLVKLEVIKRMVCAKARKVNKLWDNLLNSLIDEHASRPASEQGGEDSDFIDVLLSIQQEYNLTRDHIKAQLAIMFEAGTDTSFIVLEYAMVRLMQKPHLMNKLKTEVRSTISKGKEMIAEDDLSSLAYLKAVIKETLRLHMPAPLLVPHLSMADCKINGYMIPSGTRAIVNSWALARDPSSWESAEDFMPERFMEGGSAASMDYKGNDFPYLPFGTGRRICPGINFAIVTIEIMLANLMYHFDWKLPSESMDAGISMTESFGVTVHRKEKLLLVPVVPED >SECCE2Rv1G0140410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931397052:931400301:1 gene:SECCE2Rv1G0140410 transcript:SECCE2Rv1G0140410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQAAPLRTLALSGRRGAGAAARPTAGSVRCSAAARSYSITLLPGDGIGPEVVAVAKDVLSVAGSKEGVELRFQEMLMGGAALDAAGVPLPDETLAAARASDAILLGAIGGYKWDNNEKHLKPETGLLNIRAGLGVFANLRPATVLPQLVDASTLKREVAEGVDIMVVRELTGGIYFGKPRGFGTNDKGEETGFNTEIYSVAEIDRIARVAFEVARKRGGKLCSVDKANVLEASMLWRKRVTAIASEFPDVELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGVESAAKRIEAAVTETLDNGFRTGDIYSPGTTLVGCKRMGEEVLKALESQK >SECCE6Rv1G0428910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:731538445:731539773:1 gene:SECCE6Rv1G0428910 transcript:SECCE6Rv1G0428910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLIVPPRDQVCRVTKLLGDEHGTASNIKRRVNRLSVLAAITSAQQKLKLYNRVPTNGLVLYTGTIVTDEGKEKKVSIDFEPFRPVNAFMYLCDKKFHTEALHEMLESDDKFGFIVMDGNGTLFGTLSGNTRQVLHKFSVDLPNNHGRGGQSALRFSRLRMENRHNYVRRTAELATRFFINPATSQPNVSGLILAGCADLKTELSQSDMFDPRLAAKILKVVTLSHGGEDGFNQAIEISAEVLSNVKFIQEKKLIGKYFEEIRQDTGKYVFGVDDTMSALEMGAVETLIVWENLDINRYVLKNSASGETIIKHFNEAQELDQSNFNDKATSAVLEVVESTLLLEWFAENYRQFGCTLELITNNTEEGSRFCMGYGGIGGILRYLVDLNAYEDPSDEEYDEGFEEQSTETPVNSVREEPVLAWNQNAPRHEADASTSSSRKN >SECCE6Rv1G0407530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:558795616:558799850:-1 gene:SECCE6Rv1G0407530 transcript:SECCE6Rv1G0407530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSVSGGGGGGRPPLFSTEKPKRVLAYRLYAGTIFAGILLIWFYRATHIPARGSSSLGWRAGLGLLVAELWFGLYWVLTLSVRWNPVRRTTFKDRLSERYDDDQLPGVDIFVCTTDPALEPPMLVISTVLSVMAYDYPPEKLNIYLSDDAGSAVTFYALHEASEFAKHWIPFCKNYKAEPRSPAAYFAEGATPHDACSPQELLRMKELYKDLTDRVNLVVHSGKIPEVPECNRRGFSEWNETITSGDHPSIVQIIIDRNKRKAVDVDGNALPKLVYMAREKRPQEQHHFKAGSLNALIRVSSVISNSPVILNVDCDMYSNNSESIRDALCFFLDEEQGQDIGFVQYPQNFDNVVHNDIYGNPINVVNELDNPCLDGWGGMCYYGTGCFHRREALSGQIYNKGYKEDWAKGVGIAENADELEETSKSLVTCTYEHNTPWGIEKGVRYGCPLEDVITGLQIQCRGWRSVYYNPARKSFLGMAPTSLGQILVQHKRWSEGFLQISLSNYSPFLLGHGKIKLGLQMGYSVCGFWALNSFPTFYYVIIPSLCFLSGVSVFPEITSPWCIPFIYVVVAAYSWSLMESLQCGDTAVEWWNAQRMWLMRRTTSYLLAAIDTIGGMLGVSESGFELTVKVDESEALERYKKGKMEFGPISGMFVIITTISLFNLVCLVVGLGRVLFRGGAEGLGPLFLQAVLCAAIVAINAPVYEALFIRRDSGSLPYFVTLVSLCFVSSLCLQAI >SECCE5Rv1G0301560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:32087806:32089189:-1 gene:SECCE5Rv1G0301560 transcript:SECCE5Rv1G0301560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALLSLCLLLACSARQSAAYEATQAAYVDVEGTVRKEVEKAIKSNPGIGAALVRLVFHDCWVNGCDGSVLLDKTPSGTNTEKKAINNIGLDGFSLIDTIKYKLGDSVSCADIVVFAARDAARYLSGGKIAYSVPSGRKDGIVSSAAAADAILPQSTFEFQQLVDNFAKKGFNQEELVILSGAHSIGVSHLSSFQDRLNDSTATPIDDSYKKALVADVEAQKKSQNTLDPIEKNNIRDMSSKFQTDAGYDPTGVNTAATGALDNSYYHANLQNRVLFKSDWVMRTDSKAGDDLAEYMNNATKWNNDFAAAMVKLSKLPAEGSTRYEIRKNCRVINNKSY >SECCE3Rv1G0185310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:673530270:673531080:-1 gene:SECCE3Rv1G0185310 transcript:SECCE3Rv1G0185310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLKPVAGLLLMLNFIMYLIVAAVGGWAINFAINNGFFIGSGLELPSHFSPIYFPIGNAATGFFVIFAVIASVVGMGAALAGFHHVRAWSHESLPAAASSGFIAWMLTLLAMGLAVKEIELNGRNSRLITMEAFTIILSGTQLFYILAIHGGR >SECCE7Rv1G0477210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:215843675:215849714:-1 gene:SECCE7Rv1G0477210 transcript:SECCE7Rv1G0477210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRGQTRGEPKPPPSSSPASSGRGGAEDVGPGGANNRRRASPAAKGKSPATKARPQMPVAGQVELESALGIKRKKGKVNTERNDDTGKKRCSVGSSEKKKLEEKEPEAIGDNDAAGMEWEDGHVSPVECKEGYSHDLGKTVTVEFTDVPSSTEKKSVRRHTAEEKELAELMHKVHLLCLLARGRVIDKACNDPLIQASVLSVLPQHLLWNGVDTLKLDANKLRSLVSWFHRTFCIIARSADKGSFESNMAFALQSHEGTAEEVCALSVALFRALNLTARFVTNMDVVGLKPDAKAMGTPNQDGTRLSTRALPSSSVDAGHNEFNTLSPARLEVKAEHSFSRTKQRGDLGNLKRTSACKSLSKNLSDCNADQYGSTSKDESSSSPNPFTSSNAEIPKRKGDVEFELQLQMALSATGAEIQEKLAATSSQSIGTLLDSTPLKKLRKNAEVASNSSAVWSRSGPPLYWAEVYCAGQTLTGRWVHVDVVNDIIDGERKVEAASAVCRKPLRYVVAFAGGGAKDVTRRYCLQWHRIVQGRVNQEWWDKVLAPLEQLELAATNDSEDMELQTRALTEPLPTNQQAYRDHHLYALEKWLHKNQVLHPKGPVLGFCKGHPVYPRSCVQTLQSRHGWLTEGLQVRENESPAKIVTRPKRVFNSQSRESNSNEDELQATTELYGKWQLEPLQLPGAVNGIVPKNERGQVDVWSEKCLPPGTVHLSKPRIFQVAKRLGIDYAPAMIGFDYRSGRCAPVFDGIVVCAEFKNAILEAYKEEEERRQAAERKQEEAQALSRWYQLLCSIVTRQRLKESYNARPAALAPERPAKVGNPQKSTSDSRCSGATTTNHHPREERLPDPRFSAHDHEHEFPEEDQTFDEETFVRTKHCPCGFTLQVEEL >SECCE2Rv1G0081200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:137060845:137061099:-1 gene:SECCE2Rv1G0081200 transcript:SECCE2Rv1G0081200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDCYPNVCVSYRILLTVPVTVASAERSFSKLKLLKNYLRSTMLQDRLNGLAMWCIEKDILDNVDLDCALNDFASRNAQRSFF >SECCE7Rv1G0455800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8219401:8221524:1 gene:SECCE7Rv1G0455800 transcript:SECCE7Rv1G0455800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Pantoate--beta-alanine ligase (EC 6.3.2.1) (Pantothenate synthetase) (Pantoate activating enzyme) [Source: Projected from Oryza sativa (Os03g0851800)] MAAAAAAGGEPEVIRDKAAMRAWSRRQRAEGRTVVLVPTMGFLHEGHLSLVSSAAAAVPGPVALVVSIYVNPSQFAPTEDLATYPSDLAGDLRKLASSGAVHAVFNPPDLYHRGAALSGRRPEALAGAEGPSCLEAGGDGHETWIRVERLEKGLCGASRPVFFRGVATVVAKLFNVVEPDVAMFGKKDYQQWRLICRMVRDLDFAVEIIGSEIVREADGLAMSSRNVHLSPEEREKALSISRSLVNARTAALNNSNSASEHIKDQIVQTLSEAGGRVDYVEIVEQESLVPVETIDRPVVICVAAWFGKVRLIDNIEIHIQS >SECCE5Rv1G0348090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672509111:672512278:1 gene:SECCE5Rv1G0348090 transcript:SECCE5Rv1G0348090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAESTALPADPRRVRNTCILAHVDHGKTTLADHLVASCGDGLLHPRLAGRLRFMDYLDEEQRRAITMKSAAVLLRYGDGPAAHRVNLIDSPGHIDFCSEVSSAARLSDSALILVDAVEGVHIQTHAALRQAFLERLRPCLVLNKLDRLITELHLTPAEAYTRLHRILADVNSIYSALRSHSYFSVLASLEDQPSSSSSTSQDDLPDDADDDEEDAFQPQKGNVVFACALDGWGFRIHHFAELYATKLGASASALLRGFWGPRYLEKKVDKKGKKNFMIVGKKAVEGTDREPMFVEFILKPLWKLYEGVLGQDGEIVQKVISNFKLNIPQRELQNKDPKLVLQSVMSRWLPLADAVMAMVVECTPDPVVAQGARVARLMPKRELAPEDAAGCAEVVLEAERVRRCVETCDAGADSPVVVYVSKMFAVPCKMLPLKGEHGELLNHQDASESEECFMAFARVFSGVLRAGQKIFVLSALYDPLKGDAVQKHVQEIELQYLYEMLGQGLRPVASVSAGNVVAIQGLGQHILKSATLSSTKNCWPFSSMMFQVSPMLKVAIEPANPTDLGALVKGIKLLNRADPFVEYTVSHRGEHILAAAGEVHLERCKKDLEERFAKVKLVVSPPLVSFKETIEGEGAGLLEGLKAPHAFVERTTPNGRCTVRVQVLRLPNALTKVLQESDQLLGQIMEGKTGKKNVMLNPQISQDDCDSVAMLRQRMVSAIDSELEAISEQVDKEKLQKCRKTWLGYLKRIWSLGPWQVGPNFLLLPDVESSDGVITMEDGRQGILVRGRADFSERLGFVSGSDAEANHTPDSSKSSADTPDSSKSSADTPESLHLESVALRNSILSGFQFATNAGPLCDEPMWGLAFIIEPYIFADNSDAAHQSDQYNIFSGQVITAVKEACRAAVLQNNPRLVEGMYFCELTTPTQQLGSTYAVLGKRRAKILKEEMQEGTSVFTVHAYLPVAESIGFSSQLRTLTSGAASALLVLSHWDAIQEDPFFIPKSQEEIEEFGDGASIGPNLAKKLMNSVRRRKGLHVEEKVVEHGTKQRTLSRKT >SECCE1Rv1G0047230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:622565406:622575177:1 gene:SECCE1Rv1G0047230 transcript:SECCE1Rv1G0047230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coilin [Source:Projected from Arabidopsis thaliana (AT1G13030) UniProtKB/Swiss-Prot;Acc:Q8RWK8] MATPPAPSPSPVRLRLVFDKARLLRRAQRDLRRCWLLLRPELATVADLSAHVAARFRLHRSCPGGVVLTMDGFAVPPFESTCIFRDKDIIRVQQKACKRMVQHDNVHCIEDPEKVEMRPLPTDDKILAIEYQIDSSKHQEEELDCDHQPEENGHTSSKRKRKDDDARIPESSRRKKLKKVKDIGCSKVDNICQDQGCHGSKELNPSTIGIEEKKAAIQTECTVELDGKQKTARCNQTELSCETEVAGQTTQIPKPSRSARRKKLKRQLRKQEKEKLKENVDCQESPTAADCPSSSNHDDLPRPSSNENGPHLPSSHEAEEEESDTSEDIIPVVVRPGHIRFEPAEFFVFLGEPNTSSVKETQANFTWGGTMSKKKGQKWGMNNSNKKSADTSNLGKIVGSNTEVNHLVVDSRDEENVFSGASNQKVNEINHDVLAKEKSVAEEGKSTSEPLDFEALYPLTRLPVEGDLIAYRLVTLSSSWCPEISTYRVGKVLVYDLISSRIILLPVPEHPIITEEITCEDESDTMMVDTSPYKEDGSLEIEYSNLLDVRLVKGSKPVSADLSTPIRETGKEGKSPPVGEPVTLDENKGKVHSQNGTSVPDSSKGPEAPPGPEAPPENTRDKAWEASSEVPNGKPDDEAEGNNGWGAWKQNASTSAWSYRAQRSTALGPTLALLRGKNGRGGGRGGGRGGKPNNRKYGK >SECCE6Rv1G0403490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:504704443:504707489:-1 gene:SECCE6Rv1G0403490 transcript:SECCE6Rv1G0403490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAKTDSDVTSLAPSSPPRSPRRPAYYVHSPAASHPDVVASGGCCGAGAAADKMSLAGSTPAESPLHYHFHHSGPAMHHSRESSTGRLLFSDQLRSGGAAGAVPWRRLGHGSGAGSAGDDEDEEDGGRPGSQSPWRCYALAALAFVAVFAFFLLVLWGASKSYKPHVDVKSVVFESYHIQGGTDRTGVPTRMMSVNATVRLRFRNRGTFFGLHVTAAPFHLFFDDLTVASGNMDEFYQERKSGRTVTVSVVGKQVPLYGAGANLHSKPNNGRLGPAVVPVRLAFVLRARAHILGLLLRSKFYRRVACRLELREAHLGKPVRGLAAHCEYHDGR >SECCE2Rv1G0108940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:670800088:670800958:1 gene:SECCE2Rv1G0108940 transcript:SECCE2Rv1G0108940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACEGAAATVVCCADEAALCARCDVQIHAANKLASKHQRLPLEDAAAAAGLPRCDVCQDKPAFVFCVDDRALFCRDCDDSIHVQGTLSANHQRYIATGIRVGFSSVCSAHADTHPPPPPSKPKPPPTAVVPRAAPVSAAAQEVPSSPFLPSSGWAVEDLLQFSDYESSDKKGYPSPLGFKEMEWFADIDLFHEDQEPAHKGGGTAAEVPELFASPQPASNAGFYKAAGARQSKKARVELPDDDDEDYLLVPDLG >SECCE1Rv1G0008830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:50193102:50198616:1 gene:SECCE1Rv1G0008830 transcript:SECCE1Rv1G0008830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSLIDVSGEEDDFLLDLASPPQHPDPPPPRAAPRAAVVGAVSERPAVGSPPPPAAAAGGQVVDPAEQAPERTHSPKKTKPKGGVNLRKSLAWDKAFFTSEGVLDTEELGIVNSTFRKSQGSRLLPGIAEEMRRSMESTTSSLESESFVLESLETELFDNVRASIQRTLGKPDKAPVVASASSRTPRATAKAPPVTARKGVDRIPQTQSKIRPTASASNGSVGGSKQRPQVTLKEPAAPRVAVSKAAEAKPSSKPPRALPRVATMRAPTNTAVTSGISDKRSSTGGVVNRQAVGKSANSSASVPSRPGGGTKNNSTSKSGALSSAASPSLHTAPMAGGKTKSPTLISKNRTAQRVPIHSSSRSDISKVDPARASRNKSHGEGASPIISPSSSVDSMSSVISGVSTASTVGRASHTSETFSTRSSSLSPSTRKSNDHPPTTLRRPVIVTDQASGIFSDNVKSNVDTSTQGNGFKPSGLRRPTPKIGYFDAEKSVEQKAGARAQLQPTKVLFSPLATHNSWIPSTPKTIPAHLTFEQEEPKSRAAAPSQTKASPSLPLKLAQTEVKQSKVPEPEASQVKASTPLPLRVSQTEVEQSKVTEPEASQAKASTPLPLRVSQTEVKPSKVTVHDASQTKASASLPVRVAQAEVDPSKVAEHEASQTEALPLLSPRVALIEGEPAKVVEHDVSGTKASPSVALIRDVQTEIETPKVSEHGTHMQETGPLVVAMDSAEEGIPALHQNVKANGEVEPSKVSEHDAHVNENGLLMVAMDTAEEDSPALHENVQANGEVESSKVSEHEAHMQETGLLVAMDITDEEGIPALHENVQANGEVESSTVELSSCTSGQQESETTAAPCEESSPSQIKVSPSLPLGVAKMEIEPLEVIDHEVETSKVSEHEAQMLATGPLVAMDIAEEEGIPALNQNVQANGDISSSTVELSSCSSGQQQSETTAAPCEESVSSQTKASPALPLGVAKMEVEPVEVIEHEACMPQACPVLAAMDIAKENIPAMDTTKENIQPGGYSSPLKENILASHQNIQPKEHMTPVKGSILASHTNAQAIGEMTPVTLLSQKLSSISLGQANGDATPLTHKLSSISQGQANGDATPLTQKLSSISQGQANGDATPLTQKLSSISQGQSNGDATPLTLLAQKLSSISLGDATD >SECCE7Rv1G0478180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:234746460:234746909:1 gene:SECCE7Rv1G0478180 transcript:SECCE7Rv1G0478180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAEVAEKAEKTPAGKKPKAEKRLPASKSAKEGGDKKGRKKNKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSN >SECCE3Rv1G0187680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:703561722:703564990:-1 gene:SECCE3Rv1G0187680 transcript:SECCE3Rv1G0187680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPCRASLLLLLLVLPCCLLHRAAAQTADDRQVLMQVKRVWRNPPALAAWNGSGDHCAWPHVTCDDASSGRVTGLDLANTGVAGPFPDAIGGLSGLTTLNISNNNITGAFPTSLYRCASLQHLDLSLTLLGGELPADIGRALGENLTSLMLNGNYFNGTIPASLSLLKNLRSLALDGNYLAGTIPAELGDLTGLETLTLAYNRFSVGELPASFNSLTKLKTFFAANCSLTGDFPSYVAQMRELELLDLSVNALTGSIPPAIWNLTKLRNVALYANNFTGEVVVADGAFGAVNLEQIDLSANHRLSGPIPESFGLLEKLDTLTLFSNNFSGEIPASIGRLPSLMMLRLYGNRLTGTLPPDLGKNSSAELMYIEIDDNEITGPIPGGLCANGKFQSLTAKNNRLNGSIPAGLAGCTTLNNLMLDNNQLSGEVPGALWTHTQLEYAWLRNNQLSGSLPGELYSSLSSLFIEDNQFSGNIPAVAAGLQKFIAGNNNFSGEMPPSLGSDMPKLQTMNLSGNKLSGGIPKSIAKLGSLTQLDLSRNQLAGEIPAELGAMRVLNALDLSSNKLSGDIPPPLARLQLSLLNLSSNQLDGQVPAGLATAAYDRSFLGNPGLCHAGLGPGYLTGVRSCAAGSQAASSSSGVSPALRTGLLVAAGVLLVLVLAFAFFIVRDIRKRKRAAQDGGWKITPYQTDLGFGEAAILRALTEENLVGSGGSGRVYRAAYTNRYNGSAGAVAVKQIRSVGKVDEKLEREFESEAGILGGVRHKNIVRLLCCLSHADSAGKLLVYDYMENGSLDGWLHSHALPQGAGHPMSSMARPRSGRREAGLDWPARIRVAVGAAQGLCYMHHECSPPIVHRDVKTSNILLDSEFRAKVADFGLARMLVQAGTPDTMSAVAGSFGYMAPECGYTRKVTEKVDVYSFGVVLLELTTGRAANDGGEDGSLAEWARHLYQSGGSIPEATDSRIRYAGCSEEIEVVFRLGVMCTSASPSSRPTMKDVLQILLRCCEQTHQKGKAEPGREYEAAPLLLPQRGSRRKQLSNSKGSDGEEKSDFDGIV >SECCE1Rv1G0007120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:38989037:38990204:1 gene:SECCE1Rv1G0007120 transcript:SECCE1Rv1G0007120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSCFSVVCAVAMMAFAIFLAAASNAQPLDPHFYDKVCPAALPAIRKVVEEAIAVEPRIGASLLRLHFHDCFVNGCDGSILLDDTPFFTGEKKAAPNVNSVRGFDVIDRIKDAVDAACRGNVVSCADVVAVAARDSVVALGGPSYDVLLGRRDARGASQGAANNSIPAPTFDLDRLVSNFASHGLTVQDLVVLSGGHTLGFSRCTNFRDRLYNETATLDASLAAQLRGPCPLAGGDDNLAPLDPTPARFDGGYYGSLLRSRGLLHSDQQLFAGGLGPTDALVRFYGANPEAFRRDFAEAMVRMGGFRPLTGSSGEIRANCRKVNY >SECCE2Rv1G0113830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:725833683:725834509:1 gene:SECCE2Rv1G0113830 transcript:SECCE2Rv1G0113830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASSFLSPAAKFALQSRCPSAKTAPRSVRFPPVRAQQEVKEEEPAATVPPLQEEQATPAAAKGPAQSLPRQPRAESKNMGREYGSQWLSCTTRHVRIYAAYIDPETNAFDQTQTDKLTLMLDPTEEFVWTDETCQMVYNEFQDLVDHYEGAPLSEYTLRLIGSDLEHYIRKLLYDGEIKYNMRARVLNFSMGKPRVKFNSSQIPDVK >SECCE2Rv1G0067430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18834520:18835548:-1 gene:SECCE2Rv1G0067430 transcript:SECCE2Rv1G0067430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLQDSIPRVYLAAAAAALYARALSSLLWPGLPRLAALLPVLAFLAAAPLAFTSSTNVRGTAAFFLAWLGTFKVALLAAGRGPLDPALPVLTFLFTALLPVKLRGAPAPAKASKPVSLVSCAVKVAVIAVLLWLYQFSDRMHLYMRLALYGVHMYCFFDLLLPCIAAAGGALGMEMEPQFDRPYLATSLRDFWGRRWNLMVSAILRPSVYDPVRARAGSATGVLASFAVSGLMHEAMVCYLSLRWPPSGGMAAFFVLHGACCVAEGWWARRWATRGWPPLPRPVATALVGLFVTATSFWIFFPALLPGVEEKLMEEWAAVGAFFLDAGGKVPSYGQRSES >SECCE3Rv1G0149900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:29724402:29725555:1 gene:SECCE3Rv1G0149900 transcript:SECCE3Rv1G0149900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSALALSPSTRVVAPPVQLALRRTPAPRVPAASSGQLRACSWRPLLPEPPRPGAARCRAPLLRPRAWMSTSQIASSAFTLGTVAVLPFYTLMIAAPNASITKRTVESTAPYVALGLLYAYLLYLSWTPDTIRAMFASKYWLPELPGIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGIKNNIETRHSVLLCLLFCPIGIAAHALTKVLSGSTGRPH >SECCE2Rv1G0106350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:634927364:634938591:-1 gene:SECCE2Rv1G0106350 transcript:SECCE2Rv1G0106350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLSLSPAVSRHPHLLFSSTHSPRHLRFTPLAASSSPSPSPSPAGGGGGVFLSPSALSQLEALAAFRYEHSFPHGSLTVRALTPADDAEADVLVRLLASSFSEDVRWAPAQRYAQLLAFVIRRYLYERRGLAPHAAVLVGFYKPAAAAEEGEEGEDDGDDGEMACTAEVSLDAVGAPGAPPTPTPPSEFPYICNMTVKTSLRRRGIGKQLLKACEDLVIKMDAKTRVYLHCRIIDEVPFNMYIKAGYDIVQTDSILVWLSLQKRKHLMRKELPQVSVGSDVQP >SECCE6Rv1G0426350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712587969:712589111:1 gene:SECCE6Rv1G0426350 transcript:SECCE6Rv1G0426350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAGAAASPTPHSGLPDEIAIWEILVRLPPKSLLRCRAVCRAWRSATSARDFLLAHHARQPTLPLARGLEDYGVSCHYLAAQHQPVARLAEASWLCASCDGRLLLSKCNQLSICNPATRQYAPLPVSSAFIPLGMYRHRPTGEYRILLYKEIGLPATDGQDACHIFALGSVQPPRNIGSLQEAEQLRFSGEPVPFRGNLHWHLNNYWYLQKYWHSPENHESRSSIIIVFDTITETFRKMSAPVVPDRHKLFEMDGMLGMSGFNDTGPIIDIWMMQEEDYESEGWTLKYRVELSNANRLCVQFGQFVDYCNVVVASCDGDVFVLVKFGRYILQFDLDGKLVGFHHNNLFLTQHRLKQTLVSHTFFPRLDGHSMNAWPFI >SECCE6Rv1G0452680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:878954475:878968663:-1 gene:SECCE6Rv1G0452680 transcript:SECCE6Rv1G0452680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPLPATTTSPAGCASAVPEGYASVPEAPVFRPTEEEFADPLAYVARIRPAAEPYGVCRIVPPPSWSPPRALDASALRFPAKRQPIHRLLARPAPADRDTFLLDYTRFLRARAADAGPPRRGRRRTAPPKPPALSDGRPLDLCRLFHAVKRFGGYDGACEARRWDDVVRLVDEKAPPRHVPECAKHVLAQLYYEHLYDYEKFTNGRSASAQGDGKKEELDNQPTVSGLRDQGRNNPSGSGGDIDEEEASGISSSSSRKRRNQKAAGLASNERHGNSNGTPEKNAGSAGARKPKKRKLDAEVSQDDQVCEQCDSGFHGDAMLLCDRCNKGWHMYCLSPPLESVPPGNWYCSDCKNPDSDCFGFVERRNTCQLDAFKRFDDKVRRKWFGPRPPSRVQVEKLFWEIVEGKAGEVEVMYGSDIDTSDYGSGFPRLGDPVPASVDPEAWQKYCSSPWNLNNFPNLPGSVLRTVKDKIPGVMVPWLYMGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANAFERVMRKALPDLFDAQPDLLFHLVTMLNPSVLQANGVPVYSVMQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGIGAELYRMYRKAPVLSHEELLYVVAKNGVDNKSLSYLKGEVERVFIKEKKCREELWINGIIKSSPMQPRSNPNFIGNEEDKKCIICQQYLYLSAVSCSCRTSHVCLEHWKHLCECSPEKRRLLYRHTLAELGDLASEVKASLSGENVKQSPLLLNDIPTPSKKGKDQHMSYAQLAKDWLSKSDHILHMPFLDTAYSTALESAEQFLWGDHGMDSVRNMALKLTEAKKWGLSVKNCLSKIDEFLLHKDNCSEKVKYVDIEELIAVRCESSCEPGLAQLQDYAEKGKMLITEINIALSSCSKVDHLEALYSRASEFPVELNETSKLSCEISSAKSWLKKARDLLEENKLGVIDIDCLNTLKVEMVQLRALVPEVDLVSKLWNEAESLRMQCQSYLHDSPGLKELESFLLALDGTKFNIPELNLLKQRYSGACSWASHVNSILTKLFERNDYHNIVEELTAILKDGKSLRVKVDELPFVEKELKRSFCRKQASEALATQMSLEFVKEILIQASILTIEEEQPFVDLSEVLKIATAWEEKARHMLEQSASLSEFEDHIRWSVNIKAILQSEQAMKAEITAARLWMDKCQAYLLRPRCDKLGFGVCLQVDDLKDLICQPASMKVSLDTSALNSVLSNIEEWEQNSQSLLSNLRTLLHLNVIGSTVEPLERNLEELQNKISAEIESGLSLGFELKVLNEMKDSTVTLGWMLTALSFCFRIPLLEAVDQAIEEAVRLPASLSSCPLATLLMSGLSWLRKALVLLPGSENSVRCRLEDVQNVLAEHQEIAVPYSMMTAKLEDVINKHKSWTEQCNAFFTSRDHQSWTELLELRDSGRSVALDCCEMDRVVLDIKKIDGWFIQCRCTLSPDVNDNGSLLSMLLKIRASLDNASMLYAEDCKRKGFCVICSCDVGDDVASRCVICQDWYHSSCVEPLVASKQTTTEYICPFCFCLESGDLLEKGMQEKISKGNRPALSALSELLSSAKGFYTGIEELHLLEEIIKKGCDLKSSLVQILHDADSCNGNDLSVMCKPLLVALKATSAAGLYDQQINGNLELVFSRYIWKKRIHKILYSGKKTSIQHVIRLDKEGSNLEISGEDFFKSQICEIKETSQQWLAKAGKAAFDCGELPLDLVYELIVQGENLAVHVEKELKLLRDRSILYCICRKPYDNRAMIACDQCDEWYHFDCINLCGPPPKTFYCPACSPNNGEGFISLPRSAREEDRSSAEAGPHTPPASGDEPETVEDNKCCSNTREKSQVRVDLVKLLRQDSEVDNTWKDSKRVPHRTGRRRSDFMGHSQNISSPFKGPNL >SECCE7Rv1G0454210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:1920191:1924016:1 gene:SECCE7Rv1G0454210 transcript:SECCE7Rv1G0454210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSAHGAFGPLLGKLTTLLADECARLKGVRREIRSLRSELASMHAALKEYTKLEDPNDQVKTWISLVRELAYDTEDVFDKFIHQLGSGSHHGGFKEFFRKTARRLKTLGSRRGIAGQIDDLKDRIKQVKDLKDSYKLDYAPSSIAGPPVVDPRLHAIFADEAHLVGVDGPRDDLAKWMLEANNLSKGCKVLSIVGFGGLGKTTLANEVCRKIEGNFHCKAFVSVSQKPDVKKIIKDVISQVPCEDGSTKDTSDWDEKKSIAKLRELLENKRYLIIIDDVWSTKAWHAIKCAFPENNCSSRIIATTRIIDVAKSCCLSADDRVYELEALSDLHSRKLFFKRLFRSEEDCPEMLKFVSSKILKKCGGLPLAIISISGLLANRPAIKEEWEKVKRSIGSALEKTNSLEGMSSILSLSYNDLAPHLKTCLLYLSLFPEDYLIARDRLVRQWIAEGFISEERGQSKQETAENYFYELINKSMVQPVGIGYDGKVGACRVHDMMLEIIISKSAKDNFTTVVGGGQTSLPNHQGSIRRLSIQHIDKDFASALANIDLSHVRTLIVMPTCCITHLPSLDRFEALRVLDFEGCDDLKDYDMKGMDKLFQLKYLSFRGTGISKLPSEILMLGNLETLHFQGTYVKELPAGITRLTALRNLIGYLNKLPNGIGGMTNLQLMPKFIITEQETDVLEDLGNLTSLEELYVDLGDEVSCEDKRCEKEVFRSSLCKLGTCKLHSFYIDAFRGSLDFLDSWSPLPSSLQSFWSVHDDSFTNVPKWITPALTNLANLWICLTELTEDGLLTLGQLPSLLRLRLGVSKKFVGTVQATSFPNLKVLDFREMERAYVSFVKGSAPQLEEIRNMPFSVSAAKANGFYSGIEHLHCLKRAQIWLDNEDATPSEIKGAAAAIRKEADANPNHPAVTFEEEPNEEDNEETGGGSDEEKSKEDGNADED >SECCE1Rv1G0054490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675131718:675132425:1 gene:SECCE1Rv1G0054490 transcript:SECCE1Rv1G0054490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIHKDGVWWFLLLAMVLLAPATVGAKQEDGATTIATLQAEKEVGSCADDHGNDDCDIKCAYYQDLARRQFCVDQCHSQQHHHPSREDCELKCQHWQDPTRKEQCVQQCMSFGLNLHARGNNGVDERPHALEEEVGSLADDHGNDDCDIKCAYYQDLARRQFCVDQCHSQQHHHPSREDCELKCQHWQDPTRKEQCVQQCMSFGLNLRVGGSSSVDEHLRGWEAVAGAIIEEVV >SECCE2Rv1G0133510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:897195415:897202507:-1 gene:SECCE2Rv1G0133510 transcript:SECCE2Rv1G0133510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIFFLLFLSSLCSADDQLTQAKPLTNRDILISESGDFALGFFSPTSSNKSFYLGIWYHSLPGPKTVVWIANRDNPIINPSSMMLTVTNNSCMVLSDSEGRDIWMVPSNITTGAAGAYAVLNNSGNFVLRSSNNMDIWQSFDHPTDTILPNMRLLVSYKAQIVARIVAWKGPDDPSSGDFSCSGDTRSPDLQLVTWNKARLYCRIIVLDGVLVSGGTLNNTSSISYQTIVTSGDEFSYKFTVSDNSPFRRMMLDYTGKLKNLDWDNRSASWIVINEHPNSACDLYASCGPFGYCDFTEAIATCQCFDGFEPVDSSNSFKGCQRKEALKCHEPSHFTSFPGMKVPNMFLHIRNRNLDDCATECINNCSCTAYAYADLSSGGAMADTSRCLVWSGELIDAQKATGSENLYLRLADSPVNKKNDPVKIVLPIISCLLLLACIVFIWMYKYRGKWGKKKNQKKVMLGYISTSNSLEGNNREFPCFSYEDILSATNFRGFQLAWMWRLWQSLQGGNEVAVKRLSKSSGQGIVEFRNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLANKSLDAFLFARGLLYLHQDSRLTVIHRDLKASNILLDIEMTPKISDFGLARIFGANQNHANTTRVVGTYGYMSPEYAMGGAFSVKSDTYSFGVLLLEIVSGMKISSPQLKMEFCSLISYAWRLWEDGKATELVDFFIAASCPLREVVRCIHVGLLCVQDHPNDRPLMSSVMFMLENESAMLPHPKQPVYFALGNYEGDQARERMSSTNEMSMTTLKGR >SECCE4Rv1G0251720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:607550657:607551844:1 gene:SECCE4Rv1G0251720 transcript:SECCE4Rv1G0251720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWWAGAFGAFKKRRASSRARAAAEGPQNNVALVVGSTGIVGAALLDILPLRDTPGGPWKVYALSRRPLPPWSAPLPPDVFHRHLDLADPAAVADALAPLTDVTHVFYVAWDPRPTHREGREANGAMLRNVLSALVPNCPGLLHVCLQTSRKHYVDPFEPLSGVSLALRPYSEDLPRLDYPDLEDVLLDGLASNNRVSWSVHRPTTIFGFSPRSARNVVASLCVYAAICRKEGLELRWPGSRVAWEGFSDASDAELVAEHALWAVMEPHGKNEPFNCSNGDLFKWQQLWPILADQFGFGVEWTGYQGEDQRFRLEEAMAGKEGVWSEIVNDNGLVVTELSEITNWGCVDAIINMERENLDTMNKSKEYGFFGFRNTVRSFHTWITKMKVDRIVP >SECCE4Rv1G0263060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:714468966:714469682:1 gene:SECCE4Rv1G0263060 transcript:SECCE4Rv1G0263060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIVLFGDSITEESFGEGGWGAHLANHYSRSADVVLRGYSGYNTRWASMVTDRAFSAIPASAAVAAVTVFFGANDASLPDRASAFQHVPLPEYRDNLRAICALLRARWPSAALILVTPPPVDERGRVRFPRNGDASGLPERTNEAVGRYARACVEVAGQCGLRAIDIWSRMQEFPGWETAFLRDGLHLTPTGNRLLFEEVVFALRDANLSLEALPADLPLCSDIDPDNAVRCFEEE >SECCE3Rv1G0192660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:761427173:761430626:-1 gene:SECCE3Rv1G0192660 transcript:SECCE3Rv1G0192660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNLSASRSSSSSPSSSSAASPHGVLHGPGDERQKTTPPGGKRRRRLAAIGARARWAREWDRAYLLACAAGLMVDPLFLYAVSVSGPLMCVFLDGWFAAAVTALRCMVDALHAWNLLLRLRMACTPGEEKEEDDADEEAQRVGGAAAPARLPRSKKGGLLLDVFVILPVMQVVIWVAIPAMIRAGSTTSVMTVLMVSFLFEYLPKIYHSVRFLRRMQNVAGYIFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLREQYCAGSTGGCAAGALACAEPLYYGGAGRLGGGMGADRLAWGRNASARATCLDSGDNYQYGAYKWTVMLVANPSRLEKILLPIFWGLMTLSTFGNLASTTEWSEIVFNIITITGGLILVTMLIGNIKVFLNATTSKKQAMHTRLRSVEWWMKRKNLPQSFRHRVRQHERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFHHMDDLVLENICDRVKSLVFPKGEVIVREGDPVQRMLFIVRGHLQSSQVLRNGGTSCCMLGPGNFSGDELLSWCLRRPFQERLPAASSTLVTLESTEAFGLEAADVKYVTQHFRYTFANEKVRRSARYYSHGWRTWAAVAVQLAWRRYRHRKTLTSLSFIRPRRPLSRCSSLGEEKLRLYTALLTSPKPNQDDLLL >SECCE2Rv1G0124380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:832365864:832369692:1 gene:SECCE2Rv1G0124380 transcript:SECCE2Rv1G0124380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPGLLSQAAAAGRAAARTSSSHARGSKGFSSGGDGPPLPRGWLRRLWVEELKREKEAARLGGCRAVCKAAGAGEDPLGYLANAARAFVNSGSAAAGIDKVRAGAAAGGEVAPSKGGQYDPRDLPYLEAKLTPLLSRANLLIARDVEWANIMFAFEQESRYIIMDPLFSQSPVGYIREKSNVIFRQLLRSRRPFTAQFTDAMGNEIFTVRRPFWFINSSIYAEVDGKEVGVVHRRWHLWRRIYDLYLGNKQFAVVENPGFWNWTFTLIDEDENVLAQIDRNWRGIGFELFTDAGQYAIRFGDDGQSRRLGLASDIEELDVVRPLSLPERAVALALAVSLDSDYFSRRGGWGLPFLIATE >SECCE6Rv1G0410550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:599847507:599850893:1 gene:SECCE6Rv1G0410550 transcript:SECCE6Rv1G0410550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTDLLNLDLSGSTDKIIAEYIWIGGSGMDLRSKARTLPGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSNPDVAKEEPWYGIEQEYTLLQKDINWPLGWPVGGFPGPQGPYYCGIGADKSFGRDIVDSHYKACMFAGVNISGINGEVMPGQWEFQVGPTVGISAGDQVWVARYILERITEIAGVVVTFDPKPIPGDWNGAGAHTNYSTESMRNDGGFKVIVDAVEKLKLKHKEHIAAYGEGNERRLTGKHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >SECCEUnv1G0537660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69517336:69519456:1 gene:SECCEUnv1G0537660 transcript:SECCEUnv1G0537660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLVLALAVVLAATPAATGGVTSTYQRKLEATVDMPFDADVFRVPPGYNAPQQVHITLGDQTGTAMIVSWVTPSELGNGTVRYGCSPDKMEMSAQATHTRYHYFNYTSGFIHHCTLNNLKHGIKYYYAIGFGHTVRTFSFTTPPEPGPDVPFKFGLIGDLGQTFDSNITLSHYEANGGDTVLFVGDLSYADYYPLNDNRRWDSWARFVERSVAYQPWLWTVGNHELDYDPEIGETTPFKPFTHRYPTPYRAAGSTDPLWYSVKIASAHLIVLSSYSACSKYTPQWKWLEDELGRIDRAATPWLIVLMHAPWYNSNNDHYMEGETMRVQFESWIVGAKVDLVLAGHVHAYERSHRFSNIAYNIVNGNATPVRDMDAPVYITIGDGGNIEGLAENFMEPQPAYSAFRERSFGHGTLEIKNRTHAYYAWHRNQDGAKAVADSVWLTNRYYLPTDDSK >SECCE2Rv1G0132480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:892046200:892048222:1 gene:SECCE2Rv1G0132480 transcript:SECCE2Rv1G0132480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKMAVGAANWLVGKVLTKLSDGLVSAYMASSELGSNFLTAQTQLMYTHELLSTSVGRDVGDGPGPGLRGLLGALSRKADEAEDVLDELHYFIIQDEVDGTKEAAAEVGAGIKGHSVHGRHVARYTLGNCLPCLSSPRTLGDDPPPAVAAASDVTSKPHSGNMPGTGSNGGTVDKLPFNRVAMSKKIKSVIEEMNSICLLVSDLLKTANHNSTPISTNVTIERPPTGSIVPQDRKLYGRSVMFEQTVNALTSGTYHDRTLSVLPFVGPGGIGKTTFTQHLYNDERIKGHFPVRVWVCVSTNFDVIRLTREILGCIPETNTSTVQATNLDQLQRAIAERLYSKRFLIVLDDVWTCKSERDWENLLAPFTIGETKGSMVLVTTRLPSVEQMVKTTDPVKLHGLDPPDFFALFEACIFGHNKPRLYDDNLVNIARDIAKKLKGSPLAANTVGRLLKKDISWEYWIGILEKNEWHSAKNDDDILPSLEISYNYLPFHLQKCFFYCGLFPEDYKFYDLEKTTNFWIAMGIIDSNCQNDENYLYELVDHGFLIKDSDGDREYYVMHDLLHELSRKVSSQECVNISSLSFRVNDIPQSIRHLSITVEGIGQEICKVKSVIDWKFAYFDDFWITCCRYSEPCI >SECCE3Rv1G0207680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:923595532:923596826:-1 gene:SECCE3Rv1G0207680 transcript:SECCE3Rv1G0207680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLKSKERNGSVGHSASFLLGALLPTLLLFLLASDRDMHMQEERFPGLAELLAKVATDDGTVIVTSVNEAWAAPGSLLELFRESFKNGEGIAHLLNHTLIVAVDPGAMSHCEAVHPHCYLLEVMSANVSSANRFFTESYLELVWAKLSLQQRDVDVMWLRNPFRHISLYADMAVSTDRFNGDAEALTNAPNTGFYYVKSANRTVEMLRRWRIARSRFPPTHGQAVFNEIKSELAAGKLHIKFVFLDTTLFDGFCQLHSKMDRVCMMHANCCIGVKNKVNDLGNMATDWKNYTNKVIPREKMSYKSRWTFPAKCEASMGHC >SECCE3Rv1G0192200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:756324253:756326630:-1 gene:SECCE3Rv1G0192200 transcript:SECCE3Rv1G0192200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTTTASSPAALPAAASAAPATASSVSPTAAASKRPHLAGDDAPWRVAATGSAGIRPVPRIHHAPVLRVAQDDSSAYALAIMRNPDPIGMGLAMEAVAEAAGPECLVPGQQAPLRLMGLKVWPLDIEMKFLEPFGRELHSMKKFMDKSCSVMDSSSIAHK >SECCE1Rv1G0038850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:543356340:543364738:-1 gene:SECCE1Rv1G0038850 transcript:SECCE1Rv1G0038850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSGSDPLLARANGGDMEEDDGIDVAAVDHRGRPAPRASTGRWRSALFIIAVEIAERFSFYGVSANLISYLTGPLGESNAKAASAINAWNGVAQLLPLLGAALADSWLGRYRTILIASLLYILGLGMLALSTLLSSGSHKCSNTDGGTPCSPPSGLQMAAFYTSLYLVALAQGGHKPCVQAFGADQFDASHPREAVSRSSFFNWWYFGICAGTAVTLVFLSYIQDNIGWGLGFGIPCVVMACALAVFLLGTRTYRYYVSDGKQRSLFARAGEAFATWRSKRPKSTPLAASDSHEAHRAATSAPEFSAQVDEEEQGVVIRNADLIGEVTGVLRLFPIWATCLIYAVAFSQSSTFFTKQAATLDRHVGKRVQVPPAALQSFISITIVVFMPIYDRAIVPLARRCTGVSSGITMLQRIGVGMVLSLVSMVVAALVETRRLRIAADAGLADLPKVPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSIGLALYLSIFGIGSFISSGLVSGIDQWTSETGQSWFSNNLNRGHLDYFYWLIAALSALELVVYVFFAVTFEYKKKAASATVG >SECCEUnv1G0539030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74891188:74891700:1 gene:SECCEUnv1G0539030 transcript:SECCEUnv1G0539030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIELFQGVAVDGSSAYVPIDEDEEEYDVADDGNEQSPMSTTSRKRGSSGGEQSASSPGKKHKSPMVKLMTGLINTMNSENTSDMIAEYANKRHEAKDKAKEKKSNSTKESITHCQLLAVQCGAEETSVEYFMATQLFADEANRVIFENISSKDARLTWLKRWCMMKKLY >SECCE5Rv1G0339610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:609279141:609281927:1 gene:SECCE5Rv1G0339610 transcript:SECCE5Rv1G0339610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSSRFGALEKLKSFRGIEKQRSFKFLSMEKQQSFKERRSRDSPGKRGDSPLHLAARAGNVSHVHKILADCDPELVAELAAHQNLDGETALYVAAEKGHVDVVCEILKACDVQSAGLKATNSFDAFHIAAKQGHLDVLQELLQAFPALAMTTSSVNATALDTAATQGHIGIVNLLLDTDASLARIARSNGKTVLHSAARMGHVEVVASLLNKDPDIGFRTDRKGQTALHMASKGQNAEILLELLKPDVSVIHLEDNKGNRALHVATRKGNTIMVQTLISIREIDINAVNKAGETAFAIAEKQGNEELVNILREVGGVTAKEQVNPPKSAKQLKQTVSDIKHDVESQIKQTRQTKMHVHKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFASIFTVPGNFLEKMKDATEPDMTLGQALVASRPAFIIFLVFDSLALFISLAVVVVQTSLIVVEQKAKQKMVFVMNKLMWLACLCISAAFIALTYVVVGRNDEWLAWCTMAIGTVIMLTTIGSMCYCIISHRMEAQSMRRIRRSSMSQSWSISVDSDTELDMTSRSKTMYAL >SECCE1Rv1G0060270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709595711:709596595:-1 gene:SECCE1Rv1G0060270 transcript:SECCE1Rv1G0060270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIIIPRRPEPRDQATDADDAAGAAPVVSCSSGEDSTSTDGDFEFEFPSLLGSPAPADDLFADGRIRPAAFYPVFGRRPSARATSAGDLDCGLAPAAAPERRTRGQLGRLFLEESRAWNRSSSSSTGSTASTSSSSSAATADDGDGDGGLEGAAPESYCVWAPGGGGSKPSESPRPPRGGKSGSTGSSVARWRRISDLVVGRSQSDGKEKFLFLPVNPPPKQQEPKPKPRPNPAAGGTKKKGSEIGTVAAAHRMAYGANGATPRRTFLPYREELVGFFANVNGISRSHAHPY >SECCEUnv1G0541100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93422502:93425212:1 gene:SECCEUnv1G0541100 transcript:SECCEUnv1G0541100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRARPVNYLICPRFISLRVPKPKPPREPSSSLLFSPATMEATAASTRPLFLMAPSPPLVSTVRLALGMPRRRLVAAGARKKRGRQDGDAGEERVDAHSFNPKAGEVTGPFPEAVLLRKKKVKEDGEALPEFADDEEEKLYELLNIQLESGLNLQRMRHYEVVYLIHEDRVEEVEDVVSKVQDFIREKKGRIWRVNNWGLRRLAYKIKKATHANYILMNFEIQAQSINEFKTLLDKDERIIRHLVMKRDEAITEDCPPPPEFHAMRSQQLDDEYIDEEYGRVDDGGDEAELESSDNVDDDVEDGDEPEIILVDEVDDDNAEDLRRRNRKVKLEKYTVEKVLR >SECCE2Rv1G0128080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857804586:857805726:1 gene:SECCE2Rv1G0128080 transcript:SECCE2Rv1G0128080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G16510) UniProtKB/TrEMBL;Acc:W8Q2T5] MSLEVHDSEVDIVIAALQPNLTSFFQAWQPFFSRFDIIVVKDPELAADLQIPSGFNVKVYTKSDIDGLLGATSINFSGHSCRYFGYLVSRKKYVISIDDNCLPAKDPAGMTVDAVTQHMINLKTPATPFFFNTLYDPYRKGADFVRGYPFSLREGVECMLSCGLWLHNADYDPMTHVVKRNQRNTNYVDAVMTVPLGAMFPVSGINVAFNREVLGPVMFPGLRIRKEGKHRWDTLEDIWNGLCAKVVCDSLGYGVKTGLPYVMRSDAEAGKALESLKEWEGVKVMDDVLPFFESLKLSRTAVTVDDCVKELAGIVRQKLAPKNAIFTKAADAMEEWTKLWKSHGAQNA >SECCE4Rv1G0279710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:816248348:816251323:-1 gene:SECCE4Rv1G0279710 transcript:SECCE4Rv1G0279710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVATAYTMAGENEEDGSTVFRPGTAASSPVRTMVALALWLGAIHFNLLLVLASVFVFPGQIAALVLGTQLFFMFAPVSSTSGWGRNVARFICKHAVGYFPITLHVEDYDAFDPNTAYVFGYEPHCAMPLGLWVLAAPMGFMPLPKMKILASSAAFYTPFQRQIWTWLGLVPASRKNFSNNLGAGYSCAVVPGGLREMLYMDHEPDSEVAFIRSRKGFVRIAIQTGCPLVPVFCFGQDRLYNWWRPGSNLLVKIAGVLKAPAIVFWGKFGTFIPFGLPMHVFVGRPIEVTKNNQPTMDEINEVHEKFVMALQELFNKHKYKAGCPNLQLQVI >SECCE7Rv1G0525720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:892390676:892394259:1 gene:SECCE7Rv1G0525720 transcript:SECCE7Rv1G0525720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAVFVAFFVAAALTAASHGDAALVEHTFVVSQVKLNRLCNETLVTVVNGQFPGPAIEVNEGDSVAVHVINKSPHGITIHWHGVKLQLNCWADGAEMITQCPIQPNKNFTYRFDVVGQEGTLWWHAHVGSFRASIHGALIIRPRSGASSYPFPRPDKEIPIVIGEWWEMDLDQLDKNLRNGHLFDMPRAATINGKPGDLYNCSGTVKDSNILNVEHGKTYLLRIVNAALNSEYYLKIAEHKFTVVAADANYVKPYTTDVIAIAPGETVDALLVADAHPAGRYYIVAKANQPPKPAIQIPIFISRGIVQYGDGPRKVEEEKALSDSTTSLIMAPEMPDVHDAATSFYFHGNLTSLQPHPVPANVHEHLFYALDGGFFCREGESSCNNATNMMGMVNNVSFQLPRMTPLLQALYHGNMSSIGTLRELPDRAPRMFNYSETVEPTSKATSVRRLRYNATVEIVFQSPVLADTYSNPMHLHGHNFFVLAQGFGQYDAEKDVATYNLVDPPLRNTVLVPIFGWAAVRFVTNNPGVWFLHCHFGHHSSSGMAAAIVVENGPTLDSTLPPPPKDFPTCNNYNSRLAYE >SECCE3Rv1G0160370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:104708184:104708780:-1 gene:SECCE3Rv1G0160370 transcript:SECCE3Rv1G0160370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPPPPWKAMYLDVIGEAIRSAAGVRESAASARDVLESPLVLDTRDAEGRYTLLDAATTHLVHASDSLSAFIINMLVAERLTLHGCAAVPSEPVARIDDLRDGHGRHAEWLALSRLEAAREHAEEELRRVERAFTLLGSVRFMLLIPNADAPGRRQAMEGQLHALDLQPVVVGVASMSELASLAIEPPIRHRIQFH >SECCE1Rv1G0031750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:446212289:446214154:-1 gene:SECCE1Rv1G0031750 transcript:SECCE1Rv1G0031750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMPFAGEAHAGTLQQQQPKLSAGFWAEPTSVLDRRHSPSPSPPSSASEVASLAAGGDAKNASPPPQQAWPPGEDATAAAAGVKEEWAHQLAPLDMGMGLGAGEGWDGTAPSGLAGPDSTFLRWIIGGGEDASAGMAGVMDPPALELDHATSMMSPPAASLGPSLSPFAPAMEDAKGGPFGHAPSFLLHQHQHHPQPHAAFFGAHPSFESAPPPPKRHHPMAGAPAPKLPAFQGHLAPAGGFFPALKPKAGTANDEAAATVDQLAEAAKFAEAGDAFGAREILARLNYRLPAAPAAGTPLLRSAFYFKEALRLALSPNGETPAPPASTPYDVVVKLGAYKAFSEVSPVLQFAHLTCVQAVLDGLRGAGCIHVLDFDIGMGEQWASLMQELAQRRPATALKVTALVSPASHHPLELQLIHENLSSFAAELGVFFQFTVFNIDTLDPADLVAIAGGDALAVHLPVGAAHAAAMPAVLRLVKSLGAKVVVSVDRGCDRTELPFAAHLFQAFQSTVFLLESVDAVGTDPDTAGKIERFLVQPAVEQCVVGRHRASIEKAPLPWRAVFASAGFTPVQASTFAESQAESLLHKVPVRGFRVEKRAPGSLCLYWQRAELVSVSAWRC >SECCE3Rv1G0179130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:528376452:528381737:-1 gene:SECCE3Rv1G0179130 transcript:SECCE3Rv1G0179130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSCRLLPPISPPPPHSLHVAKTLIPRQTHAWARARARPVASTMSAQLDAALSAGFVRLINAGQEDADCSGGHYDPKSGDYAVGIVVSGTEARLDVAVGADRLATLLTKELLPLCRAELPTGGAKPAPPRPGSVGVLEASPVDEETQNQKCGARTLVPPGTVVFAEVLGRTLSGRPLLSARRLFRRLSWHRARQIMQLDEPIEVKIYEWNTGGLLTRIEGLRAFLPKFELMDSINMFTDLKNNVSRSIRVCITRLDEETNDLIVSEKKAWEMRYLKEGALLKGNVHKIFPYGAQVRITGTNRSGLLHISNISQGRVLSVSDILKVDDELKVLVIKSNVSDKIALSIADLESAPGLFLSNKAKVFLEAEEMAKRYREQLPVVSRNTKLDFDLPGETIRFDTEATLYANWKWFKFLRHAKSGDENGRI >SECCE5Rv1G0330540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:531036645:531038114:1 gene:SECCE5Rv1G0330540 transcript:SECCE5Rv1G0330540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSAATAWGSPSDASDAEGDAGHRHRHRDRDDAPSDTDPSKTPPPNPNPKSSAAAPSSTPSVAADSAAAGSDSGGTYDSDAEAHRRPAPKPAASSPKPGGKPRARSPGINSDSYNSEDSDAEAHRRLVPKAAASSPKPGGKPRARSPGINSDSEDSAAPAASDADLDPAAGADSEDDNVSPLRSARHPRAEASIIKPISSRPMDSPPRGGASEPRVKRPRSAAISAPSPDPLKRPSRLWSHDDELLILRGLATYRAKSGVLPGSTNDIGKLHSHIRAQLSVRVSTTQLSDKVRRLKQKYQLLATRAKNGREQELHTPHDRSIYEHAKKVWGLVGSGGGDGGGSGYENYGGGDSDELQYSGDSDDDMESQRDDRYHIKNRKVRPITAANGNGIGLGAVSANGRGKSGLEKGKDAYPYLWETVEELSKEHPSGAAFKKAFEVLEGSKARAIEEKLRRFRLTEIRQQLRRMDLMKETVRMVLDALESAD >SECCE5Rv1G0369000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:832752612:832753046:1 gene:SECCE5Rv1G0369000 transcript:SECCE5Rv1G0369000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVLPNNSSRVLKAFRVIMNCRYRVPGDVAAGARQEVQRLKASVSQLQAALQELQSSGLHSDDAGIGAAEARKADLMAKKAKLDETLAAARQFRALLRQ >SECCE4Rv1G0244150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:510801247:510804988:-1 gene:SECCE4Rv1G0244150 transcript:SECCE4Rv1G0244150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMAEPDGALDPPPPLSPAAPTPPVQWPKDGALDPPPSPSPVAQPPPVEWPEDGVLTRDWVASFTAALDWCSRSIPPDQLPSVLSADFVRRLVIAAAVILHREPNIVRVDPRPDQSVVVVGDVHGQLHDVMFLLRDAGFPSEERIFVFNGDYVDRGAWGLETLLLLLAWKVFLPNCVFLLRGNHESKYCTSVYGFEQEVMVKYKGQGTQVYRKFLRCFEDLPLASIIAGSVYTAHGGIFRGAVVLPSKRSKRAKKGHKYKAGPTDDSTTLKLGSLDELLKARRTVLDTPYEGSNLIPGDVLWSDPSLDKGLSLNNERGIGLLWGPDITQQFLYTNNLKLIIRSHEGPDARDKRHDLLAMDSGYTIDHHVACGKLITLFSAPDYPQFQASVDRYNNSGAYIVLSPPDFATPDFHTFQAVKPRPKAHPYYDFEDVIDSDEELNLGAMDTGTSSS >SECCE7Rv1G0460590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:32261451:32263355:-1 gene:SECCE7Rv1G0460590 transcript:SECCE7Rv1G0460590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSMFIHWAMETLDQEQDTAAARDVFPPSFLEFGHSVALQNGMDMAAHRYGATDSWSSGDSGAAVAVENCSTNYPATSWNFTSAMPQPPSIIEEATPSPPVVPELAHRSPPSRKSSGASTGTVPTVQEHVMAERKRRERINRRFIELSTVIPGLKKMDKATILSDAVRYVKEQQEKLKAFEERDVRTVDSVVLVKRPCISNFDDGCPSPPSPGGATGGGPQTTRILLPEIEARLSESNVMLDEGFTVTAEDIVWKLDSALRPYLSGKR >SECCE2Rv1G0127900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:856969409:856972313:-1 gene:SECCE2Rv1G0127900 transcript:SECCE2Rv1G0127900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPDGVRKKKRRRRSGGEAAASFDRDVFPILLAAVAPTTGPNQRASSSAAARLLRRLLYRSPPAPALSPLPVSLVALLPLLLSSSSHSVAALSCEVMGAAALQSMEAGETLASDGGVASGLARALGSGSLRVAEAACNAVMDLSASPAGRERLSGSPVLPRLLYLLSQVESISGAVGSGSTGCQVRVSWPSKCLYLIIDTVVLMVNSCKVDKLHNLQQELVRKVTHLLREVWSQVRLLRSSADCSNGKDQLQSRPYEISEAIFRLSMDLAYPAHVEPDEVRKSFFGQTESDFEKFALMYWENSPYLYRKKQSDLEGDAVFTSLNNAFDLRTPDAIIESFIQGLVSCPAIASDELNINLFLHEVHDCLGAPVKYRQDVRVVRTPEQTSTGSGIEEHFFNDGMVFPDGTAFVEQCKDAIKNGFSIALRGMEFRSEKIADIASALADLFGQPSVGANIYFSPPRSQGLARHYDDHCVLVWQLLGRKKWKIWPNTKSILPRLYEPFHSLDGLVDDSGGRVEVLHEGDIMYVPRGHVHEAHTDVDEGESEVNASTNYSLHLTLAIEVEPPFEWEGFAHIALHCWLEEQELVRSPGSDQSKLEEQAPLFALLLHVAIRLLSNSDPSLRKACMVAAKLPSSSNSLRSSHRSTFAQILHNINRNCGFEDALGLIELTVKERNDEPFQWMSWLRHLPQQQQQHGRSRIDFCDVLGPLEELLDMFSSDRERASANFAEFRSRFCRRAEYEDARREFEALLRMYRAARTRYAKGMLALHGKHGC >SECCE6Rv1G0424930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702908935:702912605:-1 gene:SECCE6Rv1G0424930 transcript:SECCE6Rv1G0424930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPPSAAEEVPMAPGFRFHPTDEELVSYYLRRRVLGRRLRIDAIAEVDLYRLEPWDLPPLSRIRSRDAQWYFFARLDRKVAGAGAGGRGGPGNRTNRATPRGYWKTTGKDREVFHRGRAVGMKKTLVFHAGRAPKGDRTNWVMHEYRLLENDGPQDMHVVCRIFQKVGSGPQNGAQYGAPYMEEEWEDEYDAIENTPTSGTSTEMLAITDTASAESNVEDEHIFSGINELVQTPEVLIPQEIVPLRAIAPLQAQDFNETSMGRQGSYADGDVPLDEILQEPVSDVSVDNTGQPEEQSPLDDHFSLADLSGCPNQDDGYARQAVHTMWSDPSNGDQAYPMRTYGNRNHANRTLTDEEFFDMGNDTNAYSGQQQACPSHDQNLYSGLQQACPSDEQNLYLQPNGLPFPQQLDDNEPFYEASSHPKWDGKDDNVNVDDISLFDDDIMAFLNASEDDFGSNLLGPIDGSNSQLPAASNFDQKAEAKAQYGASSSGSHGNLYPDTMVPDVPMDDNVGKRYFTNMLGSYPAPPAMASEFPPTTGKSIAALSGPSQIRVTAGIVQLGDHTGNSDHWPLQKNGVFNLLLSFTVESNVSTKSITFDDEPAATRVSSVPTVLRGGLYLFFVSAMILMLSFKVGSCIYSR >SECCE3Rv1G0147470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15239888:15241253:-1 gene:SECCE3Rv1G0147470 transcript:SECCE3Rv1G0147470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATKLQWLPRLLLLTHSTFFLVCHTTALPWTLHHASGLPPVVLLPGSTCSQIEARLTDAYEPPSPLCAAHKGDGQWHRLWKNATATDADAPCFADQFRLIYDDAGGDYRNAPGVQTRAVFFGSTRGFLADDPADMELCMGKLVEALERAGYHDGETLFGAPYDFRYAPAPSGTANREVSRFRRRLRELVERASRTNGDKPIILVSHSQGGYFALDFLNRSPLPWRRRFVKHFVMASTGAGGFVQLMQILPSSGSSASASPADVRMIFPGIFSALPSPVAFGDDTPLAITANRSYAASDMPAFLVAVGLTPQTVWLYETRALPVALSLGPPLVPMTCINGVGVPTMEKLVYPDAGLDGAPEVVYGDGDGVVNLASILTLDKVMGGDPRQEYYRSIRIANMSHRGVVSDALALDQLLRVILEATSPAVDTHAI >SECCE1Rv1G0033720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:470576578:470577430:1 gene:SECCE1Rv1G0033720 transcript:SECCE1Rv1G0033720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSHPSRTSGCLALAVAICILLPGCFSSKIALEIFERACHCFDDHNVYNECAEELRLGVEGAFHVGRESVDEYCGGACLAETEMALRCVEEVAHDGFRFSNGASLLAVKQALGTGCSYTPDRGTFDIRERRECGDEYGYGYHGAHEQQLGYGEEGGERQYEYPGGAFGNYCSGATGGGAVHTLLLAFFLVSASALLVAV >SECCE3Rv1G0144120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3223309:3224662:-1 gene:SECCE3Rv1G0144120 transcript:SECCE3Rv1G0144120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSSRSRDPLIVGRIVGDVVDYFDASARLRVLYGNREITVGSELRPSQVANQPTVHITGRAGSLYTLVMVDPDVPGPSDPSEREYLHWFVTDIPEGGDVGRGTEVVAYEKPQPTAGIHRLAFVVFRQAAPEAIYAPGSRSNFVTRDMAECYSLGAPVAAAYFNCQREGSCGGRRW >SECCE1Rv1G0057260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693040521:693043014:1 gene:SECCE1Rv1G0057260 transcript:SECCE1Rv1G0057260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPSSPLPEHPTTISDLGDDQLQEIFIRLPDLPSLASAAFTCRGFLGAIRSSRAFRRRFRALHAAPLLPRFLAHTIAPFPASRRLPAGFIPLQDNHTCEWVVDFSDPSVFYNGGSMGIKHRSTKQGVWYNPQTMALFLCPKEYHGMPDGTMLRFHAFSSEEDQMPSRVVCVRHDYSRPCARVAVFSSDTMEWHIFPEIATLLPQGFEITVSTVLDGFICWQCKSNSWVAISSEYIFVLNTDTFQFSRMDLPQPLRKVQQTFQIGQINDGKLCIVNEKECTFSLWIWTDGIDKFVLHKTFPLHTRFMDITNCSVKDTISVRLLTVFNGFVYFALRPWRNYADQFECPEWFLSLSLETAELKRHLKNGKQPVVPVHPYSVWPPFMEYISEDSKSEVTGNGVGDVGLESTEKDSSVLIKALLSYKEALIKDGDANAAEIEAFSLCIDVEDDENPLLRKIMDLDQLLRTVRDHVLKAGVDSEFTDYIQNIKTESWWQVCKGKLSRAFCASCISPSTRS >SECCE2Rv1G0127330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:852151137:852152174:-1 gene:SECCE2Rv1G0127330 transcript:SECCE2Rv1G0127330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVILLTIKKIGTALANGAADQASALFAKYMKQILELQGSMGRVARELRVMHDVLCQMDIRNHNNQVYEGWLEEVRKVAHVMEDMVDEYLYLVGREHDTGCCSYLKKGFTKPRYLLSLNRIAFKVKEIEKDLTHLSETKHRWVHMINNGDTSSSSYIVKRSKDLANISRSLDEEDLVGVDKNRDKLEHWLLSDDLERSVIALLGMGGLGKTSLAANVYRKHREKFQCHAWVSISQTYSTEHVLRNIIKELSRDKVSVISNTAAMDITCLEETLRKFLEQQKYLIILDDVWTPEAFDDLSRVLIHNKKGSRLMITTREGDVAALASRGHTLTLKPLPEGMGSLL >SECCE7Rv1G0504010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:718443703:718446660:-1 gene:SECCE7Rv1G0504010 transcript:SECCE7Rv1G0504010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLTFMLGTTAVVDHCHPRQLHPGASSSSSSSRIAGHQFEPLSILAFRAISEHIGRSEAFCRKQISNGRFQLLSILVCGAIRAEGITATDPFKLCGILICGVLSDYDAEEPATDLLGDIPEFGMGNILNFTTTVADHCHPRQLHIGSGAVSHQLEPLSILAFRALCKHIQRYEAFRRKRIANSQFQLLSILLCGVIHAKGIIGNDQCKLCCILICGVISDYIHHGPIDAQESAIDLFGDLPEGVLCTIFSKLSLKEAVRTSAVSRKWRYLWTVCPKLSFDGSTICGNNRYGKQVYALTFIRIVNRVLAQCRGKLFEELAIKIDLDRMFVENLNNWVRFAVSSSTKALVFDLAPQERQLPGHDGPYKFPFELLDKDSIHRLQKIHLSFVDFQPPMQFSGFPNLRKLDLNLVNVNGKDIPHMLSNCCNLEWLSMVRCPLNGELKVNGPLPHLLYLKLVYCDVTSIAFDTVNLATFIYKGRKVPIDLNKSLELVCADIWFSTVTFERAITLLGKVLKNVQHLTLDMDCKPPEIPRLMHYRCMFSKMTYLQLRLVYVEGLDVLSLVSFLRSAPFIEKLELHFCFPGYIHLVQEPESIRKLPECLFNNLKSLHVTGFKACTGQVEFLMHMVENAPALEGLTIDQSEKYLLEGHKKDTKTVIDLVHRTAKKYLEGKISPKCSLMLL >SECCE7Rv1G0496630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:614636320:614640015:1 gene:SECCE7Rv1G0496630 transcript:SECCE7Rv1G0496630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEETVAAPPPTPAAPAEAAPPLSAEPPASTDASPEKAAPPAPAPDTTVRSRGFKLLGEDTSVHKVLGGGKTADVLLWKDKKTTAVVIGGTTVIWVLFEVLDYHLLTLLSHVMIGVLAILFVWSKAMTFIKKSPPDIPVVEISEDLALNVSRALRNDVNRSLHLLREIAMGHDLKKFLGVIAGLWVLSEVGSCCDFLTLIYIAVLMIHTVPILYDKYQDKVDHFAGKAHTEACKHYEVLDQKVLSKIPRGPAKTKKET >SECCE5Rv1G0300770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27835897:27838114:-1 gene:SECCE5Rv1G0300770 transcript:SECCE5Rv1G0300770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVEDKGSAIALMCAALLFLGTWPALLTLLERRGRLPQHTYLDYSITNLLAAVLIALTLGQLGESKQSMPNFFTQLSQDNWPSVLFAMAGGLVLSIGNLSTQYAWAYVGLSVTEVICASMVVVIGTTLNYFLDNRINRADILFTGVACFLVAVILGTAVHSSNATDNEEKLSGSTNGTNGGMDPNKQDALEVDIENGACAEEAIRAEAGTAEYLIELEERRSVKVFGSSTLKGLGLVFFAGVCLSLFSPALNLATNDQWHTLKDGVPHLVVYTAFFYFSMSSFVIGVGLNILFLYRPMAGVPKSSLRAYLSDWEGRQWALLAGLLCGFGNGFQFMGGQAAGYAAADAVEALPLVSTFWGIVLFGEYRKSSKKTYTLLVLMLLMFVAAVATLMASAGRRSTT >SECCE1Rv1G0014410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:114421623:114422576:1 gene:SECCE1Rv1G0014410 transcript:SECCE1Rv1G0014410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAIRKRPAAGQEPRVHGGKKPRYAFGSISDYKKLEVLGEGTYGEVFKARDRRTGKKVAAKWVRGNGAGGHGPPDIRALTREAGCLGACRGHESIIEIFDVATDAETGDVFLVMELVADGRTLRESLWRPVSEEGTRVMMEQLLDAAKKIHGAGVIHRDFKPENVMVGFFGGLKVGDFGSAMRAKPAGVPYEECCVGTLIYTSPEQLEGNRYYGQAVDMWALGCIMAEMLSGGTLFVAETEEELLAEMYKLRDQINSTGKLDLEFLEELSEAGREVLTGLLAFNPGERITAAEALEHRWFSKPKAAEHPGFVSLKS >SECCE1Rv1G0030030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:422947438:422952214:-1 gene:SECCE1Rv1G0030030 transcript:SECCE1Rv1G0030030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32200) UniProtKB/Swiss-Prot;Acc:Q43307] MQAPPLAALAGGAWVSHRPAILAAPASLRRPRRGALRLPAWRAAGGGRAPRLPAKGAVLASDTGADEEVAGPSPLLDVRSEQEFVLRVRKEVERGKLPPDVADNFENLYYNYKNAVLQNGDPNAYQIMLSNMMDLFDRVLLDAENPFTFQPYHKAIREPFDYYTFGQNYIRPLVDFRNSYVGNISVFSDMEKQLRQGHNVVLMSNHQTEADPAVIALSLERSNPWISENIVYVAGDRVLTDPLCKPFSMGRNLLCVYSKKHMNDFPELTEMKRRANTRSLKEMALLLRGGSHIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVPGHIYPLSLLCYEIMPPPQQIEKEIGEQRVISFHGVGLSVAEEIKYGDVTAQSQNADEARGIFSEALYNSVVDQYNVLKSAIFRDRGAVSSNPAISLSQPWR >SECCE2Rv1G0085490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:192153144:192153806:1 gene:SECCE2Rv1G0085490 transcript:SECCE2Rv1G0085490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSHIVLLLAVVLIAATPQPSAAVRAKAPAPSTSNDVLVAKPSAWCIPCFSFLPQLFCIPPIFCPPTPSAPPPPPPPTKPEPKDCLPSLMGLMPCKDYLTNRSAPEPPKQGKCCDGLRSLVKNAPVCTCRISDDGDLDRLMSARLDGGKFLHLTVICDASPTPSDYPSCQGHVPPLRAAPAPEAAS >SECCE4Rv1G0254650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:640191013:640192196:-1 gene:SECCE4Rv1G0254650 transcript:SECCE4Rv1G0254650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGGHGGGGGRCLFTASQWRELEHQALIYKYMAAGSQVPHELLLPLRHRDAAAFAAIDTAPSLACYPPPQPSLGWGLYGAGSQYARKPEDPEPGRCRRTDGKKWRCSREAYGESKYCDRHMHRGKNRSRKPVEPMSSASSVSSPASSYRHTTLSMSPPTPADTPSYGHGHDHLRAAAAQSQINPLQLHLDTPSPPPSYHRYAPAQQYGGSFFPSRQQVQEEAEAEARRRQHFLALGADLSLEKPDATTAASSTTEEKPLRRFFDEWPRDGNAVEGRPWNMGHRDETLLSMSIPTTTASHPDLAAYRHHNDE >SECCE6Rv1G0421530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:684209398:684209868:1 gene:SECCE6Rv1G0421530 transcript:SECCE6Rv1G0421530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAASSLALHAAPSAARRRAVVASASAARFDRRSAVLLLLSAAGAGSSLAAVAPAANAAGSIGLFGIRKKLERAEEAAVEAAREVGEAAMEAAEAGGEAVAEAGKEVAGEGMQLAAEAGLAGDALVQAGVVAGAEALGVFVGLSVVNGILKPEA >SECCE1Rv1G0007650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:41512236:41521643:-1 gene:SECCE1Rv1G0007650 transcript:SECCE1Rv1G0007650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP107 [Source:Projected from Arabidopsis thaliana (AT3G14120) UniProtKB/Swiss-Prot;Acc:Q8L748] MEVDPSPSPPPPPMSTPGYLDPESSRLREEYRRYRKRLSSSNDSPMLGISVSRFSEARASRDGSSIPRRPNAGLLLEEVKQETADYSDIDGLNGPKLLDGGSVRKAARSALNLVKLEDGMPQEGETTFTMFASLLDSAIQGLMPFPDVILQFERTCRNASESLRYATTGKVRMVEDKLMQQKAQLLLDEAASWSLLWYLYGKANEELPEGLFMSPTTSHQEACRYVATDLTAQLCLRIVLWLEGLASESLDLEKKVRGSHVGSYLPSSGVWHRTQRYLKRRNNDSNIVKHVDFDAPTREVAQLLPDDKKQDELLLEDIWILLRAGRLEEACELCRSAGQSWRAATLCPFGGIDLFPSLEAMLKNGNARTLQAIELESGIGRQWRLWKWASYCASEKIAEHDGGQYEMAVYASQCSNLKRTLPICTDWESACWAMAKSWLDVKVDIELSQYQTSRPEEKEFDDDMNGTQLGPENWPYHVLDQQPRDIAALLQKLHSSDLVHETVSRACREQHRQIEMNLMSGNLAHLLDLLWSWLSSIEDGQNVLRSRDDSDMIRFGAHIVLVLRYLLSNEMEDEFEEKLVTVGDLIINMYVRYLFSEGQEELVGVYASQLERDVCIDLFVDMMELRLNSSLHTMYKLFLSAVEYLPFSSGDASKACFEEIIERVLSRSRETKPHQYNEDFSDVAEQHHLQALQKAMIIQWLCFTPPSSIPGFEMITGKLLIRALMHSNTLFREFSLISMRRVPELPVGPHKLLAILAEPLKQKENLFSLEDQEVSDNLEEFEDWHEYYSLDATYRGWLRCEMENSSVPPEMLSAEEKDQAVAAATQTLELAFLLLEREERPWLNAVETSPFESSELVFLELHATAILCLPSGECMTPDATSCTALTSALYSTLSEEDVLHRQLKVEVKISSKDPCCIEVALRCLATEGDGFGLHEANDGGLLAAIMAAGFKGELNRFQPGVSMEISRLDAWYSDCHGSVESTAAYIIRGLCRRCCLPETILRSMQASISLSEAGDSLDRCDKLIELVASSDSGMMHLFSQQQLQEFLIFERECFICKMELEEEQMPADG >SECCE4Rv1G0295270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:897241509:897242783:1 gene:SECCE4Rv1G0295270 transcript:SECCE4Rv1G0295270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETRDQARNVRSMFPEESTPCIALSTNDSDVITACGGKLSKVLDDDDLLREIIVRVGFSTTLVRAALVCKGWYQHASEPAFLYRFRERHRSRLLGFYLVDKEGRKVASIRFFPMLPQPPELAAVIRRVVSYSLESYRGAPAKFLCSQSSRVLISLYNQNNRNKFTVGVHNPLCPESGLVVVPPSPHIQIQDVYYRNLLSVGEVDGLSYLHVSVVSNIQLTTSTVHIHMLRHGDGVWHMYHTLDTDQFLDLRQEPKAVLADNKIYIASSQKDIVVLDLNGSSISTVQLPQGVEYGNRDIMLAKADDASGVYVIHVKKIQVHIWLHKGGNWLLIDTICLRDMVANLRIPGCEVEDEHNAPLQIHHVGDYAEFLFLEMGRCALHLDVKSMQLHKVYDMTEEELQLGDIHPLMMIWPPTFPVVKDNL >SECCE1Rv1G0001660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:5793622:5799387:-1 gene:SECCE1Rv1G0001660 transcript:SECCE1Rv1G0001660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAQDLAWHFRRLVELMAARSGDHQKLLGELEDGAAMAGWPRDIVIDLAAASEGDTQLHQTAAGGDSTRYLECARVVCDNDKAQLLARNGDGDTPLHCAARAGHPKMVRRLIDLAKKDDDEGGGRAKSMVGIRNKRGETALHQAIRSGCDKDMENMVEYLMAEDKELARVAAHDGTSPLFLAITLGHHHLARELHDKYDKELSYSGPGGLNALHASALDGFRHGKGMAKQLLKWNPDLVRQSDHSGSTPLHFVASAQDPTLELFLFVFGDQGFESHSPLSLTTFKKKWVYRYYRWREHPTFLLVDANPYSAFQPDRNGLYPVHVAASAGSLMPIIIMVCHSPGCVGLRDNQGRTFLHIAVEKKKHNIVWFVACRLGNKAIMNIQDYHGNTAMHLAICGGNWDIFRVLIGNKHVSLNLTNKEGKTPMDIALSNVTPTGFYFGMHARRRILVTLTLANGKNSFYRRDRFLDEYVPKLNEKEESEKITAFAQIVGVGSVLVATATFAAAFTMPGGPNSTDQKVPPNGKGGTPTLAGLYAFDAFVISNTLAFICSTLATFSLVYTGVATVDIEKRIKLVAFSLALLISAARSFCAAFAFAIYVMLPPTVAHGTAMAACVMTVLALMDAFWFMLAIVTDTTVLFSREDWGSMSKRLMKLGARILINTVYVFWPYIVIFGLLAIKSITGHQETSAPPPTPTQRP >SECCE7Rv1G0515190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:838740715:838741776:1 gene:SECCE7Rv1G0515190 transcript:SECCE7Rv1G0515190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENACINFTAVARSARLLKIDGFSLTETMDHDDCVRSRWTFDGYEWEVRVYPAMTSSAGGGAGGGYVVLEVAFLSKPRKGSVRAAIGCRLVDPRGILKPSNEISISKVFSRPQECSTKAAIVGRRALAASAFLRGDSFTMECTIEVLKELPDTVTDPVEEAPPVPSSNLGRHLAEILQSEAGADVTFLVSGESFAAHKSILAARSPVFMAQFFGDMKEKCSQSVVIEDMEAAVFKALLHYIYTDTVAEFDEKGEEVTMLAQHLLAAADRYGLDRLKLICKGKLSDGINVDTAATSLALAEQHNCPRLKAKCVQFIIRNREVLDAVLATEGYKYLAASCPSVLADLLKSSLRVG >SECCE4Rv1G0256200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:655027781:655028832:1 gene:SECCE4Rv1G0256200 transcript:SECCE4Rv1G0256200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSYFGRPAYYAVALVLLLTLLCISFPAYLRNPVSVATCFTGADARAAAAQPAASASAALVADDGGRRPDQLRILVGVHTMPKKHSRRHLIRMAYALQQTAALRGAARVDVRFALCARPMPPEHGVFVALERRAYGDVLLFNCTENAEDGKTYTYFADLPAMLGAGARPYDYVMKVDDDTYLRLDALVETLRRAPREDMYYGVGLPFMDRESPPFMLGMGYVLSWDLVQWIAGSDMVRRKAKGVEDVTTGNWLNEGGKAKNRVNIFPRMYDYKSAQAKDFLEDTIGVHQLKEDIRWAHTLAHFNATTGELRPSREGSS >SECCE7Rv1G0522180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876244764:876247854:-1 gene:SECCE7Rv1G0522180 transcript:SECCE7Rv1G0522180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAVAALYPAHRCKTLYLVRHAQGLHNAEEEKDIIDYTLPELLDAQLTPLGWSQVDCLKEHVTKCGLAKKIELVIVSPLMRTLQTAVGVFGGGNYTDGVGAPPLMVEGATNSGRQPISSLNCPPFLAVEACREQLSVLTSDKRSSITRYRTLFPAIDFSLIENDEDVLWGPDVIEANESVAARGMNLFDWLWTREEKEIAIVTHCGFLYHTLNMYAKECHPTVAEELGKVFANCELRSMVLVDRRNLGPDTSAYNFAGKIPAGLDMPSDVADEKQAEGASKN >SECCE5Rv1G0301570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:32122908:32127655:-1 gene:SECCE5Rv1G0301570 transcript:SECCE5Rv1G0301570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFFILPSTGFLGWQLRAMNSTLFIIGVIGNIISVLVFVSPIPTFWRIVKSRSTEDFEAAPYVLTLLNTLLWLYYGLTKPDGLLIATVNGFGAVMETIYIALFLVYAVDNAKRVKTAKLVAALDIGFFGVVFAATTFAIGGLDMKIMVIGLICACLSVFMYGSPLAAVRRVISSRSVEYMPFFLSFFLFLNGGVWATYAILDKDVFLGVPNGIGCFLGGIQLVIYAIYRNNKAGSQSHGSDEAPYDASTSLLSSDTGRHGQNDVSARV >SECCE7Rv1G0466450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:78859119:78862044:-1 gene:SECCE7Rv1G0466450 transcript:SECCE7Rv1G0466450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SPL2 [Source:Projected from Arabidopsis thaliana (AT1G54150) UniProtKB/Swiss-Prot;Acc:Q9SYH3] MSARDRETIEALARVAAALDGAVLGLGTAALAAASLAKYLAASGALRLIAQAPALAIPDLRYSLLAGLSDGESRLAVVRGLVRSPPGGTFLIPPGSREHCVVTRHTQTCLFGEWRGIFGWTFDLHALFFKSLKEQIITSSRWVSFGLVDAASEKSGEMVHVKFDGAVHQSLPLTTVYHKLIPVEQNSYTLFQTIVGNGYPIALLDEEKILPIGKEITAIGLCRLKNRSVEISSCPELPYFLSDLTKGEMEAEMSSRARFFFWVTVALGTVSVGLLGHAIYRLWERVKRHREAREAQERFHEADNEDAGENGSDDEPGEMGDGQLCVICLRKRRRAAFVPCGHLVCCCNCAKRVELMDEPLCPVCRQDIQYMLRVYDS >SECCE2Rv1G0121700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:810077901:810079062:1 gene:SECCE2Rv1G0121700 transcript:SECCE2Rv1G0121700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKEIKFVTYNVWSREDVVLYKRMQAISDLVYKHSPDVIFFQEILPYILKIFQSSIWWKHYHSSPISQEQQKEKNFCMMLSKIPLENYACWKFATTASGKSYLEAGINPSPGSATMKPICIATTQLECPCPPEPMHCMERYTQAEHAIAALSSAENVVFDGDMSWDDDRDLPFPLPDGWADASPHDSWTYDGLWNEKLGEFGGAYRASHKSLQRRSNRFVCKMNDYKRSSIITIGDQEVGFKMYGYEYNYKRKYPNCDYDKLVLMPSCHRGLVLTLVPNEDA >SECCE2Rv1G0114310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:733285636:733286226:1 gene:SECCE2Rv1G0114310 transcript:SECCE2Rv1G0114310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPAHAARRVPPPCWTPEETVALARAYTARRLAVGRAHLSSADWAAVADAATPSKTARQCRHKVEKLRRRLRSKRRRPCPILDGIDLLDDPSSSPSRSHSPSPPPPASPLPPSPSPPKKRKRPDPDEEEYAGESDVVQAVRAIGEGFVRAELRRMEAARESQRMRMEMALRHLDAQRRLLEGLVGRIVDALE >SECCE5Rv1G0350960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:694798389:694799833:-1 gene:SECCE5Rv1G0350960 transcript:SECCE5Rv1G0350960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLLSAGVSILLIQAVAVGVATAGDAPAQLARSELAAIFRVMADLLGDPTWPQLHPRPCTDTPWPGLQCELAPDDSSRLRATRLHFGPDVSTPPCRPGARLAAPAILGLPHLRTLSLFGCFVDAGPVELPPALFTNASSLEQLVLKSNPGLTGRIPATLTNLKSLQVLSLSQNGFHGEIPRELGGLAALQQLDLSYNNITGEIPEELGGMASLSILDLSWNSIGGGVPAALGGLKMLQKADLSYNQLTGLVPPEAGSLKELVFLDLSHNGLAGPLPASLAGLAKLQYLLLQDNPIGTAVPAVVGSLRRLQVLGLSGCNLTGPIPRGAFAALGSLMALSLDRNRLDGPIPASLGALPHLGQLNLSQNRLAGEIALPVEFVARLGRRLDVRGNEELCVGQGRYGGLQTSYLRAPPCVGRGSANGTAGVYGPVVLGGLVCHLLVLLVLEL >SECCE3Rv1G0190300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:737561763:737562227:1 gene:SECCE3Rv1G0190300 transcript:SECCE3Rv1G0190300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSTAVMAVAALLLLVFLAAAAANTTDRVRERIEEWHHRVSAEFKAGHGKNMSEKETRRVFAEWKAKHGREYSSAREEDRRYAIFKESLREADLHKAGFGPDAVFGINQFSDYTDEEWRTLSQGYLPYIPREEPNPQMLPVYICDDGRICVR >SECCEUnv1G0540210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78614404:78617776:-1 gene:SECCEUnv1G0540210 transcript:SECCEUnv1G0540210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPIEADGKSSEPTGNGSLPKIAEKSQDSGGNPGTELPPLHQGKEVILVDDNDSGQEDGGAAKVDENAPRIGLRFKTYDDALKYYKQYAVDSGFSAVTLKSSYLKSGVCRRLVLGCSRSGRGRANACYLSRETAKINCPARISLKLRQDRWLHIDDAKLDHNHPLNQSSDSPMSCYKKLTDAKSVENASRLKGRRNVPIADKEQGNFTEIGRLKFGEGDDEQIQKFFGSMQNKNPNFFYLVDLDKQGRLRNLFWSDARSQAAHEYFGHDVVYFDTSYLTEKYDLPLVFFTGMNNHGQPVLFGTGLLSDLSAESYTWLFRAFLSCMKGCYPDAIITEHYNAILDAVREVFSQVKHRLCLYRIMRDVAENLKAHAEFKTIKRALKKVTYGSLKPPEFEADWKKVIEEHGLAGNECLSSLHEHRQLWAPAYLKDKFWAGMSVSQRGESVASYYDGFVYPKTSLKQFFSKYEMILENKYKKELQADEESSHRTPLTVTKFYMEEQLAKAYTINMFRKFQEELKATMYCDGMPSKVDGPFVTFEVKECSYMEDGKETESRTYEVYFCKEGLIVECECGFFQFTGILCRHALSVFKLHDMFEIPSRYVLDRWKRDYKKLHALAHYPNEMLLGDTVERHDYLSTQCRQVLNLGFISESRYLVALKLLREAERALLDDGQPARDRQPRLLSFEADAPENGQGLFSSQYPEGEKNSLSTNAKYPDRPAKKVAETNPDAILRSNKEQDFLRSSFVPTETNMIQGTSSGSHLESPDMGVPGSIHLMEGISPNLTFGPHFGMDVSHQHQLPNQPRMMPNNFMQVQTDPHVFGNQWAYNPTMQDNPILRTPTRRAG >SECCE2Rv1G0096120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:432971118:432979124:-1 gene:SECCE2Rv1G0096120 transcript:SECCE2Rv1G0096120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATASAPASASTSATCDAPSASSSCPAAVCLVPFRWWAGVREEAAEEGGVRYAATPAASPSYYGLRLLHSFLHPDLVLRLDRGECSASATSGGSRSYALVPADELSRALARQNSSLALHNKHSFAEDSAGAYPLVLRISVRETSILTVKISKKDNPVDNYKRANKMFNIDSQPVHVWDFSGQTNLILMNEWNRSHQDYCHSEQENLLEVQVYAMSDSLTFKIGGTSMNVDMSCGNFGRVSSMGLIGLENLGNTCFMNSSIQCLGHTTKLVDYFLGDYDCDINRTNPLGLNGELALAFGELLRSLWTNDRNTVAPHNFKAKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDKEVADEYWRNHLARNDSVIVDTCHGQYKSTLTCPTCNETSVTFDPFMYLSLPVPSMAKRTMTVTVFSTDGSREPFSYDVSVPKFGTLSDLVQALSAACSLEGDESLLITEVYNNCIIRYLEEPSDSVSLLRDGDKLAAYRLPKQYEKSPLVVFTHKHFAEHSGVDNFVTPQMKEFEAPLLASLPEAVNGLTLQEIYLKLLNPFRFSSNDCGRGNSDCAVYSMDATGDCAVNLMDTTPSSSDGNVHSAQLDDGPERNQCNDNSCKVMEGPSETYCGEANVSDKEAQTEQFGFYLTNERDDVQHTKIEMNDLDLLEATPNRLHVSVNWQHSASKQYDISMLKNLPEIHKLEVIPKGTEDSVALHGCLEAFLKEEPLGPEDMWYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFSISDLDLSSYIATENEQPKNHYRLYAISNHYGNMGGGHYTASIYQEGKGWHKFDDECVTPLSEDNVKTAAAYVLFYRRE >SECCE6Rv1G0389100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:145964960:145967979:1 gene:SECCE6Rv1G0389100 transcript:SECCE6Rv1G0389100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDASETTVTGWAARDATGHLSPYTYTLRKTGPEDVVLKVKYCGICHTDIHQVKNDLGASKYPMVPGHEVVGEVVEVGPEVSKFRAGDVVGVGVIVGCCRDCRPCKANVEQYCNKKIWSYNDVYTDGRPTQGGFSSAMVVDQKFVVKIPAGLAPEQAAPLLCAGVTVYSPLKHFGLMTTGLRGGILGLGGVGHMGVKVAKSMGHHVTVISSSNKKRAEAMDDLGADAYLVSSDADQMAAAADSLDYIIDTVPVKHPLEPYLALLKMDGKLVLMGVIAEPLSFVSPMVMLGRKTITGSFIGSMDETEEVLNFCVDKGLTSQIEVVKMDYVNQALERLERNDVRYRFVVDVAGSNIEDTA >SECCEUnv1G0554690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:289455759:289462073:1 gene:SECCEUnv1G0554690 transcript:SECCEUnv1G0554690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPRVVLFSSDVDDARETLLNFSLEDPILGRKVIYFDGWNGLGASAVLRSIAMVLSSKRTTKELCFDRIMYIDCSEWKSRRAMQRTIVEELKLDSSVLAILDKNDEEDDFYGVDQGSRGVIQSVSAVIDSTLTSTRFMMIFLNGTDDEVDVTLFGIPQFTVFRNNQMIWTFKRRILTINNRTSEIASKLRYTHSISSVSPRSTDAMLGITECTNAEFEAILHEEANTIVARNPCMLDFNPKLVAECCLYELFLHYNFHTITKSGWATHASNYWMCDGIIQGDMSREITNACQREINWKCDASVLDDFLQKFMRHLESPFLAIKDDDVYREGSYRWISVTSENKELHGLQNIPATTSSFFLAFKTSNQLPNLPNGFFEHSSKLGVLILCCCAFNFTSPPFLKCHNLRFLGLDHCTHDKTTEGENHTTWLCLYSLWVLDLRYTKWNEILSKEKLNLMTNIRELNMEGVWDWQYTAELQGQLSNLQRLRLIKPTCQWDTSEDVDNAFTDNTSMEILDLSGNSDMKNLPTSLSKARSLQMFVLDGCDGLEEIVAPGRIPSSLKSFRFDGCGAASQWTQTIELPPKHVLPCSAGDKKDILISKISLQGCTQLENLFLRGLPNLLELDLSGTAIKVLDFKTMVVQVPRLKRLFLIGCKHLRAIGSSSDSVYRSFERYWLMSELELMCIDTRAPSLCSRPAIDNYKSSGLQVHAVVMDARITRSLGNLFRPCKNLHFDIHIARSPVYDRLVEFEATDKYEIAHSVMSSFRQLVPTGQYTDVLGMVGHPPMQAFPQPPSTKFDRQLEIAEGSCYVEDGICQLEWCAESLHFHDVSSCASIYEWSCAWDDLRLCCVERSPKLEHVFHRSSYGFLKFETLWASDLLTARWIWSKGLRYRSYTKSVNSFKNLQHLHLHSCPRLQFVLPVWVSSFPSLETLYIINCGELSHVFVLDKSYPEEIVTLGVLFPKLTTIHLHDLPKLQNICEVKMVAPALENIKIRGCWSLRRLPSVWAHGRGEKKSAVEIEKDVWDALEWDADHLPDHFEEPVHSRYYKKRLPRVSVLR >SECCE4Rv1G0255040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:643507083:643507457:1 gene:SECCE4Rv1G0255040 transcript:SECCE4Rv1G0255040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARCECCGFTEECTPEYIAAVRAEYMGRWVCGLCAEAVGDEIRRAGARGQRSITTAEALDRHGAFAREAPRAPGKKASEELVAAVSRLLRRCLDSPPASPAAPQGRKVAAGPRCTDAADD >SECCE3Rv1G0204160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:890083303:890086401:1 gene:SECCE3Rv1G0204160 transcript:SECCE3Rv1G0204160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPHLLSPQQLSPSPVLSSHFSPPAQGCSPWRWRHRRAFHPPLSSLRESDKGTLRKASPNLPFRLGGGGGGRSPDDRRSAAGQERKEKEKEREAEADEEGGGVSGALTGTLLAGAVLVGVVGGFGAAGYVYKDQINTFLTHFSGFIEGYGTAGYALFILAYAGLEVLAIPAVPLTMTAGLLFGSVTGTIMVSISGTLAAAVAFLIARYFARERILKMVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGTWAYVSAGAFGRAIIQDETEIGLGGNNQLLTLGIGLLVTAVAATYVTRLAKDAVKDIDDE >SECCE5Rv1G0327760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:496185721:496186743:1 gene:SECCE5Rv1G0327760 transcript:SECCE5Rv1G0327760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDALWAKLWELELQLAAYKLLHAARRGEEDDAGADGAREPAGAGACRRGRQYDAYMRRRDARRVSVAAANKSQQQQQRPSGVMRAGGRPSPLTVKCAARDTPQVRRTVPSGVTITATPRKEHGALPLPRSRTVTGGALEARLQSHRRRNSVGGDMGDCATPRPFLRRGSGTGGAIGNLRSPRVHDLPSGSPSPRRPPQDQFVFVPKVAAGRHLRSVSELPLHATAVAMESPRWAETPRPAQSRARKRWGSLESPPPAIFSAPATNPHMDLAKGLRKLLSYVRKGKSSEHRRSDGGRESGDGKPVKGWTACSVLDGPFERASLEGHRFPMTRAVGTSG >SECCEUnv1G0534460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:41452219:41453656:1 gene:SECCEUnv1G0534460 transcript:SECCEUnv1G0534460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIQQQDAAEEIVIAGAGLAGLAVALGLHRKGVRSVVLESSPERRTSGFAFFTWTNAFRALDALGVGDKMRGRHLKLQGLRVMSSSTGEIAREMDLRVNGKLGPHEVRCVQRNVLLQALEEELPPGTVRYSSKIVSIDDQDGDGVGAKILHLADGSTLRAKVLIGCDGINSVVAKWLGLAKTSESGRTATRGHARYPEGHGFEPKILQFVGEGFRAGLVPWSDTDVYWFFTWSPAPSPDANDVVDRSAVAMKQFVLTKMRGAKVSPEVLEAVERSEMSDVLAAPLRFRSPLSLLFAGISKGNVCVAGDALHPTTPDLAHGACTALEDGVVLARCLGDAIVGAGDGEDRERVVAALRRYAGIRRWRSAQVIAVSYAVGFVQESDHAVVSFVRDKLLSGVLAKTLLMMPDYDCGKL >SECCE3Rv1G0168210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:216271963:216275922:1 gene:SECCE3Rv1G0168210 transcript:SECCE3Rv1G0168210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MFPPPNAFGPPRPPQPPPWQWQWQQQQQHHHQHPHQHQQQPFQPEAAALAAASSFWQRDNVREHLKKLQETVAISSALINELEEIALVRNSSDASAQEPDSSAVESSSGSGVSSAGRPCHFSELASEIKISRDTHESMATDAANYLCSQLQHLLAPISSAINQDGPWAEKSAMVSLAQKLQKSKRNKRWRKRKRKHVAELFQKESAEFDRVDQEADEWRARQIANDIAKRKVESMKQIAKKKANEERKRLESELELALMVEKLQELRSVRVEKLKKQGHFLPEEDDKYLERVKAAVEEEERQAATAARTDAAKDAILTAEESRKAVHHTTAQKDGLGQPKSGSVPEQNQGDASISERSDHASQKTEHDGQKVEIEGPAHSESLTNLPFEFYHYYHGSSYDLGTLIEVRRMWDSFIRPGGSRIPGHWVQPPPPANEVWASYLVQPK >SECCE5Rv1G0350340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:689962201:689963418:1 gene:SECCE5Rv1G0350340 transcript:SECCE5Rv1G0350340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSPPPPASGAQYAHQFLNTALSQRGPSALPYAEDVKWLIRNHLVALADAFPSLHPKAALFTHNDGRAAHLLQADGTVPIHHAGATYNLPAVIWLPETYPRSPPLVFLSPTRDMLIKPHHPLVDRSGLVANAPYLRSWVFPSSNLLDLVRSLSHLFGLDPPLFTRSVAAPSPTPPPAHPAPPPAAASPAMRPEPVYAPVPRPYRFPASPQLAARQPPTEDPAEVFKRNAISKLVDTAYADAAALRTAREAEVDALFAVQAELRGRGEVVNDGVRRITEEKETLERRLQDVVMATDVIEAWVTENRKGAAEDTAEAEGAIQPADVLSRQMLECTATDLALEDTIYALDKAVQEGSVPFDGYLRSVRALAREQFFQRVLCTKVNNAQQQAQVARMASRAPQYAS >SECCE6Rv1G0452050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874926045:874928279:-1 gene:SECCE6Rv1G0452050 transcript:SECCE6Rv1G0452050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANHELVLGHGQDAAELALGQSHHDFGQDHHHHHHHHVLGLGHSHDLGLGHPHDHDLVLGQSQHDHDLVLGHHHDSQLVLRHDHHHGHTSDLALGQGHEDDSMDDGEGHHDLGDLALTEPHPLGDDDNLDHLSLEQAHDLALEPGDDYSQGPLAVAPVVQSRMMVETTEFQLVVGQEFPDVMSCRRAIRNTAIACHFEIQTVKSDKTRFTAKCAAEGCPWRIHAARLPGVPTFSIRTILDQHTCVGINHLGHQQASVQWVASTVEERLRENPHCKPKEILEEIHKSHGITLSYKQAWRGKERIMAAVRGSFEEGYRILPEYCRQVERTNPGSIARVYGNPDDNCFHRLFISFYASIYGFVNACRPLIGLDRTTLKNKYLGTLFLASAFDGDGALFPLAFGVVDEETDENWIWFLSELHELLEKNTENMPRLTILSDRRKGIVDGVDFNFPTAFHGFCMRHVSETFRKEFNNSVLVNLLWEAANALTVIEFETKLLEIEDTSQEAVCWIRRLPPRLWATAYFEGIRYGHLTANVNESQNSWILDASGLPINQMMEVLRRQLMTWFNERREASMQWSTILVPMAERRVQEAIERARGFQVARANEAEFEVISPHEGTNVVDIRNRCCLCRGWQLYGVPCSHGVAALLSCRQNVHRYTESCFTVATYRKTYSQTIHPIPDKTLWNEGQPEEAKLEVIINPPKSLRPPGRPRKTRVRAEDLGRVKRVVHCSRCNQTGHFRTTCAAPI >SECCE5Rv1G0355200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:730345249:730346562:-1 gene:SECCE5Rv1G0355200 transcript:SECCE5Rv1G0355200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALRMPVAPPAAGAGAGVHPSTSPCYIKIRLGKMACQTAAAPLVLSDAAAEQPPSGALAAAFHLSKADLEWFARKPSLFSPSRGAATLKVSVYAGRKGTTCGVSSGRLLGKATISLDLKGAEAKPAVLHSGWISVGKRGVGGKGGSAAAELSLTVRAEPDPRFVFEFDGEPECSPQVMQVRGSMKQPMFTCKFGCRTNSDLRRSVAQTEREAAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGAWLILRPAGDGAWEPWGRLECWRERGGAGSSDSLGYRFDLLVPGVDHAVPIADSSIAASKGGKFALDLTAAQPLSRGSTPGCSPRGSGDFSQWPLGNYRGFVMSAAVEGEGRCSKPTVEVGVAHVGCAEDAAAFVALAAAVDLSMDACRLFSHKLRKELSHLRSDVLR >SECCE5Rv1G0350010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:687852184:687854376:-1 gene:SECCE5Rv1G0350010 transcript:SECCE5Rv1G0350010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLATDVRLSITHQTRFALRLASTISSNPKSAASNAAFSPVSLHSALSLLAAGAGSATRDQLVATLGTGEVEGLHALAEQVVQFVLADASSAGGPHVAFANGVFVDASLTLKPSFHELAVRNYKAKTQSVDFQTKAAEVTTQVNSWVEKVTSGRIKDILPPGSIDNTTKLVLANALYFKGAWAEQFSSYGTKNDYFYLLDGSSVQTPFMSSMDDQYLSSSDGLKVLKLPYKQGGDNRQFSMYILLPEAPGGLSSLAKKLSGEPDFLERHIPRQRVALRQFKLPKFKISFETEASDLLKPLGLQLPFSDEADFSGMVDSPTPQGLRASSVFHQAFVEVNEHGTEAAASTAIKMVPYMAKLPSVIDFIADHPFIFLIREDVSGVVLFIGHVVNPLLSS >SECCE4Rv1G0272000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:765343830:765344972:-1 gene:SECCE4Rv1G0272000 transcript:SECCE4Rv1G0272000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCDRIRGPWSPEEDAALRRLVERHDARNWTAIGRGVPGHSAKSCRLRWCNQLSPGLERRPFTAEEDAAIARAHARLGNRWAAIARLLHGCTDNAVKNHWNCSLKRTLGDLGADGVAEGELEERPCKRASVTPESTSGSGSGSGSESDDRSDLSHGGAFGLGQVYRPVARAGAFEPVDCAMSQRHDEEEQEDPFTSLSLSIPGTGAHGFHHDSSHSHFHQPSPSPSPPPAPASTTAPPTSSYPFSPAFAAAMQEMIHDEVRRYISGVSCGANLPSMPQLVDGVMRAAAERAGRVSTMQ >SECCE1Rv1G0000480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1664832:1666455:1 gene:SECCE1Rv1G0000480 transcript:SECCE1Rv1G0000480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAAAPHVVVITFPFASHAVKLFRLARALAAAAPAATFSFLSTAGSITQLQEKHHDALEANLRFVEVPDGLVPPSSGGTGPVPPPNHMARLGLFLAAAEAGGVSVALESARVAAGGARVTCVVGDAFVWMAAEAAAAVGAPWVPVWTGGPSALLAHLQGDALRDDIGDKAASRADELLTSHPGLGSYRVRDLPDGCVFGEMHLPIVALFRRVAEQLHVPRAATAVALNTFPGLLPDDVTAALAAELPEVLPIGPFHLLPVPGDDRATAADPHGCLSWLDGHPARAVAYASFGTVVTAVVGGQEELRELAAGMEASGAPFLWSLPKEYWPLLPPGFLDLERGKVVPWAPQAAVLQHASVGGFVTHAGWASVLEGVAGGVPMACRPFFSDQRMNARMVAHVWGLGTVFEQPMTRGTVAAAVSSLLAGDGGTRMQEMRGRAATAFAADGGSRNNFDKLLKIVCPPPQEHIPSRAMMMRSRDDDGVDDGAEVTRCAATTHDLLGAHTVAEGIN >SECCE7Rv1G0472570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:144224343:144224774:-1 gene:SECCE7Rv1G0472570 transcript:SECCE7Rv1G0472570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHLLLIVFMASILQAATSDTAYDLLEKNNFPRALLPLGVKSYVNHDGGAVEVTLPSSCDFNVTVAGGSHKIRFDSIVSGVIQPGSITQLGGVRIQFEWDFVAFHTVQRVGDKLRFTGSEHAATFDQSFPVSNFVQSPRCS >SECCE3Rv1G0175910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:436817696:436821272:1 gene:SECCE3Rv1G0175910 transcript:SECCE3Rv1G0175910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNPISVRLDLNRSSDPSRFNVNLRSYFNSIRPPTKLAFGFRLGRCIILYFPKRTFIHFFLPGRSPRLKRKQDKKSRPAVLQEKCWWPTFGKVGPIGCLHSSEGTEEERNEVRGRGAGKRVESIDREKQNEIRIWPKKMQRYGYHDRSPSRKKNFDKSLRVSGAFKWPQYAGVVNDIPFLTGNAAYLLKGNRIKFFLPKKSRSDSPTSHLLKRTLPAVRAYLLKGNRIKFFSPKKSRSDGPTSHLLKRTLPAVRPSLNYSVMQYFFNTKNKMHFDPFLVLNHFVAPGNTLSHRFFLESSTSEKKCLARAKKRLIHFIRQANDLRFAGTTKTTISLFPFFGATFFFPRDEVEVFGATFFFPRDGVWVYKDPFCEYAREQLLAQFRIKCRNLMGKDKVMELIEKFIDLGRIGKLIKGIEMMIEIILRKRRIPYGYNSYLNEVQKMRSFLSNRTNTNTLIESVKIKSVYQSASVIAQDISFQLRNNPISFRSIFSKIVKDIPLIMPKGVEGIRICCSGRLGGAKIARTECGKYGKTSCNVFNQKIDYAPAEVSTRNGISGVKVRISYSQNKKGRAISETYEI >SECCE7Rv1G0456480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:10965081:10966634:-1 gene:SECCE7Rv1G0456480 transcript:SECCE7Rv1G0456480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPIPATRPAPTLPDELIEEVFLRLPPDEPSNLVRASLASSFWLGLLTGTSFSVRYREFHDAPPMLGFFYSWLHADRPCDEGESPVQRFAFTTKFGARIPDVVEWEDYEALDCRHGRVLFENPFGCPAPVFVWDPMTGCTRYLETPDGCSSNGATVVCAVSGCDHRLCDGGPVRVVFFTTDEDDGCVAHVSVALLEMDDAWNESSDLDLELELEWTLSTGLELEARDAFIPEIMPPVLIKDALYFMLSIVDGVRDMAIIKYDMASDGLSLIDLPDVLSNGLPLKDHSFIPMAMGDGNLGFAQVDGLTLNLWSTSFQTGADSLTSWTQHRVIDLKNLLPIQNPKQSLRLIGSVEGSDIIFVTTDLGIYQISLKSLMWKRIWKSEKFSALIPYMSFYNPQERINPYDEAQ >SECCE2Rv1G0138780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:923365127:923369212:-1 gene:SECCE2Rv1G0138780 transcript:SECCE2Rv1G0138780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLASAALNPTTQLGLRNHARPSSENLCLRSAASSRKSKGRCNLIVRSEADSQPAEPIGPVTTKLKPWQIPRRDWFSPEFIFGASTSAYQIEGAWNQDGKGESTWDHFCHKYPERISDGTNADVAADSYHLYKEDVKALKAMGMDAYRFSISWSRILPHGTGKVNQAGINYYNKLINSLLLHGIEPYVTIWHWDTPQALEDKYGGFLDRRIVNDYKHFAEVCFKSFGDRVKHWFTFNEPHTYCCFSYGEGIHAPGRCSPGMDCAVPEGDSLKEPYTAGHNILLAHAEAVELYRTHYNKHEDGKIGMAFDVMGYEPYQDSFLDEQARERSIDYNLGWFLEPVVRGDYPFSMRSLIGDRLPMFTDVEQAKLASSCDIMGLNYYTSRFSKHIDISSNVTPKLNTDDAYSSSEIVGSDGNTIGPKTGTYWIYMYPKGLRDLLLIMKEKYGNPPIFITENGIADVDGDETMPDPLDDWKRLDYLQRHISAVKDAIDQGADVRGHFTWGLIDNFEWGSGYSSRFGLVYIDKNDGLKRKLKKSAKWFAKFNSVPKRLLGTTKPIGQAPM >SECCE3Rv1G0205410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900194852:900195817:-1 gene:SECCE3Rv1G0205410 transcript:SECCE3Rv1G0205410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARYSLLLTAALLLALSHGAHGHGGAGAGLSSSFYDESCPGGGDIVRRVIQKARVTDARIPASLIRLHFHDCFVQGCDGSLLLDNDLPAIMTEKEVPANDRSARGFKVVDSIKRALENACPGIVSCADILALASEISVELAGGPRWSVPLGRRDGTTTNIESANNLPSPFDSLETLQEKFKNLGLDDTDLVALQGAHTFGRAQCQFTQRNCSAGQDEETLVNLDAVTPDVFDNKYYGNLLRGRAPLPSDQVMLSDPVASATTAPIVRRFSGSQKDFFKNFAASMVKMGNISPLTGRAGEIRNNCRRVNRKPY >SECCE7Rv1G0505440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:735547461:735552613:-1 gene:SECCE7Rv1G0505440 transcript:SECCE7Rv1G0505440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSRGGFPAWMTAAAARIDLSGGAAATSGSQPPQPGPSAAPVADQELGMAERALSAASAAFISAIIVNPLDVAKTRLQAQAAGVPYYQAPQMAALGPDAILSDLRCSPSCTRGIILGSEPICPPDCFQYKGTVDVFLKVVRQEGFGRLWRGTNAGLALAIPTVGIYLPCYDIFRNKIEDFTRSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFQPGVKPPGMWKTLLGVLSPHASSSQNVQNYRVLWTGVGAQLARDVPFSAICWSTLEPIRRKLLGLVAEDGDAASVLGANFAAGFVAGSLAAGVTCPLDVAKTRRQIEKDAQKAMRMTTRQTLVDILRSEGPKGLFTGVGPRVARAGPSVGIVISFYEVVKYALHQRNMS >SECCE1Rv1G0018650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:204323620:204324150:1 gene:SECCE1Rv1G0018650 transcript:SECCE1Rv1G0018650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATQESEQQAWQGAVEALLPSTPAAAAWPHLASFCALHRYLSGVDVCERVAGEDGHPGCVRYVASRVALAPGEEYQDQHEAAPAAIATWAREELLELDDAARRLSYAVVGSNMGFGRYVASMMVVKEETEAAGCKLVWEFECEPVQGWSRDGLVGYLETTVKGMAARIVEAAAD >SECCE5Rv1G0307070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:104789172:104794436:1 gene:SECCE5Rv1G0307070 transcript:SECCE5Rv1G0307070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSVNRKVSMTHMNHASSRSHSILTCTIESRWEKDSISNTRYARLNIVDLAGSERQTSSGAEGERLKEASNINKSLSTLSHVIMSLVDLTHGKKRHVRYRDSRLTFLLQDSLGGNSKTLIIANVSPSLCSSNETLSTLKFAQRARLIQNNAVVNEDALGDVRALQDQIHVLKEELAVINLEHVPQTHGALEAEEQRKAEVVCHLCKDRESAVCELQMLQSQFTKLLQEKENAEECHLRSQRTIKDLSFEVLELKSEIINKEKGYEARMKELEIDMQEKDCDATASLILWHKEKEALEFELSEAKCLAQQKSIEASILLAKFQEAQATIADADSRVKAVVEVNENAKHQAEKYKQKESSFNIEKDALLSEVSSLKMLLDVKELTYLDMEKKFRSSLLEANEVALELEDGIRHLKNLLAENLEFVSSDIEWMKLKLQQFAELARAWLEENCLEIIGKDYAVSVLHLCHMEILSERITWLHAENDLLQRGIRESDSSISMLREHNDKAKNELEMCSVLKRKLLLDINSSFCRIAKKEQEATELSSRLDSFGKKVMHLQAQEEAMLARSGCMYNELSVLTEEIDATNRSSLAAQSKEKEELHNQLDNALFVNRMLKDTMLEVASLPEVNSGIPANGINGCNEFELCSWLVNYHHELVMINTIKNDIESFVFASELEQHKVQLQKQNLMFTEVLERMKTEVTLWRVDQDLGSVAIYDLHEENSNIMIDLKNLKQDKDEDMESLLTMSKENPKLRYVVDSLESNIRSLQTDRDGKTKALMELQCSHAALCKELELKAKVIELGISIENALKSENDSLRHGMLHILRKDKRMVDLASTINMEKLSVSIQACLEQITAQVQMYIDEQSTMMMKLSNDLNLVQLSVEELSTHNSFLQSELARKDELAKGLSFDLSLLQESASVAKCQADQFIELAEAIKSLEHEVASKSHDLDNLVSGSQPLEAQIMKSNEKISVLEEQLASTVGELNAVSVENTELRSQLNYIERISYTRKEELAHRSNSTERMEEGLIELRNLLDERNNLSQNLQNDFSKLSDEKQYCDSQVLMLREKLEMSQAVAEKSEAIAMDARQIADERKTYAEKKDEEVKLLERSVDDLGSDVCALENLVRDIKKEAERQRMHREELEVELQKVRQQMSAVPSSGEAGSSVEDKRIDLTDSFRHSRDVHYENELDEQQGNNHQSIKLPDKRVTKISRSKSELDMHCRQQPMSNEYPRVQHLMEKLKRKQAAIQKARNYKNPSRDFSWVNSLG >SECCE5Rv1G0332790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:551931371:551934325:-1 gene:SECCE5Rv1G0332790 transcript:SECCE5Rv1G0332790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTVLRRGGAAMRQASLSEGSTGNLLRLSVAERERSRRRRRDPGRDEFFVPTPESLKWLDSVTLPMILTAAAVALFTKLLMMEHEATDQERRERKIKNSHPEQGTVRMLSREEWDEIQEVRPRTPFESKLARPHARLRTGEKVRLEDAKDWAVDVLTDAFTRAEESAKRK >SECCE2Rv1G0079010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:113687356:113691273:-1 gene:SECCE2Rv1G0079010 transcript:SECCE2Rv1G0079010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSAAVLLAAVVGLLLVSAVRGEDDCGSPESAAHDRARARPLKIAAFFSILICGALGCSLPVLGRHVPALRPDGDVFFLVKAFAAGVILATGFIHILPDAFENLTSDCLPAAGPWKDFPFAGLGAMVGAIGTLVVDTVATGYFTRAHLNKDRAHGSSAAVVDEEKQAASAAASEEARRHHDGGEHDGHVHLHTHATHGHAHGSSALVAAVGGAEDEKDTIRHRVISQVLELGIVVHSVIIGISLGASQDPETIKPLVVALSFHQMFEGMGLGGCIVQAKFRARSIVTMILFFCLTTPVGIAIGFGISRVYNENSPTTLVVEGSLNSVAAGILVYMALVDLLAEDFMNPKVQSKGRLQLGINVSMLLGAGLMSMLAKWA >SECCE2Rv1G0080410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:129679460:129679693:1 gene:SECCE2Rv1G0080410 transcript:SECCE2Rv1G0080410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDDRGLNLPCFYDNVGTRVQPERNPSRIHAFLQAHREIEDATTHGRLWDDLVEHHWQLDGRRIGP >SECCE6Rv1G0412760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:617014046:617015525:1 gene:SECCE6Rv1G0412760 transcript:SECCE6Rv1G0412760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHSSLPLFLVLLGLSASLASGQVLFQGFNWESWKHNGGWYNFLMGKVDDIAAAGVTHVWLPPASQSVAEQGYMPGRLYDLDASKYGNKAQLKSLIGALHGKGVKAIADIVINHRTAEHKDGRGIYCIFEGGTPDARLDWGPHMICRDDRPYADGTGNPDTGADFGAAPDIDHLNPRVQKELVEWLNWLKTDVGFDGWRFDFAKGYSADVAKIYIDRSEPGFAVAEIWTSLAYGGDGKPNLNQDPHRQELVNWVNKVGGSGPATTFDFTTKGILNVAVEGELWRLRGTDGKAPGMIGWWPAKAVTFVDNHDTGSTQHMWPFPSDRVMQGYAYILTHPGTPCIFYDHFFDWGLKEEIDRLVSIRTRQGIHSESKLQIMEADADLYLAEIDGKVIVKLGPRYDVGHLIPGGFKVAAHGNDYAVWEKI >SECCE7Rv1G0493050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:562259822:562261936:1 gene:SECCE7Rv1G0493050 transcript:SECCE7Rv1G0493050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKKGGSSWLTAVKRAFRSPSKEDSPKKSARLREDPDADEDKTKRERRRWLFRRSSSPSPSPSPASAPAPPEQQQSAARSAPAPAVTDEQRHAIALAVATAATAEAAVATAQAAAEVVRLTRPSSSFVREHYAAIVVQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRMRLSQESLSAAGAAACGSSKSSYSVDTSAFWDSKYTQEYAERRSVERSRDGSSFAAEDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQIWRNPAPSVEEEMDVDGQPRWTERWMASRASFDTNRGSARTAAAAAAAAPGRASTDHRDQVKTLEIDTARPFSYSTPRRHAPPSQHGNGSPMHRAHHQASVTPSPGKARPPIQVRSASPRVERGTSGGGGSYTPSLHSQRHASSGSAVPNYMAATESAKARIRSQSAPRQRPATPERDRPQTAYNPAGGSAKKRLSFPVPQDPYGGVGYAQSLRSPSFKSATGRFTSEQRSTVSSLSCAESVGGEPVSPTSTTDLRRWLR >SECCE3Rv1G0160550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:105205654:105206792:1 gene:SECCE3Rv1G0160550 transcript:SECCE3Rv1G0160550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCQSPQEGNELMAYDACDPMVSRGPALLPRQATSAPPAGLPELSTTSSSTGSGRSATEARALKVHSEAERRRRVRINAHLTALRRMIPDTKQMDKAALLARVVDQVRHLKRRASQAAQLTPAVPPETDEVSVEFCCTGDTDHDSSGLYIKASVSCDDRPDLVAGFIQALHGLRLRTLRAEVSSLGGRVQHVFTLCKEDEGSAGRAGLNNSLKEAVRLALAKVASPELVCGGSSGGGGSLFQLQSKKQRILESHYSIMSI >SECCE7Rv1G0493830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:575357662:575358609:-1 gene:SECCE7Rv1G0493830 transcript:SECCE7Rv1G0493830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMTHNSSSSSSWDLDMSLGSHHHPLLFDHPTPTPPAPPPPPLSFHLHPPPPPPPHQHHQHHQLAIDPSPSSSLFPPPPHHHPHHLHHLDLAVDPHRHHHDYQRDQHPDEMQQRPPPGMEEGSQQLHHQDAVDEAEEELGAMKEMMYRIAAMQPVDIDPATIKKPRRRNVRISEDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQDLQHQPAPPQQQHYPGGGGSSGAGPSSTAAVAGRPAFLPLGAGSLIDWAGLTRQVDIHGPTSSSSSSSMGGALGFGFSTGGQSSHGMH >SECCE5Rv1G0375870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869790739:869791473:1 gene:SECCE5Rv1G0375870 transcript:SECCE5Rv1G0375870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFALPLATRYRDYNLVVHGWDREVYEARSWVGLNAGVFLIRNCQWSLDFMDAWASMGPASPEYARWGKTLMATLSNKPDAESDDQSALAYLLLKNRDKWGARTYLEHEYYFQGYWAEIVDRLDGVASRYRAAERRFGPALRRRHAEGEHALYAAARNAALRKKAGGVPGPDGGGQKKSYWRRPFVTHFTGCNPCGGKPNEIYSNESCADGMRRALNLADDQVLRAYGFRHAGPLKDDVRPLVS >SECCE4Rv1G0220230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:36585230:36589607:1 gene:SECCE4Rv1G0220230 transcript:SECCE4Rv1G0220230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQFNSSLWVQEGDVHEHQGLGQEQAAVMGQEAGNHHNQNLLPMPSGGGGGLGAPPMLIEDSWYFALGPVGDAAGNGSMVQAPAAMEASGSSSGFGAGSQMFPLLNPGVGGTGPLDVSGFDVDISGVGGGDFSAFLGAGNASNASLLPHGSTDFLGSFGGFGTAPAQTTDFGGLAGFDLFDTGAQGWSGPSSEGPAAPASQTAPFSGQGNAAVLRPLENLPASGAQPTLFQKRALRRNAGEEDGERKRKAAEPDIILDDADDDIISIDASGLNYDSEDGRDVEESARKDGTESNANSTVTGGAAAEGKGRKKGMPAKNLMAERRRRKKLNDRLYALRSVVPRISKMDRASILGDAIEYLKELTQKINDLQKELESSPSTSSLPPTPTSFRPPTPTVPALPSRVKEELTSSAAQQPCVEVKLHEGRGINIHMMCPRRSGLVHSSLKALEGLGLDVQQAIISYFSDFTLDVFKAEHCKDGPGPQPEEIKAVLLQSAGFHPTV >SECCE5Rv1G0340580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:615735271:615735966:1 gene:SECCE5Rv1G0340580 transcript:SECCE5Rv1G0340580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSDLQRSSPSSPSSSSHLKRPAGRTKFKETRHPVYRGVRRRGSAGRWVCEVRVPGKRGERLWLGTHLTAEAAARAHDAAMLGLIGPSTPCLNFADSAWLLAVPSALSDFADVRRAALSAVADFQRRETASGAATVPVDDGTCSQSAQSSMENTGSSWTSSSLSSGDGMFEVPTTLGCDMFELDMSGEMDLDTYYAYFAEGLLLEPPQSPVVGACWDTEGGGADAALWSY >SECCE7Rv1G0505940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:742564161:742568075:1 gene:SECCE7Rv1G0505940 transcript:SECCE7Rv1G0505940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGWDINITIFGHDNTVFTLQSCESNFNCFVVLPFQTGEEALDSLKRGVAKEEELDLIVAEVHPGNTEVGTLRLFHHILNELEVPLITMCGYDEAASARMTLGTCFNVVKPLDTETVNFLRMRALQHRSIKSHRSETKDEEQDALTANVYSYNLGRLIWSSELHEKFLQAVEVLGSSATARKIHQYMNAKDLNLTIQHVASHLQKHRLRAQRQRLSHYEEGYQHYASIKELSEMISLAYKADSAKPNNHPATTQTQITHGVASAIWDKYPGMVWPHVEGSSAASPMWYNYPRKPWRQVGESSARARVSQTNARSPPVLIHGTKSIWDRYEENLKYYNESLSYKREVLPVKSKALDGYGCKIFRKREETSRTAASGKIVINMESDDMQIDTTDDVYAAVTPQEDTMDEVHATVTLQKDTMNEAHAAVTLQKDTMAEAHASVTPHEVNEVPPAAMGAGYLVDLAGNALLDNYQPAAENVQSEPFSDWEEVEKFWKNQMGGQGQEQQGLEPVDLLQIDGIDPEELLQEDKAWNQALQPANPANVVENAPMADEPAAGDALAYDPANQYGIADNVLWVWSPQFAGDDYGMPLPA >SECCEUnv1G0562660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:367718026:367719995:-1 gene:SECCEUnv1G0562660 transcript:SECCEUnv1G0562660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEALPLKLSVGRDEDRISALPDELLLRILERLDLPEAVRAGAVSKRWRHLPHQLSLLTLHISRSQVETMDAFTAALMSAACPPADRNCECQRSRAIQFQALRLGFYLSAPHLTSIGSAVADVVTHGKTKCLEFRICPPPGDYTVTQYADFGEQFMSFSRACQVAFRWLTRLCLKNLVFGDTDVTDLITACDKLECLTLRSCTLVDEHSALKIDTPCSGLEELEFIHFVCARIELISVPKLRRVSCFGWRFENPPVRFDCVPELRDVDFGCRARTWQAPFVLSECLSRSVPNLSKLHLDFFGQMIWIQPEQSKQLTGIFGNLTDVRLLAIFPECDLSWTLFILEAAPALQSLSLSRDRHSCVTFEDTAEKTNVVWEPSKDLKHLNLKVLQISGFKEEGKVANYIRLVMKCAEGLKRIVLLGELRLCKNCNDRERKGQVDEARRRRVKEQLARGSSSSVELIIR >SECCE2Rv1G0067100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:17572822:17575892:-1 gene:SECCE2Rv1G0067100 transcript:SECCE2Rv1G0067100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGTLSYLPLSVATVLFALVLLGSLRKSRRERGLRLPPSPPSLPVIGHLHLFKKPLHRALASLAAVHGPVLLLRFGSRRVLHVADPAAAEECLTTHDVVFANRPRLPSARHLSNGYTTLGSSSYGANWRNLRRIATVELLSAGSLLRSAAVRATEVRLAARRLFLEATGASEPSPACVDVKARAFELALNVVARMIAGKRYYGGDGDVPESEAEDAARFREMVREYFAMHGASNLQDFLPVLGVLDIGGAKRRAVRLSRKRNEWAQRLIEEHRAAFADDEGRRSRGGRTMVGDLLNMQAADPEGYNDKVISIFIIINTLHIHINSSCQSLLQQSILQTGTDTSSSTIEWSMAELLNHPDAMAKARAELDEVVGTGRLLEEADLPSLPYLQCIITETLRLHPIAPLLAPHESSATCSVAGYDIPAGTMLLVNVHTMHRDARMWEEPTRFSPERFECGRGEGKWMLPFGMGRRGCPGETLGMKMVGLALGTLVQCFEWRRVGDEEVDMAEGSGLTMPMAVPLEALYWPRAEMTPVLRAL >SECCE2Rv1G0109740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:680206174:680207538:1 gene:SECCE2Rv1G0109740 transcript:SECCE2Rv1G0109740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVLPAALTVAFLLLLGTIGAAHGQLQMGFYSDSCPDAEDIVTNAVQEAAASDATILPALVRLQFHDCFVRGCDASVLITSVGSAAEVNNNKHQGLRGQDVVDRAKEELEEQCPGVVSCADIIALAARDAIAMTNGPSFEVPTGRRDGLSSNVRDADVLPDVSDSIQVLRSKFAASGLNDRDLVLLTAAHTIGTTACFFVKDRLYSFPLPGGRTGSDPSIPAAFLSELKARCAPGDFNTRVPLDRGSQGTFDDSILRNIRAGLVPIASDAALEASNATGTLVGAYLGAASSSFAQDFVGAMIKMGTIGAITGDAGEIRDVCSAFNTN >SECCE6Rv1G0419750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:672787464:672795387:-1 gene:SECCE6Rv1G0419750 transcript:SECCE6Rv1G0419750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum (ER) stress sensor, Transducer of ER stress, ER stress respons [Source: Projected from Oryza sativa (Os07g0471000)] MRSLRRVLLPLVLLSGLAFRGARFEDDAAAAPAPLLLPLPLPPQQPAPPLALPAGGGRGDKAGSTEIVPVEQPSLGELLVRPPRRRPVPSNAVKNPDVGPGISSELRFYDNGTIQLVDRLSESPLWQFSTGPPLSKHITTTNSDLSYLIYPLDESDLVEVHNGTGVKLPWELEEFIARTPYIRDSVVTIGSKASTTFAVDADSGEIIYKHSLPAALNELAVPVGEAPSKLDVGRSSNVIVVVRTDYSLSASDLGVHLFNWTRSSFSANYYVKQSHPNMLEQSSCLQENIPCIRTDGVPLKLTLPDSSTAANALVLQDVNKVTTRDGADALRQLQTLVIPQQTASKSVVALNGTLNQTVDGAVGHLVPADPQTNRFTNNAYGLLFPVLTLLLVLTWLVRLAYSSKSCKQFMSVLMKPFVREQKSIDLRGKSEGTSKRRKTRKKDGRANSTEIGSASDKESSGTGGSNEMLYALPDGLDGCQIGKLRVHKKEIGKGSNGTVVFEGSYDGREVAVKRLLRSHTDIAQKEIQNLIASDRDPNIVRLYGCDQDDNFVYISLERCRCSLADLIQQHTDPSFSDVEKIDVELWTQDGLPSPQLLKLMRDVVAGIVHLHSLGIIHRDLKPQNVLISKEGSLSAKLSDMGISKRLQEDMSSLSHHGTGYGSSGWQAPEQLRRASQTRAMDLFSLGCLIFYCITKGKHPFGEYYERDINIINGNFDLFVVDHIPEAVHLISLLLQPKPDERPTAMYAINHPLFWSPELRLLFLRDTSDRIEKTTETDLLNAMESIGHQAFGGKWREKLDDGLVADVGRYRKYNFESTRDLLRLIRNKSGHYRELPADLKELLGSLPEGFDRYFSSRFPKLLIEVYKVMSVYCKDEEDFRKYFIGMSA >SECCE2Rv1G0142240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:939181966:939187229:-1 gene:SECCE2Rv1G0142240 transcript:SECCE2Rv1G0142240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIAADECGKESDEETSPIEEVRLTVLPGDDPTLPVWTFRMWSIGLLSCALLSFLNQFFSYRTEPLIVTQITVQVASLPMGHFLARVLPRQKFRAPAMLGGGEWSLNPGPFNMKEHVLISIFANAGYAFGDGHAYAVAVVNIIRAFYRRSISFLAAWLLITTTQVLGYGWAGLMRKYVVEPAHMWWPGTLVQVSLFRALHEKEESPKASRQISRSKFFLVALISSFAWYVIPGYLFPTLTSISWVCWVFTKSVTAQQLGSGMKGLGLGAFTLDWSTVSSFLFSPLISPFFATANIFVGYVFFLYAIVPMAYWGMNLYNAKTFPIFSSHLFMSNGSAYQITDIVNQHFQLDTDAYTKLGRINLSTFFALAYGLNFTTIASTITHVGIFYGKEIYHRFRASQQEEPDIHTKLMRKYDDIPAWWFYSLMVLSMTVSLILCTVLKDQVQLPWWGLLFACGMAFVFTLPISIITATTNQSPGLNVITEYAMGLIMPGYPIANVCFKVYGYMSMLQAVAFLSDFKLGHYMKIPPRSMFIVQFAGTLVAGTVNLSVAWWLLGSVENICQDQLLSPDSPWTCPGDRVFFDASVIWGLVGPKRIFGTHGNYEAVNWFFLIGAVGPVIVYIFHRIFPRQKWILMINLPVLIGATAQMPAATAVNYNSWLLIGTIFNFFVFRYRKTWWQRYNCILSGALDAGVAFMAVLLYFTLTMENRTVDWWGTAGEHCPLASCPTAKGVDLGLDSLCPVF >SECCE2Rv1G0114010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:728498820:728500141:1 gene:SECCE2Rv1G0114010 transcript:SECCE2Rv1G0114010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCEAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRLSLLHPSPSSSSSPSSKPPLCDICQEKRGFLFCKEDRAILCRECDVQVHTASELTRRHGRFLLTGVRVSSAPAESPAPSGQEEEGNSASPCNADSCSGDASASASASDGSSISEYLTKTLPGWHVEDFLLDDAAAAVSSNKPYQARTGGPQEGYPAWAGQEQLVGGVVVAAGERASRELWVPQMHAGAACAGSKRPRPSSLGSSSYW >SECCE1Rv1G0023160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:297607942:297616523:1 gene:SECCE1Rv1G0023160 transcript:SECCE1Rv1G0023160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSPPPPPPATNSSAPPFKTNTPSPNPHGAPAARAPSSPSAAAPPPPMSSGPSHLVLCVRDVIGKLRARGHLSGLEIPDDELTEAGAPALFDTVLAAFLAEGRVSAGLPLLPKPLVLGKGGLVDMLPLYLAVRSRGGFAAVTSWAAIAEAVGLQPAADAPIKLVYAKHLWLLEQSIGKPERQDEVAGSSRNAAHRSNAKKDKFLSSHKDHASAGSAHLKRKREVPLQLLNWVRLVAKNPGEHGAGQNHGSQFSSTLMLRRLMFENIDCSKLPYSTTSPQSGLTNEEQPQYDGWDDRLCAGGNSDRILHARTRLSGLADVPDWTGKPSLPYDEPHVLRFLGQPLLPALSNESLDADAIGKGRPDNCNCQIPGSVACVRFHVTEKRIKLKRELGSAFYAMGFDRIGEDAALTWRRDEEKKFNAVIQNNLPSSRYRFMEEVFAAMSSKGRKDIVSYYHNVFQVRRRAYQNRLTPNDVDSDDDSLEPGFLHLRQGGALGNFMSASSSGNQRGS >SECCE5Rv1G0317710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:351467750:351477944:-1 gene:SECCE5Rv1G0317710 transcript:SECCE5Rv1G0317710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPTESTRGSHPPAPRASNPSPSPRHGTASRRGSRSSPRASAAGPGVGREGARTDTVGFIGQSVDTSQAVVGLKISGEEDDPYTQEVSDVSECQSSGQGSLGLTVHSGGSVQADVQRLELVGSLEIDHSSDGLQGNGALMASRKNQAVNANYLLNFQYDPISRPQPRGPRTYPPRRQRKIKPYNKDLFLQANFKFVVLDTGNYQIDSMDPDKMLQWEDIICVRYCSPSEVQCPICLESPLCPQITSCGHIYCFPCILHYLLMGKEDYRGECWKKCPLCFMMISAKELTTIFVTQLQHFHIGDNVTFTLLGRSKNSLTPFLRNSSSEYSSLDEDPCNVFSKFILTSDVELSVRGAKSDLSNWLQMADLGLVDDLEKLPYVSAALEQLEERMKYWSEFRYYSSSPPLKNSSSPISSNKLKNTDNLKASHTNSGHKLSPLPDGDIITGVSGLSVSPQYISSKKGVPQKMEERRAVAVDSNEQDSYTFYQVIDGQHLILHPLNMKCLLNHFGNSDMLPPRVTGKILELETITQSEASRKRYRFLSHFSLTTTFQFCEIDLSDMLPPISLAPFMDEIKRREKQRMQTAKKEEKDRVKAEVAAAAQESAMRFEYTNFSRTQSDVMFSLDDFEALGNNAGPSTSPPVGERKLFSDVTRLGFASAQDSPPLRAETGDTNNESTRDQGPAAASSVSFASILSSTRAAVDSSVEMPKPNAVGKAGKKRTKVLLSTGGGRRY >SECCE5Rv1G0360430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:769702970:769703866:-1 gene:SECCE5Rv1G0360430 transcript:SECCE5Rv1G0360430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFPFSFRMFLAKSKELMQYQVMEGRKDMQESYTAPVVQVPREPAFVINGVPDFPPDFASGSQLAVSDAPRSRVDYRFGEWLVERKVRKLFEDKYYEGIVVRYDSVNNWYTVVYEDGDQEDLEWHELEEILLPLDITIPLNTFVMDKFKHQNVAPDYRTNVATNQMVVRAVNGQQSNNLPLPGWLQASASAGENALVCLKPGDQPKKRGRPRKDTSTSGDIQPKKRGRPRKDIISTSGELSKQRSTSGDIQPKKRGRPPKEPGEKSIDRLKLDTVRAEKLKRESMLLRGPPPGSQSF >SECCE3Rv1G0156450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:73001854:73021024:-1 gene:SECCE3Rv1G0156450 transcript:SECCE3Rv1G0156450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPPHLDIDLNEPPPPSPSPPPSPAPAVLQSPPREFAAHTAPPPPPPQIPPPANVHAQSLLPHQARELALAYHRAESWRLAAATASAPATAGSSLEVPPPPVLRSPAFVPPPLRPLALRSPAFAPPPLPPPVLQSPAFAPPLLPPPPPPPPQLPPPADVQAQRLLAHQAGEIARAYHRGEWRRSAAPAGSSVEVPRPAPAPHLCAACGLPELPGSTIICDACERGFHQGCVNVVRRPPVAVKEGWMCPECAVGAVAVQDDITRQVSVADGARLTELATPHFEGLQSNNTTPFDGNHSMAASSLPRCFSMRQQFPPVDQNFIADGNIEQSSNHTGWRRSDFPQKSAFRKFSEKHEFGSSSTFMEPSFFTEAPDPSRKETRNPPKPPKFLAENCNRQAHHGSVGLPVQYQDFFITSLGEIDKQASYHNCHQIWPVGFTSYWHDRVTGSLFECEVCDGGSSAPLFKVRRLPCSLFPLPEASTILSQNGARMADTTATKESGSFIGDTANDMDDDLYMIIDIPSETKQDLLSCLTKETEDKRSSLVCNDLHNSNTMSQILPSNSENVPASKEANINDQIGEFTFVGTSSSSVWGMISSAMVEACEKMYKEHGHLVFSCTHSSENHLFNNGSGCQNFDGPYAPLTRFCSSNGPSIPRVTEKKNDVESTYTLLKNWLYNDRIGLDLEFVQEIVESLPRSMSCINYQFLCNRAEFQSSLTVASGLLLYVHKDGQSNGDTPYGRHGAVTGLQDDAQPSASTIRNLPPGRPISHKLQPESAADVFQIWEFLGRFAEIIDLKEVPSYEQLEDELADPWPISASQKETLSKVIQECRDYSSPMNSPANASISHSNSESGLSNNEEIVSVFIPVETSSMKEARLDKLATQTLGRCTGTILPKVHLALIKVLFGEVLSKLNIDPKESRPRRGRKDTESLILTKEFSFDMLTANKLTWPELARRYILAISSINGCMDVSSSQEGVKLFRCLQGDGGILCGAVPGVAGMEKDAQLLLEAENLICSSLLTEGNKYSAEVPSADNRTLPDWAEALEPVRKLPTNVGTRIRNCVYEALDRKAPEWARKILEHSISKEFYKANASGPTKKAVLSVLSEAFRVTVPQKPEKPRNERETISISEVIVKKCRIALRRAISSDHYKLLENLLESILVNSNEYEDEGILGFSGMVPRPLDFRTIDIRLAMGAYRGSWESFFEDVQEVIHNLYTACADRTDIVEMVLEFSKSFELHKTEVLNIVQKFDCYLSRENTGSEIPEELQVILAATSKLPKAPWEYGICKVCGVDRDDHIVLLCDECDAEYHTYCLTPPLTRIPRGDWFCPSCMLKPEIFKRQRKGAESRAFHGKPSCVSAQRKSHFVQGVRHLKPQQKGPYHDMLIKLAATVAEKEYWELSTQERIHMLKFLCDEMLNTVLIREHIEKCQDKFSDLQKKFYALNFELKDLKHKEEMRTSYGEMDTLSDQISKVQESIGTVESQLNMASLRRDFLGKDSLGRLYWVLGRAGKRPLLVADGSMLISNERNPPSTSDCKGWNSASVVVYELDEEIRSLVEWLWEYDPKEKELKRDIQQYWQRQRHFHHLGNFVLSDPPVSSKGLSNSSEQQLTELPSTKAAAILGKTCRCDCLEPIWPAQHHCTACHETYFTSTDYEDHAGKCSTSESTKPMNSCPYDFEVICRNFATNDSNKEIVKDIGLIGSNGVPSFVPSRAAFIDPPVILNKSKNQDDISNDDLVSSCLEECQSMSSTQKLGQEGSNSAQNCTSCDENVSKTKEPAPDTDKPTRLLAVNGGLVPESSLKPVTGRNNLVLKQQKINLLDIHAALPEEALRASKSQLIRRRSWRAFVKHAQSISEMVVATSILENTIKSEFLRNDWWHWSSLTVAIKTSTVSSLALRIHTLDNCIIYTKEPNTVPADMGRSRKEPEASAM >SECCE7Rv1G0470330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:119123097:119124104:-1 gene:SECCE7Rv1G0470330 transcript:SECCE7Rv1G0470330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDQVLSALGGGGKAVAASAWSGHGSNITRQIVKCTRWQLEETTDLITCPYHYYCDSSYPGDYSAAVGVLVAVLAVYCLLSAAAFTVAEIVRAGGGGSATTAGGVGRIKRKYLVPSGPFLMPLVLLVLAKGQRINAVFPLARLGPALLLTLQASALAFRNEADGDVRYAVLEASTVSGILHASLYLDAVVLPYYTGTDALRWSRFSGKCATCLCRMEPLVVGGRTVLYRGLSKTALAIIFALCSRMVCRIYGEERLSAWTRSALEVASWLFVSCDAVYLAGWVIAEGAMASAVVYGLVAGLVFLCVFGKVYRFLAWVENRQVQWKPSLTCHNVV >SECCE4Rv1G0293560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885995138:885995779:-1 gene:SECCE4Rv1G0293560 transcript:SECCE4Rv1G0293560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCPADCGDADCLCDCFCCCLCDDRSRGSGHTPHRCLLILLLLLLLAAILVAAYAFVLPVRIAVEDASLARLALAGPNGTALAYDISLAVAMHNRNWAMHARVGAPLDAELLFAGERFASIRMRGNSHGNIRPWKTEVYNVAASGESAAPLGSAGVAEFVKESAAGGVFRLQLKLTGEVKYPPHGNAHRLEATCPLELPLSSPARFKKIKCV >SECCE1Rv1G0027010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:376750067:376756954:1 gene:SECCE1Rv1G0027010 transcript:SECCE1Rv1G0027010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSHRPCNLLCPVAPYAAAAPRPQQRPPHLLGTQPPRLLSPPRRHSEARLLSCMALMTTSSSPTANPQPFKLILGSSSVARKNILNEMGFEFQVMTADIDERSIRREDPDELVMLLAEAKANAIMSRMDISDYQKEGDQPTLLITSDIVVVHEGIIREKPSSKEEARQFLKGYSGGHVSTVGGVVVTNLTTGKKLGSLDKAEVYFYDIPDEIIENLIDEGVVFRVAGGLLLEHPLVLPFVEAVVGSSDSVMGLSKDLANKLIHEALLA >SECCE3Rv1G0167650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:206218144:206220809:1 gene:SECCE3Rv1G0167650 transcript:SECCE3Rv1G0167650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGNVAQQLKQMTDFIRMEAVEKAFEIEAAAAEEFQIEKLQLVEAEKKKIRQDYEKKEKQVAIKKKIEYSMQLNASRIEVLQAQDDLVKSMMDSARKELLYQSRDHQSYKKLLRILIVQSLLRLKESAVILRCRKEDLELVESVLESARNEYAEKANVYPPEIMVDRHVYLPPAPSHYKEHDLSCSGGVVMASRDGKIVFENTLDARLEVVFRKKLPEIRQSLIGQVAA >SECCE2Rv1G0123630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:825928561:825929739:-1 gene:SECCE2Rv1G0123630 transcript:SECCE2Rv1G0123630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAIVGTEPTWVPYEPTRDCSQGLCSLYCPQWCYFIFPPPPPFDLAGPGPDDSSGHVFSPLVIAIIGVLATAFLLVSYYTFISKYCGTFGSLRRRLFGPGSGGAARGGGGSGSGHGQSRSQESWNVSPASGLDETLINKITVCKYRRGDGSVLHTTDCSVCLGEFHDGESLRLLPKCSHAFHQQCIDTWLKSHSNCPLCRSNITFVTIEVAPPEPEGCAPGDDDRNSHEVVVEMDPLENMCEEQQDAASNGGVRAERDDHEPEDSREGTEEEEEGNGTAEIREEGVPPPKTGSSLHRDSRMSIADVLQSTMEDELIAARESGLLAGGAGTSRRCRGENSSNGRGRNRRALQDDSVPPMKRLPPGGRSCFSSKSGRGVVVDSDHPVRGG >SECCE4Rv1G0262340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:706917131:706918147:-1 gene:SECCE4Rv1G0262340 transcript:SECCE4Rv1G0262340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSSSLLSLLLLALLLLHHCSAAQDRSSAVVVNITAVEAVVRDRAFELLHRTDKLVGVPLTACPSACGVLVEVQASALRVRSSSLWADGVNATAAGTAGFTVPPRVVPSPFARRVDVVFERFLGNSSAALFAPPRGYALAAPVAALLAYDVSFGNNGSRAVALRALGGPVRVEFGNLSSPAANGTPPFNFNATEARCVTFAVASGKAQAVATHAMESDTACAVTGTGHYGVAVRLQPQPQPQPQPPPPPPAVVRERWWAWMVVAGAGGVVAVSFLAATVVAAVRWSRRRRREEMDLRALAGEELGRMAVRGSRMPAAKMARTRPELEDGSPLPWRR >SECCE2Rv1G0068590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:23835437:23836747:-1 gene:SECCE2Rv1G0068590 transcript:SECCE2Rv1G0068590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPISSSPSWADLNSELLVSIAAAADNGLSLRDYQCLRGVCSAWRSVLAPPYPCLLSLADGGGRSASVFSLPLRQSFNLCTASEAFDDICREGRDRNGSRVRAIGSANGRLAVAVDHGNLRYDMAGLVNISGWRTVRILLLDPHHRGEYAELPPPPTSMHDYKCVLKVVFRPDAGRGTVVATWNSSKVSYIDTGGANMVWTTVDVVKGDLGHLTDMAFDAGGGKLYCLDSRGAVHVLGIPHNCQAPVANVPRLLTRLPAAFPAPYGDVFTLTRTKHLFFCHGSLHQIWQNTIAAVKLPSGFRIPMDEIHVVRYDPGLWPAWEVVKDLGGSSVFIGENSNPAVVRAAGAGAVPGVRPNCVYWISRQRVPMVCDVATGASVPFVTPSPNGVCNGDCWYFDDDSTRNNCDEPGAPIARSIILHLQATNRELQTSLLF >SECCE2Rv1G0070350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:34860693:34864151:1 gene:SECCE2Rv1G0070350 transcript:SECCE2Rv1G0070350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGAPGDRGKPGGDGDKKDKKFEPPAAPSRVGRKQRRQKGPEAAARLPGVAPLSKCRLRLLKLDRVKDYLLMEEEFVAAQERLRPTEEKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTAKMTLSDDVNLEEFVMTKDEFSGADIKAICTESGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >SECCE2Rv1G0131980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887809841:887810517:-1 gene:SECCE2Rv1G0131980 transcript:SECCE2Rv1G0131980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MAAVARAIVCEAVPQRAAPPSSPQQQQLKQQTRRDKIVLQPRLSTLRSYGADGSGAVTRKRLPGEAVEEGGVGGAPPFFASLADYIESTRKSQDFETISGRLAMVAFAAAVAVEATTGDSLFKKLDTVQIEEATGLCLAVVASAATFAWVSSARGRIGQMLTLGCNSFVDGLIDNVVDVLFSDDQMQDWSDDA >SECCEUnv1G0529140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6518862:6520052:1 gene:SECCEUnv1G0529140 transcript:SECCEUnv1G0529140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSSSSSHALPSTSSRRRRSPSTTAGTASSSSAATASTSSGPRHTATSTTTTTATASSSSTSSRSSLAAARASLPDQPVLYSFHELAAATNNFLAKRSPSDATFWRCHLRSHHAALFQLRPLPLPLLTPAAALARVARYHHASLARLLGACPAGAHVYLAYALPPGACTLAAYLSSSSRAGGGNMTNSVVLRSWLSRVQVAADVAQGIEYAHAHAGAAHGRVSPSAVLLAPDAHGVRAALTHLGAGHFAAPDDDDQTGPQPSKEKGKAADVRAFGVLLLCLLSGEAAESRYRFDRATKEFARVSVVDTAAEAVRSGRVRSWVDRRLGDSFPVAAAERLLEVGLRCAADEDPDGKERPEMAWVAGKVSRVYVESRAWERTLQPPSDDLSSVALAPR >SECCE6Rv1G0399940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:444990096:444996104:-1 gene:SECCE6Rv1G0399940 transcript:SECCE6Rv1G0399940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWTGVVHVPLSQGGSLFRVAASLILAPSKALAVPRANAILFTGDRVPGTDEPAIERLSDAAYLAKLLAGKLAGGANAWVVDAACFAGPFAVYRELVPSVDAVGDPERYDPTGLPAAAGVANILAHCIRELIQNKVVRRSLEDSASNQEPTATLLPSSAPKTIILGFSKGGVVVNQLVTELSYWASKSTKCSVDVSQRSPALLTADLLVPATASDVLSGISEFHYLDVGLNCAGAYTTDHAVIKGIANYVSQNSDTLRFILHGTPRQWSDPNRPWILAEKNTMLRLLRDEANQCEGRLTLSEKKYFDGRPHSLMMHFEILEAMDIS >SECCE3Rv1G0203180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:881363744:881364253:1 gene:SECCE3Rv1G0203180 transcript:SECCE3Rv1G0203180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKVHTHKAFLLCNYALLGAASSCIFLTLSLRLLPSPCGLLLVFLHALTAVFSAAGCSGSFTAGPANPAPWHTAHTAGAALTAIFQGAVALLAFTRTADFLAELQSYVREDDGAVILKMVGGLGTAIFVLEWAALALAFSLRLDDAEDDDGQDDLRRAKNWADAYHV >SECCE4Rv1G0267540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736574420:736577046:1 gene:SECCE4Rv1G0267540 transcript:SECCE4Rv1G0267540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLLPSGQKLLILVVATLTLQHSSTIAYGPGTSGSINMALPGCPYKCGNVSIPYPFGTREGCFREPFNVTCNESGAYLGSNGLRVLNITLTLGEVRVQNPQISSQCNYSNGSNSTSDLDVLYLDPFHTVSNTKNKLASIGCGVLAVLVGQAKGKNQLEYYTADSCISSCFDTSSTYNGTECSGMGCFQAPVSGNISAFLAGSQPLPPIYNSTIQSFSPCSYSFVAEKDWFKFDHSYVNSTNFASKRTDGVPLVLDWVVGNESCSEARKMGSQSPCQAMNSECVDVPNGPGYRCSCSQGYEGNPYLQGGCQDINECEPSVQSLYPCKDKCENTEGSYTCFCPSGFRSDDPKNIPCVRDDPKRSLKIVLGISISVVFLMVCIFALRAEYQKRKLKREKEIFFDQNGGQILYHQIMSKQVDTLRIFTQEDLKKATNDFDKSRELGRGGHGTVYKGILKDSRVVAVKRSKIMNVAETDEFVQEIIILSQTNHRNVVRLLGCCLEVEVPMLVYEFIPNGTLFAFIHRNYTSPPPSLDTRLRVAQESAEALAYLHLSMNRPIVHGDVKSMNILLDKNLMAKVTDFGASRMLPKDEVQFMTLVQGTMGYLDPEYLQERQLTEKSDVYSFGVVLLELITGKMAIYHDGPREGKSLASSFLLAMKNGSLDGILDTSIMGVGMEMLLGEVAELASMCLSARGEERPSMTQVADKLKALRSTWREKLVLENGKTERLVTCSSPVTSALHDPPSTSTFSTGPHMSGIGIETPR >SECCE5Rv1G0318010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:356858472:356859824:1 gene:SECCE5Rv1G0318010 transcript:SECCE5Rv1G0318010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMGVQVQRTFVLPPPAAPSEEVPLTLFDLAAPTYHVTVLFAFSPPNPTNQALLDALSATLPRFPLLTAAMRLERRAGTRPCFITGKGGAGALVVEAEVPSSALSDHLPLAPSPGLALLHPTVRRGAEPHALMLQINRFACGGIVIASSSHHQVADGHSMTMFFHAWADAVRGDGLDADCARVPYGPSALLPRHPPRCEFEHRGAEFLPLSPAPLHDEGRASKPPAEVDHHVDTFEITNMLLHYTSEFVAELKCAAHNRYTTFETVSAHVWRKITAARGLADGGDAHTSIRIAVNGRGRLAGTGTLPAAGFFGNVVLTAISGTRAEALATGTLTDAAALIRAGIRAVDGGYFQSFVDFGALHGDEDLELACKDEAGVLSLDVEADSWLHLDLHRLDFGCGGRLVGILPGKVPQDGVVVLMPSLRKEGGIDVFVALWEKHARELSAIAYT >SECCE6Rv1G0396460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:366062141:366073411:-1 gene:SECCE6Rv1G0396460 transcript:SECCE6Rv1G0396460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) UniProtKB/TrEMBL;Acc:F4IDC2] MTELNPKPKSAKKSRKPAAGGEEKSMDALKSDVIPKLKSSKKLKKPAAEEGEEKGADALKSDVSPEPESTKKKKKKKKKKLAAGGEEDDIDAIKSDVASFASSLGLVPGAGNSCGFDDSDFRKSGPMSAPKPPKHPQTPAAPANTEPPQNPKPTKKPHPLELHAPHAAAATSAATNYPLVKAGALSGQWYADAAELEARVLGDRKHAAPAVGLQEMQRMVERKRELAEKLMAQYSREYDSVRRGTGDLKLLEMSAKSGTSSDKVSAFTCLVEDNPIANMRALDSLLGMVASKVGKRYAFTGFDALRELFEMRLLPDRKLKSLIQRPLDILPETKDGYSLLLFWHWEDCLKQRYEKFVIALEDALKDMLPSLKDKAMKTVSALLKSKSEQERRLLTALVNKLGDPERRAASSAAYLLTGLLSTHPNMKMVVIDEVDSFLFRPHVGLRAKYQAVNFLSHIFLTSKGDGPKIAKRLVDVYIAVFKVLMSCPRDSKGEKQSKHGKKKDERGKTKGREDKVNDSNSHGNHEMNPPAGTDLEMDSRLLSALLSGVNRALPYVASSEVDDIVEVQTPILFRLVHSENFNVGVQALMLLYQISTKNQIASDRFYRALYAKLLSPSAVTSSKPELFLGLLVKAMKNDVMLKRVAAFSKRLLQVALQRPPQYACGCLFILSEVLKTKPPLWTIVLQNECVDDGIEHFKDIVENPEDPAITSTSPIKQDGMLASHEKYNSDGEDDSDATKQVKVSASDEKGQTNASAEMSTSHVLYNPRHREPSYCNADRASWWELTLLASHVHPSVFTMARTLLSGNNIVYNGDPLTDLSLPAFLDKFMEKKPKGNRIAEGKWHGGSQIAPAKKLDLNHHLIGQDLLELAENEVPPEDVVFHRFYMNKTGPIKPKAKKKASVLDEDTGELLADDVVGGSDESDDEMQELDESDEMQELEDESAELEYDYDNLDAKAFEEEGDLLRDDSDADVLDDISDDDDNDGLNMSHMNESADDSDDDVTDVKAAAAARGQKRKSGSTPFASLEEYEHLMEGEAEKSTLKKKQRKHKEAGDSVGRKERGRKQSGSRKRKSKSSE >SECCEUnv1G0562740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:368444261:368447274:-1 gene:SECCEUnv1G0562740 transcript:SECCEUnv1G0562740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNDTTHFCNWKGVVCRVKDPRRVTSLNLTSLGLVGKISPSLGNLTFIQSLALTENMLTGEVPSSLGHLRRLRTLLLNNNTLQGRMPSFANCSKLKLLNVRANNLVGQIPPDFPPHLQVLQVSGNNITGTIPASLANITTLTMISCGNNHIKGNIPSEFAALSSLQYLYVGGNRLAGRFPQAILNLSTLIGLDLGPNGLSGEVPPNIYTALHNLQILVLFANFFLGHIPSSFTNASNLHLIDLSHNNFTGLVPTTIGKLTKLSYLNLEHNQLHAHSRQDWKFLDNLGNCTKLQMLSLSYNRLSGHVPSSIGNLSNQLQQLYLAKNQLSGNFPSGITNLCNLIIISLGANHLTGVVPDMIGTLKTLQLLLALNTNLFTGAIPSSLSNLSRLGGLYLYSNQFIGHIPSSFGNFPMLQYLDISNNNLHGRVPMEIFRIPSIIGINLSFNSLNEQLPTNIGSAKQLVQLGLSSNKLFGDIPNTLGDCESLENIELDSNIFSGSIPTSLGNISNLKVLNISTNNITGSIPASLGNLQLLEKLDLSFNHLDGEIPTKGIFRNATAMRIDGNQGLCGGIPELHMVACFVIPSNSNRHHESLVLKVVIPIASMVSLAMVILGLSLWKRKYKCKSISVPSFATKFPKVSFNDLARATQGFSTSNLIGRGRYSSVYQGKLVEAENEVAVKVFNLEIIGAQKSFIAECNALRNVRHRNLVPILTACSSIDSNGNDFKALVYELMPHGDLHKLLYSTRERESSSDLKFITLAQRIRIVVDVADAMEYLHHNNQGTMIHCDLKPSNILLDDNMTAHVGDFGLARFKDGSTTSSHGNSSSSLGALMGTIGYAAPEYAGGGQVSTAVDVYSFGVVLLEIFIRRRPTDDMFKDGLSIVKLTEISFPGRVLEIVDPELLHELEPCQETSVAMKEDDMHTLLSMLNIGLCCTKQTPGERINMQEVAAKLHQIMDAYLRSCSVASVILAT >SECCE7Rv1G0492700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:555213681:555214289:1 gene:SECCE7Rv1G0492700 transcript:SECCE7Rv1G0492700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIATMTMLKPAKIMARSAPSSPSSSAKVASPSISLRSLRKNAAKKGALAVSPAAAAMASAFFTSLASSDAAMASQRIADVAVVAPADDNRGLLLLFVVAPALGWVLYNILQPALNQLNKMRSEKALVAGLGIGAAAAAGLAAAPEPASAAVQELAALAAVAPADDNRGLLLLFVVAPALGWVLYNILQPALNQLNKMRSN >SECCE2Rv1G0096100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:432310217:432311633:1 gene:SECCE2Rv1G0096100 transcript:SECCE2Rv1G0096100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGCLHQRLGRTPALPFVRRFKPSEIEAATSGFSTALETGGPRGTAYRARFADGLVATVRRAGGGPDQEGQEGAFYRELQLLGRLNHRHVVRLRGFSQGHNRFLVFDQMENRSLKECLHDPLRTPLNWRTRLQVAIDVAAALEYLYYFCDPPVFHVTVNSSNVMMDADFVAKVSGVSVVGHDSSEGSHAQERIQQRRMELVFQYGVLLLELVTGQSPGGGDGELVRWVQKPGFAGSMQRMVDADLGSTYDAAELRDLVIVARLCTRPGSGTAVVSIPQVLRYLQGKVGDKNR >SECCE6Rv1G0419870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:673436078:673440462:-1 gene:SECCE6Rv1G0419870 transcript:SECCE6Rv1G0419870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDLKRGVNKKVLKVPGTEQKILDATSNEPWGPHGSLLAEIAQATHNHHEYQMIMNIVWKRVSDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHSYQISALADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERILEVRQKALATRDKYRSAFATSGPHRSPGGYDNDRDRYEGGRYDNRNGYGRERDGYRDDDRYSGAGDTPNRDGDRYSRDSNERNREDEYRGSNSNPEYAEGSGRRSYGDEEAYSSRGRGSNADAPTQDERPIERKASNQQIASPPNYEDVTGDTQDNHHDERNGGSAPAAAPKVSSPSIPRTSFPPAPGQVNGVHDKPVEVVAAQPPPPAAQPPAPAEPNGFDEFDPRGSVPDASPPVNTSPIMNSFEMDLFGSDPIGALALVSVPQPTDVPSVEPSASSGFETDSFMGMPPASTGFSEAIDASNPFGDPTPFKAVQEDNHAPSQTNATPAGSFQATGPGADVNPFQPASSTGFGFEDTLGDLSFASNAAPGQQDIFGITTSLPSGVSHANPSQQAPPAYVLSQASQPITHAAPMFAQPQAYPAATNPSSFPEAAAPSFAPPQAPQHAAPSFAHPQAPQHAAPNLPSGPSNFYMQPASGTGIPSQNGAPPSYAPPQSSHLPPQHASQQSFLPQTAAPAPQAPSISRGASQPFGAPNSVPSGASTPLQSSLSAPPETLISALQVSQTQPVKKFEPKSTVWSDTLTRGLVDFNISGAKTNPHADIGVDFDSINRKDKRHEKKISQAPVVSTITMGKAMGSGSGIGRAGASAVAPPSNPMGAGRGVGIGGPGYGGGMGMNRPMGMGMGMNQQPMGMGMGMNQQPMGMNQQQMGMGMGMNQQQMGMGMGMNQQQMGMNMGMNQGMGMRPPQMGMAPGGMPGAGYNQMGAGYGGQQPYGGYR >SECCE5Rv1G0373440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:858844333:858846404:1 gene:SECCE5Rv1G0373440 transcript:SECCE5Rv1G0373440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTLYATHQEMGSLHATQHSKLQRAYQLLFFHVHPFWHQLLYFVSISFFGFVILKDLPMKTRMVSRPMDLDLIFTSVSATTVSSMVAVEMESFSNPQLLLLTLLMLLGGEVFTSMLGLHFTYIKFKKKEAQAPHDHDDDGDKGKPAPSSLELVGSSTDDVDRVEQGFKDQPRYDRAFLTRLLLFIVLGYHVVVHLAGYSLVLIYLSVVSGARAVLASKGISMHTFSVFTVVSTFANCGFVPTNEGMVSFRSFPGLLLLVMPHVLLGNTLFPVFLRLAIWALRRVTRRPELGELRSIGYDHLLTSRHTWFLAFTVATFLLAQLSLFCAMEWGSDGLRGLTTVQKLVAALFMSVNSRHAGEMVVDLSTVSSAVVVLYVVMMYLPPYTTFLPVEGNEQQVGADQHDQKRITSIWQKLLMSPLSCLAIFIAVVCITERRQISDDPLNFNVLNITIEVISAYGNVGFSTGYSCGRQVTPDGGCRDAWVGFSGKWSREGKLALIAVMFYGRLKKFSMHGGQAWAIV >SECCE5Rv1G0325620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:467317104:467319737:1 gene:SECCE5Rv1G0325620 transcript:SECCE5Rv1G0325620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPALAAAVVVALLVCCSCGVRGKEDDDVLDSLVDFLTTLAGGDEKTARDLGWEASTDPCDGSGGRNGTDSKWGRTVKCFENGASNAGLIKVIDLQSLGLSGTIDAESLCAAPALRVVSLQNNTLRGGLPAGVSACSGLTHLYVDRNQLSGTLPSSLGNLRKLHVLDVSRNDFSGEIPAGLSDVRGLIRFNANDNHFQGTIPDFDLDKFETFNVSNNNLTGPIPESTGRFRDDSFGGNAAGMCGEPVFSACPSGSGESKSKKTRRILMYLGYVLLGAVIVAFVVYKLCSRKRNKLGKKARGGKDTFDSSDPTTMTTTTSKSASAYSLPASAERSPARKGAASTSLVVLRRSGTASVTSTAAAAAAKDLRFEDLLKSPAELLGRGRYGSSYKVAVAGGTALVVKRVKDASVSDDEFRRRMERVSRARHPAEKLVVYEFQSNGSLHKLLHGSIESSQAPLDWPARLHIATKVADGMAFLHAVMRGDGASSYSSSTSSSTDSTAAAEGAIAHGALKSTNILFTAGMEPCISEYGVIAPPLAAAVGSSRSSGLRADVRAFGVLLLELLTGKATAAQGDGAELARWVTSVIQEEWTAEVFDRALLSRDGVSEQRMVRLLQVAMRCIDASPGEVPPTMREVAGMINAIREEDDRSLSAEA >SECCE1Rv1G0034910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:492820659:492821864:-1 gene:SECCE1Rv1G0034910 transcript:SECCE1Rv1G0034910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKKSNRPTVTSCTALHMEWDRISCPICMEQPHNAVLLICSSYKNGCRSYICNTSHRHSNCLDRFREMNGDSKVRDSHSTSSVLSNSNNRTVQPRSHYSMISRHLLSPSHRRHIDNANNEESANPTPFVGESSIIMEECHDAMQISVDMKCPLCRGSVSGWIPAGEVRKYLNEKLRCCSHDCCKFVGTYEQLREHARTVHLLAKPALVDISRKRSWDRLEREQEFGDVISAIRSQNPGAVIVGDYVIETRDAMSPDEDSGEESGDEWWSPAQDLVESPDNRHRAPRPWLNGRLGSPTIWPDGRHAFPRFLPQPRPFTDRRSSRADWQGIRRSSTQSWLRQGFSNRHSRHTSSYRGYRHGIFDRRYAGNNRAGIDRRQDGPTFPPGRRQRLRYTQRSQHDP >SECCE7Rv1G0480850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:275279301:275282897:1 gene:SECCE7Rv1G0480850 transcript:SECCE7Rv1G0480850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASPSTTTTPRSFLSSPSFSLRASKSNHLRTTRRLPVNVRCASSSPAADTSYTTKVSRNANIAKLQAGYLFPEIARRRAAHLLKHPDAKIISLGIGDTTEPIPEVITNAMAERAHALSTVDGYSGYGAEQGEKKLRAAIAATYYADLGIDETDIFVSDGAKCDISRLQVLFGSKVKIAVQDPSYPAYVDSSVIMGQTDLYQQDVQKYGNIEYMRCSPENGFFPDLSKVPRTDIIFFCSPNNPTGAAASRDQLTQLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVALETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTSFNGASTISQAGGLGCLSPEGLKAMQDVVGFYKENTKIIVDTFKSLGFKVYGAKNAPYVWVHFPGRNSWDVFAEILEKANVVTTPGVGFGPGGEGFVRVSAFGHRENIIEAARRLKQLYK >SECCE2Rv1G0131170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:883379104:883379730:-1 gene:SECCE2Rv1G0131170 transcript:SECCE2Rv1G0131170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMSGAMEWHAWLSSARLEPALVHEYALVLARNELEAGDAAYFDHDFLRSMGIDVAKHRLEILKLARPGPGPGERGRRGTSSSAASLSGLVAAVGRVARYVRALVRLRGESSSSTALVLVPSQQQQPDSHVDVGRSSFGHCKAPKRTRSMPKAPGTTCARSSAARATRPAGGCRGAATVHAMRDGKSGGGGEETVQWECLFQDLNPN >SECCE2Rv1G0141610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:936000246:936002600:1 gene:SECCE2Rv1G0141610 transcript:SECCE2Rv1G0141610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSDMEMLMEDIISLRRERKELMESLTPKISCWRDEISGAASSFQSDSQEQLYLTDDFDILEDVEDLGQRMLSLAHSLSTSHAPISLYKADELVSTASKLANISLDFLDSTEAAMEEAAEEERDRMMEIRKTEGEGTAEELDEEMEMRKKTKQTQENKMRNDDELSKLFLSHEFLKFSSNVLDRPYCYKNELAMLFTVEEEEEYERVREAEREMERQRAKSKQRRQRDKNKQQIVVKEERVADEQETKSQTEQLKEWMDDELEFFAGHRSTWERSSGSKSGRCGGFESRTTLSPLQFTHCTPGILLPRAAVTERTLQIYSFKLVGLTEQLKWPLRVYGVVAARDTVDRNRNLLFSRSRIKGQLLSGHDSYLRLTGPSRAILVGDYVDFEVELKVRDGDDERNDTQLMCVSKRYEEADGDGEQPLLFDSPFCSAELRFESVPTTVQATILSVRVVGGEFPFSSGGQVACTVAARERVVLFDSTEKITREDEVVLDGYVPLSRNAISVEFEKGVTVEVTAYVDSGSISDRVHFRSKWCNISQDRCFICGSEVEITVAWSRVVRDKMEMLLEGYATQV >SECCE7Rv1G0472110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:136764852:136765112:1 gene:SECCE7Rv1G0472110 transcript:SECCE7Rv1G0472110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSAGNSPAVPAARGEDGSDAVAGGSPCGRRTRFAGTGEEEARVRREAEKAELERKIASTKEEIAALEAALAEADAAAGPEPAKP >SECCE5Rv1G0356790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742009508:742009924:-1 gene:SECCE5Rv1G0356790 transcript:SECCE5Rv1G0356790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNASMLAVIMACAILLAGSTCHAARNLADTTPAVAAPAAGTVPGLPVVPTDTVTLMPPMPSVTLPTVPQVTLPPMPAIVVPKAVLPPMPNVTLPTVPQVTMTPMPAIVVPKMTLPPLPFVPNVNVPMPFAAPPPSA >SECCEUnv1G0560310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:346484831:346485985:1 gene:SECCEUnv1G0560310 transcript:SECCEUnv1G0560310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAPKSQGSRRPWQDLPSEILGLVLQRVPSHADRVSLHGVCRPWRTGVRLLAPLPALLPWLALRDGSFLSLPDGEVHRRVLLRDDNVAHRVSTGSTLFLVHSDDGCSLMNPLSRQITVPQSINLKCLSTRPGVLVDTDNIRKVVVMSDQAVAIRTRSRPNVTISIHRPQSTNVEWRWRPPQDTYYSVCDIPVFQDKLYVLTAMFGTVYPLCLYAMEIVSNNHVSVQRMLTMPKDDVDDRNVASLHHYLVASADRLLMVKQKAELFTMLPGPVIMPTLFEVFEAADLGSGGGCWRNVNTLMGRALFLSEGCSESLPAGDNPNVGARQDCIYFLNERNHFDARTRALCSHVYDMRDGTVSPLPFQTLVAHEGPLTGTWFFPADT >SECCE5Rv1G0361590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780274832:780276025:-1 gene:SECCE5Rv1G0361590 transcript:SECCE5Rv1G0361590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKLPPSKKKSGPTTICALGDDLLREVFLRLPSLPTLVRAALSCPTFLHAVRSSPAFCRRFCDLHPAPLLGIFLEVYDPAMPAFMPVRRRSDPDHAAVVRGADVFLTRVPGDEEEDEGEVEYDDGPGWWMTGCRDGYVVLFHMLTQRAAVYDPLSRGLHLLSAPFQEMCEDPEAAEVEFHVLISEEDRRSFRVIFICTERRAPRVAVFSPDSREWQICPEAGSLQLPAEEKGTLVNGCVYWASAADMHVLNTATLQFSRIDPPPLMEEQEFKVGETCDAKLCVACADDLMLKVWVRRAANWMPDIDKWMLDAISELDLPFTTEENLVLEVVAIIGGIVYLSTYRPSRPSSCWFLSFCIETEKLKKVCPISNCGSFYPYVMAWPPVLVHNKVNSLG >SECCE7Rv1G0475770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:192753892:192765513:1 gene:SECCE7Rv1G0475770 transcript:SECCE7Rv1G0475770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGDTATPAAVVSSPWDDVPDDFFLSASISPPTPPPAPAPIPSTSPSPPPALRSASLPVTSIPPASASASFPGSLHRAIAPQPIHPSHSLPAFSAASLLAVADVCPPPPGPHHSNSLSEFAASASQSRVHLPPPRAAVRADRPPPLELRPRPSRESQSGIALCALACCAAPGAGTSTHLWAAGEAGVRVWDLADAFRSPTSPRRWGDEASAPFRESHKTPPALCLVADPGRGLVWSGHTNGRIMCWGADPGPEAGERIGWDAHRGPVFAMVISPYGDLWSGSEGGIIKVWNGEAIEKSLALEREEKCKASLLVERSFIDLWTMVSDGGACPLPSVDVKLLLSDNSRSKVWSAGYLSFALWDSRTKELLKVVNVDGQVDTRFDVLSAQGPYGYETKQNLFSSPKKEKARSPVNFFQRSRNALMGAADAVRRVAAKAGFGDDTRRIEALVMSMDGMIWTGSANGSVAQWDGSGNRLQEFQHHSSSVQSIFNFGTRLWVGYMDGNIQLLDLDGNLLGGWIAHSSPILSMSVGSSYIFTLAGHGGVRGWNISSPGPADSILRSELMEKETSYKNIEYMKVLVCSWNVGQEKASYESLRAWLKFPTQEVGVVVVGLQEVEMGAGFLAMSAAKETVGLEGSPNGEWWLDAIGQILKGHSFERVGSRQMAGLLTAVWVRTNLKHFVGDIDNAAVACGLGRAIGNKGAVGLRMRIHDRSICFINCHFAAHMEAVSRRNEDFDHVFRTMAFATPSSGLLTTSISGSAGQLLQANGSRMPELSDTDMIVFLGDFNYRLYDISYEEAMGLVSRRRFDWLRKNDQLRAEMRSGRVFQGLREGDFQFPPTYKFEKHKAGLSGYDCSEKKRIPAWCDRVLYRDSRASSGTECSLDCPVVCSVSLYDSCMEATDSDHKPVKCLFNLDVARVDKQTMRKKYGEIMSSNKKVLHLLQGLKALPEANVSSDDIILQDQTPYVLKLQNRSREDRACFEITGQAPTSSGTDSAGFPTWLKVSPAAGIICPGQAVEVILQHGDLRGTSWNNLSGANQEKAAQLSVKISGVCSTVAKCYGVRVQCQKGRSTFPSKRF >SECCE2Rv1G0133220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895365326:895365610:-1 gene:SECCE2Rv1G0133220 transcript:SECCE2Rv1G0133220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYMMSMSRVGARAAQAVRDAVGRSAGKADRAQAQAQAQQQSMARAGRAPAESARAKAPLARRAAEERRRRAAAQEESLRTVMFLSMWGPNA >SECCE5Rv1G0325300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:463800228:463801799:1 gene:SECCE5Rv1G0325300 transcript:SECCE5Rv1G0325300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQSKRQRGRKRTAAATLLLAYAALAMERADAALLPAVYREIGAALQASPTALGSIALSRSVVQAACYPLAAYLAARHDRLTVIALGAFLWAAATLLIGLSTTFAQMAVTAALNGVGLALQIPAIFAFVADSVDGTNRGMAFGWLMVASKAGTVGGTTLGLLMAPTTFFGVPGWRLAFLLLAAVGAAVGLSIRAFAAASKAPVRAAKPVRQELRDFAREAKAVLRIPSFQVIVAQGLTGSFPWSALSFTAMWLELVGFSHGETAALMTLFKVATSLGGLFGGKMGDVLAGRLKNSGRIILAQISAGSAIPLTGVLLLALPNEPATFAHHGAALFVMGFMASWNTSATNSPILAEIVPPRSRTSVYALDRTFEAVLASFAPPVVGMLAERLYGYKLVRSAASGAEHAASVETDRHNATSLARALYTAIAIPMALCCLVYSFLYCTYPRDRDLARAETARDGGGARPGGEGSDTEDEGEEERELLPQ >SECCE2Rv1G0085660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:194786263:194789788:1 gene:SECCE2Rv1G0085660 transcript:SECCE2Rv1G0085660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 11A3 [Source:Projected from Arabidopsis thaliana (AT2G24270) TAIR;Acc:AT2G24270] MAGTGVFADVLDGEVYKYYADGEWRASASGKTVAIVNPTTRQTQYRVQACTQEEVNKVMDAAKVAQKAWARTPLWKRAELLHKAAAILKEHKTPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKVPLGVVLAIPPFNYPVNLAVSKIGPALIAGNSLVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMEAVADTVVEKVNAKLTKLKVGPPEDDCDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTRDINKAIMISDAMESGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >SECCE1Rv1G0060300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709704040:709709300:1 gene:SECCE1Rv1G0060300 transcript:SECCE1Rv1G0060300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMAAEVEEMRAALLLGVGGPAWSWRRGAAAAAKRGDGAEEGAAEARAVCVTGGISFLGLAVVDRLLRHGYSVRLALETQEDLDKLREMDLFGENGRDGVWTIMANVMDPESLHRAFDGCVGVFHTSSLVDPGGISGYTKHMATLEAKAAEQVVEACVRTESVRKCVFTSSLLACVWRQSYPHRHRFPATVDEGCWSDETFCREKKLWFALGKTMAEKAAWRAARGRDLKLVTVCPALVTGPGFRRRNSTPSIAYLKGAHTMLAEGVLATAAVERVAEAHVRVYEAMGGATAAGGRYICYDRVVRRAEEFAELERQLGLRPRAPPPPAAAPPPFELCNRKLARLVSSRRRCTHDAYLPVTYD >SECCE6Rv1G0403990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510942391:510942846:1 gene:SECCE6Rv1G0403990 transcript:SECCE6Rv1G0403990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAVEMELRKRTIDYNPKRTRFRKQHRGRMKGKSCRGNRICFGRYALQALEPAWITARQIEAGRRAITRYARRGGKIWVRIFPDKPVTLRPTETRMGSGKGSPEYWVSVVKPGRILYEMGGVSETVAIAAISIAASKMPIRSQFIRLEI >SECCE7Rv1G0493520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:569467374:569467748:-1 gene:SECCE7Rv1G0493520 transcript:SECCE7Rv1G0493520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASMGVTSFVLLLSLSAMVVSGKDWIVGDAKGWTFGVSGWEKGKKFVRDDYLIFNYNPKMHNVLEVATGEYKACDIGAIPSRNFTSGHDRVQLVGVVASYICGTLGHCGRGMKIHMTIEDKE >SECCE4Rv1G0282070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:827832152:827832697:1 gene:SECCE4Rv1G0282070 transcript:SECCE4Rv1G0282070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPDTSWVFADLAVADSARYSTRTRLMLTGLSFAIGILTLLLYLAVSYACRRRRRRHRCRRQRGAGAGAEDQLEAGMSDAAIVYEQADALAAPMDCAVCLGQVEAGEKLRRLPKCAHLFHADCVHAWLQAHSTCPMCRAATTCTGTTPAATAAAEAPPPGVVAGMPPALERMNCTTLAGE >SECCE3Rv1G0198010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:825274562:825276688:-1 gene:SECCE3Rv1G0198010 transcript:SECCE3Rv1G0198010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILVAAMVVVVVVLSVSVAESATVEYQFDVATMNVTRLCGSKSIVTVNGQFPGPTVSAREGDLVVVRVVNKAQYNMSIHWHGIRQLRSGWADGPAYITQCPVQPGQSYVYKFTITGQRGTLWWHAHISWLRATVYGPIVILPKLGVPYPFAAPYKEVPLMFGEWWKADTEAVISQALQTGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVKPGKTYMLRIINAALNDELFFSVAGHPLTIVDVDAVYIKPITVETLLITPGQTTNVLLTAKPSYPGATYYMMAAPYSTAASGTFDNTTVAGILEYEDPSSPSSAGFNKNLPLLRPTLPQINDTSFVSNYTAKLRSLATEEYPAEVPQAVDRRFFFTVGLGTHPCAVNGTCQGPTNDSRFAAAVNNVSFVLPTTALLQSHYTGMSNGVYSSDFPAMPRSPFNYTGTPPNNTNVSNGTRLVVLSYGDAVELVMQGTSTLGAESHPFHLHGFNFFVVGQGFGNFNPVKDPAKYNLVDPVERNTVGVPAAGWVAIRFRADNPGVWFMHCHLEVHVSWGLRMAWVVQDGSLPDQKLLPPPSDLPKC >SECCE7Rv1G0520000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866725918:866727412:-1 gene:SECCE7Rv1G0520000 transcript:SECCE7Rv1G0520000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSPSAYDRAAELRALDATFAGVRGLVASGITRVPRIFRVPQKVHEAPQEPAGGRQEPAAIPVIDLSGDRAAVVAAVGRAAAEWGFFQVTGHGVPPEVGTAAVEAARAFHESPGGDGTDKARLYTREPARAVKYNCNFDLHESKVANWRDTLYLRVEPHPPDAGDMPESCRRDVFFDYAEHVRNLRDTLFALLSEALGLHHNHLADMGCNQGQMILCHYYPPCPEPSLAIGTTRHSDSGFLTVLLQDGVGGLQVLHENRWVDVTPTPGAFIVNVGDLLQMISNDGFRSVEHRVIAKNDAPRVSIACFPSNPSSERIYGPIKELLSEKNPALYRETLARDYVAHYYSVGLGPKMAINDFRL >SECCE2Rv1G0072760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:61144577:61146868:1 gene:SECCE2Rv1G0072760 transcript:SECCE2Rv1G0072760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPSLRSHFRLLLSLHLLLSLFNPLAVADLASESQALLDFASAVYRGNKLNWGQGAPLCSWHGVKCSGDQSHVSELRVPGAGLIGAIPPKTLGKLDSLQVLSLRSNLLSGSLPSDVASLPSLRSIYLQHNKLSGGLPSFFSPNLSVVELSYNSFTGKIPTSLENLTQLYLLNLQENSLSGPIPDLKLPSLRLLNLSNNELKGSIPSSLQMFSSSSFLGNPELCGPPLDNCSFPTPTPSPELPSTPSSPSHAHHDRKLSIGFIIAVAVGGFAVLMLVVVVLAVCLSKRKGKKEAGVDYKGTGVRSDKPKQEFSSGVQTAEKNKLVFLDGCTYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKREFEQQMELVGRLGKHSNLVPLRAYYYSKDEKLVVYDYIATGSFSGMLHGIRGVAEKTPLDWNTRVKIILGTAYGIAHIHSEGGAKLTHGNIKSTNVLVGQDQNAYVSDYGLSSLMNAPVSASRVVVGYRAPETIENRKSTQKSDVYCFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDIELMKHQNIEEELVQMLQVAMACTAGPPERRPAMEEVIRMIEGLRHSASESRASSDEKFKDSNPPSV >SECCEUnv1G0531290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16683584:16690932:1 gene:SECCEUnv1G0531290 transcript:SECCEUnv1G0531290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSVYARSSGDGTAQDAALRAAFYGDLRRLRGTVKSLADPRAVFSFLNKGGLGVLHLATVRGHIEVCKYLVEELGGDVNAPAAPGVGDFAGMTPFMTSVQSDDVCTVKYLLDRGGDLTKADGKGRTVLHHAATVGSCKVTEFLLSEGVPVDIHYGHGTPLHLAATNEQDKIVKILLEHHADANTSVTYMGSVLMGALLSRSLKCMKLLIKGGADVNRMTSLLMTPLVFTAGRKDYTNFMQFLLKAGADPNIPDAFGRLPIEHAVRSDCMEHVEMLFPLTSPIPSIPNWSIEGIISHEKIESAKSLDQRHVERAKAIFKSQADHAFRLKDYKFASKSYDLAIDAAPSATLYANRSLCKLLLDDGEGALSDALSCRMLRPNWAKACYRQAAAHMLLKEYKQACDALLDAQKLDPGNTEVERELRKARELMKAPGEADK >SECCE6Rv1G0390590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:188392342:188394002:1 gene:SECCE6Rv1G0390590 transcript:SECCE6Rv1G0390590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKGLLVLDLSRNNFSGGIPQFLGNLKGISALNLSFNNFEGEVPKHGVFLNATSILIAGNDGLCGGIPQLKLPPCSNHTIKKASRKLVVIISICSATLFITLVSALFIFYHRSRKMKSSIQISLTSEKYMRVSYAELCNATNGFASDNLIGAGSFGSVYKGSMRSNDQQVVVAVKVLNLKQRGASQSFIAECETLRCARHQNLVKILTVCSSIDFEGHDFKALVYEFLPNDNLDEWLHQQIMGDGEHKAIDHIVRLGSVIDVAFSLEYLHQHKPLLIIHCDLKPSNDLLDSDMVAHVGDFGFARFVHQDLQNSSGWASMRGTIGYAAPEYGVGNQVTTQGDVYSYGVLLLEMFTGKRPTYIDFGDVYGLHKYVQMALPDKMANVIDQWLLPEMENDKQDESNSNKSRDLRIACITSILRIGISCSEETPTDRPQIGDALKELLAIRDNFHKNHSSEGALSSN >SECCE1Rv1G0038390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:538370784:538371176:1 gene:SECCE1Rv1G0038390 transcript:SECCE1Rv1G0038390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLVVILVLQAVLVMGILSQANAEFPKCCDKCRFFSGAVVCDDAGSKCRDGCMNCRVVQTSPKKTFRCADARVDDGTPCPPCKKH >SECCE5Rv1G0375650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869187034:869188232:-1 gene:SECCE5Rv1G0375650 transcript:SECCE5Rv1G0375650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPYLVLLLCLTTFLQAAANYPPPPPPPFELPESEVRERFSKWVTKYSKHYSCQQEEEMRFQVFKNNTNAIGQFDQQNPGTVVGRGFRPNAFQVGVSGGVRMNRFGDLSPREVIQQFTGLNTTSVNATSPTYLPYHSFKPCCVDWRSSGAVTGVKNQGTCGSCWAFAAVAAIEGMNKIRTGELVSLSEQVLVDCDTRSSGCGGGHSDSAMALVATGGGITSEERYPYAGFQGKCDVDKLLFDHQASVKGFKAVPPNNEGQLAIAVAMQPVTVYIDASNFEFQFYSGGIYRGPCSANVNHAVTIVGYCEGPGEGNKYWIAKNSWSNDWGEQGYVYLAKDVPSSTGTCGLATSPFYPTA >SECCE4Rv1G0248450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:572295141:572297914:-1 gene:SECCE4Rv1G0248450 transcript:SECCE4Rv1G0248450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MADSAKVVAIVVAAGVGAAVLAAACVFLAIWMYRRRDSVVARTRSLESSTATLRPNGSTASLDSSVSVSVVSESVADWGHNPLPPGKRVAFWGWRGATNARDQPPLSVSGIPKYHYKDLQKATNNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSTQGEREFQTEVILLSRLHHRNLVNLVGYCVEKGQHILMYEYMSNGNLATLLYGDNKRSLSWQERLQIAHDVSHGIEYLHEGAVPPVIHRDLKSANILLDESMRAKVADFGLSKEEVFDGSKSGLKGTYGYMDPDYMSTNKFTKKSDVYSFGIILFELITAINPQQGLMEYIDLAAIGGEGKVDWDEILDKNLLGGSIPEEARVLADVAYRCINRSPRKRPWISEVTQAISRLRQRQMTLPRSETRTVLRRIEYQHVELSDLAGMKELTPVGA >SECCE2Rv1G0132550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:892366553:892369523:-1 gene:SECCE2Rv1G0132550 transcript:SECCE2Rv1G0132550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPFSQRPAFASLPTLPAYISRPPCSHGTTPHQYKQHLSFVYRLLAASLYLHPANLLDVTQPATGATTPSSSAAGTRVDPSMAATMTVEEVRKAQRAEGPATVLAIGTATPTNCVYQADYPDYYFKITKSDHMADLKEKFKRMCDKSQIRKRYMHLTEEILEENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSKITHLVFCTTSGVDMPGADYQLTKMLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPHESHLDSLVGQALFGDGAAAVIIGADPDVSVERPLFQLVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGIDDWNSVFWIAHPGGPAILDMVEAKVNLNKERMRATRHVLSEYGNMSSACVLFIMDEMRKRSAEDGHATTGEGMDWGVLFGFGPGLTVETVVLHSVPITAGATA >SECCEUnv1G0560860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:350105758:350105970:-1 gene:SECCEUnv1G0560860 transcript:SECCEUnv1G0560860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAVGILEMTMGIFITLTKAYLFLFISITIRWTLPRMRMDQLLNLGWKFLLPISLGNLLLTTSSQLVSL >SECCEUnv1G0530240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:11882055:11882432:-1 gene:SECCEUnv1G0530240 transcript:SECCEUnv1G0530240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGRADDGHRGHLGPPGFGRRRGRQQSTPRAERRTSKSRAAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTR >SECCE4Rv1G0277910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806145476:806146181:1 gene:SECCE4Rv1G0277910 transcript:SECCE4Rv1G0277910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEELSKLAADVAAILPAPVRKAVDALARSSPARAAADALVYLSVGTTCTTFAAAFLTIFADLACARGWGCTPAYVLSGIADYLSTPVLLLLPPALVLFFLRAAGCRTKAADAESLIVKNDEEPTLLSPSPLALAILWLFIGSASMGTISFLLFKCGYTEISELLGYAALLCMFMWEALVYVRAAVALWRMNPGPRNMVAAE >SECCE5Rv1G0361770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:781003887:781009101:1 gene:SECCE5Rv1G0361770 transcript:SECCE5Rv1G0361770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSRPEEVPALVRLKLAAGRIRRQIPPEAHWAFAYDMLQRVSRSFALVIQQLGPELRNAVCVFYLVLRALDTVEDDTAIPNEVKLPILRDFYRHIYNPDWLFSCGANDYRVLMDNFRQVSTAFLELGEGYQKAIEEITRRMGAGMAKFICMEVETIDDYDEYCHYVAGLVGYGLSRLFHATGTEDLAPDHLSNSMGLFLQKTNIIRDYLEDINEIPKCRMFWPREIWSKYVDKLEDLKYEENSEKAVQCLNDMVTNALIHALDCLQYMSALKDNSNFRFCAIPQIMAIGTCAICYNNVKVFRGVVKMRRGLTARIIDETKSISDVYSAFYEFSSLLESKIDDNDPTAALTRMRVGLIKETCKSSGLVKRRGYDLEKSKYRMPMLVMIMLLLVAIILGVLYGK >SECCE6Rv1G0411820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611193943:611194614:1 gene:SECCE6Rv1G0411820 transcript:SECCE6Rv1G0411820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAAPPASSFAPFCRGPFASPPASLYRPHASIASLSPSSPPFYPSRVMVRWEAPPAGWLKLNFDGSVYNDGSGRASIGGAIRDCNGRVLVAFAEPTEHSTVGIVEARALIRGLRLALSRFRGRLVAEGDDLMLVQLLTGEESQTRIPQAMQEEILMLLGCFAAYKVQHIFREGNQVAHVLCKEAYERPGVWAGGIVPHAVWEKAQDDVHGVAHERLFKKKS >SECCE7Rv1G0510490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:790166165:790166518:-1 gene:SECCE7Rv1G0510490 transcript:SECCE7Rv1G0510490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSQMLLLAMAFLVLASDVVTKASAGIEGIPCRTSFIPLPKPCDSKSCYDACIDRTWVGGLGQCVAEGCKCEQCIYFPPKNEN >SECCE1Rv1G0017880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:168930679:168930969:-1 gene:SECCE1Rv1G0017880 transcript:SECCE1Rv1G0017880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAIMILSLFVLALPHSFVQARMMPSDQPQMLAEKTISPPPYSSSPDLLQVFMASLPPMPVTFAGNIEITGAKRRRASQVVQGSVPSPGVGHH >SECCE7Rv1G0503640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:714940269:714941642:1 gene:SECCE7Rv1G0503640 transcript:SECCE7Rv1G0503640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVHVLVFPWPRQGHINPMLHFATALVDAGAQVTFLHTEHNLRRLAQTPLPPRLRLVSVPDGLPDDHPRSFLELLESMCRTSSAAYRALLSAADVPVTCVVADGTMPFAIDIAEELGIPALAFATQSACSYLALLSMPKLIELGETPFPTDDLVCGVPGMEGFLRRRDLPRGLYYAEQGDRDPWMLKLAEVTARSSKACALILNTAASMEQPALVHIASHTSNVFPLGPLHARSRFGASASLWQEDDGCMAWLDGHEDRSVVYVSLGSRAVITHEQFTVFLSGLVATRYAFLWALRPDMIQMTSSALLREAIGAVEGGKARIVEWAPQRDVLRHRAVGCFLTHAGWNSTLECALEGVPMVCWPFFSDQQTNSRFVGTVWRTGLDMKDVCDIGVVERMVREAMVSDEIRGVAQAMAHQLRLDVAEAGSSSSELERLVRFIRELSIRSCLKPRITED >SECCE1Rv1G0053020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:666414228:666415316:-1 gene:SECCE1Rv1G0053020 transcript:SECCE1Rv1G0053020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVASLTDDLLVEILSRLPVKSLRRCMCVSRTWQGIISHPQNQRQLPQTLEGLFYMPESKPAPGPSFTDVIGTVRPLVSPLSDFLPQHESIFLLSCCNGLLLCRCWEGQDDFHYVVCNPATEEWVRLPDSVNAGTECMARLAFDPAVSDHFHVFEFSEGHDIRQAGMEVYSSKTGGWVHKENGWIDTEDDEAFVSLVGHHSGDVFLNGFLHFVAMDPGIVAAVDTEGKTWRNIPVPAVGSIPLIQKSQGCLHFATFVKTDGMVLFLVFVLENYRGQEWILKHSTDASYIFGETEIDIMLGFQWVAMHPDCNVIFLTVGCNNTLVSYDMDRHKVQEICYLGGDDSPWYLPYVPLYSELQTLH >SECCE3Rv1G0208940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:935883332:935886492:1 gene:SECCE3Rv1G0208940 transcript:SECCE3Rv1G0208940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITTVLAKVAELVAWEAAVLLEVGDDVRLLRDKLEWLHTFIRDADRRRRLRDDEFVAVWVRQTRDVAFEAEDALDDFLHRAGRRRGPAPPPPGTGARCSGWRWSWRRWRPRCAGLQVALRHDLSARVRQIRKRLDEISANRAAYHIEHAPSPAWAASSATTLAAWDDLEEYTVGFGKYGDMLREQLLDVDTVPGRALVSIVGESSIGKTTLARKVYQSPEVRNHFAIRTWTVLPPNSRPADVLRDIHRQATSQLRRSPSNGQSAEDGGCDAKGGKDISNSLFRNMTGRRYLVVVDGSIAVADWNSLRASLPDEGNGSRVVLVTDAAGLEVVGYAGGPTYEPIELTRLSPENTYELFRRRVFGLRGDCPGRYKSRYYQDVFRITRGLPLSVVVLAGVLRSKELPAEWDQVMAQLLAAKDQPQHCKSGSGGARRIMSLAFDDLPHHLKSCFLYFAAMPESAPVDAARLVRLWVAEGFVRPRRGSTMEEVGQGYLKELISRCMVQLVDKDEFGAVTAVVVHDRLHAFAQEEAQEACFVESHDSTDVLAPATVRRLAVQNTTDRHVHLGNALPKLRTIVCDFASGGAAKPSVCIHSADLGFLHASKFLRVIDIHGLELKKLPDEIGSMIHIRYLGLQCGQLDRLPSTISKLVNLQSLILKGRSGGVLGVTAAFWTIPTLRHVVAPFALPRCLGDLYSLQTLHGVQPRCWDTRAIAGNPLGRATNLRSLELSGLTAANAGALVTALESLDLTRLSMWGTMVGQGFVDMLGELPSLAELTLMMGAYEGERLEFADGAFRSLQKLRLGLPDLEEWAVSAGSMAALARLTLLRCAKMEMLPEALGGMKELEEVVLYSMPKMVGRIKEDGGLDHHKIKHVPVIQTIW >SECCE2Rv1G0112130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:706754058:706756747:1 gene:SECCE2Rv1G0112130 transcript:SECCE2Rv1G0112130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKPFGDNVFAGHAAAGAAAISASAVAVHPLDTVKSLLQLSAAGQKQKMGLRQTVDRLMHVSGPAGLYSGLGWSIFGKLPGLGARFGIYELLTAFYKDGREDNHVNYSEAMLAGITAGAVEAFVCTPFELLKLRSQVGSAIPMKATNPANVIQESFPLLSKLLPGHVPDMRVWNSSVSLLSNLSPKHPDMMGALKQHPWMLTGSGKPPLPSDVQVPSRVIALEGWGALWRGLRPGIARDCVFSGMFFSCWQFIHTAMLTWRSVNMNPEPRNLEEAGPVPPLASSLAAGFSGVVAAAASHTFDTAKSRSQCTVIPKYIAMERRFLKWRAPGMWIERVTGTSPADRNVLFRGIGLRMARSGIASFVLVGSYYLAVDQLL >SECCE5Rv1G0337870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:594613906:594618375:1 gene:SECCE5Rv1G0337870 transcript:SECCE5Rv1G0337870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVNAKVSAVAATGMSAVPAAAAPPPPPPRRWEGVDPALERMVLRSCLDQAPERRRVRDAFKDVQLNIDHCLFKAQYSDIGTNESYERNSRGVEIFSKCWFPENHRMKAIVCLCHGYGDTCTFFLDGIARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDVAEHFAKIKGNPEYRDLPSFLFGQSMGGAVALKIHFKQPKDWNGAILVAPMCKISDDVVPAWPVQQVLIFMAKLLPKEKLVPQKDLAELAFREKEKQEQCSYNVIAYKDKPRLRTALEMLRTTQEIESRLEEVSLPIIILHGEADLVTDPGVSKDLYEKAKTSDKTLRLYKDAYHAILEGEPDEGIFKVLDDIISWLDQHAAKEIPSS >SECCEUnv1G0568100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:422581560:422582636:1 gene:SECCEUnv1G0568100 transcript:SECCEUnv1G0568100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQHSHLDSDRTGKRPRRAPKKHLYLVLDDWDTGFSIYKVDADTLQDTCTSTTDVQIGFPDPPVLRFPVPVRHLGMSFTAFGNSIFIATNPHCPQTPTLVYNTKIAGITIGPSLPRSLLGGIDISVVAGDTLYGLISRHASEQHSFESMSWAATGSDELSDPRPAMNWSWKSVPSPPPFATDDIISSYALHPDGHTIFMSAHDYLYQHVPKGTFSFDTKRCEWRWHGEWALPFQGQGYYDSELDAWIGLRKDGYICACEVASRSRESAVQPYCKVAKEKLFLKVPERRVAATRATLDCVQRQGVEPTCIFDCCVLHMSTFGLKYDRRGELQTTRHCSNSCVVSKHILAFSPLVFWM >SECCE5Rv1G0353780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:719721660:719722418:1 gene:SECCE5Rv1G0353780 transcript:SECCE5Rv1G0353780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTGTSVAAAATMLAAAAAIFITFVVCFYLFLCAKRYRGAAPAIGGGGGGADGRGPRFVFGGPCHGRGLDETAIVALPRREVAQGDPAADCAVCITELAAGEAARLLPRCGHSFHVECVDMWLRSHSTCPLCRCAVADEAPTVQPPEADPESPIFPTNVLFFGSQDTVATGGTPRQPVGPQAPQPSQGPIAGVAAVVEAARVAALRRLLGCGGATPPPPPPPQAHRDLEMGPAQAGGESSTPRPAKPQPGS >SECCE5Rv1G0369760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:837621218:837625250:-1 gene:SECCE5Rv1G0369760 transcript:SECCE5Rv1G0369760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAWGRAKRALATKLCIRLPGRQRALEDAPPPPGPSAVEARTATGEEKARSPSVSSRRLSTSGSRGSKRVCAICLGSMRTGHGQALFTAECSHKFHFHCITSNVRHGNHICPICRADWKELPFQGPQLADGTHGRARVSPVNWPQDDGHMAVIRRLSNSYSGNLLEQFPVFRTPEADIFNDDELIDIQSETVEENNAVAGSVEIKTFSEVQAIQQSVTQKVFSILIHLKAPKSLESVSSRAPLDLVTVLDVSGSMKGAKLALLKKAMGFVIQTLGPNDRLSVIAFSSTARRLFPLRQMNVNGRMQAMQAVNSLVDGGGTNIGDGLKKGAKVIEHRRLKNPVCSIILLSDGQDTYSVPPFDDELQTNHSMLVPPSILPGTGNHVQIHTFGFGADHDSAAMHAIAETSSGTFSFIDAEGSIQNGFAQCIGGLLSVVVKEMRLCIECVDKGVLLTSIKSGGYASEVAVDGRNGSVDIGDLYADEERGFLITLQVPAAQGQQTVLIKPSCTYQDSVTTESIQVQGEEVSVERPAYSVDCKMSPEVEREWHRVQAMEDMSAARAAADGGDFSQAVSILEGRTRILESQAAQSSDSQCLALITELREMQERVESRRRYDESGRAFMLAGLSSHSWQRATARGDSTELNTQIHTYQTPSMVDMLHRSQTLVPSVVEMLNRSPTVAPSRGSGRSVRSTKSFSERLA >SECCE4Rv1G0263300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:715763392:715770034:1 gene:SECCE4Rv1G0263300 transcript:SECCE4Rv1G0263300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:Projected from Arabidopsis thaliana (AT2G43790) UniProtKB/TrEMBL;Acc:A0A178VTX8] MDAGGAQPPDSEMAEAGAGAAAAAAAAAAAAAAGAAPGGAMENIQATLTHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPAQRTAFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSLSEKFPHVHPSAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCTMPFSFDFEQHALSEEQMKDLIHQEGIAFNPDYQ >SECCE6Rv1G0451270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871868378:871873486:-1 gene:SECCE6Rv1G0451270 transcript:SECCE6Rv1G0451270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIESKSFSRTSSFSGFEEWVASMRKRTGKLSSLSQSRQSKSGILAIESSISPDVLEMIDSGSDVVDSVTCDYLPKTSLWERLGRVSMMDIESSNLCWTSLSSLHHTKHTTTSSEPTEDDTNRSFEVTVNSGGVVFIALFKTSKNDEVPSKEAAAVIKIAPSRMATQSERFGYELAKWLGVRTPQARVIHSSSCEWQQIKDAVENARHEAILVGDELQEMICTEMLEALELSRCLFLMNYVHGSPLLESTTPFDSREFAEKTAEALGRILILDLILRNEDRLRCRPLGWRGNYANLLVAYKEAYANLDSLDDVHDSAIIRYKPEIIKSPKNQKQRRSVSISGSVGSDISELLEDSYDQIEPEISSFRIVAIDSGVPRRPPASKRTKDQDSYPRLVELTLNNLDYSSNLLFEVSIGKLGTPGPEEYDMSSDYSYHSPLCESDMVAIVNSFRGGFRSALRDLQRFHIFLLTLYQKLDALLKIFFNLMYKGSNESDKEDAGHSDSPLCVAEAHTDLSDSEVPRHMRRPSRTLSRDSFDMSSPICRENFMMKNLKANGDASRGLRLTMKLREFNKYAKVDSELNKEIEQWNDMLRTDVVKLCHDNNFNTGFFEGIDNSVAVDAYELKVRLEHLLERISLISDAASTERPSQITDYMYIGGALAARSTYTLQHLGITHVLCLCANEIGQSESQKPSLFDYRSFSINDDENADITDVFQDACDFINFVEHLRGKVLVHCFEGKSRSATVVLAYLMLRKNCTLLEAWSMLKKVHRRAHPNDGFAKVLLDLDKQLHGRTSMEWQHKRPTMKVCPICGKNAGLSSSSLKLHLQKSHRKISSGSVDSAMSLEIQKALEAMKAG >SECCE3Rv1G0209060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936213302:936214071:1 gene:SECCE3Rv1G0209060 transcript:SECCE3Rv1G0209060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAERRAEEGCRVPAGHGGLPIGFRFRPTDEELLLHYLRRKALACPLPADIIPVADLARLHPWDLPGEADGERYFFHLPATRCWRKGGGGGRAGGSGVWRASGKERLVVAPRCGRPIGAKRTLVFCRPGGARTGWAMHEYRLLPAGLAAYATAKSLHAAKDWVVCRVFKKATPAHHGTPRGRPRDMTPASPSPASSCVTESRSGGMEEDDDDETASNLARRED >SECCE7Rv1G0494640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:586683241:586683861:1 gene:SECCE7Rv1G0494640 transcript:SECCE7Rv1G0494640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAITEMSAEAKRAGFESGTEADPGNGELWRRFVRFELEEGGGIEAARAVYERLLAALPDGETYMWDWFWREREFGDVDSQRRALQRWARWAQAQGGGFLRSKDGWWDYLEFEINNGGVERVRAVGEALLATFPMDPHAYVMYIRALAALSRHVEAEALARRGVKELSAFCRGHDEFIWRFMAVYLKRLRDRRSTAWDEDMFSD >SECCE6Rv1G0408740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:576560525:576561985:-1 gene:SECCE6Rv1G0408740 transcript:SECCE6Rv1G0408740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVRVRNEKAAVVLAAVFLICAGSGLVASPAAASGHEEVHLVPAVYVFGDSTVDVGNNQYLPGNGPLQLPYGIDFPQSRPTGRFSNGFNVADSISRLLGFKRSPPAYLSLTPETSRQIVRGYRGVNYASGGSGILDTTGNALTLTKQVEYFAATKSKMTEKCAGIDALLSKSLFLISDGGNDFFAFLRQNLTASDAPSFYADMLSNYTKHVQTLYQLGARRFGIVDVPPLGCVPAVRVTSPTGETECVEAANALARGFNDALGKVMAKLAAALPGMRYSVGSSYNLLTFLTAHPEAAGFKDVASACCGGGRLRAQTWCGPNATYCDNRNDHVYWDEVHGTQATANKGAKAIFAAPVKLGFAAPINFKQLVSS >SECCE6Rv1G0393980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:287750760:287751614:1 gene:SECCE6Rv1G0393980 transcript:SECCE6Rv1G0393980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC3 homolog [Source:Projected from Arabidopsis thaliana (AT5G57450) UniProtKB/Swiss-Prot;Acc:Q9FKM5] MRPPAPKTSAYGQQEPRPENLLLLLASPRAVKLSLGCPLLDRLLSGGLPAASVTEIAGESAAGKTQLCLQLALLAPLSPHPSSSLFLCSDVPFPLRRLRLLAPKSRPDLLDHVLVAAVHSPSDLLSLLSRAQYHLSHPSHSPHPLPIRVILLDSIASLFRADFDASPADLKRRSGLFFKISAKLKELAHRHQCVVVVTNQVVDVVDGNTGNTVAWSSGRQVSPALGLAWANCVNTRLFLTQEVDSSGGSARRHMKVAFAPHLPARACEFVIRRDGVFGVEPAQR >SECCE1Rv1G0000770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2403090:2406724:1 gene:SECCE1Rv1G0000770 transcript:SECCE1Rv1G0000770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHMWTPLMLLQLLLAAAATAATTATTPGCRPSCGGVDIPYPFGIGVGCFRKGFEIECINNSPVLAGTSLRVVKLTLDPDQSQVMLPMGWQCYNASDPGDTNSNWDYGETTMNKDGVYRISNTHNMLVVVGCNTFAYTASKRTEGGTANYAYYTGCMSYCNNSASAQDGLCDGVGCCRVNIPPGLTDNYFNFREFDHSGMMDYSPCDYAFLADRNYTFLRSHLKMDTKRTSSVWLDWAIRGNSSVSGDIPSCKQAANTDQYACVSAHSDCVDSINGPGYNCKCSNGYQGDAYLPNGCTNVDECADPAKYPCYGVCTDTQGSYECNCRLGYRSHDPRTERCTQKFPLAAQISIGVISGILVLALIIFIYVLRKERREARDFYRKNGGLTLKDSANIKIFKRGELKAILKSQNIIGKGAFGEVYKGDIDGALVAIKKPIGDNVHENKQFPNEVIIQSQVMHRNIVRLRGCCLEVDTPMLVYEFISNGSLEDFLHKDKSKLLNLDVRLSILQESAHGLAYMHSQTHATILHGDVKPANILLDEKFTPKISDFGISRLIAKDKEQTANIIGDMTYMDPVYLKTGRLTDRSDVYSFGVVILELISRKKATHSDNNSLVGSFQECHQRGETALKLFDPEIATTGNFEILDKLANIAMECLHLDVDQRPSMTDVAERLLILHRSRKL >SECCE6Rv1G0377320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:1050716:1056309:1 gene:SECCE6Rv1G0377320 transcript:SECCE6Rv1G0377320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRESRGTERGGEPDEIPGDHINRLPDDLLCAIISLLPTKDAGRTPAVSPRWRNLWRSAPLNLVIHSPVNPHSPSSVDPSAVSTIVSNHLGPTRRFFFRGGVLSERWFQSRALANLQELDIKFLSAGPDYRCPLPPSALSSPALLVVKLGYCRIPNEIQTSMDFPLLKQITLLDVSISGDVFHGLLSACRTLESFYMSNVHAGGCLRVSSPTLRSIGFSKEYGGKSELIIEDAPLLVRLILPCTYSRDGGDKCLAIRVVRAPKLEILGPLFLVISRTLVSQGISPGSSANSMRTVKILSLRCSGQELNAVLNVLRWFPCLEKLYIVFRGQREVDKNNEPPYSGLHPVECLQDHLKTVVFKAFVGNDKQVYFARFFVLNARVLKKIEFEGWFMEYNSTSLGYLHNQLHAENRASQDAKFEIRQYINTTGYHLQEQIHDLSVADPFRTAL >SECCE2Rv1G0130070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:873366797:873368748:-1 gene:SECCE2Rv1G0130070 transcript:SECCE2Rv1G0130070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHGRAISWSFHPWLCSAPPPPPSAAVPASQLSRPSSLSPTPSGRLKDALHHPFRDVLWSDPNLFVHVFRACRTIPLLRQLHAFAAADRFTTNNLMLAYADLGDLPTACSLFERIPKPIVMSWNILIGGYIKNGDLGSARKLFDEMPTRNVATWNAMVAGLTNAGLDEDNLGFFLAMRREGLHPDEFGLGSVFRCCAGLSGLVSGCQVHAYIVRCGMDIDMCVGNSLAHMYMRCGCLAEGEAALQALPSLTVVSFNTTIAGRTQHGDFEGALEYYSMMRGVGIAADVVTFVSIITCCSDLAALAQGQQVIPVITCLVHMYSRCGCLGDSERVYSGYCGSDLFLLSAMISACGFHGQGHKAVELFKQMMNAGARPNEVTFLALLYACSHSGLKDEGLDVKHYTCIVDLLGRSGCLDEAEALILSMPVRADGVIWKTLLSACKTQKNFDMAERIAERVIEFDPRDSAPYVLLSNIRATSKRWGDVKCESQVHQFCTGDKSHPRQGEIDEYLEEMMAKIRQCGYAPDMSMVFHDMEDEGKEVSLTHHSDKLAIAFAFLSLPEGVPIRIMKNLRVCDDCHVAIKLMSQVTGREIVVRDVSRFHHFRDGKCSCGDYW >SECCE6Rv1G0409520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:589822324:589833464:-1 gene:SECCE6Rv1G0409520 transcript:SECCE6Rv1G0409520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) UniProtKB/TrEMBL;Acc:F4IA34] MEDLGIEAKEAAVREVAKLLPSQDLLSSIASIKADYLSRQQTNDTQLSSMVAEQVEQAQAGINALAISQETINSLRENFIDIDKLCQECQTLIENHDRIKLLSNARNNLNTTLKDVGGMMSISVEAAAARDSLSDDKELIHTYERLTALDGKRRFALAAAGSHKEEVGRLREYFEDVDRSWETFEKTLWGHIANFFRLSKESPQTLVRALRVVEMQEIIDQQVAEEAAEAEGAGAMATIANQRRTTKKGAGAASTPRNSTQEKSKTQGKGYKDKCYECIGKAVEARFDKLLTELVFSEDMMEALEEAKAIGEELGDIYDYVAPCFPPRYEIFQLLVNLYTERFIHMLRLLSERANDIQNINILKVTGWVVQYQDNLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYTNILEADKTQPPKSTEDGKLYTPAAVDLFRILTEQVQIVRENSTDVMLYRIALAVIQVMLDFQAAERQSLEEPASDVGLESLCALINNNLRCYELSSELSSSTLEALPPNYAEQVNFEDTCKGFLEVAKEAVLQTVGVIFEDPGVQDLLAKVYQKDWMDGMVTEYLVATFADYFGDVKLYIEDRSFRRFVESCLEETIVVYVDHLLSQKNYIKEETVERMRLDEEVLMDFFREHTSVTKVENRVRILADLRELASAESLDSFTLIYTNILEHQPDCPSEVVEKLVALREGIPRKEAKEVVQECKEIYENSLIDGNPPKSGFVFGKLKCLTVKKGIWGKLGQ >SECCE4Rv1G0261040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700098250:700099696:-1 gene:SECCE4Rv1G0261040 transcript:SECCE4Rv1G0261040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGPFVAFVCLLIVLPQIQAVSIPYNGTVPLQGCQIPCMTEVNLHLFLHQFVDGPNQPNRNEETLLQTSFPFGFGTTIVHDWTLTETTNSKDAVVARAQGVHVQAGLTKDNRWYMTQNIEFEQGRFAGSTLQVIGITAGLESGQWSIVGGTGQFIMAQGIISFTNHPASTWEDGIKELNIRVRYTTPQPA >SECCE6Rv1G0401030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:461918121:461927855:1 gene:SECCE6Rv1G0401030 transcript:SECCE6Rv1G0401030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLPPMLSVPEKKTAAAELFRDRHFFNSPVFIDLRDARASLSAPNSQTQPPSSSRSLLLRYHRLLSSARDDPCAFDDNLAFTWHDAFRPNLKHTSASLRFEKAAVVFNVGAASSRIAAAVDRAAEGGVKEACAEFQRAAGAFRAVGQMMEGEEGTVDMSPEAAAMLERLMLAQAQECCFERALAAGTSPAACSKVARQAALYYEEAYAALVIPPLQNHFERSWLSHIQLKAAQFNAEACYRYAIELQEKMEIGEEIARLQFGINAVVDAKRAARGAPASLYDSVSRLEQEMNQNLEKAVNENNRIYLMRVPAAKLLSLLPSASLVRSASKSEVLDAKTETGLQSS >SECCE7Rv1G0523570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882596160:882597311:1 gene:SECCE7Rv1G0523570 transcript:SECCE7Rv1G0523570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSGRRLAAQDEAERTPVPRRRSRARPDLLAGFPDEILQQEILPRLPAKSVTRCRSWRSLASDPAFLLDHHRRQPALPLIRSCRISDGSGREFCLNAMDLRSAKLGPSFQFPFGGSFSIVASCDGLFVVGNYIICNPATLQWATLRQDAKPVENIFALFRHQPSGEFRVMYWRNNFRELICRQEYYILTVGSNNSWRVDCPLTEVLAEEPSIFGAPVLLNGSLHIHWRRRSGVRYHRIRVFDTVAETSRQMRPPPVNPRHVMHLLDLGGKLAASISKDGMTGMSIFVLQDPEHDVWAFQYRIKLPVMEIRRFQEQGDWWAKVVSEEGAVLVSCYGHLLQYDKKGNLVAKFEYDDDMPVVIPHRLKESLIQHTFFQKAKNKN >SECCE6Rv1G0414040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:628796953:628798984:1 gene:SECCE6Rv1G0414040 transcript:SECCE6Rv1G0414040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSVEGLGKSSLDMVEDNEVDRLSRLPDDVLLNIVERLDIADAARTTILSRRWKQIPAILSKIVIMVGSFQPKHEGRKLTSDDIVRANTNVLEATRSILEKRAASPHTIHLLRMQFYLGDESIFIGQTVANTIATHKVASVEFTILTKVRTNFTNNDLLTYGRQFMSFLDSCPNTFGGLARLTLENLRLGESDFPKIFSICNQLEVLCLYHCDMGIESLLEVEHSQLSELVIGRSVLIKRVDLKWVPKLTVLKFNIFRSQDDPFCLGYVPLLQTVSIINTGFSWHKMLKISELLGETAISNLHLNFKSEKIWVKPEGRRQLSPVLHKLRLVNLINISEECDLTWIMFILQGAPTLKELRILVRDHLCEMVTGDRRKKYAFSEEKDKGLEWEPSASDFKHHNLAELRIYGRFEANEKIVRFTRNVMEAAVNLEDIKLYKSPVCENCKHMLQEWTLKEKSLLSYKLSKGMLSSLVRIQFPSLGEFFTWPKYWS >SECCE4Rv1G0233850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:254457236:254462432:-1 gene:SECCE4Rv1G0233850 transcript:SECCE4Rv1G0233850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRTSWADVADAEPAPPAHVPATAPATSNGPARADRSSYVPPHLRNRSSSTAPPVSSAPPPRAAPGLLGRPTGGVGGRFGGGGAPPRRWDREPNPFGDEEEVPAEPVPFDEHENTGINFEAYEDIPVETSGREVPPAVGTFAEIDLGAALNDNIRRCKYVRPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPVQRSQRGGSRTACPLALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPITQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPRGVRQTLLFSATFPGEIQRMASDFLENYIFLAVGRVGSSTELIAQRVEFVQEADKRSHLMDLLHAQRDSSDQGKQALTLVFVETKRGADSLENWLCTNGFPATSIHGDRNQQEREYALRSFKTGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKNGIATAFFNDNNSSMAKSLADLMQESNQEVPAWLTRYAARPSYGGGGGRNRRGAGGGSRFGGRDFRRESSSFGKGGGASDYAGGSSYGGGGYGGAGAPSAWD >SECCE6Rv1G0382740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:47313117:47319718:1 gene:SECCE6Rv1G0382740 transcript:SECCE6Rv1G0382740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVADFWSRMKKGKHKLDSWDLSYLECKIFSWSLEDVLNKDLLKEKVKRIPKTFSSLEHYLDSFAEPLVEEVHADVLSAFEGYHQAPFVQVTRVQKLDHGEKSPAFFFCFLAARRASYTPAKDDIVAVSSSRKGKHPSSYVLGSVCKSWEDDENFPADCYIVRLSTSVPPVEVDAKTNRPVAPLFVLFIMNTKTYNRIWKCLQLGRTSGQKRVSDAGLVDAIWRYSASKAVENDARSQSSHRSAVDDLGLDKFKLNKSQVNAVEDCVAAMGSPSPSLKLIWGPPGTGKTKTISTILWAMLLRGHRTLTCAPTNTAVLEVASRLVQLVQEFSNGGSAGCFLSDIVLLGNNEKMKVEPSHELSAVFLDCRVERLSQCFSPNGGWGHCLRSLMDFLAEPVSKYQLYTDKITKDREEEEEKKRNISSNVLDKKNRNVARCNKGNGHEKDRCNNEGDVQVFVTLSFKDFVIATHKELARNLCHCIETLQNDFPRGPRTAANFWCMSDLVEATRVLCALLDAGAGDRHEAWVSDVADACSPCSVSSDPPCKECRFRKARSLCLEQLEYLRSNLKLPGYYEKRPIETYLLQRAKSILCTVSTSFRLYNVLPTDNHKPVGGQGQRQLKEAEIFPPLELLVVDEAAQLKECEAMIPLQLPCIRHAVFIGDERQLPALVKSKISDNADFGRSIFERLISLGCRKHLLDTQYRMHPEISRFPVWQFYGGEIGDGPNVISKGHRRRLLRGNMFGPYSFINVRGGRESSEEHSRSPKNTIEIAVVSLIVERLFRESASSGTRLSVGILSPYNAQVRAFQEKLEKPYGCRDGFSLKIKSVDGFQGGEEDVIIISTVRSNEDGAVGFLRDAKRTNVALTRAKHCLWVIGNATTLSKNRSVWQDIVYDAQRRRRFFHADRDKGLSDAMQAATIELDAADNLRKMGSLQCAA >SECCE6Rv1G0385250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:74135726:74138730:-1 gene:SECCE6Rv1G0385250 transcript:SECCE6Rv1G0385250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVGEDFPKKVRLSCFHDAGADGEASIRAELGKPMELDLMQFYKEALSRLPIADMPEILSCVIRGGHCIGLLDPVSNIIFNALNHLCRRKCGGGSRNIKGRRPCAAQVNISKGKEEKEKGPPTEPSFVLISFRSYNGLIAFMRIYFRYLSMEQAMLYLRLAGADLAAAVQLGENQQFAPEDRPATGSPDLCCGRTQFALKVAASISGHPVPDDLVRLAKFLVPLKDHTVLAAVLKKGVSLSVSDINGILNFLRLQHYAASPAVQVTFQSRPYSTGMDLSGLELGGLLLDSDKRPGHYVCPLGENYVADVVVSRSDGHVSSICDLRAPEMMKKMLLDSLNAAATTIVKYTPQHIGRNLIAAPSTTACQCEHTRYLKMCLTDTIHALYIKAVSLLPHNVLHKHLRGILVAGHCYGPLDPVSNIIRNAIWYDSVYPLLQIDTVTEPDILDSQSMLCMEARSLDSLLAMICTASGFSEHIALEYLCFKQCDLSAVLQRATKEVCYKAYVAAGQAGKHPNHLELASFLTSMLPQDTLLTGEATGKGYVISDAVLEQVYKIMEGQSPSTAPSDIQPRLCPQAWMMLASRKDEFVLKQKFLGQILEQLLLDYSNQHPWEPVPRLDVICGVKKGDHGHSKFYHVNFLVYYDDVSSARVLFFAEVWESSFQAKRSGPNTSASLVTQPCTRKSRQVESGVQFVKVYSKSSRKQSKTSFCCPLPHYSPDHPYLGRCDVCEPISSKIAHPPSGNHIGANYKGLGELLEYLNVRHYNPSAADAITESDFVYFDCLRDAKIAKVLSDMCSLEEKKLIIR >SECCE1Rv1G0042360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:579525286:579525897:-1 gene:SECCE1Rv1G0042360 transcript:SECCE1Rv1G0042360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTASRPSAPQRARVAVGAGWKAAAHAASCGAVPGEVARHHEHAAGAGQCCSAVVQAIEAPVGAVWAVVRRFDRPQAYKHFIRSCRVVDGDGGAVGSVREVRVVSGLPATSSRERLEILDDERRVLSFRVVGGEHRLSNYRSVTTVHETASAGAGGAVVVESYVVDVPPGNTAEETRTFVDTIVRCNLQSLARTAQQLALAA >SECCE3Rv1G0162320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:129165754:129171853:-1 gene:SECCE3Rv1G0162320 transcript:SECCE3Rv1G0162320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWEETSSSSKAAAPPAIRLVSFVSEEQLDEAKRSRGERVEDGTAQRDKPLFQILQENKDKKDAEFNERFKHRPPKALDEDETEFLDKLALSRREYDQQVANEEAEQLRSFHEAVAAQSTIAHELGEMPTVSMPEESKPKPPSKRSQPEFLRNITVSVKPRAKKAKSDAECKPAPKELVPSNGHDTNREPQGDNKSSVLGSLVAYGDDDDESGDEQ >SECCE6Rv1G0403740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:509620610:509623058:1 gene:SECCE6Rv1G0403740 transcript:SECCE6Rv1G0403740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVVDLAPFLQAAAGDAADADEALRALCATVSASLRDTGALLVKDPRCTAADNDRFLDVVERYFARSDDSKRLQERPHLHYQVGVTPEGVEVPRSLVDKEMQDRIKSMPEEFQPATPKGPDPKWRYMWRVGPRPSNTRFKELNAEPVIPDGLPEWKETMDSWGAKMISAIEVVAEMAAIGFGLSKDAFTSLMKEGPHLLAPTGSNLLRHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTKRTLEAIELAKEQNRSLWRISSTLFSHIASDATLKPLGHFAEAPNAHSYPPVCAGEYVEQELSAINLKGKVAL >SECCE5Rv1G0302360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:37830109:37833689:1 gene:SECCE5Rv1G0302360 transcript:SECCE5Rv1G0302360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKLGSKPDAFTRRGQAWFCTTGLPSDVIVQVGEMSFHLHKFPLLSKSAILGRLIEENSDQDECTIKLSDIPGGAKSFELVARFCYGLKIELSPANIVHLRCAAEYLEMTEETAQDNLIHQAEMFFNQVVLRSWKDSLEALKTCDVLLPHAEDLHIAKRCVESLAAKASIDPDLFGWPVSEHGAMHSPGGSVLWNGISTGAKLGNFSSDWWYDDASSLSFPTYKRLISTMESRGAKEEIVAGSLTYYAKKCLPGLNRRQSMGLVPLTSAAATLSEEEQRHLLEDIDRMLPLQRGLIPTNILLWLLRTAMILKVNRACISNLERRVGMQLDEATLEDLLLPNFSYTMDTLYNVECVRRILDHFLAMDQTMGGGSPCLDDVMGSPSLVPITAVAKLIDGYLAEIAPDINLKPLKFESLATALPEYARPLDDGLYRAIDVYLKAHSCLPESEREHLCRLIDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLMVSDNLEGSSRPLHGSGAITTSGEAGARWVTSAAVRENQALRAGMDSMRLRLAELERECSGMRQDIRKLGGAAGKDGWAARVQRMFSLKMKLQMCSTEEGKMNDRHRTASAKLEKLQSKVSSHKKHLTIDA >SECCE7Rv1G0467250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:85749838:85754428:1 gene:SECCE7Rv1G0467250 transcript:SECCE7Rv1G0467250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGNGEVELSVGVGGGGGGAGGAAEPAVQISLGRLILAGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGLVVQPCVGLYSDKCTSRWGRRRPFILTGCILICIAVVVVGFSADIGAALGDSKEECSLYHGPRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSAQHGPSAANSIFCSWMALGNILGYSSGSTNNWHKWFPFLRTRACCEACANLKGAFLVAVLFLAFCLVITVIFAKEIPYKAIAPLPTKANGQVEVEPTGPLAVFKGFKNLPPGMPSVLLVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTPAEANAFQEGVRAGAFGLLLNSVVLGFSSFLIEPLCKRLGPRVVWVSSNLLVCLSMAAICIISWWATQDLHGYIQHAITASKEIKIVSLALFAFLGIPLAILYSVPFAVTAQLAANKGGGQGLCTGVLNIAIVIPQVIIAVGAGPWDELFGKGNIPAFGMASVFALIGGIVGVFLLPKISRRQFRAVSGGGH >SECCE5Rv1G0303500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:49035140:49035760:-1 gene:SECCE5Rv1G0303500 transcript:SECCE5Rv1G0303500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRCRVFAVAAATLCLVFAHGVAAARPLEGDQFAGVAPAAAATADMVMDVSTASSPEGSATWERGDEALGAGKWLPLPLPTALTGGLRFPGVFQLPAATVGASMPWMAGAPPALAGPGMPALVPPYIGVTRQEQLSVWASLFNPFRVRPRVPAQPSSVPAEPRVPAVASAGLDRTTTVDQPAAGAQIGEPKWGVFLGSAIPNNNG >SECCE4Rv1G0216110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8811323:8812519:1 gene:SECCE4Rv1G0216110 transcript:SECCE4Rv1G0216110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPKDRPAGRLGRLISALRPARAGPLPVQTGFPTSLADLFVKNHGRLKKQQQQQGPTSSTRRKRRGAPPLPSPPPPSPSPPPAAVSPPSAQPGPGLPRAERARRPGGGAAGLGLGFLALVGVASLALLVIWSRKVVAAVTVAAFSLFLLESVRAASLRRRPLRPPATAELDVDGRGYVSPIRELEPEPLGSSFSDSGRGLGASILGIDERCEAGEDSCVWEAKPRKRSPWRKLIPRKLQRGRRIGKDSSGSLSSSFRSSSFRSEASLPDSTLGGNAHARAAADPPDSRRGGRRGQANARADESPDSSGPLRGIMGDSDGAITSPDSSGPLRGIMYAEARGEPAGVLGADGVGGGGAGRFPLVAVTVVVLAGLVAGRVPAVAFTVLVLSLQRLRGGD >SECCE5Rv1G0302030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34992533:34995176:1 gene:SECCE5Rv1G0302030 transcript:SECCE5Rv1G0302030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGIGKHGAGKWRTILKDPEFSNILRYRSNVDLKDKWRNMNVTVNASGSRDKVRATTTTTTPTAKKPRSAPKQESHPTPITTITSDGDDDVVDVKPIIKPIVTFTTGSGNKSLSRLENIILEAVKTLNEPTGSYKTAVANYIEEQYWPPADFDHVLSAKLNELTSSGKLMKVNRKYRIAPSSSFLEGRSSKMLLLDDIKKEPTKVEKVERDGFTAHTKSQVDAELARMRNMSAEEAAAAAARAVAEADAIMAEAEAAVREAEAAEADAVAAQAFAEAAMLTLKNRSSAKLIIRG >SECCE1Rv1G0017710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:161231603:161232931:1 gene:SECCE1Rv1G0017710 transcript:SECCE1Rv1G0017710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNGSRDEEERGLELSLGLPGYFSGSPGQEGLEEKGGRRGAAGSVEKGRSNGSKPSRPAAAAPVVGWPPVRSFRRNLASSSSKPPAAEPRHSAGGKADGGGSSSVVYKGRFVKINMDGVPIGRKVDLKAHGSYGKLAAAVDHLFQGLLAAQRDESSCVVGEKHAAITGLLDGSGEYTLVYEDDEGDKMLVGDVPWDMFIATAKRLRVLRSSDLNASSLRAAVSGKRGAAEC >SECCE6Rv1G0438400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:789190913:789192762:1 gene:SECCE6Rv1G0438400 transcript:SECCE6Rv1G0438400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGWSDLPPDLAREICRRLHHDAVDLARFHAVCKPWRDSRTATAADQFLPWLVAAVKEDEMRLEMRCVLSGSNYRSQPLLSEPARWNWVASSGDTALRCLTIQRLRPSLYDPLTGAAEHLPLLPQSLGLWGEEIDPHGVINGSGATLLYSISTTGDMVGHRPTARFMAALLRPGDAEWTILERILEHRFGSWSVRQPVLTSVTYHDGMILAVMEDDQSWRLVTPNCNILRDELVESQGPPAVQVWFGESTCHYSYVLESRGEILRLSINTWEYNRYLKGTNPKLLKVKVSLQALEGPLLSESSPLEKMRWVRRDGRSLADRVLFLGMRHSFVVDAGRVPNVHGGCAYFVYHNDNAFTYGKRAVFRCNLINGRTELVQRLPRCWDYKMCMWFNSESVNTPPQEISEGPPNQQQQIAPTISSPPRHTIHVERHRVPRFRVLVRNLPLTVNSTQLRLFFGEHGKVSSAEVIFYKKTRASQGIGHVTIETTHSHQEDALAALNELVLDGCCLKVTLIKEDQPPQRQHKRR >SECCE4Rv1G0245390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:528691876:528695816:-1 gene:SECCE4Rv1G0245390 transcript:SECCE4Rv1G0245390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) UniProtKB/Swiss-Prot;Acc:Q84VX0] MTVGAGIAVQEDGSLAALGATVLTEVRDNVLVTPAAGGGMLNGAFLGVRSAPAASRSVFPVGKLRDLRFMCTFRFKMWWMTQRMGSSGRDVPVETQFMIVEASDGAGDEQSAVYTVFLPILEGSFRAVLQGNENDELEICLESGDPAVESFEGTHLVFVGAGSDPFEVITNAVKAVERHLQTFSHREKKKMPDMLNWFGWCTWDAFYTDVTAEGVKEGLQSFVKGGTAPKFVIIDDGWQSVSMDPAGSAFVSDNAANFANRLYDIKENHKFQKNGRKGHREEDPANGLAHIVSEIKGKHELKYVYVWHAITGYWGGVRPGADGMEHYQSKMQYPVPSPGVQKNEPCEAFNSIADNGLGLVDPDKVFSFYNELHSYLASAGVDGVKVDVQNILEALGGGHGGRVLLARKYQQALEASIARNFRDNGIICCMSHNTDNLYSSKRNAVVRASDDFWPRDSASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGNHDFNLLRKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNAHSGVIGAFNCQGAGWCQEGKKNLIHDVQPGTITGAFRGRDVSRLQEIAGDGWNGDVVVYSHVAGEVTVLPKDAALPMTLKPREYEVFTVVPLKRLPNGASFAPIGLIGMFNSGGAVTEVRYGGDAGVEVKVRGAGTVGAYSSARPKRVAVDSEAVGFSYDDGSGLATFEVGVPERELYSWTVSIEY >SECCE5Rv1G0323770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:439850214:439856549:-1 gene:SECCE5Rv1G0323770 transcript:SECCE5Rv1G0323770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIAASFSAAAAVAVARRSSPAHLKLAARRRLPFCTAASSSSAPPAAAAGFGWADALRVASELGVGDESDLSGYSRKVDICNRGMGNKGEFVEFMVEDQVVGYIHKGFTEHLRDFHDVFTIVSGNNGKNSVEHVTLHSSLRTPEDRTIAIGDVIKGLGELIPGIRNELYPVTSSYGMPVYFSLERAAAPYFGIKVYGIHMNGYVEKHGEKSLWIGKRSDVKQTYPGMLDHLVAGGLPHGISCKENTIKECEEEAGIPRSMSTNATSVGAVSYMDIDGFRYKRDVLFCYDLRLPVDFVPNNEDGEVDSFRLIPVPHAANIIRRTDFFKPNCTLVIIDFLFRHGYINPDCNGYLKLLTSLRSGDCS >SECCE5Rv1G0318890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:369108921:369109592:1 gene:SECCE5Rv1G0318890 transcript:SECCE5Rv1G0318890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNGYGGGLPTHTATSKPPADDPRGQKQRLRRRRGCLCCACLAVTIVLIAVVLLILFLTVLRVRDPTTRLVSTRLVGVAPRLTFPALSIQLNVTLILTVSVHNPNPASFSFPSGGHTDLTYRGAHVGDAEIDPGRVPSKGDGEVKMALTLQADRFAGDLAQLVADVEAGSLPLEASTRIPGRVAVFGVFKRHAVAYSDCSFVFGVAELGVRSQECRDHTKL >SECCE5Rv1G0338050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:596048863:596049447:1 gene:SECCE5Rv1G0338050 transcript:SECCE5Rv1G0338050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKGTEVLKKVAATCKNKTSVLAARLLVLVSLRRRMATVGAFSHRIHALVAATDREKGARVDCHKALVVRKAEKTPTIHGGEIVVNISHQLALFDPEDDGDGGCTDWTLHPVFNDDDNNCCYTYECEVDHDEQDDDVLLDECDEDIDDQPSVMDVIRNNREAEGLEFSIDDEIDQAADMFITRFWKRMNQSF >SECCE5Rv1G0303020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:43887656:43889334:-1 gene:SECCE5Rv1G0303020 transcript:SECCE5Rv1G0303020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETTRRRRGRPRRRSSPTEPAALPDDDDLLREILLRLPPQPSSLIRASAVCRRWRSITTDPGFLGRFRAHHRKPPLLGAFEYIQDDLVFTSLLDRPDRIPPGRFDLGRYSDHCEYRVLGFRHGRVLVLDRVRKDLVVCAPVTGEQRRVAVPPEFKTGSLNGAVLCAAGDPGHVHGECHSSPFKVALVSLCRHEYRPLASVYSSDTGVWSNLVSTATPCVLFDACMAGSLVGNAFYWLLTTMDAGIVEFDLEEQSLVVIKGPPVTDDFPGGGTHRIIQAEDGAVGFAMMSYPHFQTWQRNVDAHGVATWVPWKTVDLRNILGPRPQIGRTRRMARLTGRDYVKGTGGIMCYVEDTDVIFIHMDVDVYMIELNSMQSKSIPGKRFRYAYHPFMSFYTPGFAKTRLWPGRKKKGFDQELLLYHMVYMM >SECCE2Rv1G0129630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:869595453:869598198:-1 gene:SECCE2Rv1G0129630 transcript:SECCE2Rv1G0129630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASADAAAAAAARTLRWAGRAGHLGGVPRAAVIGAVGTIAKAYVSLLNTTTVHNADALHRLVSSRPPGTPLLTVSNHMSTIDDPFMWGFKGFPITDSKLARWVLTAEDICFRNVFMSYMFRLGKCVPITRGAGIYQDHMNEALEVLSTGGWLHSFPEGKVAQDHQPIRRLKWGTASLIVRAPVTPIVLPIVHTGFEKVMPEKSFFGRRPPLPLCGKEIQIIVGEPVEFDLPGLKQVAATIPQDTSFERKGWPTITPDGLDEAAQRWLYQKMSDKIQSAMEGFRKTLLNLKQH >SECCE7Rv1G0491850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:540245094:540246491:1 gene:SECCE7Rv1G0491850 transcript:SECCE7Rv1G0491850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G11430) UniProtKB/Swiss-Prot;Acc:Q9LPZ1] MAALPTAAAAGRVAASAFTFPAKPCASSFSAASLPRAAAAAAAFPAIALAPAGGLQRRRRPTTVAAAPGSEQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPASRKPKQQATQPESASS >SECCE3Rv1G0169060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:230599366:230600916:1 gene:SECCE3Rv1G0169060 transcript:SECCE3Rv1G0169060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLKSPFLSPSGPRPTSPTSRRPAPLGHRHHRLPPPPPLPPPPPLPLPPPPPLPSSSLPLPHPCPPADALQMPPSPPLPPPPPLPPVTSHLSRLSSSPPLPPPRRTLRKPPRRRTSPVGRASSASGLPTIVLALACSAPTRRARGGHSVEQLAPARGSPKTLPAFDTAPCEGLAGVPLELLPPKKRLVRCLPYEAASTIQEIASHGRGDGFGDRPASPTVEGDGNDAGFGNRPVSPTAEGDGNGDWFGNRPVSPAVDGDGEGFGNRPVSLTEEGEWDGHGDGDGEVFGNWPVPLAVDGDGGGDAGIHWEAPQFYPDDDGLRAELNRLHVPRPSLVLTKRLTLSDRSRDKARLVLPDGLVRASPLLSTMTPGERHLVLAGGGLAVPAFDRLGRPYRMMLKRDRLARTYRLTGEWSLFLSRHGGMRDGDAVEVFTFRPPAWQERLGRSGESGLGMALLHCRRPRAAPVPANATGWDGWDAADGLVLPDENPARRERLARRRRRRGRPVNHNVPFRPS >SECCE6Rv1G0386490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:96682899:96685743:1 gene:SECCE6Rv1G0386490 transcript:SECCE6Rv1G0386490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAESIGKYRVGRTIGEGAFAKVRLAVDAETGGCVAVKVIDRSTVLRNNLMYQVKREIGAMKLLNHPNIVKIHEVIATKTKICLVMEYVPGGQLSDKLSYLKRLDEREAKKYFYQLIDAVDHCHRRGVFHRDLKPENLLLDNQGNLKVSDFGLSVLRKPGQLLSTSCGSPCYVAPEVIQHKTYDGAAADIWSCGVILFELLAGHLPFQDCSLINLYRKISRAQYALPQWITLPQKKIILRILDPSPITRAKINDIFDDEWFQEGYNPSVRRTESDDGDDCVDLDEAGTDSDGSHSTEVREARGANPEPEQFINAFRLIATCRDLDLSGLFQEQKTKLGSPHSVQKTLDIITAAAQDVSLSARRMSSSMVKLQDIRLLSRSMLDLTLSAEVIQVTPAHCVVEVSKSSGDLRAYKEFCTSLCRLLTGRMQQHGTSSGLETNQV >SECCE4Rv1G0250220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:590000635:590000862:1 gene:SECCE4Rv1G0250220 transcript:SECCE4Rv1G0250220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFAVVPVMFLMIVVFFAVSGAARQLGGDVWAPAGEVFSGDGVAAQLLRQMYLQRLGAGASCGTHSANGGCPH >SECCE1Rv1G0004100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16350656:16351838:1 gene:SECCE1Rv1G0004100 transcript:SECCE1Rv1G0004100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAPLFRSLPLLVLLVTLSSTTLPSSGATSGDGDDNGLLMLGRFHRWMSAHGRTYHSAAEKLRRFEVYRRNVDLIEASNRDAERLGYELGENEFTDLTNEEFMARYVGGANGGPGALITTLVGDVTEGRVSSKNAVDEDRNLTMTASDPPRQFDWREHGVVTPAKQQGACGCCWAFAAAATVESLNKIKGGELVDLSVQELVDCSTGAFSSPCGYGWPKSALAWIKSKGGLLTEAEYPYMAKRGRCMVHDAARRVGKITGVQEVRPGSSESALALAVLGTPVTVQIDGSGPVLQNYKSGVYKGPCTTSQNHVVMVVGYGVTGAGEEYWIAKNSWGQTWGQKGFFFLRRGADGPRGLCGIAMYGAYPVM >SECCE4Rv1G0254350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:635333748:635334421:1 gene:SECCE4Rv1G0254350 transcript:SECCE4Rv1G0254350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDHQQGGSDSQHQLQERPKRQRRHTPEQVQKLEESYKKFEHPNEIQCNQLGRELGLETKQVRSWFQNHRTQMRIEYKRMENNFLRWEVTSLRSEIMAMREALKNSKICPNCVAKDCIDQERNRENARLKAGLLRCTTSFQDEP >SECCE2Rv1G0090250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:273657215:273659190:1 gene:SECCE2Rv1G0090250 transcript:SECCE2Rv1G0090250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCFKTEHPLERRQAESARIREKYADRIPVIVEKADKSDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVNSTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >SECCE2Rv1G0114840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:740465703:740466260:1 gene:SECCE2Rv1G0114840 transcript:SECCE2Rv1G0114840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAHSCHGYDLVLRRYLLALHHLLDYVRFVAAVVLDRLGIVLFQGEMLPGAPWSELVDTAPMERLMEAAFWQSSSPPKVNRSSSWTAPQYRRRRVAPADVEGGEDDDDGCVGVCAICLAALDVGGQPVTELRNCSHAFHAACIDSWTASGEAGTCPLCRTPTLPTTCWNGWREVVPRASLSPQQ >SECCE2Rv1G0105630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:623206378:623208584:1 gene:SECCE2Rv1G0105630 transcript:SECCE2Rv1G0105630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALLPVALLLCLALAGSANAERKPVGFYELKNKKGDFSIKVTNWGATLVSVLVPDCQGNIADVILGYDTLGGYVNGTGSFGATVGRVVNRIAKSRFVLDGKAYRLFRNDGNNSIHGGHRGFGKVIWTVKEYVRDGDTPYITFFYHSFDGEQGFPGDLDVYVTYQLSGPYDLSIRMNATATSKATPVNLANHAYWNLAGHGSGDVLEHELQLLASRYTPLDDTKIPTGQVVPVAGTMYDFRTPTPVGAHMEIVAGGGGGYDINFVVDGQQDAMRKVACVRDPESGRTLELWANQPGVQLYTSNWVSNEKGKAGKVYEQYGALCLETQAYPDAVNHPEFPSSIVRPGQVYKHDMLFKFSN >SECCE1Rv1G0033860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:472419994:472420491:-1 gene:SECCE1Rv1G0033860 transcript:SECCE1Rv1G0033860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASATSTRKGGIKAYWKHRGYDRLDAAAAQRRPPLATAELGAGRGAAATATAGRRRGWRVRRRGLGRRLLRALSPRRLLARLRDAYVNAMLRLASSAAVGYGAAPFCAGSEPFARPRPLKEYDEKVLVEMYRSILARGGVIPLSGDASLVVGPAPLPAAATVA >SECCE3Rv1G0201440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:864035361:864041743:1 gene:SECCE3Rv1G0201440 transcript:SECCE3Rv1G0201440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMCHAVESEEATMDGDNNVLTCSDSCWYKCSHGIDSSVTNGEMEWLSMQTSSCGTPYSTPYGSPRFSRGSSSSSFASCFSSFGGSLMDTDSEEEIELLDTGQLHPDIFVSDEFMEQGEENLVKEEECEPSHTAVFSGGANIPIPADQNISSQTQLETDQGATKESFDAGNSEPDRDILSNDQLTGTQYGEEVTSLPMPGGEIVPLNEQIMDQVDSNKENTIVYNNISNAESGMKADADSENGIDCLYPLVLPSFETDPLIWLPPDPANKEEDVDTLANPDDDSDNDTKWGQSSLNLSFDEEGSNNSREDQLQKAMSEVMNGQFKILVSRFLAAEGFSLSDGATDNIWLDIVASLSWRAALLVKPDANMGNAMDPGLYVKVKCIASGSCQQSEVINGLVFKKTAAHKQMRANIKHPKLLILKGDIGQFSTGLSSMNSMKQESEQLEKTLSDMISKCRPDVILVEKAVSRNVNEYVQKQGVTLVSDMNIRRLERIALCTGSPIISLQDVRTKTNLIKQCESVNFQKFVEEHNLTVEGGRRSSKTFLFIEGFPKPMGCTILLKGSTSETLKKIKRVLHFTVFAAYHLVLETSFFTDQRSFITEKNASRKEDCLKTDSQLHFPGSTSDEQYANMEELADTKNSMSQHLHDSEIKNSRDSDSQCIQSSSSLPDPDPSTDIIGDIAYISSAESTSCDGFDGSNIAAAPEKVNTHKKEASGKKIEETFDDETRTGARTSLNPQTILISMSSQNIRNKAVCEQSHLSRITYYGNCDTSLGMHLQDTLLNEKHNCLSCGEPPEAHMYSYTHHDGTLTVLVKSLQLEEALSGEGQRRIWMWTRCLRCSGMATPRVIISSSARNLSFAKFLELSFSTHSSAKKLSTCGHLLHRDCLRFFGLGSKVAMFRYSSVEIYSATKPPMALQFHNPNRKDWLDVEVKSVLAEWKLLFSEIENTIQGLKSRYSGEAMGKNTNSSAYEGLCLEVSSMLAQERNEIEVSLKAFDHIAKPETCVHEILSLNWLYQQLLLGFYVWDVRLYHLLQYIKLDGASSDNSTHKSTQENELSSKNISVPIHGDALSVLNIGMERLEATINSSDDFGNSRSGIISEKDQLAEKSPIKELQSSPGEVDENGSHQNVLPVKDDEHPAPARGNEIYPIAMPSKHLLVLQNLLDFMSDDTREWVWNKLSHLEMGYKKEIQIGDLNKFHLINKYTPTSSSLTQLKCQTNLMHFVAGPCGNILSIVEEEISSIIAYALAISEEQGIYSEAAFEKDELLSRRKLDKVAPSNLARGTSMPSSVISSKESSEKDHSQLSNTSSLSYEESTSGFYDSFLSALKDMHPEICLNSEKLGLKSKYTVVSVYARQFYELRKICCPSELVYISSISRCKLWNAQGGKSNAFFAKSMDDRFIIKEIKKTEFDSFLKFGLEYFKHFSESQVSSNPTCLAKILGIYQVKEIRNGKEARTSFMIMENLLFGRNILRRYDLKGALFSRYVADSTNPESVLLDQNFIEDMRTMPIYIKGKTKNFMERALWNDTSFLCNMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLVVPKNLSPTVISPKEYKLRFRAFMSQYFKSVPDG >SECCE7Rv1G0462620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:47225029:47225250:1 gene:SECCE7Rv1G0462620 transcript:SECCE7Rv1G0462620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVDRRRRCPLLFCYCTDANTKLSRRRPLLLASRMQAHAAVRRLPLSSATSWMRVRVSGTTVRSTSVAPRT >SECCE4Rv1G0227390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:122419530:122422458:-1 gene:SECCE4Rv1G0227390 transcript:SECCE4Rv1G0227390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 [Source: Projected from Oryza sativa (Os11g0210300)] MATAGKVIKCKAAVAWEAGKPLSMEEVEVAPPQAMEVRVKILFTSLCHTDVYFWEAKGQTPMFPRIFGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECPHCKSPESNMCDLLRINTDRGVMIADGKSRFSIGGKPIYHFVGTSTFSEYTVMHVGCVAKINPEAPLDKVCVLSCGISTGLGASINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNASRFEEARKFGCTEFVNPKDHSKPVQQVLAEMTNGGVDRSVECTGNVNAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNFKPRTDLPNVVEMYMRKELEVEKFITHSVPFSEINKAFDLMAKGEGIRCIIRMEN >SECCE4Rv1G0279010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811701170:811703281:-1 gene:SECCE4Rv1G0279010 transcript:SECCE4Rv1G0279010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARMPILVAVALVATAASCSAWEPTIRMPTAEAAAAAIDDAVAPLIHALRPLLGSGGQLGSRGGVPCDSWRLGVEAYNVRDWKTVPANCEGYVGHYMLGNHFRRDSKVVIDQAIAYVDSLKLAGNGKEVWVFDIDETTLSNLPYYATHGFGARPYNATSFDAYVLEGSAPALPETKRLYYKLLKVGIKPVFITGRTEDKRAITVANLRSQGISGWMNLTLKQSGFKGTAISYKSAERKKLQDAGYVIVGNIGDQWSDILGAPEGARTFKLPDPIYYIG >SECCE5Rv1G0298770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:13553067:13554497:1 gene:SECCE5Rv1G0298770 transcript:SECCE5Rv1G0298770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMPCLVLLLVMACLLQASLTAANPPSWELPESEMRAKWSRWMTKYSKRYSGPEEKEKRFQIFKQNTNSIGAFGSQTTVNAVVGGFGPQTITTVSVGMNRFGDLNPSEVVEQFTGSNNTGFVPEAPTYLPYEDSRKSCCVDWRSHGAVTGVKFQGTCLSCWAFAAVAAIEGMNKIRTGELVSLSEQQLVDCDTRSSGCSGGRSDTALGLLASYGGITSEERYPYSGYSGSCDVDKLLFDHQASVKGFKSVPPNDERQLALAVAQQPVTVYIDASTWDFQFYSSGIYKGPCSAEAGRVNHAVTIVGYCEQFGEKFWIAKNSWSSDWGDQGYIYLAKDVYWPTGTCGLATSPFYPTA >SECCE6Rv1G0437620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:785562494:785566133:1 gene:SECCE6Rv1G0437620 transcript:SECCE6Rv1G0437620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMGTLGSQSPLSFSSSLRNAKASCGWPVYNVKKIEGGQRLDVVCHGMLAPRKFVRKKREEEVFKDADDEAKQKSWRRMMSEIEESGSAVASILKTQRNTTGTLPRDVVLGTLVRFKQLKKWNLVSEILEWLRTQHWWDFSEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYRPTVISQTALMEAYGRAKQYRKAEAVFHKMQTSGPEPSPITYQIILKSLVEGDKYKEAEAIFEDLLNEKRASFKPDQKMFHMMIYMYKKAGDYTQARKLFAQMPERGIPQSTVTFNSLMSFEADYKEVSSIYDQMQRAGLKPDVVSYSLLIKAYGKARREDEALAVFEEMLDAGIRPTRKSYNILLDAFAVSGLVEEARTVFKTMRRHRVEPDLCSYTTMLLAYVNASDMDGAEKFFRRIKDDGLRPNVVAYGTLMKGYSKLDDVEKVMRVYERMRMQGVEPNQTIFTTIMDAHGRNSDFGNAVIWFNEMETRGYPPDKKAKNILLSLAKTPEEQEEANELTGNGAVQLEVKPNGVPASLGTNGADVDEAGLTDSTAHHHSLNGAPTSDLNGRNKAGSSGFEDEDEDDDDYEEMDDEELDFVSFKDKRELNFAT >SECCE2Rv1G0079950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:125133087:125134592:-1 gene:SECCE2Rv1G0079950 transcript:SECCE2Rv1G0079950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEAMELLGFAPYSRPSPSEVKAAYRRMVMESHPDRVPTHQKPQAESKFKQIVEAYSCLKDGRRFGNRMEVHVMRSGVPTGYRRSNKILVKAPFLLIICAAVSFGSYSASRAYQRQKEVCSSQNPFLP >SECCE7Rv1G0487010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:422231519:422232454:1 gene:SECCE7Rv1G0487010 transcript:SECCE7Rv1G0487010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIDDDWDSQPRARVVHSRADAAANSSPPTANPASRSLPHVAACAAAAVLLLAAAYFLDSAYQVFASVLVWIASSLLLAPFAPSSATGGDISVGRGSLLLDQEPSQEPAPDPIAPSRRGRRQNAAPPPPKSSDPVAPPVQRPPRRQEAMGAETTVLDSGVRVEEVGEWTDQEMELLRRQMLKHPAGEPQRWEKIAAVFGGRRKPESVIHAAKSGGAAAAGGSFEQFLRKRKPLDPRAGAADADTGGNAGGVDGGWSAGEDRSLLNALKEFPKDTTMRWEKVAAAVPGKTKAGCMKRVTELKRDFRSSKEP >SECCE2Rv1G0111840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:704604922:704608419:-1 gene:SECCE2Rv1G0111840 transcript:SECCE2Rv1G0111840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAAAAPFALCILLLASPAVSATFLFDGGKSAAKGKGKGKAAVDVEWRPATATWYGDAEGDGSTGGACGYGTLVDVVPMKARVGSVSPVLFKGGEGCGACYKVRCLDHGICSRRAVTVIVTDECPGGGLCGGGNTHFDLSGAAFSRMAIAGAGAHLRDRGQLKVIYRRTACKYGGKNIAFHVNEGSTSFWLSLLVEFEDGEGDIGSMQLKQANSAKWLDMKHVWGATWCLYGGPTAGPFSVRLTTLSAPKTLTARDVIPRNWAPKGTYSSRLNFQTSL >SECCE6Rv1G0404830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:524055719:524064786:1 gene:SECCE6Rv1G0404830 transcript:SECCE6Rv1G0404830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSIPPMVIIVFFAVLVLSATPIHVAGQPGFLSIDCGMDAEFSGRKDVNTGIVYVSDDQYVDAGENHRVAAEHDTSATFELYRTLRSFPSGVRNCYSLPTEIGAKYMLRLEFFYGNYDGKKRLSTQFDLHLGSNYWDTYETGTRGWPAEVVFVAWASWVPVCLVNTGGGTPFVNSVELRRLGALLYPNATTGQSMGLYSRRNLGTNKYMRYPDDPYDRLWWADTSMEWQNLSTTLTVRPDAKFAIPTAIMQTAIAPVANGTNTTRLHLVWSKTPSYLFMAFLYFADFQDSGLRQFDIFFNGNREQLPHNPSYLAASCVYSSGWYRVTDGIFTATLTPTTTSVLSPMLNAFEVYNLIAHDVPMTFPADFDAIMGIKLEYGVKKNWMGDPCFPTKYAWDGVKCSSTTGNNMRIISIDLSNSSLHGVITSKFALLTSLEYLNLLGNRLNGSLPDSVCKNNAGSHIFRYESDQDLCNRTISPSTSGNRTSIISISVVVPAVVVVVLIISYLVWRHKKIPNAPVSTCDPAREAELASAPVSRKVHREHLQNTENRRFTYKELYTFTNNFERFIGQGGFGLVYYGRLEDNTEVAVKMRSESSSHGLDEFLAEVHSLTKVHHRNLVSLVGYCWEKDCLALVYEYMSQGNLYDHLRGKNIASENLNWGTRVRVMLEAAQGLDYLHTGCSLPIIHRDVKTSNILLGQNLQAKIADFGLCKTYLSDTQTHVSTIAAGTAGYIDPEYYHTGRLTESSDVYSFGVVLLEIATGEHPILSSHGHIVQGVKQKIASGNISSVADARLGDAYDVSSMWKVIDTAMACTSDVAVRRPTMAAVVVQLRESLALEEAHEGSGVNGSTTNDVAAVSTFGPSAR >SECCE4Rv1G0243840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:506884434:506891982:-1 gene:SECCE4Rv1G0243840 transcript:SECCE4Rv1G0243840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMAMAEAPCLCARPYLAARARRPGPAPRLRRWRPNATAGKGVGEVCAAVVEAATKVEDEDEEEETVAEDRYALGGACRVLAGMPTPLGATALAGGVNFAVYSCGATAAALCLFTPEDLKADRVTEEVPLDPLMNRTGNVWHVFIEGELHDMLYGYRFDGTFAPHRGHYFDVSNVVVDPYAKAVISRGEYGVPVHGKNCWPQMAGMIPLPYSTFDWEGDLPLRYPQKDLVIYEMHLRGFTKHDSSNVEHPGTFIGAVSKLDYLKELGVNCIELMPCHEFNELEYATSSSKMNFWGYSTINFFSPMTRYTSGGIKNCGRDAINEFKTFVREAHKRGIEVILDVVFNHTAEGNENGPILSFRGVDNTTYYMLAPKGEFYNYSGCGNTFNCNHPVVRQFIVDCLRYWVMEMHVDGFRFDLASIMTRGSSLWDPVNVYGAPIEGDMITTGTPLVTPPLIDMISNDPILGGVKLIAEAWDAGGLYQVGQFPHWNVWAEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNNKYNLPNGEDNRDGENHNLSWNCGEEGEFARLSVKRLRKRQMRNFFVCLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDSYVNYFRWDKKEQYSDLYRFCCLMTKFRKECEGLGLEDFPTAERLQWHGHQPGKPDWSEKSRFVAFSMKDETKGEIYVAFNTSHLPVVVELPERTGHRWEPVVDTGKEAPYDFLTHDLPDRALTVHQFSHFLNSNLYPMLSYTSVILVSRPDV >SECCE7Rv1G0501970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:691672631:691676171:-1 gene:SECCE7Rv1G0501970 transcript:SECCE7Rv1G0501970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSEVADAMGALGVDNGASQKFLPKDSPDSEELADVALSGESEVINPSEEVGGEATSPSEDIKSQPEDIKARVPKGSQSRSPKVTKSQRQSPRSGDKSQATKNTLSSPSTKAPIARVSDPDLVDSSSSNGDADVKKKAEKSNSRPVAKESLSLEDSKEKRKTQKASNQRVVKNDEESNCERVKPQKVGSTPSYGFAFKCNERAEKRREFYSKLEEKFHAQELEKNNMQAKSKEMEEAELRQLRKSLNFKAAPMPSFYKEPPAPKVELKKVPTTRPRSPKLGRSKNTTSEGTAVSTNPSSRPVRLSLDQRASQNSAKTAPAPKKSHRKSLPKLPSEQTGSVDIATSISSAEQLEISKSTATADPIRTPIRAQVTPDDHVLNA >SECCE6Rv1G0389540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:155253997:155254480:1 gene:SECCE6Rv1G0389540 transcript:SECCE6Rv1G0389540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHRQEQAATPSPSPALFSLHPTLFFLFSSLDSNFQFTPAPTKRQPATTKADEDEPSHSQGDDRRPPAMDHSRKRHQEKGFLYDCFFMLCCCFFCHEACEQCLKRFCCCRNKDE >SECCE6Rv1G0377900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3942246:3943212:1 gene:SECCE6Rv1G0377900 transcript:SECCE6Rv1G0377900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE5Rv1G0332820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:552356732:552358863:1 gene:SECCE5Rv1G0332820 transcript:SECCE5Rv1G0332820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKPASQIMPEQAAKPKEMGEDDRQRSAAEEISGEPDQQKESPAPVLEKEDTPDLSQDSGVLDVPLPPEGESDESKETKNSDSNENQEKKSSQKSSMSDSFISAKVSDGTNSLGKTSGSAKTSGRDFTESGKSSMCRVSASSDLSDESSCSSMSSATTKPHKGNDSRWEAIQVVKSREGVLGLNQFRLLKKLGSGDIGSVYLSELSGTKSHFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLTDFDLSLRCSVSPTVIRGANPGLDALQRNNAAYCVQPACIQPTCVVPTTCFGPRFFSKSKSKSKSKKEKPKTDIANQVNLFPEMIAEPTDARSMSFVGTHEYLAPEIVKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQSLRFPESPLVSFSARDMIRGLLVKDPQHRLGHKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQAPSANGKVAPASNQKGSDNYLEFEFF >SECCE4Rv1G0247400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:558930833:558932013:1 gene:SECCE4Rv1G0247400 transcript:SECCE4Rv1G0247400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVWFFFSLASLGALHVSAVSVRLLASLAPWLRRPKDLRHRYGAWAVVTGPTSGIGRSMSLELARRGLNIVLVGRDAAKLRDVSETISRAHAVQTKTVLFDFSLVSTAQGDEARRRLRDAVSGLDVGVLVNNAGVAKPGAVYLHEVGVEAWARMIRVNVLALTEVTAAVLPGMVRRVRGAVLNMGSGSASVLPSFPLYAVYAATKRYVAEFSTSLAVEYGSSGIDVQCQVPFLVETNMVSSAVKASLISQFVLAPDGYARAAVRWIGHGTLCVPNVAHRLQGWFVGLFPDFVADAYRLENNLRQRAILRRVKPWRRSQANSRVPAQD >SECCE6Rv1G0446510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:844554575:844555201:1 gene:SECCE6Rv1G0446510 transcript:SECCE6Rv1G0446510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPDIPPSRYHHQEDPPPPPNGSKAMSLLLRLCAMLLAVVSAVVMAASSECAAHAPTDDSGAASVVTFTYTRFGAFALALYLHVSLDVAAVTAAAAANEKDSLDEEEEAAAGVNIPAIVLVVVDLLVHALLYSATAAAYTAAAAYSAKIGACARFAGQVERAKILSLAASITVTLAAVAKDVPLPFNVPPVLG >SECCE5Rv1G0353270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:715250001:715251424:-1 gene:SECCE5Rv1G0353270 transcript:SECCE5Rv1G0353270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLIILALLVVSSAAAAPRVLAARELAGDDTIAVDAAMVSRHEKWMAEHGRTYADEEEKARRLQVFRANAKFIDSFNAAEDSSHRLATNRFADLTDEEFRAARTGLRPVAGAGSGAGGFRYENFSLADAAGSMDWRAMGAVTGVKDQGSCGCCWAFSAVAAVEGLTKIRTGRLMSLSEQQLVDCDVNGDDEGCAGGLMDNAFEYMVRRGGLTTESSYPYRGTDGSCRRSASAASIRGYEDVPANNEAALMAAVAHQPVSVAINGGDSVFRFYDSGVLGGSGCGTELNHAITAVGYGTASDGSKYWIMKNSWGASWGEGGYVRIRRGVRGEGVCGLAQLASYPV >SECCE6Rv1G0436010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:775464685:775465530:-1 gene:SECCE6Rv1G0436010 transcript:SECCE6Rv1G0436010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDRNGGVPAPSLALVLVWLLCCLAGGASAAYSVPADPRRQPSPGPGRGGHHHGPAPAASPRRHHHRHHHGLSPAPASPLHAPSPSPTAGADGLPSTHPPVPVHTRAPEPQATTTPHFGFPLEPTVGVSAGGPSGAPRKGAGGEGYPFIGSNPTVPLPTGVTDTATVRPLADTANNHDDNAGKVAGRAAEPGRAGSGAAMIGLLMAVLSTVAM >SECCE6Rv1G0443040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:820477953:820478289:1 gene:SECCE6Rv1G0443040 transcript:SECCE6Rv1G0443040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPKLKTIKIRGCWGLRRLPVVGAGSGSMKKPTIEIEKDVWDALDWDREVTPDHFEAPLHSCYFKKKLPRVSVLR >SECCE5Rv1G0346480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:661173698:661174280:1 gene:SECCE5Rv1G0346480 transcript:SECCE5Rv1G0346480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPYPSLASSPRTVAHSVQPPAGMLPLVPCPSCGIRSTIRLVSKSETNPGRIFYKCPNHHIPPNPCQHYYWEDGPDNYFDFLVRGGYISHGLSSFDSAGVIASEEIEVQEECAGAMQSTVETVVNADVLKKMNELIFLCKSILSALVVLIAVVVYVGFKK >SECCE4Rv1G0214720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:1462207:1462839:1 gene:SECCE4Rv1G0214720 transcript:SECCE4Rv1G0214720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNIYSLALVVVALVAAPMAAVAGDPDILTDFIAPEPMLGMPMNITGDYFTYTGFHPFPLPLATFSLTKASMAEFPALNGQSVSYARLVFPPGTVNPTHTHPRASELLLVLDGALSVGFVDTAGKLYTKDLVAGDMFVFPKGLVHYQYNQGTTTAVALSAFGSANAGTVSVPVTVFGTGVDDAVLAKSFKTDIPTIQKLKAALTPPKK >SECCE3Rv1G0171120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:264127678:264129138:-1 gene:SECCE3Rv1G0171120 transcript:SECCE3Rv1G0171120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPVLTLAVEKGPRKGEICQCSAGSVLRVGRVIKGNHFAVRDKGASQQHLSIEFLPPPAAGWVVSDLGSSNGSFLNDVPLAPFVPTPLSHGNLIKIGESTVLAVSIPSHSDLSTATAADPGTRRSSRYAAETAAVEEEKPRAATRRGTRKKAAVAAIPEVENEVPDAAVVVVEEEKPRRGGRRKVAAVAPPEQTEEGEKEAPVGRRRGGRKKAAETSEPEKGEEKEEGPRAGGRKKTTSAAESEKGDEEEALLVTRKEDTEPPELEKEEDVEVQAQMITRRGRKKNAPTVAPPPQPLKTGSRGGQGRFTRAASTRKAVLEDEEVEEEEEHEVAAPRDQPTSTAVKDREEEEEENGDNVAAGDGEIEVAAKGLEEEVPEGRASAQCAASDNEGDGERGDGEEEDDGNGDLVGSRGDVGNGAKVEECAVRSSLETMAMQEWFDRMEKYLPRMINEAADQMIVELEEKQKRVHEYISTLSKSSDPS >SECCE4Rv1G0230680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:172257960:172261942:-1 gene:SECCE4Rv1G0230680 transcript:SECCE4Rv1G0230680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEHSGGVRRRWLVDVARWRPSPTQLQAAAALLPPHHRHAIARFVKEEDRKRALVSRLLQYSLVHRVLGIPFHQIDIRRTTEGKPYMENCPPAFRNFNFNTSHQGDYVGIASELLCLVGLDIVCISKPQGETTVEFLKNFSSYLTDHEWNCIARAAGSIEMLTEFYRYWCLKEAFVKAVGAGVGFGLHRLEFHHVEWSNVSVYIDGIESRKWRFCLFKLDEMHLASIAKGHLEDATHSFKTTLSNVVVEEEEFYAALEIPEETFTLQTVEQLTQL >SECCE3Rv1G0200290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852120748:852121422:1 gene:SECCE3Rv1G0200290 transcript:SECCE3Rv1G0200290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKTGLVLLDFWVSPFTQRCRIALAEKGLAFESLEQELLGAKSALLLGSNPVHKKVPVLLHGGRAVCESLVILEYIEDAFPGAAPPLLPADPHARAQARFWADYVDKRVYSCGTRLWKLKGEARAAARAEMLETLRTLDAELGGRAFFGGDAFGFVDVALIPLTSWFYSYEKHGGFSVEEECPGLAEWARRCGERETVAKVLTPPEEVHDFIGLLKKHYGIE >SECCE7Rv1G0496730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:615733600:615738344:1 gene:SECCE7Rv1G0496730 transcript:SECCE7Rv1G0496730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTAASARITLWWSLLLFLSLSFAETAEEGEAGTLNVGAILNMRSLLGKMSRTSILMAMEDFYAVRRDYATKLVLHIRDSNGGGNIQAASAAVDLLENHHVQAIIGPGKSAEAAFVSDIGNNSQVPVISFTATNPTLSSANVPYFLRATLSDAAQVNSIAALIKAFGWREVVPIYEDTDYGRGIIPYLVDALQEFGASMPYRSVISQSASSDQVEQELYKLMTMQTRVYIVHMLPTTGSILFKKAKEIGMMTEAYVWILTDGIANMVNSLSPLVLDAMDGALGVRFHVPRSKELDDFTARWNKRYRQDYPDDSLSQLSIYGLWGYDAVWALAQAAAKVRMNRPTFQKQQYRKNSTCVGTLGVSTVGPKLLDAILQCKFRGLSGDFDLRNRQLQFSTFQIINVVRRESKEIGYWTAKQGIFRNLNQDVSAHTYLNPMPDLNPVVWPGQTHTVPKGWQIPTNGKKLRVGVRTSGHPEFMKAERDPVTNAITATGYAIEVFEEAVKKLPYAVPYEYVSFDALGVNYGSYNDFVYQVPLGVYDAAIGDITIRHNRTSYVDFTLPYTESGVAMIVPVKDDTNKNTWIFLKPLTADLWFASIAFLIYTGIVIWLLERRIRNAELTGSFFRQLGIAIYFSFFADREKVESILARLVVVVWVFVLLVITSSYTANLSSMLTVQKLQPKETDVHELLKRGEYVGCGSRSYVRELLEQLGFDRSKIRAYTNPDDFADALDKGSKNGGIAAAIHEVPYIKLFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPLVDDFSRAILKITEGDAIIRIEKKWIGDEHACKNDETIISPSSLNFKSFSGLFLVTGVASTSALLVTLLMFLYKNKHKIRINIGRDHIQREHGTELVTKRIQERATDSDILCRMIQMTTPASKR >SECCE5Rv1G0320110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:381682672:381687575:1 gene:SECCE5Rv1G0320110 transcript:SECCE5Rv1G0320110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVAAARQCLSPAAVTALDAAVVSARRRVHAQTTSLHLVAALLAQHAPPLLRDALARARSAAYSPRVQLKALELCFAVSLDRLPSASASAPADEQPEPPVSNSLMAAIKRSQANQRRNPDTYHFYHQAAFQAATAASQVRVELSQLLLAILDDPVVSRVFDDAGFRSADIKLAILRPAPPMPLLGRLPTRARPPPLFLCSFAAADDADVPSPAGSIAGGAGEENGRRIAEILARGRNPMLVGVGAASAAADFAAASPYRVLPVGPNSIDQTELGVVAAMASATSGLVISVGDLRELVPDDGELQERGRRVVAEVTRVLETHREGRVWVMGWSATYETYLTFLSKFPLVDKDWELQLLPITAVRAGGLMPPATTASPLSNPASLVKPFNPFGGLVNDTYGSNSLAAHPCPQTLRCQQCNDRCEQEVRTIVKGSGITAEGHHQGGPSSLLQNGSMMGLNSGLDAIKVRDDQMVLKSKILNLEKKWNEYCLRLHQGCQRIDAGPYQLFPHYTGVPVDTERSAILSKGSESVTLQREVIRPSAVSATHTNSEQKSVSPTSISNRRNEDLVLNLQGRHSKGDEQFQERHAQLRQEHLSSCHDREDHMSPSAAASVATDLVLSTPRGSSSKGTSSVSWKHAVDAEKSTHLTPSKLDDLNMKPPQPFAQPYSSRSSTNMGQPSPSALHSPASGGVSAFGQWQKPSHLAVQGSDLSDYKLLVERLFKVVGRQEEALSAICGSIVGCQSTERRRGTSRKNDIWFSFHGFDSMAKRRVAVALAELVHGSQDSFIYLDLSLQDWGGSSFRGKTGIDCIVDELSKKRRCVIFLDNIDKADCLVQDSLSHAVDTGRFRDMRGKEVAINDSIVILSTRLARHSKNVSVGVEEGHTFSEEKILAARGQQLKILIESGTEITSRGPSSSSKVVASPSHSLTKLQASVYSGYVSKRKLDVSDDQEKLLESPTNPKRPHRTSSVPFDLNLPVGEDGSSDADGDDDSSSNDNPDESIDSLLGLVDRAIEFKAFDFGKLANDILQDLSNVLGNILGPGCTLGVGDGVMEQMLAASWASEDGRRPLRAWLELVFARSLEELKLKHSKHAGSSLLRLVACEDSTAAAPAAKEDGGFGPLLPSRIILEWR >SECCE7Rv1G0492620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:553816822:553819260:-1 gene:SECCE7Rv1G0492620 transcript:SECCE7Rv1G0492620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAEVPHLPRGRYPHDPANFAYPPDLFGPALRLLHDPSEQQEEVVAAAEDKQGIASIFSCIDKHADPALKAEASEPPILYWDDVCLSDSDDDLVDQLDLADLAGLALAHSDEGEEVVTDDEETNCPGKKFPREKAKLIQRVWVRSDIKQNEEHRELCKMLLDLGHEWSALPPYPMKVFPQATGACVLRGYCHHRIYKTHDTSTTKSTLGYCQPNRMVQVFSLCLSSSASYPVSVYGIVAVRDSLEPLRNYVFNRPCRDDAVTVDQDSFILPLCSPCRGMYLRQDALLEVDLWVKEEGDGSADKQMLSAYAEIESCPAYDEMIYGQIRSGLFSLDIGYISFTRSIEAVIEVFAKVDGPHHVRFGAFSSGFDHEIVLFDDTFSGTKMQFQHVVVVKAEGKLDVCLKLGESLFRWTFQDGHVGPVRIPDDSMSKYGQFDVRVFFAPKNSRPQK >SECCE4Rv1G0263800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718132411:718135174:1 gene:SECCE4Rv1G0263800 transcript:SECCE4Rv1G0263800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNLSALENHFGAAAAGGDDFGGLFSADAADQLALEFPVCNDFDGFQKATKEMVNNKKGTTTLSFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGVKCRLHELANKRRISIAGSSKTLANILYSYRGMGLSIGTMIAGFDETGPGLYYVDSEGARLKGSRFSVGSGSLYAYGILDEGYKFNMSVEEAAELARRAIYHATFRDGASGGCVSVYYVGPDGWKKLSGDDVGELHYHYYPVQAAPVEQEMAEA >SECCE7Rv1G0491230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:520268483:520275461:-1 gene:SECCE7Rv1G0491230 transcript:SECCE7Rv1G0491230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIQENDLPVESCTPDSAVDKKNTSPPASSTPEKVAMDEPFPFFGLLCYADALDLMFMVLGTMGSLVHGMAPSMSYYILGKTVDAFGNNINDLNAIVHQLSKLVPYMWFLALITLPAGIIEIACWMYTSQRQMTRMQMAYLTSVLSQDIGAFDTDLTTATIMAGATNHMSVIKDAIGEKMGHFMSNFSTFLVAVIIAFVCCWEVGMMAFLVVPMLLVVGATYAKMMVGMSTTRIALVSEVTSVVEQTISHIKTVFSFVGENSAMKSFIKCMDKQYKLGKKEAITKGLGLGMLQIATFCSYSLTIYIGALAVTRRSAKGGETIAAVINILSAAIYLSNAAPDLQTFSQAKAAGKEVFKVIKRKPAINYESNGRILEKVTGHIEIREVDFTYPSRKDKLILQGFTLVIQAGKVVALVGSSGCGKSTVISLVQRFYDPISGDITVDGQNIKKLDLKSLRRNIGSVSQEPALFSGTIMDNLRIGKLDATDEEIIEAAKTANVHSFISKLPDQYSTEVGERGVQLSGGQKQRIAIARAILKDPPILLLDEATSALDSESEKLVQDALDRAMQGRTVILIAHRMSTIINADKIVIVENGRVAQHGTHEELLERSTFYSSVCSMQSLEKKSGKSEDRFTDQVEEEQDSATYKEQSFAAHEQEKKPEPTTKQLKQGIRKRTSAFNRIFLRTFKLVPGKVLVGSTAAAISGISRPLFAFYIMTVGMAYLDADANKKVSKYSIILFLIGMLTFFSNIFQHYIYGLVGERAMNNLREALFSVVLRSEIGWFEEPRNSVGFLTSRVVSDTSMIKTIISDRMAVMVQCISSIIIATALSIAVNWRMGLVAWAMMPCHFIAGLVQVRSAKGFATDNSKSYRKLISLTSEAVSNIRTVASFVQEEEILRKADLALQEPMRISRIESIKYGVVQGISLCLWHMTHAIALSYTIVLLDRQLATFENCVRSYQAFAMTIPSITELWSLIPMVMSAIAILDPALDILDRETQIVTDEPKLNCEDIIEGNVEFEDVSFSYPSRPEVIILDGFSLAIESGQRVALVGPSGSGKSTVLALLLRFYNPCSGRVLIDGKDIRGYNLKSLRKQIGLVQQEPILFNLSIRQNISYGNEGASETEIVEAAMEANIHDFISSLSKGYDTVVGDKGSQLSGGQKQRIAVARTILKKPVILLLDEATSALDGESERVVMNTLGAKGWKNKGELSSKITSITIAHRLSTVTNADVIVVMDKGEVVETGSHATLVSASNGVYSRLYNMQIKAGKD >SECCE5Rv1G0323250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:432534033:432536765:1 gene:SECCE5Rv1G0323250 transcript:SECCE5Rv1G0323250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGENNMGSNDGPNSKASLAARQRLRWTDELHEQFVEAVTQLGGPDRATPKGVLRIMGTPGLTIYHVKSHLQKYRLAKYIPDSSADGNKADNKDPGDSLAGLDGSSGMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRFGGIKSETPGAGATVTASSDQFPDSERTDPSTPAPTSESASQGGAFKRDSGSQTEAIKSPCHDEPLLTADSNCRPSSPTLSPKHERAAKRQRGSDAEFPEAELSLPQHIFESSSGPEFQQCSVPYYSAGH >SECCE2Rv1G0137330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:917656867:917657148:1 gene:SECCE2Rv1G0137330 transcript:SECCE2Rv1G0137330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEIFEHVREVDCYPNISIAYRILFTVPVTVASAERSFSKLKLLKNYLRSTMTQERLNGLATLCIEKKLLDDIDIEPIISEFASRNVRRKF >SECCE5Rv1G0322150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:416605282:416609532:-1 gene:SECCE5Rv1G0322150 transcript:SECCE5Rv1G0322150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVAEMVDDKQCSKSAAESSEAAPSRRQSEEQIGGASKSGPASPRTVPPGDVATSSGVKEQDKDCSSVDGSVKLDEDEDAEKSSLRGSVKDSSVSAKFSDGASSLTKASGSTKVSGHADLVQSGKSSVYRASAGSDVSDDSTCSSICSSASKPHKSNDSRWEAIQVIRTKEGSLGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKIMDKASLAGRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKYFPEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCDVNPTLLKSSNPGVDPNQKGNQAYCVQPVCIEPACIQPACVTTTTCFSPRFFSSKSKREKKEKKAKADMATQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVGFAARDLIRGLLIKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKPVEIPRPGASTSQKATPSAEKGPENYLEFDFF >SECCE7Rv1G0504490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:723938906:723948018:1 gene:SECCE7Rv1G0504490 transcript:SECCE7Rv1G0504490.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHSSLRLFMNRNAVFLCERLCAQFPAETNLQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAISCFRMNLLREAEETLCPVNEPNVEVPSGATGHYLLGLIYRYTGRVAAAAEQFTQALTLDPLLWAAYEELCILGVAEDANECFAEATALRLQQEQTSTSNLEKSNFVNENRVLSPNVSASLVDISPKHIKQLPANNTAEVPGYPHLRATALHVQNSVPSNVGQFDSPSPTVTQTSGIVPPPLFRNLHAYQNTTSGDAPAKPKVNAVNQPPRRKYLDEARLKKVTGRLFNPSGDSVPRRSARLSRDTPINSNSNISQFGGNGSDHSSGKLRVNSSTSSKLCSAAIRSVQVRKGKPRATENFDEGSRYEAVDEMWTDNIAATSSSLSLAEGRYFEQDKAERTLSQDSKMATGIRELLGLLRTLGEGYRLSCLFKCQEALEIYRKLPEPQFNTGWVLCQVGKAYFELVDYLEADHFFELAHRLSPCTLEGMDIYSTVLYHLNEEMRLSYLAQELISVDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRVAYAHTLCGHEYSALEDYENSVKFYRCALQVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPRSSVLMCYLGMALHALKRDEDALEMMEKAIFSDKKNPLPKYQKALILVGLQRYQEALDELERLREIAPHESSMYALMGKIYKQLNILDKAVFCFGVALDLKPPAADLAIIKSAMEKVHLPDELMEDDDL >SECCE4Rv1G0262080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:705931050:705932708:-1 gene:SECCE4Rv1G0262080 transcript:SECCE4Rv1G0262080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSGILFLLVIAMPAFLALADTPHPYCDRPCQNELSLHLYLHQFVAGPNRPNRNEEFVLTPGYPLGFGTTLIHDWTLTNTVNPSDTIIARAQGTHIQASRTNANGWYISQNIVFQSGRFAGSTLQVMGTLTEQSEGQWSVMGGTGEFTKAHGTIKYKMDPASNIEDGIRELDIHLIYTPNYPQATQSGTPPLAKLLGGCHAIDNCCCKKY >SECCE3Rv1G0208520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:932803953:932806136:1 gene:SECCE3Rv1G0208520 transcript:SECCE3Rv1G0208520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDEAIRSKEMAERKYKVNDFAGARKLALKAKALFDLEGLDQMIVALDVHLRSMTKFEGENDWYGILEVSTWADEETIRKQYKKLALQTHPDKNSFVGADSAFNLISDAWNVLSDKNRRILHDQRRHMSSLRVLQNNSQVSVDNTSSSSMPSMNGFCRQNTVPASPPNVPPQNMPKLSTFWTVCISCRVNFEYPRQYVNQYMICPECHKPFKADEVPPPPTSSHTYQPKSMDTNTSRGRTACPGEGMAGTGVASGSRNHHNPMQQRCSFQGSAAGAHTFSHSVQQTHGTVSGSSGPSIPRKAAATKEKEAAKKRYKKVAPQSTSSGLDGDSSTQNKAKRKARSTDRASGAKRRKETSNCHVSAGSGFIKELEKLDMRSLLINKMKLQLRDKLEEFNRKKTDMENGRKMQTSQRTNKAATCSTSVDAKKMKRTQRSSSVHPEEDNGKKLTSERKRAEKRKQAGSEEVGSWEWKKPEIRLFYTRRSRREQEPSPDEMLVPDADFYAFGDHSGNSFQKDQVWATYDEEDGMPRYYALIQTVHSRRPFKVTFAFLKADNPDEFGASDWLSCGFSKTCGDFKPGASEDADELNKFSHLVACQKGPGRRIIRILPQKGDIWALYQNWSADWDELVPDETMYKYDLVQVLDSYSPREGIAVMPIEKVPGFVSVFKPDSDPAKSRRIPEEEMTRFSHQVPFHILTGEEAHNSPKGCYELDPGSTPKELLQVV >SECCE5Rv1G0339620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:609450137:609451954:-1 gene:SECCE5Rv1G0339620 transcript:SECCE5Rv1G0339620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMKRFPGMARGAPASVPARKDEDESLVLFGELYRHDQEEVNLLDPMYSVEFEAIQGDRRMFKLASGKRDYLLTDGEKHDYDWLKTPPATPLFASLEMEADSPQMIFQRELPILQPVKTSRFSGKFEAPSSTSTKSESPTTSSSSKSATPTARPNSSSDKSLTTRGSPAFCNQESPSYKIDKRSSYTPLGNRLHNAVAAPTTDTKAAKKTSSDKKTIAPGSTKAAKTIADKPTMKNVAAAAPRARTKDPSVGAKDPKVDAGNGGGTRRVSVGAKDLKVDAGNGGATRRVSVGAKDLKVDAGNGGATRRVSVAAKDLKVDAGNGGSARRVPCQPAAATGIGKDPSSVPAAARGRSRGGHEPVTGNGVDAADGAVAKGRRRAGGEKEPQRQQKVGSHGKKLVG >SECCE5Rv1G0305750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:76148999:76151569:1 gene:SECCE5Rv1G0305750 transcript:SECCE5Rv1G0305750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPKFTTVKGSKCQVCVQAKQPRKSHKTAEVRNLAPLELIHSDLCEMNGVLTKGGKKYFMTLIDDSTRYCYVYLLKTKDDALTYFKNYKAEAENQLDRKIKRLRSDRGVEYFSNEFNSFCAKHGIIHERTPPYSPQSNGVAERKNRTLSDLVNAMLDTSVLSKAWWGEALMTACHVLNRVPTKNKTITPFEEWERKRLKLSYLRIWGCLAKVNIPIPKKRKLGPKTVDCVLLGYAFNSIGYRFLVVKSEVSDMHVGTIMESNDATFFENIFPMKDMASSSNQEIPILSSQEITEISEPTIPIEHVENPLEDDNKVPVRSKRQRIAKSFGNDFIVYLVDDTPRTISEAYASLDADYWKEAVRCEMDSILANGTWEITDRPYGCKPVGCKWVFKKKLRPDGTIEKYKARLVAKGYTQKEGEDFFDTYSPVARLTTIRVLLSLAASHGLLVHQMDVKTAFLNGELDEEIYMEQPDGFVVPGQEGKVCKLLKSLYGLKQAPKQWHEKFERTLTSEGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLDLIKEVKDFLSRCFEMKDLGVADVILNIKLLRDDNGGITLLQSHYVEKVLSRFGYSDCMPSPTPYDPSVLLRKNRRIARDQLRYSQIIGSLMYLASATRPDISFAVSKLSRFVSNPGDDHWCALERVMRYLKGTTSYGIHYTENPRVLEGYSDSNWISDADEIKTTSGYLVTLGGGAVSWKHVKRRLKSVRKLKNSGVITVDYIQTTMNLADPFTKGLSRNVIDNASREMGLRPTV >SECCE6Rv1G0404960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:525066226:525066729:1 gene:SECCE6Rv1G0404960 transcript:SECCE6Rv1G0404960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNIGREFIKCESKPEGQIVKKCYHFEWIDDYIQRLQGLGLLDSRGNAIGKFNLPHDSAAPAAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE6Rv1G0421460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683946751:683947983:1 gene:SECCE6Rv1G0421460 transcript:SECCE6Rv1G0421460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAAHRASPAMWSPQPPPPRLRLRRPEGTPPPCSRRGRRLGRIAASQEDPLTALTRVLWGRALPPSQLVLAVRHGWNSAWRLLMRQLAPSDPATGAFTRTPSRFPAVAQPAPGPGARLHLYVGLPCPWAHRALLVQALLGLGPRLPVSVAVPGDDGAWSFTPESPDALYGSRRLRDVYAARRGGFEGRASVPMLWDADRREVVCNESIEIAKFLCTLADAGSGALDLWPPEHRQEIDRWYGVIYPSVNNGVYRCGFAQSQAAYDAAAAELFAALDMLEGHLSGSRYLCAGAGVTLADVCLFTTLIRFDLVYNPLFRCSRRKLVEYPSLHAYMREIYQLPGAAETCDMAAIADGYFGTLFPLNPGGILPVVPASCSREALMKPHGREALPSAAAAADGRQLGAAASVVG >SECCE6Rv1G0452140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:875405010:875406730:-1 gene:SECCE6Rv1G0452140 transcript:SECCE6Rv1G0452140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTANHGVYRPSIYEAAHRSVNGITKMEDVVYLALALASLLVLLLAGRWRRSSADGEDKLRLPPGPWTLPVIGSLHHIAGALPHQAMRDLARRHGWPVMLLRLGEVPALVVSSREGAREVMKTHDAAFATRPLSSTVRVLSNGGRDIIFAPYGEHWRQMRKIAVTELLTARRVLSFRAIREEEVGAMLRAVASAAGEGQVIDMRARLSALVADSTVRAVMGDRCKDRDVFIRELDRSLGLAGGFNPADLWPSSRVAVWASGAVRRAQECRDTVFGILDGIIAEHQQRMGTVDGDDEDLIDVLLRVQKDGSLQLPLDMDSIKAVIFDIFGAGSETSATTLEWIMAELVKNPKVMHRATSEVRRAFEAGGKVVEQQLGELVPYLHLVIRETFRLHTPLPLLLPRECREEPACRVLGYDVPRGTQVLVNVWALGRDERYWPDAPEEFRPERFEAESAAGVDFRGADFELLPFGAGRRMCPGMAFGLANVELALASLLLHFDWEAPDPAKFDMTEAFGITARRKAGLLLRPVLRVPVPVPVPGA >SECCEUnv1G0564390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:383071468:383072235:1 gene:SECCEUnv1G0564390 transcript:SECCEUnv1G0564390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLSPSSQFSTVGQQVVEFVPLLHEQWLFDDGTMSQNEDRELWAPMGSTLPQSPELSELPSSVPATPLYNGKPRGRKPGLPSESRQTVNHVQAERQRRDKLNRRFCDLRAVVPNVSRMDKASLLADAATYIGELRTQVAHLEDEANKTLEKGAAAASRGGPASKFLQVDETVDVRMVGREGAAVRVITTANHAPAQLMGALRSLELQVQHACVSHVQGVTLQDVLVDVPASMQDADDLRSALLQALQEQQDSA >SECCE3Rv1G0165690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:177464717:177465230:1 gene:SECCE3Rv1G0165690 transcript:SECCE3Rv1G0165690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKTEGQIVKKCHHFEWMDDYIQRLQGLGLLDSRGNAIREFNLPHDSAAPAAAARPEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALGILYLMAISR >SECCE3Rv1G0180190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:567467889:567470297:-1 gene:SECCE3Rv1G0180190 transcript:SECCE3Rv1G0180190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPRLRGASSPSLAWRSLCASAIPTPDAPPHQTDPLSAHFTNRPAARDPAVVENLTSTLRALFASSSSHPRAFTLLKSAAFDAGLAPGALVDAVLSAAAGPHSGSPVAAVHVSLLSRLLTSLSRAGRASAAADAYAHMVARGVVPDVKSRTDLLVTSARCSSARDALALFAGMRGRGDRVDAWMFDALMRACVKEGMLEDAVRLFDEMPGAEIEPDQRVYALVIAALCKLRDADRTLLLLGEMKEAGFETWDFTYRSVVDVLVKAGRMEDALRVKDEMLSAGKKMDVVLATILMHGYCLRGEVENALDLFDETLANGILPTNVTYGTLIRGCDQAGMTQKVYVLYRQMRGQGLLPSSHEFSLVIKGLLCDKRWEDAVSLCVEMADSRLPDVYTYNGLIRWLCQQHKLREALNLFGKMKETGVKPSIVTYNSLLMGCCEKGCMDEAVKLYSQMPVEGFVPNVFTYTFLMKGYIKKKTFDKAYALLDEMKQNGVSCNEYTHNVLINGICMSDRIYEVDEMLKNFIGEGFAPTTMTYNSIINGFVKAGMMGSAFAMYQQMREKGIPANIVTYTSFIDGYCRTGCCDLALKMLNNVRRKGLQPDIAAYNALISGFCHEGNMSHALQFLVILLKDGLTPTSAVYTNFITGYKDLKMMKEASKFYESMIKEGISADTVTYTTLIDGFSKDGNVAFALELYSEMMAKGNIPDDITFTALTHGLCRIGDIDGAKKLLDDMRRLDVCPNALIYNMLINGSLRDGKLQEAFQLHDEMLNRGIVPDDTTSDILAGQKSLEGDSCVDAESPI >SECCE3Rv1G0154620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:61725371:61727401:-1 gene:SECCE3Rv1G0154620 transcript:SECCE3Rv1G0154620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIALHGGAGDIPRTLPPERREPRLATLRRCLDLGAAALRAGRSALDVVELVVRELEDCPHFNAGKGSVLTTDGTVEMEASIMDGTGMRCGAVSGLSTVVNPISLARLVMDKTPHIYLAFDGAEAFAREHGVETRDQSHFITEENIDRLRNAKEANRVQIDYTQYNYTEPIVTPNADDNSRIGTVGCVAVDASGNLASATSTGGLVNKMAGRIGDTPVIGAGTYANALCAVSTTGKGEDIMRHTVARDVVAVMEHRGLPLEEAAARVVAGVPRGSVGLVAVSAAGEVTMAYNTSGMFRACATEDGHSEVAIWPA >SECCE6Rv1G0386740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:100362488:100369327:1 gene:SECCE6Rv1G0386740 transcript:SECCE6Rv1G0386740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGCFGRAGVRALLPLLLIATTVALLPRALALTDAADVSAINGLYVALGSPALPGWTANGGDPCGEKWQGVVCIGSNIDAINFIAATMGGQLGSLGNFTAITTINLSNNKITGTIPDDLPVTLRNLFLSDNQLTGSIPMSLSKLNSLTAMSLNDNHLDGQLPDAFGSLVGLINLDISSNNFSGPLPTSLGNLSSLVTLRMQDNQLSGTLDVLQGLPLGDLNIENNLFSGPIPPKLLNIPNLKKDGNPFNTSIAPSTSPSLTPTGPTPTQTPSPPSSPSGTPSSSNTPSSSSGGSTARDSRSSSGKHKSSTLRTVGYVFLAIVLFIIVVLLVIFCLSKYQERQSRHDYTTSQVGRVHQRIEEPKVKQASAQSRNDVKKGSAGVPDRKHVREINLAIPAALEKPPEKREERVINLERTESDIFAVEPPPPPPPPVSPPPPPQPPPPPPVSPPPPPPPPPPPPVSPPPPPPVEKVIVNPIVRPEKRASTPPRAGPSTSATSFSVATLQQYTNSFGEDNLIRESRLGKVYLAELPEGKLLEVMKIDNANGRIPVDDFLELVACISDIRHPSILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEREDLDSALSWGARLQVALGSAKALEYLHDTCEPPVVHQNFEPANVLLDNRFSVRVAECGLSVLTLSSSVTQLSGRMRALLNYEAPEIQESGTFTDRSDVYSFGVVMLELLTGRKPYDSSRPRHEQHLVRWAPSQFHDIESLTKIVDPSIRGECSEKVLSRFVDIISRCIPPEPEFRPPMSEIVQDLSSILSAAGEESE >SECCE7Rv1G0466610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:80068723:80070386:-1 gene:SECCE7Rv1G0466610 transcript:SECCE7Rv1G0466610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASPLPATSAGAQLCPTLSPPRGRRRCCRVTAASAPSPSVSLGLGRRAVSLAGVATWLATTAGRAEASPFDNYVKKKKLEPLETYVPAVLLTQDQFRDLEKSLEFEKPRFDESRSLLRSGPASSLRINIRAVAQYASSNGQGKTASDAVDECLRALEDLDSLLLKASRKDSSASVEVMRSKIAVALGALDNLLQTVPSAVMDKGKAIADAYRTPTDDYYEQGNGTELDPSLKQLQDIL >SECCE2Rv1G0076300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89937909:89941361:1 gene:SECCE2Rv1G0076300 transcript:SECCE2Rv1G0076300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRYIFLTIYLHILCSILLPVPATSASNETDLLALVSFKSLVSGDPLKILSSWNGSVHHCLWSGIKCGRRHPDRVTSLVLNSSRLSGQISPALSNLTFLYELSLSDNQFTGIIPEELGKLVRLSSIDLSRNTLGGHMPPTLGNCTRLLTINLRKNNLQGMIPSSLGLCKDLVHLVLTSNNLVGNIPPNLGNLTSLLLLRLDVNNLTGSIPSSLGQLHVLRTICVNENNLSGEIPPSLYNISSMEIFQPAYNSLQGSLPSDIGVSFPNLLALVIFGNYLQGQIPESISNCSDLYSIAIASNKFTGPIPASLGSLPNLKVLALSSNLLEAKTTRDWGFIDQLSNCTELQVLDLAQNQLQGMIPNSIGNLSTSLFFLSMGYNGISGSIPAVIGNLVGLTRILLDNMLLSGPIPQEIGNLLRLEMIDLSLNSISGEIPSMFRNLTRISGFFLQSNDLEGNIPEQMSRMQSLQSMNLSDNKFVGNIPKEIMSYSLSMAIDLSHNHLSGPLPLEISKLKNIQSIILSNNNLSGEIPSTIDGCEVLQALYLDRNMFHGSSPSSFGNMRSLQVLDLSHNSFSGELPHSIDQMKLQFLNISFNNFEGELPKGGVFLNITAIDVRGNPDLCGGISQMKLQRCGIHVQTHKKSYRRTIAIVFSIIGAFSSLCTAICFFACRYSKRSHSNAKSSHALKFELKRVSYNDIFKATDCFSQANLVGRGAFGTVYKATMSFETPTIVAVKVLDLQKQAGSKTFVTECEALRNIRHRNLIKVLSSCSSTDHNGIDFKALVFEFMPNGSLETWLHPTVCTARPFEALSLTQRMDIAIDVATALDYLHHHGAVPIVHCDLKPSNVLLDGQMVAHVGDFGLAKFLVQQDTMISHSATSTGIKGTIGYIPPEYGMGAQASVQGDVYSYGVLLLEIFTGVSPTDEKFVDGMSLQKLVEMSFPETVMEIVDHKLFLANGQNEKGNHTHDRDNVYQCLLTVIQCGLGCSRELPRERNDIHDVVKELNSAKEKLLRGDKHHAMVD >SECCE1Rv1G0017820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:164693931:164695505:1 gene:SECCE1Rv1G0017820 transcript:SECCE1Rv1G0017820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWIRGFLGGGKKEQSKDQKPIPLPSNAKRWSFGKSSRDSAEAAAAAAATSAHGGHAAIARAAEAAWLRSVYDETEREQSKHAIAVAAATQAAADAAVVAAHAAVAVVRLTNKGRAAHAGERRGPSAAAVRIQTAFRGFLAKKALRALKALVKLQALVRGYLVRKQAAATLQSMQALVRAQASMRAHRAVASAALPQLHQSSFRPRRSLQERYADDTRSEHGVAAYSRRLSASIESSSYGYDRSPKIVEMDTGRPKSRSLSRRASSPLLDPCEEWCAAANPMSSPLLQPCHMPGGAPARIAVPTPRHLPEYDWCAMEKPRPATAQCTPRYMNANAPATPTKSVCGGYSSSSLLNCPSYMSSTQSFEAKVRSHSAPKQRPEPPTNRKRVPLSEVVVVESRASLSGVGMQRSCNRVEEAFNFKTAVVGRLDRPSTGAIENDRQASLQRRW >SECCE6Rv1G0388550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:139267672:139279341:1 gene:SECCE6Rv1G0388550 transcript:SECCE6Rv1G0388550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLSAGALLKPVCRWLLKRLGDLTLGDLDLDQLDLQLTRGTLQLSDLALNADFINAKLAGSPITVKEGSIKSLLVKLPLQLRSWKIEIVVEGLEFVLAPSVASEAPPVDTECSVSAGNSDTEAILAETKRNEPDSNPCSTSASRDVDDGVKRIANAIKHFLTRFNIKLKNTYVVFDPQSILNKRALEINWSLVFRTKEMQCGTNLSTDSPVKLNNLVTFQEAVIEFLKMDDVDANLQNDLDRGTADISSNHSTTAVLTGPIGGFSGTLNLSIPWNSGCLNFQKIDADISVDSLELQLQMSSIQWFMDVYDSLCRNSADEHSCVQSTADMSMNASRASLCVSTSSSSKSGSASAIASGEGLSQRAFSRSRQDNFQDGFLNKAHVIQDWIPDLVVHSDQGEFDSDCDESISQFFECFEELRSSHTNLGNIGMWDWTCSLFNAITFASALASGSDQVPKETLIEKTLRASIAQIGIILLLSDEMDIGSSSIPLSLVKDMRSSEMFSSCLSPAHFERSMISPATASSLSMHHLEYKCHNIHLDLETYPKNLRLKASIGHMRLDEYYSTEKHVSDHTPVSAPFLNSDYCHEVQAALPSFPFAAQDYWVDSSGYSSNNSSKLAKVELLKTFGDSTFHYDVSSTDQGGNSVSSTSLSICLAPLVWWVHFHTVHMVLNFISKIESDVVHGEHKLHMRGDTENSKLTTKTNISPSGSLKVQMALSPSRIIICFPTESPWDLSRPSILDTFLVIDRTSSLDSGEASSPFRKERPNDAHSGIPSTSLHLAAGNFDIYLLRPISYELDDRSRSLSRQTFFALKIISVTSYNCGDSSITMIWRKYPVTGPEMVSKAWSLPNLHDQKITQGERGNFAGVSSYTTSQDLEKSSYSIRQELLQSTECLLHIKLSSVSVHLNKKDCGLLNQLLDCILSGLSDGATSSSESGRGKSMPINDVAIQSAITFECSFLDICTELNETVVVGPLLQTELEGSWNCFKLSISKISVCSFSNVGGINNASFLWVNHGEGELWGSITDTNDKTYAESKVFLLVVCKDSANMRGDGEGNNALSFGTSGCSVTHIRNPNLQENYTSVSFRSGTIVAPGGRMDWISAMCLLFNAGSGGTELSNNSRTEDNSCPGDRSSFFLELVDVAVSYESHVKTSTFSAEAPDCKSFSCILAASSFKLHSISAPDSAATDFDIQLRDLGLFICESFGSKNATCGYGFDYLRKMGYTKIARDTFIEAVLRIDTSFWKLEISDSQFDIGTCRDTTHALICLCSQLQKLYAPDMRDALVHLQSRWNSVQQANNHNMASDAPDKSESSIDNLAYSGECLSDGLLDDIIENAFYTDQDYKAYNFSRRNCDSSPSSNGIDVQSESSTPGATDVSVSHILFGSSLATPKVNTTEIPLKQDSCHEQIIDSYYMPDLLQTSSSTLCTVGHQCISVDDAYKTMEFEDGGWYNSIPLTIVESHVPERNNPQGGHVAHQEGKPTVCSLNYEESCYLKGKVIIHDVNVNWRMYAGDDWSLAQKDLNSFSCSSQRDMSSSLEFLISGLGVQFDMYPDGGVSVSKLSISARDINLCDQRVDAPWKTVLGCYDSDYPRESGSSAFTLELESVKPEPHTPLEDYRLCVEILPLQLHLDQGQLKFLMCFFQNDSCNNSSHLPCKNDIVHIESTTYGSNTVLDEALLPFFQKFDVKPIVLHINYIPRQFDPVALGKGNYAELLNILPLKGIDLKLKHVSAMGVYGWNSICETVGAEWLEDISKNQVHKLLKGLPPLKSVSAVVSGTKKLISLPIESYKKDRKLVKGMQRGAAAFIRSVSIEAVGLGVHLAAGAHDMLLKAEHALTALPPPLAACEAKRNKDNVRANQPENATQGIKQAYESFTDGLGRTASALIGNPINVYNRGAGARSALTTAICGAPAAVVAPVSATARAVHYALLGIRNSLDPRRKKESMYKYLGPSQL >SECCE3Rv1G0194560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:782479446:782481702:-1 gene:SECCE3Rv1G0194560 transcript:SECCE3Rv1G0194560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMASMPACGAFHAPVLSSYPRRKIMVVAMASKAKIGTPIKPFTHSLPPEKKEIFDSLDRWAEDNVLVLLKPVEKSWQPQDYLPDPSSDGFYDEIKELRERAKEIPDDYLVCLVGDMVTEEALPTYQTMLNSLDGGVRDETGTSPTSWAVWSRAWTAEENRHGDLMNKYMYLSGRVDMRQIEKTIQYLLGAGMDPKTEANPYLGFVYTSFQERATFISHGNTARHARKYGDLKLAQICGMIAADEKRHETAYTKIVEKLFEVDPDYMVQAFATMMRKKVTMPAHLMYDGQEDNLFEHFSAVAQRLGVYTAMDYADILEFLVQRWNVANLTELSGEGRRAQNFLCSLGPRFRKLEERARGRGKQQLPVVPFSWIHGRQVQL >SECCE4Rv1G0217430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:16341539:16347484:1 gene:SECCE4Rv1G0217430 transcript:SECCE4Rv1G0217430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQGLVERLTGKNKQAWKEGKIRGTAVLVKSDVLNLGDFNASLLDGVHDILGRDDGVLFHLVSATAPDPQNPRRGKVGKAAHLEEMVVTMKSTAAGESVFKVTFDWDDSQGVPGAVIVRNTYRSEYLLKTLTLHGVPGKGTVVFVANSWIYPNVDRVFFANDTYLPSKMPALLMQYRQDELNNLRGDGTTGKYEEWDRVYRYDYYNDLGEPDKGHPRPVLGGTQELPYPRRCRTGRPPTRTDPRSESRIPQYKIQEALNIYVPRDERFGHLKLSDFLGYSLKAITEAILPIIRTYVDTTPKEFDSFQDIYDLYDGLLKVPDNKHLKELKDKIPLPFIKSLLPVAGDDLLNLPLPHVIKSEPHAWRTDEEFAREMLAGVNPVCIKRLTEFPVKSTLDPSVYGDQSSTITEDQIQQNLEEGLTVKQAMERNRLFILDHHDNFMPFLERINKLKGNFIYASRTLLFLKADGTLKPLAIELSLPHPHGIQHGAESTVYLPADIESGVQGQIWQLAKAYASVDDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTLNINALARTTLINAGGVFEMTVFPGEYALQMSAVVYKNWKLTEQGLPDDLVKRGMAVPDASSPYGVRLLIKDYPYAVDGLVIWWAIERWVNEYLAIYYPNDGVLRADKELEEWWREVREVGHGDLKDAEWWPRMVTVQELAKTCTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRKMPVPGDEEYKQLEKGGEEADKVFIHTITSQFQTILGITLIEILSKHSSDEVYLGQRDTPEWTSDGRALEAFKRFGTRLVEIEKRIMDMNKDPALKNRNGPVKMPYMLLYPNTSDAGGERGLGLTAMGIPNSVSI >SECCEUnv1G0554590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:287025401:287026279:-1 gene:SECCEUnv1G0554590 transcript:SECCEUnv1G0554590.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRSAVSAVSVGAVVLILALSPAAAVASGGWMDAHATFYGDETGAETMQGACGYGNLFEQGYGLDTTALSVALFSGGWSCGGCYEIQCHGDPHCKPGGVPVTVTATNLCPANYSKPYENWCNPPLKHFDLSKPMFLRLVTDFHVGIIPVQYRRVPCAKKGGIRIEMTGNQYWVGVLVFNVAGPGEVKSLAVKGAKDGQWRNMKRNWGQIWDGYVQNLVGQGLSFRVVASDGRSVALDGVVPASWTIGQSFEGKGQF >SECCE4Rv1G0266660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:733007794:733009122:1 gene:SECCE4Rv1G0266660 transcript:SECCE4Rv1G0266660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLDLKHLKHISLPTRPVICKCLLVVIGLIVLRAIVSPFLAINLSEKSFYEPSTLDLFPGVRKDKFVEVPQIIWGLNNQKIAFARACLTAKFLNRSLLMPSLSASLFYKEVDLLQPIPFDKVFDFNKFNARCHGFARLAQYSEVSNHTEPYKLQKGSGRRWTVERDLDQLQESKTGEADDYQVIHVTGKHPFLWPDHWPVKDYAKVFDCLALAPEIETEVVKVISKIKDAGEKARHEAAISHNKKRIDDGSLNLPVQYIAVHMRIEKDWMIHCKKWEKRSNLKEICSSKGEIIHKVSQITDLRRPVVVYLAVADSLLEDDSVTSGWRVGMIAYEKKKLGVTDIYDRQPYLIKSAIDFEVCARADVFVGNSFSTFSNLVVLSRTERLYKLGVESSCGEDVGLSSYAYNVMGDDGGPQRWMTDMLDTSLQRISYGTNNISCH >SECCE1Rv1G0039180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:546194389:546195072:1 gene:SECCE1Rv1G0039180 transcript:SECCE1Rv1G0039180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALTGPYRVLQAYGAFILEVFTEDLVFTEEDEGSSTDDEVSWGGHFCRAWSVTEPDEVEEFTQTIYGGLGRKLEITYLVIPEAVETYVEVRLNLKDFGSRIRSVYGSVKAIAIDYGSKSVNLFSFERGSSLSLPCGSTCMLPLGPPMIALPKGRQYKLHIEVDLRVITTCDSQEEDKNLKFCLDCSRRIRSEERLELPRRIRTQKREFDGDQVEVKVIWALAKFF >SECCE7Rv1G0502810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:701099929:701101071:1 gene:SECCE7Rv1G0502810 transcript:SECCE7Rv1G0502810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLAWSIIRSASKPPAGSAPPRRWLPALARRDALGDRVFREKQSKLGSPGRCFCSAIVDQAGTKNPAAEESNPMVVETTMATQDLSYSMPIFRGSSHRDGAIYKKRLDWESDYDVDITDRTETLLEPSYVDDTTCDMLQIFSLKLAKSPVSNGSIQLYGYIAARDEYDLKINYVFNRGREDPVVVQQGSIIQMTGPRRGIAFHCDVLLEFDMRIKNGEREEDDAQLIDGMSVFRGLLMPWEPTPDRIDGDCGAVEVCSALVNNAVAAIVEVIVVSEVRSGFDLSLSSTISAVEAYEFQLFHGPVGDWCGGRKFAIAVTLDTMMHLKFVVGHECSNGNKIKRRCSFRAKINGQVSRRIKLELASILVKVTWAAWPYHMFC >SECCE4Rv1G0247390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:558887684:558890440:1 gene:SECCE4Rv1G0247390 transcript:SECCE4Rv1G0247390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGADALLRQEPWFVSLAVLGALYTAAVGLRLLSHLALLLRRPTDLRRRYGGWAVVTGPTSGIGQSMALELARLGLNLVLVGRDPAKLKDISETISKTRSVQTKTVVFDLALVATPQGDEAVRRLREAVEGLDVGVLVNNAGVAKPCALYLHEVDVEAWVRMMRVNLWALTEVTAAVLPGMVQRGRGAVVNIGSGSSEAIPSFPLYTIYAASKRYVAQFSRSLYVEYRSKGIDVQCQAPLLVQTKMTSIVAGPGKRRRGLLQRLLVPTSEEYARAAARWIGHGPLCMPNLGHWLQWRLCRVVPDWLLDAQRLRENLRQRAVFQRLRSPRAPSHANGGAQS >SECCE2Rv1G0091350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:313673004:313674138:1 gene:SECCE2Rv1G0091350 transcript:SECCE2Rv1G0091350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 7 [Source:Projected from Arabidopsis thaliana (AT1G30870) UniProtKB/Swiss-Prot;Acc:Q9SY33] MRTAPSLLSFLAVSVLILAPMAMADGYSQPQDYQPPAYRKPADGLFAGYYGESCPDMEGIVQRAVKKAFAADYTIAAGLIRLFFHDFAVGGCDASILIDAPGSEKYAAASKTLRGFELIEAIKTELEAKCPKTVSCADILTAATRDASREVGVGYWPLMYGRKDGRQSRKEAADKYVPMGRESVTDLVAFFESKGLNVRDLVVLSGAHTIGKASCAAVKPRLCKSKPETLDGKYGDFLRRKCRRGDAEHERVELDGVTPTAFDNGYYKNLERRMGLLETDQKMLHDSRTRSFVQEMAREPEEFKRQFAESMRWLGNVQVLTGSEGEVRSKCSDVNY >SECCE4Rv1G0251830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:608277737:608284189:-1 gene:SECCE4Rv1G0251830 transcript:SECCE4Rv1G0251830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEGPAAFAAEWLGGSGGLPLLRWWRASGGGELLRGWDAVRAGAVAPALAAVSGACLAMSAMLLAEAVFMAAASVVRRRPERRYSAGPLGAQDDEDEERGLLGYPMVLVQIPMYNEREVYKLSIGAACGLSWPSDRVIVQVLDDSTDPTIKDLVELECKIWAKKGKNVKYEVRNNREGYKAGALKEGMLHAYVQQCDFLAVFDADFQPEPDFLMRTIPYLARNPQIALVQARWEFVNPNECLMTRIQKMTLDYHFKVEQEAGSSTFAFFGFNGTAGVWRISAIKEAGGWDDRTTVEDMDLAVRAGLKGWKFVYVGDVKVKSELPSNLKAYRRQQHRWTCGAANLFRKMGAEILLTKEVSLWWKLYLLYSFFLVRKVVAHVVPFVLYCLVIPFSVLIPEIKIPAWGVVYIPTAITILYAVRNPSSIHFIPFWILFENVMSFHRTKATFIGLLELGSVNEWVVTEKLGSASNTKLMPQILERPRCRFWDRWTVSELLFAVFLFVCATYNLVYGSDFYFIYIYLQAITFIIVGTGFCGTSNS >SECCE5Rv1G0297480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:3207965:3211515:-1 gene:SECCE5Rv1G0297480 transcript:SECCE5Rv1G0297480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVETHRRPHPPAMNDNQRSHRFHHPTVWGDFFLGFRPFTPSQCLSMKNKAEVMKEELRLTIVDSGSIDLPQKLELVDTLQRLGLDYHYGKEINDLLCGIHDAGDEARDLHTEALQFYLLRKQGFNVSPDVFLKYIDDEGKIICNDTRSLLGMYNAAHVRTHGEETLSSAMAYAKGHLQRAVEQQTITPSILLDHVRRTLETPLFRRPRRVEARHFISVYERMSTRNEAILELAKLDFSILQALYCEELRALTLWWKGLQLQDHLSFARDRMVEMHFWMLGVLFEPQYSYGRIVLTKFFTFISIFDDIYDSYSTLEESNLLTMAMERWDEQATADLPGYMKFFYRKVLTTMKVIEKDLDNQGNKHADYVKKLLIDATNCYYNEAKWREESHTPVTVEEHLQFSVPCCCCMHVACLAFIVAGAGGDAIEWGMTYPKIMRASCVIGRVINDVASHEREQEQCSRKRPVMSTVEACMEENNYTAKEDAYKKLKELIEESWMDIIEELFKPAAVRPAAPLLEAVVNSTRMLDFLYKDQDAYTDPRALKVVVDSIYVNPI >SECCE6Rv1G0438110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:787890298:787890867:1 gene:SECCE6Rv1G0438110 transcript:SECCE6Rv1G0438110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLQSGHRIHSWPPAPSRVGRRPPAAYMAASKGARKSLVSRTLERCRSGLNSGGRSSAAVAPGCFSVYVGPERERFVVRADRANHPLFRRLLDDAEQEYGYAAQGPLALPCAVDAFLDVLWHMDHDVQDDDDDDGEAAVAPSTPICGLQRAGSGSIKVRPAGYRVLSPAKSTPASFFFSQTAAGGRR >SECCE6Rv1G0451630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872975937:872977700:-1 gene:SECCE6Rv1G0451630 transcript:SECCE6Rv1G0451630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALLLPLLSLLCLSFLKGARPSTFTVKNNCGYTVWPGILSNAGVAPPSTTGFALSPGESRAVAVPDGWSGRMWGRTLCVQSSSSGAGFACATGDCGSGTIECSGRGAAPPATLAEFTLASIGGDDFYDVSLVDGFNVPVLVAPANGSCPTTDCPADLNAQCPPELRVVVAGATVACRSACEAFGTEAYCCSGAHGTPATCAPTAYSRLFKAACPRSYSYAYDDATSTFTCAGGSAGYGVVFCPAGGSSGNQASPPTSAAYPPMVFSSGGADPATITLLPLLMAIIQMMSMQ >SECCE4Rv1G0291450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876615293:876616944:1 gene:SECCE4Rv1G0291450 transcript:SECCE4Rv1G0291450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLELLASLAAIVIVLLVEVAVLSSFAAAQLRPDYYASVCPNLEGIVRYSVKQSMVKSPISAPATLRLFFHDCAVMGCDASVMIIRPTGDDEWRNADDYSLKPEGFQTILDAKAAVDSDPQCRYKVSCADIIALAARESVSQSGGPNYTVELGRYDGKISTTNRVMLPHVDDNLDGLNGFFYTLGLSQIDVIALSGAHTLGAADCNFFQHRIRGKDPSMNPSFDAQLQGTCARQNFAFLDDVTPKGRGLLASDQVLYTDERSRGTIDYYASNKGIFFHDFSVAMTKLGRVGVKTAADGR >SECCE6Rv1G0421370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683780417:683783682:1 gene:SECCE6Rv1G0421370 transcript:SECCE6Rv1G0421370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLMLLLLPYVFHSASVRAFNNKTDVDSLLAFKASISNQHSVLAAWNDSTSFCQWPGVSCSLKHTQRVTVLNLSSEGLRGTIAPSIGNLSFLRILDLRWNNLEGEIPSAIGHLSRLRHLDLSNNSLYGDVNIELKNCTSLETINIGINRLTGKIPAWLGHLSSLKVIDMSKNNFTGIIPSSLTNLSALQLIYLSRNQLEGPIPEVLGRLSSLVGIDLGQNRLSGTIPIALLNISSLKGLGVAQNDLGGKLPSDFGDHLPNLEKLLLGRNHFTGNLPVSLVNSTEMFQLDISFNNFTGRLPPDIGMLCPDFLNLAENQFIATTMQGWEFMTLLTNCTHLRVFNLQFNRLGGMLPSSVANLSAQLQALYVGGNEISGKIPFGIGNLVGLNQLQLAGNQFTGALPDTMGRLNKLQFLQLQKNLLTGFMPSSLGNLTRLLRLNTADNAFEGHLPASLGSLRGIIIATFSNNKFTGPLPKEIFNLSSLSVVLDLSSNYFVGPLPPEVGGLTNLARLYLSQNNLSGPLPDALSNCQSLTELALDDNSFDSSIPSSISKMRGLMMLNLTKNTLSGGIPQDLGLMGGLEVLYLARNNLSGHIPESLENMASLYQLDLSFNHLDGKVPSRGVFSNASGFSFGGNLGLCGGISQLHLPPCQPESVGHGLRKRNLTITLVTAIVGTIMGLSLMLVFFIMRKKSKARSTTTGGFQLMDGNYPRVTYAELVQGTSGFAVDNLLGRGRYGSVYKCCLLLKNTMTTVAVKVFDLQQSGSSKSFLAECEALSKIRHRNLISLVTCCSSSDSNQNDFKAIVLEFMPNGSLDSWLHTDVHVSHHLQGLTLMQRLNIAIDIVDALDYLHNNCEPPIIHCDLKPSNILLNEDLVAQVGDFGLTKILFEPAAEQLINSKSSVGIRGTIGYVAPEYGEGGQVSSCGDVYSFGTVILELFTGMAPTHDMFRDGLTLQKHAENAFPGMLMQIVDPILLSTEEANSNSLRDGSNTMEHGSNAIFSVMKVALSCSKYAPTERMCIRDAAAAIHRIRDSYVKIRHNEEVGTANARHFAETSRPIP >SECCE5Rv1G0324980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:458988703:458990724:-1 gene:SECCE5Rv1G0324980 transcript:SECCE5Rv1G0324980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNLILTVVGVGAAVMLLRKDVKQSSAVFRRNIRHIKTWLEEESAATTSAERSVPKELESQAAKKDSTPKVDKD >SECCE2Rv1G0122040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:812807245:812807484:-1 gene:SECCE2Rv1G0122040 transcript:SECCE2Rv1G0122040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLAVTLLLLVVLASCEGRELNEKDGALAATRGTGAAGGVEESKVLGLPDLSVVGTTTGTSTINGPLVVIPGIPVHP >SECCE6Rv1G0407420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:557246830:557247624:1 gene:SECCE6Rv1G0407420 transcript:SECCE6Rv1G0407420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGALTASSFAVLLLLVLSAWPTCHAAHNITAILAARRDMAEFSRLLTTTGLADDINERNTITVLAVNDAGMAPLKARHLPREALRHVLSLHVLVDYYDHDKLHRLPGGSADVSTLFQASGDAPGSAGMVEISERRGGSVAFVPQDAGDDARATSVLFVKPIHEEPYNISVLQVGAVMSSPAAEAPSSPETSTPTSRHNATDVVPKTKKGTGSADADADAPSADDVDDGGDRAKKNGAGIVASGRLSLALAFLLTIKIVVLV >SECCE5Rv1G0301490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:31666898:31668656:1 gene:SECCE5Rv1G0301490 transcript:SECCE5Rv1G0301490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKPLPRRPSYCAPSTYGRTPAKKRKREIEPSAGAAGVDRISALPDELLGEIISLLPIKDGARTQVLATRWRHLWLSAPLNLDLRGLATAADLAVVRYRRVYNNVPSAVAHILDRHKGPGRRLRVKGYRLPVAAATATATALNPWIVTPALAAAAATAALDCWLVAPALDGLQVLECWVSSKHSKPLGPLPASAYRFSPTLRVATLGGCRLPDNDIAQGLHFPNLKHLSLESVSTSEHSLHSLIAGCPALECLMIHTIFGFRRLRINSLTLTFICVQDRLQNCRGFEVQFEELVIENAPCLEKMIPLYLQSGLQVSVISAPRLHTLGFLTDWGDHSTKLVFGSTVIQGLHADSLTMVVRTVKILAVNVYSLNLDNVIDMMRFFPCLEKLLVKSWSLGEKNLWHRKHRDLLACLDIRLRTVVLETYLGSWSQVNFAKFFVLNARVLESMTFHVEASLYDEEFLAEQYTKLQLGNKASRDAQFHFTTGTSLEAVWETKHLSDLNLNDPFL >SECCE2Rv1G0075050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:82099291:82102148:-1 gene:SECCE2Rv1G0075050 transcript:SECCE2Rv1G0075050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSMIRDMKGEVDAIARRRPLPSARRVAAVAPEEAARQGCCWAELPPELLREVLARVEASEPRWPRRRDVVACAGVCRTWRGAVREIVRVPEVSGRLTFPISLKQPGPRDAPIKCFIRRHRATQTYFLYIGLTDALADAGKFLLAARKYHRPTCTEYLISLDRNDMSKGSQTCVGKLRSNFLRTKFTVYDAHPPHAGPVVSKRWPAGDYPVIQINYEVNVLGSRGPRRMNCIMDSIPVSAIEQGGTAPTQTSFPFFYPKSPRVDSSAAPVSSQAESKLVLKNKSPRWHEHLRCWCLNFHGRVTVASVKNFQLVASDESAPANEENDDTTLQFGKIGKDLFTLDYRYPVSAFQAFAICLSSFDTKLARE >SECCE4Rv1G0226590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:111783443:111786541:1 gene:SECCE4Rv1G0226590 transcript:SECCE4Rv1G0226590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNITALQNVHLNLAIWRARVSLPGTRRQAIRFATARQEPYTMSHKDDGEVGVLVESHDNGFPEAGTDDEDGCSERESFFQCLDRVEPPGDADPGFPSDDGEEDGLSDAARASFATAVGDRLHEEQAELDEDEDYEEEDLSTYDYHMWMEADEPVPTKERRRRLLHGMGLNSSRDILRSRIARIRNASRRPPRRAFAPSTAPSAASTPPEIMKRQPGAFLKRCRSDSRLAARGAAARKLTALRRVHSAPYSLQGSPVHRALRPAARCPLLSVASKDEGGGENRNCNLDDGKVFVVNGSQSTDGAVNDARSGAQVRADKFHQFVGYTPLVKQLMRRSQSQTVPAGAVNKDGGKPTKKKPRWLKNMKLVATVAGLIHEKDRDRDRSSALPSAMTMSKSKSASASAAATAASDSASTSSSSTERLKVHHSGKSSKDLTGLYMRQEVRAHEGSIWSIKFSADAQFLASGGEDRVVRVWQVVHTDACSSSILTPDLPTSKLLPPLPPADGGSLAGAPGLAAQLSRKVLRGKSSKHVLPEHVVMPETVFALAEQPACVFEGHEDDVLDLSWSKQSSRLLSSSMDKTVRLWDVESKACLKVFAHSDYVTCIQFNPADEGYFISGSLDCKVRIWSVAERQVVDWSDLSDMVTAASYTPDGQAAIVGSHKGCCRFYKTRDSKLNQEAQIDMSISKKRKSQAKKITGFQFAPGNPSEILVTSADSQIRVFNGITVLQKFKGFKNTSSQLSASYSSDGRYVVCASEDSHVYVWRRAFGGMSSAAGGGIGVKAKTWLTSRSYEYFFCRDVSAAVPWPGSSPSPFPRAGDERASSSVHGRRADNDAFGDGAPLPPRPKSGPMTFPGSQAEMLRRGPSSREAGCNAWGMVVVTAGLGGELRVYQNFGMPVGIKGQK >SECCE5Rv1G0351790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:703379989:703385529:-1 gene:SECCE5Rv1G0351790 transcript:SECCE5Rv1G0351790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAPVVSRVPRAALAVLLTCPLCKGYFREASAFAECGHTFCRDCIMKKIDEEGIQSCPVCNAALGIAPEEKLRDDPKIQAIRDHAFPPKAEVDASEAPTITLPAKIKERSISSLVETPNMATQPTLTGQRAARRKLMSHLFSVGRLPNKSEDNNLKTEMASAPKSTKVTTSAIKKKNSADISEDGKNRETIDNEELHKPLRSLVVASVKKSQTLRYLGESRKNKTTTEDSLRESPEADSDDGITSPVWFSLVASTNQAEAKRLPQLESKFYRIKEGTMQVSSILKLIMQKLELASDVKVEILCHGKPVCPSTTLPGLLKQWLSRKPKRRVQRPVDAPANEFVMELGYRRCLASKSLCCRKKTASLPCHCST >SECCE3Rv1G0190960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:744809708:744810223:-1 gene:SECCE3Rv1G0190960 transcript:SECCE3Rv1G0190960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDGGSEMTGGCLCIGAPMRALSRACDSACDLYVRGMSGCATHVPAGAVAGGRGSGFVRSATTVHLWTSSDRADDLIRAASKQRRVPTPDQPADVAAVKKGRLSPVAPAIVPARRKGPAMATIAENGPCDFGACALMPPELRRRAPAVSGQGAPGGGFVAVMPGSEAFAA >SECCE7Rv1G0464110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:58873157:58874725:-1 gene:SECCE7Rv1G0464110 transcript:SECCE7Rv1G0464110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSWVGGFPGENMEVVASFACFLFLFFRFRRWDGLPTSWPLIGVLPAMCVNTGRMHDWLTELLNAAGPGMSYVMRRRWGLPVDVIVTADPANVAHVLAANFDNYPKGARYNTVFSVLGDGIFNADGESWSFQRRKAHALLSDAGFRAAVAANTARKLDEGLVPLLDGLATSGTTVDLQDVFMRLTFDLTAMFVFGIDPGCLAADFPHVPFAAAIDDTEEVLAYRYVTPVPWVKLQSYLNIGHRQKMAKARRVLDASIAELVSLRRERAANATGEAGTDMLTSYMACQAEVGKVGAEFDRFLRDTTLNLMFAGRDTTSSALSWFFWLLSKHPDVEAKILAELLENPPASGAGHHRTTAELTRLVYLHAALSESLRLYPPLPFQYKSVARPDTLPSGETVGPSWVVIIPFYSMGRMEAVWGTDCLEFRPERWLTAEGRFRHEPSHKFVAFNMRPRTCLGKDLAYAQMKAVVAAVVPRFQVEVAAGAVARPKPKLSPILHMKHGLKVRVRKRQDDASSATSTS >SECCE2Rv1G0074020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73131970:73133367:1 gene:SECCE2Rv1G0074020 transcript:SECCE2Rv1G0074020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGRECMRVVMFPWLAHGHINPYLELAKRLVAANPDDHLDVVVHLVSTPANLAPLAHHQTDRIRLVELHLPALPDLPPALHTTKGLPAHLMPALKRACDLAAPRFGALLDQLCPDIVVYDFLQPWAPLEAAARGVPAVHFNTFSAAAKAFVVHFLKNERTPSAFPFEAISLGGAEEDAKYTARLISRDDGTAQIPERDRLPLSLERSSGFVAIKTCADIERKYVDYLSQLVGKEVVPTGPLLVDSGGSEEKRDGGRIMRWLDGKEPGSVVLVSFGSEYFMSDRQMAQMARGLELSRVPYLWVVRFPNAEDDARGAARSMPRGFKPARGLVVEGWAPQWRILSHQSCGAFLTHCGWSSVLESMAAGVPMVALPLHIDQPLNANLAVELGAAATRVKQERFGEFKAEDVARAVRSAVNGREGMAARRRARELREVVARNNGDDRQIATLLQKMARLCGKGQAVPN >SECCE3Rv1G0190500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:740152128:740163833:-1 gene:SECCE3Rv1G0190500 transcript:SECCE3Rv1G0190500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIARSRLALTLRSSAAPFSTISPAAAAAASLASKEAPAAADASSAPKEAAAAGDPSPAPPSGARSSFRLLKAGIFTAVTAALGATGYVTYAYSVDEVDQMTREFRKNSKLPISEDLSGFEKFKAMACSETMKVPAAAIDLYLDVRSQIEDQIQGFVEPSSEKLLPDLPPQEQHVFTIVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLAKFYEVVVYSDQLSMYVDPVMERLDAKGCVRHRLSRVATKYVNGKHYRDLSKLNRDPARVIYISGHALDSCLQPENCLPIKPWKLEAEDTQLIDLIPLLEYVATARVSDIRPVLASFEGRDIAAEFLERSRRVYEQKQHGQHGRIWRR >SECCE5Rv1G0330070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:524249495:524263129:1 gene:SECCE5Rv1G0330070 transcript:SECCE5Rv1G0330070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLAASAPSSAAPRLRPSARLLRLSRPHPFAHLRRRCAPHLPSKPLPLASVSPVLLPRARRSLAFAPRAHSNHHGHGHHEHGHHEHGHCHCHHGVSVHGGGGGATVMRLARAIGWADVADALRDHLQVCCISLGLLLIAAACPHVAPLNSVGRLQAALIAVAFPLVGVSAALDALVDIADGRIDIHVLMALAAFASVFMGNPLEGGLLLAMFSLAHTAEEYFTKKSMVDVRELKENHPEFALLLQTSGDESTRFSNLSHTKVPVQDLTVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIIQVTKSWEDSTLNRIVQLTEEGKINKPKLQRWLDEFGEHYSRAVVVLSLMVALLGPLLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAAAPLAYATAISSLTRKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLMCKAIEPIHGHLNVRNGLNVSSCCTPSCESEALAVAATMEKGTTHPIGRAVLHHSVGKDKDLPEVVLQSFESLPGRGVFATLSITKASNNENKLSTAAIGSVEYISSLYRSNGECEQVQEAIKSSAYGPEFVQAALLMDKKMTLFHFEDEPRPGAREVISTLREKAKLRIMMLTGDHESSALRVAKAVCIDEVHCCLKPEDKLSKVKAVSRRGSGSLIMVGDGINDAPALAAATVGVVLAQRASATAVAAADVLLLQDNICGVPFCIAKARQTTSLVKQSVGLALTCILLAALSSVLGFLPLWLTVLVHEGGTLLVCLNSIRALNAPTWSLADEIRQLVDGLRKYISAKLNSSSNYAANTAPL >SECCE3Rv1G0203510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883892157:883893002:-1 gene:SECCE3Rv1G0203510 transcript:SECCE3Rv1G0203510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLKKKTRNYLTWTNEMDEALLAVLVEHHNIGDRAQNGWKPHVYACIKHVKDTCDVDITKDNIVGRIKTFDKHYEIISKMLAQSGFGWDWETNKVTVDSDEVWTRYVEANKDARAYRTKVVHNWSAIETIYSKDHANGGGARTGAECAQEQNTPVVEESPEVPQKRQRTGDAILCMMGQMRTSFDEALKATEPLPMPKATPATEILEALKKVEGLEDADMLRAYGKSTANERMFESFMALPPNLRKPWLLTLP >SECCE1Rv1G0033290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:465311762:465312429:-1 gene:SECCE1Rv1G0033290 transcript:SECCE1Rv1G0033290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLLELPVQQRGDAVSSLVYEANARVRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQQRDGCQSQDDAGRSDSHSLAAMQQMVVDDTAAAEAFLMQNGGGGFPPQLMSSYGGAPASNVHHYGQQDHLKRESLWT >SECCE2Rv1G0068180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22252454:22253161:1 gene:SECCE2Rv1G0068180 transcript:SECCE2Rv1G0068180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSSGFLFITILCFPTLVPSPVNARHFPSNLGSTSVIDGAGSKFYWPFSRDGAGSGHGSGSGHGFGWVVSRNGSDTTIGVGVGIGGGGAGSTRDGEEGSAGGGVGAGVGIDIGEDGIDVAIGVGGGGAASTHNGIASVGLGGGEGFGFHISKEGVTVTVTHGNGGGGGDGSGGGASGGGSGVGRAGNAVGSGQGSGSVIGGTGSGGGSGSGSGPGGSGGGGGGGAGGSSGHP >SECCE4Rv1G0230760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:173331331:173335553:1 gene:SECCE4Rv1G0230760 transcript:SECCE4Rv1G0230760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLILLLAAVAGVAATVAPAPVARGAGVTLHVEHHQVVVDNGVVQVTVSKPQGQITGVRYAGERNLLHFTNDENSGGYWDVVWNFPGSGHPRGMIDMLDSTEFRVVSASEEQVELSFRSTYDPSRLNSVRLAVDKRLVMLRGSSGFYCYAVLEHAGSWPALNITEARLAFKLNTARFTYMAVSDEIQRYMPRAADRDAPRAMPLAYKEAVLLLNPAEPQFKGEVDDKYQYSLDNKDNAVHGWIAGAPGPDVGFWVVTPSNEFKTGGPLKRELTSHVGPTSLTMFMGTHYVGNDIVAKIKEGEQWKKVMGPVFIYLNSNPERGNFRALWEDAKAQAQAEASKWPYTFPESPDFHKASQRGSVTGRLLVRDRYMSSKDMPARRAYVGLAAPGQPGSWATDSKGYQFWARASATCGSFAIGNVRAGVYNLYAWVPGILGDYMHTATVTVEAGDAVALGDLVFEPPRSGPTLWEIGVPDRSAAEFFVPEPNPKYVNKLFLSKDKYRQYGLWDRYAELYPAGDPVFTVGESHYSKDWFFAHVTRKMSNGEDAPTTRRIRFGLSRVVADGTYTLRVALAAAHMSRLQLRVNGAPVTRRGSSADAGVFVTPDFGDGNAIARHGDHGTWWSFEFGIKGYLLMEGENTISITQVRALSEFMGVMYDYIRLEGPPGSWRDPTQLP >SECCE7Rv1G0504170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:720501228:720512342:1 gene:SECCE7Rv1G0504170 transcript:SECCE7Rv1G0504170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPSPSVVAAAAAAPPRLAPGLSLSAAAVHHSSHAFRPRASLALRPSATAAPANPLRCTHRRAVTPRSRRRTQGLGAASASAAGILGEERDGCLSCFPRSRRRGRPGLARFAPCALPHTSGLSLHSRWSGPKTRRSHILRAAGPDEPHVASPTWSETALDKPYDPTVRNEAFEDVLDTPLPSHPKLIRGQLKNGLRYLILPNKVPADRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGESLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSNRFPIGLEEQILKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAVREIEAVFEHTLSGNEAAPMSTGGPFGAMASLFAPKLPGGLAASLTGERSPSTDKIKPIKRERQAVRPPVEHKWSLPEVDQVAKPPVIFQHELIQSFSINMFCKIPVNQVRTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWKSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHDSLLGVAETVTLEEVNTVGAEVLEFISDFGKPSAPLPAAIVACVPKKVHIDGVGESSFEICPEEITESMKAGLEEPIYPEPELEVPKELITQSELEDLKVQHRPSFIPFKEDDVVKVFDNETGITQRRLSNGISVNYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRAAQLYLSYYRSIPKSLERATAHKLMVAMLNHDERFVEPSPHSLQKLTLQSVKEAVMNQFVGSNMEVSVVGDFTEEEVESCVLDYLGTVSAAKSSNKEEHIEKISFLPSPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGKDLFNDIQNSSTDEISAPANSAKTHINVRNHPLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHHNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSASVPRKDISCIKELTTLYESATIEDLYLAYEHLKVDDSSLFACIGIAGAESGEDVNADNEPELGLPGMVPMGGRGLSTMTRPTT >SECCE5Rv1G0358910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:758366791:758367881:-1 gene:SECCE5Rv1G0358910 transcript:SECCE5Rv1G0358910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGGHGGGNHPLRWTSPMSGFMLRRFVELIASGVKTEKGFKEAHLNQVAKNCSEHFGLSITGTQVYNHLHKCRARWVKISKLRDISGSLWDDNNYVVMLEEEHYMGHIKDHPKDVEYLNVPLENYVQMLAIFGSGIATGRFAMTSNEALGVPSMVGTSSSFVNLEACGSEFVVDGNEPGLSATAAAHGETVAAPHGKEPCKDASSSTGKRKRSSLMSEEEVLVMSNMSEAVREVAIAIKSTGEVHPELYDAVMELPGFTEDDLLIVLDYLNENANRARSHSFMQMSETRRTRWVMHHLSKVNGGVPVPKEGLPKDGMPVTSDEVPKGGV >SECCE6Rv1G0396640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:371098891:371112354:-1 gene:SECCE6Rv1G0396640 transcript:SECCE6Rv1G0396640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTSRTVRFQNDVDVKHFRTSPLESPQPPAGRKRSRSHDTRWCWPGSRDSPEKPRARSGSGSRRALKDRVLSRAFSEELESLMHAGGDRHLFFFFDPRGQVIHRWHKVFLAACLASLFVDPLFLYLTGTRRDACIGFDYSLALTLSMIRSLLDVFYAAHIVIRFRTAFIAPSSRVFGRGELVINPYQIARRYFAWTFWFDLFTALPLPQFVLWVVVPKLRESAITNMKNVLRFSIIFQYLPRLLQIFPLTRRIVMATGVMTENAWAGAAYNLILYMLASHVLGALWYLFSVQRQESCWREACRVEGPPCQDRFFDCTSTVNSSRAIWYALTNVTRLCAPSNNGFYQFGIFAEALDAQLTTSSFTHKYFYCFWWGLKNLSCLGQNLNTSLSIGEISFAIVIGVLGLVLFALLIGNMQSYLQATMVRLEEWRTKRTDMERWMQHRQIPQPLKECVRRYHQYKWVATRGVDEEALLEDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGHLDSNTTQGGRSGFFNSCRIGAGEFCGEELLTWALDPRPAEALPRSTRTVRAVSEVEAFALVADDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRHKRRRASMELRVREGGSEPWPGGSVRRRRHSCDGKALKKPMEPDFTVEQED >SECCE1Rv1G0005150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:24124230:24127002:1 gene:SECCE1Rv1G0005150 transcript:SECCE1Rv1G0005150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR9 [Source:Projected from Arabidopsis thaliana (AT2G39570) UniProtKB/Swiss-Prot;Acc:O80644] MPMAGRSSGRGGGGGGGRTVLGGRGGGPGVEDAVVMELAAGDGEDNVVTVNCPDQAGLGCDLCRTILEFGLRITRGADVSTDGQWCYVIFWVVPRSPSINVRWGSLKNRLMAMCPSSYAIPFYPEVTEPGPPQFYLLKLFSTDRRGLLHDVTHILSELEFIIQRVKVSTTPDGRVVNLFFITDGMELLHTKERQEEICSMLIATLGPSLTCEILSAEGFQQGFSSLPPTISEELFRLELDECESSSGPLCAEMKKVQKATINFDNSLSPAHTLLQILCVDQKGLLYDMLRTLKDCNIKVTYGRFWSDKKGFREVDLFIKQADGKKVIDPEKQDALRSRMRSEMLHPLRVMIVNRGPDTELLVANPVELAGKGRPRVFYDATLALKALGICIFSAEIGRQAASERQWEVYRFLLDDSKEFPLTNSLTNRNRVVDRVRKTLMGCFN >SECCE7Rv1G0510180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:787585244:787586440:-1 gene:SECCE7Rv1G0510180 transcript:SECCE7Rv1G0510180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSENKRREWADLGDGLAGMIADRVLAYDVADYLRFRAVCGPWRRCCADPRVHGGLDRRFHPRRWLMLREPRERIDAPNRRRFLNSSTGECIRVHLPELHNQEVLALTSEGLLVVLDWPRSTKVNLLNPLTRHLTQLPPLTALVSHQGNEGLLFTAAFDTHFPAWGSGVLRDDSTVVLSFNRLNILGMAKPGDDHWTVLTFNNPLRTASSMVAGRFYCVTIDGVMVLEDQPPRLELAARLPMPVSPMADTVHLMDSAGELMLVHRRLSRHHDNSNSVANRRYDVYRLDLGAGTLCRVNSFGDGGHALFIGMYCSLSVPIKIFPSGSIRGDTVYLSFDIAERNETEGYHLADRSTISSDSLNSHSVLQPHNLVDCLSLCSTGRIWCHFEKHLVSHCRL >SECCE1Rv1G0060900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712610467:712617173:1 gene:SECCE1Rv1G0060900 transcript:SECCE1Rv1G0060900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGGAPRPAHTARDLAEEGKKRAVLLLVFAFGLAFLMSLTSSSVWINFPFAISLIVLFRYLSLDYDFRRKSTAPTDRDARRPLAKTKSIEFSKPSLSQKNGNSSWRSKVNSPPVEAAFEQFTRHLVTEWVTDLWYSRITPDKDGPEELISIVNSVLGEISNRARSINIITLLTRDLVDLICNNLELYHSCEAKIGKEKFVRLPTERRDAELKLALIAQSKLHPALFSASAEYKVLQSLADGLLSITVKPENLQCSFFHYTARELLACTVLRPVINLANPRFINERIESLVLSRANKADKGVEGSLEDATMLKQREPPMPSVDELSALADHSSPGVELVRFSQGQSKTASDAQLSKTKSISSIKPKALNSSMINDSHPLESGSLPSNSHIYPDTGISVHPQDCGKITAESYEGESAQILDISSHRKIRVVAPEHLENMWTKGKNYKSENAKHVAKAPVRSSLVTHSSVHEPVPFSTSIRHPPPVPQRQTTLSDSEHHHLIKHSATPAYSNGTNHLPNSLAGEMAGHASQEDSALDSESSYCTEEDENNNVTGLDSPVTRVWDSKSKGNGTSSHIHHPLEMSGFHKSRTNRNHASKLKMVRTSSGRKRSRSNAQKIPLWQEADRSFLAGGDFGLLNTSANDSRTDGLYDDTEVESMTRMLSRANTSSLSLASSDSSYSSSTNVLEDSYLKLRCEVVGASIVKSGSGMFAVYSVSVTDANANSWSIKRRFRHFEELHRRLKEYPQYSLHLPPKHFLSSGLEVSVVQERCKLLDIYLKNLLQIPTVSSCIEVWDFLSVDSQTYIFTDSLSVIQALSVNLDVRSNERGAKPLNSAKALSGNFASPRQSLSGCQNDNDQKDKDFAAVDGGLRLRKGNKEQNLGTSVSNASASIYQDHSGSDPEQNDHSFLINSGNDKKKLPAQTDYTSQILESDGYSVTPNEWMAPNLSAPIFHLVDVIFQLQDGGWIRRQAFWVVKQILQLGMGDTFDDWLVEKIQLLRKGRIIALAVKRVEQILWPDGIFMTKHPNRKPAPPSPGSQNDSRTNYLIEEQRLEDAHRAEFVRELIIDKAPSALVSLVGRKEYERCAQDIYFFLQSPVCLKQLTLELVELLVLAAFPELDGTVRKWHEDKHEFSALD >SECCE5Rv1G0329200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:513745938:513747840:1 gene:SECCE5Rv1G0329200 transcript:SECCE5Rv1G0329200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGARVVAAAALLLLLLLAAGGGSRGVHCLRSRAESGATVLELRHHASFGSGGRGRAEEARGVLASDAARVSSLQRRVGSYGLIRSSDAAAASKLAQVPVTSGARLRTLNYVATVGIGGGEATVIVDTASELTWVQCKPCDACHDQQEPLFDPSSSPSYAAVPCNSSSCDALRVATGMSGQACDQPAACSYALSYRDGSYSRGVLAHDKLSLAGEDIEGFVFGCGTSNQGPFGGTSGLMGLGRSQLSLISQTMNQFGGVFSYCLPPKESGSSGSLVLGDDSSVYRNSTPIVYTPMVSDPLQGPFYMVNLTGITVGGEDVQAPGFSAGSSGGRAIVDSGTIITSLVPSVYAAVRAEFVSQLAEYPQAAPFSILDTCFDLTGLREVQVPSLKLAFDGGAEVEVDSKGVLYVVAGDASQVCLALAALRSEDDTPIIGNYQQKNLRVIFDTAGSQIGFAQETCDYI >SECCE1Rv1G0050000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:643863211:643868232:-1 gene:SECCE1Rv1G0050000 transcript:SECCE1Rv1G0050000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLDALLGVQRHGQDLAYRLAQGVSGLLLHLHVQPPQLPWPAPLKLIPFDIELPAVPFAGGGGGGVDLPAVAVASFVEIGGRLGQAGSELGASVGGAVQQLSRQIPVPFRAERARRRKWEGDAAPPAPAAVDEGEAGLAAERAAEGGVALEGVGEGGSLEEVAAAVAAATGSAAAASAVGAGAEGADGSDEEEDGFGFEIGTLGKFMKPQGTVNVSATYNAWHHTVDSSVVAHGEFWRLESSRGGSTNGNNNAPGFLIQLGPLLFVRDSATLLLPINLSKQHLIWYAYDHKNGVHSLCPVIWSKQKKWLLMSMMCLNPVACAFMDVEFSNGQLRYVAGEGITASGFLPLFGGLLQANGKFPGETKLGFSFKSTQGTWFTPTYQWPDNSLSFGVAHAVAWKKSGLMMRPSTQVSVCPTLGGSDPGIRAEIVHSLKEEIGVSCGFSCSRHPSVFTSLSIGRSKLNGEVGSSGVVVTMEKPLDNVGSPSLSVQLNGGLEF >SECCEUnv1G0553700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:276721804:276724332:-1 gene:SECCEUnv1G0553700 transcript:SECCEUnv1G0553700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKNTTDLRSFLERVAAKKKKSEPTSATPSSNDSQLQLVIFQGQSGSETHTIPPDPERAPNTESTIAEDDDESMTVDGSDSTDEDSDDDIYDIVPDPGLRTPISSYDVNDQDSVRRAYIALGRCKPKMDKKDFPQHNCGGKRRFQPVWFDEYKWLEYSVDKDAAYCFVCYLFKDSTKFPGGDSFVNGGFRNWNMKVRFRKHVGEVNSAHCEAEEKYNLFIKPKASIREAMASQTTQYKAQYLARLKWSLECIKFILHQGLAFRGHDEGKDSKNKGNFRELLQWLAGNFEEVNKVVLGNAPQNCQMIDHKIQKQLIGSCAHETTKFVIEELGNECFAILADESSDAYQQEQLALCLRFVNKIGEPVERFLGLAQVADTTSLTLKEAIQTLLMKYQLPISKVRGQGYDGASNMKGHVNGLKKLIMEDSPSAYYVHCFAHQLQLTLVVVAKENIDCKWFFGHLAYLLNVLGMSCKKIRMLRVAQSEYMIEALKLGEIEVGQGDTRWGSHYKTVMHVMSLYPSIRKVLFKLGKENNSAEALGAQTMLEVFKSFEFVFMLHLMNEIFGYTNDLSNALQKRDQDIVNAIDLLEFTKVQLQVLREDAGWREFLENVTSFCVKHNVRCVDMDGKYRPIQRARSFYKNVMNYHRFHADMFLGVIDRQLQELNNRFDEVNTELLRCMASFSPAKSFSSFNIDDLVKLAGFYPHDFDFEEMHQLPFQLNLYINDVKNDENITNLRNLAELSMMLVKTGKDLRYDIVYKPLKLVLVLPVATAGVERVFSSMNYIKNKLRSKMGQKYLNDCLVTFVERDFFLQVKDEDIITHFQNIKDRKVNL >SECCE6Rv1G0384400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:63312450:63313127:1 gene:SECCE6Rv1G0384400 transcript:SECCE6Rv1G0384400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIEFPLLDQTSSNSVISTTPNDLSNWLRLSNLWPLLYGTSCCFIEFASLIGSRFDFDHYVLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEKMPEPKYVIAMGACTIIGGMFSTDSYSTVWGFDKLIPVDVYLPGCPPKSEAVIDALTKLRKKISREIVEDRTLSQNKSRCFTTSHKLYVRRSTHTGTYEQELLYLSPSTLDISSETFFKSKSSVPSYKLVN >SECCEUnv1G0558810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334660864:334662096:1 gene:SECCEUnv1G0558810 transcript:SECCEUnv1G0558810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFTGVSIADGYKRCGCETLAVDARGDSGYHLLMVNGYSRTKELIPTGQSITANSFNVGGHDWLIEYYPNGENPGCADFISLFLNLLYDADDNDEVPMEVRFSFSLVDQVEKQMPMYIRATGETRSFSCTTSIWGNDRFIRRDALEQSPDLKCDCLTIRCDVVVVRNSKVDDDDVGGHGGTKALLPDIHQHFNSLLQNKVGADVAFQVGGETFAAHRCVLAARSQVFMAQLFGPMKEASNSVIQIKDMEPKVFTALLSFLYTDSFPDMYEDNIKLSELCKDTGQGQEEEMLEAVGQGQGREAAEDEMGLLQWLQGLFVAADRYDLQRLKFICVKQLSQHIGVSSVASTLALAEQHHCHILKEACLKFIQVQSPPCLQTLMTSNGWGHIVTTYPSVLNELIAMLASNQRK >SECCE5Rv1G0339740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:610347198:610348025:-1 gene:SECCE5Rv1G0339740 transcript:SECCE5Rv1G0339740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLSPYAPFFPTSPPSQFSTAEEHHPQAFELASCEVPEQWLVGDTVVPAKSGDGDYMWPAGSSSLSPYSELPGESLQASTTKRLGRKPGPQPEGPTLSHVEAERQRRDKLNRRFCDLRAAVPRVSRMDKASLLADAVAYITDLRSCVARLEEEGRQAAAARWAASNASATLSSGGHAGASLHHLTGDDVVEVRMVGKDAAAVRMTSSGQAPHAPARLMNALRSLELQVQHACVNRVDGVMVQDVVVDVPAALQDDDGDGALRSALLQRLRYSA >SECCE6Rv1G0381740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:35096859:35097473:-1 gene:SECCE6Rv1G0381740 transcript:SECCE6Rv1G0381740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLGRCWDRCKWPLACFGAVAAVVIIVVMVAAYSFAVQPSITVEDASLARFALATTPTTSLGYNLSLRLVVRNRNWATTMKNTEPLEAAYKFDGQQFDRVQVADKGDKHGPRKTRVYRLVTGQESGYVSLGNAGVAAYKEQNKTGEFELEVAVTGEVRYTLQLKKNKLAGTCKLKLKLDSPATAAVVFEKVKCKLEKEKKDKE >SECCE1Rv1G0020160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:254420952:254440091:-1 gene:SECCE1Rv1G0020160 transcript:SECCE1Rv1G0020160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFKISKVGVRVQPTARSAAPGLPAAVETEKPGAGEKDGSRPEAKREDAIVERANDANGIKISPACSRAILPDHEVSFTFSLYDRGYLIAKSAVLDPCQPSVQDGKTLHPYDRASEKLFSAIEAGRLPGDILDEIPSKYYNGSVICEIRDYRKHASNQVHAPSAELGLPSVNKVQLQMTFENVVRDIMLLSDDSWSYRDFMEAESRIVKALQPALCLDPTPKLDRLCQDPVPHKLNLGVGRKRRLRQNPDVVVTSSYMSHGKKVCIGRVSESTTTDEMGIANSNVTHQVLDNITSQIMSGGSQPLRPSSSQDAARMSMVSHSGIQQNINYSAVGNDRGAGGPVSFTGVNSSTSSQNMMAYNDNGLLSVKRELQEAPLQDPKRVKPTISTDDIQQQQQRQQIRPQSAALGGQDMQWKKGMQYASLNGQRYPSPMVNNMQDSGASFYFREQGLRYGAKQELMDGMDRCKDPLQAMPPENTVLDQQQSHAQHLSQQAAARNNLQNMAQWQNPRGPSEKDMKKEEMLQRRKLAAASRVSSAPMVQSPVSSKSGEISSSSMGGQFGSAATSAAIGSHKDNKFATSSSAAVGYPSVVSSPSDSMHRMQQPSVAPSKRKNSAPKTQPLVSSVGSPASVSNMLPTPNASSPSVGTSMADQSILEKFRNIEAISNRHQLHNKKNKVDKLSNNRKPIVNASREKVVTLLSSCFHTEDYKDEFRPLCNSMLSGTINSFRTRILNFVVANRSYQGPTKPFRIIFKDKPDGTVGMQYGDPEDFDNRNSHECTLILPTKYHADLLATQLIARMEKEGCDKADDQVLPSNPPGNLSGLSGMLPDNTANEVKQEGGITQQLNTAAHANMVSGSPLQQLTANRMLPSGSSNQPVPMQQGYMQGATMSPRSQQLDQSLIQQQQQQQPQLQQNAQPQLQQQTSLPLNQMQRPQLLSTSPFSQMLGPGSNLPMGSNHMSNTKATPASLQLHMMQQAQQQQPVQMSRKVMMGPGSAVNMGNMVNNVVGLSGLGNIIGMGNVRPMPSPMGSMSGLGNNPNQMSLGMASNLAAAGLRPGMNPAAIAKMRMGLAQQQRVTSLYPQTGMVGLPGSGSPILPSSAGLAMMGHHSLNRNNLNPMQRAMLSSMGPPKMPVGNFQMNAQQQMQQLQQLQQQQQLQQQQLQQNPQQQLQQNPQQQQQQQLQQQQMQQLQQQQQLQQQHQQHQQQQMGSPLQQAAQVGSPAGSQQSLVMQQQISPQQMAAMSPQLSSGALQQVNNNVVNPVGTPGPPHSPQLSSHSQNQGSVSSIANSPMEQLQSANMGGPGSM >SECCE1Rv1G0058590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701098389:701102103:1 gene:SECCE1Rv1G0058590 transcript:SECCE1Rv1G0058590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGDESGSDHEGGGGGGTRKPLLLKNTGSWYRMAGSSSRQSVGASSMAVLRESHVSALLCTLIVALGPIQFGFTCGFSSPTQDAMIRDLGLSISQFSAFGSLSNVGAMVGAIASGQMAEHIGRKGSLMIAAIPNIIGWLAISFANDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQSTRGALGSVNQLSITLGIFLAYVLGMFVPWRLLAVLGTLPCILLIPGLFFIPESPRWLAKMNLMDDFETSLQVLRGFETDITAEVNDIKRAVASANKKATVRFQELNQKKYRTPLLIGTGLLVLQNLCGINGILFYASRIFRAAGFTNSDLATCALGAIQVLATGVTTSLLDKAGRRMLLIISTAGTTLSLLAVSVAFFLKDNLPHDSDSDYILSMVSLVALVAYIITFSFGMGAIPWLIMSEILPVGIKSFAGSFATLANMLTSFGVTMTANLLLSWSAGGTFASYMVVSAFALVFVILWVPETKGRTLEEIQWSFR >SECCE4Rv1G0272570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:769600128:769600340:-1 gene:SECCE4Rv1G0272570 transcript:SECCE4Rv1G0272570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADHGELPIPTGDGTTAVTTRFIKGVDKRVTITRGWSDFFRQAQMTKGQAYAFAFKCTSKGLRMIVYSI >SECCE2Rv1G0103000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:586045686:586048040:1 gene:SECCE2Rv1G0103000 transcript:SECCE2Rv1G0103000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G09820) UniProtKB/Swiss-Prot;Acc:Q5M755] MAASVLLPPSAHRHGITTLTRGTGAQCHAAAPRRRPRPRGAVLVARGAAVPPEQSLTPQHQTLGDAKAALYQALEGVDRGIFGITSAKRSEIHALVELLESRNPTPDPTHKLHDKVDGCWRLIYSTISILGKRRTKLGLRDFISLGDFFQIIDVKEEKAVNVIKFSARALKIFSGQLAIEASYTITTKTRVGIKLESSTITPAQLMNIFQKNYDMLLAIFNPEGWLEITYVDESLRIGRDDKANIFVLEKTDPSQV >SECCE5Rv1G0356930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742708357:742710596:-1 gene:SECCE5Rv1G0356930 transcript:SECCE5Rv1G0356930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGGLPISPAPAPMPTATVAAPLEDENLLPEILVRLAPLPSALPRASLVCKRWRRLLTDRRFVRRFRAHHLRNPPPLIGLFEEVTRESAPDSSSSLFFTPILDPPNRIPVSRFSLNFRNGDSRTILGCRDGLVLLVEAGSAEIEVLVWDPVTGDQHRFVVPPAIDERQVIEILNGAVLRTAGILDDRPFRFQVVLAGIDRPNKRLFACVYSSETGKWGDPIWVSVDSESNVRTTVSMRVSSTLVGNSLYWSLNGDTAAVLQFDLGTQRLAVIPLPLDKCSDGSRSFRAMPVDGGGLGILELLDFNIQLWKREIDRAGVVSWVLGKTIELDQLLSLDKRGMSPMMLGYCEVNNVVFLRTVFSIFMVQLESLKFNKPAIQASYFLVHPFTSVYTSDMGIGGGPDEAKLLCNAMHNMTVL >SECCE3Rv1G0174950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:411658453:411664700:1 gene:SECCE3Rv1G0174950 transcript:SECCE3Rv1G0174950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARRSTGSSSSDGFLPPGSDGEDERYCSASSALGTPSSIATLRPSSDFWDHQMDLLLDDPIASFPRSHQLSRLHQPQAPRPDPPTAALPRPVLGSDTTGVLPRTHPVEVDNIDESDLFDDMVQEMEQILLNSGEPRESGPSTDNRVNNAHQNQHFRDGSTTASTSRTDDAYVYALSCNLSKIDSVEVVGAKQRTGDVSFGERMVGVKEYTVYLLKVRSGEDEWEIERRYREFYALYQQLKLFFSEKGLSLPPTWINVEKESGKMFGNASPDVVNGRSDLIQDCLCSLLVSNYPFGTPSPLVIFLSPGTPAYEQSFLKMLIPRSLKRLSSDLHAKDSDCNGALHKDSTSMGKTISLVVEDRPQKSTRQLLEFQHYNCAGCHRHLDAGRTLLQELVQTIGWKKPRFCAYTGQLFCASCHTNDTAVLPARVLHHWDFSLYPVSQLAKAFLDSIYDQPMLCVSAVNPFLFAKVPALPNIMSIRKKIAAMLPCLQCPFRNSIFRGLGVRRYLLDGNDFFALRDLVDLSKGAFAALPVKVQTVSNRILEHITEQCLVCYDAGIPCGARQACDDPLALIFPFQEDEATRCGSCGSIFHKQCFRKISVCPCGKSDSTGTKIMALEQAIEYGSNRLSTEFIPAPSFSSSSRFFTSILSKEVQIRFGNRETAIL >SECCE5Rv1G0330580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:531522533:531523855:1 gene:SECCE5Rv1G0330580 transcript:SECCE5Rv1G0330580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAVVLLVLLPLLLSAVVAADGGIGFGFQATLTHVDAGAGYTDAQLLSRAVRRSRSRVGALQSLATAADAITAARILVQASEGEYLMSMAIGTPPRYYSAILDTGSDLIWTQCAPCMLCVDQPTPYFDPTRSSSYVKLTCSSPMCNALYYPLCYQNTCVYQYFYGDSANTAGVLANETFTFGTNGTRVTVPRIAFGCGNLNAGSLFNGSGMVGFGRGSLSLVSQLGAPRFSYCLTSFMSPVPSRLYFGAYATINRTSTSDSGPVQSTPFVINPALPTMYYLNMTGISVGGDLLPIEPWVFAINEEDGTGGVIIDSGSTITYLAQPAYDMVHEAFVAQVGLPLANATSPDDLNTCFKWPPPPKRMVSMPELVLHFEGADMDLPLENYMLVDGGTGNLCLAMAPSSDGSIIGSFQHANFHVLYDNENSLLSFIPAPCNLM >SECCE6Rv1G0383950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:58762152:58763778:1 gene:SECCE6Rv1G0383950 transcript:SECCE6Rv1G0383950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERRRAAGWEDRISELPDALRLQILSLLPLKCAIRTGALSSRWRDLWEQRWPVPSSLRLRVPPGPGPSGAQAQLAAVDRRGRRRVDVFSLAFHAGQLTQADLKRCVDYAAACGVEDLQLRLDGGGGRGARGGQRRAGALAVHFPVGSPLLARLSVRGLHLTASANAMVATLEVIHLHSVSITDAALRRVVAACPCLRDLELRYCRHLRRIDFTTVGAANLRSLTVVDCSRTTELRVPAAPRLRSFRFSGPFLCSNLFSGVGDSFQDLYLCSGGPEAGLPPTNLPSAVPHLANITTLTICSIALQYVSASLATIVKETNLRRLKELQLLMFGMANSNLADIFSFLKTCSCPQLERLFVQLPTNTHDSFTRNYLDVAEEEQPEDGLENLRLAKMTNFKGHHNEMRLLDFLLTKASCLKKLFLVAPKEDHPQGLRKIQSDVLPLSRKAEILQRASAGTQIVFGGPDSSQAQPLHSEVFIRF >SECCE6Rv1G0413450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:623597354:623600395:1 gene:SECCE6Rv1G0413450 transcript:SECCE6Rv1G0413450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVPSWRERENNRRRERRRRAVAAKIFYGLRAHGGYTLPKHCDNNEVLKALCDEAGFTVEPDGTTYRKGCKPPAAEGANPTVRSGSRSPCSSHPVSPRASSTFPSSGTTSHTTLGGAGGYYEGGSLIPWLKNLSSGSGFASSSKFPPYSAHAYFSGGSISAPVTPPSGSPRRMPLPCLNAGWGECPSVQVQPPWFGAGGSGYDDYASLPNSTPSSPRGGGHGAGPDPAWLSGFQISSAGPSSPTYSLMAPSSSFGVFREMAAATAGSSRMRTPAQSGTCSPVALAVHGDVQMGGRAEDDFEFGSGGRPVLVNAWEGERIHEVCASDESELELTLGIGSSKTRAGAVN >SECCE6Rv1G0424190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:699526944:699528842:1 gene:SECCE6Rv1G0424190 transcript:SECCE6Rv1G0424190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKRFSLGRNPLSDAPHRNPGILINKSLKHGVVDVTRCGDRIILVKLVVGDLVLNVISAYAPQVGHTENTKREFWEGLEDMVRSVPIGEKLFIGGDLNGHVGTSNTGFEGVHGGFGYGTRNQEGEDVLSFALAYDMIVANTLFRKRESHLVTFKDRRACLDCKVIPGESVVPQHKLVVADFRFRTRVQRDRRAKVARTKWWKLKGELAQAFKERDTWWWNDAVQKAIKEKKECFRCLYLDRSADNIEKYKMAKKAAKRAVGEARGRAYEGLYQRLGTKEGERDIYKMAKIRERKTRDIGQDEEIKHRWREYFDKLFNGENESSTIELDDSFDETSMRFVRRIQESEVKEALKRMKGGKAMGPDCIPIEVWKGLGDIAIVWLTKLFNLIFRANKMPEEWRRSILVPIFKNKGMFRVVLITVELS >SECCE2Rv1G0114750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:738096243:738096536:-1 gene:SECCE2Rv1G0114750 transcript:SECCE2Rv1G0114750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAILAALAVAVAATDGTGPRSYFSSWDGPACTGRMSSAGSCGCSPVHFHGGHEFNFRGETATLYSEHGCAGTPYQVFEDTQACGDFGWRSIHIDC >SECCE1Rv1G0052600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:662347998:662348459:1 gene:SECCE1Rv1G0052600 transcript:SECCE1Rv1G0052600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADKKPVAENKVEKAAEKTPAGKKPKAEKRLPAGKTASKEAGGEGKTRGRKKGSKAKKGVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE6Rv1G0414870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:637653026:637653592:1 gene:SECCE6Rv1G0414870 transcript:SECCE6Rv1G0414870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRRRVPVGAAAILVLALAILLAADGADAGRVYRKIGGGISQQAWRFLASHNAARRAVGVRPLAWDSKLEAYARGYAGARARAGCALVHSHGPFGENLFRGSGVGGGGGWTPEAMVAAWVAKERAMYDPRSNACRGPRGACGHYTQVVWRGSKKVGCATAICDGGRGTFAVCVYDPPGNYAGVRPY >SECCE2Rv1G0090560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:281372152:281379291:1 gene:SECCE2Rv1G0090560 transcript:SECCE2Rv1G0090560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGRLDLDATTSTLGPEDDTAQGLILPTKDKVMYRPPPGKSALGLDLLAHKKREKEGNNSFKRPPEKVVTAASSMDEDERPGSTENDASSLSGGDRGSVARRYRGTNSSEKTSSKESTVTDENERGLTPRHRDESHRQQTHTSRYEPNDDRGSRDKRGERETSASIGYSSSGKRGYRDDRESNSRRNEQSRSTSIDYTNKRSRDDYSSRSSRTPARSDWDSGRWEWEDTPRREYRDDRPGSQRHYPTRSPMLAAASPDARLVSPWLGGNTPRSAASPWDHVSPSPAPVRASGSSKGSSYSSSSGRSHQLTFSNDAEADRSPSAADRNYEITEEMMQEMDYNADRAWYDCEEHNTVFDGDNYAADDSSYKKKEAQLPKKLTRKDGSLMTLSQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVYTKQAEPVMPLKDPTSDMAIIARKGSVLVREIREKQSQNKSRQRFWELAGSNLGNILGVEKTSEQVDADTAVVGDQGEIDFKEEAKFSQHLKEKAEAVSDFAKSKSLSQQRQYLPIYTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGVVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTCSSTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFNIPGRTFPVNILFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKNVPKLSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPCSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTEIGWKMVEFPLDPTLAKMLLMGEKLDCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKALKIPLTSCHMEWDVVRKAICSAYFHNSARLKGIGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVSAVDPQWLAELGPMFFSVKDTDTSLLDHKKRQKEEKTAMEEEMEKLRQEQAEAALMEKERERRKRAKQQQQISMPGLKKGSTYLRPKKMGL >SECCE5Rv1G0336290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583631888:583641632:1 gene:SECCE5Rv1G0336290 transcript:SECCE5Rv1G0336290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWASSHSKQLLDPEDVDVVPAADRERGITTEEFRLVKIHMSSHIWRLAQQVKVRQRVIATAITYFRRVYTRKSMSEYDPRLVAPACLYLASKVEESTVQARLLVFYIKKMCGSDDKYRFEIKDILEMEMKLLEALDYYLVVYHPYRPLLHLLQDAGVTDLTQFAWGLVNDTYKMDLILVQPPYMIALACIYIASVLKDKDTTSWFEELHVDMNTVKNISMEILDFYETYKVDPQRGLSDEKISPVMNKLPAKA >SECCE3Rv1G0163490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:148579296:148580744:-1 gene:SECCE3Rv1G0163490 transcript:SECCE3Rv1G0163490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTMVSRALLLVGVVLTAQLCACTAYVGSGGDGFSVEFIHRDSVRSPFHDPTLTAPARALEAVRRSAARAAALSRSYVSADAPSADGGVVSEVTSRTTEYLMAVNIGTPPTRMVAIADTGSDLTWLNCSYGGDGPGLAAGRDADAQAQPPGVQFDPSKSTTFGLVDCNSATCSELPEDSHSCDANSKCTYIYSYGDGSYTSGLLSTETFTFADAPGARGDGTTRVAKVNFGCSTTFVGTIVGDGLVGLGGGDLSLVSQLGADTSLGRRFSYCLVPYSVKASSALNFGTRAAITDPGAATTPLIPSEVKTYYTVDLRSVKIGNKTFAAPQQSPVIVDSGTTLTYLANELVDPLVEELTRRVKLPPAKSPEDLLPLCFDVSGVREGQVAAMIPDVTLGLGGGAAVTLKVENTFLEVQEGTLCFAVAAKPEQLPASIIGNIAQQNMHVGYDLDKGTVTFAPADCARSYPAPSPSGSVKLMKAEN >SECCE7Rv1G0482320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:303567626:303567967:-1 gene:SECCE7Rv1G0482320 transcript:SECCE7Rv1G0482320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSPVMTDSERRAYRYVQAPKVQGLGGMKNSWSNDSLFSYAGAGGRAVVHSCVCAPTTHPGSFRCKHHRQNAAHLGAAGHAQAQPTAEADADAKRNEVHGEMSTADDEKAS >SECCE6Rv1G0445120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:834606652:834607782:-1 gene:SECCE6Rv1G0445120 transcript:SECCE6Rv1G0445120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYLIELLRDHDVPEYRTQNAWSKEAWTSIVGKFNQRFDVSYTAVQVKQKEQDLKRDFKAVKDLISESGFGWDRDRKMVVAPDNVWAALEARKNKDALTWRGRSFPYYEDLFALYDGRYAQGRSCHGMDYYARKSAQLSQLPTSHSPQLQGPEPDLHTPTPTIHAPGDSSMQFDIEEDSENTNWFSSNNTLSQVEANFGQGNDLALHAPRDEAIPISSQHVGQTLHEIPQVVHRNPRPSSSAPEVTSTKRAKKQKTTSIDDFHERYLKLRREEIDRYAAIEEKKLKDPFSIKKCIRALERLEGLSMADMLKAADIFTANKENREVFLSFSSNELRLGRLTGKVRNT >SECCE7Rv1G0527010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:899682642:899686232:-1 gene:SECCE7Rv1G0527010 transcript:SECCE7Rv1G0527010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASAGVNNMHVDSEECRLRRRGRRLHARRYAHQASSAPGPNSSEEEEEEESAASSQSAAEEASDAVVAAPPEVASKGKGKGKEACWAVAFGSVALAGRLREMEDTVSLHPGLCAWADGSPMHMFAVFDGHGGPHAAALCREQMHVILAEELAGAAADFIKSINNNRQPASSSSSSSSSWEKEKEKEKEKEKEKEQVAWRGALSRSFARADGLAGSACACGRATLPSCSCPLSSAHKGAIVGSTAVVALLVRDVVMVANCGDSRAVLSRGGVAIALSDDHKPDRADEMARIKAAGGKVMFMNGARVRGILAMSRALGHRLLKPEVICEPEISITERSEEDDCLILASDGLWDVISNKVACDVARQCLEDGSPTRAPAAAGSSEAAPSSSGAGAAVPVVSEEEEPRCFRAAALLARLALGRESSDNISVVVVDLKVRG >SECCE2Rv1G0131520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884934343:884939163:-1 gene:SECCE2Rv1G0131520 transcript:SECCE2Rv1G0131520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIYRWCQGKRLTLKHSQLSSHHMAARALLAVIFPFLLLVVASSAEDRAYGLQPISRRSFPKGFIFGTASSSYQYEGSAMEGGRGSSIWDNFTHQHPDKIADKSNGDVAVDSYHLYKEDVRLMNDMGMDAYRFSISWTRILPDGTLRGGVNQEGIKYYNNLINELLSKGVQPFVTLFHWDSPHGLEDKYGGFLSPNIINDYKDFAEVCFKEFGDRVKHWITLNEPWSFCVWGYAEGVSAPGRCSPWEKANCSAGDSGREPYTVGHHQILAHAAAARLYKQKYKGVQKGKIGITLVANWVIPISLSKSSIAATKRSMEFMLGWFLDPLYRGDYPQSMKVLVGNRLPQFTKTQSKLVKGAFDFIGLNYYTTNYVDSLPPSKGMRNSYSTDAQAIRTGARNGVLIGPQAASPWLYVYPQGFRDLLLYIKDNYHNPTIYITENGIDEANNKSLPLKEALKDDARIDFHHMHLDALLSAIRDGANVKGYFVWSLLDDFEWTSGYTVRFGLHFVDYDHGLKRYPKRSAGWFKKFLKDDLTDQLETSDKDGGVACDPDCNRCLTDYVVCWNNKILCDLKINVTGGSCMNISSDTKV >SECCE1Rv1G0053070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:667212722:667220356:1 gene:SECCE1Rv1G0053070 transcript:SECCE1Rv1G0053070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTVLFHPIDLMEPYAAGSTSRRSGSPDWVLLHRSARFSDHQNSTTIKSKTRDGQAVVVSFWLVHPPGVSYFSVHCPGLNEDDFAGEPYVICAEAALVLFCVTLNIPGNLTSTHYFVYRAGPGEPALHLLPDPNPNFTASAALEFGLLPSDDEHYAVVFLNRHLTIYGTWHFVVELFSSKNQVWSTKLAFLGLLPDSGLEFLASHVSCKQIVVGSSSLGWVDLFRGILLLRNPFDKCPIIECIPFPVSRPCITDNEGYTAQRFRDVACCHNLIKFVDIEFHDRGCSRDKGWKASTWNMNIFWNDWRPCLTVEAADILVHPSYDAILPELRDHETQKLDLRKLITHTPTLSMYDDDFLYITCKVNDEDHKAWVIAVDMKLEAVVAVAPFPAKQGNLMPVYCPCAFPKHLNITPGLDVANPVRKYFKGMDAEKCALQILWTQDWLRELDEWLEFEGSCYTDCILLDCCPVSSLRFNIQSVVEYASCNGRGKAASEAVNVCLRALEDLDFQLRKSPSDPVLSAEALRRKIITTLRALNIVLQIAPHTMMARRRKLPDACHQRKGKQTIEHCERPGDNSDYRHGFPDDGLLASTSTSRYMPLSTVSLSPINLMEPYAAGSTAQRSGSPNWVLLHWSACISDLQNKTTVKSKTRDGQAIEVSFWLVEPPGVSYFSVHCPGLKEDDFVEEPYVICAEAAFVLFCVTFNIPGDLMSTHHFVYRAGPGEPALHLLPDHNAPAYEAQQFGLLPCGGTNNEHYAVVFLRWNKTIGDHMFDVHVFSSKTQAWSSKVALLSLSESENRFFCRHDTCKQITIGSSLGWVDLLRGILVLRKVFDQYPVIKYIPFPGSRPCSPDKEESDAPQYFRDVACCNDMIKFVHIESHDPCCTGNKDWKATTWNRKLSWGDWRQRFTVKVDDISVDHSYSALLPELWDNETGKLDLKKLNFYTPTLSMCDDDFLYVMSKVNDEDDKAWVITVDMKHEVVQAVAPFSAGDMDFLPMYRPCSFPKYLNMTPGAEITNLVHKYFTRMEAEKCTVELLWTQDWLMELDQCLELERSIYMDRRSLQWCPVSSLRFNIRAVVEYASSIGQGKAASRAVDVCLRALEDFDCLRKLPSDALLSPEAMRNNITNALEALENVLQIAPPPMMAGGTMMGEAMTPANAPKRPKPIFKRGKKPGHKIEHMQPGFSDGKLSYGRGKKAGHKIEPMQPGFSDGKLSRGHGKKAGHEKDKCDDDEFRAELDRQLELNLHGSWGPWLSSLPQDVWFILAIAFSALVRACLRYLN >SECCE1Rv1G0052060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:658952161:658953178:1 gene:SECCE1Rv1G0052060 transcript:SECCE1Rv1G0052060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSSGGVGQPKLRKGLWSPEEDEKLYNHIIRHGVGCWSSVPRLAGLNRCGKSCRLRWINYLRPDLKRGCFSQQEEDSIVALHQLLGNRWSQIASHLPGRTDNEIKNFWNSCIKKKLRQQGIDPATHKPTGAADTATASLPNPEEEDRKPLSAAVGGSLAPKQPAVFDPFPVCADYGAGFGHGLGAENAGALYGQFGCGKEGADDDAGFGAADYSCVLDVSENLGYGESSSNSSNWNYGGEVGSVLDGEVPHWAKPEPAFADMERQQDAPLGHKFSLPCQEQSLLASFDFNLELEPYF >SECCE4Rv1G0219050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:27704051:27705907:1 gene:SECCE4Rv1G0219050 transcript:SECCE4Rv1G0219050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DELLA repressor protein, Gibberellin signalin [Source: Projected from Oryza sativa (Os03g0707600)] MKREYQDAGGSGGGGGMGSSEDKMMVSGSAAAGEGEEVDELLAALGYKVRASDMADVAQKLEQLEMAMGMGGAAPDDSFATHLATDTVHYNPTDLSSWVESMLSELNAPPPPLPPAPQLNASTSSTVTGGGYFDLPPSVDSSSSTYALRPIPSPAAVAPADLSADSVRDPKRMRTGGSSTSSSSSSSSSLGGGAARSSVVEAAPPVAAAAGAPALPVVVVDTQEAGIRLVHALLACAEAVQQENLSAAEALVKQIPLLAASQGGAMRKVAAYFGEALARRVFRFRPQPDSSLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPEGEEDPNEEPEVIAVNSVFEMHRLLAQPGALEKVLGTVRAVRPRIVTVVEQEANHNSGTFLDRFTESLHYYSTMFDSLEGGSSGGPSEVSSGAAAAPAAAAGTDQVMSEVYLGRQICNVVACEGAERTERHETLGQWRNRLGNAGFETVHLGSNAYKQASTLLALFAGGDGYKVEEKEGCLTLGWHTRPLIATSAWRLAAP >SECCEUnv1G0537460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68777456:68779257:1 gene:SECCEUnv1G0537460 transcript:SECCEUnv1G0537460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQPSDVVFNIKKLIGKQFDDCWVQGMRKRVHFSIVEGGRGEACVQIHGVRFSPVEIASVIFAKLKDVVLMYQFHHELKAVISVPIFFSEQQREAILLAANKAGLTVLQLIDEPKAAALSRATIKEGTVVVFDMGAGSYTVSILGVSGTNIEVKSQSTDPIVGGDQFDDIVLDYFVTQIRNFHQVNIRSDKYAMMLIAEAAEQAKVELSTQHEVTISTPYIISSAQCHGDASVSISRAEFERLVHNMVEQIQYKCCAVLKEANITDKRINEIVLTGGMTRVPMIRRIIYDIFGKNQGTSVNHDEAVVIGSAIQAALIVEDQREMREDMIPLSIGVESEGVFMRVIPRHTTIPTKRTVKIPSWCAYGESLHLNVFLGEHVMVSLNTFLGEIELVNNRWSGQGSVHFELTFEVDTDYVVKVTGRNPGDADGTRKATFKVFNVREIVMSKVKVDEAVRNALLGWSMQGIEIHARLVNMGKHIANTLRDVLSARKDEVPKNISDDAANALAGLLTVLHADSKDFAHIHVLKDKMLAAAAEEEKLMADWNPPSTSRHIGSYSDYSDYED >SECCE2Rv1G0119660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:793156406:793157524:-1 gene:SECCE2Rv1G0119660 transcript:SECCE2Rv1G0119660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLGVVLALAAVVLLAAAAAATAQSMPWPPKAQGPKPKPKPMRVKCTDKRRENPYCFNRNMDCPANCPQSCYADCNTCKPVCVCNTPGACGDPRFIGGDGNAFYFHGRRDADFCVVSDRDLHINAHFIGKSGHSGMSRDFTWIQAIAVLFDGHRLYLGARKTGAWDDAVEHLEITLDGVPVYLPADLIEGAKWTSTRIPELSVTRTKAANGVLVAVEGKFSVRANAVPITEEESRVHRYGVTADDCLAHLELAFKFDALTDDVHGVVGQTYRSDYVNHFDVRASMPTMGGDATFTTSSLFAVDCSVARYGVSRGNEGAAVLSELAGVTCASDMDGKGVVCKK >SECCE5Rv1G0346650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:663000775:663003577:-1 gene:SECCE5Rv1G0346650 transcript:SECCE5Rv1G0346650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRVGIVGAGVSGLAACKHALDKGFSPVVFESDDTIGGVWAHTLESTRLQAPTSSFRFSDLAWPASVTATYPSHREVMEYIRSYVCEFDLLKCIKFNNQVLGVEYLGATEEEIMEWENWSGNGTAFGAGKDGGWSITVKDLKIGNVEVFQVDFLILCIGRHSGTPNIPEFPANKGPELFKGKILHSIDYSYMDNVAKFVKGKSVTIIGSGKSAFDIAAEVAKVNGADQPCTMIYRTRHWLVDKSSIWGINLSYFYLNRISQLLVHKPGEGFLHYLLASAFSPLRWAITKVIETYFKQRIPLEKHGMVPDYSYSFAMSSCLIALLPEGFYDRVDEGSIILKKSKKFSFCSNGIIMEDGNECIKSDIVILATGFRGDQKLRDIFTANWCRNIVVGSSDTSVPLYRECIHPRIPQLAIVGYSESLTNIYASERMANWVIHFLAGGFQLPSVRCMEEDVAEWAKYKDLYNRKYFRRSCISTVNIWFNDLLCQDIGCDPRRKKGFLAEWFQPYGPADYAGIC >SECCE2Rv1G0099770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:526448987:526449241:-1 gene:SECCE2Rv1G0099770 transcript:SECCE2Rv1G0099770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRRVGVQRKSDYLSGAVDGGDDPGVAHLKQRRPVGPGEDADLALELPHLQGKAANRKNQRQSRQSSQHEEDEAALLWTRRF >SECCE3Rv1G0193700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:774148215:774150502:1 gene:SECCE3Rv1G0193700 transcript:SECCE3Rv1G0193700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTRLLCCSYLLSFIFIAVAPRAIDSKLVTSLPGFDGRLPFRLHTGYVEVEQATELFYYFVESEAGGEGDPFLFWLTGGDHCSVLSGLAYEIGPIRFVLEPYNGSLPRLHINPNSWTKVAHILFVDSPVGSGFSFSKQPKGYEVGDVSSSLQLHDFLIKWFSDHPKYLKNPFYIGGDSYAGKLVPYIAHIISQGIEAGNSPRINLKGYLIGNPSTGESIDISSKVPYAHGVGIISDQLYETILGHCQGQDYMFPTNDLCAQALDDFNHLLSEVQQAQILLDTCVFASAPARPETDSGTEYSGGAGRRILVGNPPPRPPFGCVTYKYYLSYFWANAEATRNALGIKEGSVEEWVRCHNGDLPYTIDLRSSIEYHRNVTANGGYRALVYSGDHDALVPHLGTQAWIRSLGFPVSHHWRAWHLDGQSAGYPHHRC >SECCE1Rv1G0025700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:358602866:358604590:-1 gene:SECCE1Rv1G0025700 transcript:SECCE1Rv1G0025700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRTLLRHLAAGQFARLQALTGAATAAAAHRVLHLLLRTAPVPPLPDLVSLARWSRSHFRAPLPLQLHALLLARLASHGLHPLLRSELHALAAARLHSPASVIRALPASSPAAAPLIADMLVLALARASQPLAAYEAFLLLGDNHPRHRPSAFSVNSLLSALFGAERVDLAERAFKAALRRRVSPDLFTFNIVISGLCKAGQLRKAGDVAKDIRAWGLIPSVATYNALIDGYCKKGRVGRMYHVDALLKEMVEAGIPPNVVTFNVLVTGYCQDSNTAAAVRVFEEMKQQGIAPSMGTYNALVWGLCSEGKVEEGVKLVDEMQDLGLTPNVTSLNSVLNGFCKKGLMADAENWVDGMAQRNLEPNVVTYNTLMDGYRRLGKMVDAAAVKEAMAGKGISPNVRTYNCLIAGFDQSGDWRSVSGLLDEMKDKGVRADIVTYNTLIGALCCKGEVRKAVKLLDEMLMVGLEPEHRTYNNIINGYCEKGNIKSAYEIRTRMEKGRKRANVVTYNVFIKHLCRMGKMEEANELLNEMLEKGLVPNKVTYEIIKEGMMEKGYVPDIRGLACSEAPQNLPS >SECCE6Rv1G0410460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:598207769:598217890:-1 gene:SECCE6Rv1G0410460 transcript:SECCE6Rv1G0410460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKTIQVSGFPSTANADHVKDLLEQIVGTGNVFAIKLRPPKNVSANSRSFAIVQFQSEAHASLVLNAAQRNALRSGSYHLKARYAERDIVPRPRTTIFNLQNATLHLGCLLKEKVLSVLWSGTDVSVEFGFAMKRIDFCLNYNSKKYKLELSYESIWEIQLHRPPGLQKKFLLIQVQAAPKIYEQNIRRSGSMYDDPFFNYFRDDTDDQWTRTTDFTPSASIGQSYILCLELPHILYLPNIREYFVYYEVHNDVFHLQRGYSYSSNPCFVPVVKSYHFTDLPYEILFKINHLVQNGTLSGPTLDDNFYRLVSPVYECIDHIKCALEKMSYLKKTCLNPTKWLSEQYKKIKRSRYMLTSPNITLDDDGLVYVYRVQITPAKVYFYGPEINVSNRVVRNYAADLDNFLRISFVDEDCEKLRSTDLSQRSAPGNNTRRTALYNRVLSVLSNGITIGDKHFDFLAFSSSQLRDNSAWMFASRPGLSASDIREWMGNFRNIRNVAKYAARLGQSFSSSTETLKVHKYEVKEAPDVTNGTKYVFSDGIGTISADFADEVSKKCNLTRFTPSAFQIRYGGYKGVVAIDPRSHWKLSLRKSMSKFQSDNITLDVLAYSKYQPCFLNRQLITLLSTLGVRDSIFELKQQESVMQLNRMVAEPQAAIDAIELMPMGEITNIVKELLLCGYQPNVEPYVSMLLQTFRASKLLELKTRSRIFIPKGRAMMGCLDETRTLKYGQVFIQASNSANDRGKSIVTGTVIVAKNPCIHPGDIRILQAVQSPLLGHMVNCVVFPQLGPRPHPNECSGSDLDGDIYFVSWDPDLIPTRMVAPMDYTPAPTETLDHDVMIEEVHEYFTNYIVNESLGLISNAHVVFADRESLKAESTPCIKLAELFSIAVDYPKTGVPAQIPPELHVKEYPDFMEKLDRATYVSEGVIGKLYREIKKQNPHIGHFTKDVARRSYDTDLIVDGYQNYISEAVWFKEQYDFKLGNLMEHYGISSEAEIISGCILKMAKNFTKKNDADAIRLAVKSLRKEARSWFSDMGSDESGDGHEASDAKASAWYHVTYHPEYWGCYNEGYDHRPHLISFPWCVYDKLLHIKKQKNFVRKMFDLQNRMRRSTIFG >SECCEUnv1G0529060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6386545:6387618:-1 gene:SECCEUnv1G0529060 transcript:SECCEUnv1G0529060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQQRTVALFLAVAVALVAGSYAADAGYAPATPAPATPAPAGGYAAAAAGTQPKATTEEQTMMEQVNNAFKAAVAAAAVVPGPDKYKKFTDTYIPDVDRALAGVLKANASSSSVSGFTSKIGFAQKLAYESAEGATPEAKYDSFIAILSESLRIIAGTLEIHGVKPATEEVKGPIPAGEMKAVAQIDTAFRIAATAADAAPINDKFTVFESAFDKAIKETTGGAYAGYKFVPALESGVKKAYAATVAEAPEVKFMVFEAAITRTIAAMAVAAKGAAGAANTVTAAAGAATATVTAAAATAAPVAAGGYKAPAAEAAAATATPTPAAAAGGYKAPAAEAAAATATPTPAAAAGGYKV >SECCE2Rv1G0084860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:181806017:181806490:1 gene:SECCE2Rv1G0084860 transcript:SECCE2Rv1G0084860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVFLPNDRDGLWRLVNKIACNPDFLHEHLPTSTVLVGDFRVPKFKLAFSTKLSGDLQELGLKDAFDLGRADLSDMAEHPERPLALRDILHKAVIEVNEEGTEAAAVTCGKAMVGCAQGYRPPTVDFVADHPFAFFVREEVSGAILFAGHVLDPSME >SECCE4Rv1G0242640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:485805481:485806925:1 gene:SECCE4Rv1G0242640 transcript:SECCE4Rv1G0242640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGMGSRRMQQQQQEEEDEHLKATAAAVEEERGGKRKRKRGRVELRRIEDRTSRQVRFSKRRSGLFKKAFELSVLCDAEVGLVVFSPAGRLYEFISSDASVEQIFGRCSNIPNTVIDLNIVARDSRGYCNIQVRNMYVCVYMLG >SECCE3Rv1G0194130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:777098659:777100245:1 gene:SECCE3Rv1G0194130 transcript:SECCE3Rv1G0194130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARAARHMVALPYPGRGHINPMLAVCRLLVAADGALTVTVVVTEEWQGLLASAGVTPTLPDRVRLATIPNVIPSEHGRGADHGGFIEAVNCKMGEPVERLLDGLALELGRRPDAILADTYLRWAVAAGARRGVPVCSLWTQPATFFLALCHLDLWRPAVEGVSEEELSRKSLEQYVPGLSSVRLSDVKIFLAWEGPIKIAAEAFANVRKAQCVLFTSFHELEPSSMSKIAELLPCPIYPIGPSILRAPENGETARDEEQRRWLDAQPENSVLYVSFGSFVAMPPKQLEEIAVGLRDSAVRFFWVARNRATDAGLRAMCGDRGLAVAWCDQQEVLRHPSVGGFLSHCGWNSVLEAVCAGVPVIGFPVAWDQLVNARMVADEWEAGIDLREERGKDGILSRAAVSAAARKLMDLDSDAGQEMRTRAAQLREASRGAVLEGGSSQRSLSGFLQDLVDGKLAVAESSA >SECCE2Rv1G0115360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:749346549:749349005:-1 gene:SECCE2Rv1G0115360 transcript:SECCE2Rv1G0115360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAASAVSTLSALAVFASTLHQGAVRSVHGYRVVAMGGRCAWDRWVEREFAFSPSPCREVPLPAAAPRILPLEWRGRPAYREGQVLGAWRCVLAFDSVAAAALPPTPPPMLCPFRNPSLVCVPSLYNDLYLVFQFQKCLQVPQLVQRDSVEKPARLDAQDKISDVEVKEESGSDSDEDPQSGEELPAHVARRRRAKKQHIASITLVDIAPYFHLPIREASRALKIGVSILKTKCRQYGIPRWPHRKIKSLDSLISDLEFVMDDTDGDAVQKETRKQREKEKEKEKEKEREKQQAIQALAKRKRSLESEKEIIQQKPALDLMAETKLFREDVFKRRYRAKRLPGH >SECCE5Rv1G0300140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:22925991:22927845:1 gene:SECCE5Rv1G0300140 transcript:SECCE5Rv1G0300140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLEAALTDLKATLAELKESNEQGTEIGEAEATITEVEAVYTPTEAED >SECCE2Rv1G0129750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:870268485:870269233:1 gene:SECCE2Rv1G0129750 transcript:SECCE2Rv1G0129750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICSQCVSSSGCERNWSAFALVHTKQRNRLLYDKLHKLVSVRYNLKIRAEEDQEKERDIDKEIDPSALLMDTTMFDETNPIMEWLNEDVEDPIVDGADAASAVFEQIRRLNSSRKASYVGSKGNNKKRKRNDDDENEFLETESEDDEEENEYVDNDIEDDDGVSEDDEDGEQDQLETQMQLEEETQVQVEKEAPTSTGHLETRSGRLIRKKTKNVNSLYS >SECCE7Rv1G0499630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:655938786:655942307:1 gene:SECCE7Rv1G0499630 transcript:SECCE7Rv1G0499630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLALGTLSSSLPLMLLLVLCSLVHASLGATALRNYSNADFHALRCLKLHLSSSAGPLASWKNDSLQFCGWSGVTCSKRHASRVVALDLESFNLDGQIPACIGNLTFLTRMHIPNNQLSGQIPPEIGQLNRLRYLNLSSNYLSGVIPSTLSSCFHLQIIDLGSNSLGGVIPQSLSQCSDMQQLNLGHNKLSGGIPQGLGMLRNLSVLHLATNSLTGNIPTTLGSSSSLCSVVLVNNSLTGHIPPLLSNSSSLQLLDLTNNRMSGEIPSALLNSMSLQKLSLGMNNFVGSIPALSNIGPPLQYLKLQSNNLSGTIPSSLGNFSSLRYLLLGDNNFQGRIPMSIGNILYLRVLDLTYNSLSGTVPDTIYNISSLTYLGMGMNILVGEIPYNIGYTLRNIQTLIMQGNNFTGQIPISIANTTNLQVINLRDNSFHGIVPSFGTLPSLVDLNLGWNQLQAGDWSFLSSLTNCTQLEKLRLDANNLEGVLPNSIAGLSNSLELLLLRSNRICGTIPLEIQYLTSLRRLYMERNLLTGNLPESVGNLSNLFVLSLSQNKLSGSIPLSVGKLSQLSELYLQENNFSGPIPGALAGCKKLEKLNLSCNSFDGRIPKELFSLSSLSQGLDLSHNQLSGQIPQEIGSLKNLGPLNISHNQLSGQIPPTLGQCVHLESLHMEGNLFHGKIPHSFISLGGIIEMDLSQNNLSGEIPDIFKFFKSMKLLNLSFNNLEGPVPADEIFQNGRKVFIQGNMKLCTSTPLLRMPFCNGEASKQRNSSNILKIVGFIVLSLVLLSCFATIILKKKKNFKQAAHPTCKELKKLTYANLMRATNGFSPDNLIGSGKYGSVYRGRIESEEHEVAIKVFKLNQLGAPKSFIAECEALRNTRHRNLIRVITACSTIDPTGHDFKAIVFEYSFNGDLESWLHPTVHEDGQRRPMSFGTRIVIAVDIAAALDYLHNQCVPPMAHCDLKPSNVLLDDFMGARVGDFGLAKFLHSNNSSDIDTSTSLVGPRGSVGYIAPEYGFGSKISTEGDVFAYGVIILEMLTGKRPTDEIFKDGLTLYKFVEKSFPQKIEEILDPRIVPGYRDEGEDAGGNSDRDTHPMVAMSCIIKLIEVGLLCSAGTPKDRPTMQDIYNEVIAIKESFSALQG >SECCE4Rv1G0276060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:796452476:796453687:-1 gene:SECCE4Rv1G0276060 transcript:SECCE4Rv1G0276060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELNEEIYMQQPDGFVIDGQEGKVCRLIKSLYGLKQAPKQWHDKFNTTLTSVGFVVNEADKCVYYRHGGGEGVILCLYVDDILIFGTNLKVIEEVKSFLSQNFEMKDLGVADVILNIKLLRDNEGGITLLQSHYVEKMKATTGYMFTLGGGAVSWKSCKQTILTRSTMEAELTALDTSGVEAGWLRDLLMDLPLVDKPVPAILMNCDNQTVITKVKSSKDNMKSNKHIRMRLKAVRKLRNSGVIALEYVHTAKNLADPFTKGLSRVVIDNASREMGMRPT >SECCE1Rv1G0030000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:422557098:422560741:1 gene:SECCE1Rv1G0030000 transcript:SECCE1Rv1G0030000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEILCGLVAYKLIRAVFFSGDGLDQLAGLDSSHSDLCFAVAARLERLYGGRCFVGLRIPDPDAGTRQHVDVVLVTKREVMVVVINNVSGFVEVDKDGNWFTEKKRKQEVIPNPVLEVSRIAANVQSYLEQRGATLPNGIVNRRVVLPNPNCRPSYTINLQPEVISYDQWKDLKADTKGGLSTWIKGAFHGSKSDIQDSLLQNLYSILSTSPMWDRLELRGDKNVLGEFMEFKGKHEDMPLLKKVKRSKVSRFIIQKSTLFGGFGRSRVQILYSPRDYRAEGTSSSEWKEISVKQYTEIHFQPLHSKKVRKFKLSSVASVTLSA >SECCE1Rv1G0024050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:318464240:318469158:-1 gene:SECCE1Rv1G0024050 transcript:SECCE1Rv1G0024050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFSEWPWKRLGCFKYVVLAPWVAHGIQQVASKGWRGADLGYLVILPSMMLRAVHNQAWITVSRLQNARGKRQIVDRGIEFEQIDRERNWDDQIILSAILFFLGALHLPGAQDLPLWRTDGAVLLALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHASIVTEPITSVIHPFAELVAYELLFSIPMIACALTGTASIMTFELYMLYIDFMNNMGHCNFELVPTWLFTWFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLHEKSIENKEEAVDVVHLTHLTSLQSIYHIRPGFAEFAAKPYASKWYMRIMWPLSWLSMVLTWAYGSWFTVERNVMKKLRIQSWAIPRYNFHYGLKWEKEAINNLILKAICEADKKGAKVVSLGLLNQAQSLNGSGELYLQKYPKLTLKLVDGTSLAAAVVANSIPQGTDQVVLAGNISKVACAVAAALCKKNIKVIITNKQDYHFLQPKIPEDAADNLLLSKTGIAKVWIIGEGADATQQLSAPKGTRFIPYSQFPPRMARKDCCTYSTTPAMSVPKTLQNVHSCENWLPRRVMSAWRVAGIVHALEGWDENECGDKVLDMDKVWSAALLHGFRPVAET >SECCE2Rv1G0086520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:213263205:213263513:1 gene:SECCE2Rv1G0086520 transcript:SECCE2Rv1G0086520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINVPGGKFYLGDAGYECRSGILPPFRKTRYHLNEFSGRNYPRTAHELFNLRHSSLRVTIERAFGALKNRFKILDQKLLHPNSTQVNLILACCIPHN >SECCE3Rv1G0151280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:37801537:37802139:1 gene:SECCE3Rv1G0151280 transcript:SECCE3Rv1G0151280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLAAAALPLSSDDQRSQQGRQLAYTVWMKSLVFNGNGCAVYGPDGAVAFRVDNYGCRGGREVVFMDRAGNALIRIRRKGFGMFRRWEVCRCAHNGGQEEEATPWFSVRRAEKGRAAVAMHGGAGTCYTIDGCCARKSEYRVCGVDGAVVAEVARKQTAAGVVLGEDVLTLTVAPEVDHLLFLGLVVVRGLINRSL >SECCE5Rv1G0298520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11800696:11804526:1 gene:SECCE5Rv1G0298520 transcript:SECCE5Rv1G0298520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAGRRRTRPSANPETEREAKKKKKTKTTRSLAEDRGLMLAAFESEKSQPRPKLDRVYDADVAESSSEESDCPPSPLLHRPYIPDDLAHRPDIRAAFKHAKAEYQADIARRFVFTLDRCSSVSCLSDQPHLLHIREPAKDAVLFAANSIVTLSSYLDDEPMNRCCGLWIQQDGKKNTAVVLTSAHLIRAKDPDQWMGEWTGEYHREAEVIVHLLDDTTAVASLLYLQEHYEFALYEVVVNKPVQLSTFNDNVHSGQDVFRLGRDENLDLRITHGRVEYMIPTPYERCHYMYFSNNEHSLRDDGGPVIDLEGKVVGMVNNQINETFLPSSILHKCLDSWRKLKCIPRPHLGMTFTSIKLLDPICIERMRRKHNIESGLIVEEVSKESNAEKLGIRKGDIIERFNGEYISSTVELEKMLLDIGNDHFEQAKRLNAEIDVQIQIFRATKLCRRTRTLIVIISDCGEDIIEGTYPITTSLPR >SECCE4Rv1G0223110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:66552806:66553204:1 gene:SECCE4Rv1G0223110 transcript:SECCE4Rv1G0223110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEIPLHGANAMLVMNGSFTFVDPVQPSQMRDPAPAPAEKKKRDRGEDLLLADDSEAAGWSSAILDRSLALRRRRGPSPSSFHRGCLVVTEAQNSRDQETAPEVATEEILECQWSEKEPSVEELVYWTVI >SECCEUnv1G0568630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:428636501:428637636:1 gene:SECCEUnv1G0568630 transcript:SECCEUnv1G0568630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAASIVSKFGQALVEELQEIRGVGDKVIHLRDELASMNAVLSMLAEADEDCVDHIIREWTKQVRELASDAEDCVDIYKLRVRRPLPRPPVDPAAPLNCARRLLGRAKLLPAIVRQQLVNLFLKRSLAADLKAILAERRARYGMDREALRRSASFAAVSPATLSARALRPSNDPDQFIGLTDQVNTLAEKLRSRLDGDQDMKVFSIVGFGGLGKTTLAMEVCRELEADFPHQAQVSVSQAFDAGKDLQALLKRMLHQMVIKRQPGNKEQDDLAGVDNMDMPKLTTTLQNLLRDKRY >SECCE2Rv1G0140840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:933016235:933017899:1 gene:SECCE2Rv1G0140840 transcript:SECCE2Rv1G0140840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRAAELLVLAILYVALHYLTRTILRTKRLPLPPGPRGYPVVGALPLLGCAPHRALAALARLHGPIMHLTLGRQGVVVASTPEAARLLLKDHGASFLDRPAGDAAPTVLAYGGQNLVFSPYGARWRRLRRECSLGVLGPQALADGTDARREEVARMVRAMSRQGAVEVTEFLFCAMANVIGRAVLGRRVLGEAGGEEAKEFREMVVELMTTAGLVNVGDFVPAVAWMDLQGLGRRMRRLSARLDTVWSRWLSDHEPQEGSRRQPDLVDRLMACRGGGEDGVTDLHIKAVVNDLFTAGTDTSSSTIEWALAEMLANPAILRHAQAEMDSVVGRGRLLQESDVPHLPYLRAICKETFRKHPSTPLSLPRVSTEPCTVQGYHIPEGTRLLVNIWAIGRDPAAWPEPMRFDPGRFMTEEGKKVEPLGRHFELIPFGAGRRICPGARMGVTLVHHMLGTLVHAFDWDVPEGAGIDMDEEFGIALQKKVPLRAMVRPRLAASVYE >SECCE7Rv1G0484510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344071888:344072592:-1 gene:SECCE7Rv1G0484510 transcript:SECCE7Rv1G0484510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSVSLPSRPHTKVEEELHSLEASISSSSMTVETISDGLRRLGDIYSTIEEIMCLPSNQICSSQQRKMLEGETECSLELLDLCNAMHEDFTELKAIIQDLQVATRKGDDTAVQVKIQSYTRLVKKAKKHFKKAAKKVTSDKEDCRMVRLLSEAREITTSLLESTVHLLSKQIAVPKWSLVSKAFQKNSVVCKEEQLQVLECSVRDLEAGAGILFRRLLQSRVTLLNILSS >SECCE7Rv1G0490280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:479473570:479478750:1 gene:SECCE7Rv1G0490280 transcript:SECCE7Rv1G0490280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPMQPPGVHHVMRPPTMYAPQPGPYMQQPGVAVPPGVHRYPGPYTMMRPCFPPRPMPPVGVVQIQRPATIPGIRGAPPMVAPPARPPAPAVTPVDKPPTAVYVGKIAPTVDNGLLLSLLRICGPVKSWKRTQNPSNGKPVAFGFCEFESAEGILRATRLLNKLSIDGQELVVNINDATKEYLKKHVEEKKKVHENAKKEEDEGGDGTSAVAENESLKLISGKTDETEDSGDKDTEENAKKFGIVTNEDSEADKAAAELINSMIEEWLKTRPPPPPPPPPPPPVQASADISSKHNNGESVVDMAMTDSEDNNDDCVDKRTVSETEKTENDSLDRRKDKEHDKEKQEKEKELQRYERERERERVRRDRDKEHKHREVERLYKDHLKEWESRERDKEYQRQYEKDREKEKERERKREIMKQEDESDEEDSRKRRRRSSNALEERKRRRQREKQEDLADKLKEEQEIAEARRRAIELQQQADEAAAAESAIFMEVDGDDGKETDAPNKPVDSDDDNVVSIANGVDADDGKTHKDCNGDEASMVPGQILDIKQNSNAPAKKLGFGLIGSGRRTSVPSVFAEEDDDDNKDKRIRPLVPIDYSTEELQAVEADSYSDQPNNIVAAAEFAKRILVSNKKEEQPETERSRRAIDRSTLRDKSRNDEDGARLSADRREMMHDRDNDKPKLENKKVLDAKQLIDMIPRTKEELFSYDINWAIYEKHELHDRMRPWISKKIIEFLGEEESTLVEYIVSCTKDHVHASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVEAGLSVRGKA >SECCE5Rv1G0302880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:42635655:42636005:-1 gene:SECCE5Rv1G0302880 transcript:SECCE5Rv1G0302880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKVKMPDLCLLLLMALLLLPGSEGDTCATVSRTYFTLLCVRDTCIKHCHGEGFTGGKCVITSLEPPTLVCFCIKPCP >SECCE7Rv1G0455780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8213165:8214700:1 gene:SECCE7Rv1G0455780 transcript:SECCE7Rv1G0455780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGRVFHPLRRRRRPPLLQTTFLRLHGAPANHPSPYTAPPAHRGRRSARAVFDGTPQEDAVAYADLIDLHLSCGDLPRAEALFRAAPTAARGPRLDTVMLDGCFKAGRVDHARTLFDGMAVKSVGAWTRMVSGYCRARRVDEARRLFDMMPARDVVSSTAMLQGYVRSGMMMEARGLFYAMPARDFVSWTVMLQGYVRSGMLGEAREVFDQMPERNVVTWTVMVKAYADRGHFQEAMELFDRMPERNLHSWNIMISAFLRAGKVDEAVRLFARMPDRNVVSWTTMVTGLAQNGRVSMAREFFDRMPDNRDTMAWNAMITAYANAGQMNKAQRLFDSMPAKDLVSWNAIIHGYAKNKHKGQVMRVFLLMLRSAVSPDGTTLISVLVASESTVEVGQIHGLATTRGLLSDTSLGNALLTMYSRSGDLHSAWQIFKMLQQKDPVTWTSIMRAFANHGRASYALQACAQMLQHGYKPSSTAFIAALSACSHAGLVDKGRASRAHCRVSVQGGTL >SECCE3Rv1G0187110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:694868804:694871679:1 gene:SECCE3Rv1G0187110 transcript:SECCE3Rv1G0187110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >SECCE3Rv1G0189750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:730291687:730294821:1 gene:SECCE3Rv1G0189750 transcript:SECCE3Rv1G0189750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADELRLDLEELRRLEGIAKRPRVVSLLSNEIRSVDARLASRAAPVPTPAPQAVAVAAPAGLNYVTLGSFSWDQDNEKIRVYVFLENVDQEKVETTFKPMSVDIKFHDVKGKNYRCAIPKLNKEIVPEKCKIVVKPTKIVITLWKASSGNWLDLHYKEDKFKPSMDKEKDPMSGIMDLMKGMYEEGDEDMKRTIAKAWSDARSGKTADPMKGLP >SECCE7Rv1G0511490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803583525:803583824:1 gene:SECCE7Rv1G0511490 transcript:SECCE7Rv1G0511490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAKKGVSMVVATSMAAVEALKDQAGLCRWDYALRSLYHRAVVTGRRAVPASLTSSSSSSQATSDSAAAVGRAARPRRSEEEKLHKAYDIVCWGPN >SECCE7Rv1G0481690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:286935009:286937017:1 gene:SECCE7Rv1G0481690 transcript:SECCE7Rv1G0481690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHPSSSSSAPPPSSPWVILGSIPRVSALADAGDVSVELAAPPRVSILTVSPRVFPDPPTPRDFPFVLAADPSGLLLLQANLRCAPTREVIHRPGLQEVSWKFATSRYFVLDATTGSAFHLPYPEATIMHQALLGLLVSPRGGGHYMVAELQPIIGSDEASLLCFSTEVGEWIEKPVHYPLPPRPLAPICVLSHHGRLWWVDLSWGVITCDPFADEPVLGFVPFPPGRVLECREGWGVTDKFRYVGVSGGKLRFVDMYMGMRAGARAGGGGGTPTPTPTVSVFTLGNPDSREWTLEQEVSFTEIWADESFKAAGLPEKIPIVALIHPKNPDVVYFFLQEHLFGVDMRARKVVECQVYGLVAPPSIRLASRFVRAWELPRALSYSGEWSNGINLAESANARPYQPSAGDYHLVGDTRQTFIG >SECCE7Rv1G0454280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2040243:2052631:-1 gene:SECCE7Rv1G0454280 transcript:SECCE7Rv1G0454280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKSRFVVGSHVWIEDADEAWMDGQVEEVNGEDLVINCTNGKKATVNLSSAFPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYALNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELSPHPFAITDRAYRLMINDRISQAILVSGESGAGKTESTKSLMQYLAFMGGKAKAEGRSVQEQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQYGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPEDRKKYKLGEAKTFRYLNQSNCIELNGFDDSKEYVETRRAMGIVGMSNEEQDAIFKVVAAILHLGNVEFGEGKEDDSSAPKDEKSQFHLKTAAELFMCDAKGLEESLCKRVMATRGESITKNLDAKGAALSRDALSRIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDSPHFSKPKFSRSDFTVHHYAGHVTYQTDLFLDKNIDYAVNEHQILLNASTCPFVSSLFPPVEEAAKSTKFTSIGSSFKQQLQSLLETLSATEPHYIRCIKPNNVLKPEIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFYEFVNRFGILHPKALSKGNNEITSTKMILEKANLVGYQIGKTKVFLRAGQMAELDALRTEVLGLSAKKIQTKARSFMSRKKYVQMRTCATQLQAVCRGTIARRLYENLRRQAAALKIQTYRRMRHERIKYRDLCSASTTIQCGLRGMAARNKLRFCRQTKAAVIIQSRCRCHLARSRYKRMTKAILVTQCAWRGKVARRELRQLKMAAKETGALQAAKSKLEKEVEELTWRLQVEKRIRSDLEEAKEAKIQENKKLQSQLQELQQQFKETKELLKKEQEISKAASEKAAAVPEIRVDATQVNELTAENEKLKTLVSSLETKIEETEQRFKETDQVREESLKKATEAESQLNQLKNAFLSLREKLASAEAEIINLRQQATRPRPDPLLNMHRKSNLSVPGDEQQSPPTPMEFGRRSLIERHQESVEALINCVNENIGFSDGKPVAAITIYKCLLHWRTFEAEKTNVFDRLIQIFASGMQKQDSNTDLAYWLSNASSLLIILQKSLKPAGSGVTTPLKRTTTQTSFLGRMVFRASSITVDMDLVRQVEGKYPAFLFKQQLTAFVEGLYGMIRDNVKREVSSVLSHVIQAPRTAKAGLITDQDSYWKAIVGHLNDLLKILQDNCVPTIFARKIFTQLYAYINAQLFNSLLVRRECCSFSNGEYVKQGLEELESWCTQAKPEYAGSAWDELKHISQAVGFLVIFKKFRVSYDEIMSDLCPVLSVHQLYRICTQYWDDKYNTESVSEEVLNEMKTLVNEGAGSGAYLLDEETSMPLSLEEIGNSMDTKEFQNVIPPQELLDNASFQFLKS >SECCE3Rv1G0191180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747333849:747335861:1 gene:SECCE3Rv1G0191180 transcript:SECCE3Rv1G0191180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKIMFFKLIISLSLAARSTGNGDDQFVYSGFTGSNLALDGNARITPSGLLELTNGTARLKGHAFHPHPLRFHKSPNGTVQSFSVSFVFAILSTYPDMSGHGLAFFIAPTRNFSAAFPTQYLGLFNDQNNGDPNNHIFAVELDTVQNYDLEDINSNHIGININNLHSVQSHDAGYYSNQSGIFERLALNSNKAMQVWVDYDREAIQINVTMAPINLAKPVRPLLSTTFNLSTVITSPAYIGFSSSTGTVSAQHYVLGWSFGMDSLAPPIDISKLSELPRLGQKAQSMRLRIILPIGIVVLLFAVTASIFLLIRRNLRYAELCEDWEVEYGPHRFSYKDLFDATEGFKDKNLLGGGGFGKVYKGVLPISRFNIAVKKVSHDSKQGMKEFIAEIVSIGRLQHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGKDGKPTLDWSQRFQIIKCVSNGLLYLHEECEKIIIHRDIKASNVLIDTEISGRIGDFGLARLYDHGSDPEATHVVGTIGYLAPELARTGKATPFTDVFSFGVFILEVTCGQKPVMKDTEESHLMLVDWVLEHWHKGSLNDAVDIKLQGEYDVGEACLALKLGLLCSHPFTSIRPTMRQVMQYLNKEMPLPELMPTHLSFCMLALMQSEGFNPYIISHSSSATSFGAMSSLSGGR >SECCE4Rv1G0239060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:392750988:392767519:1 gene:SECCE4Rv1G0239060 transcript:SECCE4Rv1G0239060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G04970) UniProtKB/TrEMBL;Acc:A0A178VAS0] MDVPWLLVAHGSVTALVAVSFLCGQWPIFEGTFVQSINHFLTSGAYRHFLRLVQAACGTGARDLVLGVEQYCCDRPNPILQVFYVAIIGGTYFIIVQSSFKYIPGYYVSVLHRYLSIVVVSIGAILFVLTSFSDPGTVTSENVSQYVSAYPFDNIIYVEKECSTCKITRPARAKHCRICDRCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLLCLYGAIILGFIVAGELKDKKVVYILTVYYSIDNSFSGLFPHVAQWLLAVHNTQILLVVFLGIIALLLGGFCAYHVHLCLSNTTTNETFKWQDYIFWMKKENAAKASAYTLKASINAASSEAQKSLPSKWKTFFSRSRTRAEEPVVKNNIYDVGWTRNLCEVMVPLSERRSFSCRKSE >SECCE1Rv1G0060370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710017096:710020722:1 gene:SECCE1Rv1G0060370 transcript:SECCE1Rv1G0060370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSVFAELLRNYKLDGNYKLDGTNFTVWKRKMMFLLTAENIEYVISTAEPIKPADDASDEEKDNYAEELKEWNKDNKKARIFILGSMSDSLAGEYETEVAAQRIMRRLEEDFGEVSLIKVLSLLNRFLTSKMADNTSVNEHLNKLCVLNEELKNAGYPFSEEVQVMVALNSLPHTWEQFKISFCHSERLLNMRNLRHHLLMEEDRKTSQGKERHSHHQELHLGEDRRRWQKKHQGGDLRDKINRKRNWDDHNGRGPNSNFDKRKFRCHGCGEYGHFRTECKNKKPYKQDDKSKKQHHDKHQGNKKDNSSPDAAGLY >SECCE6Rv1G0401020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:461802204:461803574:1 gene:SECCE6Rv1G0401020 transcript:SECCE6Rv1G0401020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADSGGGRGRRWKGKAANSVAEKQQQPLAPVLEDAPAAALLRPLKKMRSPDCRLRRSVSSLSSAPASPDSSSVSNPLSPPATSLPPYAPATRQIFPFAYDPSPAAAPRLLQLLQYSSSLYQQPMLPQQQQHAPSQHPQMISFGDAQQQQLEAAASVIPPQYMSPEALRYWSATLNLSPRGVLGGVVPPALYQHLLRPPGPAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDLFLGKGRSGGSGRTSASAAASASSSSTSAPPTPDETHAQQAQLLLQREQQQQQQHTDEQANIGPKPLLSAAEQDGLPEPEQNPQLQNAEQQCTDGSTAMMQQAPVTPGGVWGPADDAWFSAWGPGSSVWDYDMDSAHGLLLQSRFAGEQAGMDYVPSAPEAHMAPAAGTGTACAAPPSPLPPRPPFMDTDSRF >SECCE6Rv1G0430120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739792010:739792480:1 gene:SECCE6Rv1G0430120 transcript:SECCE6Rv1G0430120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHLVHVKLLAADLLTLTPRHTSPPSFVRCGRTVAHAEVVGVVVSRDRREKFLRFLVDDGTGCVPCVLWLNHQYLNANSSSDSDPTGEMASKMSEAVRLGTLLRVRGRIVMYRGAIQIAARDVVVEEDPNVEVLHWLQCVHMAKECYDLPPPSA >SECCE2Rv1G0084800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:181352170:181357457:1 gene:SECCE2Rv1G0084800 transcript:SECCE2Rv1G0084800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT1G69420) UniProtKB/TrEMBL;Acc:A0A178WF77] MRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGRKVFQDAAMGLYTPLVLCVFFLYIWCAATDPADPGVFKSKKYQRLYGGCKHRRPKESIQGVSDVGLQLEGTGEKREHEVADANEKAMTELKNKSSSCCSATFSAFLLIFRPLSFVFSCCQSREWSSEQHDSEDGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYRRFFLLMTTALFLLILQSATGVLVLVLCFVQRKEFSMQIVSKLGSSFSIPPFIIVVASCTILAMIALLPIAQLLFFHILLIKKGISTYDYIIALREQEQEEVSGEQSPQMSHVSSYGGLSSTSSFGALRRGSWCTPPRLFLEDQFDVIPSEAGSSHNSATKRKDEEVRRKKTSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPIRRDEYSLGHETDSSYGGMSSRIDLGPDNMTRTSRRGRPHGDLSLKPVAKISTDAIDSNGSDMVSLAPLQLEARSAFHPSRAASSANVDGSSPDSSLDSPDLHLYRFSGVSSSAAEDLQLVALSAPGSTPHHGIQLSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILSTDQGVPSSGALVPKNRFP >SECCEUnv1G0536620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:65029853:65030887:1 gene:SECCEUnv1G0536620 transcript:SECCEUnv1G0536620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLHAARTLVGRLRGAAAVRDAGATAAAVAELRRASKDDPEVRAPLADAGAVPFLASQLTAPSTSAAAEDAAAALLNISLSAREQLMSAPGILDALARAIRAEDYEAAHHAAAVVASLLCVDAYRPIVGAKRALLSALVSLLLPSKARGTRATKDALKALFGVALYPLNRAALVELGVVRALFGLVMTDGRTGIVEDATAVVAQVAGCAESLDAFAAVSGVRILADLVEPGGAATGRARENAAAALLNLVMAGGERAVAEVLDVGGAEEAVRELAEDGEASPRGKAKAEALLRALEEGAVGARRRHEHRFADFLNGLVVSDPYFSSPASATTHDDASRVTLG >SECCE4Rv1G0247220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:554812400:554815290:-1 gene:SECCE4Rv1G0247220 transcript:SECCE4Rv1G0247220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIAPQPALNMDLLLPLVSLLLIAGGGGRQHGSFADAATTTMTNSETLRAYWGAALPGTPMPPAVNDLLVQQDVRASLDDVNLKFVKGLRRIGPYYRKIKSEGGQGKENNHIASQAEHDLKEVSVSYGSKDEDNLNEVSMSYGSKGGEYLKEVSVSYGVEGKDGPKRGFMAHEGNIKGVSVSYGSKGGENLKEISVSYGVEGKDVPKRGFVAQEEKLNEISVSYGLDEGKDEHTEVLNQGEEDPHRVTMSYGAEQEDGPNKVTMAYGSEQEEDPHRVTTSYGEEQEDDPNKATVTYGLEQEEDPHKVTMSYGANHEEGPKKVILSYGSEHEEYTNKITLPYLSEHDPNKATVSYGTKDEEDPNKATMSYKSKHENDLKTISAGHRTHIEGEAGHHVHSHSHKNKRQADVFFFRDMLRPGSMITPTIPPTTSLPALLPRHVADSLPFSTKHFSGIVTMFAPTSLRMTRDIRWTLDTCEHPRTLPDEKAGCASSLESLGELTASLLRTSNVRAFSAANLPVEAPGTSALRGRYNVTAVRRLSGSSKIVTCHDLTYPYMVYYCHTSNPTSAYTVTLESVDVGATPAMMEALVVCHLDTSQWSPKHPFFVLHNIKPGDVAVCHFLTKLSIVWVRAGKPKDAHAATR >SECCE2Rv1G0142080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:938384560:938387173:-1 gene:SECCE2Rv1G0142080 transcript:SECCE2Rv1G0142080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQASLPSAFLAAPAIAHASCPRQFKLRASAAAAASSASAGDGKVVTRKEPTTGAWKIEYSSEKPETPLLDTINYPVHMKNLSTTDLEQLSAELRAEIVHTVSKTGGHLSASLGVVELSVALHHVFDTPEDKIIWDVGHQSYPHKILTGRRSRMHTMRKTSGLAGFPKRDESAHDAFGVGHSSTSISAALGMAIARDLQGKKNHVISVIGDGAMTAGQAYEAMNNSGFLDSNMIVVLNDNKQVSLPTATLDGPSKPVGALSKALTKLQSSTKFRRLREAAKTITKQIGGSTHEVAAKVDEYARGMISASGSTLFEELGLYYIGPVDGHNLEDLVTIFEKVKSMPAPGPVLVHIVTEKGKGYPPAEAAADKMHGVVKFDPATGKQFKTKSPTLSYTQYFAESLIREAEGDDKVVAIHAAMGGGTGLNYFQKRFPERCFDVGIAEQHAVTFAAGLAAEGMKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFALDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPADEAELMHMVATANAIDDRPSCFRFPRGNGIGAVLPLNNRGTPIQVGKGRVLVRGNRVALLGYGTMVQACLKAAEALKEHDLFITVADARFCKPLDTELIRELAAEHEILITAEEGSIGGFGSHVAHYLSLNGLLDGPLKLRSMFLPDRYIDHGAAEDQMEAAGLTPRHIAATVLSLVGRPMEALHLK >SECCE3Rv1G0168090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:214674617:214675666:1 gene:SECCE3Rv1G0168090 transcript:SECCE3Rv1G0168090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRRQGEDHPDRSGAKQKKSLYLVLDDWHKGFTIRKIIDADSPDLSVPPVLRLVSPERGHAMKFAALGSNIIATSNIHAGTLFYDTDTAGLAVGPPVPDALLSGSNTFLTSGGGDALFAFVFHFMERPVSFEAMMKPPTTEDDDLLPTDLSWKSMPTPFTKDEMIFSYAVHPDGRTIFVSSWSKAVCGTYSVDTRNGKWRRHGEWMLPFRGQGHYDAELEAWVGLHEDGYICSCQVPSRSGGTTTEQPDWKMADGPRMWIPWHQLAKGKGATLTYMGSARFCLIDCVAGDGVEFQDAFGVAGGCVLHITTFRLRYDREGKLRIVDRNTTSCPMSKHLTSFSPVAFWL >SECCE7Rv1G0526400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:895035605:895037008:1 gene:SECCE7Rv1G0526400 transcript:SECCE7Rv1G0526400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAVSKCPPVMVRPSMEPALGKTTGTQVVQLSSWDRSYVGFQVAALLVFDGPVHQPVEAIRKGLSRALVHYHTVAGRLAAAVDDDDDNVLHIACTGEGVPFVAASAGCALQDHGLLDAPFSTSLLDRLAVAYPGTEGCRRTDPLLLMQVTEFACGGFTVGVTWNHTLADGDGMAQFLQAVGELARAEADDVLVPSVGVAPVRDGRAVSLPLLPPPVVAAKQWLMLDRGGMGLVYLDITVPVKLINRIKSEYKDAHATDGGYCTTFEAAVAVLWRCRTRAIIGDDPDYDMSTPAPLAFFVNVRKHVGAAAGYYGNCAVAQLALATAGEVAGAGDDSMNGVVDLIKRAKDGVPELLRNMGGGGGAVPEMAREEMAVAFGYNALMVTSWRNIGFDRADFGGGPPARVVGRWQQSTVPGCMAFLSCRATAGDGERLLTQCVREEHAAALLAEMEQLAHAHAPSYASALSN >SECCE2Rv1G0081090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:135792543:135793456:-1 gene:SECCE2Rv1G0081090 transcript:SECCE2Rv1G0081090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPVRRDVIMLRRVYGDDAATASVVRSLLAPVANQLSGSGDTSDLHRRIVLVQLRSLKGPEDVRAAFDGVEAVALCIILMKAVVVFETEAGAARALEEPAKEAIGPCTAVPSLDLAAGCHFIPYELIKVSAPAGTSGAPPPPEPSMEDRARAFQEMCERKPMIDYDGPAEWKTYRAGMTIITQFSPSADSLVYGPALGGGGYLWMDGRMVTYYHAEAGKPSGSEFNNVSIRVKQEETHPTKIRVTPLEPLVYVNQFGHLFSVCSTAGSK >SECCE3Rv1G0183070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:644034776:644035516:-1 gene:SECCE3Rv1G0183070 transcript:SECCE3Rv1G0183070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITYHAPRQPADQPVAPRHRSRVDADVIMDDGTVIRTTVTSSACDVSLFLRELRELFCKEIHDHSSLNKVQEQQALEEVQEHHHCLVVGLDTEWHQVSETGEKARYQIAVLQLCVGDRCLVYQIFHADYIPAELAAFLANPDFCFVAVGVGGDVKRLHDDCNLVVAHTMDLPQVAAVVLGRPELRQAGLKTLAREVMDTLIEKPKKVTMSKWAAPHLSREQVRYACIDAFVSFDVGRRLLCEPRG >SECCE3Rv1G0202930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:879151257:879152031:-1 gene:SECCE3Rv1G0202930 transcript:SECCE3Rv1G0202930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSTSYFSSQPQLPASDGAKPAATRSSSSNGRQPRRRRTGRCVMLEADAASGSGSGGAAVRRTRSLTEEDVEELKGCLDLGFGFSHHQIPGLCGTLPGLELCYSMTRRFLDEQRPLVGQLEPAAAATPIPDWKISGPGDDPDQVKARLRYWAQTVACTVKLCT >SECCE2Rv1G0131470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884845509:884846097:1 gene:SECCE2Rv1G0131470 transcript:SECCE2Rv1G0131470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSVWHEFQTDLPAADVWEVYGGLAVGRLIPQLLPHVVSKVELVEGDGGVGTVLLVTFPPAGASEPRSYKEKFNVIDNEKYIKEAETIEGGFLDLGFRKHLMRIEIVGKEDGTSVIRSTVEYEIDAEHAKNVALVSTDALASVAEAITKHIKEQKSPKQATE >SECCE6Rv1G0387280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:110257904:110258686:1 gene:SECCE6Rv1G0387280 transcript:SECCE6Rv1G0387280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPEPVVGVATAGSQAYPPAAAYPAPAMVPGGPAAIPPGSQPAVPFPGNPAQLSAQHQLVYQQAQQFHQQLQQQQQQQLREFWATQMEEIEQAADFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDDMKEEGLGLPRVGLPPAALGAPADAYPPYYYVPAQQVPGVGMMYGGQQGHPVAYAWQQPQGQQTEEAPEEQQQSPSN >SECCE3Rv1G0146890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12876818:12877285:1 gene:SECCE3Rv1G0146890 transcript:SECCE3Rv1G0146890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVSLQSTMRPATPGLGRRLQGSPPCHGPLLRPRSPRAVPMATVCGVFRRNHYGGALVDEGMTVLRRRIREARMAETNYEAPPGWAAWEKRYYPAYVSDVSTLAGALQLLAMDTRPGVAAAVAALVLAGVPVSAVFALHLLGQAAASVLHLVS >SECCE6Rv1G0407760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:563973908:563976833:-1 gene:SECCE6Rv1G0407760 transcript:SECCE6Rv1G0407760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAANRNQPIKLNKPVVGKARKLKDLMLKSDNRVCADCSAPDPKWASSNIGVFLCLKCGDVHRALGPDISNVLSLTLDDWSDTDIDSMVEVGGNSYANSIYEAFLPKDHPKPKPDAPMEYRTKFIRAKYETQDFLKPSLRISSKAGFESTNSLNSVDNSFSSTSRKHAPEDTREFVGQLNVKVVKGSGLAVRDMLTSDPYVVLSLGEQKAQTTVKASDLNPVWNEVLNLSVPRNYGPLKLEVYDHDTFSADDIMGEAEIDLKPMITAAMAFGDPSRHADMQIGRWFMTRDNCLLSDSVVNIVSGKVKQEVNLKLQNVESGEMELELEWARLD >SECCE2Rv1G0073650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:70092379:70096669:-1 gene:SECCE2Rv1G0073650 transcript:SECCE2Rv1G0073650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELTYRGQQAAAADGAHEAAESKPRTRQQLPQPLRYVLGEQRLVFSLVGMALATLVFLLLSPSTTTTTSASTASSSVAHLAAVGLVSRQYQSGGAGRMAFEEGTGGVRHGRVPLGLKRKGLRVVVTGGAGFVGSHLVDRLLARGDSVIVVDNFFTGQKENVAHHAGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGDHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRANTADDPHKRKPDITKAKELLGWEPKVALKNGLPLMVQDFRTRIFGDQKKQQQPDGSE >SECCE2Rv1G0100470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:541910752:541912797:1 gene:SECCE2Rv1G0100470 transcript:SECCE2Rv1G0100470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPPPPPEEEAVPLAAVGVAGNDRVLAAAHHIVKSLATSKNAADDMIRILSGFDYRFSTITSDLFSSPSPSHSHSPTDAASSEAEGLSLADFDDAAQLIHLWDTTPEALVFEAPEDDAAHYLAAVDVAVDHLAAGGPAAASGRAGVAVQLAMARLEDELRHLMLRHAVPLDASGLYCSLRRLSLESMDDLDTSSEFDPTTPHSQEGAPDTARSASLAGNPFDDQLFDLVRPDAVDELRAIAERMGRAGYASELMQVYCGIRRDLLDECLTVLGVERLSIDEVQRVEWKQLNDKMKKWVHGVKTVVRSLLTGERRLCDQVLAVSDELRDECFVESTKVCIMQILNFGDAVAVCPRSPEKVSRILDMYEALAEVIPELKELYYGTPGDDVICDLEGVLGRLGDAVKGNLLEFGKVLQQESSRRPMMAGEIHPITRYVMNYLRLLVVYSDTLDKLLDEDAAGDADHNASSGGADDDEEYLQSLTPLGHRLVKLMSYLEANLEEKSKLYEDGALQCIFSMNNTLYIVQKVKDSELGRILGDHWTRRRRGKIRQYSKSYLRISWTKVLSYLKDDGHGSGSVSLGNSSSRVKEKFKNFNFAFDEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFLGRYGSLVDNGRNSGKYIKYTPEDLENQLSDLFEGSLGSANHSRRRL >SECCE6Rv1G0379400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13713086:13715150:1 gene:SECCE6Rv1G0379400 transcript:SECCE6Rv1G0379400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPEVIPQRVHPKKKPCVDGEQQQHSQTSMGRAISEVLTDDNLLIEILIRVGFPTTLVRAALVCKRWYHHASDRGFLCRFRKRNPPRLLGLYIDVRWTGLGLCATPLFVPMQPQAPELDTVVRRVASHSFDAYDDGLWIMHCQNGSIFTRYRKGTEVTHGVYRPLYPEKDMDFIPPLPTAQNRIQKLLGAVLSKEEGDGLSYLYVLAECTRETKTFKVRVYMLQGGVWCMHTSATTQLPHMLPALKAVLVDDKIYMADIFSNDIIVLDLATSSFSRILLPQGVKYHTFHTILSRADDASSLYLVHVHVMEHQLCIWLHKGDNWLLVDTLCLHQMWASLRMRDHTLEDEDISYSYISQVGDNVQFVFLKTVCGRILYLDVTSRTLHKVHGMTEKDRHFSAIYPFMMIWPPIFPALKDDHARQGSWVEMGQPETVST >SECCE1Rv1G0050800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:649283654:649285243:1 gene:SECCE1Rv1G0050800 transcript:SECCE1Rv1G0050800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRPPARQASKKPAAAPLYSPGRGYKPGTAPPHAASLRRAGAPHDAGELRAVLKRLASACPAPSEAAPALHAHAAKLGLGSRHRGVRDALVALYLACGRRGIARALLSAGDDPAPDVVSWTALVTGHVRLGLFREAAALFLAMVDDGALVIDAVAAAAGFAACAGAGDLALAREVHRRVLEAGVALDVVACNALVDMYAKCGDAAAALRCFRAMAPAKNVVTWNTMISAHARAGEPQEALELFREMLLQQGCAPSPLPDDATFVAVLGACARLGALDAGRWVHAYMGRTGRDAADGVVGNALIDMYAKCGAVEQAAEVFDAMTRRDVYTYTSMISGLAMHGRGEEALALFGDMGRAGVKPNEVTFLGVLSACCHAGNIEDGLRHFDAMVELHGVAPGIEHYGCVVDMLGRAGRLDEAEDLISAMPIRPDALIWGSLLSACRAHGHVNRAERVMRRMTEDEADAGDYVLMSNMYAHEGRHGKAVQVRRRMRRGKVDKVPGCSLIEIDGVVHEFQAVPANSIEPDDVFS >SECCEUnv1G0534930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:49522993:49526950:-1 gene:SECCEUnv1G0534930 transcript:SECCEUnv1G0534930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEQGKEGAGAGEERVEVRTGPRPALPAPQQRAVDEFWRERQEEMEATVDFNDRILPMARLKRLIRAEEDGMMIAADTPAYLAKLCELFVQELAVRAWACAQSHHRRIILESDIAEAAASTEDGGTNYAGENSAAESETMASPPPPAGPAGAVALPSVHPAAYYLCAYPVANDAEAFAVGNTDPNVILPEIVEGNVAEGNSDGGQQQQSEDVGGHRQSTMVVERSSDVQEDAGAAGGEGGMMFLEEILMDEDLMFPDDELFPLVGAAPDPEDFIVDQDVLDDVFANPSSSASSD >SECCEUnv1G0543710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:118851565:118854580:-1 gene:SECCEUnv1G0543710 transcript:SECCEUnv1G0543710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPMPLPTPAAAAAAASAPARLPVGLLLHTTPPLRLRLRVPSFLSPPPQSRGLSSPLRAVAAAVSTTTTTGRRAARSPNPGQVISEGRDEDARRAVCPGCGVFMQDDDPDLPGFFTSPSRRPPQDELGEEESDSPEGFVGDDDGLPSESDLAAELDGLDTGFLESLEEEEEDDEEDEEEEDGGGETESGWDSDWDAGMEEDEEEKWSKELDGFTPPGVGYGSITEETLERMRKEKLSKAERKRRAREAKQAEAREDSAVVCARCHSLRNYGHVKNDRADNLIPDFDFDRFISSRLMKRSAGTPVIVMVVDCADFDGSFPKRAAKSLFRALEGRGNSKLSETPRLVLVGTKVDLLPWEQMGVRLEKWVRGRAKAFGAPKLDAVYLVSVHKDLSVRNLISYVKDLAGPRSNVWVIGAQNAGKSTLINAFAKKQGVKITRLTEAAVPGTTLGILRMTGVLPAKAKMYDTPGLLHPYIMSMRLNTEERKMVEIRKELRPRTFRVKAGQSVHIGGLTRLDVLEASVQTIYVTVWASANISLHLGKTENAEELRAKHVGIRLQPPVAAERAAELGQWTERRIDVSGVSWDVNSTDIAVSGLGWYSLGLKGSATVAVHTFDGIDVTQRDAMILHRAKFLERPGFLLPIAIANAIGEETRKKNERLNAQQESDDDDDDLSDDESAE >SECCE7Rv1G0472220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:138237395:138239492:-1 gene:SECCE7Rv1G0472220 transcript:SECCE7Rv1G0472220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARVGRFQMSNLSEPSKEDGSVEKTGAWSNTWSTLMRHASVYGVAAGYCLSASLLSIINKWAIMKFPYPGALTALQYLTSVAGVLLCGQLKLIEPDRLNLRTMWKFLPAAVMFYISIFTNSELLMHANVDTFIVFRSAVPIFVAIGETLYLHQPCPSFKTWLSLSTILGGSVIYVFTDNQFTLTAYSWAIAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGEFNQMKVDSSKMTTNWLSFDVVLPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASLVGTIGLLICMSGGVLYQQSTTKPKAPKIEPKEEDDEEGQNLLQIQPGHESNSSQKHSS >SECCE6Rv1G0398860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:426792878:426797964:-1 gene:SECCE6Rv1G0398860 transcript:SECCE6Rv1G0398860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase PRT1 [Source:Projected from Arabidopsis thaliana (AT3G24800) UniProtKB/Swiss-Prot;Acc:Q8LBL5] MASDDSSSSHPTEKKEEAAAGGAGFDDLEDPRFQCCVCLELLYKPVVIACGHMSCFWCVHKAMHYARESHCAICRQPYTHFPSICQLLHHLLLKLEPVEYKKREKEVLEQERSVDTFSPQVIEFLNSKNNNGENGEDWGNKLGDGKTGPPGEVSVDGNTINEHSMKIKLDDVSCPICKELLYQPAVLNCGHVYCISCLPSVGDEALKCQVCGGLHPGDFPNVCLDLDHFLEEYFPAEYESRRKKLQLENSQCNPEGSSSSTSCKKGTFVQKTLDLSNVHIGVGCDSCGVYPIRGKRYKCQDCTEAIGFDLCGECYDSTSKLPGRFNQQHTPDHRMELDNSSLFDAFLRFQGIPAEGLQQLVQQMELIGAGGMVQIVADDEEMEDNHEDA >SECCE7Rv1G0505360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:734423937:734424314:1 gene:SECCE7Rv1G0505360 transcript:SECCE7Rv1G0505360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQQLLLLLLLLASPLPRPAAADGGAAGQPPLVHPFFYYSPPPPSASPLTSTPPTPTPTPCNCQQMPPPTACNCGRVPPPGVDRRSPPAGRHGLHSGSRAQTGVQLHPRVLCAVTAALLLRWC >SECCE3Rv1G0199470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:846143121:846145727:1 gene:SECCE3Rv1G0199470 transcript:SECCE3Rv1G0199470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGEDIMPGEVSKRRRRGVHTAAARDGCGALLPYEMIIEVLQWLPIKSVFRFRAVCRSWAVLLSSDEFRRLHMAAAKAARRPAPPAKLIYISPTAGFDSTAVYSCSFSRSSSSSGRPRDRGDLLFTIDGARGNCVEVVTPAPCHGLTLLYDALDAAYYICNAATRAATRLPPFTVRGSQSTAGLGFDAHTDEHKVVRLINGLVHERDLKLVRCEVYMTGGRFGDCWRPAAGGVPSNLREFAHAAVRNASANKLSPVFANGCLHWLMNPAYFITTPSVAIISFSVAEETFTCIRSPPFWVPGAPPTSWLTSSGEQLMEMDEQLCLVRNRIPHGSNTLEIWKLLDCSSGEWLLNHRINLSGHLARDLRQSQILRVIGSFRSYRSLRKKIIITTSMHKIFKKYQKMVHTYDPRSEALETILSITETHSIPQYACPSSRFGFIQETLAPVHRTDEALSSDLAKVTREILLRLPAKSAIQSKFVCKQWFRLIESENFIRSYFEHKNMDKRPKVMLVVKSTGQLGFSFAPLNKCLQEAPSHSTLLDTKVVCSKPCHGLNLVSTETNDYLCNPCTGFHRVYRNLGPNLHLLSRMPKAEEHAFTVGNKNVGLTFSPSTRQHVIVEILYHRKDFKSRQYDMTCALYWCNSWSAAQQISVPPLPVNDMPPAYVEGMLYWMSEPRLGQCCEWAIVSFNLAKRTFGVVPCPSWFARWNSRNRCRAFVVELEGVLCAVLADPVADNLDVWKLEHGQWGRAYTINLEACPGYSLKTSVVMPLAVDPDHGRILLNTGRKIGLYDPAEQTILNLYSLDQVQVASSPHLDMLSTSGNNLTYSKEESAVEMNRMDSGIIPFVPLLYEESLACYTSVRKAKKLW >SECCEUnv1G0537740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69850140:69851784:1 gene:SECCEUnv1G0537740 transcript:SECCEUnv1G0537740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLAFLLPVVFLVVIARERSMFSKLNLPPGPAMLPVVGNLHQVGGLPHRRLRELSRRHGPVMLLRLGRVRTVVVSSAEAAKAVMKTQDVECCSRPASPGPKRLSYDLKNLGFAPYGDYWREMRKLFMVELLSMRRVRAAAYAREQQPVALNDHIFGLTDGIIGTVAFGNVYGADQFPHKGRFQEVLDEAMEMMASFSAEDFFPGAGGRLVDRLTGLFGRRERVFKELDAFFEVIIEQHLAEPARHNGGDLVDVLIGLWKEQHGTLSFTKDHVKAIILDTFIGAIDTSSVTMLWAMSELIRKPRLLSKVQDEIRATVGSNHLGRVQEHHVPSLTYLKMVVKETLRLHPPATLLVPRETMHPVQIGGYDVPAKTRILVNAWAIGRDPASWTSDSEDFNPDRFQGSDIDFNGAHFQFLPFGAGRRIYPGLAMGVMNVEFTLANLLYCFDWALPDGMTPENVSMEEAGALIFHRKTPLVLVPTPQG >SECCE3Rv1G0169880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:243985400:243987746:-1 gene:SECCE3Rv1G0169880 transcript:SECCE3Rv1G0169880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLTFMLGTSAAVDHCHPRQLHPGATTTTSSSSRIAGRQFEPPNILAFRAMSEHIVRSEAFRPERIADSRFQLLSILVCGAIHVEGITATDPLKLCGILICGVLSDYIRHGAEEPAIDLLGDLPEDVLCTILSKLPLEDAVRTSAVSRKWRYLWTVCPKLSFDGNKICGKNNYEKRVYNIVFSHIVNRVLGQCRGKLVEELEIKIELNWMLVEHLDNWFRFAVSSRTKALVFDLAKEQRQPPGCNDRYKFPFELLDEDSICRLQKLHLSFVDFQPPMHFSGFPNLRKLDLSIVNINEKDIQHMLSNCCNLGWLSIVRCHLNGELKVNGPLPHLLYLKIASCRLTNIAFHAVNLATFEYRGVAVPIDLSKSSELKCANIYYFGDTLEHTITVLAKVLTSVQHLTLNAGCKSPEIPCLMSYPFKFSRMTSLQLSLDYVKEFDSLSLVSFLRSAPFIEKLELHFCNAAYVRLVQEPEPMRKLSKRLFNDMKSLHITGFEACKGEVEFLLHMVENAPTLELLSIDHSYQYPVEGFRKDKELDVDLLHTTTRRHLKGKISPNCTLILL >SECCE6Rv1G0407390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:556060655:556063527:-1 gene:SECCE6Rv1G0407390 transcript:SECCE6Rv1G0407390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARSFAGEAAKRSQELSLEAAKRSSVLVSETAKKSKEIFSETAIKSREMAAEATKQADLLAGQIMHLASDIPVPSIPPIPAISPLPSAAESEPDAAELERYGITDDLREFVNGMTISTFRDFPLQDQPEMSDVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRIYFLLVNNYVTPYETKYFEELKVKAEEEKTVAQKEISQTSQATTSEQKDTKVPSKTSTSSNREQDLDVFLLGDLGSDDEGPDAGDDDGLDDDFDKIDGTSGLESDGDEDKLAENVKEQL >SECCE3Rv1G0179980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:556641388:556653571:1 gene:SECCE3Rv1G0179980 transcript:SECCE3Rv1G0179980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACLLARSALNKRPSFSYASPKTKLNYPGNKFGPNQLLSNFSMTFSIVSVLTGITTLYGTGLEFGGPVTMVYGWPIAGTFTIIVGLAMAEICSAYPTSGGLYFWSAKLCNDRRWGPFASWLTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYLASKYVVIAFHAAILLSHAVINSLPISWLSFFGQFAAAWNMLGVFVLMIAVPAVATERASAKFVFTHFNTDNSAGIHSNLYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPIGIISAIGISIVVGWGYILGISFAVKDIPYLLSPDNEAGGYAIAQVFYLAFKSRYGSGVGGIVCLGIVAVAIYFCGMSSVTSNSRMVYAFSRDGAMPLSPVWHKVNKHEVPINAVWLSAFVSLCMALPSLGSLVAFQAMVSIATIGLYIAYALPIFLRVTLARKHFVPGPFNLGRYGVLVGWVAVLWVVTITVLFSLPVTYPVTKDTLNYTPVAVGGLFILVLTSWVVSARHWFKGPVTNLSG >SECCE5Rv1G0351740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:702672577:702674378:1 gene:SECCE5Rv1G0351740 transcript:SECCE5Rv1G0351740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELYVQSLVLAAVAVALLQVLKVYLNPVRERTPPGPWKLPVIGSMHHLVNVLPHRKLRDLADAHGPLMMLQLGQTPLVVVSSKETARLVLKTHDTNFATRPKLLAGEIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVMMRVEQIREVGTSTPVNLSVMFHSVTNSIVARAAFGKKRKNAAEFMAAIKSGVGLASGFNIPDLFPTWTTMLATITGMKRSLQGIYTTVDAILEEIIDERKAARTDKIKAGATENVDENLVDVLIGLQEKGGFGFHLDDSRIKAIILDMFAGGTGTSASAMEWGMSELMRNPRVMTKLQAQIREAFKGKVAVTEADLQASNLQYLKLVIKEALRLHPPAPLLVPRESIDHCEMEGYTVPAKSRVVINAWAIGRDPKYWDAAEEFRPERFEDGAVDFTGSSYEFLPFGAGRRMCPGFNYGLASMELALVGLLYHFDWSLPEGVAEVDMEEAPGLGVRRRTPLMLLATPFVPAAVA >SECCEUnv1G0531680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17727283:17728185:1 gene:SECCEUnv1G0531680 transcript:SECCEUnv1G0531680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSMPPAMVSLPFLASFLLIFLLCHVVDASECEPVACGNFTIKYPFWLGAPSHPPPEPSCGHPAFELWCGGSNTSASMRGSAIQVLRIDYGASSLVASHSRFSVRVKGVCRADFNMSSSLALSPFNISPSNMALCLLFDCNGTEPGGREYANATATCDRPVFAYLGGRYDRDTPPAIPAGNCTYTYLPVLGAEAAVSTAANYSQLLKAGFLLDWAGTGGIGDCPACVASGGQCRYRNAIGALACLCPGGKLRGSTCAGEFAHAATCSPLLYCTLLYPYQSAHTNADSHSHIGTCAFDN >SECCE3Rv1G0175320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:423060980:423062444:1 gene:SECCE3Rv1G0175320 transcript:SECCE3Rv1G0175320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSNVTRVMFILMVLFASSAAKRPVARMGAAPAPGPEAGEEASGAGGVFDITKLGATSDGKTDCSKELQEAWKSACGAADQATVLVPEGEFLVGPLNFSGPCKGGVTIQIDGTLLGTNDLPKYDGGSWINILKVDNLFITGSGTIDGQGTNVYTKDPEAQAFPNSLVLDFVKNGTVSGITLLNAKFFHMNIYKSEDVKVENLTITAPGNSPNTDGIHIGDSSNINITGATIGTGDDCISIGGGSSRIRVTRVTCGPGQGISVGCLGRYKDEKDVSDVMVKDCVLRSSTNGVRIKTYEDAVKSITASNLTFENIKMEDVANPIIIDQNYCPEKVCTATKSKSAVTVKDVIFRNITGTSSTPEAVSLLCSKKQPCSGVDLIDVNVEYSGKNNKTIGVCSNAKGTAKDTLQALACLA >SECCE2Rv1G0071890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:47987440:47989677:1 gene:SECCE2Rv1G0071890 transcript:SECCE2Rv1G0071890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTNLIILLPLLLLATFSPTPSLCYVRVHQGATQTSATSAYRTYIVLVEPPLSGANEEGHRRWYETFLPSSHIGESGEPRLLHSYIEVFSGFAARLTEAELNAIAKKPGFVRAFPDRTLQLMTTHTPEFLGLRNGTGFWSDAGYGKGVIIGLLDTGIYATHPSFDDHGVQPPPTRWKSSCKAVRCNNKLIGANSFIGDDDSYDYVGHGTHTSSTAAGNFVTDASDNGVGTGTASGIAPGAHIAMYKVCTFEGCEESAVVAGMDAAIKDGVDVLSLSLSSLTSVGFNKDPIAIGAFSAISKGIIVVCAAGNKGPTPRSVSNSAPWLLTVAAGSVDRRFDAGVHLGNGKRMDGEALTPAIKPTSKPYPLLYSEEHHFCQNAYYGSVAGKIIVCQATTPLTRYSDISRLMGVGAAGVVLFNDKATGYTIILQDFDEARVVQLTSADGIALAAYTKSASNDAVATFTYNNTVLGVRPNPVVASFSSRGPSSIAPGVLKPDILAPGLNILAAWPSPPFKIISGTSMATPHVSGVAALIKSLHPDWSPAAIKSAILTTSDTTNNIGGSILNERHDKANAYDRGAGHVNPARAADPGLVYDLGVTDYAGYICWLLGQEGLVTIVRNSSLTCAKLPKIKDIQLNYPTLTMPLASTPFTVTRTVTNVGPADSTYAAKVNSPSSMTVHVSPETLVFSKAGEKKTFSVTVICQGVGASEIFVEGSLSWVSKKHVVRSPIVAIRGAGGPAPAPSP >SECCE7Rv1G0477280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:216627160:216629676:1 gene:SECCE7Rv1G0477280 transcript:SECCE7Rv1G0477280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAVGGQGGGMDAALLDDIIRRLLEVRTARPGKQVQLSESEIRQLCTASRDIFLTQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLGHLDEIKNLPRPTDVPDTGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGHDKVTEFLLKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKIKFMASNKM >SECCE4Rv1G0224720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:83586437:83589551:-1 gene:SECCE4Rv1G0224720 transcript:SECCE4Rv1G0224720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGDEVTRNKKVVLRRHVTGYPAAEDMEVVVTSDVRLRVPEGSTPAVVVKNMYLSCDPWMRGRMTKHNDGDAVPAEDFVLGEALVNFTVGEVLDSTHPEFDAGDLVWGMSAWEEYTLVTQTEGLFKINHPELPLSHYTGVLGLAGLTAYAGLFEVGKPKQGESVFVSAASGAVGQVVGQLAKIAGCYVVGSAGSDEKVNLLKSKMGFDDAFNYKSEGDDLGAALGRRLPDGIDIYFDNVGGTTLDAALLSMRPGGRVVACGMISQYNLSEHQGVRNLWCIIPKRVRMEGFSCMDYHHLYPRFEEEMAGYIKAGKVTVVEDVIRGIEKAPEALVGLFSGRNVGKLLVALA >SECCE3Rv1G0204190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:890151210:890152058:-1 gene:SECCE3Rv1G0204190 transcript:SECCE3Rv1G0204190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASASPSGSGRSNPAAAGACPICLEAIEDEAYLDACLHSFCYRCITQWVKIVASKREEPLSTARCPLCKTESASIIHAFDGESFQQHHIEHLSDAHALVSHFYNTREVPVSDDDEPSIRRYWKQRRYLRRNAWLEPWLRREIQALTRDEDVDAVVYHVHGVIESAVKPHASKEASPERAREEFVRSLSEAARPFLHGRTARFVAEAELFLASQLNTDAYGRARARRFRESASHVTREQGALPRGRPLEDHYFYLYFLSDQADFVGGEM >SECCE2Rv1G0091570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:323090328:323097455:-1 gene:SECCE2Rv1G0091570 transcript:SECCE2Rv1G0091570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDELPKPPEPPAAAVAVATTAPQSHAEWAASMQAFYASGGHPYAAWPAQHLMAAAAASGTPYGAPVPFPMYHPGAAMAYYAHASMAAGVPYPTAEAVAAAAAAPVVAEGKGKAKGGGVSPEKGSSAAPSGDDASQSCDSGSDESSDTRGYDTDQKDSSAPKKRKSGNTSAEGEPSQAATVPYAAVESTYQLKGRSASKLPVSAPGRAALPNATPNLNIGIDLWSASQSLAVIPVQGEANPGLALARCDGVGQLDEREIKRERRKQSNRESARRSRLRKQQECEELSRKVAELTTENNALRTELDQLKKACEDMEAQNARLISQESVAVTTTLGMSIAAPKVQQHDDEGKLHKKANNNSNGKYVGGSRKLEANPR >SECCE6Rv1G0418700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:664191337:664193031:1 gene:SECCE6Rv1G0418700 transcript:SECCE6Rv1G0418700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKTVASGKCRFGDLTDDLLWRVLSFLPEDDALQTCVLDTRWRDLWRRTANLSLSFDESTFPRSDRFKQLVKLFIQLRGDSPLDKFEISACVDEEGKHTYTNTILLIGYALRCQVKELLLSVNFDDEYDIGYDPIVLNVPLISQHLKILHLERVDLKHSALNFSHCPVLEDLKMQQCGIDARRMSSKSLKRLSITEFSYFPEEFHVRIFAPGLLSLQLDNFYGLAPSLEYMPSLETAYVCLHNDCNDSCCSNMQDCEDDDCICHVYPIDEGVLLHGLSNVVNLELIAGPCTEMFMYSWDLKCCPIFDKLKTLSLNEWFTSIDLLCILQHSPVLEVLTLKLRSTKKFVGAAGAQEKIEQSCVCSHLKVVNIECIKVDEGVHKILKFLSTCGIRRDQISIMERWPSL >SECCE2Rv1G0119370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:790808352:790810565:1 gene:SECCE2Rv1G0119370 transcript:SECCE2Rv1G0119370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSSGLYVSGRPEQPKEKAPTFGSNVMPYTGGDTKKSGELGKMFELHAERSRKSGPLGSAPSRNPSFGGAASNSGPVSNAGGRSNYSGSLSSSVPGAGGSARAKSNSGPLNKHGEPVKRSSGPQSGGVTPMARQNSGPLPPMLPTTGLITSGPVTSGPLNSSGAPRRKVSGSLDPAASMKVRATSLAQNQAVTTLTSEGGFSVMGSISKWVFWLVITLLLFGFAVGLFILIAVHNAIVLIVVVAMIGSVATLVSWNVWRGRRGVLKFVNSCPDTDLRTAKDGEYVKVTGVVTCGNFPLESSFQRIPRCVYTSTRLYEYRGWDSKTANPKHRRFTWGLRTAERHAVDFYISDFQSGLRALVRTGSGARVTPYVDESVVIDINPENKDMSPEFLRWLRERNLSSDGRKMRLKEGYIKEGSTVSVMGVVQKNESVLMIVPPSEPISSGCQWGSCFFPANLDGLVVRCEDTSDMDVIPV >SECCE4Rv1G0290280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:871728583:871730946:1 gene:SECCE4Rv1G0290280 transcript:SECCE4Rv1G0290280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRPLAILCVLVAFVAAAATEVVEIQTVNVEVEAWSSYIVHVAAAHAPRPPRRGLLATRAYGSFLRDHIPAELSSPAPAVLYSYSHATTGFAARLTGRQAAHLATSASVLAVVPDAMLELHTTLTPSFLGLSPSSGLLPASNGASDVVIGVIDTGVYPDGRASFAADPSLPPLPPGKFRGGCVSGPSFNGSALCNNKLVGAKFFHKGQEAARGRALGADSESPLDTHGHGTHTASTAAGSPVAHASFYGYARGKAVGVAPGARIAVYKACWEEGCASSDTLAAFDAAMADGVNVISASIGNVGDAPHFHADLIAVGAFRAVSKGIVVSASAGNSGPGEHTANNIAPWFLTVGASTLNRQFRADVVLGNGDTFTGTSLYAGEPLAATKMPLVYGGDVGSNICEEGKLNASMVAGKIVLCDPGVHGRVVKEQAVKLAGGAGAIFGSTEAFGEQVMTTPNVHPATAVPFAAAEKIKKYIGAQTSPTATIVFRGTVIGRRTPPAPRMASFSSRGPNFRVPEILKPDVTAPGVDILAAWTGAASPSGVASDTRRARYNIISGTSMSCPHVSGVAALLRQARPEWSPAAIKSALMTTAYNVNSAGGVIGDMSTGEASTPFARGAGHIDPNRAVDPGLVYDADTEDYIDFLCALGYTAEQVAVFGSSASCSAHAGSSVGDHNYPAFSVLFTGNKTAAVTQRRVVRNVGGDARATYRAKVTAPDGVHVTVTPRTLRFSARRRTQKYVITFAQRTFGRVTKNHTFGSIEWSDRKQHSVRSPITITWPAGQIAEM >SECCE5Rv1G0346110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660175417:660176094:-1 gene:SECCE5Rv1G0346110 transcript:SECCE5Rv1G0346110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNVHSVSASTITTTATRCHSHILTIQGYSQTKLFPDGSSVESKTFEAGGCTWYILFYPNGTSSAAADRICLGLALANSCDRPVSIHAQFTIVPHHGRPAPATTYCNSFRLTFRRIGDSRHGPPSPLITRAELEESEYLVDDGFTVRCDVTVVNARWHSHAAVQPRDLERAGIACSCKDQLCKRRHASNWESSKSEATPTPPPPRRRRTGIKAAWFRLFRRTLP >SECCE1Rv1G0033350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:466618987:466621938:1 gene:SECCE1Rv1G0033350 transcript:SECCE1Rv1G0033350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQDTPMIAEDDYETQQKKQAAADVLFNYSQFVMVCIGEGVRPTDLRLHLMKEISGMPTSLKEEPRQAAASPDSSGEPSSSGTMKEDRSEIP >SECCE7Rv1G0457440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:17035075:17039014:-1 gene:SECCE7Rv1G0457440 transcript:SECCE7Rv1G0457440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAAAVRVMAVAVLLAAAAPAVGKQASAPAPAPTLRLERTVPLSAARLEQIQKQGRARLAKRSGVPELDLLRLPGIYYTRVTLGNPPKEYSLQFDTGSNLMWLRCSPCTDCSTTGLLDASLYSPNNSSTSANISCSDDICKDALKTGHSVCPASDTLSNQCRYSQAYADGTTTEGYYVSDIMRFDTLMENKNEPATVSSASVIFGCSNSLSGPAPTDGFMGFGKDAPSVVLQLSSQGVSPKAFSHCLTSSDEGGGFLVLGQVVEPGLVFTPLVPSQPRYSLNMKSIAVNGKRVHINSSLFTTSHTQGTFVDSGAPSFYLVDEVYDRLLKAINHSIPRSAQSYLTNTGTQCYKVSSSNVSLLPVVTLYFEGDAPMTVGPKNYLVLDGYYDDLEIWCIAFERSKEIEGYEHTTVLGDLVLRDKLFVYDLDKMRLGWVDYNCSLLNKTTLVVSVSGSRRRHAPSYFGRLIAIGVAFLNTNIISLTRRLDGY >SECCE2Rv1G0103530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:590951050:590985859:-1 gene:SECCE2Rv1G0103530 transcript:SECCE2Rv1G0103530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQPVSKQKHKPKPSAPSSSSSAAPRLEISSENERRLRQLLLNSSTASAPSPATLDAPGARAESREQKGRRLRGVYDKLALEGFSSSQIEQALSAIPDTATFESALDWLCFNLPGDELPLKFASAAASSSRAGTEGSVKVVSAAKDNWVPQSRGPVEEAKVSTEGLEIKIGRRQEEDVTLDDGRSSQAAWILQYMEQQEEEEDANSNDSSTWEDRLAASFEVAETKPSRRKKKGKKDNSKNGNSKENISQSVSPVLPNSEIASVEDDQIGLGASENKSPSLVPIDEGSNLQKEVPKNAGETCTKEVDEEEVELDNLFFEDSSAWEVVAPEILKQQQIEKLSHDGYGHLLGNIDDVWKKGDCGKMPKAILQKFCQKLGWEAPKYSKTSEKDGKFIYAVNVLRGSTGRGKSRKAGGLTKIELPEKDEEYVSVQEAQSRVATFALYQLFADLPLRQLLIEPYSSLVLRWQEGELEFSSTSRVLDTEDSRRAGFVDMLLDMDTHNTLPYQIRGAPEDSTSIDSPNDKETNSVYEKKEATLLNRAGSRPEQAESTALKKQLEHKRKLPKYLKMLGARASLPIARLKNHFLQLLKENDVIVVSGETGCGKTTQVPQFILDDMIESELGGNCNIVCTQPRRIAAISVAERVSDERCESSPGSKDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNKDLSDVTHVVVDEVHERTILSDFLLIVLKSLVEKRSNQPGRKLKVILMSATVDSSLFARYFGDCPVISVEGRTHPVSTHFLEDVYEKMEYCLALDSPASGAYFAQHGEKWKHASSSVNNRRGMKNLVMSSWGDESMLSENYVNPHYSSDCYQSYSERTNLNLKRLNEDVIDFDLLEDLICYIDENCPSGAVLVFLPGVAEIEMVIDRLSASVRFKGASSDWILPLHSMLSPTDQRKVFQSPPENIRKVILATDIAETSITIDDVVYVVDTGKHKENRYNPQKKMSSIVEDWISRANAKQRRGRAGRVRPGLCFCLYTHHRFEKLMRPFQVPEMLRMPLTELCLQIKSLHLGDIKSFLLKAVEPPKEEAISSAIDLLFKVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPVLSVAAFLSYKSPFISPKDEKQNVEKAKAALLNENLDGSTSIVDNKQSDHLLMVIAYNKWSRILQEHGARSAHQFCRSFYLNSTVMHMIRDMRLQYGTLLADIGLVDLPKDNLNQMNGNRKSNLDSWFANISLPFNLYAGYTSVIKSVISAGLYPNVAATLEGVDPGALGGRKPSDVLFGKDRPRWYDGRREVHIHPSSVNHSLKSVQYPFLVFLEKVETSKVFLRDTSVISPYSLLLFGGSMVIQHQAGVVIIDGWLRLTAAAQTAVLFKQLRITLDAVLKELTRKPEMATFVDNEVVRSIIHLLLEEEKAQQA >SECCE1Rv1G0042960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:586939793:586943247:-1 gene:SECCE1Rv1G0042960 transcript:SECCE1Rv1G0042960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEARPKDYGGGDVGGSGSVGKIFVGGLPRDTTLATFQKHFGNYGEIVDSVIMKNKQTSQPRGFGFITYSDPAVVDKVMEDTHVINGKQVEIKRTIPKDYMQSNPKGFRTKKIFVGGLPPILTEDDFKDFFEKYGAVVEHQIMRDHQTRRSRGFGFVVFESEEVVDDLLANGNMIDLAGSKVEIKKAEPKKSSNLPTSTGSDSRSAYGRDSRDHTSGDDRGGLADAYSSYNSGGFGPYRNHGGFVGGHGGVRDYHERYGHYYPGLGGYEGMSSFGYPSRFGPYGGSFDRPYAGGNLHGYRRGGDESFGGPGSSSFGAMYAGAYDPALGVYAPGGTPDMNRGSFAPGRYHPY >SECCE7Rv1G0506220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:747318494:747320175:1 gene:SECCE7Rv1G0506220 transcript:SECCE7Rv1G0506220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEQLLAYIYTCLPEPPVSDTARLAARRAAPYHGVDRISGLSDALLQDIVSRLPFKDAARTAVLATRWRRVWLSAPLVVVDAHLLDHWPPTRADAPAVTAAVSRALAAHPGPFRFVDLVSSHMDGYQAQLKRWLRLLAAKGVHELVLVNRPCPRQVPLPGTLFRMATLTRLYVGVWKFPDAACLGDAYFPNLRELGIFSVAMENGDIEAVVARSPVLEILNIQGSVKGLGLHLISQSLRCVQISDSVIENIVVVDTQLLERLILHKVRGSLNPASVLRTGIKIGNAPKLEMFGYLEPGKYVLQAGDTIIMPGMKPSASTMFTSVTILSLNVRFGVHDDANMVATFLKCFPNASSLHIRCEESDDPTGKFEPKFWEEVGPIVSVMLRIGVMTIREFRGEEGETAFLKYFFTNARALKYAAIIFPNPSFSSISKNYACSKANYLTSLKWATQGCGFMVYGSSDPEGGRPWCFKTGAEFSRDPFSW >SECCE6Rv1G0396880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:378102112:378104673:1 gene:SECCE6Rv1G0396880 transcript:SECCE6Rv1G0396880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYSGHEVSKEREFDLEASRRGKDYHHRSPSRHRDSDRRRDGGRSRDREPSNGHTRHRSPHLPPKSRPSGRREEREPGEVSSGSGSEESRGRPPKAREPDVNGVVGVSREGGVPSPSKKRKYSPVSLDRNASKPCVQDVGNPSMKEVGTVVANLPDVGNPSSIDLDALVDVQNVERLQEHGNDRVIMEEDAYPTMINIRTSRWADADDEEEIVSKKKKSVSPEQGSVKKVTSPELGKLMVDKDSNSVSSDSGVVQFSANRDLEVDKGDYMGIEKDAGDDSSALCVMHTDSESHGCSSRTPEPAGSPHRCINMLQGCRGVDEFERLNTINEGTYGIVSRAKDKKTGEIVALKKVKMENEREGFPLTSLREINILLSFHHPSIVDAKEIVVGSGDSTYMVMEYMEHDLKAVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWVIHRDLKTSNILLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKKPLFDGKRDIDQLSKIFRMLGTPNEDIWPGYSKLPGSRAKFAKQPYNRLREKFPAVSFTGGLTLSEAGFDLLNRMLTYDPETRISAEDALNHEWFCEVPLPQSRDSMPTFHSLNEQDRRMMKRMKSPDPLEEQRMKELGSIRDRGIFG >SECCE2Rv1G0068240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:22494611:22495766:1 gene:SECCE2Rv1G0068240 transcript:SECCE2Rv1G0068240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKTSSLSELGFDAGDASSGFFRAVADGCPLTPTSSSAPHRRLTKVSVIGAGNVGMAVAQTILTQNLADEIALVDALPDKLRGEALDLQHAAAFLPRVRIVSGTDAAVTKNSDLVVVTAGARQIPEETRLNLLQRNVALYRKIVPPVAEHSPDALLLVVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLDVSAQDVQAYMVGEHGDSSVAIWSSISVGGMPALKSLRDSHRSFDEAALEGIRRAVVGGAYEVIGLKGYTSWAIGYSVASLAASLLRDQRRVHPVSVLAAGFHGISDGHEVFLSLPARLGRAGVLGVAEMDLTEAEAAQLRRSAKTLWENCQLLGL >SECCE7Rv1G0455710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8125144:8128546:-1 gene:SECCE7Rv1G0455710 transcript:SECCE7Rv1G0455710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCMSARIPIFFLALFSSISASSPTPTNGNRSDIDLAALLAFKAQLADPHRILASNWTTGTSFCHWVGVSCSQHRQRVTALSLPGMPLIGSIAPHVGNLSFLSILNLTNTNLTGSIPAELGRLYRLRSLLLKGNSLSNAIPSALGNLTRLEFLSLALNQLSGQIPLEMLSRMHSLRAISLRSNHLNVQIPPYLFNNTPSITLIYFGNNKLSGIIPHAIGSLSMLEYFILEFNQLSGLVPQAVYNMSRLQALWLEANDNLTGKFPNNQSFSLPMLQFISLSRNKFTGQFPLGLASCRNLQVISLSINSFMDVVPLWLTKLPHLNALQLGDNNLIGSIPASLSNLTSLVILDMSFGNLKGKIPSELGLLQKLSYLYIGSNQLTGKIPASLGNLSRLSLLALETNQLSGQVPTTLENNVALDHLDLSKNNLEGNLEFLSALSKCRQLRFLDIQDNSFVGPLHGHVENLTSRLVNFNADDNKLIGGLPVAISNVSSLERLCLHNNLLTNRIPESITLMEHLVLLDLSHNYMLGPIPTQVGLFRSLERLFLQENKLSGSIPISFGNLSLLEYIDLSNNQLSSHMPVSLFHLDKLIMLDISHNSIAGELPADVSGIRQTYYMDFSSNSFIGNIPESFQQLIMLTYLNLSYNSFEGSLSGPLKNLKSLASLDLSYNNFSGIIPKFLANFTDLTTLNLSFNRLEGQIPESGVFLNLTLQSLIGNVGLCGAPRLRFPPCLDKTRSRNGHLLQILLPTLTLAFGAIAICVYLLCKKKHIKGEDKTSSDQTDLIGYRTISYQEIVHATNSFSEDNILRSGSFGKVYKGKLSTGLVVAIKVLDMQLENAIQSFDTECQVLRMARHRNLIKILNTCSNLDFKALLLQYMPNGNLEMLLHRSQGSWHLGFLERLGIMLDVSIAMEYLHHEHSELILHCDLKPSNVLFDEKMMAHLADFGIARLIMDEKSMICARMPGTIGYMAPEYGSFGTASRKSDVFSYGIMFLEVFTGRRPTDAMFSAELTLRQWVHRAFPTELVHVIDGQLLQGSSPSSYSLDDDLLVSVFELGLLCSTNSPGHRMTMRDVVVMLNKIKAEYIRRTSMMPPSDAQ >SECCE2Rv1G0110830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:694396221:694400970:-1 gene:SECCE2Rv1G0110830 transcript:SECCE2Rv1G0110830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAWRKVKRALALRLCVLVPAEGDGGATGDARPAASGAGGCRGEAAVTVGSAPEEPAAGGGALRRSKSGSRSSSKRKCAICFDSMRSGHGQALFTAECSHKFHFHCISSNVKHGNHVCPVCRAKWKEIPLNRSLSSHIPDGRRGINGVQLPQQDAYVALLHQVPNRQRGVRRLHTSEPTDFNDDEPLQQTEATDSLNSRSKRTAEISTYPEFSSIPQSSSQDGFSVLIHLKAPSASSGQATGRLVNESSVRSPSGRAPVDLVTVIDISGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSSARRLFHLQRMSHYGRQQALQAINSLGAGGGTNIADALKKATKVIEDRSYKSSVCSIILLSDGQDTYNLSSNVRGGSKDYSTLVPQSILNDTRRTLPIHAFGFGSDHDSDSLHSIAEASGGTFSFIEDEGVMQDAFAQCIGGLLSVVVQEMRLNMECVHPGVQLCSIKSGSYPSMMARDGRSCSVDIGHLYADEERDILLSVNIPQSREQTSLLKVACSYRDLTNETIKLQFDEVKINRPTSAISENVSIEVDRERNRIQAADSIESARAAAERGALAEAVTILEDCRRRLSESFASRSGDRLSLALDAELREMQERMASRQLYEASGRAYMLSGLSSHSWQRATTRGDSTESSTIVHSYQTPSMVQMLQHSQSHIPSPPGQQAQARPPRPSAR >SECCEUnv1G0547630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:172238315:172239608:-1 gene:SECCEUnv1G0547630 transcript:SECCEUnv1G0547630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVRSGKRKSRTECISYGLMDETDRVRRQYFENRIWKDDATCLNMLRLGRGPFFRFCQLFRDLRLLQDTIHMSVEQQVGTFLHTVGHNVRDTPAGEIFRITGEVVGRYFNKVVHAIGELRHDLIRGPSLATPTKIEGNHQWDPYFKDCVGVIDGTHVRATVTEDMEPRCRGRKTYATQNVMAAVDFDLRFTFVLAGWEGSAHDAQVLRDAIERENGLRVPQGKFYLVGAGHGAKPGFLPPFRGVRSHLNEWGNNLVQDEKELFNHRHSSLRTTTKRAFESLKGRFKILDEAKPFFSYPTQVDIVIACCTVHNWVINDGIDEFIIPEAECLANINHAPTTSAQANGLANVVSFRQEIANQMWEDRQNYLQHNSM >SECCE4Rv1G0230330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:162024478:162025511:-1 gene:SECCE4Rv1G0230330 transcript:SECCE4Rv1G0230330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKLGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPVSTGLMRCSKSCRLRWTNYLRPGIKRGNFTSHEEGVIVHLQSLLGNRWAAIASYLPRRTDNDIKNYWNTHLKKKLRKQQAMGAIFAAPPASSSGTAGDNFDHHNHHDMVSRADGYGAGGQAYINTEVSQLIAGRGHSPFADAAPEACSSSSYASSVDNISKLLGGFMKSSPPPPPHDNYDADDVKPLLPLDSMSGTGSAELSFTASVQQPALMEGRVGYEYDDETKQQQHQAPLSSIEKWLFDEAAELELSDECYSVPMLF >SECCE4Rv1G0255910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:651960021:651962354:-1 gene:SECCE4Rv1G0255910 transcript:SECCE4Rv1G0255910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSPALLISILVVLVATATTAQCRPQKHHGQASKTAFAPLTTVHAICSTTPHPASCLASAAVHLDAATAHLLASSITVPLLPANILSVALASLRGALSAVSSLSPVLSSTLSAPSSSTTPLRRGAAQDCLELHDATLSSLSRSASLLASPGEGLPSVRAHLSAALTNKATCLDGLAGASGPRIDGLLASLDDAYEHVSNSLSLVARSGGGGSAASFQATVAKIIHHNRRLLQDDEDSDGDDDDSSRNDDDDDDNSRNDNDDNGNNDGNNVNDRGETVITVAKDGSGNFRTVGEAVAAAPNNSAARTVIQVKAGTYVENVEVPPYKTNIALVGEGRDVTVITGSRSAADGWTTFRTATVGVSGDGFLARDIAFRNTAGAARGQAVALRVNADMAAAYRCAVDGHQDALYAHSFRQFYRECALSGTVDLAFGNAAAVLQACALVAGAPVPGQSNVLTAQSRGDPNQDTGFAVHNCTVEASPELLASGVRTRTFLGRPWGAYARAVVIESYLGPLVDRDGWTGWPGAEPGRADTVYFGEYGNEGPGAGTDARVGWAGFHEMEYDEAAQFAVDKFIYGDDWLAATSFPYDQGI >SECCE5Rv1G0307220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:107489207:107494458:-1 gene:SECCE5Rv1G0307220 transcript:SECCE5Rv1G0307220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGPLPKRTKFTAPKTQVVLPAPEALEAPGHGPPSSAHNQQPPPGVGEDEESADRISNLPDAILGEIVSRLPTNQGARTQILASRWRHIWSSAPLSIDCEGLAADNEVLAGIVSRTVSAHPPPCRRFCVPSCFLGDRASTVDKWLRTPALDNLQELEFWFKPYYRPQPLQQPPPSSMFRFSATLCVATIGNCNLPDSTVQGLHFPLLKQLGLEFFFRKGCSLHSLIASCPALACLLISHGFGFRCLRINSLTLRSFAVKNYRRNNDQLKELVVENAPCLQSLLHLDFDYGLHISVVSAPKLETLGCLSDGFYISKPDDLSRFVFGSTVIQGLRVDRLTTVVHTVKNLAVNMKILSLDTVIELMRCFPCLEKIYIESESKKEKNAGHRKHRNLTKCPNIRLKTIVLECYEGIKSDIEFASFFVLNAAVLELMTLQIGARDYNEQFLAEQRRKLQLENKASRGARFHFTTDKCARGVWDVHHVRDLDLTDPFVC >SECCE5Rv1G0330730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:532483698:532488088:1 gene:SECCE5Rv1G0330730 transcript:SECCE5Rv1G0330730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAAPISTSTAVPPHVHGLMPLNLSSASSSLRRSLFASLRKKISPLAPMASASSALSTAAPADNGAAKPAEQRPVQVAKRLEKFKTTIFTQMSMLAVKHGAINLGQGFPNFDGPDFVKEAAIEAIKAGKNQYARGYGVPELNSAVAERFLKDSGLQIDPDKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGAHVKAITLRPPDFAVPLEELKAAVSKNTRAIMINTPHNPTGKMFTREELEFIADLCKENDVLLFADEVYDKLAFEADHISMASIPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQSAAAAALRAPDSYFEELRRDYGAKKALLVDGLKAAGFIVYPSSGTYFVMVDHTPFGFDNDVEFCEYLIREVGVVAIPPSVFYLNPEDGKNLVRFTFCKDDDTLRAAVDRMKAKLRKK >SECCE5Rv1G0374970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:865693386:865694939:1 gene:SECCE5Rv1G0374970 transcript:SECCE5Rv1G0374970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQAARSAEKEEAHAACLPAPVSSVQAARSAEKEKAHAVCLPAPAQGHINPILDVAKLLHGRGFHVTFVNTEYNHARLLRSRGASAVAGVPGFRFATIPDGLPPSEDMDVTQDGAAVCKSIEETCLGPFRRLLAELNDPASGVPPVTCVISDSVMSFSIEAARELGVPYVQFWEASAASYLGLHYYRLLVDRGLAPPPKGALTTEYLDTPVEDVPGLRNMRFRDFPSLVRATDPDGDFMLQLALSQMERTPCASAVILNSFDDLEGEEAAAMEALGVAKVYTIGPLPLLLSSSAPCLSSGLSLSLSLWKEDHGCLPWLDAKEPGSVVYVNFGSITVMTKEELLELAWGLADSSRHFLWIVRPDLVKGETQAAAVLPPEFSATTADRGLVASWCPQKEVLAHPAVGAFITHSGWNSTLKSICAGVPVISWPSCGDQQTNCRYQCNEWGVGLEMDGQVRRDSVKGLVTELMEGDRGKEMRKKAQEWKEKAAKATTKPDGSSHRNFDNLLRDVLLPRP >SECCE7Rv1G0500070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:661536307:661536876:1 gene:SECCE7Rv1G0500070 transcript:SECCE7Rv1G0500070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEFNRSSLAERRIFLAHYQTITYSQTSCGTNRFHLPSHGKPFSFRLALSGILVIGSVGTGRSYLVKYLTKNYDFPFIKVRGLLIPQARKHLFILSYTRGFYLEKTMFHTKGFGSITTSSSALDLVALSNEALSISIPHKKSILEKNTIRLALHRQTWGLRAKVRSARDHGTLFYQIGGALVQNRLTK >SECCE1Rv1G0042090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:576607703:576610269:1 gene:SECCE1Rv1G0042090 transcript:SECCE1Rv1G0042090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAASSPAVPDWLNKGDNAWQLTAATFVGIQSMPGLVILYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGERLLPFWGKAGVALTQDYLVGRAKLSATERGSTPLVEPFYPEATLVLFQFEFAAITLILLAGSVLGRMNIKAWMAFTPLWLMLSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANITASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVVMGIFAGSVPWFTMMILHKKSALLMKVDDTLAVFHTHAVAGLLGGILTGLLATPELMMMESSVPGPKGAFYGGGIKQVGKQLAGAAFVIVWNLVVTTLILLGIGLFVPLRMPDDQLMIGDDAAHGEEAYALWGDGEKFDATRHDVSRGAGGEREMGTAEQRLAGMGARGVTIQL >SECCE5Rv1G0344370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:645036917:645038239:1 gene:SECCE5Rv1G0344370 transcript:SECCE5Rv1G0344370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKIVKPRYAEGTPRPDTTEHVPSSVFDKITYHIQMAIIYAFQAPAPSTEDIERGLAQVLAVYRLFAGQVCVGPDGAPGVLLNDHGARLVEACVDGATLVDFAPPKPSPVVLQLHPDLEGPVEEVVQVQLTRFACGSLAVGFSANHAVADGHATSDFLVAWGRAARGLDIVSGPSPPPHNHPDLFRPRDPPVVEFEHRGVEYYRPTASNPKQGGGGHHGADNVIIHKVHFTKDFIAGLRAKASEGRGRPFSRFETTLAHLWRTMTRARGLSPSETSTIRISVDGRRRLSAPPGYFGNLVLWAFPRSTVGDLLSRPLKHAAQAIHDAVARVDGTYFQSLVDFASSGAVEQEGLEKTAVLKDVLCPDMEVDSWLTFPFYELDFGAGSPSYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFQHNLDAFKQCCYSMD >SECCE6Rv1G0395600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:333641217:333642783:1 gene:SECCE6Rv1G0395600 transcript:SECCE6Rv1G0395600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRLSPRLRSIPLLLPGLDPAAVVHRRYSCGSSATAAARAMSSSSSSSSASSGPTPYTTLVGRVRCEREIKRSKFIAVAASVPDERAAMSFLNEVKDPRATHNCWAYKVGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASESLKDAPTCLVKPKARVGMEVPFDLLGTVYNQLQHFHAEDIKRDYDTGKDGTVVVMFKVEYEKIESLGSAVNSACSRKIELLQ >SECCE6Rv1G0409460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:589177752:589178378:-1 gene:SECCE6Rv1G0409460 transcript:SECCE6Rv1G0409460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKLPAAVLLSQVAKLGSLLILFLLVLLVPAFLRVPYCYLLFNAIVLALGIQAGLLRRGSTMTSSDPIADEDGHRSSRSGQDVTPSSIAASPFQRDRPVYPDDQTAVADDHAVPAFGASNIGDLKTKTKKVVLTMLMKKCPSAASIFFLSAMNGSRVSGDENACEEEQEDCKLDVDDGDATMTRQELFANTERFIGNFRKELRMQRQ >SECCE2Rv1G0085420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:191387719:191390080:-1 gene:SECCE2Rv1G0085420 transcript:SECCE2Rv1G0085420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAKQNGVATMAGSKVQLMSVKRGEPTLVSPAQATPTGEQYYLSNLDQNIAVIVQTVYCFKCPSGRGNEGAADALRAALARVLVHYHPLAGRLGISPEMKLAVECTGEGVPFVEAEAACDLTLIGDLSTPDPAALGQLVYSVPGAKNILEMPPMTAQVTRFKCGGFSLGLAMNHCMFDGLGAMEFVNSWAEMARGATELTVPPFLDRSALRPRDPPVISNPHHEFEEIADVSEMAALYGGQELVYRSFCFDPDRLERVRGLALADGDLERCTTFEALSGLVWRARTRALGLAPEQQTKLLFAVDGRCRFVPPLPKGYFGNGIVLTNAIATAEDLLSAPVSRAAGKVQEAVGMVTDEYMRSAVDYFEATRARPSLASTLLITTWSRLAFNGADFGWGEPTMSGPVTLPEKEVILFLAHGKERKSINVLLGLPASAMDTFQELMDEI >SECCE3Rv1G0178890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:518696721:518704938:-1 gene:SECCE3Rv1G0178890 transcript:SECCE3Rv1G0178890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRHSKVEDEEAVRRCRDRRNLMKQLVRRRVDLAAAHITYLQALRNTGATLRQFAEVETASSHQSLPVAASPPPPPPPPPPPPPPPPPPVYSVTSSMPPYSVTSSMPPSPLPPPLIPFSPIRIRTRGKRDGELDGDDSTDEDDDTDSCSTPLPPPPPPGMEWEYTDPITMRPLDFLPSSLADRDDKEVESQVTMDDDWVETNIEFDGQDESVSGNADGVLRRVELHPAKSRVMGDENSSMVSSWVTKDSNSSVMAWVSDKSLVEIAKEIDEYFLKAAASGTDVVILLDSATGRLDPSEVQVKKGKNSKSAKVFSTRSWSWSFKSLHANRESSSDACGYGYHGKTLDKLYDEEQKLYQLVKDEEFARLEYRKYSSLLKKLESGEHDRLHAEKVRENIEELQTRIMSLEEAVSLTCLTISKLRDEELYPQVIELAAGLVHMWRNMYECHQVQNHIAQQASLLGNQPGSEPTTDSHCYATSQLEGQVSAWHNSFCNLITLQREYVTILNQWIGLTDCLPDNDGFMRSSSGIRSLCGELEHTLEKLPDKVAAEAIKAFLSVIHSIVIQQAEERQLKKKSDNIESKFHVQLEKHSENAMHSASQGSHARSYSVSKDDPKLDVFRKRVEEEKARYMNSLRTSRAMTLNHLQTSLPNVFHALTGFSRVCVQAFEGISRCNEAAVVAAGSHSGAASPAVSSCDDHPL >SECCE3Rv1G0179920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:555392363:555392725:-1 gene:SECCE3Rv1G0179920 transcript:SECCE3Rv1G0179920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLISKVSGAVAASARSVSCATRRLLLRGLRSRRSNGQKKERQAAAAATDAVCEDDSGALWRREILMGQRCEPLDFSGAIHYDSQGRPIWQPRRRAMAAKMLCRSSNVVDKAVVACPRK >SECCE7Rv1G0467550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:89304565:89306292:-1 gene:SECCE7Rv1G0467550 transcript:SECCE7Rv1G0467550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLAQRGRHSPPALVDDAMREIFLRVPADDLKTLVHAAAVCTAWSHILSDVIFTREYRAFHGAPPMLGFLHNTHHERSWGKGRNRRHEEYLVSNFVSTASFRPPACHERRHWRVLDSRHGLVLFHTPKREEDFVICDLVTYDWWMIEAAPDCAEIIWNRQDEDHDDDDEEEEVEGVTWNAAVLCAKDRCDHLYCHGGPFLVALVGSDEEQEITFASVYSSETDEWSDMIFIEEPNAIEMTGHIGVVGNKVYFQCKDNQSVVEYNMGEEELSVIDPIFEDDNKDIPAIELLGTEDGMLLFAAVLEPKLYLWSMEAGSNGAAPLARRRVIELAPLLPSRALLDVSVVGFKEGVGLIFLNTEAGLYTIELNSGRSKEVHRATSFKRVMPYMSFYTRAWGRMPTSD >SECCE4Rv1G0259850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:690750100:690755441:-1 gene:SECCE4Rv1G0259850 transcript:SECCE4Rv1G0259850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSVGETLASAVVKEAVNMIRVAAEREAGLLWSFKDDLGAMGDTLETINAALRDADAARGPAPARTDERTRLLLGRLKAAAQDIEDLLEEFADAGARGRRINWLREGSRCCYNISLSRSILAHKMKRMRERLGRIQAYAGLARSHGGTSSNLHDQDDDISRRETWSDFREYIVGREEDKAAVVSALLSHTEKELSVVSIHGFGGLGKTTLARMAFNAEPVIRAFNCRVWVHVSMKYDLEKIVKSILSKVKANCSGDLDDLKTQLKELLKDKTFLIVLDDIWEEDPHELAKLMDFLNVGAKGSMVLVTTRYEKIAMNMDPVLCHRLESLSDEECWDLFKETAFVSEAKEARLQHIGRDIVKKCKGVPLAVRSIAFMLKLKEGVDEWEDIRDSHLWELEEKQDISFESALPSLKLSFQHMSATLKLCFVYCSVFPKGSYIDKDLLIQQWIALGIIRLNESFHPERRGEEYVKQLLGMSFLQISTTQTPITRKCIEAPNVFRLHDLVYDLARSLAMVDLLFLDTESNLKVSSTHSDCRYAVLTNCNPTTLPNNMMRKLRAVHVNEWNQEKIPNKIFATKFLRVLNLSGCSLLVLPSCIKQLKLLRYLDASGMQDKTLNHLSSLQNLQALKLSGSLIGALPHDMGSLEKLRYLNLSGCSNLLVLPESLCSLGNLQYLDMSNCSAIKELPPHFGNLFSLSTLDLSSCCDLTKLPESLGSLQKLRVIDLSNCWKLDALSDSFAKLDHLKGLNLSCCYELKELPELFGNLETLDLSDCHKLQKLPESISGLQNLKVLILSDCWELTVLPESFGNLKQLQYLDLSSSQIAALPESVSELVNLEYMNISWCTDLHKLPGNYGNLVKLEELNMSYIGNGNVNIPKGIAEMSNLKILLADGLINWSWENEEDIVFFNALSSSRSSFFFTGENKPIDLSIKDNTLQVGDLGRVRNLAEVEELQLWKRRQLHSLMVSGHGYGTGTELAQELVPQEAVLEKLQPPRTLERFELLGCTISTFPSWMTDIASFLPNLAHLELRHLETCGYLPPLGQLPKLHMLVISDMPHIKEVNQEFSGGARPFQKLRNIVIENMPNLEKWHTDATVSGNDVEFMFPVLHHVKIQKCPKLRFQPFLPRSVSWEIYRSNLVLSSGVQPNGHLYYMPTIRMLIDSCTLSSDGLMGLQSLTSLKFLGVRHCIGLDNWRDSIDMLTSLKSLDIAMSNVPECLGGVTSLECLKIHNCPVNYNTLEQVLHLTALVDLSICCSQPTKLVNEQEVNWLMNMPSCLKNLVNLELANFIGCDQLPPLGCLEKLRSLTLRQMPDLKTVHQDISGGSNCLPMLQTLKIGSMPNLERWTTAHATAAHATHSAQSALSV >SECCE5Rv1G0304890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:63318169:63318912:-1 gene:SECCE5Rv1G0304890 transcript:SECCE5Rv1G0304890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMSYVHADVENLMATELRLGLPGVEEADKMPSPPSTPRAGSKRALAGEHREEEPKTAPPAAKAQVVGWPPVRSYRKSCFQQASSKTKAAAPAPVVVKQEEAAVAPAPPSAAAAGGSLYVKVSMDGAPYLRKIDLKMYKGYRELREALEAMFLGFSGDAGSVNPSDFAVTYEDKDGDLMLVGDVPFGMFMSTCKRMRIMKGSEARGLGSSKE >SECCE2Rv1G0108620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:666263408:666268693:1 gene:SECCE2Rv1G0108620 transcript:SECCE2Rv1G0108620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSKTMLSKKGPLGAVWVAGVCGVAALTRDQVLRTDVASSVDKILPDVETTYRILGLLLLGVVRIYSKKVEYLCYDSNQFFQSTVRGKKVLKRGKKGACARRLVLDQEDTRRAKRAALVQVPEVDEVADLPPIFTMPKRFELDSFDLQIPEDREDDNDDHHQLPRQDTLLGDEHLRMPNLYESYQMMPYADLDSAYVVPVCIIPTEMISVTDEVTDLLYPSNIEDELENENQNADPACFTPVKDVLPPEAMDMMAEVSGLPEKSKEANKARREVNGEENGDPACSNPLPESPQVQRPVNAVENVVCADLDENHPVVEESGNGLVVGKPNTTASVEIPEIEEQESLEPSTPEPLPEGASGLPEKFMVATPAQNEKRQVTRKRRRGLYNKRKVWVLWDENVVLDNDTMREMVDGVGVEDLVCKRRKAPHTLHQIWREPRFRSLQDTFMEPYFQYPTTVPLNSTTADASRGEFVKAKKCLSYEPAESNNPHKEAANEETERVPDELTNGIQTPVGCYNQSQQSQDVCECNVDTPYEKNSTLVNGESGLLEERLHESTSHSALRNESLTADIDADIPMDEEHAARDEVFPLSTRTRAVAKCLHQLFLDQKCQQQSNVPVTLGQALEGSKRKTTARFFYETLILKSRGLIDVNQEKPYENITISATPQLDAVLQNPVPPSTS >SECCE1Rv1G0018990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:215658557:215667749:1 gene:SECCE1Rv1G0018990 transcript:SECCE1Rv1G0018990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDKGKTSKKAAKGSPSALAVPDGRASSGPQRGRLSNGRTTGPARRSTKGNWTLEEDDILQKAVEIHNGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDDVIIQMVKKYGPTKWSTIAQALPGRIGKQCRERWHNHLNPGINKDAWTQEEEIRLIQAHRIYGNKWAELSKFLPGRTDNAIKNHWHSSVKKKFESYRAEGLLAQFQGLPTIVYPTGSLNVDSSSAMAQQNSDGGGLNFNQEVEDSSEFSQSALANVSSQVEQVDEAVGCHGQIHSSDGAHDSYSSCQEACDTKANDAASTSPETRHQLSISENDPDIHWQQDLSVADFDLQSELWQDISYQSLLTAPDSISADSFFSPNYQHSACSSEVANNFEEPLYPLHTYSSSMTGTVHHRSSAASIPPSFICSGRASEIVSSQLPIVPECKEQQMTSVEESLPIVPESKEQQLISVEESQPLESTTMGREASPNHGKSVVGQKQHSEALCYEPPCFPSLEVPFVSCELISSSDLPEYSPLGIRQLMRPSMSFSTPVRLWSSPTRDRSPDDVLRSAAKSSLCTPSIMKKRQREPPSPIPDVRLAKKMGTEKDCGSSAMPSTRTERSCKDATQDDSLDLIPENLKDTIYQGKTEDNANINTLEKGERCSTTERDTAYNLVSAGILTDRNGPNPERRKLNTPTKSFSKDIISSRSKPTELLVEKSSVYINADYEYVNILADTPGIKRGLESPSAWKSPLFTNFQDGFFMSPTGTTFDALGLVKQINHQNAPALEEEHELLESGGLCNEESSDKENIKHIVIKKEHVITNQTSNVMSEARILDFNECTTPVKKKEDNRCSTLGRSPTSSYVFKNVR >SECCE6Rv1G0451380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872366269:872368533:1 gene:SECCE6Rv1G0451380 transcript:SECCE6Rv1G0451380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAAGAGEEEEVYCAVGKEHWNWKANLRWVLANFPGRRLVLAHVHRPPHRINMMGAWVPASQVGAAMVVACRKWEEDEASEALDHLLRICKAHQVLARKLIVSGDDVAGGLVQLVAGHGVGELVMGAAADRSYSRKMRAPKSKKAAAVLLKADPSCRIWFVCKGKPVCTREASGEGLMSRGEPSTASTSPRPTASDCSISASSSHRHGDDAAEPGGIHHSTTPPQHHDSGDAREMDDALTEKLKDEDARRPPEAAVESMRVTDGDQAVGVLRFGLPELEEATGRFEESARIGIAGIGRAGVYKGSLRGMSVAVRVISPDAAVGEARFARETDAIGRVRHPGLVALVGACPEARAVVHELVPGGSLEDRLAQGGDGTPPLPWRARCAVAYQVCSALAFLHSSAKTVHGDVRPKNILLLDDDEGEHLVTGSKLAGFGMRGLVSEQQRAGREALAYVDKRYVATGEPTSQSDVHALGLLLLRLVTGLPARWAKKAALEAAAGGGRAWHQVVDTSAGGWPTELATEVALLGLRCCAVSDGRVPCRPAGELLVEARAVLEAAMDAAPGRAWSSSLLSSSETEASRGGGSERHHHQQQIHRIVDAAAQ >SECCE4Rv1G0286080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:847942896:847943204:-1 gene:SECCE4Rv1G0286080 transcript:SECCE4Rv1G0286080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWLRKETAEAYVLAADAGDRGKVPKGYLPLALVGEDGDGDERVVVRIGALKEPCMAALLEMAAEQFGYGQPGVLRIPCDAQQFQRMVAAMCSKEQSHIAG >SECCE6Rv1G0403320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:501107736:501109220:1 gene:SECCE6Rv1G0403320 transcript:SECCE6Rv1G0403320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTASYVVVALCLLVLVAEHAEARQPRLVPAIFVFGDSTVDVGNNNFLGGTRKEGRANFPQYGVDFPTSKPTGRFSNGFNTADRLAQLLGFPMSPPAYLSLTRRTIRPQMFKGINFASGGSGLGDHTGRLVVGEVISMTLQVETFATVVEHMYQSAGSKRTASFLSRSIFFISTGSNDMFEYSFSRSNDRKFLAGLVASYKYYLKALYHLGARKFSIVSIPPLGCTPSQRLRRLEQMGTQGCFDPLNDLSLESYPLLAAMLEELAHELPGMAYSLGDAYTMVSFVFANPQTNDWSFTELEAACCGEGPFGASGCNQTVPLCGNRDNHLFWDANHPTQAVSGIAAQTLFVGNQTFVNPINVLQLANM >SECCE7Rv1G0475980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:195557094:195557776:-1 gene:SECCE7Rv1G0475980 transcript:SECCE7Rv1G0475980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPIVHPGAAPAGNVEMGHQAQAAAVNPPPPAAHDQPAVNQQQLGGQGPNTWVGNDANTLLVVATLITTLTYQLGTNIPGGYWQETKSEGGKEIRAGDPIMRDLHRPRYWLFMAASWVGFASSMVMTLSLLVRMSVDSRHVRWSFVVAYSSLVLTFVVSQPRTHLSMDILVWAGVLAFLWLVISVHPEHRTRIVQALCCSHRN >SECCE1Rv1G0054120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673189585:673191003:-1 gene:SECCE1Rv1G0054120 transcript:SECCE1Rv1G0054120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQEGAMPAAAQPHVLLVSCPLQGHVNPLLRLGRRLAARGILVTFTTVLRGAIHRGEGASVGGVRFEYHLRDDYSSEDQTMTPADMLRHVAAEGPAALADLVRRQADAGRPVSCVVNTTFVPWALDVATELGLPCATLWNQSCAVLSLYHHFYNDDAPFPSAADDAPVALPGLPAMSLDELPLMVRPEFAHNLWGQMLRRQLVEVRGRQAPSWVLVNTFHELERDAIEALRARAVAVTPVGPLLDDDEPAVADDDGCVMAWLDAQPARSVVYVAFGSLVDIGRDETAALAEGLAGTGRPFLWVVRDDLLHLPELVLAACRGNTGKIVAWCPQGRVLRHGSVGCFVTHCGWNSVTEALAAGVPVIAYPWWSDQFTNAKFLVEEYGVGVRLPAPVTQDALRACVDEVMSGPEAAAIRMRATAWKEEAAEALADGGSSDRGLEAFVDFLRAPVGSGAVDTISSPAKQGSSVSSL >SECCE1Rv1G0032240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:452616521:452620855:1 gene:SECCE1Rv1G0032240 transcript:SECCE1Rv1G0032240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMENVIVLVNRIQRACTVLGDHGGDGAAASLPALWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEVGEQEYAEFLHAPRRRFTDFALVRKEIEDETDRLTGRSKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVATEGQPESIAQDIENMVRLYVEKPNCIILAISPANQDIATSDAIKLARDVDPTGERTFGVLTKLDLMDKGTDALDVLEGRAYKLQHPWVGIVNRSQADINRNVDMIIAREKEQEFFDSSPEYAHLAGRMGSEYLAKLLSQQLEAVIRARIPSITSLINKTIDELESEMDHLGRPIGSDAGAQLYLVLELCRAFDKIFKEHLDGGRPGGDRIYWVFDNQLPAALRKLPFDRHLSLQNVKQIVSQADGYQPHLIAPEQGYRRLIESGLNYFRGPAEASVDAVHNVLKELVRKSIGETEELRRFPTLQAELAAACNKALENFRQEGRKTTVRLVDMESAYLTVDFFRKLPQQVDRAGTGNPVHNAGSGPSTPVDRYSDAHFRRIASNVSSYIGMVSDTLKNTIPKAVVHCQVREAKRSLLNYFYIQVGSKDAKQLALLLDEDPALMGRRQQCFKRLELYKSARDEIDAVSWSR >SECCE3Rv1G0194420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:780940271:780946608:-1 gene:SECCE3Rv1G0194420 transcript:SECCE3Rv1G0194420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFSCPASRKLTWFLALLFIMDRVYGQSTSGFISIDCGNSSTYNDSTTGIQYQSDVGFVEGGLSYNISAEARVANEQQKTLRSFPEGSRNCYTLPSSIGKKYLLRATFTYHNYDGLNRTMDGSPFLFGLHIGVNFWEAVNLTNWTGSLMKEVLTVAPGDSVSVCLINFSTGTPFVSSLELRPLQDAMYPFVNSSVSISYFRRIRFGNITDHITRFPTDDYDRFWESFSSSGGFLSRATSNEVRSIPGDDDFKVPQAILQHATTIDTNYSYFSLKVSAGPNQDQQNLQLLPIFHFAEIVDNSPNRTFDIYSNDELLFPNYSPPQFQVRSMHEINYMKQVKKHYNLARINWNGDPCSPREYSWKGLTCDYPKSDQNPRIVAVNLSTNGLKGGLSISFMNMSSLENLDLSHNNLTGAIPDYRIRSLRVLNLSNNQLDGPIPEFVLQGFHAGLIDLRLEGNPICSKVKDTYCSNKNMMHIVLTAVIVPVVVVSLLVVMCILWKLCWKGRSGDHEDYAMYEEETPLHIDIRRFTYAELKLITRDFQSIIGKGGFGVVYHGTLENGDEVAVKVLMETSIAESTDFLPEVQTLSKVYHKNLVTLQGYCQNKKCLALVYDFMPRGNLQQLLRGGDGYSLNWEQRLHIALDAAQGLEYLHELCTPSIVHRDVKTPNILLDKNLVGVISDFGLSRSFNDAHTHISTVAAGTLGYLDPEYHATFQLSVKTDVYSFGIVLLEIITGRPPVFMDPQTVHLPNWVRQKIANSSIHDVVDKKLLDLYDASSLQSVVDLAMNCLESAAINRPTMTEVASRLKVWLPAVSGAPQRTDSMDTEIPRQFRLMISRARNEGRSFQSGYTNGMSQTSLFSGR >SECCE5Rv1G0339790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611044271:611044767:-1 gene:SECCE5Rv1G0339790 transcript:SECCE5Rv1G0339790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKDFYRQKKKGGVGKTSASSKKKTQNYTGGASVGVSNKAQTAALISHGSLDLKDDFSEQEEQLRQFDMDMKFGPCIGVNRLQRWERASAMGLQPPPHLRDLLACASSGNNRNNGGPSPECLWEGKI >SECCE2Rv1G0077140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:97272724:97273680:1 gene:SECCE2Rv1G0077140 transcript:SECCE2Rv1G0077140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTPPSNPAGKVPKSYRRGRPKRARVGDGSSSAAESTVHVPAGEWRDWASLGSGPACLIADRVLARDVADYVRFRASCRAWRRCTADPQADALDPRFHPRRWTMLQDVVTRGLRYRYSRFKNASTGEHIRMTIRGLNGRDGRTHLARTAQGLLVLLNKKTDAVFVVNPVTGARADLPPVTTLLAGYRRSDVFPRWPREVHFGAQLTDDSAVVINFGWGGLLGVARPGDEQWAKVECQHRTRGPVSWFAGRVYCVVGRTAMVVETSPDHPPRLAKAPHNPFGSLP >SECCE1Rv1G0030960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:437422539:437424772:-1 gene:SECCE1Rv1G0030960 transcript:SECCE1Rv1G0030960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQELDRVVSLPGAPSYSYAFKHYSGYVTTDEHLGKALFYWFFEAMEKPDEKPLVLWLNGGPGCSSVGFGQAQELGPFLVKKDVPELELNPYAWNQAANLLFLDSPAGVGFSYTNTSFEIDPPGDNSTAHGSYAFLVRWFQRFPQHKMKEFYIAGESYAGHYIPQLANVIVEENKKGSEENYINFKGILIGNAYMDGDTDLRGIVDSAWHHAIISDTLYETYQRSCNFSAEILSRDCEVALGEFTVLNNLIDIYSLYAPNCERGYPDFNTSFSPQIGRTTSRFDLLKIPMGYDPCTQTYATEYLNREDVQRALHANTTGVSYPYSLCRDSINGLWHDSDMTVLPILKKLAQEGLRIWIFSGDTDGRIPTTSTRYTLKKLGLPIKEDWSPWFTHKQVGGWSVVYDGLTFVTVRGAGHMVPTSVPEQALELFTHFLANQNLPSKPF >SECCE1Rv1G0036830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:512660629:512662004:-1 gene:SECCE1Rv1G0036830 transcript:SECCE1Rv1G0036830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLNNPLSGGCAFPSVAAAMRLPAASLCTSACRSRRRWPSLARAGSDGSDGAVAGAVTEGEAGVGTGGQRGTSAEPSAVKQQPVVNPKIEKELKKAVQKTAATFAPRASTKSKNPAVPGSTLYTIFEVQAYASMLAGGALSFNLVFPSSEPDIWRLMGMWSIWMFTIPSLRARDCSNKEKEALNYLFILVPLINVIIPFFVKSFAVVWSADTVAFFVMYAWKLGWLQKSE >SECCE3Rv1G0205110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899041594:899043870:1 gene:SECCE3Rv1G0205110 transcript:SECCE3Rv1G0205110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRAPHPPSFPGAGAASSNPRCRFDAAWRALGRRGAVVAAALGRALGALLAFVFAVVGSLVGIFIGAFMGMSTESGMLRGAGVGAVSGAVFSIEAVESCIEIWRSSESGKYSFLFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLMSTPFIDNNDLFETGCTGGMSRALINKIPAIRFSAATGSAQETDKTCCSVCLQDFGSRQFVRALPQCRHIFHVRCIDDWLQRNSSCPLCRGGVHIDHLCL >SECCE1Rv1G0039420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:548039492:548041657:-1 gene:SECCE1Rv1G0039420 transcript:SECCE1Rv1G0039420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 [Source:Projected from Arabidopsis thaliana (AT1G08460) UniProtKB/Swiss-Prot;Acc:Q94EJ2] MDSSSSSVPAAAEGTPPAGENLAVFWHEGMLAHDAGRGVFDSGRDPGFLDVLDHHPENADRVRNMVSILRRGPIAPFLSWHSGTPAHASELLPFHSPEYIEELVQANASGPKKLCEGTFLNPGSWGAALLAAGTTLSAVRHILDGHGKIAYALVRPPGHHAQPDHADGYCFLNNAGLAVQLALDSGRAKVAVVDIDVHYGNGTAEGFYRTDNVLTISLHMKHGSWGPSHPQSGSSDEIGEGKGLGYNLNIPLPNGSGDKGYEYAMNELVVPAIDKFQPQLLVFVIGQDSSMFDPNGRQCLTMDGYRKIGQIMRGLANQHSDGQILIVQEGGYHISYSAYCLHATLEGVLNLQAPLLDDPIAYYPEDEKYTMKVVDVMKKCWKESIPFLKDI >SECCE6Rv1G0411200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606115818:606116300:1 gene:SECCE6Rv1G0411200 transcript:SECCE6Rv1G0411200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYYHGDRYGYGYSYSRQQLAPSPSSAASFHMCLFLATACLLGAASIYSHCESAMESLVDQLRVAVVLSPFVLLLAAQYWPATGRRWRSSSYSSSSLLASPPPVVSWEQQPPWYDQRQRDGGASSPWGVALALALVLLLISYQSCFQYWWSPVVRRRR >SECCE6Rv1G0422910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692712365:692714701:-1 gene:SECCE6Rv1G0422910 transcript:SECCE6Rv1G0422910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDRLNSPSTSAITLELMGHRLHISQDPNSKHLGTTVWDASMVFAKFLEKNSRKGRFCPSKLKGKRAIELGAGCGLAGLGMALLGCDVVTTDQVEVLPLLMRNVERNKSWIAQSNPDSGSFGSVTVAELDWGNKEHIRAVEPPFDYIIGTDVVYSEHLLQPLLETIIALSGPKTKVLLGYEIRSTTVHEQMMEMWKINFNVKTISKSKMDAKYQHPSINLYMMDLKASSVPEAGGPNGNGNTEEEEDDDASNPGEDEDPGVKTEPCTASKEDMDDWEIRRSGAMAARLLKDVRLT >SECCE1Rv1G0043540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:594018937:594022721:1 gene:SECCE1Rv1G0043540 transcript:SECCE1Rv1G0043540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAMASQSQAGGGDGGGYAGPGQRGQAQGLARQGSLYSLTLDEVQSQLTEPLLSMNLDELLKSVFPDGVDPVGGVAGQSEPAPGLHRQGSITMPPELSKKTVDEVWKGIQDSPKRSGEEGSRRRRERQPTFGEMTLEDFLVKAGVVAEGHLKDSIDLPANIGAVGSSVIAADVPSLNPGAHWLQQYLEPQHPSMAGPFMASHLGPQPLSVATGAIMESIYPDGQIASPMLDALSDPQTPRRKRGASDGVTDKVVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLKKQKELDMMITSAPPPEPKYQLRRTSISCPRLCQCV >SECCE5Rv1G0317250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:338518610:338518861:1 gene:SECCE5Rv1G0317250 transcript:SECCE5Rv1G0317250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVINSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >SECCE5Rv1G0311050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:185232693:185235220:-1 gene:SECCE5Rv1G0311050 transcript:SECCE5Rv1G0311050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFSEDILADKLAKLNNTQQCIETLSHWCIYHRKDAELIVQTWAKQFHNSGNEQKVPFLYLANDILQNSKRNGTEFVEEFWKVLPTTLKDVAEKGDDRGKKTVSRLVDIWQERRVFGSRAGGIKDVMLGTAPLPVLDMTKKRSHSSSIKIVKRDSRSVKLRLGVGGTAERIVSALHTVLSEQADEDADLENCKTSMRHVGKMEKDVDSACSKAEDPRRETLCTKLKDEEATMKKCIEKLKAVEENRAAVVSELKEALQEQESELEKVRTQLQLAEAMVEETANMQRKLNNEPIIPSSKLASSVEPGNSLSNGQVKGQQKTAAAILADKIASSSNSQQILQSALSKFAAENSSEIRSDKRMKVEQSSQVPSVANAAAFVPMPPMVTTAAQQPQTILVQQTPVQGQASAPQPQYNIYQAPPQHFVQQPGGLIMGMPYSMNTMNPPPPPPPPQMMNLARAQTQPQPPTQQMLQQQMQMNVAPPMQFTLQQPSAPPFRPLQPPPGMQFFHHQSQ >SECCE1Rv1G0047370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:624850838:624851194:-1 gene:SECCE1Rv1G0047370 transcript:SECCE1Rv1G0047370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISVTTVGFFAAGPSPAAGLPQLRVARAARLRCSYSKDHKMKMSSALAPLVAKGAPLLVAAPALVEQVDERMSAERTWLSDSLLGWILLGAVGLVLSFHGVYSYILDDGDQSGITL >SECCE2Rv1G0074300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:75357151:75358476:-1 gene:SECCE2Rv1G0074300 transcript:SECCE2Rv1G0074300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLYACKLCFLLAMLNSASHAVAGNVAPPTPSAGFSLPIVSNHDTTSRLGKFGANLTSIGPHIAPVGWPLYGVLVGVGSGQTRHFYKLGLDLVGNLTWMQCKPCVPEVRQEGAVFDSAESPRYKHMKPTDPRCTPPYTPSGRNRCSFYTTTWNVAAHGYLGSDTFAFAGSPGAGGHNRDIDNLILGCAHTTDGFERLSHNILAGVLSLSRHPTSFMSQLTARGLTDSRFSYCLFPGQSHPSARHGFLRFGRDIPRHEHMQSTPLLFTAPVYGQTSMYYIRVVGISLNRKKLNGVKMIMFRRDRQTRRGGSVIDPGTPLTRLVRAAYDVVEAEVAADMHKQGARRSPVPVQGYHLCFGSWSHVHFPSLTFNLYEDATRLFIKPELLFVRVNHHLCFTVVPDEEMTVLGAAQQVDTRFTFDLSGSRLYFAQEHCSADTRATV >SECCE5Rv1G0297550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:3449620:3452829:-1 gene:SECCE5Rv1G0297550 transcript:SECCE5Rv1G0297550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVETHRRPHPPAMNDNQRSHRFHHPTVWGDFFLGFRPFTPTQCLSMKNKAEVMKEELMATIVDSGSVDLPRKLELVDTLQRLGLDYHYGKEINDLLCGVHDAGDEARDLHTEALRFYLLRKQGFNVSPDVFLKYIDDEGKIICNDTRSLLGMYNAAHVRTHGEETLSSAIAYAKGHLQRAVEQQTITPSILLDQVRRTLETPLFRRPRRVEARHFISVYERMSTRNEAILELAKLDFSILQALYCEELRALTLWWKGLQLQDNLSFARDRMVEMHFWMLGVLFEPQYSYGRIVLTKFFTFISIFDDIYDSYSTLEESKLLTMAMERWDEQAAADLPGYMKFFYRKVLATMKVIEKDLDNQGNKHADYVKKLLIDATNCYYNEVKWREESHTPVTVEEHLQFSVPSSCCMHVACLAFTVTGAGGDAIQWGMTYPKIMRASCVIGRVINDVASHEREQEQSSGERHVMSTVEACMEENNYTTKEDAYKKLKELIEESWMDIIEELVKPAAMRPAAPLLEAVVNSTRMLDFLYKDQDAYTDPRALKVVVDSIYVNPI >SECCE2Rv1G0097610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:464236819:464248790:-1 gene:SECCE2Rv1G0097610 transcript:SECCE2Rv1G0097610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESVPPGMLSVRVLRGVNLVNRDADGSDPYIVLELDSQKVKTNVIKKTVNPVWNEDLTLAVTNPTTPIKLEVFDKDKFSKDDKMGDAEVDLEPLLQMARMDLEDIRSGTVVRTVRPHRGGVGAGCCLADESSIVWEEGQVVQDALLKLRNVATGIIHLQLRWVKIPSL >SECCE3Rv1G0205200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899448070:899448915:-1 gene:SECCE3Rv1G0205200 transcript:SECCE3Rv1G0205200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSSQPTLNGGVTEKLSRTNYILWRTQITPQLRGAGVYHYVDGTSTEPAKTHVTKDAAGKETEGPNPLHPLWVKEDQQVLGYLLQHLSKEVLVTVTVITTARELWVALASMFSSQSLSRVNNIRMALINAQKGNQSVSSFFANMRGLADELAAAGKPIQDDEFISYIIHGLDQDYQPLVSALDARVTPVTLDELFAMLSNFDQRMAQVHGSGGGFKSSTNSVSRGRGGGSRSRSSSRGMGRSGGGGYSNNARGGGRSGSSKGRRGGGSNRSRPDLPR >SECCE5Rv1G0350980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:694967386:694968129:1 gene:SECCE5Rv1G0350980 transcript:SECCE5Rv1G0350980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKLLNCFVSPFGNRVRVALAKKGVEYEETAENMVSKGPLLLSSNPVHGKVPVLLVDGKPVCESLVILEFIDEAFAGTGEQLLPADAYARANARFWAAYVDAKMPECAGKIWKVPKGAPAVEEGKKEMVGVFKTLEGELGEKPYFGGDALGYADVALVTFTPWFLTYERLAGFSIAEECPKLTAWAARCVAENECVAKSLPDAEAVYQFVGGMRKHFGLE >SECCE2Rv1G0119110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:788342564:788345062:1 gene:SECCE2Rv1G0119110 transcript:SECCE2Rv1G0119110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCQTMLPFLLFALSIPPFSQAWSVDYPSPIANLPSQWTNNNATIPYNATYADGSMIRAILVRQNPVGYAPSFACGFICAAPCDGAFLFAVFSVSIGGSSTSAPANATAAPRVVWAANRHHPVKENASIQLTKDGNLILRDFDGSLVWSTNTLRGPVVGVNLADTGNLILFNVMGKTVWESFEHPTDTLLIGQSLRQGKRLTAASSNLTQGQFYLTVLDHGLYAFVDADTPQPYYQKKFNVTDAVLRSKLNISSGEEKNGTAYISLLQGSLSAFASLSSTRIKLFNISLPWPSSAQLMSLEGDGHLRLYGWNGLSWKSLYDVLNVDPEECAYPTVCGEYGICSEGQCSCPTGNPGDALFRQLDDRLPNLGCSPATPLSCDLIQHQLLLPLPDVTYFNFAHDWTTDQESCMGACLKACSCKAVFFRHQNDSYGSCYLMPKIFSLMHYEPGTIGYNLSAYIKVQMLPPPPSSKGLNATAYHVGVPVLVVLICVLLLFIKKAIAKRMQEDDPFKGIPGMPTRFSYKQLKEATNNFSKKLGQGGFGPVYEGKLGSVKIAVKCLHDIGHGKEEFMAEVMTIGSIHHINLVRLIGYCSDKLHTLLVYEHMSNGSLDKWIFRKNQSDSLSWTSQYRIILDVAKGLAYLHEECRQKIVHLDIKPGNILLDDKFNAKISDFGLAKLIDRDQSQVMTKVRGTRGYLAPEWLTSTITEKADIYSFGVVVLEIVSRRKILDDTKPEGSTNLINLLQEKIKIGQVMDIVENQNEDVQLHRAEMIEVIKLAVWCLQRECTKRPAMSQVVKILESAMDTEASSETTSRDDICDASSPLSPVPVSAR >SECCE4Rv1G0284960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841461496:841462457:-1 gene:SECCE4Rv1G0284960 transcript:SECCE4Rv1G0284960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATASAVRLHAAATRRAAPRRPARFAVRADAARAATALTQDDLKRLAAVRAVEQVQSGMVLGLGTGSTAAFAVAEIGALLASGKLSGIVGVPTSKRTFEQATSLGIPLSTLDDHPVIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASAKFVVVVDETKLVTGLGGSGLAMPVEVVQFCWKHNQVRLQDLFNEEGCEAKLRLNEDGKPYVTDNSNYIVDLYFKTPIRDALGAGMEIAALEGVVEHGLFLNMATSVIIAGSDGVSVKTK >SECCE5Rv1G0322330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:419705729:419707567:1 gene:SECCE5Rv1G0322330 transcript:SECCE5Rv1G0322330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 32 homolog 1 [Source:Projected from Arabidopsis thaliana (AT2G19830) UniProtKB/Swiss-Prot;Acc:O82197] MFNRLFGKPKEQANAGALATLDKLNETLDMLEKKEKVLEKKAGAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAKAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVVTIPIHTSPVPGKAQPTRPAPQKASAEEDELAALQAEMAL >SECCE5Rv1G0300010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:21461291:21466091:1 gene:SECCE5Rv1G0300010 transcript:SECCE5Rv1G0300010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGSTRDGPLERKRKKVVVWSEEDDVSSPDPFAGVYPASFGSYYLAKKMEHTRRDEESAPRPVEIPTLDHFKPPTRFHIAELFAVRESGSQAVLSAARFLLGLSSSLDGEPLRRCSGFWVDWDEEKKTGLVLTTARLIRTKDAPHSVSSGGEEYAPRADVTVHLLNGTSAKGELVYYQPHYDIAFLNVEVDQPIKLPCLREKDVKYAEEVFQLGRDNSLNLRITYARAKYMNPTMFERHHKVYFHSLDGHYYDNEYDNGGPVIDLNGKVVGMVNNPQRFGSFIPSSILLNCLDSWKKCRYIARPHLGMTFKAVKLLQPAHVDMLWRMCNIDGGLVVQEVSKGSNAEILGIQEGDLIECMNGKCISTTIELENTLMSLCKGPSDSQNGHNAEVCISIGVFHTLKKYRSTRELTANVSDLGEVIARGTGLLF >SECCE6Rv1G0382180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:39621981:39623246:1 gene:SECCE6Rv1G0382180 transcript:SECCE6Rv1G0382180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLPCLTSDCLLHVFLNLDPVSILRCAAVSRHWRRAVIDNASEIRRHPGRHLLLGIYHREMYPGKLAFSHRSSWLPPSDGPHWWDSLPAPSHVPVVEGTTPKLCSPLACSDGFILVCRGLASEMCVYNPFTGFSASIPRLGELVTYNYVLHSCHGVEPNPSPNTFQVLAVKIERHGILDLQNYCSKGGAWGPVFRPNASRLRMPYSENIQPCYKYLAAPVLYRGAIHWLCSDVSDYDSSQYRQLRFDKITRIVAVDISTGQARMTRLPNHLLMDNHDVSTKKRLMLATSSEGERLSLLRTEKSGLEVAIWLYDGDHGDDDNDDVEKRWVLSRSVDIRKLIEDAGLAYFRPSCKDWAVLETRLEWFCPRSNRLIIWVPFLGLFVLDLVSMEIQRAVGDSYGHMWPYEIDLTLFFSLKPFE >SECCE4Rv1G0221890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:52801395:52801889:-1 gene:SECCE4Rv1G0221890 transcript:SECCE4Rv1G0221890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLHLRRLLPAARPSCAAAFSTAVTPTPRVSSLVDEICGLNLIEASSLADALRGRLGVDQLPPLAILMGGAAPLAGDGAGAGEEAKPKEEKMAFDVKLEGFDAAAKLKIIKELRAITSLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRALGAKIVLE >SECCE6Rv1G0431170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745760825:745761703:-1 gene:SECCE6Rv1G0431170 transcript:SECCE6Rv1G0431170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTVREVLYMYSVARQAYELFLSVCGSLEKAQNAVALLVWLDQGTISAIHHVPAMAPDAVAVVAEEANAVLGCLRHQEPALPPIPLISVLCMQGGVHIEPGFFAFHQDLVVRGIAHFLDGAGKFVFNNRLHVLLRRSETGLMVNPPELMAPYTSQAVVVPEDSRSMFITFSKGNALHREEIFEYFRQKWGDCVVRVLMEKTKGGHMPMYGRIIFKTEVIVKLVLNGERLVKISIGQREIWLRKYVPRPTNAAA >SECCE2Rv1G0140150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:930354061:930359813:1 gene:SECCE2Rv1G0140150 transcript:SECCE2Rv1G0140150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVTGAMSTLLPKLADLITEEYNLHKGIRGEVMFLKAEMESMETALLKVSEAPIDQPPDIQIKLWAKAVRDLSYDLEDSIDRFMVRIETHGQDKSHSFRNFIDKSISLLTKGKIRHKIAIDIKDIKSRIKEVSERRDRYKVDSVAAAKSTGPSVDTLRLSALYTKATELVGTDEKSLEVVKMLMEGDEATKKQLKVVSIVGLGGLGKTTLANAVYEKLKVQIDIEKQKPQFHCTAFVSVSLNPTMEKIFKSLLHQLTNMKYENIETTWGEAQLISEIRTFLGNKRYLIVIDDIWDKSVWENIKYALTENGHGSRVITTTRILDVAHQAGGVYRLKPLSVVDSRKLFHQRIYETDNKSPPNHLVEVSEKVLERCGGVPLAIITIGSLLSSKKARAHTHEYWSMVYKSMGSGLDNSHDDVKNMRRILSVSYSDLPPHLKTCLLHLSLYPEDYEIETEPLIWKWVGEGFLKEEQGMSLYEVGEDYFDQLINKSLVEPVKLDNVNKVHSCRVHDMVRDMIICLSNEENFLTTIGGRQPVSLPSKIRRLSVQTCIEDVANQLPPMELTHVRSLTVSHPTFSLLPALSGFPVLRVLDLTGCWEVDNNHWKDICSLFHLRYLSLKRTSITKIPKGIGNLKFLQVLDIRSTGIVEELPSTFIYLTQLLVVDMLDSIRCDVPRWMCSMSSLFSLSIRMKTLGEEDFQVLGSIPSLSELHIQVRNSTRGRHKWFVVGSGYPFRCLTRFSVETNTMQLRFAQGAMQSLHTVELFFHDVHDTLLQFGDFILGLENLSSLENVNVMFYNDDHNKTRNVRNAIEKEIYMHQNMPKLTWEIWEIRRHLELRHQAQRMYLQCVSDKARETLENLQAELLDDGCARELRELVIKVQNQLQDLSDDE >SECCE6Rv1G0438720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:791949730:791951136:-1 gene:SECCE6Rv1G0438720 transcript:SECCE6Rv1G0438720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVFGIPISVQTVIATGEYKEPITQKDVADYTMKNINTGGKDLEAQKFVDNLKERYGNGISVKCLMYNATGTTLSLAVYHDWHGHIYDTPYPSDIQNGQWGAFLHVHPTGAAAGSAGAVVYRSKIPSSSSSCDWLFSWTVPYIGGNGVYTEIREEGHYPSVGSWEYIYNVKLANSNLSSTDKNYGYVSKTDIGEGTTMNARAVFQLPY >SECCE4Rv1G0241400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:449013442:449014423:1 gene:SECCE4Rv1G0241400 transcript:SECCE4Rv1G0241400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGAMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFVRAQGDADGSLDRLWQKRKAEIKQL >SECCE3Rv1G0163720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:150958756:150963993:-1 gene:SECCE3Rv1G0163720 transcript:SECCE3Rv1G0163720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGTPYLLASAAACQGGGDLTLELGSLCFSQMILIDLVNLLFSAVYVSSLLIAACKREFRVVRAGDLPFPCALASPCCALLGIACVCLGLGAWGSSPHAALLVFLRGFVWVSLSVSLVVRPTRLSGALAVAWWALDAVLITANCLEKIVTGGNLGVLDVLSWVVAFLLLLSAIRVCRCLAGAAASDGGGAESEPLLAAGGGERRAAFGEAGFFSRLTFTWMDSLLRLGYSKPLGLGDIPPLDADDAAAEACRKFLGEWHRRRRESHKTSNLALRVLAECHKKELLLTALYTLLRTLSFAASPVMLYCFVSYSDRQEQERDLGTGAALVAGLLAMKLVESLSQRHWFFGSRRLGMRMRSALMAAVFEKQLRLSSEGRGRHSSGEIANYIAVDAYRLGEFPFWLHMAWSMPVQLVLAIALLFWIVGAGALPALAPVAICGVLNVPFARMLQQYQSRFMQAQDERQRATAEVLHSMKIVKLQSWEDKFRATVQRLRDVEVRWLGETQLKKAYGSALYWVSPTVISAVVLAGTAAVQSAPLDASVVFTVLATMRVVSEPMRMLPEVMSVMIQVKVSLDRIGKFLTEDEFQDDAVDRTPASDKSLDMHNGVFSWEPSKGTPTLKDINITATRGQKIAVCGPVGAGKSSLLCATLGEIPRMSGSVAVSGSVAYVSQTSWIQSGTVRDNILFGKPMRSSEYERALRCCALDKDMENFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYSDADVYLLDDPFSAVDAHTAATLFNDCVMGELEDKTVILVTHQVEFLSKVDRILVMEKGEITQEGTYEELLQFGTAFEQLVNAHQDSKTTLDSNVAKEGAMIQYQQPMIKQGSDAEISTGNLPSVQLTQEEERELGGAGLKTYKDYVSVSKGWFLLVLIVLTQCVFVALQYLATYWLAATIQSRRFSVGIVVGVYAVMTTASCLFAYVRSLVAAHFGLKASREFFSGFMDSVFKAPMLFFDSTPTGRIMTRASSDLCILDFDIPFTMTFVISGTVEVAATVVIMIMVTWQVVLVAVPAVIGVLYIQRYYIASARELVRINGTTKAPVMNYAAESMLGVVTIRAFAATNRFIQTNLKLVDMDATMFFYTNAALEWVLLRVEAMQIVVIVTSSVLLVMLPEGSVAPGFLGLCLSYALTLSSAQVFLTRFYSNLENYMISVERIKQFMHLPSEPPAVISDRRPAPSWPSEGKINLENLRVKYRENAPTVLRGITCTFAAGNKIGVVGRTGSGKTTLLSALFRLIDPSGGRILIDDVDICTIGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLGLYTDQDIWEALDKCQLKKTISVLPQLLESPVSDDGENWSAGQRQLFCLARVLLSRNRILVLDEATASIDSATDAILQRVIKQEFSGCTVITIAHRVPTVTDSDMVMVLSYGKLIEYDRPSRLMENEDSAFFKLVAEYWSNYK >SECCE6Rv1G0428510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:727884084:727884800:1 gene:SECCE6Rv1G0428510 transcript:SECCE6Rv1G0428510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAIVNTAGGKRYPGKLTLYVVFTCVVASTGGLIFGYDIGISGGVTSMDPFLLKFFPEVYRQKQEASKSNQYCQYDNQLLQAFTSSLYLAALVASFFASAVTRILGRKWSMLAGGFTFLVGAALNGAAQNVAMLIVGRMLLGVGIGFANQVVS >SECCE3Rv1G0151770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:41336934:41337368:-1 gene:SECCE3Rv1G0151770 transcript:SECCE3Rv1G0151770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEFASCFGGSRTDDYGGERPNRKEEHGGAGRGRGRRGNHGSAYNGHAADQKEAPQAAYHHQPPAAVDEAGHKAYHDGRGGYAPYGQHKTNITTPKLPAWQNKVGDTGRLQEVVAAADHMNNAAMDYHHYPTSTTTTTALGRY >SECCEUnv1G0543330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:112739445:112740775:1 gene:SECCEUnv1G0543330 transcript:SECCEUnv1G0543330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADWTWACRAWEKWTAKHVGSSGMPVKAALLLNYDPTGPSRLLPIVAEEEGTKFTAVDLQPFINFFRRNNLQTEFFSIGPNQYLVTSIHEHWFCARCVNTTQPGGEGAIVMQIGAYLLVSMYDGSVGSASQAMVAVDQFAWHFNRRTH >SECCE5Rv1G0372830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:854889772:854892862:-1 gene:SECCE5Rv1G0372830 transcript:SECCE5Rv1G0372830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLLEKALLGLFVAAMLAIAVAKLTGKRFRLPPGPPGAPIVGNWLQVGDDLNHRNLMGMAKRFGEVFLLRMGVRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVVQNREGWEEEARLVVEDLKADPASATGVVIRRRLQLMMYNDMFRIMFDRRFESLADPLFNKLRALNAERSMLSQSFDYNYGDFIPILRPFLRGYLNRCTNLKAKRMKVFEDHFVQERKKALEKNGEIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGVAELVNHPDIQSKLRHEIAAVLGPDAAVTEPDLERLPYLQAVVKETLRLRMAIPLLVPHMNLKDAKLTGYDIPAESKILVNAWFLANDPKRWVRADEFRPERFLEEERAVEANGNDFRFVPFGVGRRSCPGIILAMPIIGITLGRLVQNFQLLPPLGQDKIDTTEKPGQFSNQIRNHATVVCKPLKA >SECCE3Rv1G0214110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:964161994:964164728:1 gene:SECCE3Rv1G0214110 transcript:SECCE3Rv1G0214110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIHIQLFGTGKKVSAGAIAGSVVAGVVAPVLVVLLFLFYRRRKAKQNALLPSSKESIRLASTILMQKVTPSTTQADVASLAAGITVDKSVEFTYQELFNATEGFNITHKIGQGGFGAVYYAELVGEKAAIKKMDMQATQEFLAELKVLTHVHHLNLVRLIGYCTESSLFLVYEFVENGNLSQHLHGTGYEPLSWAERVRIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNTRAKVADFGLTKLTEVGGASLHTRVVGTFGYMPPEYVRYGDISRKVDVYAFGVVLYELISAKDAIVRSTDGSASGSRGLVYLFEETLTAPDPKEGLQKLIDPNLGDDYPMDAILMMTHLANACTEEDPKLRPTMRSVVVALMTLSSMSEFWDMKNPGLVNLMSGR >SECCE2Rv1G0109620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:678936105:678939564:-1 gene:SECCE2Rv1G0109620 transcript:SECCE2Rv1G0109620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44050) UniProtKB/Swiss-Prot;Acc:O80575] MAASPATSSAAARASSTFARLSNAPLRVPSAATASFPSPNSARPTALVADARASRLPVVAAAAGGHQRLMGSLTNNEGLRFGVVVARFNEIVTNLLLQGALEAFERYSVKAENITVVSVPGSFEIPVAAQKLGKSGKYDAILCIGAVIRGDTTHYDAVANSAASGVLNAGLSAGVPCVFGVLTCDDMDQALNRAGGKAGNKGAETAITAIEMASLFRHHLA >SECCE5Rv1G0305490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:73070619:73074240:1 gene:SECCE5Rv1G0305490 transcript:SECCE5Rv1G0305490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLNKIFKGSVNRVSRGHYDGHWHEGNPSEHTRDAYDESDSEDIARAIALSLAEQDPNKGKAIDPDYSLEEDEQLARALQESLNTESPPRQNAPVENVPSESIPAREPHQPVVPSSGFRTCAGCRKPIGHGRFLSCMDEVWHPQCFKCYACNKPISEYEFAMHEDQPYHKSCYKDFFHPKCDVCKNFIPTNKNGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPTDTKYITLDDGRKLCLECLTSATMDSPECQHLYMDIQEFFEGLNMKVEQQVPLLLVERQALNEALEAEKSGHHLPETRGLCLSEEQIVRTILKRPTIGPGNRIMDMITGPYKLVRRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGYRSLSPQVEEGICQVLSHMWLESEIIAGASGNAASSSASSSSSSAAPTSSKKGAKTEFEKKLGAFIKNQIETDSSVEYGDGFRAGIEAVEQYGLRSTLDHMRLTGSFPY >SECCE5Rv1G0355890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:735117109:735118194:-1 gene:SECCE5Rv1G0355890 transcript:SECCE5Rv1G0355890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTIPYELHPGILEHIPPSPQTIAHASVVCKAWRRIVSAPGFLHRCHTRHVAPMVMGFFHNSNTLPRPFVQINDTTSLSFRFPNDGNYSWTFMDCRHGRVLLRNGRRFLVWHPMTGHYRLINAEYSRWEEYTEKNSNAALLCVVDDNDGHQVCCQKPTSPFRVALVHNDSRGRVHAGVYSSLTGQWSLPPMSVDLPLLCDIRVEPCVIIFNTMYQPLSDYHVLAYDMDKSTLTVFERPSGGNARLMKADGGGRLGLAAVDGLTLRMWARGAYSGWVLRTSVDLGEAIACLSNVTLPKVDSSLPQVKIIGSAEEGDTLFLWTMVGIFILCPKTMEFKKVHETVKDMEIVYPYTAFPTHASW >SECCE7Rv1G0511790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:805114327:805119782:1 gene:SECCE7Rv1G0511790 transcript:SECCE7Rv1G0511790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMLDPPPRRGPAFKTKMCALWRRGSCPRGPSCGFAHGEGELRKPPPYTTFPPRAGPGGRDHRIHEFRGRPERRNSPRRRYSPDRDTRGRLFRNQMPRSQGSSQSRSPIRKSERRPKKKVDGDKSDSSGSLNTSENEDRKKDDIHSSNDEKDDGEAQLKQIALDMKALHEDKSKLQMTLDKKIGEAGILSGRVDDLQSQLNKEKEDCERMTSKIKKLIKAYGRYVKAQDDLKRSQGRFERLADSLASDSLKSGTKEQGSSVNAGNDDPYNAYEMSPDDQRQKNGSAARKRSAALSTSEEEKTGKKRRVNDDDMIHVSGKYKSEDALESLKNSKGIESLKKLGEDDNNDERNVISSANDFTDRYNGNEEDDPVDL >SECCE1Rv1G0057030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:691683889:691691890:1 gene:SECCE1Rv1G0057030 transcript:SECCE1Rv1G0057030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLGLRGSPSAAAGDAAASPASPAPPAAAGGLGRPLRLVYCDDKGKFVMDPEAVAALQLVKGPVGVVSVCGRARQGKSFVLNQLLGRSSGFQVASTHKPCTKGLWMWSAPLKRTGLDGTEYNLVLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEASIDRLSLVTEMTKHIRVRASGGRSSASELGHFSPVFVWLLRDFYLDLSEDNRKITPRDYLELALRPVQSGGRDVSSKNAIRESIRALFPDRECFTLVRPVNNEKDLQRLDQLPMNTFRPEFTSGLDAFTKFVFDRTRPKQLGASTMTGPILAGLTQSFLDAINTGAVPTISSSWQSVEEAECRRAYDSAVDTYNSSFDCKKPAEEDALQEAHERAMNKAISVFNASAFGAGSARSKFEKLLQTTLRKRFEDFKRNAFLEADLQCSNKIQSMESKVRAACNRPDSKLDDVVRVLDGLLMEYEATSYGPKKWTKLVTFLHQCLAGPMLDLFRKQLEHIDAERNALRLKCSSSDDKVSLLRKQLEASEGHRAEYLRRYEETINDKQKMSKDYSVRIAELQNKVSKLDERCQSLSSALEQAKRECADWKSKYDHCISQQKADESKVKSLIASLESRVSISEGRLSAVREQAESAQEEASEWKRKYEYAANEAKTALERAASAQERTNKKVQEREDALRAELATQLHEKEELIAKLNGKINQTEVHKTSLMSRLEVTEAKLKNHESDSVALKGEIRLLTDNLESIKTEAQSREKEVRILEQEKNHLQEKFLSECKKFDEADMRCKDAEREAKRAVELADLARVEAAAAQRDKGEAQRLAMERLTLIERMERQVETLEREKSKMVEEMERLHQSELDALSKVRMLDERVDEREKQIGEMLEQNNQQRSNTVQVLESLLATEREACAEANKRAEALSLQLQSTQGKLDMLQQELTSVRLNETALDSKVKASYSRRTRGEATESVHYMDVDDDDTGRRRKRSKSTTSPFKNNHTEDGGSVFFGEDTNNGSQQLEGTETETEDYTKFTVLKLKQELTKQGFGAQLLQLKNPNKKDIVALYEKHVVGGA >SECCEUnv1G0538440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72815058:72815501:1 gene:SECCEUnv1G0538440 transcript:SECCEUnv1G0538440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSIAELPSSGWGTLGVAIVEAGEGRLGLFGIRDRTAGGSKHDLCYSVMQNTGKNSGQWQMVKTFSLGPQGLHYLKAATERYVLLICSEAPRLVGLSMVMPDLLEYISVDVKKLQLQRVCVKPFGKSLSRTRIYAHFPPSLSSPTV >SECCE7Rv1G0456820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:12805949:12806602:-1 gene:SECCE7Rv1G0456820 transcript:SECCE7Rv1G0456820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVKNIAAAPFLVAIMVAATLVPAASAASEKSIEEMDSSIKKAFDAVIAAAPASKRPAVESSVLKQKVAATASLNEAAGDKKKTDEIVTAYKTAADAVLAAKPADKSAVFEKTFGEAGHPPTVRAVDSLESSFKEAIGDVVAAAPAPQQDEMKALVFQQTVVAANALAESSKDKKLFAAVTTAYKVASDAVLAAAPTDKYSVMEKTFAEASNVKAA >SECCE3Rv1G0182300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:625996247:626000065:-1 gene:SECCE3Rv1G0182300 transcript:SECCE3Rv1G0182300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALLSAKPGLSLRAGPRLPLPRLRATRASLSTGSSSRPAALQSPLLSSRAPSSQDAVLGYGLLKRRTSAGAGVSCSAAAAAAVPQPPAQPEVKKYLGVGLPTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLAYSKLSDVLSREALFYTVIFPFIAFFGLFGFVLYPLRDAIHPTALADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTMGPGVDGWEVSLKGMMGIVVLLGLVITSIYWGVNKLVLNDPSLPKSDHKKKKNKPKLSMKESMKVLISSKYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRIIFQRFGWGVAAMITPTVLLVTGVGFFSLILFGQPLTPLLATWGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDGDMKLKGKAAIDVVCNPLGKSGGALIQQFLILIFGSLANSTPYLGGILLVIVLAWLGAARSLDKQFSSLAKEDLRKEMSKEKVETAAPKEPEAATDVLVEQSNGTENSPSDSSPAQ >SECCE4Rv1G0258830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679634799:679635845:-1 gene:SECCE4Rv1G0258830 transcript:SECCE4Rv1G0258830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARGVGAATISLAVLLLIFCFSPCTAAAADVPSIDATRTRHLPLPRGLLRGPESVAFDAKGRGPYSGVSDGRVLKWNGDKLGWSTYTYNPDYSSEACTASLLRPETATEGHCGRPLGLRFHLKSGYLYIADAYKGLMRVAPGGGEATVLVTEVDGVPLRFTNGVDIDQVTGEVYFTDSSKNYNRSQHEMVTRTGDSTGRLLRYDPRTGKAVMLQADITYPNGLAISQDRTHLIISSTGPCKLLRYWIKGSKAGTMELFADLPGYPDNVRPDKKGGYWVALHREKAELPFGIDSHLLALRIDADGKIIEEMRGPKSVRPTEVVERKGGRLFMGSVELHYVSVVTRK >SECCE7Rv1G0496710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:615564317:615565531:1 gene:SECCE7Rv1G0496710 transcript:SECCE7Rv1G0496710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHCASSGGWTDLPGDLLGLILQLLELPEALAAAAVCTSWRSAAAAAGVPRGRTPWLISWKPSNCRSSEFRNILGTRRTHKVSLPAGRRNLEWCGASHGWLVASDELSNLVLYHPFTFATIPLPPITDLECVKGIYDADGGVVGYRYGKHHQHEPQPGVRDLGTWFYQKVVLSCDPSHRGGDYTAVAIHYDGDWLSYARARERRWRLGAALADGKGDRYADCAYHDGRFYTVTLRGVVEAWDLGGPDEPSREAIIAYGDRRRLRVLTRFLVSTPCGRLLQIRTLRRTQHPREIKMEVLQVNVEERRLVALSSSTALREHAVFVGLNHSACLPVREFPGLRPNCVYFTTPRLKHHDNFGFRGWNGVGVYDLESQTSERAFPGFGPSYAVFWPSELWYISNPFQG >SECCE4Rv1G0284410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:839503901:839508742:1 gene:SECCE4Rv1G0284410 transcript:SECCE4Rv1G0284410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGPWLTSGNNHVGRETWEFDQNDTGSSEERDAVDAARAEFQKNRFRTRHSSDVLARMQLAKKNNFSLDQQKPNDEATVDINVATVSETLRKALTYFSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTESLGATLSSEHRKEICRYLYNRQNIDGGWGLHAEGESSMLSSALNYTALRLLGEGADDGPDMSMPKARKWIHDHGGATMVPILGKVWLSVLGVFEWSGVNPIPPELFLLPSLVPIQPGRLWSHFRMAFIPMSYLYGKKFVGPITKLVLSLREELHIHPYKKINWKQARKLCAKEDAYHPHTWLQECLSDSLYSFGEPFLARWPVSYMRRKALRKISEFLKYEDDNSRYICIGAAQKALSMLCCWSENPSSDAFKCHLARVADFLWLGEDGMKVRVCAGQSWDVSFAVRAILACDVAEEFGTTLKKAHHFIKASQIVDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKALLLLSKFPSDIVGDQMETCRFHDAVNVLLSLQNPNGGYGTWELARTYPWMENLNMTEIYADIMVEHQYVECTSSVIQALALFRQKYPGHRQDEIERCIRRATEFIEKLQNEDGSWFGSWGICFTYGTWFAIEGLSAVGQCYDNSTHIRKGCQFLLSKQLRNGGWGESHLSSTTKAYTNLDGEKSHIVNTAWAMLALMKAGQAERDPSPLHEAARLIMSMQLGNGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGEYHRLVLCSG >SECCE5Rv1G0373860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861396722:861399630:-1 gene:SECCE5Rv1G0373860 transcript:SECCE5Rv1G0373860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G16700) UniProtKB/Swiss-Prot;Acc:Q84V22] MLGVLHAKRMYDDKQLVERKEQGIEPEFSPDLKASFLRLLPLRSMSRVWGSLMEVEVPVFMRPAIYKAWARAFHSNLKEPALPLEEYPSLQAFFIRSLKEGSRPIDPDPNCLVSPVDGKVLRLGQLRGPGTMIEQVKGFSYPVSSLLGASSSLHDATEEDISGEQTEQNVPESSNAKSWWRVSVASPKLRDRTLLSPKRGIFYCVLYLHPGDYHRVHSPVDWSIFKRRHFSGNLFPTNERAVRTIRNLYVENERVVLEGQWKEGFVAIAAIGATNVGSIKLLIEPELRTNGPGSMTLHSQPYDERVYEPEGTGMMVKKGQEVAGFNMGSTVVVVFEAPLSKAREDGTVSSDFGFCVKAGDRIRVGEAIGRWSQS >SECCE2Rv1G0119200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:789312063:789315951:1 gene:SECCE2Rv1G0119200 transcript:SECCE2Rv1G0119200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISQVPTHTTHSTTRSNMAGANHKVGELLHHTPRSSTAVVVKERVAQWRRALAGRFWPRVGSLLVHVAYFLAVSWLGYLLLAQLRFRGERTRRPRGIDLFFTAVSAATVSSMSTVEMEVFSNGQLLVLTVLMLVGGEVFLSLIGLASKWSKLRKQAAHKSRRVEIHHVAELEMPPAAAADIDNPTSTADDETSKPLEHVPDTRLRRDAVRSLFFVVLAILLAVHVLGAGAIAAYILHASPAARRTLRDKALNVWTFAVFTTVSTFSNCGFMPTNENMAVFQRDTGLQLLLVPQVLVGNTLFAPLLAVCVRAAAAATRRVELKETLKQGRELTGYGHLLPARRCGMLAATVAGFLAVQVAMLCGMEWSGALRGMSSWEKVSNAVFLAVNSRHAGESTLDISTLAPAILVLFVLMMYLPPYTTWFPFEGRSSTKDHPEEARGTGLIKSTVLSQLSYLAISVIAICVTEREKLKEDPLNFNLLSIVVEVVSAYGNVGFSMGYSCSRQISPDQLCTDRWTGFVGRWTDSGKLILILVMLFGRLKKFSMKGGRAWKLS >SECCE1Rv1G0037350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:521884477:521885726:-1 gene:SECCE1Rv1G0037350 transcript:SECCE1Rv1G0037350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEGRRRRRGKKSEAKKKKKEAGPTTVEDLPDHLFELILVRLGPSPCLVRAAAACKRWCRVVGSPGFFARFGPVHAQAPHVGDYHTVQGGQPLFVPSSPVVVDRSRFSLEFLPESGSWDIADSRGSLLLLTKKRAPQSWLDYSRRGILWPEGLDGIGRLGVFLLDGDDADRRVSTSNFRVLAAVDDAVACVFSTGGEGGWCIIYSEITSHIELPKFGPENFVGRANGTLYWGIDGCATAVLALDETTAEFSMAAFPESVWGPSHIRTSRIVGGEDGVLRVIRLIGNELKVFARRHAGSDGDEWSLEMHLNLPEATLALPGRKEHFFRQQAMIVAANTGYVLLTPSEKAWLFSVELDTMRVERKHERNKYAGEAYPCKLPWPPALTDHHSRERR >SECCEUnv1G0567820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:418438714:418439010:-1 gene:SECCEUnv1G0567820 transcript:SECCEUnv1G0567820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTNRESPLRGLSLSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE7Rv1G0516320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844878564:844891819:-1 gene:SECCE7Rv1G0516320 transcript:SECCE7Rv1G0516320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEMIGEEQREAVHDDDGHDQEEAELKWAARPSEPVDVRRLGAAGRRALAHALVADDVHGDNLRLLRRQRRRMDRVGVRQPTVEVRWRDVRVDAECQLVRGKPLPTLLNSAVSGLSLLTTMLGLNRGRERIRILKDVTGVLKPSRLTLLLGPPGCGKTTLLQALAGKLNKNLKVTGEIEYNGVKLQDFVPEKTAAYIGQYDLHVPEMTVRETLDFSARFQGVGSRAEIMKEVIRREKEAGIAPDPHMDTYMKAISVEGLERSMQTDYIMKIMGLDTCADVLVGDAMRRGISGGEKKRLTTGEMIVGPSKVLFMDEISTGLDSSTTFQVVSCLQQLAHISEFTILVSLLQPAPETYELFDDIILMAEGQIVYHGPKSCIMSFFESCGFKCPERKGSADFLQEVLSKKDQQQYWSRTKERYNFVTVDQFCDKFKASQTGQNLVEELSKPYDESKGHKNALSFSIYSLSKWDLLKACFARELLLMKRNAFIHITKAAQLGLFGLITGTLFLRTRMGVDRTHANYYMGSLFYALLLLIVNGFPDLAMAIERLPVFYKHRDNYFYPAWAYAIPSFILKIPFSLVGSVALTSTSYYLIGYTPEASRFICQLLILFLMHTVTLSMFRCVASYCQTMVAGSVGGTLAFLFTLLFGGFLIPRSFLPNWLKWVFWVSPLSYAEIGLTGNEFLAPRWSEITISGVTLGRRILMDQSLDFSSYFYWISIGALIGFTLLFNVGFAIGLTVKNPSSRTIISCNKITASGGRNQDKDTENGRPKLHAETSWIPNVTGRTVLPFTPLTISFHDVNYFVDTPTEMREHGYMERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKIQQTFARISGYCEQTDVHSPQITVGESVAYSAWLRLPPETDSKARDEFVNEVLETIELDEIRDSLVGIAGVNGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIDIFEAFDELMLMRRGGELIYAGPVGHHSCEVIQYFQAIPAIPRIKDNYNPSTWMLEVTSTSMEAQVGADFVQMYRASSMCKDKDMLVKRLSVPVPGTSDLHFPTRFPQKFQEQFKACLWKQCLSYWRSPSYNLVRFASMLGFCVFFGALFWQRGNINHINDQRGLFTILGCMYGITLFTGTNICQAVMPFVSIERSVMYRERFAGMYSPWAYSFAQVAMEIPYVLVQVVMFMLIAYPMIGYAWTAAKFFWFMYTMSCTLLYFVYLGMMIVSLTPNIQLAFILTSVCHGLQNLISGFLVPAPQIPRWWIWLYYISPMSWSLNVFFTTQFGDYNDRMIVVFGENKSVATFVKDYYGFRRDLLPLAAVVLAAFPVIFAVLFGYSISKLNFQRR >SECCE2Rv1G0106510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:636904461:636908186:-1 gene:SECCE2Rv1G0106510 transcript:SECCE2Rv1G0106510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G02450) UniProtKB/Swiss-Prot;Acc:Q9M895] MASLPPLSAAAASLLRHHVCFRHPAPSWSCFAESESSGSCGGVPDAGRCTRLRLRRRSPVRAKVGEVEKDKGADLGLRTDQPKSLRRRLRLRPRLRVLRWRLLRLLSPRELAGDAAAALRRAIRRVPPPAVASVVLGALLLAARLTLPKNPAQEVAYADLLAGLRAGAVTAVAFEEDSRRIYFSKAEDVGSGDDERETGRGAAVPKWPYYARRVPHDEGFLLGLMREGGVDYRSAPRPAGRLLVDMLTTLLTLWFSLLPMMWFLQRQMSSGGSADKRRRPRKQPVGFDDVQGVDEAKEELVEIVSCLRGSLNYKKLGARLPRGVLLAGPPGTGKTLLAKAVAGEAGIPFFSVSASEFVEMFVGRGAARVRELFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDVKVIVMAATNRPKALDSALCRPGRFSRKVFVGVPDLEGRRKILAVHLRKVPLEEDSEIICDLVANVTPGLVGADLANIVNEAALLAARRGGDIVAREDIMDAIEREKYGVNGRQKGPDSAREGLTKLFPWLPKPGNKPSNPDDFQGLMGYHTLS >SECCEUnv1G0536700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:65851966:65853168:1 gene:SECCEUnv1G0536700 transcript:SECCEUnv1G0536700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAGWSSLPSDLVNRIADCLLATNDVDYYMDLRAVCSTWRRATDDPKNNPDPRFRPSRWIVIDEVRYSLPVQRSTPLLVNTATGRILRKDLPMLRGYFLVATTPGGFFVLADRNPPHAACVLNPFTGYLIRFMAPMTTHLKFKTPAAAVTGSPPTLVLFCDEDRTMHTADPHSGSFNVYGDEYPYKRLALMGMIYTGNDVGDKIFDLMASFNVHPSEMLPVYPFDDFGSLLERRHTDRCFLVESAGEVLMIFKLQQRIEIFKVDNNGRSAPEPVKSIGNRAIFLGYRQCLSVNADKFQSVETNCIYYVKCVDSCLSIYKYDLNDEKEEIISGAINSLNHVWLSDAEPPFTIIQLLSSYTLSSDESNRFEGVPDDILIPYVQDSEFFSSFLEDLEFEDD >SECCE1Rv1G0045190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:608835173:608838116:-1 gene:SECCE1Rv1G0045190 transcript:SECCE1Rv1G0045190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRPRTPQAKSPPPRSREPAAVAAASPGPAASRPRPRPRRRLRVQSPSLAASARRAAAPPATPPLRWPGDAVARVGAGPASVRKIAAALWRVHPPQAPPREVSGEARRRPEPNPKPLHTPDRCNYYKAVLEGRSGRKPLGNGSIHEVGAYSPSPRIEMEVATKWDRRCLNTSRDADCDSFDRYPVSADAEISALRAELMQAHNRIHELEAESRSAKKKLDHMLRSLSEEKASWKSREHDKVRDIFDGVKESLNRERKNRQRAEIMNSKLANELSELKLVAKRYLQDYEKERKARELMEEVCDELAKEIAEDKAEVEALKSESMKMRDELEEERKMLQMAEVWREERVQMKLVDAKLTLDSKYSQLSDLQSEVEAFLSSHGGNSADKEMVRDAERLREAICSMKFHDIKEFSYKPPPASEDIFAVFEELRQREDTDEKEIGQCNGDIPIGHATKIHTVSPETDIFLEKPSKRYTRQPCARNEEEDDSGWETVSHVDEHGSSNSPDGSEPSVNGFCGGNGASVSGTDCDDNSENCRSISGISEVCSTTAEKYRKRGPSFARLWRSSNNDNGRKKTGSELLNRMLSSGRMSNSALSPTLKGSEVCTVSPSVGEWSPELVNPHVVRAMKGRIEWPQGAKKQSLKSKPLDSRFDGRKVQLRQALKQKI >SECCE4Rv1G0215450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4759841:4760329:-1 gene:SECCE4Rv1G0215450 transcript:SECCE4Rv1G0215450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGASSDDVAAKATAHFVFVLLMHHGHLIPAVDAALQLAAHGAFASIVVTPTYAARLRPAVESSGLPVRLVELPLDLVSGTDDADWIPLDQEAAYLLAASRLRGPLERHLRAHPPWVTCVVSDICHPWTAGLAVGLGVPRLSFLGMSAYCLLCLRRGSRW >SECCE3Rv1G0202270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:872174746:872188318:1 gene:SECCE3Rv1G0202270 transcript:SECCE3Rv1G0202270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLAQVQELRDRLSDRFRPWSRSAQFWVRAVDIYGSYKVCQLRTGFVKDEEEREAMWEQQHEIGAQKMYSLCSELGGLFLKAAQILGKPDLAPTAWVKRLVTLCDKAPSTPIEVVRGVVEKQFCKSFDEIFDFFEVEPVGSASIAQVHRARLKSSKTDVAVKVQHPGAEQLMMVDIRNMQAFALFLQKYDINFDLFSATKEMEKQICYEFDFVREARAMERIREFLRVSNKKPPVMVPRVIPGMISREVLVMEFIQGTPIMNLSNEMSKRGIDPAGKLAAMAKQKILTDLTLAYGQMILKDGFFHADPHPGNILICNNTEVALLDYGQVKEMPEDLRLAYANLVIAMADDDLLRTKESLSEFGFKTWSIADNELEELFQLSLRMFDTRLPPGVTVLSPFADDSSLNKVGVESFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAEEALLKAGRIKDVKSRRPRSSFLRRFF >SECCE6Rv1G0419630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:671522339:671524139:1 gene:SECCE6Rv1G0419630 transcript:SECCE6Rv1G0419630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVAIASPGEPEALQVREVEDLPAPGEGEVLVAVAAAGVNRADTAQRQGRYPPPAGASPYPGLECSGTILALGANVPPRWAVGDQVCALLTGGGYAEKVVVPAGQLLPVPEGVSLTDAAGLPEVACTVWSTVFMSSHLSPGESFLIHGGSSGIGTFAIQIAKHLGVKVFVTAGSEEKLDACKALGADVCINYKTEDFAARIKQETNGKGVDVILDNIGGSYLQRNLNSLAVDGRLFIIGFMGGTTTEVNLQAMLARRLTIQAAGLRNRSLANKAQIVSEVEKNVWPAVVSGKVKPVIYKTFPLSEAAESHKLMETSSHIGKILLIP >SECCE6Rv1G0404610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:521970665:521971801:1 gene:SECCE6Rv1G0404610 transcript:SECCE6Rv1G0404610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQFVNLVTRNCAQYVYSVHRIDPFDQLFYRSAKEALEAADEAARMKDPFPAMRPLELPHPSMSFMADPSGGDSLDMFALFSPRATGGGRMVAANPAGEAVMYDADRQLVSTLGRMNERKGVRPMCLHVAHPGRDQGSLYVMDTYPGPGDDGCFEVLEYMPECGELSDIRTAWRWRLLPPPPFVRQPGCRRPSSVTAHAAVVDGDGCSTIYVTFEGSIGTYSFETARLDPRHRLGWTHSEEWKHVGRWWLPFKGGAQYVPELDMWFGFSAYGPGRLCAVDLSVMHHDRPPTALQVWQDLIPPEVGCMCIPVRFELLSLGDGKFLIAGRFEAKTTGQQFALLTGVEMMRCVGDDRSLQMVKHKCARYAFRKDAIEWVL >SECCE3Rv1G0185950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679420776:679428280:-1 gene:SECCE3Rv1G0185950 transcript:SECCE3Rv1G0185950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGDRARAPKRYKSSAPSKAALVDETAEMNYADDFDDDARDGDNEVKKRDFTKLELKPDHVNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETTTIISVLSKLSKTKLPHEIIDFIHGSTANYGKVKLVLKKNQYFVESPFPEVLKTLLNDDVISKARKAPEDCLGASPFAVSKTAGEIASGHDLLDGMELAAATEDKETHSFEIHSTQVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLDMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDEHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIYGATSHAERTRILYQFKNSPEVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEEGPNLSFHTLDEQLDLLGRVLSAGDDMIGVEHLEEDSDGKALLKARRSAGMMSAFSGAGGMVYMEYNTGKGKAKKKDPAKRHTLFKKRYT >SECCE1Rv1G0043870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:596727075:596730027:-1 gene:SECCE1Rv1G0043870 transcript:SECCE1Rv1G0043870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASARAVENGHAAAPMEQAAPVGGSSAEAAAPPDAVMRELPPPVPYVFAPQVPVAPLQIPNEFSPVFNHSWMNGSGESTNNNPPETEIPTLITWSQGGNEVFVEGSWDNWTSRKVLERSGKDHAVLLVLPSGIYHYRMIVDGVPRYVSELPHVTDERGQVANLLDVHEYIPDSLDSVAEFDAPPSPEHSYNMEFPSDEEFTKQEPPALPPQLLMTVLGGTDHSDELAPKPKPQHVVLNHLFIEKGWGAQSLLALGLTHRFQSKYVNFVLYKPLVRR >SECCE2Rv1G0067220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:18113399:18120291:-1 gene:SECCE2Rv1G0067220 transcript:SECCE2Rv1G0067220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGAPRGAAADGDEEEEGPVPQASQQMYRPVEPHDSAVVQMAPLDPGASADPSPAPRPINPGRNRSMDPRMRVASSNVHRTSSARQSDSKLELFGFDSLVNILGLKSMAEEPAQTPASPTDSEDMSITIGRPKETDPKLGTMMGVFVPCLQNILGIIYYIRFTWIVGMGGIWQSLVLVALCGACTFLTAISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAGFFQEKVTMVDNTANGGSAITISTPSLHDLQIYGVIVTILLCFIVFGGVKIINKVAPAFLMPVLFSILCIYIGVLSAPGPNSPKGITGLSTTTLHDNWSADYQRTNDAGVPDPNGPIYWDFNALLGLFFPAVTGIMAGSNRSASLKDTQSSIPIGTLSATLLTTMMYLLSVLLFGALATRQELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLVAIANDDILPVLNCFKAYEGSEPHVATLFTSFICISCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLIGALLCIVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGRLPEDVPCHPKLADFANFMKKKGRGMSIFVSIIDGDYHESAEDAKAVCRQLSAYIDYKRCEGVAEIIVAPSMSDGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVTVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKDSFEGCKIQVYCIAEEDTEAEELKADVKKFLYDLRMRAEVIVVTMKSFEAHADGSSRIDDTQEAYTSAQNRIGVYLSEMKETAEREGRPLMEGGKQVVVNEQKVDKFLNTMLKLNATILKYSRMAVVVLVSLPAPPLNQPAYCYMEYMDLLVENVPRMLMVRGYRRDVVTLFT >SECCE4Rv1G0251840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:608340122:608345978:-1 gene:SECCE4Rv1G0251840 transcript:SECCE4Rv1G0251840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVASPASFLALASASPGRSRRRARVSAQPPHAGAGRLQWPPSPPQRAARDGAVAARAAGKKDAGIDDAAAPARQPRARRGGAATKVAERRDPVKTLDRDAAEGGAPSPPAPRQDAARPPGMNGMPVNGENKSTGDGHATKDSGLTSPARAPHQSIQNRVPVNGENKPKVASPPTSMVKVATPDSAATISITNNASKSVVPAKKPPPSSGSNLVASASAPGLDTDSDVELEELKKGAVIVKEAPKPKALSPPAAPPVQEDLWDFNKYIGFEEPVEAKDDGRAVADDAGSSEHHQNHDSGPLAGENVMNVVVVAAECSPWCKTGGLGDVAGALPKALAKRGHRVMVVVPRYGDYEEAYDVGVRKYYKAAGQDMEVNYFHAYIDGVDFVFIDAPLFRHRQEDIYGGSRQEIMKRMILFCKAAVEVPWHVPCGGVPYGDGNLVFIANDWHTALLPVYLKAYYRDHGLMQYTRSVMVIHNIAHQGRGPVDEFPFTELPGHYLEHFKLYDPVGGEHANYFAAGLKMADQVVVVSPGYLWELKTVEGGWGLHDIIRQNDWKTRGIVNGIDNMEWNPEVDVHLKSDGYTNFSLRTLDSGKRQCKEALQRELGLQVRADVPLLGFIGRLDGQKGVEIIADAMPWIVSQDVQLVMLGTGRDDLEGMLRHFEREHHDKVRGWVGFSVRLAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTIPVVHAVGGLRDTVPAFDPFNHSGLGWTFDRAEAHKLIEALGHCLRTYRDYKESWRGLQERGMSQDFSWEHAAKLYEDVLVKAKYQW >SECCE6Rv1G0383730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:56776347:56780191:-1 gene:SECCE6Rv1G0383730 transcript:SECCE6Rv1G0383730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein WHY2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G71260) UniProtKB/Swiss-Prot;Acc:Q8VYF7] MLRLSRFLPSTSRGVTDLKDVLWSGSLTFKHALSTSAANVDENTSAKKFASYTVFKGKAALSISPILPLFTKLESGGSRVNRNGSVMLTFFPAVGQRKYDYTKKQLFALSPTEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSITPLGSDNGYFVNITVLNNVQKTNERLSVPVTKAEFAVMRTALSFALPHIMGWDQALSTQPQSTSTSASKPRFERPNPDSEWDR >SECCE2Rv1G0074570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:77487819:77491460:-1 gene:SECCE2Rv1G0074570 transcript:SECCE2Rv1G0074570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAGSFMERWRGAGVLALVLLLLSACHGRREFSVKNHDQSLIYDHTLAKTIVEYASAVYMTDLTALYTWTCSRCNDLTQDFEMRSLIVDVENCLQAFVGVAHNLNAIIVAIRGTQENSVQNWIKDLIWKQLDLSYPDMPNAKVHSGFFSSYNNTILRLAITSAVHKARKTYGGIRVIVTGHSMGGAMAAFCALDLAIKLGSDNVQLMTFGQPRVGNAVFASYFAKYVPNTIRLVHGHDIVPHLPPYFSFLSKLTYHHFPREVWIDDSDGNTTEQICDASGEDPNCCRCLSVLSLSIQDHFTYLGVDMESDDWSTCRIITAQSVERLRKDLASNIIMTKHGVEVSIVENSVQTDWSSSR >SECCE7Rv1G0517080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852136410:852138343:-1 gene:SECCE7Rv1G0517080 transcript:SECCE7Rv1G0517080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGDDAAAVRTAAEAARMVWEESRRLWGIGTPIAIATLSLFAVSSVTTVFVGHLGNLPLAAASIGLSVFSTFSFGFLLGMGSALETLCGQAFGAGQVAMLGVYLQRSWIVLGGAALLMVPFYVLAEPLLLAIGQDAAVAREAARFALRILPGALSFAVNFPTAKFLQAQSKVLVLAWVGVAGLAFHAALTYLLVAVLGWGLPGAAAAYDVSLWAIALAQAAYIVGWCRDGWRGWSMAAFNDMWAFVRLSLESAVMLCLEIWYIGLITVLTGHLHDAQIAVDSLGICMNVNGWEGMVFIGLNAAVSVRVSNELGSGRPRAAKHAVMVVVGEALLIGLLCMALVLIFRDSFSVIYTTDSELRHAVSRIAGLLGLTMVLNSVQPVLSGVAIGGGWQGLVAYINLGCYYIFGLPLGYLLGYKFNYGVGGIWSGMLCGIALQTLILIFIVWRTDWNTEAALASSRVRKWGGADVTKPLLE >SECCE7Rv1G0457100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14297659:14299200:-1 gene:SECCE7Rv1G0457100 transcript:SECCE7Rv1G0457100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLAQLPQPWHFLLLLLAGLLLAVVSYLLVTRRGPEERGLRLPPGPAGVPVLGNLHQLGPLPHRSLRDLAGRNGPVMLLRLGAARAVVVSSAAAARDVMRAHDADCCSRPASPGPARLSYGRKSIAFSPYGAYWRDMRRLLTAELVGPRGVGAAWAARREQVDRLMSALGNAAGPVTLDEHVFRVADGIIGTVAYGSVYGAEAFASKYERFQHVLFEGMDMSASFSAEDFFPNAAGRLVDRLVGVVARRERIFRDLDGFFEEVLEHHRDPARPKPESGGDLVDALVGLCEEHGFTTDHVKAVLVDAFLGGIDTSSLTILWAMSELMRKPRALKTVQEEIRAAVGGNRVQPDDLPKLAYLKMVVKETLRLHPPATLLLPRETLRGVEIGGYEVPAGTRVVVNAWAIGREAASWGADAEEFKPERFEAGGMHGKVDFRGAHMELVPFGAGRRICPGLAMGVANVEYTLANMLCGFEWAVPEGEEVSMEETGALSFHRKTPLVLLPTPYVPSA >SECCE6Rv1G0445470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:837430411:837430974:1 gene:SECCE6Rv1G0445470 transcript:SECCE6Rv1G0445470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIILLLLIFAPLCVFVTARLKCPGVPYNGAVATCYHGSGTKLIYDLCIRAMQQGHMDLSPSHKEETTVYAILVLNAAVESTSTTSNTLTYQLQKNASISVHERAFYGACLTDYVAALNSLYHTLDVMLPGCFFAGINDDYLSALASLNSCRDRFIGPVMFTSPVYPMVLADRNKAVLAYSIGKLLL >SECCE4Rv1G0288330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:859577682:859578680:-1 gene:SECCE4Rv1G0288330 transcript:SECCE4Rv1G0288330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPLVELEKATNNFDKAREIGGGGHGTVYKGIMSDLHVVAIKKSKVAVQKEIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFIPNGTLYHHLHAEEPEASLPWVDRLRIATETARALAYLHSAVSIPIVHRDIKSQNILIDGNLTAKVSDFGASRCIPIDQTGDATAIQGTFGYLDPMYYYSGRLTEKSDVYSFGVLLMELLTRKKPCSFRSDEEESLVAYFTALLATGDLVRVLDPQVVVEGDKEVEEVAMLAGACVRMEGDHRPTMRQVEMTLESLRVPHENVNIYEMYAPSYAVVEGKSAEEVSRQYSLEEEYLLSSRYPR >SECCE4Rv1G0285760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:846313325:846314497:1 gene:SECCE4Rv1G0285760 transcript:SECCE4Rv1G0285760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAADGGDDWELVSLTASAYAAAPGPVSPPPMLLQADPAAATSEQSQSSPHPPPAATFMSQHFNLPAEEAPADLLNGGRQELESTTPVTLPLDGHGLGIPCPEKNRCESETEALDCNNQPTPSSDSVILWDDSKIGLSTTLDDTRNASPPNSHLSVAATDCGIAVGSTAACPSAPVAAPPRVPCEAWWKKTFSFLRGNATESLTFRFVFVADKLQLRLEFGVDGQKISFPASKTLGQVEVSMLGGSPVGT >SECCE5Rv1G0361710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780754219:780755019:-1 gene:SECCE5Rv1G0361710 transcript:SECCE5Rv1G0361710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMSLSSPAFAGKAMKNLPSSSLFGEARVTMRKTAAKAKKVASSSPWYGSDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEVKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLSDPVNNNAWAFATNFVPGK >SECCE1Rv1G0048580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:636443562:636444311:1 gene:SECCE1Rv1G0048580 transcript:SECCE1Rv1G0048580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLARPVEGEVVGEARSVQCDCCGIAEECTPTYIGRVRAHFHGKWVCGLCAEAVKERQQRDPDLAVAAAVDATAALCQRFNSTVRLNPKLSLASSMRDIARKSCQNRATGSEGTCTAGAGINSSDAACCGAGRATSCALPYV >SECCE7Rv1G0507460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:761861438:761864515:1 gene:SECCE7Rv1G0507460 transcript:SECCE7Rv1G0507460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MSWSGLVSLLVPCLLSFVLLRFSALLDSGAAVPRVKRSPPLPLRFRHDGAFKILQVADMHFGNGAATRCRDVAPEVGGARCSDRNTTRFLRRLIEAERPDLIAFTGDNIFGGSATDAAESLLRAISPAIEYKVPWAAILGNHDQESTMTREELMMFLSLMDYSVSQVNPPGFLVHGFGNYHVGIHGSFGSGLVNTSLLNLYFLDSGDREVVDGIRTYGWIKESQLAWLSATSKKLQQNSPAPALAFFHIPNPEVRELWYTDFKGDYQEGVACSFVNSGVLGTLVSMGDVKGVFLGHDHLNDFCGNLNGIWFCYGGGFGYHAYGRPHWPRRARVIYTQLKKGQRSWMGVESIQTWKLLDDENLSKIDEQVLWRDSDDDSYQSVHV >SECCE2Rv1G0111550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:701686414:701689703:-1 gene:SECCE2Rv1G0111550 transcript:SECCE2Rv1G0111550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSKELLGIEPLELRFPFEFMKQISCSMELTNRTDDYLAFKVKTTSPKKYCVRPNSGIVPPRSTSSVVVTMQALKQAPPDMQCKDKFLVQSAVVTEGTAVKDITGEMFKKESGNVVDEVRLKVVYVQPPRPPSLVREGSEEGSSPRPSWSDGPNINYQDVQATRESDEPPLSSAHKEPSELTTETSPLISRLTEERNSAIQQNNKLREELDLVRREISKQNSNGGFPLVFVVAVALLGILLGYLMKR >SECCE2Rv1G0124830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:834948644:834951721:1 gene:SECCE2Rv1G0124830 transcript:SECCE2Rv1G0124830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAVGAARWVVGKALAPLTDGLLEAWAASKELGPNIDSLKMELLYVQGMLNNTRRREIDNPALNVLLQKLRDLAYNAEDVLDELDYFRIQDQLEGTCEAADEHSRGCVCNLFFNVHHTARAVSKQLCSGRDPSEPTEEDATQQVFCCAWPCAKRRSARENTSSASHNHQAGDEVSGCMHKLASGACNTIRHVGKFLNCSSLPTHDDNNSVMPVTCCVCKPKVSQKKHVKETPKLEFDRVDLSKRMKHIVEQLQPVCAKVTTILNLELLGSNAPSIPKSPPTTTSDIIEPKLYGRDPVKKTIIDSITHDNHSRKDLTILPIIGPGGIGKTTLVQHIYKEVQKHFKVKIWICVSQNFIVNKLIEEIEKAIPSVEGEKKGRSEEMIEQRLNSKRFLLILDDIWRCESEDWERLLVTLRKGHAQGSTIIVTTRFPAVAEMVKPTDSPINLGGLEGKAFKDFFRACIFGDEQSQNGHDELLKIGDEIAKKLKGSPLAAKTVGRLLRNHLEVSHWTRVLESREWEMQNGERDIMPALKLSYDHMPYHLQQCFSCCGLFPEDYKFNSEELIHFWIGLDILHPGGRNKTVEDAGVDFLNDLVNHGFFKKDETSGRPYYIIHDLLHDLALKVASHECLSLHHSNVSSLEIRPSIRHLSIIIDGPDDSNGITDEKFKSELRKLKTKLKVENLQTLIIFGKGDKSFVNIFGDLFRKANALRVLSVPILSYPLESMLHSFSSLLHLRYLKLSTYVRQMHLPTTLSIFYHLKILDLQAWFGCCDLPRDMSNLAKLCHFLARNDELHSGINNVGKLNLLQELKAFSVKKESTGFELNQLEHLTELREVGICNLEKIHTKEEALEAKLIHKEYLQKLSLHWECARSNPDPDPNVEKVVLESLQPPKNLQELRITGHRGPSCPTWLGDKLVIEGLQSLHLSSVCWDNFPSFGKMWNLREIGLSNVSAIKEFGVEQSFCKLVKITFIGLENFEKWTPGASHLFPCLQVLLIKDCTKLSEFPYSSHIDCFPKLQELKIENVE >SECCE7Rv1G0509520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:781577112:781577591:-1 gene:SECCE7Rv1G0509520 transcript:SECCE7Rv1G0509520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASATGAGAKGKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRIGRYLKNGRYAKRVGTGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIVPRHLLLAVRNDQELGRLLAGVTIAHGGVLPNINPVLLPKRTAEKEPKEGKSPKKTAKSPKKADKKA >SECCE6Rv1G0379040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11734308:11737962:-1 gene:SECCE6Rv1G0379040 transcript:SECCE6Rv1G0379040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPDAAADGAASTPTSRAPGMASSASLRHRAMSASSKMLRSSLSRRSGRQRSSKVMSVAIEDVRDAKEAASVDAFRQTLVLEELLPARHDDYHMMLRFLKARKFEIDKSKQMWSDMLQWRKEFGTDTIMDDFQFEEVEQVLEHYPQGHHGVDKDGRPIYIEKLGAIDTTKLLQVTSMDRYVRYHVREFERAFALKFPACSISVKRHVDQSTTILDVSGVGYKNFNKAARDLIGQLQKIDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDPSELPEFLGGTCVCEGGGCMRSDKGPWKDPEIIKMVQCGLGRCGLNSSDPASAEEKIVTEDDAVPAAKKQESMRRDGVDSPKVAHDKIEHPPHMSPLHEVPNEEAKAAPSGKEGSSAPYDDLFPMVDKGMEFNWNGEMSAEKLAIARDMYASLPDAYKHGDAGDRQVVTGFMAFVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKNRKLLQAQGQGGGGGGGPVVVSGVSTAQFDALAKRVGELEEKVAALGSRPAEMPADKAEQLAAAAARLDALEAELEATKKLLETSKGQQEEVLAYIEKKKKKKGMFCLQQQNPFRW >SECCE5Rv1G0299520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:18471872:18475624:-1 gene:SECCE5Rv1G0299520 transcript:SECCE5Rv1G0299520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLDRVSRSAYASLVIMARNVAVKGENTWGKPNWLCRLPCCSNYFCTSTYGNGEQSALTEAMDRAEMKGRTSYGVYAAHILNNTTHRDGSIYKRHSGFLGLWPLARRDETQLEPMMSSQPMNCSPNREKCDIHDATYMMQIFSLKLAKTSTNVGLVQLYGYIAVRDYHDSLLNYVVNCSRDDPIIVEQGSVIGMTGPKRYIAMLAPVLVEFDMRIKKGEQEEDDLQLIDGAIEYGDLHTPEYPFTDRINGDCGTVDITLALVSWAFEATIDVTVSKVQSRFDLSLSLFVFIMDGLHEIQLFRGSIGESCSLRRHVIAVKKDTWMHLKFKVGQRSCKNDGDLVRHCSFKAKKHGYNRRQIMLELSSISVKVTWSNVRTF >SECCE2Rv1G0114720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:737891678:737896856:1 gene:SECCE2Rv1G0114720 transcript:SECCE2Rv1G0114720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKKGARGRRPRREAGDECWPRKYADQEWPHLVDMVLSWSLEDVMDEGLFKDKVKMIPSIFNDIKSYLGSYTSPLLEELRADMSSSLEAISTESFVKVAWIEQTKYSAVYNIAFEADSQNTKSCNKPESNGRSVGDIIILSHVKPENISDITCNGRRYYIAFITDGADEDDDSPPASYAIRASAKIDAADEVSEDGKRSPLFAAHLLNIVTYIRIWRCLDYTTVRRNQNLIQEMVHYPRVANILPKNAKGIASIDSMEIWSELSTMDLNNSQNDAILNCISAMLCNSTSSLSLIWGPPGTGKTKTITVLLWLMRKLKHGTLTCAPTNLAVKQVASCFLRLSKENPLDTSCLGDVLLFGNKHRMCVEDDLKEIYLHDRVRKLLVCFAPLTGWRNCLSSMYDFLENGYSQYLRYFEDQNKQDKPSFLHYTRKRLDVIYPELRRCFKQLLFHVPKSCIFEVNYNNIISLLELLEDFNTFQRKTTRVEIKEVFMYEDVPRKSSMDIFPKTVITMGKTRIKCLELLKVLLSCLKLPITSSKRTIREFCMESASIIFCTVSSSSKVTSNKKLELLVVDEAAQLKECETLIPLRLPALKHAILIGDECQLPATVVSKVCKDALFGRSLFARLSSLGHEKYLLNMQYRMHPSISIFPNTSFYGGKLLDAPSVMQKEHQKKYLPGSMFGTYSFFNIEDSWEDVDELSHSRKNVVEVTVIQEILQNLRRVCSKAMKKVTVGVICPYTAQVLAIQEKLGKMKFGPLSVKINSVDGFQGGEEDIIILSTVRSNSDGVVGFLSNQQRTNVSLTRARHCLWILGNAATLSRSGSIWADLVRNAKERQCFFNAKSDGAISRVIAKHESELSSVKDKSVTPLQVIDYTVRVQAPSRTRKGRKRQQHPSLKCGPSDAGSRQRGGVASGRKRQQHPLKCGPSDAGSRQPGGVASGSDPHRRKDKGIVEDLAASFSNLRLR >SECCE2Rv1G0127950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857050655:857060406:1 gene:SECCE2Rv1G0127950 transcript:SECCE2Rv1G0127950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIDQLLRRNSKIKISQSITNGIHDQKEEQSVQALRESLLATNQLPEKFDDHHVLLRFLRMRGFNIIKAKEMFLNMLKWREDCAVDTIAKDFKFEEFDALKRCYPHGFHGVDRFGRPLYIERIGSVDLSKLMQVTSIDRYIKYHISEQEKTLSLRYPACSLAAKKHISSTTAILDVKGLGMNNFSKAAREMFIEIQKIDSSYYPETLHQLYIINAGSGFRALWRVLKAFMEARTLKKIQVLGTNYLSTVLEAVEPSNLPEFLGGTCTCSATGGCLLKDKGPWTDAGIISASKEPSARHVDSTCGRKRTLGMLLLEDNQVANQMSENVHQKQANEQISGKIQELEDCAAQTKETLETLICKQKELGIHIEQLRKLLRDDDVLAEKKTSI >SECCEUnv1G0532810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:24070785:24071298:-1 gene:SECCEUnv1G0532810 transcript:SECCEUnv1G0532810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCTDCPWTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVNKCHHFEWMDDYIQRLQGLGLLDSRRNAIPEFNLPHDSAASAAAARPEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE4Rv1G0231990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193260075:193260698:1 gene:SECCE4Rv1G0231990 transcript:SECCE4Rv1G0231990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPAVDPESSPAAQQAPLLGNADDRTQTTTVVGKALSSTADLAKHLPTGAVLAFEFLSPTFTADGTCTAANRALTGCLIGACALSCFLLCFTDSYRDETGTVRYGFVTPSGRLRLIDGAQQMPPRDERYRLSARDVLHGVLSFVVFLAVAMVDSNVVACFYPVESATTRQLLAAVPMSAGAAGSFLFAMFPSTRRGIGFPVAGTS >SECCE5Rv1G0368500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:829690232:829692601:-1 gene:SECCE5Rv1G0368500 transcript:SECCE5Rv1G0368500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g35130, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G35130) UniProtKB/Swiss-Prot;Acc:O49619] MATPLVLASRGAHAATTTTCASQHLAAATSKEPPPRVRPKRGGTGTKSLVLSHAAAGRMDDAQEALAAAGSRDTFLHNVVIRGLADAGLPGAALAAYRAMLAAGARPDRFTFPVVLKCCARLGALDDGRAAHSAAIRLGVAAADVYTGNSLLAFYARLGLVDDAERVFDGMPARDIVTWNSMVDGYVSNGLGALALGCFREMHEELEVQHDGVGIIAALAACCLESALMQGREVHAYVIRHGMEHDVKVGTSILDMYCKCGDIASAEGVFATMPLRTVVTWNCMIGGYALNERPEEAFDCFVQMKEEGHQVEVVTAINLLAACAQTESSLYGRSVHGYITRRQFLPHVVLETALLEMYSKVGKVRSSEKVFGRMTTKTLVSWNNMIAAYMYKEMYMEAISLFLELLNQPLYPDYFTMSAVVPAFVLLGLLRQCRQMHGYIVRLGYGESTLIMNAVLHMYARCGDVVSSREIFDKMAGKDVISWNTMIMGYAIHGQGRTALEMFSEMKRNGLQPNEITFVSVLTACSVSGLTDEGWAQFNSMQRDYGMIPQIEHYGCMTDLLGRAGDLKEVMQFIEKMPIDPTFRVWGSLLTASRNINDIDIAEYAAERIFQLEHDQVEHDNTGCYVLISSMYADAGRWKDVERIRSLMEEKGMRRTEPTSIVELHGISCSFVNGDMAHPQSKMIQEVSNFLSGKIGEMVDPTNQSDPTSLESRRTTEPNKHSVRLAVVFGLISSEARTPILVKKNVRICNDCHHALKLISKYSGRRIVVGDTNIYHQFSDGSCCCGDYW >SECCE5Rv1G0319000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:369783762:369785775:1 gene:SECCE5Rv1G0319000 transcript:SECCE5Rv1G0319000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannan endo-1,4-beta-mannosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G01930) UniProtKB/Swiss-Prot;Acc:Q9LZV3] MGDMMCPASATSWSTTTPHIPLLPYVFLGLASTVMSQEADAEEEWAAVERRGAHLVTGAAERPFIIHGFNTYWLMSFAADEATRPRVTAAIAEAAGAGLNVCRTWAFADGGYHALQTAPFCYDEEVFQALDFVVSEARRYKMRLILSLCNNWEDYGGKAQYVRWGKEAGVDLTSDDDFFSDPTLKGYYKAFVEAVLSRINTITNEAYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSVDTMHLVEIGLEGYYGPSTPELLLVNPDDYSGHVGTDFIRNHQAMGIDLASVHIYSDTWLPDSTEESHVQFVNTWMQQHIDDAANLLAMPIVIGEFGLSLKDGKFGNEFRETFMQTVYNNFLGSWESGMIGGGCLLWQLFPEGAEHMDDGYAVIFAKSPSTLNLLANHSRKLEC >SECCE3Rv1G0180200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:567473724:567476492:-1 gene:SECCE3Rv1G0180200 transcript:SECCE3Rv1G0180200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (cytosine(38)-C(5))-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G25480) UniProtKB/Swiss-Prot;Acc:F4JWT7] METPPPWRFLEFYSGIGGMRYSLASSGFRAEVVEAFDINDVANDVYEHNFGHRPCQGNIQTLTAGDLDKYKAHAWLLSPPCQPYTRQGLQKHSADARAFSFIKILNLMQNMRFPPQMLFVENVVGFEVSDTHDQLLAVLSTLNFNIQEFILSPLQFGVPYSRPRYFCLAKQESMCFANSSVNGKLVRTPTCLTLNNTTTQNSYDQNEDDLEVVCNPIRNFLEAQSIVIGDKDCLAIISDFKEADGCTPSETASHDYTVPLSLIERWGNAMDIVYPESKRCCCFTKSYYRYVKGTGSVLATSKNLKPVPKENLEISSLNELGLRFFTPREVANLHSFPPSFRFPDHISLRQQYAMLGNSLSVAVVAPLLRYLFAEI >SECCE3Rv1G0187900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:706543345:706546798:-1 gene:SECCE3Rv1G0187900 transcript:SECCE3Rv1G0187900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIMKVLDHTVREIKREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDMADIARATKNIGECQIIMKVLWQRLGNTEANWRHLYKALAVAEYLLANGTERAAEEIIDNSSQIAKLTNFEFVEPGGKDVGLNVRKKAEAVLVIVDDREKLQQVREKAASTRDKYLGVSSSGMSYKSSAASFGSGSYSSSSRYGSTAGSRETASKDRHTGTELSKNNKPSYGSTRQRSKETTKTKSTNSSKSAKGGSKSLSNPRAAPGVPSSQKGKNEDDGDDFNPRGSSTSAGTANVSSNNLDLFEPRLMPNAGSAAVPEIDLFAGADFQSANAPFGAATPSGSHPRDNIDLFAGRPSFGGSATADMEFSVRDTPKKHPQQTSSSPAQPSASAFDPFNPSFATLFPSDAEFSVRGSPSKSSQGKPPAPENSSHTAFDPLAGVPVKSFSGSNSSGVWSSSKGSAVTKPTHGSPGATKSSDYSPSEELFGAFTSYEESRTASATRSMNESLAKQKQDSVQASKPAVKKETFRGKSSIWADSLSRGLIDLNLAAPKMVDPSDPGVVRRLSNGSEEKAPEAVPWYMEAATVTPEFPRSTGAGGESRIFQQQQQQQFGNFR >SECCE6Rv1G0387110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:106231843:106233074:-1 gene:SECCE6Rv1G0387110 transcript:SECCE6Rv1G0387110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLIRKMPAVGLRSTPMPPRMGSVHALSTAAGSRIMSHRAPGINGRAKIYSEDEIAEGREAIKRLHAEIEKDRAESERYLAENRNVMKRLEQQSAAGEAAYNAAMRDISEARVSCMKTLKNVATACDVVAVACPMLIILMMITT >SECCE3Rv1G0193060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:765220468:765221661:-1 gene:SECCE3Rv1G0193060 transcript:SECCE3Rv1G0193060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDVLLRLLILAAVASAMPVPGPADPAAAGLAIGFYDKTCPRAEELVLEEMRDIVHKDRTLGPALLRLLFHDCFVRGCDGSIMLKSRSKKGERDAKPMSNSLRGFHEVERIKAKLEEECPLTVSCADIIIMAARDAVYLTNGPRFPVETGRRDGKVSLCLDAENDLAPPNANIVDLKTYFSVKNLSWKDLVVLSGSHTIGRAQCAAFAPDRLYNNSGKGVQDPTLDKGYAPELREKCEPGNEKDETPVEMDPKSPYEFDLSYYRDVHSNRSLFVSDQALLDDKWTRDYVERMAAAESTEEYFQDYAAAMINMGRMEVLTGGNGEIRKICSAYVD >SECCE7Rv1G0455680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8034638:8038079:-1 gene:SECCE7Rv1G0455680 transcript:SECCE7Rv1G0455680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHKLKSPSAPSPMATLSCSIHIPFFILILLLSVLSSSSSSNPTNSNGSDTDLAALLAFKAQFADPLHLLASNWTTGTSFCNWFGVSCSRRRQRVSALLLSDMPLVGSVSPHLGNLSFLSILNLTNSNLTGSIPAELGKLHRLRYLSLVGNSLSNTVPSTLGNLTRLMFLHLAYNQLSGHIPPEMLLHTHNLRKLNMYANDLSGQIPSYFFNNTPSLTYINFGNNSLSGPMPEAAAHLSMLEVLNLEVNQLSGLVPESMYNKSRLQLLALASNGNLAGMFPSNQSFNLPMLEFISLSDNKFFGRFPSELASCEYLEIIDLGENSFEGIVPAGLSKLSHLQVLHLGYNNIVGPIPTALSNLTRLTYLDLSGGNLTGEIPQELGLMQELSFLSLGSNQLIGEILASLGNLSKLSVLILANSQLSGQVPITLGENAALNKLILSNNNLEGNLDFLSALSQCKQLQVLIIEANSFTGILPSLVGNLSSKLVTFIASKNQLIGGLPVAISNISSLVRLDLSDNLLTEPVPGSIAKLENLVWLDLSNNDMSGPIPIQIGMLWRLEQLFLQANKFSGSIPRSFGNISFLEYIDLSSNQLSSTIHPSIFHLDKLLKLDLSNNSFAGALPSDVSGLNQIYQMDLSSNFLVGRIPESFGQLNMLTFINLSHNSFKGFIPGTLGKLKGLASLDLSSNNLSGTIPMFLANFSYLTILNLSFNNLEGQIPDGGVFSNLTFQCLNGNDGLCGAPHLGFLACVDMPHSSNRKLLQILLPTLTVVVGAVAISIYQWSRKKLNKREAIASDDPTDVVGYQIVSYHELIRATNNFNEENILGSGSFGKVFKGQLSDDLVVAIKVLDMQLEQAIRSFDVECQVLRMARHRNLIKILNTCSNLEFRALVLPYMPNGSLEMLLHQSQGSLHLGFLERLGILLDMLMAMEYLHHEHYELILHCDLKPSNVLFDEEMVAHVADFGIARLILDDKSMTCASMPGTVGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGKRPTDAMFGAQLTLRQWVHQSFPAELVQVIDGKLLQDSSAGCYSLEDGFLTSVFELGLLCSSDSPDQRMTTHDVVVSLKKIKAEYAQ >SECCE2Rv1G0110740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:693726944:693734512:1 gene:SECCE2Rv1G0110740 transcript:SECCE2Rv1G0110740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLPRRLLAMAPLSASAATKALLLNPARGRLPSSLACLSAPGGRSFRAASLRCYAAAAVAEQHRIKVNNPIVEMDGDEMTRVIWKMIKDKLIFPYLDLDVKYFDLGVLNRDATDDKVTVESAEATLKYNVAVKCATITPDETRVKEFKLKSMWRSPNGTIRNILNGTVFREPILCKNIPRILTGWKKPICIGRHAFGDQYRATDMIINGPGKLKMVYVPDGAEPVELDVYDFKGPGVALAMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEENWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMSSVLLSSDGKTLEAEAAHGTVTRHFRLHEKGQETSTNSIASIFAWTRGLEHRAKLDNNDRLLDFTHKLESACVETVESGKMTKDLALLSHGTKVTREHYLSTEEFIDAVAQQLGEKIQTSASL >SECCE3Rv1G0206620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:910046165:910047858:-1 gene:SECCE3Rv1G0206620 transcript:SECCE3Rv1G0206620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKDEHTGNPEREEVEEEEDEEAKRAVVLGPQVPLKDQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPDRPDLVLPIPFVPDEKGYAFALKDGSAYSFRFSFVVSNNIVSGLKYTNTVWKTGVRVENQKMMLGTFSPQAEPYTYEGEEETTPAGMFARGSYSAKLKFIDDDGKCYLEMSYYFEIRKDWPAV >SECCE7Rv1G0483620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:324427680:324429830:1 gene:SECCE7Rv1G0483620 transcript:SECCE7Rv1G0483620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPTPVFERLAGIRPLAESGRFKAWFLDQFGVLHDGKKPYPGAILALEKLAGNGAKMVIISNSSRRSSVTMEKLQSLGFDTSCFLGAITSGELTHQYLHKRDDPWFAALGRKCIHLTWGNRGAISLEGLDLQVVSNVDDAEFILAHGTEALGLPSGDPLSKSLEELEQILVLGIQKRLPMVVANPDYVTVEARNLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVEAHECITVGDSLHHDIKGANGAGMASAFITGGIHAAELGLGEFGETAGDDAVGALCCKHSSYPSYVLPSFAW >SECCE7Rv1G0504810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:726956768:726957901:-1 gene:SECCE7Rv1G0504810 transcript:SECCE7Rv1G0504810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATSQERDCWSSLPADLLVLILNRLRWSSHPSFALVCKQWRSAVSPFYPAWITPLLLSSSNVGTTNVRYYSPYYHKNFEIADNALPGAKIGCATGQHLALFLDDHRILDLELTSGAAHELPPIDETFKARYDFLVYDGEQTMYAVDPKYGMVQIARSIKNDVGRWGDWANTEFYLDEPQIMASPDCNPVLHGGGMYILGEDGRLGVYKGEGHVQSFQVLEKPLSFGSDSEREEKYLLEDDRGELMAVLFGRRGTPVDIVKLDKHAMEWNKVESLDGRALFTGTRTTVVKKMNLKCMQNKIFIPRLHDWPETVHVDIVQRDGEHAFLPKEGCAGTKPGNYGTGIWSHELGQHQEARGYWGTERVDYSIWVDFDGTS >SECCE3Rv1G0169100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:232418613:232420549:1 gene:SECCE3Rv1G0169100 transcript:SECCE3Rv1G0169100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFVKFQMNSRSYNKLDTANDKMDFNTRGNTAFNGTLDRNQSGVSANPSTASKPAAYSQIPMDFSTRKNTAFNGALDRNQSGVSANPSTSSKQATHSQIPSDKKPRPKKEDFADKGNPNHMTKRLVNPGESPVLTPTKEASRGMNSNKHEKLSDSVLTIRQGSVYSPRSNSMDSCISGHVKHHTGGDCRWDAVQLATSRDSPLSLVHFRLLKRLGYGDIGSVYLVELRGTETFFAMKVMDKESLISRNKLIRAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCCGGNLHSLRQKQLNKHFSEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDGGHIMLSDFDLSLRCSVSPMLVKSSSVHAGPNGIEKGLADTEGMNNGCIQPSFFPRMLSMSMSKRNRNKTKSDLSLHGLQTMEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGMTPFKGNGNRATLSNVVEQPLRFPESPPVSNVARDLIRGLLTKDPQKRIATKRGATEIKQHPFFEGVNWALVRSAHPPSVPDPVDFRQYLGKEKKTAERGLGTAPSSLSAGAVAAAKTASGQFEYF >SECCE5Rv1G0319680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:377928580:377930773:-1 gene:SECCE5Rv1G0319680 transcript:SECCE5Rv1G0319680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNVSTNVNLEGVDTSAVLADASSTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKSRFYLKFHDSKAHPAQEHAQCLHALHQE >SECCE7Rv1G0471730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:133676142:133679896:1 gene:SECCE7Rv1G0471730 transcript:SECCE7Rv1G0471730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKDDAIQEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGYRMAAECARNALLQKTMDNKDNTEKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLESIQILKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENANILVANTAMDTDKVKIYGARVRVDSMAKVADIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAVEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKLIEEIMIGEDRLIHFSGVAMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVTDTRVIYGGGWPEMVMSKEVDELARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENSTAGIDVISGGLGDMQMRGICEAFKVKQAIVLSATEAAEMILRVDEIITCAPRRREDRM >SECCE5Rv1G0328740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509616973:509617491:1 gene:SECCE5Rv1G0328740 transcript:SECCE5Rv1G0328740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMAMSSFAGAAVLPRGSASRFGARSLPALGRRALVVRAQTEGPSAPPPNKPKASTSIWDAMAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYTVAVLSMASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEIITGSPFINV >SECCE7Rv1G0484830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:349486430:349489527:-1 gene:SECCE7Rv1G0484830 transcript:SECCE7Rv1G0484830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAMTLAMAAATDASLFHPTFPAQHKLAPASASLPLIFSRAPLLRSTRPRVPLTPLVASSDAAEAGLDWADAEEAEETVTEEEPAVVASGGDAGYSAEPPEEAKVYVGNLPYDVDSERLAQLFDQAGVVEVAEVIYNRESGQSRGFGFVTMSTIEEADKAIETFNRYDISGRLLNVNRAAQRGSRVERPPRQFASSFRAYVGNLPWQAEDSRLVQLFSEHGEVVNATVVYDRETGRSRGFGFVTMASKEDLDSAISALDGQEMDGRPLRVNVAAERPQRGF >SECCE1Rv1G0022920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:290710343:290725851:1 gene:SECCE1Rv1G0022920 transcript:SECCE1Rv1G0022920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAPLAAVLLLPLVVALLPAGGRCQQGDGDSGPGLGVRDRALPPSPSGAATEALLARMNTVIQELADEVQSKYGFCMSNVNNDFNQAFDFTSDQSFISNCDEQSQGRLAEMMCRKAEIELYLSSLGSKSIVRISRNCNQFSWAPGCQSGWACSTPDANSFANNSFENTVPSRAENCRPCCPGFFCPRGLTCMMPCPLGAYCPLGTLNETTNLCDPYSYQITPGSNQTCGSADSWADVITTNDVFCPPGHHCPTTTQKSNCSKGSYCRKGSTGEKKCIWKSRCPENSTKEDIALFGGILIVVLIVVLLLVYNCSDQFIALRAKISSMSRKKAAKIAQESATARGRWKLAKELVLRHEMEMYESSDAPEELATSSDGILHANKGNGKRSKNRRKLNVRTERFRRAYSQIDKERALQLDKDKLTLSGIVSRAAENRPQRPMLEVAFKGLTLSIGKKKLLQCVTGKLSPGRITAIMGPSGAGKTTFLNAVLGKTSGYKKDGLVLVNGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSGCCRLSKSMSKADKVLILERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQQLLRALRHEASQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYHGPISEVENYFSGLGIKVPDRENPPDYYIDILEGIAKTKMRGHATPKHLPLLWILHNGYEVPEDMRKDLEEINTMHELYTVGSITRELSSVEQTESKDSVHQNVSQRNDLLNRKTPGVLAQYKYYLGRVAKQRLREATQQAVDYLILCIAGICIGTITKVNDDTLGVASYGYTIIAVSLLCQLAALRSFSPERLQYWRERESGMSSVAYFLARDTIDHFNTVVKPIVFLSTFYFFNNPRSTLKDNYLVLLALVYCVTGIGYTLAIWFELGLAQLCSALLPVVLVLVGTDPKFPQFIKEFCYPKWTLEAFIIAGAKNYSGVWLITRCGALLKGGYNINYFALCIAIMMLNGVLFRFVALFSLLKLR >SECCE6Rv1G0419280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:668351734:668353257:1 gene:SECCE6Rv1G0419280 transcript:SECCE6Rv1G0419280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLRRVRPRTGTAGGEDRLSALPDDLIRLIVRRLDTRTALSTAVLARRWAHIPRDLPELDFRISDVIPPEYHRTVALRRRNMPRDTFLAEMLDRLMARCEFDTIAAFIDGITGFLEADGGPADGDARRRANILRLEFFQTHDGGCAINRLIAAAVGAWGVRDLEVVARQASCDVLQAPPYRFPHDHDCLKDRLRSLTLGNYCTLPPLHSYGALTTLVLRDMAASTPVDVYQRVFTECARLQVLHLISCCCAQDYVVVDAPCSQIRELILDQCKFMATELRHLPMLVSLACCLTDTYRLIFGLVPSLMHTNLTFAAESWIVPRRCRDYFSRYIIGMPPTMTDLVLRFTGLRRWMVPNPPDKPLLNLKKLLVADLPANWNITWPRGLLLAAPSLEVLHIHVPCSEEEPNYGSMRMTSLEALQRHHRLKELAITGFLQRHIWFLKYVVSVCTSLQRVVLLKDDNHVRYNGLWDWEMVEQQACPWSNDDEMVVRRIINTGCRPLVELSVG >SECCE2Rv1G0067820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20194753:20198384:1 gene:SECCE2Rv1G0067820 transcript:SECCE2Rv1G0067820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPAPTSPASIPASGGFLLARARVDPGAGGRWAVRAQPAAAELVERSVNTIRFLAVDAVEKAKSGHPGLPMGCAPLGHVLFDEFLRFNPANHAWFDRDRFVLSAGHGCMLHYALLHLAGYQGVTIDDLKAFRQWGSRTPGHPENFETDGVEVTTGPLGQGFANAVGLALAEKHLAARFNKPDLAVVDHYTYVILGDGCQMEGIANEAASLAGHWGLGKLIAFYDDNHISIDGSTGIAFTEDVIARYEALGWHTIWVQNGNTGYDDIRAAIKEAKEVKDKPTLIKVTTTIGYGSPNKASTHSVHGSALGSKEVEATRKNLSWAHEPFHVPDEVKRHWAHHLDEGASLEAEWNARFAEYEKKYHQEAVELNSIISGKLPAGWEDALPKYTPESPADATRNLSQQCLNALAKVIPGFLGGSADLASSNMTLLKMFGDFQKDTPEERNIRFGVREHAMGAICNGIAVHSPGLIPYCSTFFVFTDYMRAPIRLSALSESGVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPGILVLRPADGTETSAAYRIAVINRKRPSILALSRQKLPQLEGTSVEGVAKGGYVISDNSSGNKPDLILISTGSELEIAEKAADQLRKEGKSVRVVSLVCWELFEEQSEGYKESVLPSEVTSRISIEAGVTLGWEKYIGQKGKAIGIDRFGSSAPAGRIYKELGLTVENLIATAKSL >SECCE3Rv1G0199970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:850210175:850211682:-1 gene:SECCE3Rv1G0199970 transcript:SECCE3Rv1G0199970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTGEAAWRSRAVCGTEYSCCRAAPGGTGTTLIALRLSRGGAAAEAAVRALQSAHPVLRAHLRGPASSPTLAFPSTAPQLRLAPQPCALDFHSLLEREINRNPWAAAAPGPDGAPVLFAALYELPPPAGGAALFVLIHTAVCDRAASEALLMELLALLGGAGVGGPEVKEEAAAVEAGLEKRMRKKDARKPLWARGVDMVGYSIDGLRASTLPFEETGTARSTQMVRLLFGREETTRLLDACKENGVRLCSAMAAATMLAARQSKKLFNSGQQATYSVATLVNCREFLEPPLNDHNVGFFYSAITSTHKVNREGGLWALARRCHDAHSNAKDSKKHLADIRDLNFLMCRAIENPQLTTASALRTALVSVFEEPATLDVSDLQSKAGVEDYVCCATVHGIGPSIGVFDSIRDGELDCACVYPSPLHSRKQIQELLDKVKMILHQGSSPSDG >SECCE4Rv1G0288400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:860679187:860685227:-1 gene:SECCE4Rv1G0288400 transcript:SECCE4Rv1G0288400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSVCHHLLAQCRSLRELERIHARAVTHGLHPSNQSISCKLFRCYADFGRPAEARTLFGEIPCPDLVSYTSLMSLHLQLSQHHEAMSAFSRAVASGLCPDGFVVVGALSASGGAADLRAGLGVHGLIFRCGLGLEMVVGNALVDMYCRCGRFEGALGVFDEMAVKDEVTWGSMLHGYMKCVGVDAALSFFDRMPVKSAVSWTALVTGHVQAKQPIRALEIFGRMVLEGHRPTHVTIVGVLSACADIGSLDLGRVIHGYGSKFNISTNIIVSNALMDMYAKSGSIEMAFAVFEEVQLKDAFTWTTMISSFTVQGDGIKALELFEDMLRSGVVPNSVTFVSVLSACSHAGLIQQGRELFDKMRRIYNIKPQLEHYGCIVDLLGRGGLLEEAEALIYDMDVEPDTVIWRSLLSACLVHGDDRLAEIAGQEIIKREPGDDGVYVLLWNIYASSDRWKEALDIRKQMLTRKIFKKPGCSWIEVDGGVHEFLMSSGDGIDGDDKSEETHSKYIRRYKCEFCTVVRSKMYLIRAHMVAQHKDELDASEIYDSNGEKLVHGVGHTCKECGACFRKPAHLKQHMQSHSKERSFACPLEDCPFSYIRKDHLNRHMLTHEGKLFTCPLEGCGKRFSIKANIQRHVKEMHEDEHECKMDGTKSNQQVICQEEGCKKAFKYPSQLKKHEESHAKLDYVEVVCCEPGCLKAFTNDECLKAHYRSCHLYTQCDICGEKHLRKNIKRHRQSHDGVHSTERLKCSFDGCECSFSNKSNLNKHMKACHEQVRPFECRVAGCGKTFPYKHVRDNHEKSSWHVYVEGDYEEMDEQLRSRPRGGRKRAAVTVETLTRKRVTIPSEASPLSDGAGYMRWLMSDGDDSNHA >SECCEUnv1G0534090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35915566:35920605:-1 gene:SECCEUnv1G0534090 transcript:SECCEUnv1G0534090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVAQRRPAAPPAPPAAKKVVKVPSRTAKRAAPKKQKLPEPSPSDDSEAEQQPLHEEEEEEDSDVEAPSDSDAEELSGSDAGSSDGEKDDDEEDEDDDDEEEDDDPLAGDFLAGSDDDSDEGGDSGDESDRSDDLVAKSEALDRQKEQAEEDAAADLALNIRSESDEFRLPTQEELEEEALGPPNLPNLKRRISEIVRVLSNFSKLRQENVARKDYVDQFKTDVMAYYGYNEFLIEAFVEMFPAVEVVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTVSSGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANELNEKRLHGLLGNIHRLGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTVWKDAQIKTSKDIDDIRNCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSMMIPENEAVIDYALKKRDVKVVPCGLDFGRPGFIRFREHRFHTSLEKTRRFYPHVNNMDGFFVAKLKKMSNTIPASSESSKEPEEAPEGVVDPNLDEEDEKDVPDRQPTKSKNHKQGKKKNEQASIPEGEGDQSRAPERPAKQPKNNKGVKNSGPKSADTNGDKKETHNEQAEHAKSHKGAKKSKGPKIAGMDVDEKETLSEQTEQVKSNKGAKRSKGPKSAGINGEKKDVAEEQTEQTSHKKKHVSDKIKNSGSKSTSGTKEKKPASKEGSNKRKRKWQFKLRRDWEAKQKSEKRTKV >SECCE7Rv1G0519390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:864550902:864552342:-1 gene:SECCE7Rv1G0519390 transcript:SECCE7Rv1G0519390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAGGGRTEENKPSILSTLPKHLPLDFLKSITDKFSEKRIIGEGAFGTVYKGTVPDGETIAVKKLAENPPLPRDKAFNNETVNIVADIVESVLCYEYLPGGSLKKNLFGDTPMDWDTRFNIIKGICEGLLFVHSIPIVHMDLKPENILLDSNMVPKIADFGLSRLFGQEQTRMNTQNVVGSYGYIAPEYLYRGEISTKSDIYSLGVLILETTTREENCRGNKPSAEQFIKKVRENWTEQHIVSEYPSLKADCLRQIKKCIEIGLQCVETDRQRRSSIEEIIDQLNGRHSS >SECCE4Rv1G0232440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:200157209:200157954:-1 gene:SECCE4Rv1G0232440 transcript:SECCE4Rv1G0232440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPLDEATRMIVLMIMWRNWYVRNEITHDKKPPPTKVSRRFLQGYINSLLCIQQHPHADMVKGKMTKVKEERAWEAPRPGYAKLNTDGSFVMESGAAGGGMILRDDRGEIIYTACREIHTCNTALGAELAACKEGLDTEAVAMITRREEDRSVYRGLVQEIKRLAIHDEREISFASCSRSQNKCSHELASYGRCTPRTAVWFYSGIESVVRLAEAEKPP >SECCE6Rv1G0382570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:44444097:44445311:-1 gene:SECCE6Rv1G0382570 transcript:SECCE6Rv1G0382570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTLGRRNGGSSFLLVILLVTAAADIAAAQQTGQGPSYFNYQNFNPSMAVIIVVLVTAFFFLGFFSIYIRRCAGGPLGGPGEDLGVPGARPGGLTFLTAARSRRMRGLDPAALEALPTMAYADVREHKVGKGELECAVCLSEFGDDDTLRLLPKCSHAFHADCIDAWLASHVTCPVCRANLVPGSDAPTPSGAAATSATPARDVAAATLAPQPEQETPTAPPEQVTVVITDAVDETEEERVRREEAAELVRIGSVKRALRSKSARSAAAQFPRSHTTGHSLAAAPAESAERYTLRLPEHVLREVVAAGKLRRTKSLQAFREGRAGGSTRRGSRSVRLGQSGRWPNITMSSFLGLSFSAWGSSRRGEADAPGKGGAKVVGDGTAAAEQQCDGGACPLPLGGRRV >SECCE4Rv1G0230150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:158979120:158979359:1 gene:SECCE4Rv1G0230150 transcript:SECCE4Rv1G0230150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAMREIASAINNTCHAETHPDLYKAVMDLVVFDQNDRLVVLDYLTEHKAKGLNFVKMNDEVRQTSFKRILKANPVLL >SECCE1Rv1G0058100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:697536879:697537889:-1 gene:SECCE1Rv1G0058100 transcript:SECCE1Rv1G0058100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTDTTPKPSLDDGIVADTLQIFSIKLAEIKGGLEWPLSVYGVVAARDPVDHNRNLLFCCSRTRSQIVRKNHPFLHLSGPSRAILFNDPVDFEIQLKVKCGAMSGDRALISAIHCYRARHHGVHTVCFENPFCRIELCMKLLVETIQATICSIRVVKQGIRQRVERRCRVACSTTPYSRKIIDGKTAYVANASSCEVVLLDPGRHATPEGSEGYLDLSRRVVSVEHEGNLELVVQAYSSSGDITAQGHISLAPRSFGFSQKKFYVDDALLEITVAWSFLVAHKDNLVRPVGF >SECCE1Rv1G0001350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4565466:4567826:1 gene:SECCE1Rv1G0001350 transcript:SECCE1Rv1G0001350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPVQAAPGSAYNLVETKPPLPAKLGPRGTVSKMASTYDMVEPMKYLYVSVVKARDLPTMDITGALDPYVEVKLGNFKGVTKHLVKNANPVWRQTFAFSFANLQSNQVEVIVKDKDTIHDDFVGRVILEVSDIPECIPPDSPLAPQWYNLSDANGERFHHGHSLGEIMLAVWIGTQADEAFPEAYHSGAHPLSAEGLTNTRSKVYYSPKLIYLKVSVIAAKDLIGAENSKDPPVNPTIAKIQMGSQIRRTRPGQPPANPVWNDEFMFVACEPFEDPLVVTVEEKVAAGRDEPIGRIIIPVASNVPRNDLAKSVPSKWFNLSRGMTVEQAAADVTTGTKHREHSKTFASKIHLKMSLETAYHVVDESTHYTSDLQPAAKKLRKSAIGMLEVGILSARGLGGSKSPYCVAKYGAKWVRTRTLLGPAAHAWNEQYIWEVFDLGTVITVAVFNNKNLDNHGVDERIGKVRVRLSALESDRVYTHYYPLMALTPGGLKKTGELHLAVRFTCIAWANTLAQYGRPLLPKMHYTNPISVLQLNSLRFLAMQMVVTRLGKAEPPLRREVVEYILDADSHMFSLRRSKANFNRIISLFSSALAAGKWFDNICKWKNPLTTSLVHVLFLILVCYPELILSTVFLYIFLIGVWNYRWRPRNPPHMDTALSHAEQAQPDELDEEFDTFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLAMQGERAQSLLSWRDPRATAMFITLSFIIAIVLYVTPFRVVAVLAGLYLLRHPRLRSKQPSAPFNFYKRLPAKGDMLL >SECCE4Rv1G0222180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55089150:55090865:1 gene:SECCE4Rv1G0222180 transcript:SECCE4Rv1G0222180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSTREAHIVVAFVCASVLYIAACAPAMAETTTTMTASPFPTVDKCASAGRSGDTVVADLDGTLLCGRSSFPYFAHMAFETGGVLRLTALLLLAPLAGLLYYLVSESAGIQVLIFASMAGAKVDDVEAVARAVLPKFYCSDLHPESWRVFSACGRRCVLTANPRIMVEAFLKDYVGAHLVLGTELLVCRGRATGLVRSPGVLVGESKAAALRQAFGDAAPEVGLGDRKTDYPFMRLCKEGYVVPPTPKLRPVPREDLPKPVIFHDGRIVQKPSPALALLTLLWIPIGFVLSCLRIAAGSLLPMRMVYHAFTALGVRVTVKGNPPPPASLETGQTGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEMLSPIRTVRLTRDRAADAAMIRRLLKEGDLVICPEGTTCREPFLLRFSALFAELTDDIVPVAMENQMSMFHGTTARGWKGLDPFYFFMNPSPGYVVTFLNKLPHELTCKGGKTSHEVANYIQRLIASTLSYECTSFTRKDKYKALAGNDGSVVSKPNIDKKKFIGS >SECCE6Rv1G0403510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:505031862:505035484:1 gene:SECCE6Rv1G0403510 transcript:SECCE6Rv1G0403510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVQRKSSLRSYGSAKSLSRPEEPPAAPGLGERREGWDDGSYDFWKNEGGGKGGGPAPRVEDFSFKNRPAQPPTSPQASSPSFSPKQAVEVAEDPPTRLIGNFLRKQKAAGAELSLDLDMEMDDIGRSSHPSLSNSRERETPRVSFKDRQPSSSSSSDSDDGIRNTSTSTPAGGLMRTKTRSRLMDPPPQSPVTPPAVDEERKSSALRPPKSGQFPSGRMTAKSGQSPSGRKSGAIGKSGPMEEEEDDPFIDDDIPDDFKRGKLDALTILQWVSLVLIIGALVCSLTIKPLSRKKVWELHLWKWELLVFVLICGRLVSGWVIRIVVFFVERNFVLRKRVLYFVYGVRGAVQNALWLGLVLASWHFLFDENVQTNTAVLPYVTKVLFCFLVATLIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVDEDYVLAEVRELQRAGATIPKELRAALPAKNLSGQRSIRISGLISKGDQSSRQLSKEKKQREIDEGITIDKLHRLNQRNVSAWNMKRLMKIVRFGTLTTMDEQIQQATGEGDESATQIRSEYEAQLAAKKIFHNVAKPGSKYIYLADLMRFMRQEEAIKAMHLFEGAKEHCRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLNQMCNVVVGLIVSALWLLILGIATTHFFVFISSQLLVAVFVFGNTMKTIFEAIIFLFVMHPFDVGDRCEIEEVQVVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIFNFYRSPDMGEGIDFSIHVATPVEKLALMKERILRYIDGKKEHWYPGAMVVLRDVDDTNKLKVSIWLRHTLNFQDMGMRFVRRELVLQEMIRVLKDLEIEYRMLPLDVNVRNVPPLQSTRMPTTWSYA >SECCE3Rv1G0158170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85882869:85884972:1 gene:SECCE3Rv1G0158170 transcript:SECCE3Rv1G0158170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable uridine nucleosidase 2 [Source:Projected from Arabidopsis thaliana (AT1G05620) UniProtKB/Swiss-Prot;Acc:Q8LAC4] MSMAAATKKKKVIIDTDPGIDDAMAIFVALRSPELEVVGLTTIFGNVYTALATRNALHLLETAGRTDIPVAEGSHVTIKKATKLRIASFVHGSDGLGNQNFPPPAGKAVEQSAAAFLVEQANLYPGQVTVVALGPLTNLALAVELDPSFPKKIGQIIILGGAYSVNGNVNPAAEANIFGDPDAADIVFTCGADILAIGINITHQVVLSDVDRKKLEQSDSKYARYLSNILGYYYDYHMDAYSTTGVYLHDPTTILAAVDPSLMTYTEGVVRVQTDGITKGLTVFDHTKKTYGEITAWCGKPTVKVAVTVDAPAVVELIMQSLLTDD >SECCE5Rv1G0356470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:739540471:739543814:1 gene:SECCE5Rv1G0356470 transcript:SECCE5Rv1G0356470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGAEGEAPRCVGCGRRVRTLFVQYSPGNIRLMKCDVCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLHIGSSIDKGILCQFILMHIVLDAFRISVSRSNKVDGDSSRSTLSTICNCSEVLGDALLGNIIFTAMLLLGVRYILKFSFDITRYREILLAVIISSYFKLFLLTMMVWEFPSSAIFIVETFVLSSNVVALRVVTRFPKAQCVGVCFMAHAAKHLTERWLMWTL >SECCE7Rv1G0455740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8182097:8187137:-1 gene:SECCE7Rv1G0455740 transcript:SECCE7Rv1G0455740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGAAPLLAKGRRVCKEGCPGCRLDQINKTNAGVPYLNFFYVWVVCLCAALPIQSLFPYLYFMIRDLEVAKQEQDIGFYAGFVGAAYFLGRTTSAVPWGMFADKYGRKPCIMISILSVIVFNTLFGLSTTYWMAIITRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRSIALIIGPAIGGFLARPAKKYPNLFSEESIFGRFPYFLPCFVISALAAAAGVACIWLPETLHMYHDDKMEAIDTISAQVTDLNIEDGIAKQSGSDRMASTKSLLKNRQLMSAITLYCVFSLHDTAYLEIFSLWAVSSRKYRGLSLTSQDVGTVLAISGFGVLVYQLVIYPLLAKHAGLIKPFRSATVLSILLLTTYPFMGNLYGVELKATITVACNILQNTAVIQEQRGVANGMSVTLMSIFKAVAPAAGGILFSWAQKNITGLFLPGDHILFFVLNMVSVIGLSLTFKPFFSMTSVMK >SECCE7Rv1G0492630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:554028303:554031168:1 gene:SECCE7Rv1G0492630 transcript:SECCE7Rv1G0492630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPKKPAKSGEKDFAGAGSSSLSSPTADARTTTDLTMSSRIGNPNNYVTNPGQSYSNRNAAVGAGASNGFMAPPVYEALPSFREVPPSEKPALFLRKLAMCCVVFDFSDPTKDVKEKEVKRQTLLELVDYVTSATGKFPEPVVQEVVNMVSTNLFRGPNPAPKENKVIESFDLEEEEPVMDPAWPHLQIVFELFLRFVQSPETDAKMAKRYVDQGFILRLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHYGIAELLEILGSIINGFALPLKEEHKSFLVRALIPLHKPKCVSMYHQQLSYCVTQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQSAEFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIEGLIKQNSKVLLPIILPSLERNTKGHWNQAVQSLSLNVRKIFLDHDPVLFEGCLKKFQDDEAQEDAVRSKRDATWKRLEEIASSNPQAGRPQAIAHQQSSST >SECCE4Rv1G0272270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:767773159:767776716:-1 gene:SECCE4Rv1G0272270 transcript:SECCE4Rv1G0272270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGIVVAICQHGGEFTSGPNGNLVYKGGEAHAVDVSREMPLDSFKDEVSKVFHVDVTDMSFKYFLPNNNRTLITISCDRDLQRMVDFTASAAQVDVFVISTGENRSVVTYTGASTVKAGSNAQGEKRKRPASKNKASKSNKKTPNATGAAVQANTHDFNQPRPVETLNDYNEDFQLEFGRDVAFATTAEAVSSAPEVINQEKLALVDTTQRELIGPFDDSINAYDGSEMIIDPPQELTSNPTMFWDDIIKGVGQEFDNVKDFRAQLCKYSIAKGFAYRFIKNETTRVTVKCVGDGCTWRLHASESSRSKKFVIKKMTDVHTCGGEGGEGQRRATRQWLTTIIKEKLRVNASLKPKDLVKEIYEEYGVLLTYSQVWRGREVAQKEMFHVLRETFGHLPWYRDRLFQTNPGSSLELSQTVDTRRVFIAFHASLHGFANGCRPLLFLDKVPLKATNEYKLLVAAAVDADDGVFPVAFNVVEDESYDSWVWFLMQLRIALQYHSYPFNAMTFLSNGQKGLDAAVPHVFEDSHHAFCLHHIMEEFRGELKKGPWSQQIRDAMVEDFTRAAEACNIDEFNASIESIRNISTEAADWIIARKPEHWSDAVFTGCRYDHFSSNIVDAFNNWIPTKKEGSMVLMIDSLRIKIAEIMEARREACKSWEGPLTPSMDFKAQAEMSKASKLTVLCSSETVFEVRGSGIFVVNLANWECTCRRWQLSGLPCMHAVAVFNRIGRSFYDYCSKFLKIESYHMTYSGTILPIPDMDTFDFSAGATIPPPKPRTSDKPRRKRFNPNKVTTLIRLCSRCKQAGHNKATCEALL >SECCE6Rv1G0389130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:146014989:146019347:-1 gene:SECCE6Rv1G0389130 transcript:SECCE6Rv1G0389130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLLPSRLPPPLLRQQRALPSVASLVSHSLSGATLPTGRLCCGGSESAPRRLAVAAAASSSSSGPLYPPPPPTEQTIERAKLEQVIKRLEKTARYFKNLGTLGFWSQLVCTFVSAGILSFSTVVTGKVTSPFTFYTTAAGVAAAFISVFWSFGYIRLSERLRKTASAPAKAPPRAEVIKSLKNGIVLNILGMGAAVLGMQALVGALVAKALTTSAVPYYQATSAGQSPVLALDVFLVQASANTILSHFLGVATSLELLRSVSIPPTEPAAA >SECCE5Rv1G0366950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:817691229:817693266:1 gene:SECCE5Rv1G0366950 transcript:SECCE5Rv1G0366950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGHELKHGQWSSTTFWVSHYGDGNKSSYNEIQVGWHIRPERYGDSQPHFYTLWTRDGFENGCYNMDCPGFVRANDAVIAPGDAIHPVTHVSGPIQNITLRVLKDKTSGDWWVYYGFNNIPTGVGYFPKSIFSYLAQKANVMSFGGFVKSTKALPTPPMGSGSFPNGGKGRAASFSDLRFINQDGNSSPITGNLTPLVTDGKCHSITPVDHARCFYGGPGGCVR >SECCE2Rv1G0069840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31333112:31334572:-1 gene:SECCE2Rv1G0069840 transcript:SECCE2Rv1G0069840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLSVLAYAAFFFLVLAVFRRICRAPARVIPQPIIEISDAAVTRRALIEYADAFSNRPMSMFRVPIVTGHRRRRSDNIISVPYGPLWRTLRCNLAAVTIHPTRYASYAPLRRAAIDDIVASVQSAASKGRAVVVRDGLYAAVFSMIARMCFGDGLVDEADVRAMQCEFREFILAAVESTSTCESELLDDWGRRQRDPIALRRRLADLFLPLIEEARRQSRFCDGQARSYVDSLIHLRVPDDDNDNDNDEHLRRALTEDEMVSLVLEFLGAGTETVVACVEWTLAHLVTRPEIQNKLRGEAITNIKYDGDNKYPSEDEEREVLHRGMAPYLHAVVLESLRMHPPTPFVMRDIRAEGAVVGQTVMPAGGLRVHFVLGDIGRDPKTWTDPDEFRPDRFLAGGEGEAVGPLPGPKEIKMMPFGAGRRYCPGMALGMLNVKCLLAALVREFEWSEGYSGVDLTELDGFFKVMKKPLRARATRHKCMQQP >SECCE7Rv1G0525010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:888041750:888044800:-1 gene:SECCE7Rv1G0525010 transcript:SECCE7Rv1G0525010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGDEERPLLHHPPHELHQDGDSRYTNDGTVDVNGQPAVKASTGNWRACFFLLGVQFSECLAFFAISKNLVTYLTSVLHESNIDAARNVSTWVGTTFFTPLIGAFLADTYWGRYKTLVVFLSVYIVGMLVLTVSTTLPWMMQSSNHGEIHRITVYVGLYLTALGNGGIKPCTSTFGADQFDITDPTERVKKGSFFNWFYFLNTIGSLLSTTVIVWVQDNVGWGIGFAIPMILTSLSFTVFIASRRIYRYKSTGESPMTTASRVVVAATRNRHLEFPEDCTTLYHPLSPPSEATFNVQHTTQFRFLDKAAIVSPSTHEKKGAATSPWRLCTLSHVEEVKMLLRLCPAWASLVVFFMITAQMSSTVIEQGMAMDNRVESFTVPPASLASFNVVTTLVLIPIYDVVLVPLARRATGEDRGLTQLQRLGVGLAMSTLAMAYLALLERNRLAEAAIGEAVSILWQAPAYAVMGVTLLYDRAPDSMKSLCTAFAQLAIAAGSYLNSAALGLVAATTVWIPENLDDGHLDYFFWTIAALGALNLLQFVFCTMRYNDNVACRQRHPY >SECCE5Rv1G0355940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:735375379:735382915:-1 gene:SECCE5Rv1G0355940 transcript:SECCE5Rv1G0355940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSYSGAGGKIRRRPAPRASAATPYDRPPAAAASRRLAAAAAAAYRGDGEGGGGWVSRLVDPASRLIAGGAARLFSSVFRKRFAVSAPAPAPAAAAQPPASPPPGQNAEPNQDLPEATHTGLTPVGGMAKGKDLAGTSGDKSLVEFDRLTDLLRARTVEPALSAQVDHEDKNETRTRIDGIGGSTSHGMAIDHSPPADVPIRDAKSPADLAKQYMSSRYSREPQQSSLRSQVLFGNKAEASNTGYYRTSGAQLVQERNQVNNENPGLPVNGYMASGLRGRSAICKMSRSPFFKGPSSSNDMNVSPFSLSHARTPSLAAGGRQVLKRSGTEFDNELGSVGPIRRIRQKSNMMSPFKDARASPRGNFLPTRTIGSDFAEGSSPHQEPPSSKRLLLESGQSMRTVERQRISEGGKPSNDDVPPVPTQPNKMAEKIFEQLNIIAPSPKGKQSGQQSVAGKPRQSMSNGPKGVSDPSSSRQFQDLDGVNHLHDSDLNGSALNKDKLMKDGSSKVHPNTFHQDLGNRDMKSDHVAILNKPAISVNSASRKPGFKMAVFEDLTEFDDDLEAPVPPKSSKFETDVKATEQKFDSKMKERKVQHVKFEQKVESTSSKENVISSSVTEQPRTSPSKDVSTPGLFSSSDPEKKGTPNVSSNNNTGFTFQQVPPADHPEGTVSAVPLASNKDDKQTSASPFMFGAKPSGTSDLETSTTAGVKIKGRLGESATKPASLDTPNLEKGNGREGAGDIHKSSDKVLPMAAPTLTPPLHFASAASTSPGLSNGLSHTSPPKLSNTKPTDKPAVSTAPLTTIAGFSVSSSSPPVSSSPPAFPTINFGSSTPTVAAPKPETTSTETKPSDTSLFGTMGTTAESKSKAPESASKASSNLNTASIFSSNITTFSSSPVTSSSTFPSTATFSSSPVASFSVGTAPANSTPSTTSGVQSASTAAFAFSSSGNSIFGFSSPSQSTGLSTTVGGSTSQPSPASTIFGSKLPQPQGTMSQPPQSSATQFSSPFPIVASGAAASSSGPGTVSFGVGASAPGTLLFGAGASSSGPGTVSFGAGASSSGGPGSVSVGVGASSSGTGVFSFGAGVSSSGPGTVSFGAMPSSSGPGTMTFGGTPSSSGPGTVSFGVTTSSSGPVFGNSPFGSGAAAFSGSGSGFAFSSPSSSTASSLAVASTSMFSSSSTASSSAALSNPFGSASSSPSMFTFGQSASSGGAFSFGAQSAPAFSSQAPPVYSFTSASASINLSTPQPAFGMTNANTGFGMGSPGNDQMSMEDSMADDSNQAAPQQVSAPVFGSSLFGQPASSPAAPVFGQPASSPATPVFGAPAAQPAGAFQFGGQQGSVQQNPSFPTGGSLEFQGGNFSLGSMGGDKSNRRIIKAKRTQKKR >SECCE6Rv1G0414490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:632267942:632268433:1 gene:SECCE6Rv1G0414490 transcript:SECCE6Rv1G0414490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSWMQSRARVDIPVFVCPQCQAGVDRRVSHTPRNQNRPFYMCSENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRMAVAATRAPEEEMEGKPRTDRELSVELRMLKKKVRKLEDQAKIPIPICNYF >SECCE1Rv1G0013190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:101357922:101359809:1 gene:SECCE1Rv1G0013190 transcript:SECCE1Rv1G0013190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAVPAANTNGNHALSVDSHSSQDVRRRTVVVAKKKPTPELLTEGGVNGVSDDKVSSKKDIGHTSRGESVIDKPKYSSEARKDAFPPAPSAEHRKKSITKHEKTKWETALSVLMKLCLLFTAFAWMGQVLWGWQNGDVSFTALDMESRLSKVEVFKKTTRMLQVQLDVLDKKLGDEISKAKRDITKQFDDKGKKLETKVKTLEGKAEILDKSLAELRDMGFVSKKEFDEILTQLKRKKGLDGTGSDITLDDVRIFAKEIVEMEIARHAADGLGMVDYALGSGGGKVVKHSGAFKKAKSILPRRSESHKMLEPSFGQPGECFALEGSAGFVEIKLRTGIIPEAVTLEHVDKSVAYDRSSAPKDFQVSGWYQGADNDSDQQPRTPTSLGEFTYDLEKSNAQTFQLDRTTADASVVNMVRLDFSSNHGQPELTCIYRFRVHGSEPGSLGAAAS >SECCEUnv1G0558160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:330327103:330327417:-1 gene:SECCEUnv1G0558160 transcript:SECCEUnv1G0558160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWFERMLDADERFEVVVPRRFSLVTFRLRPRQEGHDDMKMEALNRKLLVAINASGRAFMTHFVVDNKFVIRMAVGAAMTEMRHVQDAWELVQDKAKEVLATP >SECCE3Rv1G0196860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:812696575:812698935:-1 gene:SECCE3Rv1G0196860 transcript:SECCE3Rv1G0196860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTAHHSSRQTHAFEKSPSRSRHGSARKNGSIDRKLQLQAMSHASKYLQRMLVCPPGLGGGVRRSGSGLSLSSLSLSQNSSDSSLSSSNSSSWEPKVPLIYGGTFSPWGDVLVSLEKRRGDDYDDDKVSGRDAEEEEEEDFDCSEPGGLHRCSWITKNSDEAYVQFHDESWGVPVYSDDRLFELLTLSGMLIDHNWTEILKRRDMYMEAFAGFDPNVVAKLNEDDIAVISANKELKLAECRVRCIVENAKCIRKVAKEFGSFSGYIWGHMNHRPMVGKYKHHKYIPLRTPKSEGMSKDLIRRGFRLVGPVIVYSFMQASGMVIDHLVGCFRFSECVRLAERSWGITNVAT >SECCE6Rv1G0428870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:731391057:731392855:-1 gene:SECCE6Rv1G0428870 transcript:SECCE6Rv1G0428870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYDASSDEDEAGDPPAAAPPPRPAPTVGPQPRPPSPSPSARAAPRPPAPPPAPTQNVSLPTPSFDLPDIADLFDSPSLPNRGSAGIMGSSSRKRESNGSAIQDARSKFPRAQSAQSRGARNAAASTLVPPQLSGRSNVVTEDMGKLFVARRKD >SECCE1Rv1G0053550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:670261829:670262119:1 gene:SECCE1Rv1G0053550 transcript:SECCE1Rv1G0053550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTQLLVALLAFWAVVLMVAPAGAEAATCDALQLSPCAGAIVGNAAPTAVCCSRMKAQRPCMCKYARDPNLKQYVNSPNGKKVLAACKVPVPSC >SECCE6Rv1G0404790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:523764183:523767314:1 gene:SECCE6Rv1G0404790 transcript:SECCE6Rv1G0404790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFDEYDCGSPQSHQHPERVSDSLEDPISDEDVQPTRLSLACATSKEREKDNRVAGRDDSAIWDEVQEEADELVHVHKDPHAHSVSLLSAGTSKRSKCENKLKFSIRGFNSIVPGVKSENSYDGEQEVSSGMRPAKAVETMMAEQLENIDEDTEDLPSDFAHPTKIANISVAELLEDLQDRSVSSVRTPFSIHQQAKTKEGKTKVPTLGKKMPALLGQTDLDSEEPSEHAIGQTSSEDEAEDTVRNNLTMVNKEVKGNRKTMSDLFQEAFNATDMEGTALPMRSTGAGYYGRMQQIMQMEKDRHAEFSRQYNKARGYLGDSKGITVQILSRSLEGKLTVCLCLLKEKSNLPLTDCDMDGSSSKRTIIFSPKICDNVDLVEGNVIHIHPPWKEVKVKEEEVMLCTYFSHHPA >SECCEUnv1G0528670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:5598543:5601602:-1 gene:SECCEUnv1G0528670 transcript:SECCEUnv1G0528670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT2G40760) UniProtKB/Swiss-Prot;Acc:Q1JPN0] MLPSPPLSVARRAATAAARHLLPSITSLIPDPPAPSPAAPRRRARLMPLRNIVSPPPHGRSFAVAAGDGGAEGEAPALVVVSFYRFADFPDHADLRRPLKELCEALRVSGGIILAPEGINGSLCGTPEAVEKVLNFIQTDNRLKGLRVIQTPVTPEDEAIHHGHTSHSPVGPGEDAPFRWDHVRVKLKKEIVSFGDPGVMPTKRVGKYIKPKDWNSLISDPDTVVIDVRNMYEIRIGKFKRAVDPCTESFREFPSWVDNQFQLAESDSHQSSVNGNTVTEGTEDLNSSGPKELPRVAMYCTGGIRCEKASSFLLDKGFKEVYHLEGGILKYLEEIPEAESLWEGECFVFDKRVSVEHGLAQGTHKLCYGCKQPVSDEDMESPKWEYGVSCPYCFSTRSEEEKERARARHRQFKTWGVIGGPDKGRSPKRLEASDGVKEDKQPRNSV >SECCE6Rv1G0406490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:545347699:545349125:-1 gene:SECCE6Rv1G0406490 transcript:SECCE6Rv1G0406490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQTFVRLSVGQLGLRLPGASARKACHCEIRLRGFPVQIAPVPLANSSEFNIDPHANAAVFSLDEPGLKALSTPGCFRAPEPPYLEIVVYVSRRDGGGHCVGLKRRLVGAVRVEVGPEWRDGKPVLLHHGWTSVGRAAELHARVRVEADPRYVFRFEDEVALNPQVVQLHGSASQPIFSCKFIRDVRRASQPDHLGGRYWSGTGGGEEKETETVGRRRERKGWKVAIHDLSGSAVAAAFMATPFVPATGCDTVARSNPGAWLIVRADTTGSSESWQPWGRLEAWRECGAPAGSKDVVCLRLHLLPERRDACVLVSETPLSCDKGGEFSIDIDRQSILPEDAAASSSYCAASMGESCAGGGFVMSCSVQGEAATSSRPPLVHLAARHVMCMEDAAMFLALAAAVDLSVKACRPFRSKTAAAKKRTPAGSSSPDPLELDT >SECCE2Rv1G0129330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:867674275:867677409:-1 gene:SECCE2Rv1G0129330 transcript:SECCE2Rv1G0129330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSLAACVAFFHLLIFSSSSSLRVGADPEAESSGSARHGRTAYHFQPGKNWQNDPNGPMYHNGMYHLFYQYNPHGATWGVGNFSWGHSVSGDLVNWAALGDALEPTSPFDANGCWSGSATVLPGGIPAILYTGISADGEQVQNVAFPKNASDPLLREWVKPSYNPVIPLPADVPADFFRDPSTAWLGRDGLWRLAVSAKVGNAVGSTLIYRSKDFRLWERNAAPLQESPAAGMVECPDLFPVAEPGVEVGLDHAPRSGTVVRHVLKLSATDTFQDYYTVGRYNDTTDSFEPEDDGDDCQSWRRLDYGHVYASKSFFDARKNRRVLWAWANETDSQADDVAKGWSGIFPRKVWLDNDGKQLRQWPIEEIETLRRRKVGLLGTVVNSGGVNEIVGVAGAQADVEVVFQIPALEGAESFEPNWLLDPQRLCGEKGASVPGGVGPFGLLVMASGDLQEHTAVFFRVFRHHDTYRVLMCADLSRSSTRAGVYKPPYGAFVDMDIEAHGRSISLRALVDHSVLESFGGGGRTCITARVYPEHAENGKSHLYVFNNGSGAVKVAKLEAYELATATVNVGDDGLIQPSSMRRGEA >SECCE2Rv1G0097570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:463567732:463572848:1 gene:SECCE2Rv1G0097570 transcript:SECCE2Rv1G0097570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKELPGLYYDPDKNRYFPTKGRIPGAATRPPRPPPPPAEPSPPPTARRKRARQSELLHAREMYGGGVIFSKNNKSTFKQQCQYTQASQPMVWKYQGTTLVADKALEELHAIVQTPSGLRESKLLATGSTNGSIRLFGLGTALENFEDEMEFLPQPVWTPLGKQKAAVNSPLSNIWSSETAFSNFSSVWTADCNSDGTQVVLGTNTGAGLLNLETGTLSWLYRCKSDILSQQFVHSGNVVLCGLRNGSIVPVDVRERHSNLPTGRSSPSVARGTVPMLSARYNARGRNQADKAKSSRVISMPSAVCSLVALSSDEHYFLGSSMDGSIKLFDLRLIQKGAIQSYAGHVNSHSNLPLVVDSSETLLMSGGEDCTIRIWSIKTGEQIFAKSVADTLFTALCWPENILDLDGSSSLFDLNHSWGAWMGSRDGLFYMHGT >SECCE5Rv1G0338790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:603201667:603204343:-1 gene:SECCE5Rv1G0338790 transcript:SECCE5Rv1G0338790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAEAGAYEDMLRVVEACAARIRWRLRPQSKRRLLNDIMFLCTGLRPVILMDYGGTMPQLQDNLCSLLHHARQEASILNPLRVMVIKDMLYLIHVQGLAEHVSPNARSQHQLAFVDLEKSYCELLANTEKNETMVELLSVQDRFSAKFPVEADVEPGTAKKKSGLPERDNDVECTGISTADATSLVVDLSAFLEGTRIALPSLNGWLLGYPVTYLFRNESGEAVTQNLSKHSLHIYRIYVVRNRHSDAKQSEEELLSFSVPCDMSVKRDEEPWAKSFLAGMNEKLERCSHVWASVRLEIKVFRSQSGVIVL >SECCE5Rv1G0373100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856175340:856175864:-1 gene:SECCE5Rv1G0373100 transcript:SECCE5Rv1G0373100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLALFLALSLVLVGAVHGCGSCGNTPSVPVPTPPTPTIPVPTPPIAVPPPAVPLPTPPIAVPPPAVPAPTPPIAVPPPTSGGGGGTCSINTLKLGACANVLNLLKLKLGVPASEQCCPLLSGLADLDAAVCLCTAIKANVLGIKLNVPVDLVLLLNQCGKTCPVNFTCPS >SECCE6Rv1G0423610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:696551312:696555230:1 gene:SECCE6Rv1G0423610 transcript:SECCE6Rv1G0423610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARGYRSTRTALFDGIEEGGIRASAYSSHEIDEHENERAMDGLQDRVSILKRISGDINEEVEAHNRMLDRMGNDMDSSRGFLSGTVDKFKTVFETKSSRRMGTLVASFVALFMLVYYLTR >SECCE1Rv1G0036610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:510724181:510726829:1 gene:SECCE1Rv1G0036610 transcript:SECCE1Rv1G0036610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFDLVMWVCPAAAYHKVGLVKQILQSAGAEVHDSMNNFDCLQRRLEDAVSSKRFLLVLDNVWNKGGMDEDNWSEVLAPLRCGQSGSKIMVTTRKRIVANLLKASKLVPLDGLAFADVWSLFTRIAFSNDSADKHPALQAIGEQLVQKLKGLPLAARVVGGMLKASRSISHWKRISEMESYANVTSTLELCYRNLQEHLQPCFAICSIFPKNWRFKRDKLVKIWMALDFIGTADGKKLEDVGKEYFDQLVEGSFFHERKESHQNYYYIHDLMHDLAESVSRVDCARVESAEEKQIPRTVRHLSVTADAVTQLKGRCELKRLRTFIILQHSSSSLSQLPDDILKELKGVRVLGLDGCDMVDLSDKIGQLMHLRYLALCKTITRLPQSVTKLFLLQTLSIPKRCRLEQFPKDMRNLKYLRHLDMDRASTSKVVGIGELIHLQGSIEFHVKREKGHTLEDLHDMNGLCRKLHIKNLDVVSSKQEASKAGLRKKQGIKVLELEWNSTGKSVPSVDAEVLEGLEPHPHVEEVRIRRYHGDKSPCWLDMSLKEGNKLCLLKSLYLTNCRKWEVLPPIGQLPCLKVLHLKEMCSLRKIGSEFNGTKLIAFPCLTELEFDDMPQWVEWTKEESVTNIFPKLRKLSLLNCPKLVKVPPFSQSIRKVTVRNTAFVSHMKLSFSSLSRACSVALETCSTTILTIGLLHPQQVEAVAVLTLRRCQGVNFEDLQALTSLKKLHISHLDITDEQLGTCLRGLRSLTSLEIDNCSNITSLPHVENTSGLTTLHIRQCSKLSSLHSLPSFAALESMSIDNCSKLTLESFPADFSSLGSLRKLNIMCCMGLESLPSGFPSSLQVLDLIGCTPALWNQLQLKDGPEWDKIAHIPIKRIH >SECCE6Rv1G0434420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:765271819:765273286:-1 gene:SECCE6Rv1G0434420 transcript:SECCE6Rv1G0434420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAPSLPDDAVADVLRRLAPRDLATSRRVCKSLRRVVDGHGLLRADLLPLKLGGIFLNFVELWSATQFLSRPTTGAAVSGRLGYTLDACHPDHRSLPRPYVIDHCNGLLLLRHCVVNPATQQWAPLPPAPDLPKPAPPIKHVWTSWYLVFDPMLSPNHFDVFIMPEISFMDDCEEFEWPPSTLILPVFSSKIGSWEKRTFCREGEAAGTVPGLVGVRLDCNERQSAYWRGSLYICCENSFILRILLSDNRYRVIRLPTRLPKDNSKGEQQFYLGKSTKGIYCASIFTLHRSHLHVWFLKDLNDWVLKHDKDISPVLPNLDYGNPYGPWILQQFEDDSVVDNNREAAEKEKFEAIVKEGKFEWDSDNDNVLEPGSTCRGTETCFLGFHPFKEVVFVTLWDRVLAYHLPSSKLQDLGKIFPEFYVEGQDTYWHTQVEESFLYTPCWLGELPEKLN >SECCE7Rv1G0471550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:131671013:131671834:1 gene:SECCE7Rv1G0471550 transcript:SECCE7Rv1G0471550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRVLCGAPPATASAPPPRGRCNAPEQSGRAFLLGVPAAVSLSLVLWSTPVSAGILSGSTGLESIPAPPMPRLEFFDKWNAENQRKYAENDSRFKSSKVLQELLEKSKQNKEKNEREIQDKYCLRGAEWGVGDCSTVGMTDQEKEDFITELRKRVGE >SECCE3Rv1G0156940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:77922166:77925185:1 gene:SECCE3Rv1G0156940 transcript:SECCE3Rv1G0156940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLGLALAALLLAAAAPALAHTPSCPDGWQISPDRLKCFMHISSSLSWDGSEALCRNFSGHLAALSSVQELNFARSLCGAASSGCWVGGRRRNTSGVVGWKWSDNSSSWNETAFPGEPLHPNCTGARCSLATGDDMCTLVTSKHTALTGKKCAESHGLICMMNHEDRCYHDHCHKEYFIVLIVVSGLILSTTLAVVVWLLVYKRSKKRKRSREASGASATALVPPLWKVFNSEELRSITKNFSEGNRLPGNAKTGGTYSGTLPDGSKLAIKRLKRSSLQRKKDFYSEISRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHIPRGGRSLDWATRMRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAATYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESAGWQTIFEWATPLVQSHRHLELLDPLINDLPEIGVIQKVVDLVYACTQHVPSVRPRMSHVVHQLQQLELKSAASEQLRSGTSTSATSPMLPLEVRTPR >SECCEUnv1G0560810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:350101461:350102963:-1 gene:SECCEUnv1G0560810 transcript:SECCEUnv1G0560810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFPWLTILVVLPIFAGSLIFFLPHKGNKIVRWYTISICLLEFLLMTYAFCYHFQLEDPLIQLKEDYKWIDVFDFHWRLGIDGLSLGSILLTGFITTLATLAAWPITRNSRLFYFLMLAMYSGQIGLFSSRDLLLFFIMWELELIPVYLLLSMWGGKRRLYSATKFILYTAGGSIFFLIGVLGMGLYGSNEPGLDLERLINQSYPATLEILLYFGFLIAYAVKLPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLIRINMELLPHAHYLFSPWLVIIGAIQIIYAASTSLGQRNFKKRIAYSSVSHMGFIIIGIGSITNIGLNGAILQILSHGFIGATLFFLAGTASDRMRLVYLEELGGISIPMPKIFTMFSSFSMASLALPGMSGFVAELVVFFGLITSPKFLLMPKALITFVMAIGMILTPIYLLSMLRQMFYGYKLFNVPNANFVDSGPRELFILICIFLPVIGIGIYPDFVLSLSVDRVEALLSNYYPK >SECCE7Rv1G0466970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82633320:82636097:-1 gene:SECCE7Rv1G0466970 transcript:SECCE7Rv1G0466970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEPMDIDWSRVVSRFVRDETYEGIEAPHWADLADPAAPTAAVDDDAWFCRPDCKHPKTADDFLGQTPSPKAKLLRSMSAMMPFGERDANNNLKRRGAVAGGGTAAFASPTKPKAPPKKRFQDDAENQDPALATPPPAATRPPFGAQRWAKNAKEAIKSSAEKRPDLAEKEALLGRNPAPRQLKSTLSARNLFSGKDILGQISDFYNELKQRVAGGQQPVSESEAMEEMSPRPINSSDVAEKVDCSGGGGGRFLPDAAKKVARQGTAEKSPSPMKGKKIGLKVEAGKPRSPSVLKEVKATPPTPQRFPSPSVNRVKNVKAAGATSSSPLKKPLKDKVTPDKDQENSRDAKRQPFGVKDMNNNRAYEAEESSSGVFWFLKPCTFLVE >SECCE5Rv1G0354940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:727672605:727676298:-1 gene:SECCE5Rv1G0354940 transcript:SECCE5Rv1G0354940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAHEKKGHRAFAKAIKSLGSHRRSNSDLDRMCGKDALYAPEKTFVQPKQAEAVKAKVKSDLSKEVQPGRGAQSSLRKEILQLEKHLRDQQGVRGALEKALGPDAAPVNNLLHEMPMPKFANELIREIATLELEVKNMEQYLLTLYRQAFEQQAPALSPPDRRDVLKPSVSARWSGQLREAPGARVSCKSRGDAAVRSSYPPPPPARKKWNDPLTDCSTSACLDRPNDYDALRCQSALSCRGICSSRISPSEESLARALRSCHSQPFSFLEEDAAAAGVISLAEYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHGSSSSPTSSFSSTSAISPQYVGDMWSPNYKRETTLDSRLINPFHVEGLKEFSGPYNTMVEIPAICRDNRRLRDVEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNALLMHAYLRYGVPQNNLKKSSILVKAACKIAGRNINVALIQNLVLGCNTHCPGQWLRTLLYPRMKSKASKAGHEWQAFAVAQSEPLLRFALCSGSHSDPAVRAYTPKRLFQQLEAAKEEFIRATVGVWREQKILLPKVVEAYAKDVKLSSQGLVDMVQRYLPESLRMAMQRCQQQQGGRSSKIIEWVPYNLNFRYLIARDLAFPHLS >SECCE3Rv1G0214230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:964941264:964941671:-1 gene:SECCE3Rv1G0214230 transcript:SECCE3Rv1G0214230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGYCAPDYALTGRLTVKSDVYSLGVVLLELITGRRAFDAARDPDARRLLDWARPYLADVRKGYGRLADPALRGRFPRRALYQLAVVASLCLHDKPNLRPTMSDVVRAIEHVASQPWQAGAGAAHQRAKSCQI >SECCE3Rv1G0191230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747469349:747473727:-1 gene:SECCE3Rv1G0191230 transcript:SECCE3Rv1G0191230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATLRKRPLAVKTAAGREGRTAPEAELGEPVSPSARLVEDFYIIVLMGASTPLNVPALRAGIEAQLARYPHFRSIQVTDGDGNLRWAPTTVNVDNHLIRPALDPDAVAANPDKAVEDYVASLSTLPMDRSQPLWEFHLFDFPTSEATSTAAIRVHHSLGDGMSLLTLLMACTRSAADPTRLPAMPPLPTRTGAIYQRPRPSAGVLAYLLWVWSFVVLAWHTVVDVVGFFATILFLKDPHTLFKRVNHAETQRKRIVHRRLSLDDVKFVKNAMKCTVNDVLIGVTYAALSRYHFRKSGETDTRKEIRVRSMLLVNLRPTTSLHACVDMIKSGKGSNVKWGNELGFIILPFFIGMHSDPLDYVRKGKKVVDRKKSSLEVVFTHVAAEVILKVFGLKAASAIFHRMISHTTISFANMTGPVEQVEFCGHPVVFIAPSGYGPPEALTVNYQSYVNTIMINLALDEGHFPDYDELLDDFVESLEHIKDAASRLGMHHRKA >SECCEUnv1G0557560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:324827089:324828264:-1 gene:SECCEUnv1G0557560 transcript:SECCEUnv1G0557560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSQKKEKGVKEFDPTAKLTDDILVDIISRVPYKSTCCCKCVSTRWRDLISHREHRKKMPQPVVGFFHEGYNAFRSPKKARYFTNLLSWLHYPLIDPALSFLPNCGSLDILDACNGLLLCRCWKADDPLKLDYVVCNPTTEKWVSVPATDWSSKVAVTSHFHVFEFIDEEAWGIAEDELDSECYGRIQTLAIYSSKAGVWKYQTVEHGPFAIPKNSVSSFLNGVLYLPADYNLIVAVDVEGDNWWLVRIPKRPFYIDDINGIFPSQGRLYFANSPADSDGSELSIWVLEDYATGEWTLKHNVSHAQLFKTDYSSYANDYSVIYIHPEHSLVFLVGGDEKALMSYDMDSMELRFICQLGSECEVELPGYGDKTPFYPHVPLFSESLADGH >SECCE5Rv1G0338290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597258136:597261801:-1 gene:SECCE5Rv1G0338290 transcript:SECCE5Rv1G0338290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGRMPATRALSSVLFLLAALASPAFAAAAAITKGDFPPGFVFGTGSSAYQIEGAVAEDGRKPSIWDTFTHSGYSADGATADVTADQYHKYKEDVKLLSEMGVDAYRFSIAWPRLIPDGRGAVNPKGLEYYNNLINELLSHGIQPHVTIYHFDFPQALQDEYHGMLSRKFIDDYTAYADVCFKNFGDRVKYWSTVNEPNIEPIGGYDVGFFPPRRCSSPFGINCENGNSTTEPYIVAHHLLLAHASAASLYKEKYQAKQGGKIGLTLLCWWYESATQTPEDIAAAARMNDFHIGWYVSTFQV >SECCE7Rv1G0474570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:173375154:173379004:-1 gene:SECCE7Rv1G0474570 transcript:SECCE7Rv1G0474570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKSHHQMLASSSTSSSSPSSQQQLQQTQPPPPLAPPPPATDQPSPAKRKRRPPGTPDPDAEVVALTPTTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRAPAPSAGEDGGTGTAGGAGATTVPRKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNSGRMRGEAGVVPSVLPVLRPAVLRHPATGVPSTPPPELQLLPVATKAPVNATTAVFSASHEPHTTTKLELSIGPVASSDGVSGADDGREEMMRAMQEKAAADAERARAREEAAAAERALEEARRARQRARGELEKACALRDHAARLLAQVTCHACRQRSFGMVAMGVAAGGDGGHGGSAVACEALRRGAGLGL >SECCE7Rv1G0461880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:41067276:41070589:1 gene:SECCE7Rv1G0461880 transcript:SECCE7Rv1G0461880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRGIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYQMTPESLEMYKGDRDGDEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQFEGEEAYQTFSRVIENANVIMATYEDVLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDEVKMMERLWGENFFDPATKKWTTKNTGTATCKRGFVQFCYEPIKQIIATCMNDQKDKLWPMLKKLGVTMKNDEKDLMGKALMKRVMQTWLPASRALLEMMIFHLPSPSKAQRYRVENLYEGPLDDVYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGRVATGMKVRIMGPNFVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVALVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALCEENMRGICYEVCDVVLHTDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRQGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDIMASDPLDPGTQSATLVTEIRKRKGLKEQMTPLSDFEDKL >SECCEUnv1G0550030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:211657085:211659649:1 gene:SECCEUnv1G0550030 transcript:SECCEUnv1G0550030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILWSYPPSGHRLAFQNSRKSWRLMFASQPDKKSTTCSLSPPLASSFLFLLLAAALPPAPAMAKPISIEVWNPSGKYRVVSTKSMPGTRWIKLLTDNDCRLEICTEKKTILSVDDILALIGDHCHGVIGQLTEDWGEVLFSALKRAGGTAFSNMAVGYNNVDVDAANRNGIAIGNTPGVLTETTAELAASLSVAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYFDLYQSTRLEKFVTAYGQFLKANGEQPVTWKRASSMEEVLREADVISLHPVLDKTTYHLINPERLAMMKKEAVLVNASRGPVIDEVALVEHLRANPMFRVGLDVFEDEPYMKPGLAEMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGNPNAVEPFLDEKTAPPPACPSIVNAKQLGLPSSKL >SECCE3Rv1G0191880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:752102292:752107227:-1 gene:SECCE3Rv1G0191880 transcript:SECCE3Rv1G0191880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEEDAEFVGARVEAGLRAARFSSPPSSEEFAASIEPKNVPAVFRGVLNGSAASSRWDPLHGGLDYLLEKVGPDVAVEAMMSSTGHVFYGDLRSHERVSIPFSTFMHSCKSYLGGLNAASDSSKDKGIGEEPTCSEEMCSASSENSEQLYLAQVSILNTENKERCSLEVLKEDIQEPIFLKGKPFSSINFWMSRAHMRSSTHYDPHHNLLCVVAGCKKVTLWSPSASPFLYPMPVYGEASNHSGVSIEEPDYSSYTRAKYMKEYSERVVLNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMTEMLEHMDAYYLRRILTRLVDTEMNKIVQKNSFRHAKENNGFQPTDKELRGSEQFNLHNEVKSHDTSDKKGARDASDKKGAADTADKKGAPLQSLEPSTLQALYELMSVVHDSVEAVDQNDIAESASQDASSSQSNERKKTAADDSSLLEKDPVAKIILPVEPLELRSMLLAMVHTFPRTLEGLVLNILGPTGAEILTRKFDEMDQQTTTEEQTEFYKTFYTAFDDQYAAMDALLNRKELFSFQVFQSVLDQYLRVHVDRPS >SECCE5Rv1G0349630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:685579433:685580968:1 gene:SECCE5Rv1G0349630 transcript:SECCE5Rv1G0349630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISTALVLLVPLGLCLYLLRSSRRRSNNPAAAVLPRKWPILGMLPSLMANMYNFHEYSSMVLAGSGLNFNGDGPPGAGMRFFITCDPANIQHIFTTNYHNFPKGAEFAAIFDIMAGGLFTIDGELWRRRRMKFVSVISSPQFTDYVAVHCRDMVKDHLLPLLACMASTGTSFDMQELMVRLMFDMTATAVFGVDPGFLSPDMPPIDASTAMDTVMEVGFLRHTMPASLWKTMRWLNIGPERKLHEAKKVLHRFVVDTMERRKANGKNVGHNEGEEVVRDILSSYINDPDFADDELLHATLINFMIAGRDTIGTTLPWIFYNLAQNPNIVSIIRNELSPIASHKVAHGMGAMVFEPEETKSLVYLRATLYETLRLYPPAHMERKTVVTDDIMPSGHEVHAGDAIFISLYSMGRMESLWGKDCLDFNPSRWLLEDSNKLKNVPSHKFLAFNSGPRVCMGKEIAVMQMKTIVATVMWNFDVELVEGQSIQPKLSCLLQMKNGLIVKLKKREG >SECCE1Rv1G0063490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:725349945:725362068:-1 gene:SECCE1Rv1G0063490 transcript:SECCE1Rv1G0063490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOT GROWTH DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/TrEMBL;Acc:F4JCU6] MAAQSSSRLHRLLTLLDTGSTQATRFAASRQIGEIARTHPQELNALLKKVSQYLRSKNWDTRVAAAQAIGSIAENVKHTSVKDLFAAAEAEKHASGLSDETGDVGSALPRPDTTATSELAFGSFDINRVLEFGSPLLSSGGQEFDVANDNGKNPADRLARQKQNLRRRLGLDVCEQFMDFNDVFKDEDLLAQKNYWGANVQNNGFYSYNSGQNIQHLVASMVPRYPKHSTFRPKRLSARERNMLKRKAKSNAKDHTKSIPDDDEVVLKNSASSNGASSDQAGAYNDASDTVADEDNVEYSDSGRWPFQQFVDQLILDMFDPIWEVRHGTIMALREILTHQGACAGVYFPDLSSPFADLDDRTDSDTLKKPHGIDLNEDIDAEHIEPVLKRHKKEEPNPSEIMLEPVVERHMEEEKPNPSEIMDIDVDKELINPDESKAEAGLSNVLTVSSGEPNSAHVKVEPELQLDDSTDPSKVETSCTSLHSALNSASNPSSVVHAPDNSKYVKLMKLAKYSCMKNWEFLQDCAIRFLCALSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPSLVCHTLNILLQMQRRQEWEIRHGSLLGIKYLVAVRKEMLKDLFEYVLHACKAGLEDPDDDVRAVAAEALIPAAASLVRLNDQLLNSVVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTAALSEREFDLNKATQIAEQEDKLAYSENPYVLATLTPRLWPFMRHSITSVRRSAIRTLERLLEVCNSRSSAGDASSNFWPTSILGDSLQVVFQNILLESNDEILQSSERAWKLLLQCPEKDLECAAISYFSNWVQLATTPYGSTLDSTKMFMPVALPRGSRSRAAKIRSAKLEHESSRIISFGSTGESTSHEKQFDLSSNVPKIIVGADSDKSVTHTRVLTAMALGLFASKLPVGSWQVVLTPLANDVMSLSGVQRQVASMVIVSWFKDLRGRDLAAVGTLLAFFSSVKEYLLDLLSCSDPAFPTKGSVLPYSELARTYTKMRNEATNLFCTAESCAVFKDYATSLNFNADMLSVDDAINFASKLSLPTEFDLPSDSEKIVLNNIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMSGLPSKLNPVILPLMAAIKREQEELLQDKAADALAELIFGCVGRKPGPNDKLTKNLCTLACTDISETPQAAVINSIQVIEDQNLLSIGKRFSNHKSRGHANSGGEERTKTEGYISRRGSELALKHLCEKFGSSLFEKLPKLWDCLTEFLEPIKIEDDIQKDDPSITQLGRSCEDKDPQSLINNIQVVRSITPHLPEPLRPQLLRLLPCILGCARHSHVAVRLAAARCITSMAKSLTGNVMVVVIENAIPMLSDSSSVCARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLRCMSDPDGSVRQSVTHSFAALVPLLPLAKGVPLPSGLSERLYSSTEDAQFLEQLLDNSQIDDHKLNIHLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVASDIAESRARNDDKDPKSLIICPSTLVAHWEYEVEKYIDSSIMKPLQYIGSAQDRTTLRAQFDKFNVIITSYDIIRKDIDFLESIIWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQSTYGKPLIAAKDSKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRHCNLSHLQLKLYDKFSSSNVKEEISTIVKADESEPSTSQPKATRHVFQALQYLLKLCSHPLLVIGENPPDYIVEHLREIGMGTGDELHELHHSPKLVALQEILQECGIGSELSSPDASAAVGQHRVLIFAQHKAFLDIIEKDLFQSHMRSVTYLRLDGSVQTDKRFEIVKTFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINSENASLKTMNTDQLLDLFTSTPASRKASVLPSSSSATEEESDKGKSGRKGLKSILSGLDELWDQSQYAEEYDMNQFLAKLNG >SECCE5Rv1G0297350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:1525297:1525971:1 gene:SECCE5Rv1G0297350 transcript:SECCE5Rv1G0297350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRALHLIALVLAVATAVDAATITVVNRCSYTVWPGALPGGGVRLDPGQSWALNMPAGTAGARVWPRTGCTFDGSGRGRCITGDCGGALACRVSGEQPTTLAEYTLGQGGNKDFFDLSVIDGFNVPMNFEPVGSCRAARCSTDITKQCLPELQVPGGCASACGKFGGDTYCCRGQFEHQCPPTTYSKFFKGKCPDAYSYAKDDQTSTFTCPPGTNYQIVLCP >SECCE5Rv1G0337010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588451344:588452770:-1 gene:SECCE5Rv1G0337010 transcript:SECCE5Rv1G0337010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAAFVLVLPHSRDSASHPSSLSIAGASARTTLVHHRGHAARRRLRLPLPRARALLPHGLPLVPASDHWGNWTVLLSAAALGVWSEKSTRAGKALSGALVSVLLGLAATTAGLVAADAPAYRVVLAYLLPLAVPLLLFRADLRRVVRSAGALLLAFLLGSVATTVGTVVAFVLVPMRSLGPDNWKIAAALMSRHIGGAVNYVAVAEALQVTPSVLAAGLAADNVICALYFTTLFALAAKIPAEETVDPKGGVNANGEPTPAAGGGDRLPVLESATALAVSFAICRAGKHMTALLGIQGGSLPCITAIVVALATLFPSHAGKLAPAGEAMAVILMQVFFAVVGASGSIRDVIYTAPGIFAFSFVQVAVHLLVILGAGKLLGLEEKLLLIASNANVGGPTTACGMATTKGWSSLVVPGILAGIFGIAIATFLGIGFGMFVLKHM >SECCE7Rv1G0462450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45988520:45991816:1 gene:SECCE7Rv1G0462450 transcript:SECCE7Rv1G0462450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHVVFLQFTPPHSRRGFDRGPFKREASLGRRSVAMGRSAPVFHALLVFLTIIQTQWSSVSGMYCADMASTVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGGFNLISHLTLSLDKDAVIIGSPHSSDWPVIDPLPSYGRGRELPGGRHQSLIFGSHLTDVIITGANGTIDGQGAIWWDRFHNNKLNYTRPHLVELMYSSNVVISNLTFKNSPFWNIHPVYCSQVIVEHVTILSPLDSPNTDGINPDSSTNVCISNCYVRNGDDVIVIKSGWDEYGISFAQPSSNISISNITGETGGGAGIAIGSEMSGGISEVRAEGLRIVNSLHGIRIKTAPGRGGYVRNVYIADVSMHNVSMAIRITGNYGEHPDNKYDRNALPIISNITIENVVGVDVGVAGILEGIEGDNFSSICISNVSLSVRSRHPWNCSRIQGYSNSVTPESCEQLRTDCEETPICYNGGSSLAVRSRASIHNKPSGNILLNSLLQMISL >SECCE3Rv1G0209530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939345695:939346010:1 gene:SECCE3Rv1G0209530 transcript:SECCE3Rv1G0209530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSMKIFVVVLLLLMAIEDQGPVQVALARDCDSPNYKFKGMCMSRDNCASVCLTDGFTGGKCKAFSCHCSKVC >SECCE2Rv1G0137710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:919043406:919045237:1 gene:SECCE2Rv1G0137710 transcript:SECCE2Rv1G0137710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGAEPAASSAGGSGMPSLPGFFGRQSRYVRMDEAAPPPEHEEEGVRAGRRRSSTRYVFACSVFASLNSVLLGYDVGVMSGCILFIQRDLHITEVQQEVLVGCLSFVSLLGSLAGGRTADAVGRKRTIGLAAAVFQAGALVMTLAPSFRVLVAGRLLAGVGIGFGVMIAPVYIAEISPAASRGSFTSFPEIFVNLGILLGYISNYAFSGLPDHLGWRVMLAVGIVPSVSIVFALLVIPESPRWLVVQGRAAEAREVLLRVTDGEEEADERLAEIEAAAASAASSGETVWRELSRPSPTIRRMLVTGLGIQCFQQITGIDALVYYSPTIFRDAGVTTESQLLLATVAVGFFKTAFIALAIVLIDRVGRKPLLYVSTVGMTVCLVILASTLWLLAHGAVPKALGVAVAVVAVCGDVAFFSVGIGPVCWVTSSEIFPLRLRSQAAALGAAVNRVTSGTVAMSFLSVSRGMTVAGAFSAFAAISALSVVFVHRFVPETKGKTLEEIELLFGGGEGEGERVSAGEVELGDAEHLVHKG >SECCE2Rv1G0106770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:638873717:638874183:-1 gene:SECCE2Rv1G0106770 transcript:SECCE2Rv1G0106770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIQLSLSCDKRRSKALTVASRAAGVTSMGITGDARDQLEVAGDGVDPVCLVCCLRKKLGHAQIIKVEEVKKPEEKKEEPKPDVPVNPLPYFFYPPSSYYDHQYPLYF >SECCE4Rv1G0254090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:632735969:632738575:-1 gene:SECCE4Rv1G0254090 transcript:SECCE4Rv1G0254090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDEFSGDFMVLRPDKGGVRSLLDLLRSSDVGASDAVDCAPGTGKEVPDRWHRWIIFVSVVAQMLLLWVKTPLAKLGSAVEYWMNLITDNGGGVLKLIRNAMQGELRTPDRESPNYRSLIGLIDMRIELDKKIKPEDGGYLAALGIMASKIAYENELVIKNVVENHWQMKFLEFFNCWNEFRGDHTTQAFMFADKPADADLVVVAFRGTQPFDAEDWCTDVDISWYEIPGVGKVHGGFMKALGLQRNAVGWPAEIEATKDRPFAYYAVRDALKKSLAANPRARFAVTGHSLGGALAVLFPAILALHGEHDLLSRLHGVYTYGQPRVGDARLGEFVERHLDTPAARGRYFRFVYGNDIVPRVPFDGLFKHFGRCIYFDGYYRARAMEEEPNKNYFSLAFVVSKYVNAAWELARGLVIGHVDGAEYVEGWMMRVTRAVGLLIPGLPAHAPQDYVNATRLGAASLNLLLHDH >SECCE7Rv1G0506550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:749207210:749208229:-1 gene:SECCE7Rv1G0506550 transcript:SECCE7Rv1G0506550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMDPFTAELLSGPPIPVALSGSGAQMRAIDDYLRAIGALPALRPVDHHAAMEEPVPSLLLGSLVPVFDTPESNNSATRPLLSDYDADIDFNLRELEMNVVEPPRPDYLHTVQGDRMSPSIRANLVIWMDGFTRYYGLAPGTLHRAVSYVDRVLSERSLPTTNMEYELHLLGATAVFTAAKYEERDTIFKVNAAKIADDCGFATSKEVIDIECKMLAVLRYELSRPTAYTFVDHFTRYSKGERDLEVQKLAHQLAETSLVDYRCLQLMPSAVAASAVFLARLILNPMASQVRQWNREFTELTGYKPTDLIIGIESLYMMNPDPRFAILSAFLHDEQEL >SECCE7Rv1G0454960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5146671:5148815:-1 gene:SECCE7Rv1G0454960 transcript:SECCE7Rv1G0454960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVALSPASRLPPLSSRRNALTASSSSRPRPPRGARSPPALAFSTPHRRQALLLLRPRATEQQGQVQQQQQEEEVVDGNVLPYCSIDGKKKKTIGEMEQEFLRALQSFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSADEQRLLEASMAYIAGNPIMSDAEFDELKLRLKQDGSDIVTEGPRCSLRSRKVYSDLTVDYLKMFLLNVPATIVALGAFFFIDELTGFEVNVFQFPEPFGFIFTYFAALPLILVTAQVVTKAIINDVLILKGPCPNCGTENLSFYGTILSIESGGATNNVKCANCKTVMVYDSKTRLITLPDS >SECCE5Rv1G0300780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27934103:27934327:1 gene:SECCE5Rv1G0300780 transcript:SECCE5Rv1G0300780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVPGCVQCGTRSNPCRCKVVGPTLGFVAFVVTGVIEWPLGAAVYLFRRRKGRRIMGHPARVVYPRVTTAIPI >SECCE6Rv1G0423860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697763404:697764469:-1 gene:SECCE6Rv1G0423860 transcript:SECCE6Rv1G0423860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQAARLATMLMLLPLLLISCGIGSILCSSMTTVHDNSTDMISLLDFKRAITNDSRQALRSWQTNVPLCSWEGVYCSSGRVTKLYLGKQGLSGPISPSLGNLTFLRTLELSKNGFTGELPPLNRRLSNLRKVWLSSNNLTGTIPPSLKNSSQLEDIVLTDNKLTGTIPDELGIFPSLVYLGLAGNMLSGGIPETLYKYNQSSLQYLYLYSNMLGKTLPSNFGDTLLNLKHLCLDNNNFEGHLPASLGNISELRLLNLSSNNFISQVPGSFGNLALLEYLILQRNNFWGFIPIELGGLKQLTHLDLSDNNLQGGVP >SECCE6Rv1G0396650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:371546473:371552556:1 gene:SECCE6Rv1G0396650 transcript:SECCE6Rv1G0396650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSDVSSTSSGRISTSSLSDLKDLELSSESGCLSIVVLGASGDLAKKKTFPALFNLFQQGFIQSGEVHIFGYARSDMSDDGLRERIRGYLKGASDEHLSQFLQLIKYVSGSYDNGAGFELLNKTISENETSENNQPGNSRRLFYLALPPSVYPSVCKMIRSYCMTPSSHTGWTRVIVEKPFGKDLDSAEELSAQLGELFDEEQLYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTDGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPISLSPEHIRDEKVKVLQSVSSINHDEVVLGQYDGYKDDPTVPNESNTPTFASIVLRVHNERWDGVPFVLKAGKALSSKKAEIRVQFKDAPGDIFRCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHDIDAGRLKALPYQPGTRGPPEADELSKRMGYVPTLGYVWAPPALAKF >SECCE6Rv1G0378130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:4785629:4787681:-1 gene:SECCE6Rv1G0378130 transcript:SECCE6Rv1G0378130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVGVLALQGSYNEHMAALRRIGAKGVEVRKAEQLLGIDSLIIPGGESTTMAKLANFHNLFPALREFVGTGKPVWGTCAGLIFLADKAVGQKTGGQELVGGLDCTVHRNFFGSQLQSFETELSVPMLAEKEGGSHTCRGVFIRAPAILEVGQDVEVLADCPVPAGRPSITITSGEGVEDQVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRLFLDMDKESQAKALAALSLSASSNDADVGSKNKAPDLPIFE >SECCE3Rv1G0187980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:707817979:707823999:1 gene:SECCE3Rv1G0187980 transcript:SECCE3Rv1G0187980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G40280) TAIR;Acc:AT5G40280] MVRLSLRSSRPHGDRARSRGTQTSGDTPPPASAAPMDSSSQPQPQSPPTGGDPSEDGAVAELPRLTVTQVEQMKVEARVADIYRVLFDAAPNAKSVMLELWRDQHVEYLTKGLRRLAPSFHVLDANRPWLCYWMVHGLALLDETLDDDLENDIVDFLSRCQDKHGGYGGGPGQLPHLATSYAAVNTLVTIGSERALSSINRDNMYKFMLLMKDKSGAFRMHDGGEIDVRACYTAISVASLVNILDDELAKGVGNYIASCQTYEGGIAGEPSAEAHGGYTFCGLAAMVLLNEVEKLDLPSLIGWVAFRQGVECGFQGRTNKLVDGCYSFWQGAAIALAQKLMTVVAKQSKPSYSSKLSSVGDACGTSSSGLASEKSPIVDYVKFGFDFMKQSNQIGPLFHNIALQQYILLCAQVLEGGLRDKPGKNRDHYHSCYCLSGLSVSQYSAMTGSDSCPLPQHMLGPYSNLLEPIHPLYNVVLEKYEEAYEFFSSE >SECCE4Rv1G0269660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749753965:749755002:-1 gene:SECCE4Rv1G0269660 transcript:SECCE4Rv1G0269660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLLRATVALVILVLLFMPGAMAATAASFDASRTQQLPLPPGEVHGPESVAFDAQGRGPYSGVSDGRILRWDGPKLGWSTYAYGPGYDSETCTTSRYGTEADVESRCGRPLGLRFNHKTGDLYVADAYKGLMRVPPGGGEATVLVDQIDGMPLRFTNGVDVDQVTGKVYFTHSSMNYDRSDHELVTKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIEGVDAGKSEPFSDLPGYPDNVRPDRKGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGSKKVRPTEIMERDNGKIYLGSVELPYVGVVKRK >SECCE2Rv1G0124860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:835010229:835014857:1 gene:SECCE2Rv1G0124860 transcript:SECCE2Rv1G0124860.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGIHAATWVVGKALSPLSGGVLEAWAASTNLGQNIEALKLELLHAKAMLNSAQGREIHNPALGQLLDKLSHLAYGADDVLDELDYFRIQDELHGTYHAADVHAYGCVQDLALNARYTARACVNKLKLPVCTRAARRDDSGDGAKQGCLSGLCFCGARSEINSSPSSPTSNVGKHFSCFLLPSIDHDAQTGMTRNDPLQIPKLRFDRVEMSRKILDITEHLKPVCANVHDILSLELLNSSRAPTQDITMSRHTSTPQIIEPKLYGRDSHRKIIVDEIINSECRGLTVLPIVGPGGIGKTTFTQHIYEQMKSHFQVSIWICVSLNFNANRLAKDIVEKIPEVNNENKNCTVEELIEQRLNGKRILLVLDDVWKHLEDEWKKLLALFKKEGAKGNMVVVTTRIPEVANTIKTTKCSLELDRLCQEDIMSFFEEYVFDDQKPWVDYPELVDVGSKIVDKLKGSPLAAKTVGILLRNKLTLNHWRSVLESKEWESQKNDNDIMPALKLSYDYLPFHLKRCFSFCALFPEDYEFGREELVHLWIGLGILVSCEQKKKRMKDVGLCYLNDLVNHGFFKMNEKEDKRPYYVIHDLLHELAVKVSAYECLSIYSSNARDIEISPSVRHLSIIVENTDVENRMSFEDYDGNLSALAKRLKVENLRTLMLFGDYHGSFSKTFGVLFTEARAIRTIFLSGVTYNMEDILKNFSKLVHLRYLRTNSVGHSPLSLPTVLFRLYHLEVIDLQNQYFNQWSFINSIRHMSNLVKMRHFLVPKIRINLHSLIFGVGKLKFFQELRAFRVGKESEGFELSQLGQLTEIGGSLVIYNLEKAQATEAHELKLTQKNHLRQLTLEWDDMRSTKDPVQEEIVLENLVPHSYLPGLCIKGHGGTSCPSWLCTNLSAKHLEFLCLDGVSWKNLPPLGEMWMVNELGEEYQGCSISPPGFHNLKRLELCNISRLTKWVGNGACTLFSHLEILIIKHCSELVELPFSHSPTCCQAQLEEKIGWFPRLHLLEIMCCPMLGSLPPFPWGTDAPCSVELVSVGSGFEELVYPRKYSSKLSLEIKGKGDQADVFWSGLNFSNLTDLEELRMVKIPPLPLDDFQVLTSLKRIVIQGASRVLPPVEGDRCHGLYRFPVEDLEISSCDASGKELTLLISFLPNISKLKIENCENITGLGVVEDAETVCGEQQQQTRVEDEETITAAASEGLLLLPPQIQQLSISYCPSVRVHGGGGGLQFLRSLRTLYVTSCPEFLSTYSSSSSSSTFFPFPTCLQGLTLWKVKHMETLQALSNLTSLTELYLDIQGEGGSRDEGLWPLLAHGSLTKLELHDCSDFFSGSDPSWLHDKVGFSRSCKLFDLATYTSTGVLAVPICSLLFSSLTKLDLKLDTDMARFTNEQEEALQLLTSLQELQFSWGHKLQRLPAGLHKLINLKKLRIYDCSAIQSLPSLPSSLQELEIEKCGAIKSLPNSLPGSLEKLKISGCNAIKSLPNNLPISLEKLYIYNCRAIKSLPKDGLSSSMLELHVHGCDSEEVKRACRKLIGTIPIVSIRA >SECCE2Rv1G0068790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25364968:25366026:1 gene:SECCE2Rv1G0068790 transcript:SECCE2Rv1G0068790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFQYGHHVRLRSRVRRTYLHAADDGVRVTIHERRASMNAAWAVQIYHGKDGPYLLLHSAAYGRYLAATATPARLGHRGLRAELRDYDQPEVEAIMWQAERSGFADDVVLLRNIGGRYLRANGRYLRWNTGVSVDDSVSSMMYWVVEPIPAREDMPALPAPPPNPPYGYLLGVYLEPRRLIRFVRALDDGHYPDDPEDEGWRQFWFRGRSAFRLRDDLGFLVGAGVYYRNIAMCVRAGRYGRPTPLVVDLPDGGYGGTLEIVVLLADTPDFDLLVLLKLLRSDKKVTEIVLV >SECCE6Rv1G0449740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863840783:863842894:-1 gene:SECCE6Rv1G0449740 transcript:SECCE6Rv1G0449740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNTTAALVQRLGSTEGRLVRVEVLVLFSTLAWVLLELVGSFRRRYSHGFFRLLVWTVYTVLAPYTIGLLQAGPFHDQTFVLWGTILLLTQVHSDSLSIYSIKDIEQRKRMLAQQVLQIFLVLWLILNGSGGNKSYSATIWIFYIQSIILTFIKFRNLSNVSKKGGLVEHSKVIADYMVLEHQLALVFNPRTMAGYKYIFDGETELASLLPTAPEYRVGLKEVTNDKCITIDVAWRWIESHSSKQKETAEFYKDTVLSFTLFKLLKRRFCGYRLSEAGLEKTLHLVLHGLFSENGIGSYVRAFRVIEVELSFLYDFFYSRMELAWLSWLLSGIALSTAIVWNGISGAFSRHYHISNLEQRVNGTDVTRWVTIVLLANLVFVYIMSLCIWRQRFILVDILSMDIRDESKPPKEKKLTEYSIEDTWGRKLRQYSLLLNFDYDPWNVLSFLSLGLIEATRKGQKTGEKIKLEDAVFVRVLSLFKQNNGKLENGQAALARNQLGSQFSWACTLPTHIHTILVWHVATTISQNEVPREGTIDRHVAVSLSDYCAYLVAFVPDMLPGHGYDTRRMFDAVVKESRQFLAGCGTLSSRCEKLMTGLHNDDGTILYMGAELGRQLRDTVPDREQRWKVLADFWAEFILFLAPSDNAEIHAEMLAAGGEFMTHLWALLTHAGILERPSSSSRSGINPLGGFNGTTAQDSEV >SECCE3Rv1G0202650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:876076851:876078370:-1 gene:SECCE3Rv1G0202650 transcript:SECCE3Rv1G0202650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNGFDSTNCFRMEEVEPNVSPFLHKLFKEWDDRKARGLFHHDITTCETKVLPGEHSFVATLIEGRDQKKRPTEFGMNQVLQPFHSDKFNFTKVKPEEVIFRFQETENDSAQYFDGAPPAVSASPSSILINVSPIGYCHVLLIPRVQECLPQRVDQESFLLAMYVAREARNPFFRVGYNSLGAFATINHLHFQAYYLKVQYPVEKAPTEKLTVIGNGVSISQLVQYPVSGFVFEGGASLEDLSQVVSDACIFLQENNRPFNVLISESGKRVFLLLQCYADKQASGKASQEFLDMRINPAVWELSGHLVLKRRRDYDEASEATLCRFLVEASLSGAEFLELKGRVLEFLASASPEKY >SECCE2Rv1G0120510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:799342351:799346727:-1 gene:SECCE2Rv1G0120510 transcript:SECCE2Rv1G0120510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKAFDQTVREIKREVNLKVLKVPELEQKVLDATSDEPWGPHGSALSELAQATKKYSECQMVMGVLWARLGERDANWRHVYKALTIIEYLIANGSERAVDNILDHYSKISVLSSFEFVEPNGKDSGLNVRKKVETLVGIINDKERIKAVREKAASNRDKYVGLSSTGSTYRSSSASVGSNYSSGERYGSFGGTREADSFSNSYGDKEPVKTSSSNTGRRKSGSKIRKDADHDRSSSKSPSNTEGNADEFDDFDPRGSSSNGAANTKTAEVDLLGPNLMDDFLDEPAATPAAKSAVKPQVDLFADADFQSAIPGAETTAHQDVQETVDLFSGNATFASAFPPQTGFIPPPTSGTPSNANTSVSKNTVPEPFDPFGDIPLSSFGGSDPFGDFSSSSAPPPPVQSSTGNISTSSQNLEAASDFGAFESNTVDTVKDPFDFSSTGNFGKADVAPLAAPKTDVSDFGAFVANTKEGAKDPFDLSSSINGRAIQTPLAAPKPNTKKENLQVKSGIWADSLSRGLIDLNITGPKKVNLADVGVVGGLSDGFDDKAQPSWNMGAGGSGQPSWNMGAGGSGQPSWNMGAGGSGLGMSGIPPTQGGGIESLANYNKYQFGGFK >SECCE5Rv1G0342340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631759411:631760546:-1 gene:SECCE5Rv1G0342340 transcript:SECCE5Rv1G0342340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLQVGWFCFVAATLAVSAMGQPPSPSNWFWETPPGWDGTPPQPEVPSYANGQLSPANYNKPNRIFICDDDWGQTCVAQCPDLCPKSCEMSCSYCETSCRCVNYPGTSCGDPSFTGGDGVTFYFHGRKDQDFCIVSDADLHINAHFIGNHNPVNERTFTWIQSLGVSFGDHRLFVGARRAVEWDEEEDQIEITFDGEPINIDTSNNARWVSKALAGLSVKRTDAVNSVKVDLAGVFTISASAVPITDEDSKIHSYGKTMEDSLVHLDLRFKFHSLTDVVDGVLGQTYRLDYVNKMNVTAKMPIMGGAPKYLSSGLFSTDCAVSKFHRSGGANHVRALAA >SECCE7Rv1G0509820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:783817517:783818281:1 gene:SECCE7Rv1G0509820 transcript:SECCE7Rv1G0509820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSKEPCKKEACDIQACLSKNLFDSKKCLKVIQSLQSCCEQCEYKSTHCGSLSGLLKNISK >SECCE5Rv1G0334590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566899286:566899702:1 gene:SECCE5Rv1G0334590 transcript:SECCE5Rv1G0334590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVESHRAGAEVIKGDEVCKKKSVELLEELGLPKGLFPMDDIEEIGYNSESGFVWMLQKKKNEHNFKKIGQTVSYDTEVTAFVEKGKIKKVTGVTIEGMSLVEVYVDESSADKVTVKTDTGLSDTHDASAFALGE >SECCE3Rv1G0208070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:926849124:926849603:1 gene:SECCE3Rv1G0208070 transcript:SECCE3Rv1G0208070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGGINIPESKFYHGDASYACRPGILPPFRKTRYHLNKFSGRNYTRTAQELFNLRHSSLRVTVERAFGALKNRFKILDQKSFHPYSTQVKLVLACCILHNWILQRGFDEHVPEEEEIEPGDVVSSGHGVQAFDNDAWKNKRLECAEAMRLNRDQCRI >SECCE4Rv1G0217220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14821264:14832054:1 gene:SECCE4Rv1G0217220 transcript:SECCE4Rv1G0217220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAAINESDTVGQWEPLAPTKEAQESALSHKYHEGLLKLQEKDYTKACELLEDVLKDPLISEIQVENIGSDQHLLQLRFLTLKNLASVFLRQGSKFYDNALRCYLQAVELDTNDSVVWNHLGTLSCTMGLLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIRDEVACLSVANLILMNWPSHHRALHVKKTIEDVEPVPFAPRGIDILEPKHAKLEFSNKRKSADNVLNQETRKRSKRGATLQLVEAKWTSVLDGIISLLTAKNVKADEIHCANTETRCSDTEETVKGLAYNTVDVILSIGTSKPMESAGESENVLYRDGESLQSHDGTPTVKEKDVNSDREHPHERRSRRLERLRSRKPEKDENESNGKDLSHAITQFLDSFILKGASTAYKTDSSSNADISNPEIPTYTSDREADDVKQFLLKICKNNGPCHIGFMLLEEIAHINIPFQDYFANFVELDKLTRGWAQDRSALCSLFLAELYYDRAICSGSPSTSSELSDSSYHLCKVIESVAMELPLSVEKMNSTSFDLDKENCKAEIRSSDKTERNASGTSKSCSNSNGSIPSNMFCDETSSSNTDYVFWIRFFWLSGCLSLAQECKEKAYKEFNVALSLLRSSSKTNGSREFIPLPHSKLVKFLTADRILREINLIKLDYLLWKNDENINNITHTEFKELLPPLLLSTNDVYGGNAYDLPSKSENVISLESSALDVLISACEKAKPMNVNIYLDSHRRKIQVLTVAAGMVGSITPHKGNISSDADFVEAMNRNRLDSVVDAVKDVSRSTSTAKDVIDQCDTSDGQEGLSSLVHIIGNIQSLLLTIMCAAVKMIVWRKLSCSGTSDEADQLESSCLVDAAIAFCKLQHLDPTISIKTQVDLIVAVHDLLAEYGLCCAGRDSQGEEGTFLKFAVKHLMALDVKLKSLLNPSGAEGDSLPENGRAENSVTDERSICDDKHNSEDEEESDLEEMQSSIDSALDQAFFCLYGLKINPDSCSEDDLAVHKNASRGDYQTKEQCADVFQYVLPYAKELSKTGLVKLRRVLRAIRKHFPHPPSEILVNNPLDNFLDGPDSCEKTLCEIYETHGSREAVLNVLFPGETGYEAFKKLSADSSEPYSDVYGSLYHYIAEAEDTSPSDKYTGFVLKKEGGEFVEQSANLFKYDLLYNPLRFESWQRLSSLYDEEVDLLLNDGSKHISILDWRTNTALIQRVEAGRRHSRRSLMMSLILARTIPDQVEMHELLALVYYDSVQNVVPFYDQRSILPVKDATWDINCRNSLKQFEKAFELMSQWLHAFYLGKLCEKLGQSPAKAFSYYKKAITLNPTAVDPVYRMHASRLKLLYTRGKQNLNVLQVVADYTYNQSTKENVLSMLGSSEQNDNALDDAEGNKLVKPDLLDKAWHILYDDCLHALGTCVEGELKHFHKARYKLAQGFYRRGEAGDLERAKEELSFCFKSTRSSFTVNMWEIDGAVRKGRRKNPNIGVSRKNLEVSLSESSRKFITCIRKYMILYLNLLEKNMDLWTLERAYTYLRTDKRFALCLGDIVPVGLGKYLQVLTAAIRDPEIRRVSGDTSTEQLLEKLFGVFMDHASLWADISTIPEANSPDLSESNLYGYIHQYIHLLESDVRVDVLEALNEKIRKRFKTPKLSNSNFAKICKHASLSWCRCILIKLASITPLPESMDTDNQPVPLSNGLLLYVDLQPDELLISSPDGPTQFKGLDMNWFETLNRIKNIPIRQTSEDNMETAVTVMKSTYNFYRDSSCGTFPSGINLYTVTPSQASVEGLLQQAPSIVDTLDLSIPRKLLLWVYTLVHGRYSNISAVVKYCDEMKARNKRGTLTAAASSQVTPPAPHSSVSSHATSKEKSAHAVSSEAQEANACAPVAASVPLHQEAEGSASLVATEAQRPSSAVASQLSRSSSSRTMESAPPDGGQGNAGAA >SECCE5Rv1G0331260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:537403201:537407680:-1 gene:SECCE5Rv1G0331260 transcript:SECCE5Rv1G0331260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRSLIAASRSRHALAAATISQATSRAHQHACVPPLLSGLGPLARAFSSSAAVADVGSGVRVMEGQVFPNVYSKGYSTLLAPANEALIPPELLSSKTVWTPDRELGQYEDLVARVTNFHNEDNGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGCQFRGGATMHGPKPRSHAFKLQKKVRRLGLKIALSARTAEGKLCIFENLEVPSHKTKNIVQYIKQMDETKKVLLVDGGDIDKKLKLATQNLHYVNVIPSIGLNVYSILQHDTLVMTREAINRIVERMHTPISR >SECCE6Rv1G0405880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:537221872:537227643:1 gene:SECCE6Rv1G0405880 transcript:SECCE6Rv1G0405880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSEGGGDADEGCCSVGDTSPGTIVWVRRRNGSWWPGRILGQAELPPSQIMSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIERAEATQGTLSKKREKYARREDAILHALELERKLHQTQGFRPAYFSACTKHRKDLGSTRYKSKKRKRKDVSALPAKKEAEQYFLHAGSKINFSESKDTSENLISNHIGDLSHVRHIQGGASLESKEKCTILKKNRSDGSDFDESIEKCDRHRPLVQVLQSSAKLAQHLPHNDDYGAILIGGDKDPSPATYRAKRSRYAYLPSDSGETHSHSDLPSAQMVSTGADFDTESYLQHPDSSSEEHTSSDFVEKHISESSERECSESETEDDAELLQSANRILPPELRPRDPYFLRTSDRFGHVDNYDDDDDDDDDDNEVAYSAYMHQLNQSEEEDGSSELGVSRWHIKGKRNNRSAVKKSTHMTDGKSCLDKPNGLMKGSVYNTNGINHRKENVQTSDQQVLWNQIKEEPHYDSDETDLFESTRHPEVNLYHSRTYPSSLKATRDLSRSYIYYNDYENDSSKISPLNWDTDQIFRVDRKAYWDEPSFYQRNGSSRLGRTGPMLFDIDLKVQASYHGEHVPLVSLMSRLDGKAIVGHPIQIEILVDGSTDHLVSGGDISMEESTGAPTAWRTGRRTAMQRIPRSNPLGASMDGGNEGRLAYPNWEMKPIFSKYSTSAIHHDKGDKKRMSNSKKRSSASKSQKKSSKKASMSSQKVRNLSSIPTGRRHSGIFGGLIKPEEGAIPPVTCVPSKVVFTRILEAVGRPPLAAARRVRMVSPEA >SECCE2Rv1G0088420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:244935574:244936239:1 gene:SECCE2Rv1G0088420 transcript:SECCE2Rv1G0088420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASDVLRLDGNGNPRTLRLLASLVEAESRRFAAAASQPAENDLVRAFRGGATPAVPIGEFLERLQRCNYLFDGGVYVLAAAYLALFMRSPAALEAGIVVEPATAHRLVSVAVLLGAKFSSSRYYERRVESFQVCSGQSIRSSELCPLELLFLRALDYRVFIADEEFRRFFGILERRPAPARSVACGAKKRKAEEEVESRRVRACQIAARYGISGFNRS >SECCE4Rv1G0247040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:552318701:552319776:-1 gene:SECCE4Rv1G0247040 transcript:SECCE4Rv1G0247040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSFLLPLSLMLVLAASSAVAQLEIGYYRKSCPDVEAIVREEMVKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLDSTKGNLAERDAKPNKSLRGFGSVERVKAVLEAACPGIVSCADVLTLMARDAVVLAKGPSWPVALGRRDGSVSSAMVMEASDELPPSFGDVPLLTRIFASKGLGLKDLVVLSGAHTLGTAHCPSFADRLYNTTGNGLVDPSLDSEYADKLRLKCKSVDDKSMLSEMDPGSYRTFDTSYYRHVAKRRGLFRSDAALLTDATTEEYVRRVATGKFDDAFFRDFSESMIKMGNVGVLTGGDGDIRKKCYVLN >SECCE7Rv1G0500220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:663836738:663837466:-1 gene:SECCE7Rv1G0500220 transcript:SECCE7Rv1G0500220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAIPALWSTVHGWFTPWVLFLVLNIVIGTIAVTSKASSPAGGGEGGAAAAGGERRTLSRVPSMALDRLRSFNMSRFTAPAPEAPVAGVLDLGSDEQLPPLETEPEAQGELEPERTPMERSMSEAAAEAELPRLPARLRKSASDKSAFAHFVAEEDTEVVEARRPATARDGQRRRPLAAEPEEPVSEEEIEEAGGEVDARADDFINKFRHQLKLQRIDSFMRYRDTLRRGQPTTAAAAEQ >SECCE5Rv1G0337790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:594202815:594204466:1 gene:SECCE5Rv1G0337790 transcript:SECCE5Rv1G0337790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGELQRFIEQEKEKMMVTEMIGKVTSECWDKCITGAPGSKLSSGETSCLSNCAQRFVDMSEIIAKRFGAH >SECCE5Rv1G0344540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:645904331:645905677:-1 gene:SECCE5Rv1G0344540 transcript:SECCE5Rv1G0344540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSVMARSPLHILLYVLAILAVTAVAEVPAQKAPLPAYNVTADEEYWAKRAEEARAYSSRVAYVSDPVAELNRFNREVHRATVRRSLARRYGGPCVATNPIDRCWRCRADWASDRQRLATCARGFGHNAVGGAGGRTYVVTDPSDDELIVPKKGTLRYGVIQDRPLWIVFARSMVIMLSQELIVNSNKTIDGRGAQVHITGAQITLQGVKHVIIHNVHIHHSVPHSGGMIRDSKHHYGRRTQSDGDGVSILSSSNVWIDHVSMSSCADGLIDVVSGSTAVTVSNSHFTKHDHVMLFGGSNTEEHDRMMQVTVAFNHFGKGLVQRMPRCRFGFFHVVNNDYTHWQMYAIGGNRSPTIISQGNRFIAPDDANAKEVTKREYAPYTEYKDWVWKSQGDVMMNGAFFNQSGGQNERTYGSMDFIPAKHGRYVGQLTRFAGTLDCRVGKPC >SECCE5Rv1G0322220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:417417477:417418733:1 gene:SECCE5Rv1G0322220 transcript:SECCE5Rv1G0322220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSLPTTPPVEEIQTEAATSGTTVDALPVDSLRNILRRLSLADLLRAALACHRWRRVAARCLPRTAPLLGYFFHPTATGLPPPMPKPSKEIDTPAVFAPLDASAPNLSLDFAPGASRFVLHDCHQGLLLLQPLGSLPKGILPRFLVIDPATRRRVLLPPPSRGTVPDDHRWRRSRYYIGSALLSRAHPSKLCFEVVCFAIDGGHPRVWVASVDDGRCSWRALPRTMEVEVDFDPWWFEGRCVHAAGKLYWHICNSYRMLVLDPATLHLSYLLAPAALSDHLSPYRVGETPEDGLLCLLAVESRSRQLQLWVRGEARGSDNGWQLLEREMLNMRVVWDAVPGLPDDLAHRIFSVWPSDMDAGRTGKVFIKTMGYGRYSLHLDTAKIERLHTKHGKEYGHPIYAYFLAWPPAFLAPEH >SECCE7Rv1G0495680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:600958023:600960312:-1 gene:SECCE7Rv1G0495680 transcript:SECCE7Rv1G0495680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKEKEALAEILEDHRKIQGSSDDSLPPAGLDLNEGFSEASDDGGDGDENYDDDGGSTSEVAGGGRSSSNNNSANHEAGSSRGGHDKAEGSGERVPTVRQYNRSKHPRLRWTPDLHMAFLHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKIEHESSHERAAMSSVFSPMNFHMRRGDHPFHDMFFQRAAGSTLSSRFNGGGVFAPRNAGLPDASRIYGLLQRGQPPLQTFDFKNSTSLRNQEWAFTQHAAAARARAVNDNGPGKGLIHEMIFRKDGRPTSHLFDVRDAAASSGTTSPSTTNTSQADRRPDGAKMGSMNWIGSSSRPLSKTMSATGLEQGGHAQLPFRWRGAAGSNGYHPNGNTGRTTSSSDPVVTCEAGSPLLLPKQGVPRTPAKATEETSIGAEARKTKTSVAAEENGWAPELQLSLSPNAGADTVGRGKKRNSAGQEVSSDKVPLSLSLSLHGGVVVVDDDGGGRDGRRLEVATGSSSKKAALGLSTLDLTMSIKALE >SECCEUnv1G0550650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:221959224:221961161:1 gene:SECCEUnv1G0550650 transcript:SECCEUnv1G0550650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDYIIEGSLLDLYVKCGDIVEALEIFKSGDRTNVVLWNLMLVAYGQISDLAKSFDLFCQMVAAGVRPNQFTYPCLLRTCTYAGEINLGEQIHSLSIKTGLESDMYVSGVLIDMYSKYGWLDKARRILKVLEAKDVVSWTSMIAGYVQHEFCKEALETFKDMQLFGIWPDNIGLASAISACAGIKAMRQGQQIHSRVYVSGYSADVSIWNALVNLYARCGRSKEAFSLFEAIEHKDKITWNGLVSGFAQSGLYEEALEVFIKMYQAGVKYNVFTFVSSISASANLADIKQGKQIHATVIKTGCASETEVANALISLYGKCGSIEDAKMQFFEMSERNDVSWNTIITSCSQHGRGLEALDLFDQMKQEGLKPNDVTFIGVLAACSHVGLVEEGLGYFESMSSEHGIHPRPDHYACVVDILGRAGQLDRARKFVEEMPVSANAMVWRTLLSACRVHKNIEIGELAAKYLLELEPHDSASYVLLSNAYAVTGKWAYRDHVRKMMKDRGIRKEPGRSWIEVKNVVHAFFVGDRLHPLARHIYKYLADLDDRLAKIGYRQGNYSLFQEKEKEQKDPTAFVHSEKLAVAFGLMSLPPSMPLRVIKNLRVCNDCHTWMKFTSKVMGREIVLRDVYRFHHFNNGNCSCGDFW >SECCE4Rv1G0276920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:800828297:800830315:-1 gene:SECCE4Rv1G0276920 transcript:SECCE4Rv1G0276920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFCCFGIRARPAQPALAKDQFVVTASVGVNNVPAAGEDGAADPPKGYEECHLRACRLRGSPPHAPVAKGSEGSGAAERPAWRGAYGAAGKPRDHGVTMQDTVSMRPSFCTWADGSRMHFFAVFDGHGGPVVSVLLRDHMHAILADEMTRAASDYRKKQQQDEEAELCAWKGALVRSFARADELAASGVPTGTIMGSTALVALVVRGRILVANCGDSRAVLCRAGRAVPLSQDHEMARPADLAAPGGVMQHYDGVPRVQGIRSRALGHKFLNPQMTCEPSITITARSDDNDDSLILASDGLWDVISNQEACSIAKKCLEDKSKVVNAPVGKEEEVRCVCAAIRLTNRAIDKHSLDDISVVVLDLKVRD >SECCE7Rv1G0471710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:133312338:133315654:1 gene:SECCE7Rv1G0471710 transcript:SECCE7Rv1G0471710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHLLLLLALVCLHAPRSASAQQPEEATVIVKGSAKIAVTDVNYICATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIEEFHDLRIRLGGSLQDRVVYDVGTNSPCSPFTNVSNGLFGFSAGCLSMDRWDKLNDLFQKTGAIITFGLNALHGRYNVQRSFWAGKWNSTNTYDFVKYTISKGYPVDSWEFGNELSGHGTGARVDAKLYGKDVTELKSILRKLYRPPLSQPLLLAPGGFFDQQWYTQLLQTSGHGVVNALTHHIYNLGGGDDVHLMRKIVDPKYLDRSEDTYRNMQLTLQRHGTWASAWVSESGGVFNNGRRLVSNTFMNSIWYLDQLGMASKYNTKVFCRQTLIGGNYGLLDTQTFLPNPDYYSALLWHRLMGNGVLSVDINAPRKLRAYAHCSKRHKGITLLLINLSNSTVYNVTLQNDLNVSVERRSSHRRHGGSLRRAVSWLGSKPSSESTKRDEYHLTAKDGDHQSRTMLLNGVPLELGDGGVVPALNPVPAAVDSPVHLAPTSIAFVVLPRFEAKACS >SECCE3Rv1G0154330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59818628:59819535:-1 gene:SECCE3Rv1G0154330 transcript:SECCE3Rv1G0154330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDVEVASLDLPKKLFHCAACLVPLKPPVFRCENEHFVCHACGGGGDGDGGANKHCCPCGRDVSYTRSRFMDGVVDAYKVPCLYKGYGCAMDDIPYHSAADHKASCKHAPCYCFDCRFASSPAKLVRHLGSPSGEHAWPVDKIKYEVPHPFVVPALSEDQRRLLVTEDGRVFLLAVGAGREPAGRRPVSVVCVRGNDDARPLYTGVLWVDGPPAPPRQPLGCSFRLKATVASCSVPGAVDMEQGWLHAHVSPNMLHGGESGDLHLRLCLTKLA >SECCE7Rv1G0508520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:772883425:772884258:1 gene:SECCE7Rv1G0508520 transcript:SECCE7Rv1G0508520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMSPLRRWKRFFGAFDTVDAAIEAADPDMCRDELRRARGDVFERLCNTADNGKAEKLCGVLDGLMAESLETLRLTPVTPKVLATTDLAKAVRALQKHESERVRVLARGIVSGWRASALDDLAGDNFDVPQPKETVEQQHVGATTTERSSSIEIDQQHASADLDSKKKKTVEMSSKASGLASGINTAKPKEVTAVRLHANVPADPDAKAMEAAKRKLHESYQQASDAKRQRRVQLVEAPEMVKQRRERSLAGCGSSMLKRTSSVSHHTTGQLHEV >SECCE2Rv1G0077620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:100779732:100784012:-1 gene:SECCE2Rv1G0077620 transcript:SECCE2Rv1G0077620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPHAAFLLIFNLACLRGAYSGGGRSRFTSIISFGDSYADTGNLVMWPEPFFPGPLPLENLPYGQTFFGHPTGRATDGRLVLDFIAAALGLPFVPPYLAKGSNFSAGVNFAVAGATALNLTYLQGQNFTVNPPINSSLHDQLEWFQQLKPSLCKGQGVDCFGSSLFVMGEFGSNDYRNILMSNRTVEQALVYVPQIVDSICRGVERLIQQGAKYIVVADVFPTGCIPPILTMLASPNMVEYDRHGCLKSGNSLGRYQNSLLRQRIKLLRHKYPHTKIIAAEYYRPVIAFLDMPGHFGLNSDTTLLTCCGAGGPPYNYDFNAVCGLPGVKACADPSQALQWDGFHLTESAYRVIANGWLHGPYADPWLHGPYADPPIMHIAR >SECCE4Rv1G0286060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:847824656:847829474:1 gene:SECCE4Rv1G0286060 transcript:SECCE4Rv1G0286060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAIGAAKWVLGKALAPVTDGLLEAWAASAQLDRNINDLKMELLYAHGMLENAPGRGIRGVALKELLRQLEQLAYGADDVLDELEYFRIQDALKGTYHAADVNAVGSIQALHINAEHAVRHVANKLMGAASHGGDQESDPGEHQESDPGEHQKNDGKQGCLSGARLCGRRGIGSSPPTPANKEGVKKVDGRCTPKVMSRARKAAHTFGKHFPCYSPISVDHDNPQAPVIVETKNDDPQAPVIVQTKNDDPQTIMPERANHSDCEELKFNRVQISETMTDMVVQLKEVCAKVSIILNLNLLGSSHTHTKEIAINRSKTTSEIVEPEFYGRDDQKTKIVDVINSHEYSLSQLNVLPNFWDGGSFQASIWICVSLDFSADRLAQEIVNRIDRVDGEKDNASAEELIQQRLKGKRFLLVLDDVWTYREDEWKKLIAPFKKVDSKGNFIIVTTRIPMVAEMVRTTNCELELDRLDDADTMRFFEACVFGNELEPWGEHPALLETGHEVVRRLKGSPLATKTVGRLLRNQLTLDHWKRVLESKEWELETSESDIMPALKLSYDFLPFHLKQLFVYCALFPEDYEFDSKELVQLWIGLGILHPCDQNRRTEDVGLDHLHELVNYGFFKKDKKPDGRYSYVIHDLLHELATKVSSDECVSICSSNVRSIHISPSVRHLSIIVDDKDVDDRITFGDFKDDLSALGKRLKVENLRTLMVFGRYHGSFAKTFGHLFKKAKALRTIFLSGASYSMEDILHNFPETIHLRYLRIEPSDNAGNINLPSMLFRFYHLEIINLERWRCLVSIKHITNLVKLRHFLVPGGHSDISEVGKLKLLSELRKFEVGKENIGFELSQLAQLTELGGSLSIYNLEKVQEKGARGKEIIIRPIHRNHLRELTLEWDMERSNREPRREENVLENLVPHSDLRDLCIRGHGGTSCPRWLGENLLVKNLESLHFADVSWKTFPPLGKFWLADEPRDECTCCISSPSFQRNRIETKGFQNLKKLAFVNIRKLTKWAGNKNCGFFFHLEVLIIEDCPELVELPFSCSTCSESEQGGANITWFPRLQELKIVRCPNLRTMPPIPWTRTQCSIEMAQAGSNFQEVTYIKPELRLEIKAADGTGSLLWKELAFCNLSALKILFLFNCPPLPLDIIQMLTSLQYLAVSGRSRIVLSPIGGMSLVMHQVPVEELIVHESGASGKEWTQLLSHFPKLTSLHIYGCENITTLGVSEQQSGGQEQETKEEEEIVATAEGGLLLLPSQLQKLILRRCRQLRIFPNSGSDNNEAAGGLQRLHSLREVSAENCPNLLSSYSCSSSCFPFPTSLEKLKLSNLEEVNLALTNLSNLQELKLSGMEVLTNLSISRSSKLHTLCIEECPGVLSVSICSLLSTSLTRLIFDGSQEVEPIPGEALLLLTSLQELMFFSCHKLQFLPSGLHRLDSLKGLYFSGCPSLRSLPKDGLPSSLHRLTIGACSMKTFPKDGLLSSLQQLEIYDCSELELLPTFSDSFPNSLQRLLIKNCPAIKSVPKDALPSSLQELTIKNCPKIKSLPEDGLPKSLRVLDVRDEYSFVYDDNSDVRDDNSEELKRQCRRLIGTIPIIMA >SECCE2Rv1G0099310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:514746954:514749461:-1 gene:SECCE2Rv1G0099310 transcript:SECCE2Rv1G0099310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAARMAFASSCRAHLRLPSSPSTPILARTRVGCSGIAKSISFLAPLSAARSAKHAALFCSCSSPSSDAVVAPAPSQTAVEEKKPAPAAGEEEKGEVPVGELAGLLDIRVGRVVKAWLHPEADTLYVEEVDVGEEQPRTICSGLVKYLPLDQLQDSNVIVLANLKPRNMRGIKSNGMLMCASDASHESVELLTPPEGSVPGERVWFGSEDEKSLQSEPATGNQVQKKKIWESVQPHLKTTDNCVAVLGEQPMRTSAGMVFCKSLQGARVS >SECCEUnv1G0563850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:378398724:378399782:1 gene:SECCEUnv1G0563850 transcript:SECCEUnv1G0563850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVYGWAVSPWMARVLVCLEEAGAEYEIVPMSRSGGDHRQPEHLLRNPFGEIPVLEDGDLTLYQSRAIARYILRKYKPELLRAGNLAESAMVDVWLDVEALQLEPVVRPIVANCILYPLEGRDRDQKIVEEKIEKLKRLLEVYESRLSSKKYLAGNFISLADLSHFSFMRYFMATEYADLLDVYPHVKAWWAALVARPSVKKVMAGMPPDFGFGAGKIP >SECCE7Rv1G0525550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:891380385:891383056:-1 gene:SECCE7Rv1G0525550 transcript:SECCE7Rv1G0525550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTMLSFFEKVGSSSCPGSQPEPTATGVDDSNTAEQGQSSRQSNTLDQNISASVEGPQQETVVTTEIDYIRDPGKRLQIWQLPPAKQDEDRRFYISEGAYQPILQPEEYPFAGTGTHRRRFQKDWFTNHFWLEYSTNTHRAYCLPCFLFSKKPIGRCGSDTFTVKGFQNWKKVNNGKECAFLTHMGRDRNSAHNFAVRCFENLKNSMSHIDKVMVKQCEKKVADARLRLKTTIDAIRWLAFQACPFRGHDESTGSKNQGNFIEMLKIPASHNKEVNEVVLENAPRNAKYTCGEVQKEIVSIIARKVQKSIKEEIGNRKFCIMVDEARDESKKEKMAVVIRFANEESIITERFLDVVHVKDTAATTLKDKILAVLAFNNLNVQDIRGQAYDGASNMRREWIGLKALILWECPYAYYIHCMAHQLQLALVAASREVHEVHNFFQHANFIVNVVSASSKRNDELLANHAAQIEREIELGELDTGRGANQLGSLQRAGDTRWSSHYKSIQSLRKMFAASVFVLRSIASDRSVSQFSRGDAVGALRILISFDFVFILHLMEKIMKITDVLCVRLQTKSLDILNALDCVSNTKVLLADLRENGWESLLEEVNSFCLKHEIDIPDFNRKYVDVTKSRNKHDNTTTLHHYKMDVFNVAIDQQLTELDDRFSLQATELLSLCASLDPRRDTFDRSRICTLVEKLYPADFSSQERAQLECQLPHFQLDTCNHQELKMLPSLAAFAHGLIKTGKSSMYPMVDRLLRLVITLPVSTATAERAFSAMKLVKTHLRSTMGDDFLRHCMIIYIEKDIASKFSSDEIIDIFDLLGSRKANFKLIEM >SECCE3Rv1G0199240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:843556647:843564549:1 gene:SECCE3Rv1G0199240 transcript:SECCE3Rv1G0199240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleobase-ascorbate transporter 12 [Source:Projected from Arabidopsis thaliana (AT2G27810) TAIR;Acc:AT2G27810] MASSPGALPTARRSRPGPWPPAPPPQPQAQPLSWAKRTGYQARVSGESSATASAPNSGQAPLPRPAAAPADLESGPPARPNPALPPPPAAAATNAKQHRPQQPPQQRQQPQQQPPAAPPARTRRRDSDGGRPNGQPAAAPLPQLQEEEDAPERPAHVKYELRDSPGIFPVMIYGFQHYISMLGSIILVPLVMVPAMGGSADDTAAVVSTVLLVSGLTTLLHTLFGTRLPLVQGPSFVYLAPALAIINSPEFFGLNDNNFKHIMKHLQGAIIIGGVFQVLLGYTGLMSLFLRLINPVVVSPTVAAVGLSFFSYGFTKVGSCIEMGLLQLMMVIIFALYLRKIKIFGLRIFLIYAVPLGLGITWAVAFALTASGVYSYKGCAANIPASNNISTFCRKHVLRMKSCRVDTSHALRVSPWFRFPYPLQWGTPVFNWKMGLVMCLVSVIASVDSVGSYHASSLFVATRPPTAGIVSRGIGVEGISTILAGLWGTGVGSATITENVHTIAVTKMGSRKAVGFGAIVLILLSLLGKVGGFIASIPDVMVAALLCFMWAMLCALGLSNLRYGATGSSRNSIVVGLALFLSLSVPSYFQQYGLLPNTNSSVPTFFQPYNVASHGPVDTGFGGVNYVLNTVLSLNMVIAFLVAVILDNTVPGGRQERGLYVWSEAEEATREPSFLKDYELPFKIGRAFRWVKCMGL >SECCE3Rv1G0158120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85718540:85719607:1 gene:SECCE3Rv1G0158120 transcript:SECCE3Rv1G0158120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKSRRVIFKDYVEGYPTEEHMELLPAANVDEAAAAEEGSVLVKNLCLSCDPYMRPKMARPQEQWYTEAFVPGCTITGFGVAQVVRSSRPGLAAGDLVSGVTGWEDYSVIKTPFAGQLTKIRPEDGVPLSYYTGVLGMPGFTAYVGFHHICAPKAGETVFVSAASGAVGQLVGQFARLMGCYVVGSAGSKEKVDLLINRFGFHDAFNYKEEDADLAGALKKRFPDGIDVYFENVGGKMLEAVLANMKVHGRIAVCGLISQYNLAAGEKDAQELSARNLVYLISKRIRMQGFVEPDHKHLYPEYRAWVLPHIKDGKVVYVEDVVEGLEAAPAALIGLFHGRNVGKQVVTLANPQ >SECCE7Rv1G0491010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:514497002:514497265:-1 gene:SECCE7Rv1G0491010 transcript:SECCE7Rv1G0491010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFVDHHLLAKIEKVNMKKDKETIVTWSRASSILPTMVGHSIAIHNGKEHIPIYITNPMVGHKLGGFEPTQHFTSYENARKDTTFC >SECCE4Rv1G0249290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:581476455:581479948:1 gene:SECCE4Rv1G0249290 transcript:SECCE4Rv1G0249290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNPSRARLSPPAPAAPRPRKRGRSPSAPGAAVWRASAAYVAPFDHRRHWQNPAGASGRVWQGYHAPHAPVPSRQWVFGDEASTSGSDACTIMSYNILADNNARNHPDLYLDVPWDALRWDSRRRLIMHEIRHWDSDLVCLQEVDRFREIAAEMKNKGYECSFKGRTGDAKDGCATFWKSERLRLLEEDSIDFSEFSLRNNVAQVLVFELNGTQKFVLGNIHVLFNPKRGDIKMGQIRMLLERANALAGKWDGIPIVLAGDFNSTPESAIYKFLSTMKLNVSLHDRRLLSGLDSSEFGLYCSLLNCNWSDEEVRNATGSSNVMVARHPLKLSSSYAMLKGNSSNRGHHGEPLATSYHKKFLGTVDYLWYTPGLECSRVLDTLPVDALRRTRGLPTREMGSDHLPIVAEFIFSEQTRDASEQEDESDQDESEPDDESAQQASTSKHIYFSSDSDS >SECCE2Rv1G0083100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:161522279:161524889:-1 gene:SECCE2Rv1G0083100 transcript:SECCE2Rv1G0083100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAWERAVEAALHTAGEGSSSPARSLTLDGAVKCMHGRLPAPEILERHQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLEHLVEAGLGSLRDLDLSNNRIQDVDDLAPLARLRLVSLDLYECPVTRVKDYRSRVFGLIRTLKYLDKMDADENERPESDDDDDDGDGEGDGEEEDDDEDDDDEDPGSGEVANGGVSHSRGSVAPRPVEVNGVIDVDEDESDADEVVPNGGAEHHHGANGFRVAAVGVAPDEDDEDVEDEDDDVDEEDEEDDDLGEEIDEEGDDDDTVVEVLDVPSSDDEEDGIDEDDEDEEEEVEDDGDGEEAEPESSGRVAMAVGGVGEEIDGHEQGEGDDEDENGEIGEEDEERLEDSRVYEEDNDDEDADDEDEDTEYLVQPIVTPQAMAVGSPGDFDIADPDDVDEDRDEVDDDEDEGGTDQPSSSQGIKRKRDDDPSGSGSDDEDDDTEDRPFKHQ >SECCE2Rv1G0064690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4921765:4925505:1 gene:SECCE2Rv1G0064690 transcript:SECCE2Rv1G0064690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMGSGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHMECPPKERHFRRIMFSTSANRPRADVAYSICTLARRLSKTKNWIVALKTLIVIHRLLREGDGSFKDDFLSYSYRGNILQLPNFRDDSSPLAWDCSAWVRLYAFYLHERVECFRILKYDVEADRLVKLPQASGKAHSRTRTLPCEDLLDQLPALQKLLLRLISCQPEGTACTNHLVQYALALVLKESFKIYCSINDGIINLVDMYFDMAKMDAIKALEIYKRAGQQAERLSAYYDYCKNLELARTFQFPTLRQPPSSFLVTMEEYIREAPRVSITRKSVSSPSDHEDEAPQETEKPDEEEKEEPAEAAPEEELQYTTLSEEDEPPQLPPTTGDLLNLDEELHPMIADLEQSNALALAIVQPGSENNASAPLDLFAIDKAGWELALVTAPSNHTGQPAVSQLQAGGFDKLLLDSLYEDEARRQQIASVTYTGGVTVNPFDPNDPFAMSNSFAPPSNVQFAMMGQQQQYYQGQQQQQYFQMQQQQQQHQMVAMPPQTYQQQQAQYTVNAGLSNPFGDPFSALVTMANPPKQNNPQI >SECCE1Rv1G0022650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:287108822:287110204:1 gene:SECCE1Rv1G0022650 transcript:SECCE1Rv1G0022650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDNKEKLGKADGGDHKKDAQPIVLKVDLHCAGCATKVKRAIKNAPGVESVKADTAANKVVVTGAADAADIKERIEARTKKPVQIISAGAASPNKDNKDKDKDNKADAGDKPDKEKSKAADKDKGGAGAEKKEKKVETADKPKEEEKKPKEPKEETVTLKIRLHCDGCIDRIKRRVNKIKGVKEVTVDAAKDLVKVTGTMDAAALPGYLRDKLSRPVEVVTPGKKDGDKKDDGEKKKDKGDGGEKKKDGGGGGEDKKDKSAASVAPMPMADPSMYQMPPQYGYMPYPPAPVGYYGAAAPPPNPGFYPNAGPQYPPPYATYPAHAPQMFSDENPNACSVM >SECCE4Rv1G0248570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:572947295:572947852:1 gene:SECCE4Rv1G0248570 transcript:SECCE4Rv1G0248570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRSEHIWVELLKGSRKRGNFFWACILFLGSLGFLSVGISSYLGKNIISILPSQEILFFPQGVVMSFYGIAGLFISSYLWCTILWNVGSGYDRFDRKEGIVCIFRWGFPGIKRRVFLRFLMRDIKSIRIQVKEGLYPRRILYMEIRGQGIIPLTRTDDKFFTPREIEQKAAELAYFLRVPIEVF >SECCE6Rv1G0377520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:2104912:2107857:-1 gene:SECCE6Rv1G0377520 transcript:SECCE6Rv1G0377520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVLAPHSGGPPFAPLLGYLVDRRESKDIVIHGGGLSAGMDDVLSQIGEAFRLAGELMGDLPATQNDPAYLAARCCGIVRAYNTAIRMLEHYAVGAQRPLDGGGSLDLLRQRSTEEAAGASQLLGETPTRLQEPFNMLAGARAPPHAARAVADVAGTSGGPMRRLAPSRSPPPAQPRQGRRRRDSGQRETMLVPAHRMGNTELPPDDGYTWRKYGQKDILGSRFPRSYYRCTHKNYYGCDAKKKVQRLDDDPFMYEVTYCGSHSCLTSTTPLLNFPTATTTATATNSPTAATGSGLGPANHFMAQAEQAAVSTSMHLGVGWMPASFQGVVAGGSGAGVGSSAGMQTSVSTAARDTDYPALDLADVMFNSGGSAGMGMDGIFSSHHRSDS >SECCE6Rv1G0430210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:740024949:740030952:1 gene:SECCE6Rv1G0430210 transcript:SECCE6Rv1G0430210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQPAGLPDHQARALAAAYAGVCAAKEPIRTPGDLARLKGVGGWVVDVMEDSFPGSSLDLSPPRSNTPGETGKKSTRNKPYVPRLKSAAYAIMITLYREMERGKEFMMRQELIDAAEASGLSQHAIGPNNSRNSRNDGYSYTGWNSMKTLTNKDLVEKKSNPAKYYLTEKGKETARDCLARSGFDGPAGPLTAAGHPAVVLSDSDSDEYDGSSPLIGSERSGLPNSKAGSSSSFDNSRATNSPLSSRGMFGQQSFSAMGSAEKALLAMPPHQSNESFLKAYEVVLILDDRDTFGPRSRRKVVDNIRSQFNIPVEIKHLPVGDALWIARHKELDTEYVLDFIVERKNVDDLLGSIKDNRYKDQKLRLKKCGLRKLIYLVEGDVNTVDGSESVKTACFTTEVLEGFDVQRTTGYADTEKKYGHLTRSIIDYYSRNFSAGADTSRLCLTYDEFVKRCSDLEKVTMSDIFALQLMQVPQVTEEAALAVASLYPTLISLAKAYNMLDGDRRAQEEMLKNKSGMVNAGASKNIFKLIWAEG >SECCE7Rv1G0521010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:872525000:872527048:-1 gene:SECCE7Rv1G0521010 transcript:SECCE7Rv1G0521010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVEELVVFWKEWGIQALVLLSFTLQVILLIMAEIRHRKDSGVLRFIVWSAYMLADTTGIYALGHMTVTSCSCSNLPEHPLKAFWAPFLLLHLGGQDNITAYSIEDNKLWLRHLQTFTVQVLAASYVLYESSIMGRRTFLRPAAILMFVVGVIKYGERVWALRCASSSTSNLSGKNYRSFGHTNSQNPQWRVRFASRLELRADKLLSCPKDLFKGPQHRGALESSATDGDWGKMYQLAEVQLSLMYDVFYSKTPVIHTWYGRCIRVISPMATFAAFLLFRRFREEDGQHANRVDVGATYVLLVGALVVEMVSLQRAMFSSRAHVDVAQFALLPGGPPVKRLFWFLLRRVVMIPRCLVKCVMRKAGLPIRYWSGSMGQHNFIHLCTQTKYSRSSKIARWIGCEDQWNMLVYTSFIPVPTDFNKLLEKQLLHSVGVRKGSPYHITNSRGRAALKRKGISEEGLADWGLELQLDESILVWHIATHVFLSWYDDKYDSPRTALATTIKVLSNYMIFLLAARPYMLPDNASRQRYVELCNRVINHLKYSSTEDLVRSIHDGKVLDRLQDPPRTVETMNAAAHLETRQTLEENLIFQRAYQLSTMLAPKSNNLGRSRSRNSAAAVDMLDLISQVWVEILCYTSYRCPADSHARQLNNGGEITTVVSILMEYMSVGYFEHVGLTGFV >SECCE2Rv1G0106940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:641005012:641007616:-1 gene:SECCE2Rv1G0106940 transcript:SECCE2Rv1G0106940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGFTTRGRDLGQQPARLLLDSSLIGIRGGNLTELQCTQAKIASSLNRAAWEQNPCGFLPEYYAVARKLALPCLPTQGKYPVHTSSRKACRPRGWRRAGRELRVNPLDLDVNFLVLATGYSLGIVSKNLDQRTLSPSFIASKCLVGPMIDGHRNGKRIRVKDFGLGGVGCKTRRSAIRIKGETSFGESYLMASDAGDAFSNKRHQTMSMSYDGSQSEDRQSEEVQSAYKKFSAGDEDVIRGFVNLDETEEQNEENEWSWVPQEEDPLAESAASLEKAQEVLEKFFKKNEDLESEMLKLTELGKEFVAEDSHDGNIGLPYIEADVLGMNQKMECLELKLKEASDTITEKDSRLSELQSLINSAHTPTLQTEPVNVDELETELESHLQDKIEAEIQCLVMVKARQNWQARAEDQIALEEHKISAGEDTRMLHKLQDTEKKILMLKEQVDRLEAHEKELSVTTEVLRMQSKTFKIGLFGLLQLIMLFLSLKMFFTQDSARFGDLVPT >SECCE2Rv1G0082970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:159690309:159691118:-1 gene:SECCE2Rv1G0082970 transcript:SECCE2Rv1G0082970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLRAIPSPRLLHLPRLASSPLGRLRPSAVLRAAAAMSSSPSVAAPTVAAPVEHIVLIKVRPEAVASGAAAAMVSALQALSTLVPGVSYIHAGPVLRLRSPAAEALGPTHLLHSRYATKADLAAYAPHPAHVAAVQAHVAPNALDATAVDWVNAADAPSPVAPGAAVRLTLAKVKEGVEVGQLVEKVAAATKDAGEASGAKVSFGENFSPARAKGYQFAMVAVFGSVEELDAVEGDGKVEEAKAALRPMLDEVMVLDFVVDAPAAASL >SECCE4Rv1G0258170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:675624249:675625367:-1 gene:SECCE4Rv1G0258170 transcript:SECCE4Rv1G0258170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSDRRSAITYIDDDKERDFSFFKRRDGLFKIATDLSAVTNARVAIVLEKENEKMNSFGTPSADPIADAFLEGAPLAVPLTDEATTARIAQLQREVAQLDMRCMRESKENQLSIEHMKQILEQHPGMVANLIFSKEDELSPEDLKNLSNEIYRVHEDIRHRLPPLHDGHKAMNSGASMIQNMLPSSGSPSNHMKTVPSSVHSLWARHLPQHQMPSSPLPSPPGQMVAPHFPQVPPMLHPAPSASVPQIASQLQTTSNQALGLPPPLDISINDYVISSNPVSPQQNNANPNITTGYNLVASPPLVNSGGNDLTINEQFGYESSGYGLSDQPFYNGFPEMGAYMGYNGADVGESSVGIGGWVHADAPPESSSS >SECCE5Rv1G0339150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:605649245:605653591:-1 gene:SECCE5Rv1G0339150 transcript:SECCE5Rv1G0339150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 3 [Source:Projected from Arabidopsis thaliana (AT2G43420) UniProtKB/Swiss-Prot;Acc:A9X4U2] MATAEPGPASPSSLAPLRPACAVTFGRSTLLGRHLAAALAASRRWSAVAVLDPSPCPASPAAPLAHFTVDLSDPAAALGPALAGVAAVFHVDPTSAAADGSFLSLHRVAAEGTRRLLAACRASGVRRIVYTGSADAVAAAALDVVDADEDSVPYPDKFGSVVSELRAQVEMMVLSADGPDGMRTCVLRPSNLFGPGDSSLVRFVAGYARSPLGKFVIGSGGSKSDFTYVENVVHANICAEQALCSNAASVAGKPFFVTNGEPIETWEFVSCMMEAMGCQRPRVNLPAKMLLFAAQFSNMIHHRLGLQMSSTPPLYPDAVYFLSHTRTFNISKARRQLGYAPTVSLEDGIMRTAASVSELQDNLGISRKQGSCGSSKADKLLGSGVAADILLWRDEKKTFSYITLLFLLFYWFFLSDRTFVSSSAKILLVISVALYIHGVLPSKVYGFTVEKVTPDCFEVSDSTLRNPITCMASLWNGGIHKLRVLAEGDDWGTFLKAVASLFCVKLMLHFQFRTLIGLALASLFIVFIVYEQCEEGIDALVAMASVKIKSLVDRVVRDLPAVRNVLVSSKASNILQIMLAMAL >SECCE6Rv1G0387800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:123021683:123028490:1 gene:SECCE6Rv1G0387800 transcript:SECCE6Rv1G0387800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGEKPSSTSANGNGTKAPPPPAAPSGSGIAKRLPRLAFVFLLALLYRQLQAPPPKICGSPDGPPVTGTRIRLSDGRHLAYHESGVPKEQANHKIVFVHGFDSCRYDALRVSPELAQELGIYILSFDRPGYGESDPHPARTEKSIALDIAELADSLHLGSRFYLVGFSMGGEIMWSCLKHIPHRLAGVSILGPVGNYWWSGFPSNVSWDAWYQQIPQDQWAVRVAHHAPWLAYWWNTQKLFPASSVISFNTAIFSREDMAMIPKFASRPCSSKARQQGEHESLHRDMTVGFGKWGWSPLEMENPFPGGEADVHLWHGAEDLIVPVSLSRYIAERLPWVRYHELPTAGHLFPVADGMADVILRTMLLGEN >SECCE5Rv1G0310190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:165656330:165656701:1 gene:SECCE5Rv1G0310190 transcript:SECCE5Rv1G0310190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRSLVLLLLVLAVAALTASASSIAGGDHLQLGLLSGGAGRGECRGTVAECGGEDAEGELGSASAEAHRRVLQGRGYISYGALRRGTVPCNRRGASYYNCRPGAQANPYHRGCSRITRCRG >SECCE2Rv1G0092370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:353382771:353402747:1 gene:SECCE2Rv1G0092370 transcript:SECCE2Rv1G0092370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSASGDGASATTAAVAAAAAVASSSSGPSTSASAFSAGGAGGASATHYLAKRVLHGSAVQHVARGHFRSEHLWEIVLCKGTSLELVVVGEDGVLQSICEQSTFGIVKDVGVLDWRFKHFGIWPEIEGKEILVLLSDSGKLSLLYFCCQMHRFIPIGNIELSKPGNMRDQLGRILAIDHDSAWVAISAYEDAFAVVRVGRTQHVYGPNKEIVEKAKIVHAVYKTSDIRGTVWSMCFLRTRCSMDEYYPVVAMIINRKGSDANDLSIYALAANDGGIQYLSGYSEPGPLALEIAEIPQLDGFGLLFRAGDILIVDLRDPKDICCTIRISMTGSLVGEQINVEDSCRGLDVDDDVAACALLELRDSGNNIMMDDSYMAIDGADNPGSMKSRVICSWSWEPDAMRGQPRLIFCLDDGEFHILEFNWDTEGLKVLPERVHRGLPCKPLLWMGRGMIAGFVEMGDGMILHLEHGRLVHKSTVQNVGPILDLAIADYHGEKQDQLFSCCGMCPEGSLRVIRNGVNVEKLLRTEPIYQGVTGLWTLRMKRSDAYHSFLVLAFVEETRILSVGLSFNDISDAVGFQPEVCTLACGLIADGLLVQIHSKGVKLCLPTSYAHPEGATLTSPVCVDWYPDVTISVGAVGHDVVAVATSNPCCLYILRVRPLSSLQYELYETQHVQLQYEVSCISIPEEDLRLRTSSSAFGGDLRKRKGNNSAAEVDVCMFAVIGTHKPSVEVISLEPNEAFRLLSIGSISVNNALGAPVSGCIPENVRFVASEKFYILAGLRNGMLLRFESGTSKDQYLPGSFYKESFAPSLNTLLQLVAIRHIGITPVGLVSLRDSVSSDIVVLSDRSWLLHASRHSLAYSSISFLPASHVTPVSSVDCPSGLLFVAENCLHLVEMVHGKRLNAQKFSVGGTPRKVLYHSDSRTLLVMRTGLTGASCSSDIVQIDPNNGILLSRFKCELGETAKCIQIAKIGSEQVLIVGTSKSTDRPMMPNGEAESSIKGRLIVLSLDTLGSPHECSSFIPTSNLSSSSHTGSFPEIVGYANEEFSSNSMCSSPDDICYNQIQYEQIAGHLRSLTHVTFTGAVLAVYPYLDRYVLAAAGNTLSVFGFVNENPHRMKKFAVSRTRFTITCLKTYASQIAAGDCRDGVLFYSYHENLRKLELVYADPAQRLVGDVILLDCETAVVSDRRGSISVLSCPGLEVSESPEKNLAVQCSFFMGEIAMSIQKAAFKYRLPIGDETDPVLESAYNCVVASTLLGSVFVMIPLTSEEHQLLQDVQERLSLHPLTAPILGNDHREFRRRGIPSGVPSILDGDMLVQFLELTSEQQQTVLDDGSSVKAPHRSISVFQVMRMLERVHYALN >SECCE3Rv1G0188660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:717480689:717481455:1 gene:SECCE3Rv1G0188660 transcript:SECCE3Rv1G0188660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYAAVVRAALLVLGALLLPAHHVMADHSAMAPAAAPPKPASAISVPPLPANDTNMSPSPLPPVQPVPPFVVVQGVIYCKSCKSRGYNRGMDASPIQGATVNLVCYGKKVVNVTATVSDANGYFLVMFYDLSNFNPRNCKLYLGTSPTPLCDKPVYPPNKWIGLSLVKETVTSPPVGLQGVYCPTSVLFYGPSAGQHCPFY >SECCE2Rv1G0133610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:897888671:897890359:-1 gene:SECCE2Rv1G0133610 transcript:SECCE2Rv1G0133610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPPAFVYRISTGDEWAELQRTGGTLGGDLDRSTGCFHLSDLAQVKMTLENYFRGQNDLYLLQIDTTKIADGLIYEAADGCNYFPHFYGPDRSFAPLQLSAVVKADKIELASNDFTCSLLDERPPNTDV >SECCE2Rv1G0097830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:470026337:470032372:-1 gene:SECCE2Rv1G0097830 transcript:SECCE2Rv1G0097830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVQYRLERMSDELDDLERRGLFTRAELAEVVRRRRDFEFRLRRHSPRKADFLDYIAYLVRVDALRDLRKRAIIRATPNPTHSDVDNDTNEDGKKRKKRKKKWAKSISDFAGVLRVLDVYRMATVRFKGDLDLWFRYLEFCRQKGHGRMKQVMAQAIRYHPKVPGLWMYAAAWEFDQNLNVAAARALMQSGLRSCPESEDMWIEYLRMELTYLNKLKARKVALGEDVKTLQKSSDDSGQWKEENKELFMSLDEQGDSPKESGLEDIALEDKEDLFWQQGVLIIRTIYHGAMEALPSSLTLRKKILEILNSVELAHSEELRLEVMDDLKKDFSHNEDYWDWLARLQLSDSTNSSILNKKEAVLHKLNKSIQVYDEAVRKLPTSKMYSLYANFWLGVVFSDREDSISLFHDADFDASEFTSAILKVFENAESCGCLSEDLACQYVSLCLKLGRSEEAPERLEEAKNLAEKLCNGPLSQAANLWNLRASIEIKSLATATGIASFSEENLSSLFDLFNIVLPKLSITKAEGLWHTAMKLFSHEKIYFEKLVKCAMLSLSSAGGSDCGASVSSAFVGWVLQKDGIKQARKMYKRFLALPRPSLKFFQFCIELEANLASIGNNDGLVNARKLYDSAISLYPQERELWRKYYNMELTVGISETANAIYWRARKVLNDSTALDIPRS >SECCE1Rv1G0056650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:688879774:688880040:1 gene:SECCE1Rv1G0056650 transcript:SECCE1Rv1G0056650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKACVLRRVVLVVCALLLLSSAFVVAELTGRQWGHGREATVAVTTMARGHFVRKVLREEMVQADHDGVVDIGGSKRKSPGGPDPQHH >SECCE5Rv1G0356760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741880121:741880528:-1 gene:SECCE5Rv1G0356760 transcript:SECCE5Rv1G0356760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNASTLAMIMACALLLAGSTCHAARNLADTTPAAAAPVASAVPGLPAVPTDTVTLMPPMPSVTLPTVPQVTVPPMPAIVVPKVVLPPMPKVTLPTVTMAPMPAIVVPKVTLPPLPFVPNVNVPMPFAAPPPSA >SECCE3Rv1G0168180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:215961105:215967966:1 gene:SECCE3Rv1G0168180 transcript:SECCE3Rv1G0168180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rotamase CYP 4 [Source:Projected from Arabidopsis thaliana (AT3G62030) UniProtKB/TrEMBL;Acc:F4IX26] MACRPAVSNPSALTPATRRRVVPGRSSTRGRVDCPLRSSSAGAVRLDARRAPAAAFVVRAAAGEGDLDLQAKVTSKCFFDVEIGGKCAGKVVIGLFGEVVPRTVDNFRALCTGDKGYGYKGCSFHRIIKDFMIQGGDFQNNNGTGGRSIYGECFDDENFTLKHVGPGVLSMANAGPDTNGSQFFICTVKTPWLDNRHVVFGHVLEGMDVVRELESQETSRSDIPKQPCRIVDCGELPLDG >SECCE6Rv1G0384460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:63586416:63587711:-1 gene:SECCE6Rv1G0384460 transcript:SECCE6Rv1G0384460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRCSSGVSAVVLAISVVALLNADVALCGCSYKRIFAFGDSLIDTGNLLYSVGNRESPLKELPYGMTFFKHPNGRITDGRVVVDFYAEAFGLPLLPPSIPEEATGQFPTGANFAVGGAIALPLEYYKTKYNFTMNAPSNLDLQLASFKKILARIAPGNGATRSLLSESLVLMGEIGGNDYNFWFSDRQRPRETPEKYLPDVVARIGAAVQEVINLGARTIVVPGNFPIGCLPAYLGDHQSNVKADYDELHCLRWYNEFSQKHNQVLQQELARLRSQNPSVKIVYADYYGAAMQFIKKPQAYGIADPLVACCGGNGPYHTGGGVCNNQTKLWGSPDGFASWDGLHMTEKAYKIISDGVLDGPFADTPLRHIC >SECCE3Rv1G0143650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:1885304:1891699:1 gene:SECCE3Rv1G0143650 transcript:SECCE3Rv1G0143650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLNFLVTPLLLSLLTHQTYVLGASDDDDFSKQCSSHRCSKHGPEIRFPFRLSTHPPSCGAPGMQLSCFGDDTILDHPALGSCKVTEIYYRHRVINVAPPVEPATQCPLEKLISTNLATDVYELPPSPTTLVRCSSEFIPADPHSVAGPAACLSNNATQFWYLTASAYAYIYDLPRDCEAVFRGIPIPYSYDIDGPNIGNVFAFNKKASTVINFGETTFTWHLSNLTNVCQKCENEGRHCGFSSRSRQAFCQPRGTHVIAIAASSVAAFVALSLVVAATLYLSLKSRYNEEINMKVEMFLKAYGTSKPTRYTFPEVKKIARRFKDKLGQGGFGSVYKGELQNGVPVAVKMLESSTGEGEEFINEVATIGLIHHANIVRLLGFCSEGMRRALIYEFMPNESLEKYIFPHVSNISRQLLAPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSIENQDEVYLPEWIYEKVISGQDWDLTLEMTAEDKENMRQLTIVGLWCIQWNPKNRPSMTKVVNMLTGRSQNLQIPPKPFVSSDNRPMPQNTTNT >SECCE4Rv1G0253440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:626830736:626833636:-1 gene:SECCE4Rv1G0253440 transcript:SECCE4Rv1G0253440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 6 [Source:Projected from Arabidopsis thaliana (AT3G21350) UniProtKB/Swiss-Prot;Acc:F4IXJ7] MAATPLPPPPPADGPAALPPPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDITCNNESLRSRQIHPLDMSQLTKMTGLEYVLSEVMEPHLFVMRKQKRTNFEKSDPLLAYYILDGSIYQAPLLGSVFASRISRAMHHISKAFSTACSKLEKIGNAETEADAATSESKAQKETIDLKELKRVDHILMSLQRKLPPAPPPPPFPDGYVPSEQEKGPDDLLASEALPPAIDPIIDQGPAKRPRFQ >SECCEUnv1G0527730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1767932:1770009:-1 gene:SECCEUnv1G0527730 transcript:SECCEUnv1G0527730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDELNYAIYLSYNDLPPYLKLCFLHFSLKPKKVVLSVNEIMSMWICEGLVQGGSNSLEEGKKNYKELILRNLIEIDPTFPSQLICNMHDVIRSFAQFIARDETLVAHNGDAAKRTLRSSNFLRLSIETKGVGSDEVEWRYLREQKLLRTLILTANLKIEPGDSLTNFPSLRLLHIESASIAALVECVHQLKHLRYLTLKRTDMCRLPENIHEMKFLQHICLEDCESFVKLPDGIIKLQGLRFLDIYGTRVNSIPRGFQALTNLRLLSGFPAYIDGDWCSLEELGALSQLNYLSVKCLKNVSSALLAAKAKVNAKKDLKTLILNCGVKVEDGLVQGGVSESGSEEQIIEAVVDVLCPQPCIEHIEIEGYFGRRLPRWLTSTTMVPLESLKILCLEQLPCCTQLPDGLWSLPYLEWLKVYKAPVIKCVGPEFVQQYNRGHRPSSQSQVAATFPRLQKLNFEGMEEWEEWVWEAEVKAMPLLEQLRIHSCKLGCMPPGLMSHAMALKKLLIWRVQRLHSLENLVSVVELDLYDIPELAKISNLPKLQKLEIKYCQKLETLQEMAALRRLELRVFSWENQLPVYLQTVKPSHLLLTCNFELLTLMAAGESSSEWDKFSHIKQVEAYAKDGEDEKKWHVNYTSKPYTMETNIDINLQEESDD >SECCE1Rv1G0023630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:308820077:308825705:-1 gene:SECCE1Rv1G0023630 transcript:SECCE1Rv1G0023630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATLAAARFPAAVARLSTRRSPVVAVPLAPSGLRHHRRSVAMAAGAAPSPIPASDPLPKGSDLFFRSVLSNMQKVYLSRNPTAEKILDLVHSYDGDHICFDHFAFRTFGVDGYGIDSLAEFFTDFGYESREELRFPAKKLRALWFSPPNNDGYTRTGIHGPLPRIFISELLVDELSAPSQEIIREYIKTSANGNKYAVLASTFGELTWEKPTYSDFQVLSRESEYAGWTLVNGYALNHATVSTHRLESDIRSISKFNKFVEDNGFKLNTEGGILKVSPDGLLQQSSTVADSSLFTFADGITESIPRSYIEFAERLPLPQFRDLQDEEVKEHHRRDGFEVGNADKIFESTSKDQLTRRSA >SECCE2Rv1G0138930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:924297998:924299416:1 gene:SECCE2Rv1G0138930 transcript:SECCE2Rv1G0138930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARVRRRHDGAEIGDDAVREVLARLPGLRELLRCAATCKHWRRLIIDRAFLRRLGLWPDSAPHPCFLAGVFSQSCYSRDDTRDKGSLYAPPRFLNLQAGLDDVHHPRTFYSFVTIDGDDKGLFHLARPLAARRGFLLARVLRPYSPGNGDDDPKKLHLAVCRPLLDGRSTHLLPPPPFNMDDYLDNNLIGCAIFTAADHPAAAGDASLADHKQQQTFQVLLMYKDNTDGYAYTCAYSSEAPGAGWSAPVKSYMASRLTRCGPRAGIVTRGMVHWLFMHYQNHQVYAVNVSMDTLHITFTDIPIQVHATMPRPPIPCTVQGRLSFVTIREDGVADLWTKQEEQDDTIVVKEKEQDDNSWQSSQLTNLGSERISSVFFAECRGALLVEQHGGALSVVDLKSKDKFLMHLKDETSEHSRGMCRFLESCSSSCCRGYNHGYRGTTCLQTPPVLYEMDWVFTSLVSSEARGEKQE >SECCE3Rv1G0178950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:521399749:521403802:1 gene:SECCE3Rv1G0178950 transcript:SECCE3Rv1G0178950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSWEMLSFNIHDGFLEAIVRGNRSGLLTQADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQANEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCAKLGGATAEIMCNLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLFPYGHEELAVCEDVDQVRGVMEKYPPYQSIFAKISYGESQMLDKAFYEEEVKRLCLSFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKNRVHDSVVPIF >SECCE1Rv1G0011350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:75676839:75677492:-1 gene:SECCE1Rv1G0011350 transcript:SECCE1Rv1G0011350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENISARGYPRSSRKMVSCFHHYKVEIFNEVLGRNIAEMNNRFSETSTRLLICIASLDPRDSFSRFNHENLLELASMYSVEFDPEEQYHLDGQLKIYIDMMKRSDVFCGCGSLANLALKLVETKEHLHFPLVYRLITLALTLLVATASVERVFSAMNIIKTDLRNKISDDWLNDRMICYVERDIFAGIDDKKIVEHFHSLRDRRGHLPNPPRILTT >SECCE3Rv1G0214120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:964170444:964172043:1 gene:SECCE3Rv1G0214120 transcript:SECCE3Rv1G0214120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELISSGLASMDISIFRGGEGEAEAEGDASATRTQREEKMKDVRSENQDEGCPCPCPLPSPPETTTQEEEEVVYREQDRIARYRGLWESRFAGKFGSFDTSLITTYIFLIHSLDSSLGPMRFTSGPIPSYARPHCTMQIFNIRVADLEDGLEWPLHVHGLVAARDTSDHNRNFLFNCTRDNCQILTKEYPYLLLTGPSRAIVIIDPITIEFQLKVKSKTDPEEDEMLAFGIFNYPQTYLATYVIRSGILCDRCTIELAYAPLVPSVEATVIGVWIIDGVWPEGFRGRVVAEVTTVRDGKVLLLDSLDRKMPISPSTGAIELSRSVVSVDLQGGKLVISVVASSQAGGKEDDDGRGGAVVVARGEAVFAPERAGMSNGTCDLGFCKVEVAVAWSLVSSLWNERRALAKLAEERA >SECCE3Rv1G0154910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62738628:62742234:-1 gene:SECCE3Rv1G0154910 transcript:SECCE3Rv1G0154910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKAVPRPCPGAGRHGAPAARLWSASVAGGPRRRGAGGRLVAADAGERMTGSEAVPVMAVAAGKQPVNGSAMAGIDKLVTSTVGKSTNILWHDCPIGQFERQKLLNQKGCVVWITGLSGSGKSTLACALSRELHSRGHLTYILDGDNLRHGLNRDLCFEAKDRAENIRRVGEVAKLFADAGLICIASLISPYRSERSACRKLLHNSTFIEVFLNVPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSDCEIVIQCKAGDCATPKSMADQVVSYLEANEFLQD >SECCE5Rv1G0334100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:563896192:563901647:1 gene:SECCE5Rv1G0334100 transcript:SECCE5Rv1G0334100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHAPAYTPEAASASATGGAGERHSLPALQAKMKRDPEGYETELRQLQRHFESSVFLFRQQAALSSSSSGGGGGETAKELGDLALFLAHVAPFYPNDLADLPDHIGGLLDTNARALPSGLRVHLVQALILLVNRKIVDLEDTMELFMELQVIGDRAVKKLAFSHIVHSIRRMNQKHKNEAKNRKLQGILFKLVQGEEESRAKRAFTILCDLHRRRVWFDDRTANAICDACFHPSSRLMTAAISLLIGYENVEQEDDSDASSEDEASQNPNIILSKEDVYKANHKGTSASKKKKKAKLERVVRSMKRQQRKSKEETGSNYYSPLTYLKDPQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHEMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRIPLMMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPIDPTARPKAFGETNIASDVPGAELLDEEMSPEGGSDDESDAFDSDDEEVLPSASGTRQSLESLSNKLDASEDHEDEVSGEQDDAEELDEDDSDDDMDELDDDSDVDGDTNASDEDEDEELNDDSENEDSDQEVEDSDEEDKSKGSGSKVQKRKLSDYIGQLDAADASLRALKKLAGAKKAEPSTDEAGRIFGDEDFKRIKELKAKKAAKLALVQHGLSKGDTRSVTFKLPSTDELSLKRVDPSELAAHVKRKMTKEERLEMVKAGREDRGKYQARAAIKQKKTGGSSNKQKQHQKRMPLAAKRAKAARSRQEKKTKAKHSGKQFRGRKAWK >SECCE4Rv1G0229630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:151702557:151704409:-1 gene:SECCE4Rv1G0229630 transcript:SECCE4Rv1G0229630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLPPLPMDRASENLWRGRGKDPRDLEALIGRILSYIHLVLPGPPASRHAPGLYALLPHDHLDRLSLLPDALLRNVLTRLPVTDAARTTALSRRWRGLWRSAPLVLVDAHLLPSSSSPEAQVQVPRDAARRVTSAVSRILAAHPGPFRCVHLTTSYMEEFRGLLARWLQLLAVKGVQELILANRPWPLVLGLPAAFFGMATLTRLYLALWKFPDTAGLPRAASFPNLRELGLCTVAMESRHMDFIISRSPVLEILCIQANLLMDRLTLASRSIRCVQLIGASDLEIVVDEAPQLEHLIMRQSFTRKGPGSHKRIKIGHAPALSILGYLEPELHTLQIGNTIIKTGTKASPSTMVPTVKILGLKVRFGVRNEAKILPCFLRCFPNVERLHIESKKTAETTGKLNLKFWEESGAIECIRSHIDLMIFQNFRGDRCELSFLKFFLENARMLTRLAIVCVNGSFSSLAEANAKVKPLFDTKWASNCCKLLLFESAFAEGQGFEMQNFERGSNFSVRDPFAVIVGA >SECCE6Rv1G0418090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:660889089:660889358:1 gene:SECCE6Rv1G0418090 transcript:SECCE6Rv1G0418090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGAAAVPEKEAQAPAPASSTCFKWTVGEGASFMERAKEQYKQFAEAQASEHWECIKNKVSSMFADFGGGAKDHGSTTNTTPSVQSQ >SECCE3Rv1G0161120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:112441814:112442338:1 gene:SECCE3Rv1G0161120 transcript:SECCE3Rv1G0161120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKKKLYTPFGRKAAGEGRGHWAGSFSSEFPIQIEAPIKKILRRLRDRGLISRRRPRPIHVASLTNVSDRDIVNWSAGIAISLVSYYRCCDNLYQVRTIVNYQIRWSAIFTLAHKHKSSARNIILKYPKDSNIVNQEGGKTLAEFPNSIELGKLGLGQYPNNDGALNYMFNK >SECCE7Rv1G0477680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:224702095:224704692:-1 gene:SECCE7Rv1G0477680 transcript:SECCE7Rv1G0477680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGASCGRPSEEVDFFGAAQSGDLARLAAAVSSRPSLLRRTTLFDRLSALHIAAAHGHLQVVSMALDLCVQPDVVNRHKQTALMLAAMHGRTECVRRLLDAGANILMFDSSHGRTCLHYAAYYGHSDCLRAILTAARTAPVSQSWGYARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSGAFGFPGSTPLHLAARGGSLDCVRQLLSWGADRLQRDSVGRIPYEVAVKRGHAACAALLNPSSAEPLVWPSPLKFISELEPDAKALLEAALMEANREREKRILKGAKNSLPSPSHSDDGATVSEGGEVCSICFDQACTIEVRECGHQMCAACTLALCCHAKPNPATQSQPLPTCPFCRGGISRLAVATRAKAGDDDEEEEGEDRLESPRHRRSRRSVNLGSGGDGGSSSSSLMGSIASSIGKMGRRKTDSSELQVQLDDKP >SECCE4Rv1G0280410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:820455997:820456326:-1 gene:SECCE4Rv1G0280410 transcript:SECCE4Rv1G0280410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKEKVKDGVSAVKAKTKIMQAKASEKAEAATARSHAERELAHERGKAKVAAAKMELHREEAMEHRIHKHGGGHGRHHHKHGVGIVAAPAPAPGAGAYYSTAARGHY >SECCE4Rv1G0218460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:22361095:22366225:-1 gene:SECCE4Rv1G0218460 transcript:SECCE4Rv1G0218460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEKTAEEIRRELQELQRQHREISERLRDPRGLRRGAPATGPGPGLGPGGPRPLRGFARPAPEPVDQPEPKRRLLSAVVKVDGSGTKEDDANNAEGRQDGPTAFEGGERRGASNGGFRRDGSQWVSRRELDNQLPEPLPRPSPKEEDQSLVRRNKRMLGKLLVGTLEKFQQENKKLSNSEAFMRRSEAQQKADQKAREDSERLRQQEREQAAEKRRRDMTLRARVAAKAEEKRLELLYIQWSEHHKKLSSFVRTKAEPAIYYMPAKPIVDDPVIVEQNKEKVFEEWKSVRRTELSQFQKQVEEQYLSNVERQLERIQNARNARRGNVPANMQEMDKELDTHRAEHGPKARRIPEGGNDEDDDVDVDDMAAEDDLMDEVLGVNEAINEDPSKPSEAADVAPVPEEAQ >SECCE2Rv1G0092550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:362660046:362662408:-1 gene:SECCE2Rv1G0092550 transcript:SECCE2Rv1G0092550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDVDTEVAAGAQPKKRTFRKFSYRGVDLDALLDMSTDDLVQMFPARARRRFKRGLKRKPMALVKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSLIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >SECCE1Rv1G0005810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28360420:28363856:-1 gene:SECCE1Rv1G0005810 transcript:SECCE1Rv1G0005810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKGSGRRRAADERKLIRMADEAAAASSMQAAAAAALASLHLPPPGLTETDNQDRKFFGRGARIKRKAKRKWGYVGGEAGAADSGGLRPRLLRHRRSTCRRGVGSMDEVLHGEVGIRSRFSAGRIREIMRGLTPRQQGYVAKYGFEHFNRIGAFSVHEPLTEWIMGKINPPFSEFRINADKTIVFSKPLVQKILGVPTGARPFVLHGQKSDKIKELRDLYLNNGLRATIPHCVSLLKNNEDEESFMRTFLLIALAAVLTPTTGNTIDLDYLWAFEDMSKVQDLDWAGHITEHLMDEVQKFQYKSREEKMRDFWVGGCLPLLTIAYMDHLDLPRGRIVDHEINYSVPRICHVSKDDFQFAAIADLHRQHFKFATFGILPFRDRTPYTDNPVTDTEVAEDDRRILSNDQVLSGQWELVEVHEEKIDELVKETQPEVLGFAEATINHSPLGRTDIGSNQGTKNPASYERGSSSHCRNTAKADVTPSSSEKSVEDSAREYESSESDDHPTPPEADYGVIFRSYPSGAQMERVNMLIHKIKPETIVFVATMRKCDVQLPTPLLIISKERSLAAAAHFPHENGAVILQMPGKSEKWRPRFFIEKDNCMLAGNWLDFVCDNQVHAGDICIFVPAKGGERYTFTVHIIRAEATHQRGVKRVRSSHDSPIGVEGMANTDAV >SECCE1Rv1G0009160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:52900727:52901228:1 gene:SECCE1Rv1G0009160 transcript:SECCE1Rv1G0009160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHYHLTVLSVFSFLLLTGLLAAHLSRGKKAGKIVTLTVQYPAVDSPPGEKIKISAHYVDEDGGGDRRFDLDCDDDEPLGFGMKYLLSTLEGIRVARESRYEL >SECCE4Rv1G0272720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:771122687:771123839:-1 gene:SECCE4Rv1G0272720 transcript:SECCE4Rv1G0272720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKRQAGWCFLTVVLVVILSVAMAQPPQPRVGGPGRGKLPPVNGKFEAVTFNKFHKRKYEVACTDNRGPPCLVSCPKNCPNKCLAYCSYCMTFCMCDLFPGQSCGDPRFTGGDGNTFYFHGKKDHDFCIVSDKDLHINAHFIGNHNPDMKRDFTWVQALGVTFGDGHRLYIGARKAVQWDEEEDHVQIALNGEPVEVDATKNARWFSKTMPGMSITRTDTVNTVVVELDGVFSISANAVPITDEDSRVHSYGKTEEDSLVHLDLGFKFYDLTNGVDGVLGQTYRSDYISKVNVTAKMPIMGGAPKYLSAGLFSTDCAVSRYHSRGASSVETFAL >SECCEUnv1G0562500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:366630803:366635713:-1 gene:SECCEUnv1G0562500 transcript:SECCEUnv1G0562500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSCCEERERPRKSFAPLHANAGFAAGVLFALLVFFLVQQQTSISVATTAAVAQWNTVKQLIRAPGETQLIKAPGETLVTSDVHRVADKQPIPDSDNGKVVCTTEDHSRGLSGTCEVEGDVRTNGTALSVSLVPASWSEHHEWMISPYTRIGQSLRAVTVTQLQDRVAAPPCTVTHTMPAILFGIGGYVGNYWHEYADILVPLFVAARRYHGEVTFLVSNIQQMPQWLVKYRALLQGLSKYVVVDMDRDAYVRCFPRISVGLRLDKDLSIVPELVPGGRLTMADFTRFVRETYALPRGAVTMEPYKKPRLLLIQRATSRQFLNEPEIARAAEAVGFEVVVTELRHDGSEVEQAQVVNSFDVLLGVHGAGLTNAVHLPPGGVLIQVVPYGKMEPMARLDFSEPATDMGLKYLDYSVTAEESSLLEKLGPEHPAIKDPDSIHRSGWTALYEFYLMQNVRINTTRFTPTLEQAFNHLRKQ >SECCE7Rv1G0463890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:57701268:57704598:-1 gene:SECCE7Rv1G0463890 transcript:SECCE7Rv1G0463890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQASRFKRLCVFCGSSQGNKSSYHDAAIDLANQLVGGGIDLVYGGGSIGLMGLVSQAVYHGGRHVIGVIPKTLMTPEIVGEMVGEVRPVGDMHQRKAEMARLSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVEGYYDSLLTFIDQAVEEGFISPAARRIIVSAPTARQLMDKLEEYVPYYEMVASGLDWETDRLDF >SECCE2Rv1G0100700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:547134271:547137659:1 gene:SECCE2Rv1G0100700 transcript:SECCE2Rv1G0100700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRALALRSLLLPDPLHHLSLRAAASAPAAPFPCRRRRRRNLRCCSSSSGSGSGEQGQPPQEAVLEAISKVAKSKGRVALTTNMVIGGTVTDDSSDEWLVLDQKVNTYPTDRGFTAIGTGGEDFVHSMVDAVESVLQESIPKGQVSQKISSRGKYVSVKIGPIRVASSEQVQAVYRAMRRDNRMKYFL >SECCE5Rv1G0318730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:366984531:366985397:-1 gene:SECCE5Rv1G0318730 transcript:SECCE5Rv1G0318730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRNIPYSVLPTEDRDEDNVDRRFTYTPKSLRRIPWKSIALALFLLFLGSSLLFLSYFISTGHMEGDSSQVYGLLFLGILAFLPGFYETRVAYYSWRGAPGFTFAAIPDY >SECCE7Rv1G0511320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:803007769:803008719:1 gene:SECCE7Rv1G0511320 transcript:SECCE7Rv1G0511320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSAVCLLALLAVFASAAGFAAAQPVVVTAAYNIGNDDYMAFINQLRTTLAAHPSPDAISGHPVLPPQHRKHPPARWLHVPITAGDKKVTLALRDDNVYLVGFKAQSGRWYEFRSAVVPGRKQPLIHGATFLECQDTYRALLGGETSKEVKQKVSNLALGKTAAEAAVKKLAAYAHAAGGPDEATKVALARMLITVSEAVRMSSISTTLSTGWGQAATVKLDDLQPYYMQNWGALSTAILDWRKMGPTYPWPEKVCKETHIKDAAGALAVVQLLLNRSTD >SECCE1Rv1G0006460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:33953716:33954210:1 gene:SECCE1Rv1G0006460 transcript:SECCE1Rv1G0006460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANGNKSMSAYLTCNLAPSRGVGAGDDGPPQPQTATCCTALQRGFPADGKPTQSRFAPVRMPGSAALMPHADDDGPIEEEEEPEEEEGQQQQKQATTPTKRAAAAAATKTMIKKMVRKCKSSVADVDVARLGDGTPQTPRLRRSGAIRRDWSFEDLRGGNNAA >SECCE5Rv1G0357880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:750633933:750634367:-1 gene:SECCE5Rv1G0357880 transcript:SECCE5Rv1G0357880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTAVVGFTLIAAQLLFLASTPTTAISIPPATTTGTTIVPSGPATDVPALPFPGEGEVAEAAADCWKVVLQVDSCAVDILKWLATRQLAGRVSPACCGVLQRVGDRCLRDLFPHSAVGRLYAPFVSHACGIPKRATPSGRQW >SECCE2Rv1G0073300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:67070921:67072079:1 gene:SECCE2Rv1G0073300 transcript:SECCE2Rv1G0073300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSLSAVLLLCLAMAASAQLSPTFYQTTCPNALDTIKAAVTAAVNKENRMGASLLRLHFHDCFVQGCDASVLLSGMEQNAFPNVMSLRGFEVIDSIKAKLETMCKQTVSCADILTVAARDSVVALGGPSWTVPLGRRDSTNANEAVANSDLPPPFFDLVNLTQSFGDKGFTVTDMVALSGAHTIGQAQCQNFRDRLYNETNINSGFATSLKANCPRPTGSGDRNLANLDVSTPYSFDNAYYSNLKSQKGLLHSDQVLFTGTGGGTDNIVNNFASNPAAFSSAFASAMMKMGNLSPLTGSQGQVRLSCSKVN >SECCE7Rv1G0497350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:623855863:623856613:1 gene:SECCE7Rv1G0497350 transcript:SECCE7Rv1G0497350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEMERMMGCQIPAFGVWNYCSDLPITQYFDLAMQARLLKRHRRCCDAGERRLVLFGASPSPRKPPQIKVIRREVGEKQSDGGELLRELDGGMAGRTAADSATKRVPAAGAVDEDLYKVPRPLVYQKPRKMRKVVWSLWIGCLGLDCIA >SECCEUnv1G0531590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17570369:17570821:-1 gene:SECCEUnv1G0531590 transcript:SECCEUnv1G0531590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRSNVFDPFADLWADPFDTFRSIVPAISGSSETAAFANARVDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKNDKWHRVERSSGKFVRRFRLPEDAKVEEVKAGLENGVLTVTVPKAEVRKPEVKAIEISG >SECCE6Rv1G0397340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:389705745:389708441:-1 gene:SECCE6Rv1G0397340 transcript:SECCE6Rv1G0397340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFQATTCKPHTGLIVDRPITGLGKTCRLLPIPQYSLQPRPLGFRKLDKHIYPRLVLVAASHPRLTPVCASSGKGNPEIDNDPFMDHLKKAMADAKKPRPLQDVLKEKFSKLREQASGGGGGNGNRRGGNGGSGGPEDESFKESLDEVVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRSMQQWRSFSEKFTQNEGSQEERYGRPAASEAMWWQQPQKFVHLMEELCRGNWRPHAQKS >SECCE7Rv1G0521120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873084541:873085140:-1 gene:SECCE7Rv1G0521120 transcript:SECCE7Rv1G0521120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRRRNPDVHVKALEGIVSANTFLTVAVFIGITGTITPSSTVPRNCVAGDDIAQNFFLFEILSFGFYLLSSLVAQGMKLAVTLLAAGDDFYGDGEQKPVMTDDCEEMPAWRAAGPRERRRAVLRYARPMMLLAAACSIMGTFFLLLSMIDAIQLKFGILSCNIPLAVGATFALSVLAVSGLLFYGCTVGYALYNYLP >SECCE5Rv1G0342190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:631141246:631141824:1 gene:SECCE5Rv1G0342190 transcript:SECCE5Rv1G0342190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAATELILPALRGAYQRAVHSFSSSSVRARPVTGTHLFQIDGYKLAHRMVDGSSIKSRKFRVGGINWQAAYHPHARGLRVGAGLHLAGTNNSGKNATAMCQVSILDRAGVPAFSRLIEPRDIHGMIYPGLSSWSVDVHDFIKREELLKWAENNVDGDRLRLRYDVLVLQMQSESPVQGKLKDFLERLKN >SECCE7Rv1G0461000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:34742350:34746262:1 gene:SECCE7Rv1G0461000 transcript:SECCE7Rv1G0461000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPAALPDDVVEEILLRLPPDDPGCIFRASLVCKAWRSAVSHPHFRRRFIDLHRHRPPPVLGFLHNWEDERIPDFVPTTASPFSLPAPDRRFWRPLDCRHGRALFLSDHGQETQELLLWEPITGARRGIPVPAAFRSQWPTAAVFCTADGCDHRDCAGGPFGVVFVFTVDISDDVDVVTSACLYSSETGTWGELTSKEYEFTTDFEHHSSVLVGRSLLYFLTDGGMFLEYNLDSGELAAFRPPPDYYGSDCERFNLMLAEDGGLGAVEGIDFELLLWKREASDGTDARWVLSRIIGLHSFGGGTPAPVLGFAEGANAIFVKTIGSLFMIKLQSEQGKRVCGNHGFGNLIPVVSFYTPHSSLQVPDGEHHDPAQRLNLLRSGQQGVSEETSLELAQVLFDKGCKAINEKDFANAADCFRHALEIRVRHYGGLAPECASTFYRYGVALLCKAQEATKRSGNFSKRAPNEESITPTTNKDDAGSSEASGSSVEHAPPSRKGDYEEGANLHGKDQKDGNMTGDGDDSDLDLAWKMLNTARVIVAKSPDKTMQKVIILTSLAEISMRREDRDSSIGYYLEALAILEHLVRPDHIGIVDLNLRICWTFELASKVGDAIPYCANAISACKSRIRNLKIAKEALLADKDLSASAAKGRSEKSTLEDEISYLARMLPRFQKKLEQLEQAMSSPSDIMKRVVSQASHEQNVNNTMARTASSTSSQMAGSNNSVHSPTMSPAAARGSTGSSVTDFEIVGRDMKRAKDESISDEPSPKRPAADDSPSVNEI >SECCE5Rv1G0328100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:499864419:499866984:-1 gene:SECCE5Rv1G0328100 transcript:SECCE5Rv1G0328100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAGFIPPSAAAAAAKAAAAKKKQQQQQQRRVTADSLWPGQRRKVAEEEDFEADFRDFERDSSDDDAVEEVPPPPPPATAGFAFAAAAEVALPAPTRLDAVVQHDGPAAKPVKRARKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYDTAEEAARAYDAEARKIRGKKAKVNFPEDAPTVQKSTLKPTAAKSAKLAPPPKACEDEPFNHPSRGDNDLFAMFAFNDKKVPARPAESVDSLLPVKPLVPTETFGMNMLSDQSSNSFGSTEFGWDDEAMTPDYTSVFVPNAAAMPAYGEPAYLQGGAPKKMRNNFGVAVLPQGNGAQDIPAFDHEMKYSLPYVESSSDGSMDSLLLNGAMQDGASSGDLWSLDELFMAAGGY >SECCE4Rv1G0273320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:776045794:776046087:-1 gene:SECCE4Rv1G0273320 transcript:SECCE4Rv1G0273320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAVAVMCVSFVVVVALSGVVAQLHCRPHLLEACDQAIRDGSHPSTLCCSNIKAEQACLCEYAENPKYYVPGPYLRQTSISCGIHIGLCPSPIGV >SECCE2Rv1G0065940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10776068:10776670:1 gene:SECCE2Rv1G0065940 transcript:SECCE2Rv1G0065940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVMASSATTVAPFQGLKSTAGLPVSRRSSGSLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSTEALLKQVDYLIRSKWVPCLEFSKVGFVFREHNSSPGYYDGRYWTMWKLPMFGCTDATQVLNEVEEVKKEYPDAYVRVIGFDNLRQVQCVSFIAFRPPGCEESGKA >SECCE5Rv1G0374340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862414924:862415547:-1 gene:SECCE5Rv1G0374340 transcript:SECCE5Rv1G0374340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADGPGAVRRALDRASASLRSGRRALTRFAPRPSAFSAAPDAEAAAVRAVRNLRTFRVHYAVIQWALLLATLAPRHRASMLFLMAASKGLLLYGGLLRAFPNSALLRRLLDRRLVAAVFVVLVVADLAAAGALPNLLFALSAGAPVVLLHAAFRARDDLEPAASADSAAGEEDKEKERTGVVVEKKEDGDVETGPTRRSAMAAAKS >SECCE3Rv1G0178070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:490441775:490443070:-1 gene:SECCE3Rv1G0178070 transcript:SECCE3Rv1G0178070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGFCNDMILSESAWNSGGGDGGAAGDVVNVVDGSGMTVLERLVLDEALAAAIMELQGSQVPSGGGKAVLAPMAGGLEAASLAFGATGTGPVTPAYAEVDGVVLQRQQHQHRHQGVMGMPVDYDLVPAARAVTLATVPAALSFRKGAAAAVDRADVVDAAVFDSGNNGPTSAATTGTTRQWDEANGGAKRQRRSSRKRRAADSPCPAADGHSQENPLCSLLASTSTGDGGIQIAFSSGGGAAPSSKRTKPSLSSTSSSISFDGRSSVNNGCDDVPRYEPDTEALAQVKEMIYRAAVMRPVSLGAEEEDTGERPSRRNVRISSDPQTVAARQRRERISDRLRVLQKLVPGGAKMDTASMLDEAANYLRFLKSQIRELQTLDRRNYGANGTSNTDMAPLMSYNNMPAFAFPATGAGETLGGGEEAFMSNRFR >SECCE2Rv1G0098600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:488842331:488843137:1 gene:SECCE2Rv1G0098600 transcript:SECCE2Rv1G0098600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRAATAALLLTLASSAAATTTKFNVTEVLNESPEFSTFNSLLSKTNLAEEINKRQTITVLVVDNSAASAITSLPADTQKKVLAVHVILDYYDPMKLENIEKRTALLTTFFQTTGAATDRAGFVNYSQSADDQMAFGSAEPGAPLSSQLVKVVACRPYNLSVMQVSAAIIPPSISSSGKGSSGAPGPAYGSSSNASVPTITEAETLESSDEDSELDDDAPASSPPVHSADAAAGAHTSAGNTVVVRTRVGLVGLVMLMADALALHV >SECCE5Rv1G0375040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:866502506:866508923:-1 gene:SECCE5Rv1G0375040 transcript:SECCE5Rv1G0375040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTDELLVASSSDGDCMSIDDALARHEGEFGRWQLRHFVLNYDPNSSTAMHIMIMIFADREPAMSCPAGDGRCGDRCDGAAAGWEWDQGSGSSTVAEWGLVCGERYKVGLVHAVFFAGSMIGADVFGHLSDSFLGRKGSLQVVCFLNAVFGLLTALSPNYWVYASFRLFTGFSAGSVCLCSFVLATEPIGPSYRGAAGMSTCYFFSGGIAALSGIAALYQSSWRLLYVTSLPSAAFMLVVLPFISESPRWYLTRRRTDDAIRVLRDIASTNRRRFPDNVMLKLDDDDDINKKVEHSSSSIMDVLQSRTTRVRLVLSVMISFLCSVVYYGLTLNVVNIKINMYLGVVTNSLAEMPAYLLPTMFLPHFGRKPLGIGTMLLSGVFCTIASLIADVSSMRVVRMACGVVGIFGMSGTYNLLLVYTSELFPTVVRTVAMGCTSQASQMGAILAPMVVLFGERVPFAVFGMFGIIGGLLMFCLPETIDKPLYDTMFGLEKGEEASSSEEEVSKEISEF >SECCE2Rv1G0087300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:224144462:224145007:-1 gene:SECCE2Rv1G0087300 transcript:SECCE2Rv1G0087300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter family protein [Source: Projected from Oryza sativa (Os03g0370800)] MAMPMPPPPPGGMPPMGPMGPMGPMAPMGPMGPMGPPPAADMPGMGTMPVMHAAFFWGHRAQVLFHDWPGDRAGAGMYALCLLIVLTLAALVEALSTASRGVSSRRPAAVLALTGLHAVKMGLAYLVMLAVMSFNVGVLLAAVAGHAIGFLLARSAVFRQATPGDAPQNGALIPSEAEPKP >SECCE1Rv1G0028910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:408166155:408172162:-1 gene:SECCE1Rv1G0028910 transcript:SECCE1Rv1G0028910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNHGGQGQPPHQYHQYPPHPQPEPYPYPYQHQQYPPPSAAPPSHYLTPSTSFPAYSPAPPQQFAHHSGPLQPYPPPGPHQQQAYPPHPQHAYPPHSQQHGYPPPSPSPYGYDPYPAYPSYPSPAHPTYPSPAISPSSSFHHQPHPSAPDPTAASYPSSAISHSSSFHHQPHPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXPPRSSFHHQPHPSAPESPAASTPHYPIIDGFANMHLSGRHDYTPAPMSSPSVLPHSASFSNGGGMQMVPYGSMAGGSQHGSMRASLKVVLLHGSLDIWVHDAKNLPNKDMFSKRVSELLSVGGKSNAKMTSDPYVTIQVSYATVARTYVVSNSENPVWAQNFHVPVGHEAAEVEFVVKDSDVFGAQLIGTVAIPAENLLSGDRVEGVYPVLEPNGKPCAPGAVLRLSIQYIPVARLTMYHHGVIASPDCLGVPNTYFPLRRGMRVTLYQDAHVPDGSLPDIWLDHGLRYQHGQCWRDMYNAISQARRLIYIVGWSVFHTIDLIRDGAEKAPSLGDLLKMKSQEGVRVLLLVWDDPTSRSILGFKMDGFMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNYRRKIIAFVGGLDLCGGRYDTPGHPLFQTLQTSHKEDYYNPNFATVDARGPREPWHDLHSRIDGPAAYDVLKNFEERWLKASKRHGIKKLGKSNDDALLKIERIHDIVNINDAIYFSDNDPETWHVQVFRSIDSNSAKGFPKDPREATRMNLVCGKNVLIDMSIHTAYVNAIRAAQHFIYIENQYFIGSSFNWDSNKDIGANNLVPIEIALKIANKIKAKERFSAYIVVPMWPEGNPTGAPTQRILYWQNKTMQMMYETIYRALKEVGLDDIYEPQDYLNFFCLGNRELGDSPSTPSTANNPQDQARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWANKISAPRGQIYGYRMSLWAEHIGIIEEDFNHPESLECMRRVRQLGEHNWDQFFASDVTEMRGHLLKYPVSVDRKGKVKPLPGCATFPDMGGNICGSFTAIQENLTI >SECCE2Rv1G0086800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:216042377:216043228:-1 gene:SECCE2Rv1G0086800 transcript:SECCE2Rv1G0086800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLASCLSQAGVQVAHPSSSGAQSMVQCAYLARLRGKSCRVTVTWSKAALGQALAIALHDSSGRCLCRTEIKPWLFSKRKGSKAVEVDGGALDILWDLSSAKFAGGPEPLQGYYVALIFDLEAVLVLGDMPRTGDHKASSDALPCDAAMIARKEHTYGKKVYCTKAQFSDIGQHHHITIECDTSGLKDPSLEIRIGKKRVLQVKRLAWKFRGNQTFYVDGLPVEVLWDVHDWLFGSPNGCAVFLFQSGRSMEKFLARSCSQDEKERQVHRFGFTLILHAWKV >SECCE6Rv1G0427780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720814409:720816345:1 gene:SECCE6Rv1G0427780 transcript:SECCE6Rv1G0427780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCAKEGLNRGAWTAMEDDILVSYINAHGEGKWGSLPKRAGLNRCGKSCRLRWLNYLRPGIKRGNISDDEEELIVRLHTLLGNRWSLIAGRLPGRTDNEIKNYWNTTLSKRNLQSHAAGCSNHPQAKPPQAGGEAQAPPEGTSSSPIRTKALRCTTVVAAEGFHEHGRVAPEHAAEEDQPGDCLSIGSIDLDLEGIELGFMMSPWSGDGVGGQHFGAPGTDGNDLEELLGVDVAGGDRDGDGHGGLGDLELAWL >SECCE2Rv1G0126580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:847223999:847230677:-1 gene:SECCE2Rv1G0126580 transcript:SECCE2Rv1G0126580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKNQPHLHSLLLIVVPFLLVSTASAANPGSDILDKGRNITDGETLVSAGGSFTLGFFPPGVLGKRYLGIWFSVGEDAICWVANRDHPLTDARGALVISDTGRLLLIDSSGQVVWSSNTTGTASTTVQLLESGNLVSRDGNGSGAIMWQSFDHPSNTLLPGMKIGKNLWTGEEWYLTSWRSADDPATGNFRYITDTEGVPQNVMLDGGKRIYRTGPWNGLWFSGVTEMSTYSGMFIYRLTSSPSEITYGYVAKPGTPFSRLVLTDDGLFRRLVWDASSRAWKIFFQGPRDICDQYGRCGAFGLCNASAPSTSFCSCVRGFSPASPVQWKMRDTSNGCRRNVTLDCGNGTSTTDGFVVMQGVKLPDTHNASVDASITLEECRTRCLANCSCLAYAPLDLKGGGTGTGCIIWREDLMDLRLVDGGQSLFLRSAKSELGEVPPPKSFPTGTVIGVTVPLVIIILALVAFWFSPWRRRWNGERILAHNVPGDVEDVASDTRPPNHASLMTSFPAIGLPAVEEATGNFSEANIIGEGGFSVIYKGKLDDYGMVAVKRLKQDILTDKIKEDFAREIEVISRFTHANLVKLLFYCQEKDEWILIYEFMKNRSLNIYIFGQDSSLRSLLNWAQRVEIIRGIAMGVEWLHGKGVTHRDLKPGNILLDDTWKPKIADFGNAKLLIVDPTDLTLVQTAGYVAPEYAEQGTLTDKCDVYSFGVVLLEIVRGKRRKDEPTFLPQVWESWRQCEIGELLDPQVGEPEEAFSVLARCIHVGLLCVQHLPEQRPAMPDVVAMLTNTGAQLPMPSNPAANSGAGPRAQPMSNDIPGPSRTL >SECCE2Rv1G0103950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:596598261:596603180:1 gene:SECCE2Rv1G0103950 transcript:SECCE2Rv1G0103950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVREPVAMEIPAEEGAAARVPPRIRRRLLEGRASGGGGPASAEEIEAKLKEADHRRQQFYDWLSCKARKKPRSPSWSSQEEDYGQRLEARLQAAEQKRLSLLAKAQNRLAKLDELRQAAKNDVEMRIEKEKEELETRVESRVRQAEENRMRLLHADMQRRAALKERTERSLVQKATSESKYTERVRSAILEKRAAAEKKRLALLEAEKRKAHARLMHIQRAAMTVSSQREAERIKLKEHLESKLQRAKRKRAEYLKQRGSPCSSAHADYIKHADILSRKLARCWRSFVKSRKTTLDLAQAYDALGINEKSVKSMPFEELAMLMGSPTALEATKALLDRFERRLSLCQSASTSSAENIDHLLKRLATPKRMAPPSRDGRTRVAAKRPARTTETSRLSRYSLRVVLCAYMILAHPSAVLSGDGEQEKLLMESAANFVREFELLVKTILKGPERASRQPSLDDAESSSCQKSYDVAIQSKFKTQLVNFDKAWCTYLYRFVVWKVKDARSLEGDLVRAACKLQLSMMQTCKLTADGQSHDLTHDMKAIQKQVSDDQKLLREKVQHLSGDAGIERMDSALSDARSKFFEAKENGSPLATPVANVSTPLSINSSGKLSPSEVNVNSKTDAEGSRSVARSLFGASAASSSTSPVNLPTENEQMVNEMLHEDGGAIAGNSNDARTIEKDFQDKVRETMEKAFWDVVTDSMRGDKPDYSQLINLVKEVRDSLHDLAPKGWKEEIYENIDLEILSQVLESGSQDTQYLGQILQYSLDMVRKLSAAAKDDEMKASHDKLLSELAASSEDNDNGVSSFVIAVIKGLRFTLEEIKQLQVEVSKAYVQLMQPTIKGSAGVEYLQKAFGGRYGPPANASASLPVTVQWISASKSIVDAEWSEHLGALAVLPAANHAQPLVTVLRAGHGAPTAAVASAGSSGLPECKGEKIDKLVRVGLLQLISGMEGLQLQSTPESFHLNFLRLRAVQGQFQEVIVMATSMLVLRQVLMSENSKIIPLELETVISELFGALVKLLDNSPEAGTEEIVEAMMSASASAGSLSDAKVRARRQIITRVLLKSLQADDVVFKKVSRAVHCAFRGVLLGGSGAKGQKLADAALRRVGAGKLANRVVKAAEVLIRVATVSEKVHGPWYKALA >SECCE7Rv1G0493110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:564376164:564376397:1 gene:SECCE7Rv1G0493110 transcript:SECCE7Rv1G0493110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTDWGPIIVAVVLFILLSPGFLFQLPARVRVVEFGNMGTSGFSILVHAILYFCLLTIAVVAIGVHVYASKPGPVG >SECCE1Rv1G0007340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39983562:39984159:-1 gene:SECCE1Rv1G0007340 transcript:SECCE1Rv1G0007340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTMDTRATSTDDHHHQTGHVASEPPAAKGGAAISVTIVLLALLVGSVAVFLMSSPPGVGGGSRPGAEPVEQAVGHGGVPGFNSRLDAFRTWAKLTWMKLQRPRSDDPRYDAGGHGIAGSAAEATKKSLEMGKETAEQAAATATGVAKDTVKGVAAPNSDAEL >SECCE2Rv1G0140650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932196355:932196741:1 gene:SECCE2Rv1G0140650 transcript:SECCE2Rv1G0140650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCSFRLTIVVAFLYTIGSPATGCGERIGNKLWNTAIEKGWKPIGNINDQHIQELGRWALLEFGMHVNGMLKFNKVVSGRQQLVSGMNYELIIDASDIGGKDDKYKAEVYEQEWTHKRQLLSFAKVK >SECCE5Rv1G0356280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:738443994:738449907:1 gene:SECCE5Rv1G0356280 transcript:SECCE5Rv1G0356280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSGAAAEPQFAVVRSSSPPPTPVASSAGASSPAMQINIVSIDWLGSKQASRVDSSSHVAPHAYGPAHSFDAAGTALESAPSCRPWERGDLLRRLATFKPSTWDAKPKAASSLACAQRGWVNVDMDKIECESCGVHLIFSALASWSPTEVTNAGEAFAEQLDASHKNSCPWRGNSCADSLVQLHLTQSALIGGFKDRCDGLLQFLSLPVIAPSAIENMRLTRAPQINRLLTQSISFLSGELGYKAENTPGIDIHQGSSCGYSRAQKLISLCGWEPRWLPNVQDCEENSTHSAKNALSNEPDEMFYSPLVEHQKSSFSASAKKDKGKGKRPLKDSGCSMSSPLLDCSLCGATVRIWDFRSVSRPNRISPNNTDAPETGKKLTLTRGISAASGINGWVNDGVVRDQAEGRDEAATYEGKLVSNAGVDLNLSMAGGLPPLHSSMAVASECCNGGMGRDLMIAQPAGSEVGDRATSYESRGPSSRKRNLEEGGSTADKPQDGVRHADSIEGAVIDRDGEEVDDDVQDSDTKNKKPRGFNFFDANLPSSSGAGPSTNLGFDLDVDISMFGHSRAVGLAPVEHPSARDSMRASSVIAMDVRSADEDSMESVEYHPDAGIDINMPSSSGHRNIEMNDAFDLNDSNQAQQSACAQPAAGSDGREIGGSSTNEGEEVLNAGTTPAFARDQLSLGISGGSVGMGASDEAEIHGIDVSVQRTESGVGDAEPITDLTETMGHTGESVPGPGLMDEFVPGEVDREEPHGDSQDIVFRSAGRADSGSKYFGSNKADSGESGKKIGHAIGHESSMHPSLSCNAGVYAGFDASKEEVTQAGKAVTTDDQGLHYDLQNGLGATNGENDYEPGLPDFDPVKHHNSYCPWVNGIVAAACCYDTSSSGSSELSGWQLTVDALDTFQSLGQSQNQTMRSESAASLNMDDQAASDRKLARRTSVNKSHGKC >SECCE4Rv1G0263940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718527705:718527992:1 gene:SECCE4Rv1G0263940 transcript:SECCE4Rv1G0263940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADQIFEFVRAADCYPNVSIAYRILLTVPVTVASAEQSFSKLKLLKNYLRSTMSQERLNGLAICCIEKDVLDNIDLDTVINDFASKNARRSRFS >SECCE5Rv1G0365290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:806126941:806131592:1 gene:SECCE5Rv1G0365290 transcript:SECCE5Rv1G0365290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGTRIKDGSTHPGASGMFSRGSGKDGSRLSACSSRASSASMPPSAKTECEILQSANVKVFSYNDLRLATRNFRPDSVLGEGGFGSVYKGWIDEHTLSACKPGTGIPVAVKRLNLEGLQGHREWLAEVNYLGQFCHTNLVKLIGYCLEDEYRLLVYECMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAYLHSAEAKVIYRDFKTSNILLDTDYTAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDIYSFGVVLLEMLSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDTRLEGQYSLNGAQTIAALAVECLSFEAKMRPSMDAVVSILEGIQDSSDPARRPADPTRRPAAERPQDSKSGSKTAPGASNSGKGRRKSSGDLLKEPGRDPKPSAYSS >SECCE3Rv1G0192950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:764366149:764367561:1 gene:SECCE3Rv1G0192950 transcript:SECCE3Rv1G0192950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAASTRVNSLSRIFSSSSPTVKPPKRNPKTKRAPAPKPSAADADSIVAGQKLPKPLGAQPAAKAESDGDHKLPKPLADILIALIRQRDPDKLVSEFVQASTVSSRFRERHRVYEVAVSRLTSFGRQDGIEAIIEAQKPFLETSNEGFATRLIRLYGRASMPSHAAATFHQLPAQHKSTMAFNAVLAAYSEAGDLDGLTAAFQEIPATHPSIVPSIYSYNILIRALCQKPDLSAALDVVLLMEKHGVSADIITFNTLLNGFCNNGPTDEAETVWEMMKERNLEPDAKCYNAKLRGLVAEGRIDDAAAVLERLEKDGPKPDTVSYNELIRGYLKAGMLQEAKKLYDDLVKNQCAANKGTYETLLPHLLQAGDLDCALRYCYEMFSGKRGCRVDCGVLQDVVNALVDASRVEDAAKLVDIGRKKHYYPRKGLRMPGSAKGSELRAETDDEEAISEEKECEVEHETEK >SECCE3Rv1G0156650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:73987886:74000410:-1 gene:SECCE3Rv1G0156650 transcript:SECCE3Rv1G0156650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELYVQALVLAKLAMGLLQLLKVALNPMRESTPPGPWKLPVIGSMHHLVNVLPHRKLRDLADAHGPLMMLQLGQTPLVVASSKETARLVLNTHDTNFATRPKLLTREIIGYQWADILFSPIRRLLAQAPQSLDRRDSQPQARALLPPHQQDEVRMRVEQIRQAGPSTPVNRTVMFHSVTDSIVARAAFGKKRKNAAEFLAGVGLASGFNIPDLFPTWTTVLATLTGMKRSLQDIQKTADAILEEIIDERKAASAEKIKAGPENVDENLVDVLIGLQEKGGFGFHLDNSRIKAIILDMFAGGTGTSASAMEWGMSELMRNPRVMKKLQGQIREAFKGKAVVTEADLQESSLQYLKMVIKEALRLHPPAPLLVPRESIDQCELEGYTVPAKSRVIINAWAIGRDPKYWEAAEEFLPERFEDSTVDFTASSYEFLPFGAGRRMCPCFNYGLASIELALVDLLYHFDWSQPEGVAEVEMEEAPGLGVGRLTPLMLLATPFVPATVV >SECCEUnv1G0554710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:290158483:290158809:-1 gene:SECCEUnv1G0554710 transcript:SECCEUnv1G0554710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKKNLEAVSKQNKTLEEAKSGRSDESKPHHNDIASLNEEIKKLKLQLKEKAEEAKDAEAKALAAQTQSEGLARKYDRLLEDNKHLHEQLQSGDIPLSRSDGKKNA >SECCE5Rv1G0348140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672668573:672670551:1 gene:SECCE5Rv1G0348140 transcript:SECCE5Rv1G0348140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAASAIPRLRWAAPRGHASPSTRWSLLRRRPLSSSPNVAPTAGPGPVEPPDLPRLAKSARISLSPQEAEEFAPKIQQVVDWFGQLQAVDLESIEPSLRADTAAGSSLREDKAEPFANRDAIIEALPSYDDPYIKVPNALTKE >SECCE3Rv1G0210550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944063435:944064037:-1 gene:SECCE3Rv1G0210550 transcript:SECCE3Rv1G0210550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIQPLTVAASPLSSDDQRSQQVRQLAYTVWWKSLVFNGNGCAVYGPDGTVAFRVDNYGCRGGREVVFMDRAGNALIRIRRKGFGMFRRWEVSRCAHNGGQEEEATPWFSVRRAEKGGAAVAMHGGAGTCYTIDGCSARKSEYRVRGVDGAVVAEVTRKQTPAGVVLGEDVLTLTVASKVDQLLFLGLVVVRGLISRSL >SECCE3Rv1G0155230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:64546381:64547733:1 gene:SECCE3Rv1G0155230 transcript:SECCE3Rv1G0155230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGAGSDAAGWMMLDRFVYRRDDDDDDEHGWRFPDESTAPLRATSSTSFARPFDVAILHAEPPAVSRLYVRWPGGTTGKYGSGTALMAAHRDLILFQLVSMEGRITHDHFVCIACSHPGPQHMELKRLPLCTIPMVLPPLFSPNTVGLIRGTSPGREEEFAVAQLAMVTKVQGAYQMEAEVCVLRSRVSALDDDGMWEVQKIPIQHKTYEFPALDNWLTDAVITFNKYICWISYYAGGILFYDIFAKTPNIFYLGLPTANRSRKTRERGFLEMNRSLCVTGVGGDLLKYTSVVRHDEKLCGPLEPRTGYTIVTDTLSITESGDINCFHERVAIAYELWHYNTSECLPREGLVYPLVSMDNPSIVHYLISEEGDEIDKVSVVTLDMITQKVTSIVPYIKGEEDLCGQDADMVKEKSHLLTSFLPSEFPKFLNLTRY >SECCE4Rv1G0237420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:360257458:360257835:1 gene:SECCE4Rv1G0237420 transcript:SECCE4Rv1G0237420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTDRADDDHRGHLGLPGFGRRRGRQQSTPRAERRTSKSRSAYGRGASPAPIRFPPGNFKHYLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYCPIWAAFPNNPTR >SECCE4Rv1G0276400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797988739:797990739:-1 gene:SECCE4Rv1G0276400 transcript:SECCE4Rv1G0276400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRAPAALLLLLFLLVAVAASGEEASAVVAEEEETGEAAGMLERQAAQLARLEEVADSLARSVRALEAALARSAGPGTPPPAQAQQRQQEVVLSDAVAEDAPGGGGGRRAPQGVAVTKRRPLWSERFLFAAAVRLGDGAHAAAQAALPYEDADGLTKYFAVGDSLGRVFVFSAAGDALLELALPPGEASSDPSSPVTALLAYLSPRRADCLLFAGHADGSIAAHRLTESSPHGDDWLTLAAASSRLLVRGLDGAPVLHLEAHHAGRSRYVLSCDAGRRIRVFTENGTLYGTAIASSTPLAFVKQRLLFLTEAGAASLDLRSMTVRETPCEGLAEALNGSRPRSYSFDPSERFKAYGFTDAGDLVHVLLMGDVANLKCRVRAVKKAEVDSPVAIQTIKGYLLVASQDKIMVYNTSSQYYGRVGAPRPLFTTAIRDIKAVFAGSSAVMSAAPAGKPLIAADREKLVILGLGDGYIAIYRSNFPVYKPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPEETFNTSVTAPTGSILNHPASERAFADSTTRAADRSYVDGTTRASDRSYVDATARSTDRGYAEATRAVDLRGGALRSAPRRYVSPTRYAGAAGIPYRPVSAEPGLRGATPELKYRGPGMEPPGFPKKRDTLFSNNQAVVDDHVE >SECCE3Rv1G0156300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:71383859:71389611:-1 gene:SECCE3Rv1G0156300 transcript:SECCE3Rv1G0156300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPLLAPALGSRASLYVGDLDDGVAEGQLYAIFSQVAPVASLRICRDVTGRSLGYGYVNFNSQEDAKHALDSLNFTPANGKHIRIMFSNKDPMMRMSGKANLFVKNIEPTIDGKRLSDIFSHYGSILSCKVATHFNGQSKGYGFVQFADETSANNAIDGLNGKLVNGKQIFVGLFIRRHERQQISSSNYTNVYVKNLPQEFTDHDLLQEFAPFGRITSAVIMRDQISRCFGFVNYAEPECAVEAVKSLNGKMINDTILYVGRAQKKSERQAELKANFERARNEKFKKFEGLNLYVKNLDDNINDLNLRGLFEIFGEIGSCKVMVDSQGRSKGYGFVSFQTIEAVRKAIDGMNRKIVGKKPLYVGVAQRKEERRAMLMAHFARIQNAGVLAPAVPQIFAPHQFYFGPGVPGMFPPQVPSLGYQQFPLQHFTPWVPSGMTPFTYNMPRPLHHAWHGMHPEINLNQQMVYPNANQAFTYLPNGMNVNTNSVMVPPGFAQTDSTVCAPSIPSKNTTTTAVDSSDPEKQHLIQGEKLYPLAEQLEPLSGGKVTGMLGEAMEALKRSKVEEASDTVDFASEPSSLTLSALSDATHPTSTPSSSSASGDPANHASASSSSSA >SECCE1Rv1G0044500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:603251195:603253121:1 gene:SECCE1Rv1G0044500 transcript:SECCE1Rv1G0044500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAGKLGRLEHHHGAAYSPSFRMEDLPAEIQPVIISLLPLKEATRTSIVSRSWRMLWRFHCNLCFDGPNDPDSDTDDEFTGQDSTKMKRAKFIETVNSVIQQHSGIGLSKFSIRCGLPKEDSHHLDRWISFATSSKAKIIHLDLIIIDWPLEEVHHFPLEALDVQGGSFVQSLFLAAVSIKPHPGIRGLTILRRLVLESVQIFGDFPGLLAKCLALEDLEIIDCSGVTNLSIPHKLDKLRHLLIDSSTMDIEVVELHAADLAHFEYKGRVIPIVLHGCSKLEKATIMFVDTKALAHTFTAVPSILPARILHVQAIISKYAQLQKLPTRPQGMFMNLRHMTCQLSVNCGEANDDNEVLQLAHCLDAAPRLETLRLHMFYLKSSGASSGEVVGMRRHDHLKTVFMSGFRCYRVQTELACCILGNACVL >SECCE2Rv1G0090310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:275291703:275297144:-1 gene:SECCE2Rv1G0090310 transcript:SECCE2Rv1G0090310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSAMAVLSFLLGLPLLSVLVAGEDPYRFFTWNVSYGDIYPLGVKQQGILINGQFPGPQIEAVTNDNLVVNVFNKLNEPFLLSWSGIQQRRNSFEDGVAGTTCPIPPGANFTYILQAKDQIGTYFYFPSLAFHKAAGGFGGIRVLSRPRIPVPFPPPAADYTVLIGDWYKTNHTDLRYMLDSGKALGLPDGLLINGRGWNGYTFTIQPGMTYRFRITNVGLATSLNIRFQGHTMKLVEVEGSHTMQTAYSSLDVHLGQSYSVLLTADQPGFDYSIVVSTRFTTKIISTTAVLHYANSAGKAPGPLPGGPTTQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGQVPTTRTIRLANSAATINGKQRYAVNSVSHVNADTPLKIADYYKIPGVFSVGTISDNPTYGGAYLRTSVMGADYRGYVEIVFENSENEVQSWHIDGYAFWVVGMNGGKWSPASRQIYNLRDGVSRYTVQVYPNAWTAIYMPLDNVGMWNVRSETWARQYLGQQFYLRVWTPSTSWRDEFPIPKNALLCGRAAGRRTRPF >SECCEUnv1G0562220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:363287906:363288217:1 gene:SECCEUnv1G0562220 transcript:SECCEUnv1G0562220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE5Rv1G0334080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:563712971:563716111:1 gene:SECCE5Rv1G0334080 transcript:SECCE5Rv1G0334080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEGRRKREAAVVVVLECVAGSSKAEEWGGGGAVVQEGDVVESVRVGLGSGAASLDAPFKGARAGLHKALHKAFKRGDTSVEVRVRGGKHLQACILPHPGGASARKQYVLRSLHDPNYVLGFGDRLESDCLVLQGTRGTRVASALSRAQLQDGYVTYPWDKKMRDSLRTPNSSCFLSMLVLPKALDLNACRYESFEDTLARANTWLYSSQDSGIPIEFMNLQSEALLTKISGETASATVNSGSLSDMSNLVNATLYGFEDYHGVDIGVVKAARLWYSSTAGEMPLEIQLQEGDTRLGFAVSRTEEGFIYISSVVDDDEDSEAPSTRSGLRDLFNRAKVASKLLIISRVSNEKVLPWMISSSGAVRCFDTISLSQKLSLHRLALQPIQLHLLMWEKPVGVPQSVVLSPKLPFPLSLPPQVPLSFIESPEHRVDAEEDFVLDPSFRLDDSPVSNWV >SECCE2Rv1G0077280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:98870652:98873345:1 gene:SECCE2Rv1G0077280 transcript:SECCE2Rv1G0077280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEFHHHNSQAKNHNDGTQARRAPSMVKKPYPFHKPFSPIRRLLRRTLSGRHHRRSSTAKGADVPPTEKLQGQTVMVDVEAWLLMSRLSAFPYFMLVAVEAGGFLRGLLLLLTYPLMCLFSHDMRLRAMIMVSFFGLREKEVLRVSKAVLPKLFLEDVAIQGLEAVKKARVVVAVSTMFPRVMVEGFLKEYLGVDTVVGREVMVVAGRYVGVIEDDAVSAEEMMNKGKHDEGVALVGVGGKMHHLFSHNCKETYAVSEADKAAWQVLPRDKYPKPLVFHDGRLAFAPTFSAAIAMYTYIPFGIFLAIVRSMAYSLLPYHVSVPIGALTGMRSRIIAGPPADAVEKDKAGGRLYVCNHRTLLDPITVAAGLRKPVTAVTYSVSPVSELMAPIRTARLTRDRDEDRRRMAGLLARGNLVVCPEGTTCREPYLLRFSPLFAELAAEVTPVALETRVDMFYGTSTKPASKVLDPLYFMMNPRPEYRVEFLEPVDTTTVADGEEDGHDKSHSIHVANRVQRVLGEALAFQLTEQTRKDKYMMLAGNEGIVKVKAKK >SECCE1Rv1G0031220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:439988932:439990158:-1 gene:SECCE1Rv1G0031220 transcript:SECCE1Rv1G0031220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEPPPPPLPKKQKSPAAAPTTICALGDDLLREIFLRLPSLPTLVRAALTCRASLGAVRSSPAFRRRFRELHSAPLLGVFLDVFDSDTPAFRPLRLHSDPDLAAAVRGGDFLLTRLPDEIEGVAPEWLIQGCHGGRVVLISYNTGHMAVYNPLTRALDLFPRPPGEICEDMYVEYHVLSSDEDRGPFRVICVCHEACGAQTAVLSSETGEWQVSPFVEAAAMQPALQPGDDEKCSCNGTLVNGSIYWTDASRALARVLNTSTLQFSRIDLPPHIEGQGALTAGETKDGKLCIISIVKLTLVVWLRRTDDNGSERWTQHKTFPLEQDIHAHDHCFDNDHIALKILVIVNGFVYLSTYCEPDRDLPGSLLSFCLETAKLNKLCTILYPDSLYPYIMGWPPSLVLNEVSP >SECCE6Rv1G0412910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:618975469:618975820:-1 gene:SECCE6Rv1G0412910 transcript:SECCE6Rv1G0412910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNKLLLLLATILLVSSSALAAQIPPQYDCSKVLYPGKCQAVTCYTDCLKKYIRAEGICVPEGCKCNYYCKSLLPQPQRNR >SECCE2Rv1G0082180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:148385775:148386788:-1 gene:SECCE2Rv1G0082180 transcript:SECCE2Rv1G0082180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEGRAGLRELARFPDVMVVCSSAGWTDQKHMLYLGLLQESFVNRLHHGEISFRGLFHLSPGPGASGPKQPSKADGEKAWVKAEQTRTPCCGHQGDGETVSMEEEDDASSTETVRESFSQASATSSGRSSPCHSAKRGCSPSSTAEGSDQNFIEEDTITRETGGSRGRRRSIKRLKVC >SECCE1Rv1G0006280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:32143555:32147133:-1 gene:SECCE1Rv1G0006280 transcript:SECCE1Rv1G0006280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEASRAKDVSERKLHEADFPGAKKMALKAHQLFPGLENISQLLAVCEVHCSSSVKINGETDWYGILQVEPTADDMVLKKQYRKLALLLHPDKNKFAGAEAAFKLIGEAYMTLTDHVKRSSHDNKRKAVFATSAPIPKKRARASKKTDPAHKTDNKENSGAPQTQKNPKQQAEKSSGLSSFWTICLTCGTKYQYPCSSLMRFVLCRICSRSFLAYDLSKKSVPAGVDTAYPYSGFGTQPQKFPPSQQAHVTNQGQNNQSFPGWTHPAHQQQQPQNVPNQQAPAANEQQHSQRRPPNAGSKNATSSEGVGDPNNKGATDSRKVSRAPSKKQNGAGRTESPFAQQSQDVPNQQAPTDDQQQQSQRRPTSAGPTNVMNSEGLGDPNSKGATDSTRVSSTPFKEEDGAGITELPFASSAKLSPVNMQKRGIQCQHFPSQRTSPVNQQVQSQKPSFNEGSSNLNSMGMSDSNVTANARTCTNMNVPRASLNKENGSSRTESPPASSGKVSPANMGKGVMKDANGAVKTGQNPQRSSQQENNVSNEDGSGGCRKGSDNLHDSPVAKRIRKGYSSCNADKNGGTAHKDGNVYTPQACSIPITKTPNENVVVVNGLDEKQGASKKEEMHNSGRVGVASSVVNSIPCEGDVSYPDPEFYDFEENRSAAQFKADQIWAVYDDSDSMPRYYARIKQVHPNFMLWFSWLEFDPLNDAEKAWHSKDMPVACGRFRIGKTILTEDIKMFSHVVSWTKGSKRNSYEIYPVKGEVWALFKACDINRSSDSSDHKNYSYDIVEIKSNFAVGAGTYVTPLVKVKGFVSLFVRSEKEEPYLVPGGDTLRFSHNIPFHRLSEADKPHIPNGALELDPASLPSNLEEASLSADLDRSSTQEGNTGGNISSTRDSFKCEMPAGKTKKGQDSAATNEGNVHNGVKKPNINADGKQDNGSEASVIDAHCVDQGDDSFHSESPAIFVYPEPEFFNFGDIRSFGKFKSGQIWALYCDTDKFPKYYAFIKRVDKEDLTIHIRWLEYCPCGETEKRLVQDGLSVSCGTFRVSSQSDDYDCTSVFSHIIEVTPISKGKKYEILPRVGQVWAVYKNWNRGWSFENFKSCEYDLVEVLEISAASLTLSYLTKVDGFSAVFMLERKGESTNAVKILRSDLMMFSHQIPSYRMTNEGDKLCGYWELDPASVPENFLARKTK >SECCE2Rv1G0133550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:897647267:897647986:1 gene:SECCE2Rv1G0133550 transcript:SECCE2Rv1G0133550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELWKDKSLCSTPLALQSYHLHSPAAAPYRGAAYPGDYLAGAVPQPPRTPPPHAAFTLEFTCPGGTGAANLATSSGDDPAGCHFGFSGSGGASRRAAVQPAVGGDRRQRRMVKNRESAARSRARKQAHTNQMELELEQLRRENTMLVQREQDFINDRLAKAAQVAVPDCSSSSRSGRSTTSSSTLQQQQRCRSAPAP >SECCE2Rv1G0095740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:423639586:423663858:1 gene:SECCE2Rv1G0095740 transcript:SECCE2Rv1G0095740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) UniProtKB/TrEMBL;Acc:F4K7R6] MKTTKGGKVMNPTDAFRKEQRRKELKRNKKERKKVREVGILKKDPDAIKDQIEKLEKMKADGALDKARKHKKRQLEDTYNLVVKKRKEYEEKMKEKGEQPVMFSHLGPPKRRPAADEDDRAKTPMPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSADAGASSSMTESEEAGPSTMPPPPPPPPLPASSEPSDLSAPSLPLLPLPPPPPPKPAGAVIAPGLPLPPPPPPPGAPPREPVLDHTLLPPPPPPPHRPLHPPPLPGTNELNNKQTVGESASSADSTQAPVVLPPPPPPPRLLPNSNEMQTTDNTAMDTPVVKEDAKISRFLPPPPPHLSQLLPLPPRPPMMPPVQSDILSPGMVRFPPPPSQPDSRPPFMAPGVAARPPPPPPALPPAQMPMGLFGVLPGPPAMLRPPFFPGPEFAAFGPRPQLPQRPSYVKSAASTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKAQQQQQQHSSAPSYSALKPSVTLIKSAAQPSPSASKPQSIDDSYMAFLEDMKQLGALDE >SECCE6Rv1G0441370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810547497:810547838:1 gene:SECCE6Rv1G0441370 transcript:SECCE6Rv1G0441370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGGCSTCLEIVFAAVLPPLGVFFRYGWCSSEFFISLPLTMLGYVPGIIYSVYVILKTPPELPSIDGERPYYILA >SECCE5Rv1G0371480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:847360864:847361607:-1 gene:SECCE5Rv1G0371480 transcript:SECCE5Rv1G0371480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANKEQLVSTPTVDAGHARSLLSSDGGHGYLDVRMREDFDKEHAAGARNVPYYLSVTPQGKEKNPRFVEEVAALYGKEEPLIVGCRTGVRSKLTTADLISAGYENAKSLQGGYLAFLQSAAADQQQ >SECCE3Rv1G0209810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:940866807:940869053:-1 gene:SECCE3Rv1G0209810 transcript:SECCE3Rv1G0209810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRRTSAPPASLPDDDDLLREILLRLPPRPSSLPRASLVCKRWRSLVADPRFQRRFRGHHGKPPLLGFFVDEHRSCPFFPLLDRPDRIPGARFSMPLHEGNRIVDCRHGLVLIFSSMQNTWPPRHLFVWDPVAREQRRVTFPPELDVDQMFIFNGAVLRPARGHGHFQVALVAQYVRADTPTRVFACLYSSEKGTWSNINSLQLQSFIASPEPSTLIGNSICWLIKQGHHHHRRYVIAEFDLDRQSLAVTELPPQVEAGISKLRIIRTEDGGLGFIDFSGFHAQIWKRKPDSDGLALWVLDRAIEFKELSSTWKGYYLFLVGFAEESNAILLKIGSTFFMVYLQTMEFKKLPNMTGFHSYYPFECCYATGTGIGDGHGGDEVNNM >SECCE2Rv1G0100290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:538861255:538863481:1 gene:SECCE2Rv1G0100290 transcript:SECCE2Rv1G0100290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRIPAFGDWENSEDVPYTQKFEGARKNKKTGVYSNPNEPGHQQPDPPRRSPLNPSAYTPEPREQAPRTPLHGRRPGADPHHREPAPRRHTNPPREQGGNGGSAPRSPYRNAAGSASPMQSNSSAKPRNRAAGMHTPERRPSSEAQGQHTPGRGRTRQSNQSYNHDDEVAVPPFGEWDEANAESGEKYTGIFNRVRDDKLSPDSSARQQSSGNRRDENKVQQQKCPCVATGVK >SECCE4Rv1G0263320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:715772134:715774659:-1 gene:SECCE4Rv1G0263320 transcript:SECCE4Rv1G0263320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGAMGPIIRKLGELLVGEYSLEKRVKKGVQSLLNELEMMHAVLRKEPVWIWAGKVRDLSCDMEDVVDDFMVRVDEGSSSNPTNMRNRVKKFLKKTTKLFGKGKALHQISDAIKEAQDLAKELADLRRRYELDTRSTSNGATIDPRVLALHKDVGELVGVDRTRDELIKTLICEDGSSKEQLKTISIVGVGGLGKTTLTKAVYEKIKAQFDCVAFVPVGQNPDIKKVFKDLLYELNKAKFTDIHNTSKDENLLIKEIRQFLVDKRYLIIIDDIWEEEIWRYINCALYKNKLHSRVITTTRNVSVSEACLSSSDDMIHKMKPLSDEDSQILFHRRIFQREEKCAEDLQAVSREILKKCGGVPLAIITIASLLVSNQRIKQKEEWMHVHSSMGRGVTEGGIVKDMKRILSLSYFDLPWHLKTCLLYLSIFPEDFEIERDWLIWRWLAEGFIQCDKEETRLFEIGESYFNELMNRSLIQPAKFNLEGTVVTCRIHDMVLDLICSLSSAEIFISILNNAEWHAPNLQRKFRRLSLHNINSKVQSHQFDSTSLSKVRTFAVFSPVNCDWLPSLSSFQFLRVLDLGNCGSHGSSSGISLKYVGNLIHLRYLGLKGADVCELPMDIGKLQLLQTLDIRDTSIKELPSSVVQLRNLICLYVNYRVRLPKGMGSLMSLEVLRLVGLSSSPHIVKELSHLTEVRTLSVNCANMDEDLIDILIKSLGNL >SECCE4Rv1G0271120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:759529930:759530934:-1 gene:SECCE4Rv1G0271120 transcript:SECCE4Rv1G0271120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSLPCLVFHHGDDGQHSTTLYSVSDGARHPGDEMGEALRGKRSWVTSRGWLLVWDPATLATFLWDPRAAKKIALPQWVSPPAAGTDCALSGDPILEPGDCFTVVVLEPFESESDDTALWYCHAGGTSPHSPWTRHVYDLGGSWLSAPWGKFWTKRFVSGLTAFRGKFYWPASADKYGVLEFSPEPSLTTMTMAKPVEVAIPPGASYAQAFKYSLDLDGEVHVAWIFFTGHEAEAVVNVAIYRVDLSGKRFIRVDSIGDRAILAGGSSWQFAGWCPATEFGLLPNSVYWMHPYQSRMCVYDVVSNTEEMRQLGEGVPEPSRPPFWIVPAHY >SECCE4Rv1G0287260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853418233:853420350:-1 gene:SECCE4Rv1G0287260 transcript:SECCE4Rv1G0287260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARHHLTIAAAAAAAKSHATLLKSGVTSATPWNQLLTAYSLSPLGLAAARQVFDQIPRQDAASWNSLLTAHVSAGAHPAACRILRAMHEGGLSANTFALGPALRSAAAMGCPALGTQLHSLAVKAGLADNVFAATALLHMLAKCGRTRDARRVFDGMPERNTVSWNALIAGYAESGKVAPAVQLFVDMEREGLLPDEATFAALLTLVHESSCFLMHQLHGKIVKYASTLGLVVLNAAITAYSQCGALANSRRIFDEIGDRRDLISWNAMLGAYASHGMAYEAMGFFASMMRASGVQPDMYSFTSIISACAEHRDHGGTVIHGLVIKNGFEGVTPVCNALIAMYTRFSENCMMEDAYKCFDSLLLKDTVSWNSMLTGYSQHDLSADALRFFRCMQSENIRTDEYAFSAALRSCSDLALLRLGRQIHGLVTHSGFASNNFVSSSLIFMYSKSGILDDAIKSFEEADKNSSVPWNSMMFGYAQHGQAQAVRSLFNEMLELTVPLDHITFVGLITACSHAGLVDEGSEILNTMESIYGIPLWMEHYACGIDLYGRAGQLDKAKELIDSMPFEPDAMVWMTLLGACRIHGNMELASDVVSHLLEAEPRQHSTYVLLSSMYSGLGMWSDRAIVEKEMKNKGLSKVPGWSWIEVKNEVHSFSAEDGSHPRMEEIYEMLSLLLHSFPMQLVHQETSRAIDCCEKKMPQYFYY >SECCE3Rv1G0198700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:835041042:835043030:-1 gene:SECCE3Rv1G0198700 transcript:SECCE3Rv1G0198700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHMDPPAPPRRSSVTSCDLHPDEAFTGFCTACLRERLAGLEASAAAASAPGRRSTSAIRSLFARPFAAGASSSAAGAVAEPPDLRRCKSFSCGRGGDALSAAAAAVARGDEPQRHSCDVRGRATLSALFHQDDRDRVRDGTAFGSFPVSSSAAAAVALTAGVALPPQQPPLLPRRVLEDFSEEDIPVVMEREDEIMPVFEPALAVDTSGEIVEAEPNAARDVKAMKDHIHTDLDSSEPVKPPPKDLKEIAGSFFGASVFSKKWQKWRRKQKLKKEAAVSKAAAAAMPPPEKPSKPSFLRRRRLRGEAGSENALGRRSCDTDPRFSLDAGRMSIDDGGFTWDEPRASWDGYLFGAGSGIGLGRAPPPLSRLPPILSVMEDTPAAVVERSDGQIPVEDDGDLEPPGGSFQTRDYYLDSSSRRRRSLERTSSVRRPSFEVTEPKPAPAAANGNESPIAIGGSEFYHFHHAEDLLDRGFSSNSLVEDISASLEAAMSGPAKKPPRWRKAWSLWGFIHRRAAGRRGGGPSDIADRSFSEPWPELRGGRGAGGQNNMQRCNSNLSARSSFSSNSGGLGSSRRSYVDVHGNVRRRAGEEPQPHVLERNRSARHSPPGRVDNGMLRFYLTPMRSGGGGGGVGARRGGGGKAGRQLTSQSFARSVLRLY >SECCE2Rv1G0093370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:377389790:377399207:1 gene:SECCE2Rv1G0093370 transcript:SECCE2Rv1G0093370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methyltransferase, Maintenance of methylation, Gene silencin [Source: Projected from Oryza sativa (Os07g0182900)] MVKSPRSPVTTATKRCRAKPQKKGEESTAQGKLVDGPQDATNGVEKGAGSATHKRPRRAAACSDFKERSVRLSEKTSVVKIKKNRMEEEEIDAINLTKSGPEDSPPCRKLIDFILHDADGNLQPFEMSEIDDFFITALIMPMDDDLEKERERGVRCEGFGRIEDWAISGYDEGTAVVWLSTELADYECVKPASNYKSYYNHFYEKAQVCVEVYRKLMRSVGGNPNMSLEELLASVVRSVNAIQGYTGTMSKEFMIATGEFVYNQLIGLDQTAGNDDEKLVTLPVLLALRDECKSRAEFTKMPLGISNGSLKIKDVECKEVAEDDDEKLARLLHEEEEWKMMKKQRGNRGVPSQKNVYIKISEAEIANDYPLPAYYKPSSQEMDEYIFDSEDGMFSGDVPVRILNNWALYNADSRLISLELIPMKSGAENDIVVFGSGFMREDDGSCCSTAESAKLSSSSSKADNQDVGVPIYLSPVKEWVIEFGGSMVCITIRTDVAWYKLRQPIKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFVDVIKKVAEFDKENPAFISSNIVLVERYIVVHGQIILQQFADFPDETIRRSAFATGLLMKMEQRRHTKLFMKKKAQVTRGENLNPIATMGTSSKRKAMRATTTRLINRIWSDYYAHHFPEDLKEGDGNEAKEVDDEQENEDEDAEEEVQIEEDKVAKTPRSTRSRKLVSQTSKEMRWKAEPAGKTTSGEALHKCAYARELRIDVGGAVTLEDDSGEIVICFVEYMFQKSDGAKMVHGRILQKGSETVLGNAANERDIFLTNDCLEFELKDIKELVSVNLQSMPWGHNYRKENSEAEKIERAKVEERKKKGLPMEYLCRSLYWPEKGAFFSLPRDKLGLGNGVCGSCQQREPYCDEIIILSKTSFVYRKVTYSVQDFLYIRPEFFSQEEDRGTYKAGRNIGLKPYAVCHLLDVCGPAGSKKVDPASTKVSVRRFYRPDDISSAKAYTSDIREVYYSEDIITVPVDMIEGKCEVRKKIDISNSDLPVMVEHVFFCEHFYDHATGALKQLPSNVKLMPVAQKATGALKKNKGKQICENNEVDSGKWMEVSKESRIATLDIFAGCGGLSEGLQQAGVSFTKWAIEYEEPAGEAFRQNHPEAAVFVDNCNVILKAIMDKCGDSDDCVSTSEAAEQAAKLDEENIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLAVASLLEMGYQVRFGILEAGTFGVAQSRKRAFIWAAAPGEILPDWPEPMHVFASPELKITLPDGKYYAAAKSTAGGAPFRAITVRDTIGDLPKVENGASKLILEYGGEPTSWFQKKIRGSTIALNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSSGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDSYQFAGTIQSKHRQIGNAVPPPLAFALGRKLKEAVDAKRQQA >SECCE7Rv1G0523670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883256486:883260357:1 gene:SECCE7Rv1G0523670 transcript:SECCE7Rv1G0523670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLLAAVVGTLVLAAGAFEIEEATLASIQLGFKNGSVTSVELVRLYLDRIRRLNPLLRAVIEVNPDALRDAARADAERRSYSSVKYLAGVGLLHGVPVLLKDNIATHDALNTTAGSLALLGSVARRDAGVVRRLRQAGAVVLGKANLDEWANFRSLHGSDGWSARGGQARNPYVLSADPCGSSGGSAIAAAANMATVTLGTERDGSILCPASLNSVVGIKPTVGLTSRAGVIPTTPRQDTIGPICRTVADAVHVLDAIVGYDARDAAATRKTSKYIPRGGYMQFLNMDGLKGKIIGVPNGFFNFPNGTVQHMVYRQHLNTMRKQGAILIENLAIDNLDIIMDNLNNGQQIALPAEFKLSLNSYLSDLSYSPVRSLAEIIAFNNAHPVEEKLKEIGQIIFLAAENTTGIGAPERVAIRQLKKLSANGLEKLMKEHKLDAIVTPNADASSVLAIGGMPGISVPAGYGKMGVPFGLCFGGLRGYEPRLIEMAYAFEQHTKVRKSPMFMP >SECCE7Rv1G0463410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:52568141:52569718:1 gene:SECCE7Rv1G0463410 transcript:SECCE7Rv1G0463410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQLNVLKALDAAKTQLYHFKAVVIAGMGFFTDAYDLFCIALVTKLLGRIYYTDPALNEPGHLPANVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHEAKGVMGTLCFFRFWLGFGVGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGFGILFGTIVTIIVSSAFRHAFPAPPFYIDAAASIGPEADYVWRIIVMFGTIPAALTYYWRMKMPETARYTALIAGNTKQATSDMSKVLNKEISEESAQGERATGDTWGLFSRQFMKRHGVHLLATTSTWFLLDVAFYSQNLFQKDIFTKIGWIPPAKTMNALEELYRIARAQALIALCGTVPGYWFTVAFIDIIGRFWIQLMGFTMMTIFMLAIAIPYDYLVKPGHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAATGKAGAIIGAFGFLYASQDQKKPETGYSRGIGMRNALFVLAGTNFLGLLFSLLVPESKGKSLEELSKENIGDDDAIAPTGV >SECCE5Rv1G0331310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:537998881:537999777:-1 gene:SECCE5Rv1G0331310 transcript:SECCE5Rv1G0331310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAATVTATAAASSCSKRESVGIAAPADLTKKAKKGRSPPAEEMEAFFAAAEGDVARRFAAKYNYDVVRDAPMDGRYEWVRMRP >SECCE3Rv1G0212280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954846643:954846957:1 gene:SECCE3Rv1G0212280 transcript:SECCE3Rv1G0212280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVTKLASQHAVVIFGQSNCCMCHAVKTLFTDLGVSWTVHELDKDLLGKDVERALVGMVGRSPPVPAVFIGGRLVGTTDQVMTLHVGGQLVPLLRQAGALWL >SECCE7Rv1G0464800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:64215645:64217086:-1 gene:SECCE7Rv1G0464800 transcript:SECCE7Rv1G0464800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNYTEACQVAATSRSAMLKPVYASRHPLGGSKVQLTVFDRAALDTYVPIVLAYPAPAPSNEALKEGLIRAIAPYPHLLGRFALDGHGRRFLHLNNEGVLVIEADVPADLDDVLAAGGMATDVASFYPTESVGPALLQVKLSRYRCGGLLLCVICHHHIADGHAASTFYGAWATAVREGKGFCVPSPFIDRAATAVPRSTPKPVFDHGSTEFKGGEGSGSSQSHAVLPMDKIKNITVHFPAEFIAELRSRVGARCSTFQCLLAHVWKKITAARGLSPEVFTQVRVAVNCRGRANPPVPMDFFGNMVLWAFPRLQVRDVLGLSYGGVVGAIRDAATRIDEEYIQSYVDFGGVANAKGEELAATSTFGTMLCPDIEVDSWLGFRFHQLDFGTGPPSAFLSPGLPVEGLMLFVPSPTVKGSIDLFVALAEDHVAAFKKICYSLDILPSRM >SECCE1Rv1G0015030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:119405058:119405861:1 gene:SECCE1Rv1G0015030 transcript:SECCE1Rv1G0015030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAEPEGTPRSQRPSEGGGAAAGEPSPPAAATPRRLTVWCKSLVFRGDGYAVFDDADGRMVFRVDNYGVGPGSMALMDHAGRVLLTLRRRQHKILSLVPETWEVYNGDVDDDSNSNGGHSERHLMMRAAKDLCRSSCTTSVLAVEEPELYHLSWSRREEWSRIHRRSSDGEDKLVAEVQRKRGGPEKATLLGKDVLSLVVQPGMDLAITMAMLMISNSYR >SECCE6Rv1G0415390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:641298865:641300699:-1 gene:SECCE6Rv1G0415390 transcript:SECCE6Rv1G0415390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYVGNLDARVTAGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDALRDLDGKNGWRVELSRNDRGDRGGRGGGGGGGGGGGRDRGGSDMKCYECGESGHFARECRLRIGAGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPRDRSPRRRSASPAPARGRSYSKSPVRARDDSPDAKGYRRSRS >SECCE6Rv1G0451460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872571307:872572059:-1 gene:SECCE6Rv1G0451460 transcript:SECCE6Rv1G0451460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDIDFSNPETFLCEAMGNDPPASCSMGTYFDDILNGDADHLACTHTHTCNPPAHDLAHHTHTCVHVHTKILSASDDAAETSESLPDAKKQRPSGNRAAVRKYREKKKAHTALLEEEVAHLKAVNQQLVKKLQSHSALEAEVARLRCLLVDIRGRIEGEIGTFPYQRTVKSSDFVDQGSFLGGAQVMNSCDFRCNDQLYCNPGVQQARTMEDDGVMSGQVLGQGAGDSMGCAKPGSLNPPGCRGGQIL >SECCE7Rv1G0502310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:695270836:695272526:-1 gene:SECCE7Rv1G0502310 transcript:SECCE7Rv1G0502310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTGRGGSRLSALPNDLLLHIVTFLPVTEAARAATIARSWRHLWRSYPLVLKDADIPEHARDAAIPRVLADHPGHFRAVILYDCRLASLDRELPAWPRLLVDKRTEKLVLAYNWDVDQPNPARLLPADILRCDSLQELTLDYWMFPSGTEVVLPHLRILAMVRIGISDQELESLIAASPVLESLRLTLNSPKHVRLRSQSLLCALVGLSRVEEFTVVDTPLLERLYLFLPPTGVVGFRIARAPNLRVLGYLDTRAHKLQIGNIVIGSDTVAKASTVVRSVKILALTVNFGVFGEVKMLASFLRCFPNVDILHIESAPHDPSVTADEPSWEHHAKFWQQISPICCLRSHVKKMVIHDFRGDRNEFEFVKFIAMNARELQSLLLVSHEGILSSADKVNEIKDELQFLQFPTGISAVLQVSPKAGTGLRFEKASNLTIDDPFDC >SECCE6Rv1G0384410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:63313220:63313708:1 gene:SECCE6Rv1G0384410 transcript:SECCE6Rv1G0384410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMQQGWLSNWLVKHEVVHRSLGFDHRGIETLQIKAGDWDSIAVILYVYGYNYLCSQCAYDVAPGGSLASVYHLTRIQYGIDNPEEVCIKVFAQKDNPRIPSVFWIWRSADFQERESYDMVGISYDNHLRLKRILMPESWIGWPLRKNYITPNFYEIQDAH >SECCE4Rv1G0252190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:612824786:612826039:-1 gene:SECCE4Rv1G0252190 transcript:SECCE4Rv1G0252190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSSSLWRPWPDLPLELLGIVLSRLPSYAHRVRLAAVCRPWRSNARLLRPLPPLLPWIALSDGTFLSLPDGAVHCLPAVDDGVSVRASTGSRLFLVHGDGRCSLMNPSPSATTPVPEAACWFQGKPVVRKVVASDHLIAALVESKNSRTARVIIFTRGQPWDITRCSTMEWAEPGDGFVSDIAIFKGKIYALLTTKVQQYRQHELRILEDGHEQTTIQGTLVGQEAWYDASSTDAYLQLQRNYLVVSGDRLLMVERRISAPLTSPTDRGASKLTRYFKVFEATDLSSSSCGHWTEVDTLMGRALFVSQGCSESLPARAGGQSSGTGVEEDCIYFLNEKKGSNQPGLGFLNLTPGAPCASPFVTRINEGNYESPFQDSGVYNMRDRTVTPLLAETVVASAAREGTWSPTWLFPEP >SECCE7Rv1G0507150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:758885156:758892110:1 gene:SECCE7Rv1G0507150 transcript:SECCE7Rv1G0507150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cadmium/zinc-transporting ATPase HMA4 [Source:Projected from Arabidopsis thaliana (AT2G19110) UniProtKB/Swiss-Prot;Acc:O64474] MADSTSPAAARLEKSYFDVLGICCPSEVPLVEKLLEPLAGVHKVTVVVPSRTVIVLHDAAAISQAQIVRALNGARLEASVRAYGGAGQSKVSNKWPSPYVLVCGVLLVVSLFEHFWRPLRWFAVAGAAAGLPPIVLRSVAALRRRTMDVNILMLIAVAGAIALKDYSEAGFIVFLFTIAEWLETRACGKATAGMSSLMSMAPQNAVLAETGQVVATQDVKINTVIAVKAGEVVPIDGVVVDGRSEVDESTLTGESFPVSKQTDSQVWAGTLNIDGYIAVRTTAMADNSAVAKMARLVEEAQNSRSSTQRLIDTCAKYYTPAVIFMSAAVAVIPVCVKARNLRHWFELALVLLVSACPCALVLSTPVATFCALLRAARTGLLIKGGDVLESLASIKVAAFDKTGTITRGEFSVDEFQTVGERVSKQQLLYWVSSIESRSSHPMASALVDYAQSHSVEPKSDGVAEFQIYPGEGIYGEIDGEGVYVGNKRILSRASCQAVPDIAKDMKGVTIGYVACNKELIGVFSLSDSCRTGAAEAIKELRSLGIKSVMLTGDSTAAATYAQNQLGNILAEVHSELLPEDKVRIVDELKARDGPTLMIGDGMNDAPALAKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPKAIKLARRTHRTIVVNIVFSVTTKLAIVGLAFAGHPLIWAAVLADVGTCLLVIMYSMLLLREKGSGKVAKKSCASSHSKKHVHGTSHHCSDGHHHEHVSAGKHSCHDHHHEHDHHKEPSNLHSTDKHGCHDHHHEHDHHKEPSNLHSTDKHGCHDHGHGHSHCKEPSSQMAASKHVSHGHGHTHNICNPHPAANNHDCHDHEHSHHQEPNSSHSTDEHDCHDHKHCEEPISLLCATEHACHDHDQNHEHHCCDEEQTVHVADTHSCHDHKHDDSAADPVPELSISIESALPDHHEQEIQCVEEHKEEACGHHMKVKDHVPAPTDCSRGNCHSTVSSKGCEIKGKEVCSSWPVGRTGMVRRCCRTRARSCCSHSMLKLPEIIVE >SECCE3Rv1G0169170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:233119864:233124958:-1 gene:SECCE3Rv1G0169170 transcript:SECCE3Rv1G0169170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKKYRLPGHLVGSAALSDPSSPQQLSSSLHQEELENSATSGQQHCAGCRLHEDYTPWSALAQSCLGTDNAAFDCAESLFDYPLGMLLMPITRTPYQHQSSESDRCRPRLQRDAMETTPTQPHKLPLPQQQQARAHGLLSFSNASTTRSRGGEPKSTHSFSPLVAPPDTILPAMEVPLPGSCMDAPVTPIGNGSARAPAAESSTAARKTRIRWTQDLHERFVKCVNQLGGADRTTPRAIQKLMNSDDITIFHIKSHLQKYRMAKYMLGSSSKEKQVDESAAGNYMQNLDLKSGMHITEALRVQHVMQRRLGKQLKMQRDLQLRIEAQGKVLRKMMEDHLKGSRNATEQEGVDDVSPVTGHDDDAFDSLHLRFGDGSSCSDDNVFTSKISY >SECCEUnv1G0533190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:27222315:27222761:1 gene:SECCEUnv1G0533190 transcript:SECCEUnv1G0533190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLWAVLLAVGSLAIGMLGVLGVWLCYLFQAVAFGPPPAPPPEMPETRDDDDVKNGLSEAELRQLGGVVQAEAADGEEEEPLCPICLDAMEPGRAVRVLPGCNRAFHQDCVDRWLAISPRCPVCNVWATPQSPQASPTAAKTAPGPE >SECCE3Rv1G0206830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:911711730:911712605:-1 gene:SECCE3Rv1G0206830 transcript:SECCE3Rv1G0206830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIRLDDAVRARLRGDGGCTSSGSDHDASACLSDLVQAFLETDAAAGVGDDAAGPAPKGADGFDSDDADGAAEAAASMRELLDPPAAEDPFRIRLAAAVSAALDAEAPLRGHGEAFRRAVIRRLRAAGYDAGVCRSRWETCGNLTAGTYEYMDVVVPAAGKAARYIVDPDFRAGLEVARATAEYAVVVAAVPAMAVVAREEAVGRAVRVAADAARRSLRAHGLHVPPWRKSRYMLAKWLGPYKRSPSSTSSTSSSGAMPAPGGTGMDIIKCRAVGFFPSQTAAPAARIK >SECCE1Rv1G0059540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:706690599:706692176:-1 gene:SECCE1Rv1G0059540 transcript:SECCE1Rv1G0059540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAPPAPARGDFTRSVKLKYVKLGYHYLITHGAYLAVAPLPGLVAAHLSTFTPGDLADLWTGVLHHSLGSLLACLVFLVAACTAYLVTRPRPVYLVDFACYKPDDERKCSRARFMDCTEKLGTFTDDNVEFQRRIVERSGLGEETYLPEAVLNLPPNPSMANARKEAETVMFGALDQLLAKTGVKPKDIGVLVVNCSLFNPTPSLSAMVVSRYKLRGNIVSYNLGGMGCSAGLIAVDLAKDLLQAQAGTYAVVISMENITLNWYLGNDRSMLVSNCLFRMGGAAILLSNRRSARRSSKYQLVHTVRTHKGADDKAFRCVYQQEDSSGKRGVSLSKDLMGIAGGALKDNITTLGPLVLPLSEQLLFFASLAARKLVNAKVKPYIPDFKLAFEHFCIHAGGRAVLDQMESNLQLTEWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRIRKGDRTWQIAFGSGFKCNSAVWRALRSVNPANPRDVAGNPWADEIHRFPVPVPNFSAIDPTPTPTPSDTTQPQQ >SECCE1Rv1G0056690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:688947998:688948264:1 gene:SECCE1Rv1G0056690 transcript:SECCE1Rv1G0056690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKACVLRGAVMVVCALLLLSSSFVAAEPGRRQWGHGREATVAPTMMARGRFVRKVLREEMVQADDDGVADIGGSKRKSPGGPDPQHH >SECCE6Rv1G0417550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:658946822:658949050:1 gene:SECCE6Rv1G0417550 transcript:SECCE6Rv1G0417550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSLPSGFGTRPWLVQATLGERQTFIDSSDGTSHAVIVPEMQGKTCLGCIHNGDWLLMLDDSTAECFLLPATNKIPLPPLHEPMESIRTCATLGTSPAHPDCAVVVAVVREVEDGGVERFLLHCRPGVSQVWARLESPKITAPCLMIGHRGNIYSFGYTEHYTLATDNLASDTLTVIAYDGDGSVRARLVGTLVGAKEVHDRPPMYYIVESCGDLFNVVTQFFGDFVDDATLTSVAVYHLDLESLTWTRVDSIGGDRAFLISGRYGFSVPVRPGAQGLIPQGNCVYFVLSSCDCERLYKFCLDDNTISFHQILPQPIEPWCTAFWTVPPLPLPLPTGCEHIFKLEEEQATPRPWHDLPLELLELIVSRLSLVDRLRFPAVCKSWSLVTNPVEQAIVWPWLLHCSGRDGACKLFDPLHGWVAMSTNADIHDMYIINPFTEDVMEMVMLNREYNYMGLSWPSMDPASPECVFFGIVGRQDGKAISVLTWRDGETEWFERHFEYYQGEPFLVAYNNPVLFHDKFYCLGRDGTLGTFDPPIHVEMDLDGDHHEGREFCYLVELRGELVSVFMRNADQPPRVFKLNEEEMAWMEVQEIGGAALFLDFRVSYSVASPEAGHGNRIYFPSYSEDGKQAAFYDMETKAYHPSFYGLKSPLQCVWVVPNLRRSTIPSLD >SECCE2Rv1G0086540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:213501445:213510625:1 gene:SECCE2Rv1G0086540 transcript:SECCE2Rv1G0086540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEDQAAAAALLGGDPAAFDALLSTLMSASNADRAAAEAAFHRLRGSHPEPLALRLASSLASPATPAELRAMAAVLLRKLLSPTASSDSSTAAPPPLWPLLSPDGQATLKAHLLAALQSDPPKPIAKKVCDAISELATLLLPENTWAELLPFLFRAASTPEAPNLQESALLIFARLADYIAESLLDHLMTIHNLLASALAHPTSPDVRIAALSAAVNLVQCLPTNADRDKMQDLLPAMMRALTDCLNSSQEASAQEALELLVELAGAEPRFLRRQIADVAGAMLQIAEAAQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFQVLMQMLLDVEDDPAWHTAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAVVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHPHPRVRWAAINAIGQLSTDLGPDLQVQYHQKVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQDHFKKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMALQGTPMETDDPITSYMLQAWARLCKCLGQDFLPYMHVVMPPLLQSAQLKPDVTITSAESDDEIESDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGQAPGRDESYVKQLSDFIIPALVEALHKEPETEMCSSMLDSLNECMQLSGCLLDENQVRAISDEIKNVIIASATRKRDRSERTKAEDFDADEGELLKEENEQEEEVFDQVGECLGTLIKTFKASFLPFFDELSVYVTPMLGKDKTAEERRIAICIFDDIAEQCRESALKYYDTYVPFLLEASNDDNSDVRQAAVYGLGVCAEFGGHTFRPLVGEALSKLNNVIRHPEAQHADNIMAYDNAVSALGKICQFHRDGIDAAQVIPAWLGCLPIKDDKIEAKVVHDQLCSMVERSDAQVLGPHSQYLPKIVSIFAEVLCNGKELATDETTTRMISVLKRFQQTLPPDFLASTFSTLQPQQQLMLQSILST >SECCE7Rv1G0507990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:767229890:767230966:-1 gene:SECCE7Rv1G0507990 transcript:SECCE7Rv1G0507990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAVLVLVILLACSAVASASFDKEFDVTWGDGRGKILNNGQLLTLGLDQISGSGFQSKHEYLYGKIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTNDFHTYSILWNPKHIIFMVDDMPIRDFKNLEGKGIAFPKNQPMRLYSSLWNADDWATQGGRVKTDWSHAPFSASYRGFKADACVVTAGGRPRCGASVGTEVAPGTGAAGEWYNQELDLTRQQRMRWVQSNYMIYNYCTDPKRVAKGVPAECSV >SECCE3Rv1G0183050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:643318948:643319847:1 gene:SECCE3Rv1G0183050 transcript:SECCE3Rv1G0183050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEFKWSPLFPILLLAGMVGISHAGNIAVYWGQNGNEGTLADACNSGLYAYVMVSFLSTFGNGQTPALNLAGHCDPLSGNCNVFSSDITTCQSNGVKVLLSLGGGAGSYGLSSTEDAQSVATYLWDNFLAGSSSSRPLGDAVLDGIDFDIETGNSAHYDELATFLSQYSAQGRKVYLTAAPQCPYPDASLAPALQTGLFDNVWVQFYNNPPCQYASGDASNLQSAWNTWTSSVKVSGGFYLGVPASTAAAGSGYVSPGDLTSAVLPGVKGDSNYGGIMVWDRYNDVQNSYSTQVKDSV >SECCE2Rv1G0112700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:713707937:713709896:1 gene:SECCE2Rv1G0112700 transcript:SECCE2Rv1G0112700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKVVDLRSDTVTKPSEAMRAAMAAAEVDDDVLGADPTAQRFEAEMARIMGKEAALFVPSGTMGNLVSVLAHCDTRGSEVILGDNSHIHIYENGGISTLGGVHPRTVPNNPDGTMDIDKIVAAIRHPDGGLYYPTTRLICLENTHGNSGGKCISVEYTDKVGEVAKSHGLKLHIDGARIFNASVALGVPVHRLVRAADSVSVCLSKGLGAPVGSVIVGSKAFIDKAKILRKTLGGGMRQVGILCAAAWVAVRDTVGRLADDHRKAKVLADGLKKIKQFTVDLTSVETNMVFFDIADPRITPGKLCQVLEQRNVLAMPASSKSVRLVTHYQISDSDVQFTLTCIEKAVEEILTGNAKFERLTNGTSTTNSYGH >SECCE5Rv1G0316480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:321446567:321447184:-1 gene:SECCE5Rv1G0316480 transcript:SECCE5Rv1G0316480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCCGSLKCCCKFFASLLGALFPIGVIILIYWAIFQPHQIRATVGSATLTGLTVTNASVVSYRLAVTLDIYNPSLRVGIYYDTLDAELNYRGASLGGPAVGASTASPAEFYQQRKSWETVTVEFNGSSGGILPGDVAVELEKEMGRGTVSLEVAVDARVRYRFNAIKIRQKPNLWCSLTIPVKPDQGRGVGGALTSGDRCSVKY >SECCE3Rv1G0157280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80424097:80426989:1 gene:SECCE3Rv1G0157280 transcript:SECCE3Rv1G0157280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVTGAMGALIPKLFQLLNEEYKLQKGVKQDVEFLTKELPSMHEALRKVADVPRDKLDRQVKIWADEVRELSYVMEDVVDSFMASVEGSEPAANSHKLKELLKKMGNLLPKGKARRKIANKIKGIKIQVREVADRRDRYGVSDAVANLAAAPTAVDPRLLALFKEETELVGIDAARDEITKKLTDGDGDVTKQQLKILSIFGIGGLGKTTVAKVVHQGLQEKFILKAFVSVGQKPDVKKVLRDIFLELDKEGYRKSNAQTLDERQLIVELQELLENKRYFIVIDDIWDVEAWEIISCALKDSNCGSKIITTTRSLEVAKKSGEAYQLKPLSPSNSEELLYMRLYGGRSKCPFDHPHDISEKIIQRCGGVPLSILTIASLLDGKAREDWSKVYDSIGFGHGKNQDVDNTRKILLFSYYDLPYYLRPCLLYLSIYPEDYTIRKKTLIWKWVGEGFIKEEPGIGQYELGERYFDELVNRSMIQPICDNYSSCFVTGCRVHDLVLDMICILSNEENFVRVLDVNDHRIPFQINARRLAIQNRVLEKDDTLANMCTPQLRSFSATRCEIHAMPSLFCFGALRVLDMENCSFIRDGGYHLDHLGTLVHLRYLRLQNMPIDKLPEEIGNLKFLHTLYFEGTWIKGLPQSFGLLRQLKCLHFEVVKGMGGLHLLGNLTSLEELWLTFPTWSPEFVAELGKLTMLRNLHLYQSPFLPN >SECCE2Rv1G0095950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:428635587:428712254:-1 gene:SECCE2Rv1G0095950 transcript:SECCE2Rv1G0095950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCTSSSAANPAAAGPAGNKEKGRKGSGGGRGIVACGKRTDFGYDKDFEARYALGKLLGHGQFGYTFAAVDRHSDEHVAVKRIDKNKMVLPVAVEDVKREVKILKALHGHENVVHFYNAFEDDNYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVARQMLNVAAQCHLHGLVHRDMKPENFLFKSPKEGSPLKATDFGLSDFITPGKQFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWANITPSAKDFVQKLLVKDPRARLTAAQALSHEWVREGGQASEIPLDISVLHNMRQFVKYSRFKQFALRALASTLNPEELSDLRDQFNAIDIDKSGTISLEELKQALAKDVPWRLKGPRVLEIVEAIDSNTDGFVDFEEFVAATLHVHQLVEHDTEKWKSLSQAAFDKFDVDGDGYITSNELRMNTGLKGSIDPLLEEADIDKDGKISLDEFRRLLKTASMSSRNPTPRSVSK >SECCE2Rv1G0115090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:746220548:746225425:-1 gene:SECCE2Rv1G0115090 transcript:SECCE2Rv1G0115090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATNAAAESSAEARTILERAAAASFPPLHAVHHLLSVGVCVRCIFRMFGAFSDACSCASLTVSLLHSFLEEHDDSAKSGSCSCLSTDEACCSICFGILLPTCHQDDGVAPFHDVSHVDIITSMVSQAIQREGYQIDEFSLEISMPGVVAVNERAIRLYMKEKYGGENWFKDEIFSQQTISVKEALRLLIVPSLEKQLDVKHGNNSFRIRLTYTHDDASLKLKSLLPNDSNRKRKTESREGNDTRRNSTYDDKQILSETDSFIHKTLEGLQDQEFCSLFKLPPEKVFKPCHLVISFLRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIKESVCAISRGDGYKFHAAGREDIDVRMLGSGRPFLIEVLNVRSIPSAIEIQQISDKINSSEKKHVRVRNLKLVDNEIWAMMREGEAEKQKQYAALIWTSSPLTDDDLQKISVVKDMEIVQNTPVRVLHRRSPLERKRIIHWMEIEKVEGSSNYYLLHLCTQAGTYIKEFVHGDLGRTNPSIGSMLRCRAEILQLDVTDVKMDLLQ >SECCE7Rv1G0479050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:246539612:246543015:1 gene:SECCE7Rv1G0479050 transcript:SECCE7Rv1G0479050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAMDHAGAAGGRAKKASGGSQLWKKALLHSSLCFVMGFFTGFAPSSVSDWRSVAPAGVGSSHLVKTPQVIASAGAVDRSLLARGAVLAAGVPQPLLVIVTTTESTPTAAGERAAMLTRMAHTLRLVPPPLLWVVVEAAPDVPATAKLLRDTGILYRHLTYKENFTAAEVAAGKERHHQRNTALEHIERHRLAAIVHFAGLGDVYELRFFDQLRQISTFGAWPVARMSRNERKVVIQGPACSSSKVLGWFSRDLMSNGTAGTGGGTAATSPEIDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSMTFVQQVVAEDYSKVKGIPPDCLEIMVWGVGTTPPPPPSSSQQTPGNKRR >SECCE7Rv1G0496690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:615294058:615301572:1 gene:SECCE7Rv1G0496690 transcript:SECCE7Rv1G0496690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVLVDRATSESLIGPDWSLNLEICDILNHDPSQAKDVVKSIKKRIGHKNSKIQLLALTLLETLIKNCGDFVHMHVAERDILHEMVKIVKKKPDYHVKEKILILIDTWQEAFGGARARYPQYYAAYQELLRAGAVFPQRSESSVPIYTPPQTQPLQNYPPPALRNTDYRQEAPESSSVPEVSTLSVTEIQNASGVMDVLSEMLNAIDPGNREGLRQEVIVDLVDQCRSYKQRVVQLVNTTSDEELLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKPPQAPASSFPPANPEGPKEPTQRSSEAAGKVSPFEQLALPAPPSSSTSKPPGEQSVGPSIDLLSGDDYFKPEPVNSQAIVPVGNSPAASVSGHNTLDLVDMFSQSDASSNNPVISSPILNSNPNLSAPQAYPAPQHPVPPQQSSFSNGLTSNTIPTYGQGSDLNSASSWNGQLAQGMNQPQQAPNYGQDDQSSDLPPPPWETQPAESDQFQAGQPDRLAMPPGQFGGIPPQPVQAGQPGQVSLSQPLLTGQPGGMQFPPGYVEQPGAQHPQSMPNTQYGGMYPSMQGNQAGGMYPQQMAGDIYQQQMYGGQMAAGYGYGQQPGGYYAPNAAYGYPSANELSQRMNGLAMQNNGLYGTPASSSLQQANRPARPEDSLFGDLVSIAKTKPSKTAANKAGGL >SECCE4Rv1G0219580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:32934175:32940281:1 gene:SECCE4Rv1G0219580 transcript:SECCE4Rv1G0219580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRP1C [Source:Projected from Arabidopsis thaliana (AT1G14830) UniProtKB/TrEMBL;Acc:A0A178W4V6] MATMGSLIGLVNRIQQACTVLGDHGGGAGGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEGGQEYAEFLHAPRKRFSDFAAVRKEIADETDRMTGKSKAISNVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRTYVDKPNSIILAISPANQDIATSDAIKLAKEVDPTGERTFGVVTKLDLMDKGTNAIDVLEGRSYRLQHPWVGIVNRSQADINKNVDMLAARRKEQEYFQSSPDYGHLAHKMGAEYLAKLLSQHLEAVIKAKIPSIISMINKTVDEIEAELDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDKHLSLQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLSYFRGPAEASVDAVHLVLKELVRRSIAATEELKRFPTLQSDIAAAANESLERFREDGRKTVIRLVDMEASYLTVEFFRKLPTEPDKGANNNTPANDRYQDNHLRRIGSNVSSYINMVCDTLRNTIPKAVVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMEKRDSLVKKLELYKSARNEIDSVAWK >SECCE5Rv1G0346880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:664443235:664445026:-1 gene:SECCE5Rv1G0346880 transcript:SECCE5Rv1G0346880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDNGDDDRISTLPSDILVNILDRLDVREAARTSILSRRWSQLSCKLPRLIINAQPDGVSSSNSNISDGDLVRVNAAAVEATKSLLTRRCPGEDTIRLLSTTFYLRGDVPKSIGHAVGSAMTTHKIEKAEFTVLTVKKRRHCTLDDVLNYGAQFVSFFNECLNAFTGLTRLYMENLRFTEPDFVPNIFVTCKQLKYLGFLNCDTQKYLTLQVEHAQLSELSMVNCRFYKVELKWLPRLTRTTFTYWMAFEELPLSFGHVPLLEFLDLTNVCLSRHKMVMLSTLLCETRIQELRLGFKCEKIWVQPEYPSERLASAFNRLRIVSLVSIPEGSDLTWTNFILEAAPLLEELYMSVIDHRCEMITDPKSRLELFLSESKGVKWESPRSNFKHCRLAKLVIFCFVNYMVSHVRRVMKAAVNLKDVYLYDRLACSKCEGMAVFQPGRLPRAKKKLKAMKKLLTQGVESAPRIHLMVHCEMEADHAARVKDYLCS >SECCE7Rv1G0499220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:651391054:651392597:-1 gene:SECCE7Rv1G0499220 transcript:SECCE7Rv1G0499220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACLNFLALICTIPVLATGLWFASKQGAECARLARWPVAILGVLLLLVALAGFVGAYWNRQGLLAAYLFAMAALITLLLALLVFAFAVTHGSGAYDVPGRAYREYRLEGFSGWLRGYVAGDPRRWEGIRACLAASDTCKKLTMETAFFIAPEQFYQSDLTPLQSGCCKPPTACGYAYVSPTVWASPANPAADADCGAWSNDPRQLCYWCVSCKAGMLGTLRDQWRRANVALVAATVALLVVYVIGCSAFKNAQTEDLFRRYKWSNNT >SECCE2Rv1G0140440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931409753:931411807:1 gene:SECCE2Rv1G0140440 transcript:SECCE2Rv1G0140440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGEYSDLNDPIPSPARTSTADGGGDVVDGSPPPFKIRKLGAPGMSSIAGEDQSEAMAARDAKIGERMEVEDEKRSIESMAGRAGHVDTEMTEFKRGEVADDELHKDKMKGGESNQQIKNKNKTTPEEMELGKSSSIISEQEIKELCTQMDQMVAFLNYRIIPSAPLIICSSKEMPKDEQDKAPQSGIDGILQRLADMRRDMSKLKSELAPFWHVYPYEKKSELTPEEERETRIKSWQEYQKLDNKEKASEEMELDVDDFEGYCQGMTSLVEHFRFTTLVSPMHFTHYTPRQIPLDLTSNKITLQIFSFKIANIDLDLQWPLLEWPLKVYGLVAARDSVDRRRNFLFLRKRDNFQEITQEKPFLCLTGPSRAILSEDPVGLEVQLKLKGPSESEDTVLITKRCQHSHYHVDNDLYTLTLENRLCTTELSLQQLYRGSVQATFLRVGGFVIGSTTPFIHGGRVACSSPPHGGQGTAPPIQVVLLDSRYCHGGKMPIGEEDGYLDLSRHVVSVELRKVSDDSEELEETLNVFIEAYSDSPPNVSAKADFLVKPQYCGISEHECVLHGSTVKIAIAWSSILQTNKVIL >SECCE2Rv1G0136240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910858528:910859616:1 gene:SECCE2Rv1G0136240 transcript:SECCE2Rv1G0136240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTNLLPPPRCPVASRIRCQAPAHHHQPAVSRGLLLRGAGSPVVKRLPAGDWLLWYHSGARVALATSPDGLRWSAPVAPDPLLPSTDWWVFDTAAVRPGDVLVISGPDAPPSRRLPPSSSAVYWLYYTGSNDARLASPFPASDVAALPGLAISQDGRHWARIEGGHHTGALLGVGEDHPQDWEKRCAAAPKVVMHADGDLRMYYHSFDEMSQRHAVGVARSRDGIRWERVGKALEGGGPGSFDEGGVRQGHVVRDRAAGRYMMAYEGLDGNGGVSIGLAVSEDGLKGWRRCSESPALRPSEDDDEWDVAGVGAPCLVQMDGPYDWRLYYMGVGKDGEAAIGMAYSEGQGLPRFNKCDALLM >SECCE1Rv1G0042500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:581071366:581073894:-1 gene:SECCE1Rv1G0042500 transcript:SECCE1Rv1G0042500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSNLEDMPTEANKRRMMNKAASLPDEMITEVLQRLPVKSVLRFRAVCRSWDALLSSDEFRSLHMAARRAPPKQLLYISPTARFLSTAVYARPFTPSSSGGSTGDRGRLLFTIDGARGNCVEVVTPVPCRGLNLLYDALAMAYYICNPATRAAMRLPPSTDIASRSTTGLGFDARTKEHKVVRLINGMCHDKIGVRCEVYTPGGRHGDCWRQVAGGVPSSLRELVSSAVTNASLNKLPPVFANGSLHWLMDPTTPRVAIVCFSITEETFTCVRSPPFWVPAPRPTSRPWSSGENLVEMDGQLCIFRDLRNRAPHACSLEIWKLLDYGSGGWSLHHQINFTGQLARDLREPQIFRVIGSFGDCRSSRKKIIIATSKRSVDDKYEKKVHTYDPRSEALETILSVMETHSSPPYERPSSRFSLFEETLSPVHKTDEEIALSSAQAKATREILLRLPAKSVILSKLVCKQWLRLIENDNFMESYFKHKNIDRRPKVMLVGKGTGQLGFSFTPLNGCLRGTPSHSRLLDTKVVCSKPCHGLNLVSTETKDYICNPCTGFFWCYSNLGPTLGLPWRMPQAEEHAFTVGNKNVGLTFDPLTREHVIVEIFYHQKDFNSRQCHLTCALRWCGSQDPARQNCGPPLPVNDMPPAYLDGVLYWMSEPRLGQSWEWAVVSFDITTKMFQVIPCPSWFARWHSRSHCRAFVVELAGVLCAVLADPAADTLDVWKLEHGQWGRACIIHLKACPGYSLETNVVVPLAIDPDDGKIMLNTGRKIGLYDPVEQTIETLHSLDQVPGVSNRPSMLSTSSDQSGEEMNIADTSIIPFVPMLYEESLAIYPRVNKVKFLW >SECCE1Rv1G0045440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609809707:609811155:1 gene:SECCE1Rv1G0045440 transcript:SECCE1Rv1G0045440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAELGKKLRILIIPFFATSHMGPHTDLAVRLAAARPGTVEPTLAVTPANVSVVRSTLQRHGMSEVTSAIKIATYPFPEVDGLPPGVQNLSAAGADGWRIFAAAIDEALTRPAQEALIRELSPDAVITDVHFFWNSVIAGDLGVPCVTFSVIGTFSNLAMTHLGGEVDRDSGCEEVIVPRFPGPEVRIPRTELPEFLRVQEQHDRFNPRLAGIRRCFGHAFSTFLDLERQYCELYARSGHAKRAYFLGPLSLPLPPAGARDGESPCIRWLGSMPRCSVVYVCFGTFASISEDQLRELALGLEGSEKPFLWVLRADGWAPPEGWEERVGKRGMVVRAPQATILAHPSVGAFLTHCGSSSLLEAAAAGVPMLTWPLVFDQFIEERLVTDVLKIGERVWSGPRSTRYEEQETVPAEAVARAVARFLEPGGTGEAARGRAQELAAKAHAAVAEGGSSYCDLRRLIDDLVEARAAAGGTTATPSLP >SECCEUnv1G0531000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:15605907:15608581:-1 gene:SECCEUnv1G0531000 transcript:SECCEUnv1G0531000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSSIVSVTEVPESDCGDRGLSEALSSIRLDGDSTSKPSWAASLVNVGLSSLTGLNDLLECPVCTNSMRPPILQCPNGHTICSSCKHRVDNHCPTCRQELGNIRCLALEKVAESIQLPCKYQSLGCTEIHPYQNKLKHEELCRFRPYSCPYAGSECLIAGDVPMLVSHLINDHKVDLHEGCTFNHRYVKSNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMSPVYMAFLRFMGEESEARGFCYSLEVGGNGRKLTWQGTPRSIRDGHKKVRDSFDGLIIHRNMALFFSSGTRQELKLRVTGRIWKEQ >SECCE7Rv1G0495560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:599316206:599316715:-1 gene:SECCE7Rv1G0495560 transcript:SECCE7Rv1G0495560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQGLRVGDAGDVQEFCYVGSQQPEVAGGAFLMELLEDTLAPADQAPEAADDRLRRVMRSLEAELGVAAAPAPAAAEDGPMSDYDDGELEEMLSELGGSLGAEAPSLAAVLPLEYWEEVPPVMGSDMGGWYIDGEGFVAGYEFREPSYYTYGEVAAVEQAYSPLCLWE >SECCE2Rv1G0133500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:897191419:897192908:1 gene:SECCE2Rv1G0133500 transcript:SECCE2Rv1G0133500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPPPPAFVYRIGTGDEWAELQRTGGTLGGDLDRSTGCFHLSDLAQVKMTLKNYFRGQNDLYLLQIDTAKIADGLIYEAADGCNYFPHFYGPDRSFAPLQLSAVVKADKIELANNDFTCSLLDGRPSNTDV >SECCE3Rv1G0183660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:653071525:653072602:-1 gene:SECCE3Rv1G0183660 transcript:SECCE3Rv1G0183660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAYRAEEEYDYLFKVVLIGDSGVGKSNLLSRFARDEFSLDTRSTIGVEFATKTVRVDGKLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHITFENAERWLTELRDHTDANIVVMLVGNKADLRHLRAVSPEEARAFAERHRTFSMETSALEATNVEDAFTEVLGEIYRVVSKKALDIGDDPAAPPRGKTIDVGAKDDVTPVNTGGCCSA >SECCE5Rv1G0350990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:694978231:694982660:1 gene:SECCE5Rv1G0350990 transcript:SECCE5Rv1G0350990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARRGAARAMTMAMARRGMCSASAPAGERAAAALSSEELIRMEQDCSAHNYHPIPMVFSKGEGSHILDPEGNKYIDFLSAYSAVNQGHCHPKVLRALIDQAERLTLSSRAFYNDKFPVFAEYLTSMFGYDMMLPMNTGAEGVETAIKLARKWGYEKKNIPKNEALIVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLEKIFKEHGDRICGFLFEPIQGEAGVIIPPDGYLKAVRDLCSRHNILMIDDEIQTGIARTGKMLACDWEDVRPDMVILGKALGAGVVPVSAVLADKDIMLCIKPGEHGSTFGGNPLASAVAIASLKVVKDEGLVERAAELGQEFRDQLKKVQQKFPHIIREIRGRGLLNAVDLSSKALYPASAYDICIKLKERGILAKPTHDTIIRLAPPLSISPEELAEASKALSDVLEHDLPRMQKLIKKPELEAETPVCDRCGRDL >SECCE5Rv1G0370100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:839932573:839934099:-1 gene:SECCE5Rv1G0370100 transcript:SECCE5Rv1G0370100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDQIPRAQANPEKSKKRKKPKKDKWGQPIAAAADEPAVEQVQEQEAPEEPVAAAAAEVREGAESYERGKVVASGMPYTTTEEEIRELFDRFGPIRSLQLSRFPDSGNFRGLAFITFESDEVAMKSLELDGSKIGNRFMRVERCRVTASSKRQKKTEFQSDPEKPDGCLSAYVGNLSWNITEKDLRDFFKSSRIASIRFAIDNRTGDSRGFCHVDFEDDESLEKAVGMNQSELRGRPVKIAYAIINRA >SECCE1Rv1G0045090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:608314175:608314813:-1 gene:SECCE1Rv1G0045090 transcript:SECCE1Rv1G0045090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQKKAASMDGSKSKTPAHLPVTVAKKTPRPDRVRWVSAWALFTAGVFAFSFAVGSAIAYALNRLQVPCSQSSFFLRCDQLTDAAEAVVNALGIGMLCCVALEAVAAVLALRLRRRRRFLAYLALVLTVGGHCIYAAIAHLLLVADPGDLFFGINFAVSIVFFAVGDVISFLSLLLGGEDEE >SECCE2Rv1G0087730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:231018441:231018944:-1 gene:SECCE2Rv1G0087730 transcript:SECCE2Rv1G0087730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFIKCESKPEGQIVKKCYHFEWMDDYIQMLQGLGLLDSRGNAIGEFNLPHESAAPAAAAHLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE2Rv1G0080210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:128174088:128175564:1 gene:SECCE2Rv1G0080210 transcript:SECCE2Rv1G0080210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYANGAKFDCLLFDMDDTLYPLSLGINLACRKNIQDYMLNKLQIEESLVPKMCLDLYREYGTTMAGLKLMGYEFDYDEFHASVHGKLPYEKLKPDPVLRNLLLSMPQRKIIFTNSDEAHAATVLEKMGLEGCFEGIICFETLNPKNPDDTDASSGKRVLCKPSLESMEAVAEIAKLDPKKTVFFDDSPRNIASGKAAGFHTVIVGSSALVPGADVALESIHNIREALPELWEAGGDHVEAAVAIRSAAVAETTVLA >SECCEUnv1G0551180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:230474046:230474738:-1 gene:SECCEUnv1G0551180 transcript:SECCEUnv1G0551180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKALPSLLYLVFIVLLPWGVSSSFNKCLELWIKNWWNTRQSETLLTDIQEKRILERFIELEELSLLDEMIKGKLKTHVQKPPTGIHKEIIQWVKINNEDHLHTILHFSTNIICLAILSGSFFLVTDFFVGFHSTRGWELVIRWVYNDFGWAPNELIFTIFVCSFPVILDTCLKFWVFFCLNRLSPSLVVIYHSISEA >SECCE6Rv1G0381190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:30219443:30221989:-1 gene:SECCE6Rv1G0381190 transcript:SECCE6Rv1G0381190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHQAGDLVKELVLRLAPADSGGGGRDALRFAHRLLSSRLAPAVLPDEHALAESIKRRLAASGRPDGALAFADLHSKLSARSRPASLWPLLYLLDSLSSHRRGASSCLPNLPTAAPPRQASRAPGTPAGGVLLASKDPDNIREIALREYTELVLDETEVSEATLVRDVLYACQGIDGRYVRYDKASDTYDLPEGVRVPGSTRTLVRKLCEVGWLFRKVRGFISDNVSRLPSHAATEVGTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGVSGNYLSLRRLVVWLAEPAVKMRLMAVLVDGCRGLRGGGMAGAIHGHAQHGDPMVQDFMGRLLRRVCSPLFEMVRSWVLEGELEDVFGEFFIVGQPVKAESLWREGYLIQSDMLPSFISPVLAQRILRTGKSINFLRVCCDDNGWAEAATEAAAYVGTTTSRGGLGYGQIDALEALVVEAAKRIDQRLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFHLAGLLETAIRASDAQYDDRDILDRIRVKMMDHGEGDRGWDVFSLEYDTRVPLDTVFTASVMKMYLKIFNFLWKLKRVDHSLTGVWKTMKPNCIVSSPFYKEGTSIRAQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEVSWARFSEEMDAAKDLDDLLMGHDKYLTSIVEKSLLGERSLGILRNLFALFDIILQFRSHADRWFERIYELQLRGKSKLKTKSKETGSWLEGGRKAMIQLAGELFRKMGEDLDSIAKDYTASLDSFISQLPLQQHVDLKFLLFRLDFTEYYSRVSSTK >SECCE6Rv1G0405380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:529993583:529995775:-1 gene:SECCE6Rv1G0405380 transcript:SECCE6Rv1G0405380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:Projected from Arabidopsis thaliana (AT2G18280) UniProtKB/TrEMBL;Acc:B9DGB6] MSLKSIVRELKEMRDGIGSMSRRGGISDGRAGHGRGGSRHSWPSLWPEPQPQPQRPGQEPPQQGQWANLPPELLIDVIQRVEASEVAWPARRHVVACAAVCRSWREVTKDVVKTLEECSRITFPISLKQPGPRDCPVQCFVRRDRATSTYLLYLGLSPSLHGENDKLLLAARKIRRAARTSFVISLISDDFSHSSSTYVGKLKPNFLGTKFTIFDSQPPCDAAVLPNNKPSKRHSKQVSPRLPLGNYNVATVSYELTVLRNRGPRRMQCTMHSIPAVCIQEGGKAPTPTGMVHSLDEQVSTLSTSSKGKEPNIEFSTTSLSADLSGPISTSEAPLLLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLGVPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >SECCE3Rv1G0199160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:843088922:843098143:-1 gene:SECCE3Rv1G0199160 transcript:SECCE3Rv1G0199160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal domain (Ctd) phosphatase-like 2 [Source:Projected from Arabidopsis thaliana (AT5G01270) UniProtKB/TrEMBL;Acc:F4K802] MLRPSPLLPGPGASASAAAPPGRAASMRMFHGDVFLGEADVFPIKPGPEGALPFPSNEIRIGHLSPASERCPPLAILQTIAPFSVRCKLQARPLPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRAGLYAASVGMLNLRCLAIVFDLDETLIVANTMRSFESRIEMLSRRMDVEDDPVRVAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVQPMPGVQERLVRPVIRLPERNAILTRINPEIRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEIWRLLDPEANLISLNNLSDRVVCVKAGSKKSLQHVFREGGCHPKMAMVIDDRLQVWDEKDQHRVHVVPAYAPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLKKVFELLYENELLDLPYAPDVGDYLVSEDPNFPPSNKDPSPIPEGMSGAEVEKRLNGRAYQGDQKQISSSIRPSDDARVPIRGTLGSSNVQPNGGSMAIVPSLFVTVLQEIGRLCNSKVEFRSTVSTSKITQFSVEVLFSNEKIGNGIGKTRDEAQVQAAEKALQNLQSNYLSYVAPIAGVLNKDTSKSSRNGNGFLEDDLDSDGDTAMQEPSGSKSEQKDHSNVDRLPSVLSLIRELCLEDQHVVFRDQVRNPGSATNEEYHFQVELAGQILGNGIGVNKDFAKLQAAEEALRFLKTTTDPQIKKHLRPIRCSS >SECCE7Rv1G0460380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:31195904:31200902:-1 gene:SECCE7Rv1G0460380 transcript:SECCE7Rv1G0460380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGMAGGSLWVVRLASLLAVGFVVGYVEASPGDAHPQYRTCVKECQNTGIIGSNIISHCQSRDNDSTSAGSSWYTQEPLYMQWKQQNCMSDCRYYCMIRREEQRQLGGLSPVKYHGKWPFKRVSVFQEPLSAALSALNLLTHFIGWLSFFLQVNYRLPLRPQTKRTYYEYTGLWHIYAILSLNAWFWSTIFHTRDVDLTEKLDYSSAVAQLGYSLILTLLRTFNVKDEAGRVMFAAPILAFVTTHILYLNFYELNYGWNMKVCVAMGVVHIVAWTIWAVVTHHPSRFKVWIVIFGGALAVLLEVYDFPPYKGYADAHSLWHASTIPLTYLWWSFVRDDAEFRTSTLVKKAK >SECCE6Rv1G0427380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:719092071:719093267:1 gene:SECCE6Rv1G0427380 transcript:SECCE6Rv1G0427380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAAGSTTLLPALPDEIVIWEILVRLPPTSLLRCRAVCRIWRRATSAHDFLVAHHTRQPTLPLLHGCNCVDDVIESLDIIPFDNTAGVDAADQLQSISRLCGIDTFVIEKSCDGLLILSLSWGRFSICNPATRQYAPLQQIHGLAVLGFYLHGPTGEYRLLLYRSPRMMHDGLAPDSDTDGGVYVFTLGSSQPQRHIGFPEARQMKYNPRSMSILFSGRLHWYIGDMIMVFDTIAESFRQMRSPIVSDYAHLFEMGDMLGLYKHNDKDTSIEIWVMQNYEGEVWKLKCMVELPVEEIKAQFGMFDYYWKEVAMSWDGDVFVLVNFDDCLLQVDMDGHLVDSFHHKGLGPTRLRLKQTLVSHAFFPTLEGYVVNELPFISSDNKVVNTDSLTSEGC >SECCE2Rv1G0105300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:618515732:618521049:1 gene:SECCE2Rv1G0105300 transcript:SECCE2Rv1G0105300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFVAGDGHAQEYGGRMTFSVVVSSLMAASCGLIFGYDSGVTGGVTQMESFLSKFFPEVQSRIKIPKHDAYCKYDNQWLTAFTSSLFIAGTLSSLVASQVTRRVGRQAIMLIGGIMFLVGSIINATAVNIAMLIIGRILLGFGLGFTLQSAPVYLSETAPARWRGAFTSSFNTFVVIGILSATITNYFTNRIPGWGWRVSLGVAAIPGVIVVVGAIFIPDTPSSLVLRGQPDRARSALQRIRGDGTNIDAEFKDIVHAVDEARRNDVGSFRRLFSKQYRHYLLAGLAVPVFYEFTGMIVISVFSPVLFRTVGFTSQKAILGSVINSMTNLVATVLSSFVMDRTGRRFLLIIGGIGMLLCEVAISWIMAGHLGKHQGVAMPHGYATAVLVLICLCTFSFGLSWAPLRWVVPAEIYPVEIRSAGQALSISVELCLSFVELQVFIALLCAMKYGIFLFYAVWLLAMTIFVAVFLPETKGVPLEAMRSVWMGHWYWRRFVKQDANHDETQITASGVSVN >SECCE6Rv1G0433990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763255969:763256454:-1 gene:SECCE6Rv1G0433990 transcript:SECCE6Rv1G0433990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMILLNSSDGEGFQVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKTLAKVIEYCKKHVQASPNPADSAAPAEDLKSFDAEFVKVDQAILYDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNITNDFTPEEDAEIRKENQWAFE >SECCE4Rv1G0224780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:84159849:84162072:-1 gene:SECCE4Rv1G0224780 transcript:SECCE4Rv1G0224780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVSSMEERIVADRIRKKLEEVNVAAHKHLEGVQDHVNFTLQKAYFKCAHDCFDRRRTQEGITSCVENCGVPALSANNVVETEMAKFQERLNRSLMVCQDKFEAAKLQKMKTDATNELESCVNRSIDDSIRVLPHLVEQIKSTINMK >SECCE4Rv1G0259110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:682733699:682735684:1 gene:SECCE4Rv1G0259110 transcript:SECCE4Rv1G0259110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSNDFHSSSVKIPGATPPLCACCMHAGDPGGTSNGAAAAGLSGFAADRYRSSLQTKEAVDDLLKKYDVRREFVGLPAGDLCASTPWPPNGSVCVYAHTLEVGVRFPLHRFFVDVLKHYGLAPGQLAPSGWRVLVGFVALCRDARVQPSLAVFRCSFSLVMFDLLRGWYCFRDKDVAMSLFTGLTPSNSDEGWKEGFFFLSSPDQWPFPVNWRETAYTSSTTNPVLTAEDEASALELLSVHVLPIDLGAYLSEKVNLDAAFFSSLTGASSVPPSPQSSPRSSGDKGTQLSIGDMIKSMRAEKLKIEPDGDMPPALAGKKIKQEHARCYPPGFGPTHRYFPVTEPDDNGEEGVHGAMATRKVLESVVTPSRKRELTEAKPSDVFAATYSALLKAANCVAFSVNYQMKLEEKLVALEHDASTETAALQEQVEKDKAELAAAKQANKEKLENAKAAAVKQLRMSTEHKRRLAKSLAEGYKRGKEHLKRVALRLDPHLDVEQLVVTRR >SECCE3Rv1G0163150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:145276860:145282709:-1 gene:SECCE3Rv1G0163150 transcript:SECCE3Rv1G0163150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVKPAPAPEPLLGLDGDDETLSERGSVGSGSGPSTPDARGGKEASSSTPSAAGSKRKHESLSFDRVEADGPEPTRSGSSDTTWSMDSLHDGCPRSLSRKKNGRSEHSVTSAALISRPRGVLKLRKLPQNVSAETGAGGYNVLQSNGISKPAHFMRRKRIRKPRALKENRVGGDDPVSCSKTENGTHDQDITTKSCSENDLSVPKLPGEPSKPVHVDKDSAGHEQGHIDVSLEENAAMMLCSLSDNRHDDPLRNRMSSPDRSSKESNLHHSNHLNNPYKNENDEAGPSRLLRKRDGKGPFRKRRPRRHFYEVSPHDLDPFSIVKERIRVFWPLDETWYFGLVKKYDPVTKRHHVKYDDKDEEWINLQNERIKLLLLPGEGRRRCINNTSRKARKVKYEGKKREGMDGNGSGSESEPIISWLAQSNQARSGTSSSISKTVLGHPNFPVLSNSFDANPGFFGSDGAIPGGLHANGGPEVHNYGTIPRERRFRFVYYRRRFRRRSLDVVNISEHDSHLKSRASSVVVLASANSREADTETGAPVKYVILVLSLPLKSVCKLISEACSAWLPSTFFHPQHGSLITLWPAVCLDILLVDGTLGLKHLLLETCLRSAVFLFCSLVGNFNQDSGLNAFNESEAPCTSVRFQISGLHGRSQAVFVLFSLIGIGKTQWKNLQAKFRYHSLKRELSKVGCTYADIKQLVSGNDQNVRTSMNLFSKSLSSDVQEPQFCSESNYPDVDPVIFCLDQSECTRNHVDVAAARHHLKLLTETNLTSNAVVHQADPSEISVEENQQSISQHRSALVKQACSLETGTISLDCDNSDGDINVISRRLPDQNGTCISGDKLCSSNHNVTNSPEKSKQSYPSMDIPQDKISDALDDELLSKDGKAREPVSNLVQELNEHPIGRVTPTAPRTSYHRNRFTSISRTFGDGSKLWPEDNMSTGSAGGSKKTRTHVSYSVSPRSDELGSKHKGHFRKIQPHNIAKTNGSKRLPDNTRSGESSPESLACVANVLVTVGDRGWREYDTQITIDTDGQSDRSICVRLAEGKKYVHKVSQVLQPGATNRYTHAILWKGGPEWYLEFPDRSQWSIFKQMHDECYSHNIRAASVKNIPIPGVRLVEGHDDNEVVSFVRPQDYLCHIGPDVEMALDESRVIYDMDSDDEEWISGWRKSQRDKNNTMSELTEDLFEKIMDKFEKFAHTHNCSALTIDQIKELDVDNVPLDITEVIHDHWHDKRQKKGMPLVRHFQPVMWKIYAQQLQEWESAVNRMQGSSNGYQGKRPPPKPALFAFCLKPRGLRLQVSKGPKQRSHKKLMYSGCHSFSREQDGFYRQASGRRNGEYVGDGRTYESYDGGSLNSPTGYSPRFSMRTDSPRASDASDRGSTPRFRTNSMKRNASFAFSEDHQPSPSFRSQKIRRGGVPDHWNTAIHEYQNSRQALQGGAPQSHRVDVEELKLRDATSAAQHAATMARLKREKAHCLMHKADLALHKASVAVMIADAIKASSRDSSRAAAADSRRDDGR >SECCE5Rv1G0352640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711106674:711107501:1 gene:SECCE5Rv1G0352640 transcript:SECCE5Rv1G0352640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEADVGAAASVTAPMMLHGRVAIVTGGAGGIGSAVSRHLASLGARVAVAYVGDPAPARELVAGINAAPAHGVGPGGPRAVAVEADVSDAAQVRALFDAAAAAFGGELHVLVTAAAVMDTSYPPLADTSEATYDAAFGTNARGTFLCLREAAHRLARDGRGRIVTFSSSGVGSLRPGYAAYAASKAAVETMTRILARELRGTGITANAVAPGSTATPMFYGGKTAEEAERYIAEAPLGRLGMPEDIAPLVGFLASDAGGWVNAQVLRCNGGTI >SECCE3Rv1G0197650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:822141629:822143305:1 gene:SECCE3Rv1G0197650 transcript:SECCE3Rv1G0197650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWPFKVIPGPADKPMIVVQYKGEEKQFAAEEISSMVLIKMKEIGEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKASSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEDIEKMVQEAERYKAEDEELKKKVEAKNALENYAYNMRNTVKDDKIASKLPADDKKKIEDAIDGAIGWLDANQLAEADEFEDKMKELEGVCNPIIAKMYQGAGADMGGMGGEDPPAGGSGAGPKIEEVD >SECCEUnv1G0530320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:12276669:12277919:-1 gene:SECCEUnv1G0530320 transcript:SECCEUnv1G0530320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFHHTAHLAFEPAVSPHYQVFLVPRVPWRLPSDGESRDHDDNPLLELEWPPASYLVDVFSSVTQRWDTTIFLREGEAARIVADMQLDWRYDPSLYHAVYWQSALYIPCQHGYLTRMSLSDHSYRVIKLPGAGELIVYSNHRLGRSSQGVYCAILDGSILDGSKRLQVWYLSESCGRIEWVLKHDTHLKTVYAQQLGRQWILQQVNLRNEDPEHKVPVDEKCDYDWNSDEDNVLDTEDVVEEAVDVIEGEEHISYGFLGFHPYKEDLGSSWTCQEYGTPYGETGIYFPYTPCWVSAFPGNELESLLLDEKLCRNKLELEAQLEQESYFTYMGEYELRKHSGRTKRVKDSAAKVRRRRHIAAR >SECCE2Rv1G0107790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:654486643:654490340:-1 gene:SECCE2Rv1G0107790 transcript:SECCE2Rv1G0107790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLQLAVAVAVAPGPPGSCSTSTAVRRPPRHISLCRGTMSVVAAAASVHPSATPDGSPPQMATTRLERGSLLVGGRELLSQCPPEVTLRASVADAAPGAAFLGASAAAPSSRHVFSLGTIPKGWRWLSLFKLKIWWMAPKTGADTAGVPAETQMLLLEKRGNGAEDAVYALILPVLDGDFRASLQGSPENELQFCFESGDPDVRTMDAVDAVFVNSGDNPFKLIKESIKILSKIKGTFSHIESKEIPANLDWFGWCTWDAFYKAVNPVGIEEGLQSLCEGGAPPRFLIIDDGWQQIVNEFKDVDEALPEETVFAERLVDLKENDKFRGEACKNLGDLVKKIKETHGVKYVYAWHALLGYWGGVHASSDVMKKYNPKLVYPVQSPGNVANLRDVAMDSLEKYGVGIIDPEKIYEFYSDQHSYLSSVGVDGVKVDVQNVMETLGHGFGGRVALTRKYQHALEESIARNFKGNNLICCMSHSSDHIYSALKSAVARASEDFMPREPTLQTLHIANVAFNSLLLGEIFIPDWDMFQSKHETAEFHGAARALSGGGVYVSDKPGVHDFNVLKKLVLPDGSILRARYAGRPTRDCLFNDPVMDGKSLLKIWNLNNLSAAVGVFNCQGAGNWTWLVEEISHVPTAVNITGHLSPSDVESLEEITGDDWNGETAVYAFNSCSLSRLQKHQSLELSLVTMTCEIYTISPLQVYGGAVRFAPLGLLNMFNSGGALDSITGTVDSSATTVQIKCRGPGRFGAYSSARPALCRVDAHEVEFSHSDDGLLAFDLSDGPSHSSLRNIEILYAAS >SECCE6Rv1G0419740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:672770840:672773004:1 gene:SECCE6Rv1G0419740 transcript:SECCE6Rv1G0419740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRFMEEMMREHEQSLLEATCGGLFDHIEDLLDFPKEDSAADVLLLDAPAPDSPLAARVLAGGPPPPPASLEQPSSLMVPPPPPAGDHSAAFLGALGDTHIGTCDELDMDMAQLEWLSGLFDDTSIPHEPAFACAAPIIRASALSANAGVVLPDKMEDALFRSSSPTSVLEDGANNVNMNNNNNNNSGGSSASSTSSSASSSSESFSGSGRPWSVPLSPRPEPPVLVIPARARSKRSRASAFPTAIRAAVPAPETTILVPTPMFSSTSSYSEEPECIAESNSQPKKKKKAKRPTPPVTSDAEGDADYEEGSGGAALAPGEVRRCTHCQIDKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPAIHSNSHKKVVEMRQKVEPKGDDLLQFIRRRD >SECCE7Rv1G0524760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887014863:887018169:-1 gene:SECCE7Rv1G0524760 transcript:SECCE7Rv1G0524760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGVVTVGRAEIDTRAPFKSVKEAVALFGERVLAGELLFHAPRDANTADQLHRVTAAPRPVLHHQAVTIAPAVAMVAAPPPPRHVPAAPATRELDDAKHELEKEREEKQKMAGCIQSLQQELSSAMRELQKLKARDEDARAKVIEVEDLKFMETDEPQQRHHQSPPASGHEAMAANRAAAAAEFQKKRYVTFADPPAAAYDRAPSPPRDVVLELHRHHQQPHYAPAAGRPQYREARFQRQVSAGPGHEAVKKAMAAAAEEEEGRKKKKKPLIPLVGALFMRKKKSSAAATGSHRAADDGSSPVKPRPSF >SECCE2Rv1G0075540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:84769249:84770243:1 gene:SECCE2Rv1G0075540 transcript:SECCE2Rv1G0075540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVLRKESSHCRYSTAANSQRLAGKVAVITGAASGIGKATAMEFVRNGAKVILTDIQDDLGRAVAAQLGPDAAYARCDVTAEAQIAAAVDLAVARHGRLDVMYNHAGVTGRMSRHPAGSLDLADFDRTMATNARSAVAGIKHAARVMVPRRSGCIICTASTAGVLGGLIAPTYSISKAAVIAAVRSFAGELGRHGVRVNAISPHGIATQFGLRGMAQLFPEASEEELRRMAETGMNEMGGGTVLEVEDIAMAAVYLASDEAKYVNGHNLLVDGGCTVGKGLIGPAAAQ >SECCE1Rv1G0022350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:283707915:283739820:1 gene:SECCE1Rv1G0022350 transcript:SECCE1Rv1G0022350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDLVLKPACEGCGSTSDLYGTGCKHTTLCSSCGKSMALSRARCLVCSAPITNLIREYNVRANASTDKAFSIGRFVTGLPPFSKKKNAENKWSLHKEGLQGRQLTDKMLEKYNRKPWILEDETGQYQFQGHMEGSQSATATYYLLMLHGKEFHAFPAGSWYNFSKVAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAATNGPAAFGSDMMKLEPANDGEKESARHKKGKDNEEGNNSDKGEENEEEEAARKDRLGLSKRGMDDDEEGGKDLDFDLDDDIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGRSSGQNESDADDDDEDDDQDDESSPVLAPKQTDQPKDEPVDNSPAKPTPSSGHARSTPPASKSKQKRKSGGDDAKASSGAALKKAKVDSDAKTSSIKEETPSSSKSTPKASASSRSANVSPVTEDEIRTVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLREDKK >SECCE4Rv1G0278090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:807240709:807241185:-1 gene:SECCE4Rv1G0278090 transcript:SECCE4Rv1G0278090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGSAGLALMAAVLAMLVLPSSGHCLSPSPGPAQAPPLPALSPPSAPAPAPAPWDPPCSESRRQHCFSVTYPACHSACLASRKCTECELYDARCGKCRAAEKDKCMAKCAGGGGCDCDGAAQSACRDDCDTQACSRCAYGNDKNCHTGCWAECISC >SECCE2Rv1G0120100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:796659501:796667604:-1 gene:SECCE2Rv1G0120100 transcript:SECCE2Rv1G0120100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDASYFMLVLALVPLVYYIIRFYYLASPNRGLRLPPGPWQLPVIGSLHHLLGGLPHRVLRDLSGRHGPLMLLKFGANRPVIVVSTAEAAKEMMKTHDTTFCARPLSSALEAVSKRGLGIVFAPYGDHWRQMRKIYFLELLSAKRVASFGPIRGQETDRFIRSISAASASSEPLVNLSEMLAAYLTDTTVRTIMGGQFTEQDTLLRHVDEAVGIVSGVSLPDLFPSSRLARALSTTLRRVEGFREAFLALMGHAIDDHLESRKCASSEQVDQEEDIIDVLLRVQREGNLEPPLIEPWIGDLLAGGTETTSTTLQWAMAELMRNPSIMSRAQDEVRGAFVAQNKVTEEGLGELSYLQCIIKETLRLHPPGPLVPRDCREHCRILGYDVPKGTTILVNVWAISRDPECWDEPQEFVPDRFVASATDFRGNSFDFIPFGAGRRICPGMLFGLATIELALACLLFHFDWSLPDGTSPSDLDMTETMGVAARKKVALWVHPTPHVYLPC >SECCE2Rv1G0083500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:167388095:167388936:-1 gene:SECCE2Rv1G0083500 transcript:SECCE2Rv1G0083500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSAGGGQSSLGYLFGDGEPAKPAAAPAAKPAPAEKPAPAAADVAKQIPAGIPGSRANNYYRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGK >SECCE1Rv1G0062510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719698122:719699993:1 gene:SECCE1Rv1G0062510 transcript:SECCE1Rv1G0062510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVTMLRVGVLYLLAMSSVSAEQQCCPRESYSSPGFPGTYPSPDGYKGEVVPPRNVTCKDKDGKRPGCTGTCPNRCPQQCIVLCPDCKIFCHDAVQPPKPVPPPAMFVFGDGTLDIGNNGYLPKTQTEGSSPQVSKSSSGRFSNGASLADAIATFMGYEMSPPAYMSLHGEVNMLGANYASAGAGIRNSTNGERCIPLWKQLGYFRATRAQMEAKLGGEAKLREFLAKSIFLLSVGGQDLDPRYNAYLDHPTPQTELQDLVALYGEAVKSLYDMGARKLAIVNVGLIGCMPRDPLNFYFCDESLNDRAAAFDAALKPLMAGLASKKPGLFYSIGDLYAYTTAVFANPGAHGLVNTEEACSYWSNPEPCNNPDKYWFWEPDSITDQAAMLTGAAFYYVPQQFTVPITFKALLEKK >SECCE4Rv1G0281540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826545998:826547245:1 gene:SECCE4Rv1G0281540 transcript:SECCE4Rv1G0281540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEKGEIFKMDTKPTSMKEAEKSEVYERNTKLSPDSHPGETTEAASLLFTDDIIIEILYRLPARSVHRFKCVSVSWRDLIADPANRKKLPQTLAGFLYFTTDDRGHHHHFASVSGGAAPFNPCLPYLRPNKYKDMAQEDACNGLLLYRGSNKKIITWNWAEDNFRFVVCNPITERWVELPPSPQSPENRFSCIAGLAFDPAVSSHFYVLHFEQTFQGSYITAVNIYSSRTRAWTRRDSGMVDKVALFYLTRCVFVGGMMYLMGIHKGINPENVLVGVDMEVKVWKIIRVPYSSTVGTFGTSQGCLHYAIASSIDEIELWCLKDCDSKELVLKNTASIDKLTSMTRKCYSVAEIHPDCDTIFLVSWEGNTLAAYNMQHQKVGCILDVEINNTLQQQFLSYVPLFSESLADADGQ >SECCE3Rv1G0206330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:907321411:907326011:-1 gene:SECCE3Rv1G0206330 transcript:SECCE3Rv1G0206330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 26, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70610) UniProtKB/Swiss-Prot;Acc:Q8RY46] MPPAPAALLLAAPAGLSSLGLAPWRPCLRAPRRRPPPGLRLRAASIRAAATVNGEFGGLGRRRLDAGEFIGRLRNVLPGGSWWRLEDEDAGGAGRGEASGATAASALSRMWALVANDRWVIFAGFASLVCAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLISGVFSGVRSCCFGIANMILVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGIGALIYLLILSWPLGLCTMLTCGTLSTIMLVHGRYQKKASKFAQEFTASANNVAQEAISLVRTVRVYGTEKQEIKRYAKWLDKLYDVSFRQTMAYGGWSLSLNYLYHSTQVLAVLIGGIAIMNGKFTAEQLTKFTLYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSKQLTSKGLRLQKLEGRIQYADVEFSYPSRPSAPILRRLNLTLNPNEVVAIVGLSGSGKSTIVNLLLQLYEPTNGQILIDGVPLREIDTRWFRERIGFVGQEPKLFRMDVSSNIKYGCPREVSQEEVEWAAKQAYAHDFILALPDGYNTIVDDALLSGGQKQRVAIARALLRDPAILVLDEATSALDAESEHYVKSVITEVSTDPKARRTVIIIAHRLSTIQAADRIIVMENGSIVEDGKHSDLVKQNGLYSRLAQRQNDALP >SECCE3Rv1G0148130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:17918308:17919300:-1 gene:SECCE3Rv1G0148130 transcript:SECCE3Rv1G0148130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARVVVVLLLAVSCALLSVAADTTNTPSPQPFVWQKAHATFYGGADASDTMGGACGYGNLFSEGYGTRTAALSTVLFNDGAACGQCYKIACDRKRADPLFCKPGVTVTVTATNFCPPNDALPNDNGGWCNTPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCTKRGGVRFKINGHNYFNLVLVSNVAAAGSIKSMDVKSSDSEDWMPMARNWGANWHSLVNLSGKMLSFRLTNTDGHTLVFNDIVPKGWTFGQSFVSKLQF >SECCE1Rv1G0053450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:669781134:669782864:-1 gene:SECCE1Rv1G0053450 transcript:SECCE1Rv1G0053450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGPAGDPPGESLDSPLLPAPETKMPPPAPAIRLGGPPPPPCLRTHGAKMARRHMYDWIVLLLLAAIEVALNFITPFHRFVAEFMMDDLRYPMKPNTIPVWAVPIYAVILPMLIFAAIYAKKKNAYDLHHAILGLLFSVLITGVLTDAIKDGVGRPRPNFYYRCFPDGVPNYEAITRQVICHGDPKVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIRVFDQGGHIAKLCIVILPLLFAAMVGVSRVADYWHHWQDVFAGGILGLVVASFCYLQFFPHPSSQHGLWPHAFRLHNLNSETENQGTTDTHQSVLPHDLSMVPYVASMEMRTNGRALDNMEAGASRVR >SECCE2Rv1G0126200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844972829:844974286:1 gene:SECCE2Rv1G0126200 transcript:SECCE2Rv1G0126200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDEQPQQHSRNGGVHTGHHFLIVAYGIQSHLNPCRVLAHRLARLHGVDDGSGPVLATVSLPVSAHRRMFPSSGDVGNEDVATDGVVSYAPYPDGSVAMDGEARARSRQATFESLSAVVATLAARGRPVTCVVCSMVLPAALDVAREHAIPLAVYWIQPATVLAAYYHYFHGLGDLVASHAAHPAHEVSLPGLHRPLQIRDFPSFLVDTTGSELAKVFNEAARELFERFGDHGCTKVLVNTFDELEPAALAAMKEHLDVFAVGPVIGSSSAEARIHMFNHAGADEKRYMEWLGAQAARSVVYVSFGSIWTYSKKQVEEIADGLRRCGRPYLLVVRNDGRQEDVSRSLDDLVLQGLGMVVEWCDQPNVLSHPSVGCFVTHCGWNSALEAMALGVPVVAAPGLFDQPTNAFLIEEEWDAGVRAERNSEGVFTGEELARCVELLMGHGPRAIEIRERVEALKGMAREAAASGGPAERSLRSFVMAAQ >SECCE1Rv1G0023670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:309775150:309780124:-1 gene:SECCE1Rv1G0023670 transcript:SECCE1Rv1G0023670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEMDEEAMMRAFFPTSFGKAPTRPSAASHSSTLRKPQNPSSNPSTSAAAEEDDGGGAVVGPPRPPKELAPIQEDDEEGGGLIGPPRPPQRPSAHAEVEDEDGSMVGPPRPPLSKEGDEDEDDDDDDDDDDDDMDDDDDGEDFGRIPLSNEIVLRGHTKVVSALAVDPTGSRVLSGSYDYTIRMYDFQGMNSKLQSFRQLEPCEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLSLGEFVKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSLRLWDVSDFSSQKQVIKPKLKRPMRIPVTSCAWDHEGKRIVAGVGDGSIQLWTIKTGWGSRPDIHVEKAHAEDITGVKFSTDGQILLSRSMDSTLKIWDLRKMKTPLKVFDDLPNNYAETNASFSSDEQLILTGTSVEKDGRNGGLLCFFDRRKLELVSRVGISPHYSVIRSLWHPRINQVFTTVGDKKEGGTHILYDPSVSQRGALVCVGRAPRKKSVDDYEVQPLIHNPHALPLFRDQPSRKRQREKMMKDPLKSHKPEAPVSGPGFGGKIGTTKGSLLTQYLMKEGGLIKETWMDEDPREAILKYADAAKNDPKFIAPAYAQTQPETVFAESDSDGEEKK >SECCE3Rv1G0190980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:744823105:744823599:-1 gene:SECCE3Rv1G0190980 transcript:SECCE3Rv1G0190980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGGGKWSGCFFCLGAPLRALSRACDLYVSGMSGCARRMPAGAVVGGRGFGRSATTMHLRASSDRAGDLVRAASKQRRVAPEPADVGAAEKKVHLSQAPAAVPERRKGPAMVTIAEDGPCEFGACPLKRPEQRSRGAAVGGLAARGGGFGAIKVGSKAFESRS >SECCE3Rv1G0210560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944072811:944073389:1 gene:SECCE3Rv1G0210560 transcript:SECCE3Rv1G0210560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPSSPCSSSSYGNQQSSQAYTVWMKSLVFNGNGCAVYGPDGAVAFRVDNYGCRGGRHVLFMDRAGNALIRIRRKGLGMFRRWEVCRCAHDGGEGDEVTPWFSVRRAEKGGATVAMHGGAIDGCCARKPEYRIRDVDGTVVAEVARKQTAAGVVLGEDVLTLTVGPEVDQQLVLGLVVVHGLMNRSL >SECCE2Rv1G0119700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:793760255:793761387:-1 gene:SECCE2Rv1G0119700 transcript:SECCE2Rv1G0119700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALPCRPKLRLLCVALAFLLAVDMGRADIYEDIEIIWSADHTYYFMDGESEALALSLDYNRGSAFKSKDMYHFVRIDIDIKLIEGNSAGTVCTVYTISEGPWEVHDEIDLEFLGNSTGEPYTLHTNIFANGVGGREQQFKLWFDPSSDYHTYSIVWNPKRITIEVDGVTVRTFDNNEDQGVPFPSYQRQRVYGSLWSAEDWATQGGRVKTDWSLAPFVSYYRNYNVTWCQPSPGVLWCGTEPAESTHFNLSPKARADLQWVRDNHFVIYDYCLDRSNRYTDATRPKECSLPPRP >SECCE7Rv1G0492370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:549708812:549711414:-1 gene:SECCE7Rv1G0492370 transcript:SECCE7Rv1G0492370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRFNNSLWMQEDDGHEQQGLGHEQPAAMGMMPMMGQEAGNHHDQHLLAMPTGGGGGFRAPSMLDEEWYFGAGAVGDGAANGSMALVPAAMEASGSSSGFGAGPQMFPLLNLGGTGPFDVSGFDLGGSGTGGGDFTAFLGAGNASNTSSVSLLPHGNTGFLGTFGGFGTAPAQMTEFGGLAGFDLFDTGAGGGGGGGSGSSSEGPAAPVSLTAPFSGRGKAAVLRPLEIFPPVGAQPTLFQKRALRRNAGEEYDDRKRKAAAAAEGSALSAGCDTMLDDADEDIGSIDASGLNYDSEDGRGVEESGRKDGKESNANSTVTGGTAAEGKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLHKISDLQNELESSPSMPSLPPTPTSFHPLTPTLPALPSRVKEELCPSALPSPTGQQPTVEVRLREGRAVNIHMLCPRRPGLVLSAMKAIEALGLDVQQAVISCFNGFALDVFKAEQCKDGPGLQPEEIKAVLLQSAGFHPAM >SECCE6Rv1G0412310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613756412:613759078:1 gene:SECCE6Rv1G0412310 transcript:SECCE6Rv1G0412310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSPIRPLLLLALAWLWRADAGTVRTELVTPDFAASYLLFIDTLGVFLRSRSGAFEAAVHSPAGQQDRYYLTVLHAPSGTRVWVANRAAPITDRAAPFRLSSAGASAEDANGTVVWSTPPFASPVAALRLADSGNLALLDGRNGTLWQSFDRPTDSLVSSQRLPVGGFLSSAASASDQAEGDYRLNVTAADAVLAWMGSLYWRLSNDAISVKDRDGTVAYMAVNGTGLYLLAADDTVIVQAAMPPAGLRVVQLGVDGKLQISSFASANSSSSPMDGGIVAPSRGCALPLSCGALGLCTPDGNASTCTCPPPFPMAHDTGCAPSVGSTLPPDGYCGGGSSMTSYLSLGSGIAYYANKFSPPATAGSNASSCQALCTSNCSCLGYFYDSSSLSCYLAQHQLASFINTNSSHGAGMSGYIKVQSSPRSSSDSSTSNRTLIAILLPTIIAFVLVVVVGAFVIASRRRKDEQRVGRRASRSRDVQLRRQRSPGSASAHLVRDLDNDDDGDDDIVIPGLPTKFTHDEIEAMTNSYRTKIGAGGFGAVYKGELPDGSLVAVKKIEGVGTQGKREFMTEIAVIGNIHHVNLVRLRGFCTEGHRRLLVYEYMNRGSLDRPLFRPAGPLLEWKERVDIAIGAARGLAYLHFGCNQRIIHCDVKPENILLADGGQVKIADFGLAKFLTPEQSGLFTTMRGTRGYLAPEWLTNTAITDRTDVYGFGMVLLELVHGRKNRSEHVSDGAGAGGEDSSSSNGTAGSSSRSARNDYFPLVALEGHEGGRYAELADPRLEGRVVGKEVERMVKVALCCLHEDPHTRPSMAVVAGMLEGTMELGEPRAQALGFLRLYGRGFAGPSDGDVMKKVMVGDRTRSETTMTTLSGWPSYMSSSQLSGAR >SECCE2Rv1G0127450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:852838381:852838981:-1 gene:SECCE2Rv1G0127450 transcript:SECCE2Rv1G0127450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLQRYRGVRQRHWGSWVSEIRHPLMKTRIWLGTYETAEDAARAYDEAARLMSGPAARTNFPATNGVAAGGSSLSATLRAKLEKCCMSTAAPVQGAEMKPARAAGRSKVEDVVKRVDEEEECIEEMIRELTHYGSVEIVLPSACSSSVV >SECCE2Rv1G0118720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785229336:785233406:-1 gene:SECCE2Rv1G0118720 transcript:SECCE2Rv1G0118720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRRRLHSLAPLPPPPPAPHAAFDLSEAESRLPLLADYGRLKPVDDLPAPAAPPSAHWSAGSAFTGSEPATTSTATAATGSSLPPASSAARDDTWVRRSREAYYLQLSLAIRITSEAFLAGVPPELLLRRPVPGDAAAEQHADVPADAAAVSYRLWVNGCLSWGDKVAHGFYNIMGIDPHMWAMCNADEGEGRRLPTLAALREVDAGDQSSLEVVLVDKCGDSVLVDLERRALDLYRALGATLDLVRRLALLVSDHMGGALRSEDGDLYMRWKAGSKRLRKQQKSVVVPIGRLSIGFCRHRAILFKALADFIGLPCRIAQGCKYCSAPHRSSCLVKIENGRRYSREYVVDLVVVPGSICNPDSSINGQLLSSVSSPFKTSCTARLQNYAAPVAAWNHAIADDRCNSMFSDSQYSAVAGNKNSVQADTKEGMVLKCGQVMQNDNCNNMSVFQVSRQLKAMEVGTENVNKENIPGLTLPKHLLAESSFAMDWLEISWDELELKERIGAGSFGTVYRADWHGSDVAVKVLTDQGVGEAQLKEFLREISIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLISKASTGEILDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKATTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELLTMQQPWGGLGPAQVVGAVAFQNRRLPIPKDTIPELAALVESCWADDPRQRPSFSSIVDTLKKLLKSMHGSSS >SECCE3Rv1G0211220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:948134324:948136003:1 gene:SECCE3Rv1G0211220 transcript:SECCE3Rv1G0211220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHQIVELERDDRLSSLGDVVLGRILSFLDADEIGRAAALSRRWRDVLAIVHTVSLDMDEQPKRPIIYDEVDDDFIYSIEYITHPPAPRSSIVTGALFGRSRRPAPAPGPVPLRALRVSLGVRLPDERTTVDLWVTYALKHAGPDLELDLRLEQDTICKHPDRAAHASPYEVKAVGWKPPETNTDDHSHLATNDDDGDDVGSSSEENFRGYGDYIVPWGVFSCPALRSLRLGGCRISPPAALSMPWLEALRLTLVPDEEEHVQRLISACPHLVDLTLEACGTVTTLSLLGNTRLRSLALRCCHKLAAIAINTSLLRSFEYRGAVPGDSFLTMLGSSPSIMSFKLDICAVCVGEATSEEELCKLGSFVQQFASTTKNLHLSCALMGSSFMRFPAFTSLRHLQLIGHVPRDGDDPAAATAATSKILCQAPNLEILSLLFEVKRRNPNKYDPDEYNYGDRSEGQLLDAHLLNYNKYDTLDVPAASMAVPACLRSQVRKINLLHYQGGRAQRMLVRFLVQNAEVLEKLYCGFAEGPFWIQAELKREIERWAVNETTSMEFR >SECCE3Rv1G0193190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:766504187:766506190:-1 gene:SECCE3Rv1G0193190 transcript:SECCE3Rv1G0193190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLCVRLRRLLYLPLSARPSSSGTHEIPTVYSFLQPNIFAPRARPQPPAPPPSPPAPGPAPKALPVADAVELEESLLAAAAEDRSDDAWLAFKSLAAASLSPSPPAAAALVSHLVADNHRLGLKRAFAAAVFLLEKSPHASPLPEAALEALFSSLAASGSAAPALALARALLGCGRRLPPFSAWGLPLIELTRADTGSFAAFLKVFEEACKLMAEEKSPSVVAVMRPDLAACNAVLDGCCRRLGSVTDAEKVLEIMPAVGVSPDVESFGHLAFLYAWRAVPSRVDELDKLLEALGFSKKGFFKNLVSGYLKSCSFESVSSIVLRAVEERRVGDGNPFDDECYTEVAQCFVDNGRIRELAQLIFQAQEIESAHQVLVVDSVGFGIVNACVGLGLLNKAHNILDEMTAQGASVGLAIYTPILKAYCKEQKTAEAAQLVAEISAAGLQLDAGSYDALIDASMTAHDFQSAFALFKDMREARLPDLRTSYLTIMTGLTENNRPELMASFLDAVVDDPRIEIATHDWNSIIHAFCKVGRLEDARRTYRRMLFLLYEPNNQTYLSLINGYLSAEKYFNVLILWTEVRRKGADFNHELIDAFLHALVKGGFFDMAMQVIEKAQELKIFVDKWRHKQAFMETHKKLKVAKLRKRNFRKMEALIAFKNWVGLNS >SECCE1Rv1G0061280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:714844040:714844369:-1 gene:SECCE1Rv1G0061280 transcript:SECCE1Rv1G0061280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTRNAIVAVLLVVVMVAAVSTPAVTAEELCNVKCSKNCKGEKEVCSNKCYEYCKYQVSAVEYVKMATKTLKEAAAASPEEAVKLKHQAETYLASAKSLSDKAGTPP >SECCE7Rv1G0520810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:871980587:871982495:-1 gene:SECCE7Rv1G0520810 transcript:SECCE7Rv1G0520810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTMIKKPHAVFVPFPAPGHITPMMKLAKIFHCKGFHITFVNSEYNHRRLVRCRGPDAVAGLPDFRFATIPDGMPESDADATQDIPSLCYSIMTTCLLPHLKNLLRDLNGVVGAPPVSCVVTDGVMSFSMDAAVELGVPCVLFWTASVCGFMGYRNFQFLLDEGLIPLKDEEQVKNGYLDTPVTHAREMSKRMRLQDFSSFIRTTDPGDVMFNFLKHEAEQSDRAAAVILNTFDELEQTALDAMCSILPLPVYTIGPLNFLTEQLVSEGGVGDRLAGIRPSLWREDRSCLEWLQGREPRSVVYVNYGSITTMSGKELVEFAWGLANCGYDFLWIVRNDLVKGETAVLPSEFLEATKGRCLLASWCEQEAVLRHEAVGAFLTHCGWNSMMEGLSAGVPMLCWPFFAEQQTNSRYACVEWGVGMEVGDDVRREVVEAMIREVMGGEGVGREMGRKAAELSEIAVRATTIPGGRSLANLESLFKDVLLTATKNAG >SECCE4Rv1G0245650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:534620050:534621433:1 gene:SECCE4Rv1G0245650 transcript:SECCE4Rv1G0245650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSTCAASDHYCLQLRSGSAHHELIRPNKYRRTMIRCCSTAKGKTRRDYYQVLGVATHSTPQEIKEAYRKLQKQHHPDIAGDKGHDHALLLNEAYEVLMRSSSRKADGCGKSRGGSGSGYTGDGYSSWNGPIRSQALFVDENKCIGCRECVHHAGETFAMDDVLGSAHVEVQFGDAEQQIQVAVESCPVNCIHWVGSKELPVLEFMVRPQPKEGHGVFGGGWERPKDVFAAARNFARKLEKQEEQEHSRGTNGGEDMESETAAQAEARRHAGQELRWKRLFDVWNGLGDWRKTGTDR >SECCE6Rv1G0432060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749442683:749446199:-1 gene:SECCE6Rv1G0432060 transcript:SECCE6Rv1G0432060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQKHNRRKQGGTSAKMGAGGDQACPLGAKAGAGGDQVCQLGGTKAGAGGDFPNLPCPPKAGTSGDQPSPPGAKLRAGDQASPPSSKMRAGDQASPPGAKMRAGDQASPPSSKLRAGDQASPPSAKMRAGDQASPPSAKMRAGDQASPPSTKMRAGDQAHQPGQLATTDRLDRLLSQPGNKCCADCGAPDPKWVSLTFGAFICIKCSGAHRSLGVHISKIVSVKLDEWTDEQVDFLTDSGGNAAVNSTYEAFLGNYTKPRPDCSADDRNDFIRRKYEFQQFLSDQQLSCSSQNNGKNYYQQQHGSSNRYGIGHAFRNSWRRKDDKAVKKPATVEVGMVEFVGLIKVNIIRGTNLAIRDVMSSDPYVILNLGHQSMKTKVIKSSLNPVWNERLLLSIPDPVPLLKVQVYDKDTFTTDDRMGEAEINIQPLVAAARAYETKSITDTVELNKWLAKDGIWIPRDSAISIIDNKVKQEVTVRLQNVERGHLEMELECVPLTQ >SECCEUnv1G0542350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98717819:98719348:-1 gene:SECCEUnv1G0542350 transcript:SECCEUnv1G0542350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPRAAELTTLLESRMTNFYMNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGKGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDAILNQKQMNSRGTNESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPSPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSSTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSSKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVPKQPQYEPLPIEKQIVVIYAAVNGFCDRMPLERISQYEKAILSTINPELQKSFLEKGGLTNERKMEPDASLQESTLPYL >SECCE7Rv1G0466550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:79533316:79533744:1 gene:SECCE7Rv1G0466550 transcript:SECCE7Rv1G0466550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHLLLIIFMASILQAATSETAYDILAQNNFPRALLPLGVKSYVNHGGALQVSLPNSCDFNVTVAGGQHKIRFDSLVSGVIQPGSITQLGGVRIQLEWDFPAFHTVERIGDKLRFTGGDHAALFDQSFPVSNFVQSPTCN >SECCE7Rv1G0492260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:547783927:547786516:-1 gene:SECCE7Rv1G0492260 transcript:SECCE7Rv1G0492260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLMDGLDLPTTSGPSATIAGGDDRPPEITGDGGLGGANTGPHPDRCEALAAAIAGVLGGALEEHEARAAATAQSQAELATAIDRLNGELDKLLENAPSPVIAQHAARISSIRKRVLALNMLLRSIQRRIDNIDRMISTGVTSDHSSRVQLQNHN >SECCE3Rv1G0203490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883835678:883836754:1 gene:SECCE3Rv1G0203490 transcript:SECCE3Rv1G0203490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVEQTRLVLVVEIGGLEHQYHFVEVDVKALFYSDSGSVPNKSSARARATAAARATARACGCESLCSLPAPVARYRTNVDMPERIAFALVGTAIAGASSNGNTFMMSRSGELSAGPELQSLRGRTHLIPLGHRLYALNKFMHDLAEPACLETLPLPGPGKRRQEPWRALPDPPPGYRCLDSYWPLVMAYLAAGTRLWVSAEGMGTCTFDTVRRAWRKEGDWELPFEGRGLVVPELGGLCFGMCPKKNCLCACDMQQSPPAVRYVWEETRPRCPPSGGTRFYLYPQEQTLIYLGNGKFCISWIMRFGHQAPLRPAILLTALQVLASAAGKLRVVNHKVRFYQMPSQGKFAHVLQPDF >SECCE7Rv1G0513980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:828437812:828441084:-1 gene:SECCE7Rv1G0513980 transcript:SECCE7Rv1G0513980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHKKRYNCKGLVDNKVKVKEEKDDNIIMKEKMKQNEAEGDKKAEENQNSSKRKMAKNKEEKEKKMRVVDQNDDEEEREDRRKRKENGKKENLHKEKKKESEKEEQGQREIEKEKEEEQKEINEQKGRTANGKEEEYSKDQNDKKNCPHFFRALIPNSFMEHATIPVGFHKYLEDCKGMVSLRGPSGNKWPVELANISGELCFARGWKEFLSDHHVGYGYLLVFRYDGKSQFSVKVFLPSSCESPYASLAQPQHKDIDVAREEDKGLTSTNADGTAPQEEDTHTGTSADGATQNESSEEEDSLEDEGAEEVEDTFSETPGNEEDCERRMCSNNALELAQQQQDDRCKIDDGFLVGKRARFRKVDDIMAEVDRSKKFRAAKGKYAEAPFSDPASGGAASSDSLAESKHRPPMMSKAKEIQHASDSTLKSATSSENSTEVASHPSKDSKVEGKISTAPLVVYTMGAASASKRVPLKTASEKTTSSDNLAVHTGVFALESVCTDLTTWHKSFGKRLSKQNQFPMFNKSNGENQPGRVLIKVMRRPGLKSQRRPVTERDKECTLERAERFKSHRPFTVKAMKHNDVYASYFMIIPDMFVKTFLPKESRNMTLWDLQVKPWKVWYEYTGGESPRAAFSSGWGALAMHNNLEKWDVCIFELLDQEYNIKMHVYKVVLEITPCVIAPKRGTWE >SECCE3Rv1G0191510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:748868781:748869440:-1 gene:SECCE3Rv1G0191510 transcript:SECCE3Rv1G0191510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGEEYCDLKERLLTVRKTSHFSPGDGFAAYDNRTGGLAFRADTYGRGHGGGAASPGELALLGPAGEPLLTVRRRRPSLHQRWEGFLGARADGQKSLFSARRSSILGGAGRGAVVELLPSPASAAAAELRVEGSFARRCCRVVAKGEDGEETVVAEIRRKVDEAARVVMGRDVFVLRVGAGFDAAFAMGIVLVLDQIAGDEADGGDAGQDAVHAKIW >SECCE4Rv1G0227130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:117498353:117500811:-1 gene:SECCE4Rv1G0227130 transcript:SECCE4Rv1G0227130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVPSHRRTMRDAMLGGGRQLHKPLRCAFYDGILGEGLAAALAEATGSVSLSRTPATDGSSGGKAARNVLILMSDTGGGHRASAEALRDAFRLDFGDAYQVFVRDLGKEYGRWPLNDMERSYKFMIRHVRLWKVAFHGTSPRWVHGMYLTALAYLYANEVVAGMMKYKPDVIISVHPLMQHIPLWVLKWQSLQPKVPFFTVITDLNTCHPTWFHHGVTRCYCPSAEVAKRALARGLDPSQIRVYGLPIRPSFCRAVLDKDELRKELGLHPELPAVLLMGGGEGMGPVEETAKALGQELYDHRRRRPVGQVVVVCGRNQALRSTLQSLTWKVPVKVMGFEKQMEKWMGSCDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFCKDPREAARQVARWFTTETDELRRCSRNALKLAQPEAVFDIVRDIHKLQPQPAALTRIPYSLTSSFSYHI >SECCEUnv1G0558430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:332860559:332860936:-1 gene:SECCEUnv1G0558430 transcript:SECCEUnv1G0558430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGRADDGHRGHLGPPGFGRRRGRQQSTPRAERLTSKSRSAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTR >SECCE6Rv1G0420710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:679345008:679348066:1 gene:SECCE6Rv1G0420710 transcript:SECCE6Rv1G0420710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSRCALLLAAALLLSAPAATEAYDSLDPNGNITIKWDILSWTADGYVAMVTMYNFQQFWHISAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKTNPPHCCKRDPTVIDLLPGTPYKDQVANCCKGGVISTFNQDPANAASSFQISVGLSGTTNKTVKLPRNFTLKTPGPGYTCSRATVVRPTKFLIGDGRRTTQALMTWNVTCTYSQFLAQKTPTCCVALSSFYNNTIVGCPTCSCGCQNNNTHPGSCVNPNSPYLQSAIDGPGKYTGQPLVQCTSHMCPVKVHWHVKLNYKDYWRVKVTITNFNYRMNYTDWNLVVQHPNFNNITKLFSFNYKPLSPYGGRINDSAMFWGMKFYNDLLNQAGPFGNAQTEILLQKDPETFTFEKGWAFPRRVYFNGDNCVMPPPDAYPWLPNSSPPTKQPWTLELLVFWTALAILLAYYV >SECCE1Rv1G0030760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:432005148:432006566:-1 gene:SECCE1Rv1G0030760 transcript:SECCE1Rv1G0030760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGMLVAVVAGLLLHAATVAEAQTLRAGYYDQTCNRAETIVFEEVQKAWNADSSMPAALLRLHFHDCFVNGCDASVLLESGDNQAEKNAPPNQSLRGFEVIDRAKARIEAVCKETVSCADILAYAARDSVRVATGGYEYKVNGGRPDGTLSRASMANSDLPPHKQRNVDLLAQYFYRKGLSMDDVVVLSGAHTLGVTRCGTFDYRLMSDQDKGMNATFRNDLRRQCSYDANKVVSLDAGSPYRFDTSYYANVLANRTVLESDAALNSPQTVAKVRELRAATPSAFFGSFAAAMGRMGAIRGGNPGKVRVNCRRVKT >SECCE2Rv1G0112940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:717376032:717379156:1 gene:SECCE2Rv1G0112940 transcript:SECCE2Rv1G0112940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGLQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTYCTLHVAQRLHFFEPKAIDGHTVILFGFLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLNKRFSETIKLSLMVLLLGVGIASVTDLELNLLGSVLSGLAIATTCVGQILTNTIQKKLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYTTPVVGFIILSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMKNILGILVAIFGMALYSFFSVRESKKKSTNDALPVSQMPDKETEPLLATKDGSDIKKANGVSHGC >SECCE1Rv1G0030340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:427191346:427196444:-1 gene:SECCE1Rv1G0030340 transcript:SECCE1Rv1G0030340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDLGVSAFINILGAFVFLLLFAVLRIQPINDRVYFPKLYIAGKRAADHRGLRRAINLNLCTYFKFLSWVPGALRMTQTELIHHAGLDSAVYLRIYTLGLKIFLPVMVVALLVLIPVNVSGGTLLNLRKDVMFSDIDKLSISNVSPGSNRFFIHLLMAYVFTFWTCFMLYKEYSNVAFMRLHFLASQKRCADQFTVIVRNIPRVSSHSTSETVDEFFRRNHPDHYLGQQAVYNANRYAKLVKQKERLQNWLDYYQLKFERHPEKRPTGRTGCFGFCGRQVDQIDYYRARISELDKRIASERQRVLNDPKAVMPVSFVTFDSRWGAAVCAQTQQSKNPTQWLTNWAPEPRDVYWQNLAIPFFSLSIRKFLISIAVFALVFFYMIPIAFVQSLANLEGIERVAPFLRPVIEVKVVKSFLQGFLPGLALKLFLYILPTVLMIMSKVEGYVSLSSLERRTASKYYYFMLVNVFLGSIIAGTAFEQLDSFFHDPPSQIPRTIGVAVPMKATFFMTYIMVDGWAGIANEILRVKPLVIYHLKNMFIVKTERDRERAMDPRSIALGENLPSLQLYFLLGLVYAVVTPILLPFIIVFFAFAYLVYRHQIINVYNQEYESAAAFWPQVHSRIIASLLISHVTLFGLMSTMKAAYSTPLLIFLPLLTLWFHKYCKSRFEPAFRKYPLEEAMEKDVMEHASEPSLNLKTYLANAYLHPIFHMFEQEDQKEEATIEVRIDKSEQQQHVRSSHSQYEEEMSAQSHYHHEERSSSQYQYQHQYQYQHEETHMRSEQSPPHFVYHPGVEH >SECCE3Rv1G0209610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939597186:939600382:-1 gene:SECCE3Rv1G0209610 transcript:SECCE3Rv1G0209610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYLTALTTLFSYGLLFAFGHFRDFFRRILDAGKSSNLKGYAPICLGYEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERHSNDGNKTLQRTTKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSARVDGGNTKLHTELEELVARFVGKPAAILFGMGYVTNSAIIPILIGKGGLIVSDSLNHISIVNGARGSGATVRVFQHNNPAHLEDVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCNLPEIMAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIHHLKHTCPAHIYATSMSPPAVQQVISAIKVVLGEDGSNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASQSREDLIKGLEVISKVGDLIGIKYFPVEQEKVASVDKLKKLQ >SECCE2Rv1G0103800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:595261034:595265291:-1 gene:SECCE2Rv1G0103800 transcript:SECCE2Rv1G0103800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAISAADEAPPAVVLVSAGASHSVALLAGNVLCTWGRGEDGQLGHGDAEDRLVPTVISGFDAPGITSVICGADHTTAYSEDEHQVYSWGWGDFGRLGHGNSTDVFTPQPVKALQGIKIKQLACGDSHCLAVTMAGEVLSWGRNQNGQLGLGTTEDSLLPQTIQAFEGISVKMIAAGAEHTAAVTEDGEIYGWGWGRYGNLGLGDRNDRFVPEKVSSVEGEKMVLVACGWRHTITVSSSGSLYTYGWSKYGQLGHGDFEDHLVPHKVEALKDSSTSQISGGWRHTMALASDGKLYGWGWNKFGQVGAGDNADHCSPAQVNFPEEQKVAQVACGWRHTLAFTEKKNVFAWGRGTSGQLGHGEIVDRNTPVIIDALSPDGPGCKKLESSAAIPFAAKIWVSPSERYALVPDEKVAKTGDVSARGNGADASVPENDVKRMRV >SECCE2Rv1G0098570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:486839924:486840699:1 gene:SECCE2Rv1G0098570 transcript:SECCE2Rv1G0098570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSCREHKRGVPRPPPLSLFIGREQEPAVSRTHPAAADNSNKKRMLSKQLSMKETTREVKWEKRRRQIQRQRSSMGLYDADRVGCANTHATANGVTDEDLDELKGSMELGFGFNEENGGQNLCDTLPALDLYFAVNRQLSEPKMRVCSRSLPSLSVATSSSSMHSGTPSPAGSPTAQPSLLDSLKICSPAGENPQLIKTRLRQWAQVVACSVKHSS >SECCE5Rv1G0364280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:800372376:800374592:1 gene:SECCE5Rv1G0364280 transcript:SECCE5Rv1G0364280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVGEARGETVLVTGASGFIGSWTVRLLLARGYDVHAAVLNPDDKAETEHLLALAGGDEARVRFFPCDLLDGAAMLAAARGCSGVFHLASPCTVDRVLDPQKELVVPAVEGTLNVLRAAKEAGGVRRVVVTSSVSAVVPNPGWPAGEVLDERCWTDIDYCDKNGVWYPASKTLAEKAAWKFAEENGLDVVVVNPGTVLGPMIPPRINASMAMFLRLLEGCTEEYKDFFIGPVHVEDVALAHITLFENPSASGRHLCVEPICHWSDFASKVAELYPDYKVPKFPEDTQPGLVRAEAVPKKLMALGLQFTPLEKIIRDAVESLRSRGCIA >SECCE6Rv1G0390610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:188642762:188644612:1 gene:SECCE6Rv1G0390610 transcript:SECCE6Rv1G0390610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARSFMLALLALLAWLSAVSVADDRLALMSFMSGMTANSSVALARSSWGNRSVPTCEWRGVTCGRRGRVVALDLPGIGLGGTVPPELGNLTYLRRLHLPANHLHGVLPLELGSLPDLKHLNLSCNSFQGRIPASLSNCSRLENLLLYTNRFHGEIPQELCLLSGLKVLSLGQNTLTGNIPPGIGSLANLRTLNLQFNNLSGGIPQEISGLVNLVGLGLDYNLLGGSIPASLGNLSALQYMSIPSAKLTGSLPPLQNLPSLLVLELGVSSIIGSIPAWLGNLSSLVFLSLQQNGLTGLIPKSLGNLQVLTNLDLSQNNLSGHIPHSLANLSALMTLHLDYNILEGSFPPSLLNLSALEDIGLQSNRLSGSFPLDIGNKLPNMQSFVVDINQFHGKIPPSMCNFSRLQILQAVYNSLSGRIPSCLGARQNRLSVVALSKNKLEATNDADWGFLTSLTNCSNLKSLDLGYNSLQGELPNAVENLSSSLSFLIIANNNIVGKIPEGIGNLVNLKLLYMDYNHLEGTIPASLGKLKMLNRLSLPYNNLSGSIPLTLGNLTALSVLLLQGNALDGSIPSSLSSCPIELLDLSYNSLAGPISRQLFLISTLSSFLVLCHLK >SECCE3Rv1G0169010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:230048453:230055304:1 gene:SECCE3Rv1G0169010 transcript:SECCE3Rv1G0169010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein [Source:Projected from Arabidopsis thaliana (AT3G16270) UniProtKB/TrEMBL;Acc:A0A178VIA7] MDQSRRAVESYWRSRMVDGVTAEDDKVAPVYKLEEICELLRASDAGIVKEVADFVLKRLDNKSPLVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGQPDPLKGDALNKAVRETANEAIAAIFSTEDPKAVVVTEGLGKRIQGFGNTNYEPSRDDKKSFLSELSEVVGIGGASIKQGLSNFAATHSMMPNDNGSMYKNPIRRSLTTETDRYGRYDPSEIQGESRATSGASKNVSSGSWGPSPSSSASAGDTDSSQPGIKTREERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSRALESKLNSPLWQVRMKAICVLEAVVRKQDTDPYSIVASYFSENSASVVRCCELPQVSLREKASKVLNLLVGEQPTGSKHLSESRTTPTPVQMPDLIDTGDQDDLVTQSSAQESSEQIMANSNYVSSVDDLLGGETIGNTSVTSNGNGSDLFADVSFHEEEIKETNDLFSGMTVEEKSSASMHDNSLIEQDIFGSSPEPLFQERVDDKGSVDDLMAGLNLNGTTQAQPGVKAETNSNLNGSQFFDMNSQTSHVASGAALNGILGQSSFYQQVPLQYNLSPQMFGQSFPGQQLNYGSMEALLAQQQQLLQNLGNFSAGLGHPAFNSMSGGNASGMPDIFNSSNQPPHQVAVMSNSKKDDTKAFDFVSDHLAAARGSKK >SECCEUnv1G0540000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78095394:78096212:1 gene:SECCEUnv1G0540000 transcript:SECCEUnv1G0540000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSILFLAALLALVPWQGAMASDPGPLQDFCVADMHSPVRVNGFVCKNPMEVNADDFFKAANLDKPRVPNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNQPAPNRNKFLSKVLNKGDVFVFPVGLIHFQFNPNPHKPAVAIAALSSQNPGAITIANAVFGSNPPISDDVLAKAFQVEKNTIDYLQAQFWENNHY >SECCE6Rv1G0438140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:788129446:788130729:1 gene:SECCE6Rv1G0438140 transcript:SECCE6Rv1G0438140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAAAAAAMAVPLPDDLLLEILVRMKDDAALFRCATTCKHWRRLVADPGFLRRCWPQDHWSSSFVGFFTRIRGRRRRDGDADPTPVMAPCFIPGPESGPDGRYLISFLSAAPAGLFDCAVPLVSRRGLVLVRLIKAHDTPSYADPTILHLAVCNLLAGTWDMLPPLKFRSAFNDYMWNGYAILTSTDCPSQEEPLLPVPPINPSFFKVVIIGSGRDDLMYTLHVFSSDKASWSARSNCFNTNAQSHRYGSFSDAVVCRGMAHWVFYYYECFHIINMNGRTGHISSMKLPFSTNFHYMSQPCLSVAINGMLSLLWMRKSDSQVEIWEQHEDHENMGSTSKWLHSRTIWLKQPRKNIQGRELCVLREKCGTLLISDSNGCVYTADLETGMMVQLVDWPHKRSILPWDAMPLDMDWPTIFISRLIRYS >SECCE1Rv1G0003290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13428415:13431390:1 gene:SECCE1Rv1G0003290 transcript:SECCE1Rv1G0003290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVMGSFLPPREVLFAAAVAAMAWCAVRALEWAWWRPRRLGRALRSQGLRGTAYRPVAGDAPLMQRLNREARSRAMPLGAGCHDVVPRAMPLFHRTMQEHGKMSITWFGPIPRVTITKPELVREVLSNKFGHFEKLKFGRLQRMLHNGVGSHEGEKWARHRRIINPAFHLEKLKRMLPAFAACCTELVERWEGLALAAGDASCEVDVWPDMQNLTGDVISRAAFGSSYLEGRRIFQLQGEQLELVLFAMSKTHIPGYLLLPTKANRRMKQIAAEIERVLKGIVAKRENAMRAGEATSDDLLGLLLESNMAHCRDSKGARIGITTDDVIGECKLFYFAGAETTSVLLTWTMILLCMHPEWQDRAREEVLHVFGAAGTPDYDGLSRLRVVTMVLYEVLRLYTPVTAIHRETYKPMELGGIRYPAGVVLMLPLLCIHHDKEVWGADADEFRPERFSEGISKASVDAPAFFPFGWGPRVCIGQNFALLEAKMGLAIILRRFSFQLSPSYTHAPFPVGLLQPQHGAQLMLKTLIQ >SECCE7Rv1G0512970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:817705740:817708290:1 gene:SECCE7Rv1G0512970 transcript:SECCE7Rv1G0512970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTATFIALVLCFALAWSAAATLSAERPLRGNDTLVSAQGKFELGLFSPAGSSGDRFYLGIWYKNIPGQTIIWVGNRVSPLSSLAFAKLRVSAEDGNLELIGPTGASALPVVVWSSNLSSTLSPGSNNTAEIHDNGNLVLVDGGNSSHVLWQSFDHPTDTQVPEAWVGENKLTGEYQVLTSWRNAQDPAPGMFSDTVDPNGTSEIFYMWNKSRVYWRTGVWTGRVFARMPEATKNTIYNTTYVETPAYRRVINELYDNATITRIVHDLTGQSKMYIWMPTSQSWQLLWTGPMLQCAVYALCGAFGVCDQGGKVGVFAPVSEGDWMLSDWSSGCRRRSPLTCAHNGSTTDGFLTLPDVKLPDDSFAVGAAQSKVECESACLKSCSCQAYAFSAGECIVWHGELRNLQQLYMDSDNPGSDLHLRLSERGLQDLRSVDRKKMGRKLWLVIGIILAGVAAMGASVILAWRIVFARRRRLVSMANENVFTLAVYSYGDLRAATKNFSERLGRGSFGSVYRGVLKRHKGDNSTRVQVAVKKLESLGRQGDKQFRTEVSTLGCIQHVNLVRLLGFCSSGDEKMLVYEYMPGGSLDGLLFRDGACLSWRDRYCIMLGVARGLAYLHHGCRECIIHCDVKPENILLDEDMSPRIADFGMAKLVGRDFSRALTTMRGTIGYLAPEWISGQPISAKTDVYSFGMVLFELISGRRNSMGYSELEAAGTGGSESLIFFPVWAAEKVLEGEVGVVADPRLRGEVMPEELERACRVACWCIQDEEAQRPTMAQVVQALKGAVHVQAPPVPRTLQHLVTLT >SECCE2Rv1G0088120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:238937247:238943421:-1 gene:SECCE2Rv1G0088120 transcript:SECCE2Rv1G0088120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGDDRYAPAADSGGGKLWNLCRMPFRQASGAPAPASSSSSSGIHHSAGRYGHEAPVAGDGGAPAAPAGISTVAKSLLPARRRLRLDPSNKLYFPYEPGKQVKSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVKGPMEYAPELFDEQKDLAVVEKILRVVFLDVQNPGPQLEKLNTQLAEAEAALEARKKPPEENGPKIVGEGLVIDEWKERRERYLAQQQVEAVDSV >SECCE3Rv1G0191100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:745950175:745952971:1 gene:SECCE3Rv1G0191100 transcript:SECCE3Rv1G0191100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSITSSSYLSNGSSPCSAALAPLPAADGWGGGGGGGGGSGSSVEAVSLNRLSNNLERLLLDSELDCSDADVDVADGGPPIPVHRCILAARSSFFHDLFRARGNRTDGAINASASATGGGAGGDVTGRPQYKMEDLVPGGRVGREAFQAFMGYLYTGRLRPAPLDVVSCADLVCPHDSCPPAIRFAVELMYAAWTFRIPELMSLFQRRLMNFVDKTLAEDVLPILQVAFHSELTQVREKCVQRIARSDLEIMSLDKELPPEIADEIKKIRQKSPPIDGDTIISDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAAAYCDSKVLRELLCLELANLNLKNSRGYTALHLAAMRREPAIIMCLLSKGAVASQLTDDGRLASNICRRLTRLKDYNAKMEQGQESNKDRMCIDMLEREMMRNPMTAEDSVTSPLLADDLHMKLSYLENRVAFARLFFPAEAKVAMQIAQADITPEVGGFSAASTSGKLREVDLNETPLTKNKRLRSRVDALAKTVELGRRYFPNCSQVLDKFLEDGLPDGLDAFQQQSGTPDEQQVKKMRFCEVKEDVRKAYSKDTADSSVFSALSSNSSSSAMK >SECCE2Rv1G0087560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:227718617:227719567:1 gene:SECCE2Rv1G0087560 transcript:SECCE2Rv1G0087560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLPLTTRPPAPRSHCSGSRTACRCNLKQAPASLAVAARRCAAAPLLAASLLLAAAASPGIPAGTPQAFAQSQGAALFRKACIGCHDTGGNILQPGATLSMKDLERNGVATEEEIYNITYYGKGRMPGYGEKCTPRGQCTFGPRLPEEDIKMLAAFVKSQAENGWPKIDGDVE >SECCE7Rv1G0513930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:827652008:827653171:-1 gene:SECCE7Rv1G0513930 transcript:SECCE7Rv1G0513930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLACALINCLTDRAGQVKSSRPASFSTSTRASGGKAMAGDTAAPHVVEDCRGVLQVLSDGTTVRSAAAPYTVEDRDDGRVEWTDAVYHPAHGLGVRMYRPARREGNGKGRRRLPVLAYFHGGGFCIGSRAWPSVHACCLRFAHELPAVVLSFDYRLAPEHRLPAAHEDAAEALAWLRDRLAGAAAPGPADGSGSDEDVQAWLADSGADPGRLFVSGDSAGANIAHHMAARFGAAGLDPVKTAGYVLVMPAFTSEAPTQSELGSRGTAFLSRDVAERYNRLALPAGANKDYPLMNPLRPDSPGLGPVGGRVLVVVGGDDMLKDNQVRYVERMKAVGNDVELAVFAGKEHGFFSRDPWSETSGEVVRVVGRFMGRDAADSTGADGQD >SECCE3Rv1G0168650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:224884100:224891536:1 gene:SECCE3Rv1G0168650 transcript:SECCE3Rv1G0168650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGRKFKLGRKIGSGSFGVIYLATDMDTYEIVAVKIESTNSKHPQLFYEAKIYNTLQGGSGIANVKWCGVDGEENVLIIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMLTRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYVIDFGLAKRYRDSTTNRHIPYREHKNLTGTARYASSNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYEKICEKKISTPIEVLCKSCPVEFASYFHYCKSLTFDQRPDYGFVKRLFRDLFDRQGYDFDFVFDWTVLKYKQGQKTQHVPGATITRASPTHLDKRAGVNGAVHPNEGHEQMESSHMTGSAAQLQGKNMAASARNENPTSCVALPGVLGKNDGNSRHIVRIDAFHQNQGFDSNTGSPSACFPPFSHNAPAR >SECCE2Rv1G0082070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:147717728:147722340:1 gene:SECCE2Rv1G0082070 transcript:SECCE2Rv1G0082070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCHAKPLTHDADGASPPPAAPATPPPASSTVPATPASKKHWTSSPFFPFSTPSPSPAHHLFSSSAASPRASSKSPAPAPTTPARRLLRLPFPPPSPAKHIRQALARRHGAPRPAIPEEGGDSDGGRGLDKGFGFNKGFAAKYDLGDEVGRGHFGYTCAARIRKGARKGDAVAVKVIPKAKMTTSIAIEDVRREVKILKALAGHKNLVQFYDAYEDNENVYIVMELCEGGELLDRILSRGGKYSEDDAKSVLVQILNVVAFCHIQGVVHRDLKPENFLFTSKDENSQLKTIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALGHPWIRNYNDIKMPLDVLIFRLIKAYIRSSSLRKAALKALSKTLTVDELFYLKGQFSLLEPDRNGCITLDNIRMALTREATDAMKETRVQEILVSLSALQYRRMDFHEFCAAAVSVHQLEALDRWEQHARSAYEIFEKDGNRAIVIDELASELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >SECCE3Rv1G0159690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:98721608:98724222:1 gene:SECCE3Rv1G0159690 transcript:SECCE3Rv1G0159690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGEKFQLGTVGALSLSVVSSVSIVICNKALMSALGFIFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRYIQLSLSVLLFGVGVATVTDLQLNAMGSVLSLLAIVTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFIVGPFLDGFLTNKNVFAFEYTPQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVVGMGLYSYFCTRETQPKPTEASPQVTQVKEGESDPLIADSLNAAENGAAAATDEPLKVPMWSSKYARA >SECCE1Rv1G0036950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:514928468:514930893:-1 gene:SECCE1Rv1G0036950 transcript:SECCE1Rv1G0036950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAALLVAALLAVLAYLLLLLRSLVWVPHRLERRLRRQGIRGPPRSLITGNGTDYGALFAAHSAPLASFHHAFVGRAAPEYRYWPAQYGRPFVFWFGPRPRLVVSGPEVAKAVLTDSTGTFRKGSGTNVNPLSRQLLGDGLVGLTGEKWAHHRRVISPAFNMERIKGWIPEISAITSSMLDKWEAQGETRAEFEIDLNKEFHTLSADVISCIAFGSSYEEGKRIFQLQEQQVQLAILATRTFYFPGFRFVPTKKNQRRHSLNKEIRNSLRRLIEINRKKCEDSKNLLGLMLSASKTDNEFKMGIEEIIDECKTFYFAGKETTANLLTWAALLLALHKEWQHKARDEVLQVCGKNKHPNAETLSRLKIVNMVLKEALRLYPPALFVNRTVTRDVKLGKLDIPAGTQLNLPIIEMHHDVDIWGANAEEFDPSRFADGKSYHLGAYFPFGIGPAICVGQNLTMVEAKLALAMVLQRFAFDVSPSYVHAPIMAMTLQPQYGAQVLVHKI >SECCEUnv1G0562970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:370381148:370386855:-1 gene:SECCEUnv1G0562970 transcript:SECCEUnv1G0562970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTAAASASAVAPAAAEAPLAASDKEEEALKDDVYTAAAYGDLEKLQRLVEAEGRPVGGTDASGYYALQWAVLNNRVAAAQYILEHGGDVNAVDHTGQTALHWSSVRGHIQVAELLLKEGAKVDAADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLYLDAHRVRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKNHRQVAFFLDNARRVHDSGCNGNPTFAKLSKVGLAPLLWCIAVVLLATYIHSVIAGQYSMGMTPAFGLFAWSGVFVATAGLVMFYKCSRKDPGYISANTRDSHNQRDDEPLLKMELDNPALLTGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCVGKKNKWEFFMFITLEVIAMIITGSAAIIRTASDPASPASFGDWLGYSVVYHTGAVSFFMMDLFIFFGVACLTGVQAYQIARNITTNEMANSMRYTYLRGPAGRFRNPFDHGVRKNCSDFLLNGYNEDVERLEHASRTDEEIGMIQMTSAVSQNGEGHTHHGNCGDHACADSHANSNSHSQGGSSQCCDHSKSNERTPFGLGFGLGRNSASRQYIRNLLPL >SECCEUnv1G0567860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:418754924:418755565:-1 gene:SECCEUnv1G0567860 transcript:SECCEUnv1G0567860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDCPADCGDGDCDCLCCCLCDDRSRGSGHTPHRCLLILLLLLLLVAILVAAYAFVLPVRIAVEDASLARLALAGPNGNALAYDISLAVAVHNRNWAMHARVGAPLDAELLFAGERFASVRMRGSSRGNIRPWKTEVYNVAASGESAAPLGSAGVAEFMKESAAGGAFRLQLKLAGKVKYPPHGNAHKLETTCPLELPLSSPARFKKIKCV >SECCE4Rv1G0281330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825691752:825692360:-1 gene:SECCE4Rv1G0281330 transcript:SECCE4Rv1G0281330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAEKGEIFERNTKSRLDGHPEAMAEAGNVFIDDLILEILSRLPARSLHRFKCVSVAWRDLIVDPANRKKLPQTLAGFLYTSYSSGHRHHFASVSGGAAPFDPSLPYLQPNKYKDMAQVDACNGLLLYRGSKKNKVAPWSWAEDDCRFVVCNLATARWVELPLSLLRQKSDIALLQLWLLIRRSGGISTFFILRRTMWQII >SECCE2Rv1G0081960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:146994180:146998088:-1 gene:SECCE2Rv1G0081960 transcript:SECCE2Rv1G0081960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP4M [Source:Projected from Arabidopsis thaliana (AT4G24550) UniProtKB/TrEMBL;Acc:A0A178UX13] MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNEDEAEEAPPVFNIDGVNYVHVKVAGLFFVVTTMVNISPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEALKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVANEPGGKKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNEDLGIGKNSSSTHDYRSSSGGGSVVLDDCNFHESVQLDSFDIDRTLHLIPPDGEFPVMNYRMTQEFKPPFRVTALIEEAGPSRAEVLLKIRADFPANVTANTITVQMPVPSYTMRASFELEAGAVGQTTDFKEGTRRLEWNLKKIVGGSEHTLRAKLTFSQETHGNLTKEAGPVNMNFTIPMYNASKLQVRYLQIAKKSKTYNPYRWVRYVTQANSYVARL >SECCEUnv1G0551840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:240722347:240723281:1 gene:SECCEUnv1G0551840 transcript:SECCEUnv1G0551840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGAVRRQKFFKILLPGSFESSLSLPPKFAAGLDRPPVLAVATLRDPTGRLWHVGLVRHGAAGLRFDGRGWRSFVAGCGLSAGQLLVFDHLDGLDFAVEPFDTSGCSTSFFGSEGEGPVMNIDDDGAAADVESGSNDNHHHGSPSRSPLPLATGTKRRKKLSASPAGSCGPSSSDDGALRLGIDQPFHLQYMELTKTFCARVGWAESCTAELSVAGRRWEVSVRVGSKGGMIMAGWEGFAWDNGLRISDVCLFTPAAGTGDQVVQVQVLRDSSSS >SECCE3Rv1G0201250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:861646097:861649523:-1 gene:SECCE3Rv1G0201250 transcript:SECCE3Rv1G0201250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRILPRAASFLDAAAAPARPLLPSSRMPALRLAGPSLSTCSVPSTARLRPLPWLRCGGAVARRGLCCSAEAARRGDVAAGEGEEEEEGRGSRGGGGRNSPERRQRVRGDVATGSGELLAIPGVGPRNQRKLVEKGFDGVAPLKQLYRDKFFGKSDEKMVEFLQSSVGIIHKNHAESITLFIKESVDEEVKDTDTSKPCRRKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVAKWQDIGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQERESSSGIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSSLPGLVPDGFIYLRASPDTCHKRMMVRKRSEEGGVSLDYLQGLHEKHESWLLPSKGQGSGLLSVSQLPVHMEGSLHPEIRDRVFFLEGDHMHSSIQKVPALILDCENDIDFNKDIEAKRQYARQVAEFFEFVKKKNEALPAETSDGDRSISPQVMLPGRGDLWFPGGSPLPKSALGSLEFRRAMSSFLPK >SECCE3Rv1G0196420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:808655340:808657834:-1 gene:SECCE3Rv1G0196420 transcript:SECCE3Rv1G0196420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron(III)-deoxymugineic acid transporter, Translocation of iron in reproductive organs and phloem in joint [Source: Projected from Oryza sativa (Os01g0829900)] MEPIGDPREGPSTERAFEDQAIPPWTEQVTPRAVVASLVLGAAFSGVMMNLVFTSGIVPTLNITAGLLGFFLLKAWTRLLGKLGVSCDPFTRHENVVVQTCVVACASMTYSGGFGSYLLAMDHRTAEKVNTGQVHGRNVSEPTLPRIMAFYFLISFVGILAIVPMRKTMIIRHRLTFPSGSATAHLINSFHTPNGAMQAKKQVSLMIQSCLGSFFMSIFQWFYAGGPGCGMTAFPAFGLDAFNRGFYLSLNGTYVGVGMISPYLINVSMLVGAIVSWGFMWPYIETKKGSWYADDLPESSLRGINGYKVFGAIGMILGDGIFQLVVILVRTLQTMRHHQLEAAETLRSFSDINAVPRNVLSFDDRRRTQVFLREHIPGTLAMGGYAALALLSTIAIPHIYSQVRYHHVATAYVFAPLLAFCNAYGTGVAETNFAAQYSKLVILLFASWIGYGNGGVVGSLVICGVVSSVVSTASDFMSDFKTGYLTLTSPRALLVSQVIGTGIGCVVNPAVFTVFHHFYEEAGNKIYQVPLAKIYRAIAVVGVGHLDLPRHCLSMSVGFFVLALAVCALREAAVHCRWRSRNFIPSVTGMAMSFLLVPAVAIDMCVGSLVLYLWTRSDRDGAQVFGPVLASGLICGDGLFSIPYALLARYDITAPICIRFVPREQNEKLDAYLATALAKSR >SECCE4Rv1G0229270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:146237606:146238589:1 gene:SECCE4Rv1G0229270 transcript:SECCE4Rv1G0229270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSQTEERMMNYDDSPACGGDVAETVIEDLPADVLSLVLRRLDGASLAALGCASSSFHDLATDPDTWRGLCLALWPSVAGLLDSSCCRGTGDGFHRALFADAFPFPATTAAAAAAPALPSRLVSAVDLHHKGVCIMSRVVETDASSAWFLGSPFRVDALLQEGFSAASPIAPAELTLSWLLLDPTSGRAVNASSRRPVSVDRSWLTGETVARFTVVLGGVALDAAVTCDDRFGHVREVSLCVEDVDGGGVSGRDGLAAVATAMAAPRQGRGAEAEAQAARQYGEFVKGKSARKEWKARREGLVDLCCSGVGAAAFVGFLVMLTCR >SECCE4Rv1G0235320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:327697328:327711674:1 gene:SECCE4Rv1G0235320 transcript:SECCE4Rv1G0235320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 23 [Source:Projected from Arabidopsis thaliana (AT2G14255) UniProtKB/Swiss-Prot;Acc:Q3EC11] MLGWEQLPNTPLGFPLSSSARAMSSSSRVAEIEVVVADGGARKAAEEEKQPDPVVNVYSAAAYGDLERLRRFVELDGGGASLAAPDGNGYHALQWAALNNYPHVALYIIEHGGDVNAEDNSQQTALHWAAVRGARATADVLLENGARLEAADVNGYRAVHVAAQYGQTTFLHHIVSKYGVDFEALDNDGRSSLHWAAYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAVIRGSLEVCTLLVHAGTKQELTLRDRGGFTPLQLAADKGQRHLSNILSNATKVSFGDKYCSGRLGKVGYAPILFSYLVILMILFLKSIVFASDFSRITAAVGLWSWAAISLALASQVVFYRVSRNTPGYIKANTEGLDPKELLMGIDLSSSTFTGNWSQLCPTCKIVRPVRSKHCPICKQCVEQFDHHCPWVSNCVGKRNKWDFLVFLCMGIATTLLGAAVGFHRLWTEPIILSSSESWTHFMVTKHPGAVLFMFMDIFLLTGALILTVAQAVMIARNLTTNEAANQLRYTYLRGPDGRFRNPYNQGWQKNCAYFLLNGYNDDEAAWPTLEQTVE >SECCE2Rv1G0066450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14376202:14376741:1 gene:SECCE2Rv1G0066450 transcript:SECCE2Rv1G0066450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRAFFLGVCAVLLAIAVANAEAASVVAGLAKCADCTRKDMKAEETFKRLRVAIKCRTAHGEYESKAMGGLDGTGAFSVPLAADLHGADCVAQLHSAASGAPCPGQEPSKIVPVAEGATFGVVAGAKTTTMPSVASPECASAALCPSTPGKKPHGGGHQPKNKKKHNPHKPETKALP >SECCE6Rv1G0424220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:699821255:699824847:-1 gene:SECCE6Rv1G0424220 transcript:SECCE6Rv1G0424220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSAATGALKPVLAKLGALAGDEYKRFKGVRDDIKSLTRELTAMDAFLLKMSEEEDPDVQDKVWMNEVRELSYDMEDSIDDFMQSVNDGDTKPDGFLEKMKNSLGKLGKMKARRRIGNEIEDLKKQMIEVAERNARYKAREAFSKAKNATIDPRALAIFKHASELVGIDEPKAEVIKLLTKGESTHEQMKLVSIVGSGGMGKTTLANQVYQDLKGEFKCRAFLSVSRNPDMMNIMRTIFCEVSGKDYANTEAGSIQQLISKIADFLADKRYFVVIDDIWAVDTWHVIKLAFPVTSSGSIIITTTRINEVAESCCSTPFSGDIYCIRPLKMVHSRHLFYTRLFDAQENCPSYLKTVSEHILKKCAGLPLAIIAISGLLANIERTEGPWKQVEDSIGRALERNPSVEGMMKILSLSYFELPAHLKSCLLCLSIFPEDSVIEKKVLINRWIAERLIHREPGYSTSYEFGERCFNELINRSLIQPVKTGRYDRVKSCRLHDTILDFIISRSIEENFVTLVGVPSLSVGTHNKVRRLSMQASKQKELIVPRGLVLSHVRSLDVFGESVKIPSMDKFRHLRFLDFEGCKQLENHHLENIDKLFQLRYLSLRRVKKVSKLPEQIGRLWCLEILNLRGTSVCELPASIVNLKSLVRLLVNHNVTLPCGISKLQELEKLRPVSVYSQSFKFLQEFEQQQSLKVLALDFEDYTSADRVNAENESKKAIIIASLKNLGNLLSLTVWDGPEFVRESLCPMPLSLQKLKLLRSSIPHVPNWVGSLVNLQELRLDLVGAEQKDLYILGGLPVLRCLILRIDGRESRNTSLTEELEVTRIIVCGEVGFPCLRIFHYYSSHYVVMNLTFAAGAMPMVDDLLIEFNAAKTESLGTNGAFDLGIENLPSLLKIRCVVLGYGDDSSRVEAAKAAIREAADAHPNRPTLSFLLPPPPPPRELHFIGSLTFC >SECCE4Rv1G0249360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:582146958:582148256:-1 gene:SECCE4Rv1G0249360 transcript:SECCE4Rv1G0249360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGKAADGAMGSKAARACDGCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERVRLRPTSPLLPGAAPPERHRGDEVVPAWFKRKARTPRSQAKSIGRLLSRRLVVPEASGGNSPEEQKCDAETDEEELLYRVPIFDPALAELCSPLTLEEATTAVASCCNEDGAIVENPTKPAASPSPVPVQFFPDSLVNLGPTDAELMEFAADMEALLGRPGMDDGNEEEPFCMEALGLIEPMDVDGGRVKLEIDPMRACGLEQKPEVSGDMFDIDFDYDSPQGTLDENAASSGASADAQFPPRSLALNLNYDAIMENWGSSPWADGERPHVKLDDCWPNDYYSLQEGAWMMGGVVGHGGEGLGTPRPRMDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRANGAGGGVPVAVATACVA >SECCE6Rv1G0444370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827632689:827638396:-1 gene:SECCE6Rv1G0444370 transcript:SECCE6Rv1G0444370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIEVLEDTTAAASASAVAPAAAEAPLAASDKEEEALKDDVYTAAAYGDLEKLQRLVEAEGRPVGGTDASGYYALQWAVLNNRVAAAQYILEHGGDVNAVDHTGQTALHWSSVRGHIQVAELLLKEGAKVDAADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLYLDAHRVRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKNHRQVAFFLDNARRVHDSGCNGNPTFAKLSKVGLAPLLWCIAVVLLATYIHSVIAGQYSMGMTPAFGLFAWSGVFVATAGLVMFYKCSRKDPGYISANTRDSHNQRDDEPLLKMELDNPALLTGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCVGKKNKWEFFMFITLEVIAMIITGSAAIIRTASDPASPASFGDWLGYSVVYHTGAVSFFMMDLFIFFGVACLTGVQAYQIARNITTNEMANSMRYTYLRGPAGRFRNPFDHGVRKNCSDFLLNGYNEDVERLEHASRTDEEIGMIQMTSAVSQNGEGHTHHGNCGDHACADSHANSNSHSQGGSSQCCDHSKSNERTPFGLGFGLGRNSASRQYIRNLLPL >SECCE4Rv1G0247890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:564619347:564622382:-1 gene:SECCE4Rv1G0247890 transcript:SECCE4Rv1G0247890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPGEQNGNAHAPAAAGNTPDEPQQLQQDGADEAQPLQDGADDEVELLWKLRKYLVLMAILVAAITFQAGLAPPGGFWQHNDEHGHVASDIVMRSSYPRRYLAFFYYNTMAFGASLMVLILLLLRELTNKAAWLRALQFAMILGLLGLMGAFAAGSCREVRTSVYIWVLLLGIFAYVTLHVVFFKHLAPKCLRDMFSHIRAYWERTLGHIVTPVSGRTLEQGAPGQLEERITDGQEASNAERITDGQEASNPERITDEASKKEEKEDLERNRSSLLVLATLVATVTYVAGLTPPGGFWSDDNKNHIPGDPVLRDHYPRRFKAFFYCNATAFAGSLVIIIMVLSQTAVDHVAKSNALRLCVVVSLFGLMGAYAAGSCREVHTSIYVFALVGAVLLYLIIQCIEPALSKLACITDIITRVKNRNKIMVEKLRDFIKALFESPIPVQVQSDNSTLVTDGKNDFQKLRTYLLLLGILAATVTFQAGLNPPGGFWTDSSDGHIAGYPILEAISPKRYKAFFYCNATAFVASLAIIILLQSQLITIHAMKRYVLQTAMTLVLFGLMGAYVAGSSRKFSTSIYVFVLVLLVFAYVVLHILYERTLGNTGSTAGNNGSTAGNTESTAGSTESTAGSTESTAGNTESTAGNTEVSIPETSPNPEEKKDLQKRRKFLMLLAILAASITYQTGISPPGGFWTNGHRAGYPVFRDEFRNRYRVFFYFNATAFMASLAVILLLVNKRLCNKGLRCHALRACVLVDLISLMGAFATGSCRKVSTSGHVILVVLAVFVYVIIQVLVLTPIDKWPELLRPSKNQVPSMNHTRSIHDTDSKRTEHKWRKDLMLIATLAVTVTYQAGLLPPGGVWPDDRDGHFAGDPILHDTNLTRYKVFFYCNATAFMASMVMVILLLNNTISKHKRSLFAMKTAMVLDLLGLLGAYAAGSCRKLKTSAYIFALVIAVIIYIVIHVLLSFDEVARLAKKKGKQWVPSVPCLKTSSLVGIDSNSQPSVGGPVQGPLPV >SECCE5Rv1G0334630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566909471:566909887:1 gene:SECCE5Rv1G0334630 transcript:SECCE5Rv1G0334630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVESHRAGAEVHKGNVICKKKTVELLEELGLPKGLFPMDDIEEVGHNCESGFVWILQKRKTEHTFKKINQTVSYDTEVTAFVEKGKIKKVTGVKIEDVSLVEVHVDESSADKVTVKTDTGLSDNHDAAAFALGE >SECCE6Rv1G0389030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:145036932:145042811:-1 gene:SECCE6Rv1G0389030 transcript:SECCE6Rv1G0389030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRRAFACTLLLAAVSPLLAADASSKLYIVYMGEKKHDDPSMVTASHHDILTSVFGSKNEAMKSIVYSYRHGFSGFAAMLTESQAATLAKCSDVLSVRPNIYHEAHTTRSWDFLGLDYDQPPAEDSGLLQKGKYGEDVIIGVIDSGIWPESRSFEDSGYGPVPARWRGTCQIGQQFDATSCNRKIIGARWFSDDMSDEERKGDYMSPRDLSGHGTHVASTIAGGQVPNVSYGGLAAGVARGGAPRARLAIYKVLWGPRGSGSHAGVLAALDHAIDDGVDVLSLSLGQAGSELFETLHAVERGISVVFSAGNGGPVPQTAWNAVPWVTTVAATTIDRSFPTLISLGNKQKLMGQSLHNNASTNISDFKALVYRRSCSMQSLVSSNITGKIVLCYAPAEAAITPPRLALPIVINRTMEAGAKGLIFAQYDANILDILTMCKGNMACVVVDFEIAHTILAYLDKTKNPVVKVSPAMSVIGNQVLSPMVASFSSRGPSAAFPGILKPDIAAPGVSILAAKGNTYVFMSGTSMACPHVSAVVALLKSAHPGWSPAMIKSAIITTASVTDHFGVLIQAEGVPRKLADPFDFGGGHIDPDKAVDPGLVYDMNARDYNKFLNCTNELLDDCKSYINNLNLPSIAMPDLKDNITVRRTVMNVGPVEATYRVAVEAPAGVIVTVEPSVISFTRGGSKNMMFAVMFTARKRVQGGYTFGSLTWSDENTHSVRIPIAVRTVVQDFGRDTS >SECCE2Rv1G0088440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:245123478:245123894:1 gene:SECCE2Rv1G0088440 transcript:SECCE2Rv1G0088440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKARQQAGGAALCANGCGFFAGASTNDLCSKCYKERQLQDVMAFDGAVMSGLRSLTIALTKAGGEEETPEKTIKNRCSACQKKVGLLGFACRCGATYCGAHRHADAHTCFFDYKAAGREQIARQNPLVVAPKMARI >SECCE7Rv1G0493250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:566030583:566032264:1 gene:SECCE7Rv1G0493250 transcript:SECCE7Rv1G0493250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEHFEGVKQHWARNFAFLDYFKKVYGRDQPLPKWSDADVEEFIASDPVYGPQLKALRESRKFALGGALAGAAHLGGVAFKYSKSPHGVVLATGFGALTGAVLGSEVAEHWYELYKMDKQGANLRFIYWWEEKVSGQKN >SECCE1Rv1G0025760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:359533091:359537957:1 gene:SECCE1Rv1G0025760 transcript:SECCE1Rv1G0025760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLRSALSAAAYGRFLPHRALSTEATSRPQWGVIAGTRPVKSPALRATFHLAEPPRASRLLVPAHLLGSGPLPGALFCDVRAVSTDGFLLLNFLDVRTTAPVLESHRAHPFRDVTISALPEVTRFVCNPLSGELFRLPDMDGTKKTMCDNRLGLLTKARRGHGPPDRYAVAELLQEKEPSRCFSMRRFLSETGEWEKLTGLPSPLPLPLVRQMEVDHEAVAFGGRLWWVDVSCGAVSADPFSDRPELRFVELPEGMPAKERVVPERRTLCRYRRIGVSEGRLRYAVVSQCEPFVLRSFALNGDDDWTLEHEVALSPLWTNGGYPWLPMGEGPGETPRIAVIDPMNANSMHLTIGNYVVTVDMDMGKVVASVIVSAAHQHGLIMANGGFFRAFLLSTWLGSSRIPRAGKPGNARNKTLADVLIRSN >SECCE4Rv1G0284540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:840124906:840128288:-1 gene:SECCE4Rv1G0284540 transcript:SECCE4Rv1G0284540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRGGGPPGNGDNPGPGGGWETIQNKKKSGQTSGRGQWAPRTSSSSNAPLATARQAWNGDGSSRPSGNNSAQPSGRGPAARGNPRASSSQTRSTEPGFQAPNPASTPPLLNGWQWASRPRPSHPESSKDGPETDNPQVEDSSDDDDNDDLNDDDDDMSDDYDSDASEKTFETRKSNKWFKSFFQEIDSLSVDQIHERQSHCPACQNGPGAIAWFKGLESLVKHARTMGSRRVKLHRELAALLEEEMSRRGSSVVQPGEHFRRWNGLPETTDRQIVWPPMVIVMNTRLEKGEDDKWLGMGNQELLEYFSAYAVTKARHAYGPIGHRGMSVLIFESSAVGYIEAERLDKHFVDQRTHRDNYMQKHRTLFLPGGKRQLYGFLAIKGDMEDFNKHHQGKSRLKYEMRSHNEMVVAQLKQMSEDNQELTYVKNKGVKTEQRSKVVQETLDVVAQKLRETEEENIFVRRKANEKHSEYEEEMKSQEKFFHDQIENIHTALDDKEREFERLLQEERAKARQCDVDSGTTENRKLRKEQVQRFMDSQVKDVQEFEAEADQLIKAHEEKKVQLKKEYAAKEVELEKEFDAAFTGLMKKHRPDTFQASNTY >SECCE7Rv1G0492060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:542874216:542876291:-1 gene:SECCE7Rv1G0492060 transcript:SECCE7Rv1G0492060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RGLG4 [Source:Projected from Arabidopsis thaliana (AT1G79380) UniProtKB/Swiss-Prot;Acc:Q9SAL0] MGGVLSALFSGHRRRAADAGRTTTSRSGDGRGGGGEQRRRAMLSKKYSYIPDTYTSLDQVASALRDQGLESSNLILGVDFTKSNEWTGKRSFNGQSLHKLGDAPNPYETAISIIGKTLAPFDEDNLIPCFGFGDATTHDYNVFSFHPDNSPCHGFEEVLACYRNVVPHLRLSGPTSFAPIVEAAVDIVDRTGGQYHVLVIVADGQVTRSVDTSEGDLSPQERRTVDSIVMASSYPLSIVLVGVGDGPWEDMQKFDDKLPARAFDNFQFVNFTSIMSRNATLQQKESAFALAALMEVPIQYKATMELGILGRSTGKAKRVVPAPPPLPASAQRQTSSFRREASGANAAAAEPREDQVCPICLTNAKDLAFGCGHMCCRECGENLDRCPICRETIRSKLRLYSG >SECCE7Rv1G0463840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:57493355:57496721:1 gene:SECCE7Rv1G0463840 transcript:SECCE7Rv1G0463840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASYSIRGHLKLATGHGLVNNHLSGGWKPSVSCPVSRAWSSNISSNAVQLVARHSHLTARNSARWRSSPKASREDGTIVAEGRIIVLVIGGGGREHALCYALTRSPSCETVLCAPGNAGIAQSRDAICISDLDISSSDAVISFCRKRGVGMVVVGPEGPLVAGLVNDLVKAGIPTFGPSSEAAALEGSKDFMKRLCDKCNIPTAQYRTFTDPAEAKQYVKDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAINSMMVEESFGSAGSRVIIEEYLEGEEASFFALVDGENALPLESAQDHKRVGDGDTGPNTGGMGAYSPAPIVTEELKSTIMETIIIPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACRGELGKVSLTWSPEIAMVVVMASEGYPGSYKKGTVIKNIDKAEQVSPAVKIFHAGTALDGDGNLVAVGGRVLGVTAKGKDIEEARSRAYDAVDVVDWPEGFFRRDIGWRALKQEQTASY >SECCE6Rv1G0409510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:589818354:589820653:1 gene:SECCE6Rv1G0409510 transcript:SECCE6Rv1G0409510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGLALQSRAAGFGSGGRRSAMYGGKSGARAVSLRVSDQVGSPATVRARGAKPVVPLRAKKSSGGGHENLHNSVDDALLLKRKSEEVLFQLNGRCIYLVGMMGSGKSTVGKILAEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMRRLVVATGGGAVIRPVNWKNMKKGLSVWLDVPLEALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSTLAEQRGDAYANADVRVSLEEIASKLGHDDVSKLTPIDIALESLHKIESFVVEDTVADSQTEPQEAQRMHTL >SECCE4Rv1G0231320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:181379631:181383093:-1 gene:SECCE4Rv1G0231320 transcript:SECCE4Rv1G0231320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAARRAPEQEQSCKATEDFDAAKPPPFRIGDVRATVPAHCWRKSPLRSLSYVARDVAVVAALAVAAWWLNSWAVWPLYWAAQGTMFWALFVLGHDCGHGSFSDSGTLNSVVGHLLHTFILVPYNGWRISHRTHHQNHGHIDKDESWHPLTENVYKEMEPSTKKLRFSLPYPLLAFPVYLWYRSPGKNGSHFNPSSDLFSPKERLDVIVSTTCWFTMIALLIAMTYVFGPVPVLKLYGVPYAVFVMWLDLVTYLHHHGHQDLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFHVLLRSLRVDHFVSDVGDVVFYQTDPSLNGDNWTKNGKHK >SECCE6Rv1G0410940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:604461304:604461934:-1 gene:SECCE6Rv1G0410940 transcript:SECCE6Rv1G0410940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVNMYNPDGGAVAFGGGQPGPRISFSSDFSMEPPPPVQNRAMGLRCQEEDQNFEFSVGSHPMMAADQLFSKGRILPLKVEGGRPPSTLRDELRAGAADDDRASTPKGSSRWREMLGLRKSLCVGGGVASNAAAAKKSDKVVAVDAVATDMAAPKQEL >SECCE4Rv1G0266150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:729477464:729478630:1 gene:SECCE4Rv1G0266150 transcript:SECCE4Rv1G0266150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEATMYRHWSDLQPELLGLVLKHLPSLSDRVRLRAVCRPWCSNSMLQPLPLPFPWLTLPDGTFLSIPGSEIHRIPVPEGACCQGSIDKWLFLIHNGNVCSLMNPFSKTTLELPNLVAVWEREIRYHSDRKPIFYKLVVPSSLDSSLHSPVVALIMDDGCFHTLCISQPPIATASLRDNKDPRLFLSDVVFFSGKLYAWGRFGQLFVVDLDNDLGISSISCIIDSLGDIGGTPQYLSTAEEYMVKPYLVECGGKLLLVARWFHCTPRSTSYDVFEYKRTAAFQVFEADLHTSPGRWRKAGGLGGHALFLGQHSSKCLPAVECSGYSEDCIYFMCDYVWPTSSANPLCDSGVYSMRDGTITPLMSEAAAAPLQRVGQWRPTWFFPPEAV >SECCE2Rv1G0105250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:617255050:617259009:-1 gene:SECCE2Rv1G0105250 transcript:SECCE2Rv1G0105250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCTPFREQLLEYYMNNKNPGDAEENLLTCLADLFMQVSQSKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKVPNGPVQPLANGVKKEPPVTLVHKNFQGILTNETKCLRCETVTARDETFFDLSVDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKISSTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEESTLQTFFGSSHEYSGNTDHGYILFYEGLGGKSLG >SECCE4Rv1G0225820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:100228150:100231434:1 gene:SECCE4Rv1G0225820 transcript:SECCE4Rv1G0225820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALLGGFIKVILPRLFSLIDDKYKLHKGVKSDVKFLVKELRMIVGAIDDDELSVSATSSAARLSVQDLRELAHGIEDCVDGLMYRATWEQQASFLRRSVRLRPPKALKTGAQLAREMQRLRQMTKEAHERKQRYAADFPAGGQPSCTTQPVDESPSSPSDPRILDADLVGVDEALAELLEQLAEGQPSQLKVIAVVGFCGLGKTALAAEVYNRETRSERFEKHAWVYAALKSSPEVLAEMLRKLSSDAPSYQGKSVLETSDVGQLCAELKQQLVKKRYFIVIDDIRTEDQWKTIKSALPADKDISSRILVTTTIQSVANACSSSNGYVHKMSRLDKMCSKQLFTKKACPDKYSCYKQPDPAEVLKKCDGQPLALVTIGEFLQAKGWPTGPSCEDVCTQIGYHLENDKSFEKMRRVLIHNYTALPSHALKACLLYFGMFPSNRPIRKKSLLRRWLAEGFVEPQPSPSSPDPIAAFNALVDRNIIEPINLSNNDNVKTCQTYGMLREFILHMSISQNFVTLFCNDKIEPKYVRRLSLHESSGTDADSFSNVDLSLVRSLAIFGKASQAVLDLSKYHLLRVLDLEKCEELKDDHVKDICNLLLLKYLSLGGGVTTIPRDIVKLKHLVSLDVRRTKVQVLHVEVFHLPSLIHLFGKFKLTDIVKPKSEVHEFLSKGKSKLETLAGFVTNGSGGFLHLMGYMNKLSKVKILCESPAGCADQTDLKEAIQQFIEDEKQANVGSRSLSLHFGKCCEDLLNSLKGPCYLSSLKLHGDLAALPQFVVSLRGLRELCLSSTRLTAGVLEALSNLSYLQYLKLVAHDLDKFILRDQAFCRLLRLSLELQCPTFPTIEEGALPFLVTLQLLCKDLDGLSDLKIEYLKHLKEVTLDPRVAPTTRGTWEKAAREHPNRPKVLLLKSVDAAQSELAEYTIASEPAESAITDSYIASEGSIQETSIPALLNQGLVGSSSVMRKQNTCALNNMGISVVSSALR >SECCE2Rv1G0119440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:791152136:791157328:1 gene:SECCE2Rv1G0119440 transcript:SECCE2Rv1G0119440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKQVFCALREVFPQVDLRILKAVASQYSSDVDAAVRFVLSDVIPAVSEPAETVFALQDVDYAEHDHADSRKSNLHSGGISLVDLGANKEDASALFGTSAESSGTVGECDPFSDYDGPFQSNNKIEKCSQMEDKVVINETRIPTTVMDSLLQEHYALVGSSSTSATEPHMIEYEQSDSGGCNDHCAAEKDEVTPETEIGNHKHYNDNYELSDLLASSGNMLPLFMESPSDCAVKHGGQLPLKLSDEVSKHDFDKSEDNCYLETLLMNFHSKEDKKTSKNLPNAPTVHTLSNPKDNNDLQVLFGNKDNAGEELGALCTAENTPELYKSANDGNFYNLFGDLCTVDETTKVPLNFTEENEKSFVKLDDQHKPFDLFASSKIVDDSLQTFPEKSGSETVHNEELPSIHLNFNNHGTFTSTCNFDGDKHYSLCPMVELDADQPNEEWMMPGDKCEGFLDNSTRSFDITEINKNISDITKSKELLSCLYESTIMKMKEVELQEEKSRLAKQNADKAHQNFLAMAKYFNQLIESSKESNAKQAQVVYEENSSLVALTQDLQSKLTKLSSQRDEALAAVQEIKFQLDARLATLMEEEATTMEQIIQEEKLALLVRKEKEATMSSITEESIKLQKQAEENILLREQLLDRDHIIDIMQGEISSIHARVSKLKSALVTASSSANSSRKDDCKSASVDTDRPLGNDDSRNDLPQRSHAKDHTTSSDDDDDDEWEVLEAN >SECCE4Rv1G0245480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:530206436:530208561:1 gene:SECCE4Rv1G0245480 transcript:SECCE4Rv1G0245480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTEGNTSRNANRSSPWKSSYVAYGLLLGSLLVLLYLMVSTQFSYSQKALLDPAAIDSAGAIPAKHRRSHPGQDEASRGMEDFRMEEEEEEKAKAHTEPSTPRRQEKQDESEQQWAGRDSIEEQLGNEMKSDNWEAKSQDKNDEAAESKEFGGGTDDYNNVANAKPICDTSFGKYDICELAGDTRVQGGPGATVTLVSPRAPPKEWQIKPYSRKYLDGLKAVTVKSVPNSRDAPQCTTQLNIPAMVIELGGLTGNYWHDFTDVLVPLFIGARRFNGEVQLLVVNLLPFWVDRYKRIFGQISRHDIVDFENDDGAVRCYPHVVVGYGSRKEFTIDPSLDETGGDYTMVDFTKFLRHAYSLPRDRPIKLSDVRPPGGRRRRPRMIILERTNSRKILNLPEVIAAAEAAGFKVIVAGRPKASYDKFTREMNSFDVMVGVHGAGLTNCVYLPTGAVFLQIVPYGRLEEIARTDFGDPARDMGLRYIEYSVAAEESSLMGVFGKEHPMIKDPAAIHLSGWGNVAEWYLGKQDVRINVERFRPSLLRALEHLQLQ >SECCE4Rv1G0288890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:862162115:862165315:-1 gene:SECCE4Rv1G0288890 transcript:SECCE4Rv1G0288890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTESLAVAVESGTGREEYAQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIASNLFVYLTEKMHQGTVEASNNVTNWSGAVFITPLIGAHLADAYLGRYWTFVIGSAIYLMGMMLLTLAVTVGALKPPPCVDKLCPSASALQVGVYFGGLYIVALGNGGTKPNISTMGADQFDDFDVREKTHKLSFFNWWMFTIFTGILFSSTVLVYLQDNVSWSIGYGIPTLGLVVSIVIFLVGTPMYRHKVPQGSAITRIGKVLAAALCKQRLPLPANADELHELEHEEYSRKHKFWIESTNDMWFLNKASVKDVGGASSTSKWSLCTVTEVEETKQILKLVPFVVTMFVPCTLIAQTNTLFVKQGTTMDRHMGPHFEIPPASLGAFVTLAMLITSVVYDRLFVKAMRRYTNSPRGITLLTRMGIGQLIQVVTMATAALTENRRLSYARSHGLDVTGGELPLTIFILLPQYVLMGLADGFVLAGEIEFFYDQAPESMKSLGTALSLTAYGVGNVLSSFFLSLVARVTRERTGKAWVSNNLNASHLDYYYAFLTLLAVANCVAFAALASRYKYKVESTKTIGMDVDVQLQQVHNKPSSFRFCRETSVALR >SECCE5Rv1G0364640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802407610:802410889:-1 gene:SECCE5Rv1G0364640 transcript:SECCE5Rv1G0364640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGPSASGGGGRRRWTQRSCRAAGAGGVVTRTVAAPATEGRVASAPTRRTTAGFAHVDKQQRVYGLSSEDSRSSSRSTIIQDLLHSDLSEYKRKRSSGNATKCLPSHHSLSSMMSESRNHSAATEMPVASEKPSFSWPTEKQVPSNKNIFPTTSTVSGYGLPYRPKSRLGRGSNNAKQTQTPKESSGTCSFSYQLARGAGRSDSMKMGPTIAKCSLAETMSMLRQRRFGRSYQNQNSIGALNRRHKITQSGGAINMVKKEETSNQSDLSTGRHWQTLLDNALVRRRSQPPNEESSEQLWSCTNSESDKAICFSSGGSIDGLQVSFSSDTSDTSDNSNLSSLGTVANDHWRISFKKVHCPLAARINYIPRASCKEIEQASPVSVLEYPAGDFSDAENIKQDTTDPHAPQLRNGLPSEENIVEVEATETDETIHLVEDTVVEFEDEEEREFCYLLDILIASGIHGVEENQLYKVCQSLDCPAGYGVFDKLEKKYKNVVQWSRADRKLTFDMVNSILSELLAPCLDMHPWATTARNMAPAWGSEGLLEKLLQVLTRRREELVPRVHRNEKQVLDEKWPDLAFYIDRAGRDVERMIKDDLLEELVLELMSS >SECCE3Rv1G0172130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:284456636:284458040:-1 gene:SECCE3Rv1G0172130 transcript:SECCE3Rv1G0172130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGIQYVVVDAFTAQPFKGNPAAVCFLEDDAAAPAGDGRWMQSVAVEFNLSQTAFFSRDSSCADDAATPRFHLRWFTPVTEVALCGHATLATAHFLFTSVLAESHGVVEFATKSGVLTAKKVPAPETEEEKGKLFIELDFPVSDYVGCDPADELPSIPETLNGAPVVSVHKSVATNDFIVELSSGKEVADVLPNMEEIRKCAGRGVIVTAPAPAGSGYDFFTRFFCPKFGIDEDPVCGSAHCVLAPYWGGKLGKQKLLAFQVSPRSGTLYLELDVANRRVRIQGEAVTVMAGTLFA >SECCE5Rv1G0359430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:761856439:761862988:1 gene:SECCE5Rv1G0359430 transcript:SECCE5Rv1G0359430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALAGAGIVWQSPANPPEAQDYIFRNGRRYVRPYYFEFISHAKNRWAGKTIVDLFTDEFKGRPREYYVHAVKCGRLQVDDQMVHADYIVKSSQKISHFLHRHEPPVLGGNIVILKNEVDVVTVCKPASVPVHPCGQYRKNTVVGIMEAEHGLTPLFPVHRLDRLVSGLLIFAKSAERAECFRQQIEANLLQKEYVAKVVGVFPEGEQTVDANVNFNAREGRSTVEVSNGPGKALPSGKQACTKFQRICTDGNHSIVLCKPVTGRTHQIRVHLKHLGYPIANDELYVSGDFCPRSSKGTGIHRATSLACSLPSSDPDNAEADLEFGIDPMCTNCPNLAPVGYDGDEEGLWLHCVRYTGPDWCYECPYPDWASLDNGSGKKMKSDVPPEN >SECCE1Rv1G0038220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:536444401:536447327:1 gene:SECCE1Rv1G0038220 transcript:SECCE1Rv1G0038220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVLVYVDGEVQHGSMGVEYTIPPKLIFPASENTTFEDIKSETCRGLGHVNVNAQTNLNIQARFDIGAPGPHYFQLIPIYEERGWNMIFEKTKSRTTWPVIELYVNITPNQDMLSQVSGGSNIPIIESQSQRYGSAMHSSLHQTSISGFPNSSSRGPDVDMAHAPSSTHGTVTNDFPTSTANDPYDQEDTEPEEDSMGHDDDDEHDSDASPTQSSDEEPEPRVTHHVNAFPFMRTCGQNGVKAFGDISILTEMTADESFFGCKKHFQSPLSEQQIFDSKEHLKIAIGEFHINMNLEVKYSTSSQSKLVAECIDDSCMWRLYAIPTKIGSCWQIRRCPYSHTCRALANRTDHSQLSASIIAYVIREALKDDLELSIKNVRALVKQRYRNVRPSYNKLWCGREKAIAQLFGSWEGSYNLLIPFLEAIRVKNPGTKYVLLSKPMRLEGHREFRCVAWAFGPCIAAVPHLQHVISVDASFLSGRYKGRLIMACGYDANNRLIPLAFAIVEKEDATNWGWFMRWLRREVIGVGKFMCVISDRHKAIKWVFKQPHLGWNESAGECVHRFCSQHVAENLYKAFRDDNILKFFKWAAKKKKPRRFEEGMSSIEHTCPDAITYLRKVGKYLQEDKNEQEKPEKVFQCKDGGYRWGIMTRNGSESLNNVFRLSRRLPVAAIVEETFSKCLEWFVERRRSALSLVDGGNQWSERVDKLLVKRADKAGHMHVISYGDEVGIFEVKVDNERVALHNGDHVLFIHKDFKYKVTLQPDTAPSCDCLKPNLTGVPCAHLLAVCKYMNLNENQIVNSYYSSEVLANTWAGQFHPYGNQNEWPTYNGPIIVPDENLINRGRRQHKRIPMYMDEMQGRRMGHQARRSTVHNNQTGTSCSQGQTISSSRGQIRERPSQGKSTSSKGKRPSQGKITTSNGKRACQGTSISSREKRSSNKKSTSRIQ >SECCE2Rv1G0140100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:930213918:930215391:1 gene:SECCE2Rv1G0140100 transcript:SECCE2Rv1G0140100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVIGAMGTLLPKLADLITEEYNLQRGVRGEIMFLKAEMESMETALLKVSEAPIDQPPGIQVKLWAKAVRDLSYDLEDSIDKFMVRIETHGRPDKSHSFRNFIDKSLSLLTKGKIRHKIGIDIKDMYRKATELVGIDEKSLEVVEMLKEGDEFFQKQLKVVSIVGSGGLGKTTLANAVYEMLKVQFNIQQQKPQLDCTPFPPTKTDCMAFVSVSLNPTLEKIFKSLLHQFDKHTYQNINEASWGQAQLIAEIRTFLGDKRYLIVIDDIWDKSVWENIKCALPGNEHGSRVIVTTRNLDVAQQAGVVYRLKPLSVADSRKLFYQRIYETDKKSPPNHLVEVSEQILHRCGGVPLAIITIGSLLSSKKGRAQTHEY >SECCE2Rv1G0111320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:699306305:699306807:-1 gene:SECCE2Rv1G0111320 transcript:SECCE2Rv1G0111320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQKVVVKVSSMSDERVKQKAMETVADIYGIDSIAADHKDQKMTVIGDMDSVVIAKKLRKFGRIDILSVGPAKEEKKDEKKGEKK >SECCE4Rv1G0239090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:393401596:393412380:1 gene:SECCE4Rv1G0239090 transcript:SECCE4Rv1G0239090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDLMDGARRRAQRRYAFLARRRGDPHQSLQVSGARCRIHRDDSLYQATEDQQGLIPWNRKQDILIDRFDGRALLDFIRDSSSWSFQTQEKSEEEEELEDFVNFERYRDLIKHRRRGFSDEAGLQHVAQELEAKAILPFSFEKSQSSQAPVSKGAYSQVGYSYKGDGNEDSDDLPSDGEDEEEGEEDGKDFSSDDSNDEQMENLAKEFGIKRYNWLVYMDKKVKEEEKRQKEIIKGDPSIKKLSRRDRRKASQSEREREREAVPSVGRVSYRDPYREQRRGPSYEAYSRGRRSRSRSRSCSPSSRRPTRGTHAESNYRSKAKPPRVEYITEFGGSEDASDLKVTGISPPSSPIRADIPNRSSGVHILEALHSDPASSLSMEHEKSAKILKPPASTSSALVKLKGASGGLGKTPQAEKKETPQERLKRIMSKQLNKQIRKDTAAETAKKREQERQRQEKLAEVGRCRLRSRSKSLSRSPPRKWHYSRSRSRTRSPRRNHSRSLSRSRSPSHSPRYRSRSRH >SECCE6Rv1G0387630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:117808252:117809445:-1 gene:SECCE6Rv1G0387630 transcript:SECCE6Rv1G0387630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNDEHNVSLPQDIQHTILASLPARVVLKFRTVCRFWRDCIQEPNFVDRHLNNALRSHRSIACFTSVDEGLVCMYTFDPTTLNCKSMDLVLSSRFQMSDPCHGLVCAYDLKGAVEVLNPTTRIHLRLPVSELQSLASEYFLGPVPSTKEYKVLCIHHRVRFLTFEVCTVGTQSWRAVRESAGLLKTTKAVIVNDVMHWLLLDEISSHFTRNILSFNLTDEMFSETAVPDAVKDRELHLFEGGGKLHLLAMPGEGSAPKTSEIWVANSTCAVWDHMCSVTFLLPLGMRPLFLHNNKLFYCNQRRFYYVDLQDGSGSYLNMPFGECIISAGVFVESLALHSVTGLVDSRTMLQGPHDARSFPIGRGLSARGAGSSSEGPRRSFKKAKSNINMQWRLA >SECCEUnv1G0556180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310193900:310194511:1 gene:SECCEUnv1G0556180 transcript:SECCEUnv1G0556180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQRRHRAGAPKPSWLVTIKATPPAPARGDAAKKLAAPARSPILPSPSVWQKGMSVGDRGDTGVAPASPRIGCMGQVKGARRCSRARGPISSRGPAASGLPCGSLAGLLMGLFRRRSTGRKSRAYSKVRDVASDSSSSGSARASSSSGSAPGARAPRPLELAPPLPVPAPVVRRPAMSENAPSLWERRRGGAKVLEGLQLT >SECCE3Rv1G0159160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:94244543:94245049:1 gene:SECCE3Rv1G0159160 transcript:SECCE3Rv1G0159160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRVYDRALVDPDGKRRAVHVVDGPVVANGGFIRAPRRPTNQSKSGGLRALGKDALAAQEDEEPQDLPPKDKRFGYYYSKGWQSPFKYEATSGQMLSWKYDAYEHEEVHARQPPPAARSGGRSACKGNRKFKHDEIKTYYLDAADVVDEQLDCLCDFDS >SECCE3Rv1G0203160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:881179187:881183304:1 gene:SECCE3Rv1G0203160 transcript:SECCE3Rv1G0203160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFCSAAPTPCSPLCPVTAGARALRRVEAGALRSFAADPLVAQAVPDRPLFSDPAILSPYATAPDDIVRGFASAAELPDPLWRAGVDPPLMPVDLAADAVVGAVTDDAAQQALMDAEMPTTFPADATGVEESVARFIDKLSKQIFQAEDALTEGYDKLRLSAYDALGAYRKAIRGVAGGITSSVAATKKQAAGGVPDVSGAFQDKVAGAGAVAADVLRKAIVVAEDSLGSATTSLLYYYGSAKSSLPPNVKDLLNSSEEKANIVLRPIGGALQQVYIIIEGIEKNVGLNPSDPIVQLAVLLGGSTTIGISYWLFAYGGYSGDLSPESTLELLKSDGKAVLIDVRPEDLRGKDGIPDLRRAARSKYASVASPEIKGPTKKLLKGGSEVDDALVAVVIRNLKLVKGDSKVIIMDANGTRSKSIARLLKKLGVQQPYLVKGGFQSWAKNLRVKELKPETALTLINEDAEEILEGIKPTPTLVFGSLLGLSAATYALLEWETTLQYIGVLSLGLTIYLRFSTYEGSEDFLQDLKLLLSPVRVGAEAFSWAAKRLEPNKIGLATSPSTTAVQDRVLKAAAKHESKPSDAEESTKTDSFASEA >SECCE4Rv1G0281430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826394004:826394528:1 gene:SECCE4Rv1G0281430 transcript:SECCE4Rv1G0281430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDAGPGTPKICMQDELTGLPIKRATRFENKVGSKNVVAGESLIKKRIFERFFIDLVAGESLIKERAAARFNDFVGSLDVAAGEPLLLPQRFRQNRAWIELKKIWRTKKKVKGFIIKKIKGGYSVAIAGFITFLPFKKALIKKRIANDRFTIDSINPKRRDIVIIAADQTRT >SECCE4Rv1G0270300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:754986803:754990324:1 gene:SECCE4Rv1G0270300 transcript:SECCE4Rv1G0270300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRGNLPSAHLPPFLYKPAAFRLLQAQPEQSSVVLGTTRGLLCQHSMVAGCRPPVLPQAMQLPRHCYTAPWHGPIQGRLFHNIIKHICRPPALAQATLLSRPYHTVPRHGEILASTHSRLFSRILPHNSRSPASARGPLLPRCFYTTTRQFKDMKSIRRLLFRGITPGIFLPRWYFSAPWQQRQILGPIRGLFLSHISHAVCRPWQYCMAPSRSAIHFARSYFGCLWKVTVAAALINIMWSFVYHTYLVRVPYTSQTRFLHFETVPYTNRTHFVVRSPLDDREYGESCFSRFKKYYPSTFFSPLHPDSVRVNLIAAKLVRAVQRGLDIKGSDAALVHGSPCKDASLDGRHAVESNKQGKSCRSQPQITHLDGLDWEVFVMKNDEHKGAVSWSNGKILVFTGLLNNLETDAEIAIVLAHEIAHSVARHWPELIIYKKWFPYPLKVYFIRRIEREADRMGMLIMAAAGFDPRIAPKTVEKIAGNDIIYHPSAKKRAQLLSKANIMDEALELYREVMLAKAP >SECCEUnv1G0560140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:344951607:344954522:-1 gene:SECCEUnv1G0560140 transcript:SECCEUnv1G0560140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLAIALLLLHLINHKINMATAWDDQDFFKYCPPSHCSQHGPEIRYPFCLESNNTSSCGCSGQSISKIACSGQDTILVHPVLGPYSVSAIDYKHSSMKITPLVDPCLVLQQKLAISRSSSSPQVDVINDEKTSLYRYLSWSSTISLVCCSREITPGAADGIAGPVSCLSNTSHFFYLVAAYEDMSILPLDCKVVPLSDGVGGGLIPMYYFDDPMSDTLSLSFKECTERILGFAETTVYWYNYYCKECERSGGRCAFSSQRDRQLCMPGPHGSRIKVIAATSSVAAFVVLLVTVATVLYLSLKTRYNAEIHLKVEMFLKTYGTSKPTRYTFSEVKKMARRFKEKVGQGGFGSVYKGELPNGVPVAVKMLENSTGEGEAFINEVATIGLIHHANIVRLLGFCSEGMRRALIYEFMPNESLEKYIFSDDSNIFQNLLVPDKLLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNADPRIGSQDDVYLPEWIYEKVINGEELALTLETTEEEKDKVRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLQNLQMPPKPFVSSENELMP >SECCE2Rv1G0066190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:12922681:12932595:-1 gene:SECCE2Rv1G0066190 transcript:SECCE2Rv1G0066190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPADGNNPPPRRRGGRASNADKGKEQQEPSESSRVREAERMLGLSFDGMDDDDEGHGAFPHGLTSASSALQGLLRKLGAGLDDMLPSSALSAAAAAASSSSMSGPNGARMKSMLAGLRADGEEGRQVEALTQLCEMLSIGTEDTLAGFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCSAVVHYGAVACFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCRKLPSDASDFVMEAVPLLTNLLNYHDAKVLEHASVCLTRIAESFASSPEKLDQLCNYGLVAQAASLIAVSNSAGQASLSTLTYTGVIRVLSICASGSPLAAKTLLLHGISGTLKDILSGSGLVAGTTVSPTRPADQMYEIVNLADELLPPLPAGTISLPAHSHVFMKGSSVKKPGSSKQGESGSTDIKVSGREKLLRDQPELLQQFGMDILPTMTQVYGSSVNGPIRHKCLSVIAKLMYYSSAEMIEILHGTTNISSFLAGILAWKDSHVLVPALQIAEILMEKLPGTFSKMFVREGVVHAVESLICQEISSPMLFQVPPQDKDIDSGTCTSSRSRRSRRRSSAGNTDNNSLDEPKGHTTIANSPPSTLEGPNTSIRASVSDRAKSFKDKYFPSEPGSSDIAVTDDLLKLRALCAKLNATADTVKTKAKGKSKSLGGDDFDILYSVEEQLDDVIAKILSELSNGDGVSTFEFIGSGVISALLNYLSCGTFGKEKVSEANLPKLRHLALRRYKAFIYVALPNDAVGNQTPMAFLVQKLQSALSSLERFPVVISHSGRTSSLGGSRPSSGLSALSQPLKLRLCRAPGEKTLKDYSSNIVLIDPLASLAAVEDFLWPRIQRSESISYPAVSSGKNSESVAPSTAAPVASSTQSVRRPSTRSKSLADADSATKKDIHEGSGNTSKGKGKAVVKSTSDEPKGPHTRTAARRKVASEKDAVKPPHGHSSSEDEELGVSPFEADDALMLGDDDDDVSDDEDDDQEVLRGSLPDCVPESVHDVKLADADGSSIASIANDNQTQPSSGSSIKNTFSSRGAGSVELRHPSTLGSRGAMSFAAAAMAGLASVGSRGIRGSQDRRGLPLGTSAHEHSNKLIFTAGGKQLSKHLTVYQAMQQQVVHDEDDEERLGGSDLPNDGSRLWSDMFTITYQKADNEVDRESTRGSSLVLKSSKSDFCRATSQEQCTSLLDSILQGELPCDIEKSTQTYNILALLRVLEGLNQLSPRLRLQATCDDFIEGKVDTLDGLYGTGAKVPSEEFISSKLTPKLARQIQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQHFYSTAFGLSRALNRLQQQQGDNNNSATEREVRIGRLQRQKVRVSRNRILDSAAKVMEMFSNQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQRVGLGLWRSDSDSLEAKKLDPHSPTDSRNLIQTPLGLFPRPWPPSTASSEGSKFFKVVEYFRLVGRIMAKALQDGRLLDLPLSTAFYKLLLGQELDLYDILSFDAEFGKILQELQVLVERKRFLESCSNHSQQIEDLSFRGAPIEDLCLDFTLPGYPDFVLKEGEENAVVCIYNLEEYISLVVDATLKTGIMRQVEAFKAGFNQVFDISSLQIFSPQELDYLICGRRELWEPETLVEHIKFDHGYTSKSPAIVNLLEIMTEFTPEQQHAFCQFVTGAPRLPPGGLASLNPKLTIVRKHSSTATNASNAAGAAESADDDLPSVMTCANYLKLPPYSTKEVMHKKLLYAINEGQGSFDLS >SECCE7Rv1G0497050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:620495142:620496074:1 gene:SECCE7Rv1G0497050 transcript:SECCE7Rv1G0497050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQATRGSGDPMKAQQEQPEAIQYGHVFPVTGDLAVQAIAPRDAEAMRTAEESVQGVQVPQASGGGFSAAVAMETAAAYNQAVGAVRPGQASDAATKQGITVTQTAVPGGRIVTEFVAGQVVGQYSVADPAMMQQQQQQVEEEDTSKVTIGEAMEAAALSAGDRPLEEADAAAIRAAETQARGADTVMPGGLADQASAAASANAWTERDEDKITVSDILSDATTKLADDRPAEREDAARVVQAETYSDAGARTKAGGVGAAMTTAARLNQEDDDDA >SECCE6Rv1G0394480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:297444791:297450767:-1 gene:SECCE6Rv1G0394480 transcript:SECCE6Rv1G0394480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCSKEQVAEEEHVPVPDRMRLQKAPSQSLKQLITLTAKEDSAVVAPVVHAVIGRSESGVKPKAPPEKKAPVLVIASLNKSYNTTGLTHHRRPTVDAGDHDAAEPIPQGVPQGFSGEHVIAGWPSWLTSVAGEVVHGWLPRRADTFERLDKIGQGTYSNVYMARDLQSGKIVALKRVRFVNMDPESVRFMAREIHILRRLDHPNVIKLEGIVTSRLSHSLYLVFEYMEHDLAGLAATPGLRFTEPQVKCFMRQILAGLQHCHGRGVLHRDIKGSNLLIDDNGVLKIADFGLATFFDPAKTQHLTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPIMPGQTEIEQLHKIFKLCGSPSEEYWAKAKLPDVTLFKPQRPYRRRIAETFRDFPPTALDLLDTVLAIEPSHRGTAASALDGEFFRTKPLACDPSSLPKYPPSKEYDAKLRGKEAMRQNAAATIAGKGSLSVKLGRNEPKPVPGQDAIGADHQRRHVRNNPESSTLEDSVSGFHMEPRAAAGPPSTMQNAGQFGSTWYRKDDQRGGGVQRTTSSVRVSNHATHLTSQRSYAMSRGTDLHPSSSAARNTNSKYNRLDVAEPANALDRPVPASKDTAMRDTPSAGYGGRNRRINYSGPLVPPGGNMDDMLKEHERQIQVAVRKARVDKERTNRNHY >SECCE7Rv1G0517480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:854226772:854231419:-1 gene:SECCE7Rv1G0517480 transcript:SECCE7Rv1G0517480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLWEFFLLEPAGGGGGGAGGAERAEDPLPGSGEEVQGVAQEESRRSSGERADEDCCSSGPGADAAVPSEDSSEGNSSDSQCAGSDGGSKEVPEVYSKSGDNDEHSECTEQSSPRAVLDISVSGSVDSDESSSVEQPAVPSRSVQPLQWRNLISGLILSRKKLMARAVTFPQRSKSTGLKRYLGRMRSGKNQMDCSAIAPEIFPEIEKWRPSWRSFDYDELCAATDRFSSDNLIGKGGHAEVYKGQLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASLLHGAKGGLRWKARFNIALGIAEGLFYLHEGCHRHIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKCTHQVVFPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLVIWAKPLLELNNMKGLVDPSLDVGYDPEEMALTLAVASMCIHHSANLRPSMKSVVRFLKGDRESLELMGKPKPTKPPMFDSCDSEDYTRTSYLNDLDKHKQLALEQ >SECCE5Rv1G0353380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:716641768:716643135:1 gene:SECCE5Rv1G0353380 transcript:SECCE5Rv1G0353380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDILGLVIDRLYSSPSPVETTRFSAAWSRILLAVPIAAANRRGFEQVCRRARHSAAADRARFRAVCRSWHLAMRHHVSTPRVLPWIVMSDGSFFAPSDGGCGAPRRLPSLPKNARCIGSTDEWLALDCTDAENMHTYFLHNPFSDTTVPLPELSPIIGNASEFFQVRKVLLRSSPRDIVALMTNNSKYPIILVRPGKGAWFPEPDTTPFNLVIDIAFLEGKLYGITQAEDLASVSIDFDNYGIPTVTTVERIIRHPPLENCDFDVWSDVDENLEAHDGMGDNDEVENGGDDHDEALNEVDARARALYEFKKKTGDDMILEGTTYLDNEVPYEPKDLISVNWYLVESRGKLLMVRRQVQWPLYNISFTRKVDVFEANTSAGAWVPVSGGIDSQALFISSYFNKSICAFENVKQDTIYFIDTGEMFNTRSQSMSPQLREIDRRQSMWIFSPETVV >SECCE5Rv1G0328640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509350327:509350602:-1 gene:SECCE5Rv1G0328640 transcript:SECCE5Rv1G0328640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQRKILLPERATDARTLQTPAKLQPLKMKRPRDGEDEGASGPLTPTANGAGTAPPRCPPAPPKKPRLVLGCSLDGFKVLSVVDLRCFLR >SECCE2Rv1G0102250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:575367902:575373076:1 gene:SECCE2Rv1G0102250 transcript:SECCE2Rv1G0102250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKDRISYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYELHKKMEIYRPHKAYPTELAQFHSADYVEFLHRITPDTQHLYASELTRYNLGEDCPVFDDLFEFCQIYAGGTLDAARRLNHKTCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDIKDIGDREGKYYAINIPLKDGIDDTSFTRLFKTIIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFKIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPDNEYIKYFGPDYTLKVPNLNMDNLNSKTYLSSIKVQVMESLRAIQHAPGVQMQEVPPDFYVPDFDEDELDPDERVDQHTQDKQVHRDDEYYEGDNDNDHDDGGH >SECCE7Rv1G0458100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:20085814:20087408:-1 gene:SECCE7Rv1G0458100 transcript:SECCE7Rv1G0458100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHADLISNLPDAWLGAVVSLLPTKEGCRTQLLSRRWRHIWRSAPLNLDGIPEKTIPAVLSTHLGPVRRISVSIDPLPYRYDGRKILDDDACDARVDGWFRSRGIAYLEELRLVYKHHSSGNDVIPPSVLRHAPALHVATFGSCRLPPNLVVDFPLLQQLTLYKVVLTEEALSAVLSGCPALESLLLDSNVGSAIIRINSPALRCIGFSAPRENQIDYYSCIVRVQELVIEDTPCLERLLPLNPHYGPATIRVIRAPKLQILGILSKTISQLHLGTTFFQKMIAVSLTTTMCTVKLLALDFSGLDFHAVLDFLKCFPCLEMLYILLCKSENIKSVTKYSSLDNPIECLEHHLKKLVLKFYHGKGSEVDFARFFILNAKVLEIMEFGLVDNRNKEWKTNHCRELKVGDQASPFPRFVFKKFASSTLRYNSRIHDLSMSNPFDAYFFGGYVTL >SECCE3Rv1G0190710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:742768886:742771470:-1 gene:SECCE3Rv1G0190710 transcript:SECCE3Rv1G0190710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKLYGATLSWNVTRCVAALEEAGVEYELVPINFGTGEHKSPDHLARNPFGQVPALQDGDLYVFESRAICKYACRKNKPELLKEGDIKESAMVDVWLEVEAHQYTAALGPILFECLVHPMLGGATDQKVIDDNLVKIKNVLAVYEARLSKSKYLAGDFLSLADLNHASVTLCLAATPYASLFDAYPHVKAWWTDLLARPSVQKVAALMKP >SECCE6Rv1G0406970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:552919225:552926726:-1 gene:SECCE6Rv1G0406970 transcript:SECCE6Rv1G0406970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTNRQVTFSKRRGGLLKKAKELSILCDAEVGLIVFSSTGRLHEFCSTNMKAVIDRYTKAKEEQPGVNATSEIKLWQREAASLRQQLHDLQESHKQLMGEELSGLGVRDLQGLENRLEMSLRSIKTRKDNLLRSEIEELHRKGSLIHQENTELCHRLNIMSQQKMELCRKLQSCESRGGTDANKSSSTPYSFRIVQDANIPANLELSQAQQNEQEHCETGAPALG >SECCE6Rv1G0446200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842916974:842918323:-1 gene:SECCE6Rv1G0446200 transcript:SECCE6Rv1G0446200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPASIPVPDELLEEIFLRLPTLNALACASAACTSFRRVIKGRAFRRRFRTLHRPPLLGFMDSGGFHPAQAPHPSAPLAGALAPCAADFSFVPAVVSPSSYYVPPGVQDDGEGPRWRPCDVRDGRVLLDWRSLYPRSANIWTYREDGCEVSILMDSREIGDDELCDRLTWIKRERCNAADFHLAVCDPLSSRHVLLPTIPEDLAAQPQERLWGFEPMLAPNTGDDGEEQPFKVICVARYTTKLVLFVFPSTTMQWSMVEPPISPSLQHMCRFDCVRSCFYWTQPSGWSDHLMVLDTRTMRFSTVDLLTGCHVELSNLPDKSCGRRSLNAVVMGREGALEMFSLVSQHGSFAICHTSLKNNSQQWKLEKIIQLPGQYHFISAMRAAEGFLFFRGAPEGIHVGNVDCYSMEVETYEITKVCTKMENTFNPRRALPYFSFPPLLSEQTI >SECCE1Rv1G0058300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:698571413:698582531:1 gene:SECCE1Rv1G0058300 transcript:SECCE1Rv1G0058300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESDQVTGTSSVDEFCKALGGDSPIRSVLVANNGMAAVKFMRSIRAWALETFGSEKAILLVTMATPEDLRVNAEHIRIADQFLEVPAGTNNNNYANVQLIVEIAERTRVSAVWPGWGHASENPELPDALKEKGIIFLGPPSATMAALGDKIGSSLIAQAAGVPTLPWSGSHVKVPQETWHSIPEEVYKNACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDNEVRALFKQVQGEVPGSPIFITPSSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPPETVRELEQSARRLAKCVQYQGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIADINLPASQVAVGMGIPLYNIPEIRRFYGMEHGGGYHAWKEISAVATKFDLDKAQSVRPKSHCVAVRVTSEDPDAGFKPTSGRVGELNFKSKPNVWAYFSVKSGGAIHDFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDDTVDLLNAAEYQENKIHTGWLDSRIAMCVKAERPPWYLSVVGGALYEASSRSSSAVTDYVGYLSKGQVPPKHISLVNLTVTLNIEGIKYMIETVRGGPRSYRLRINDSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEGAGTCLLINGRTCLLQKEHDPSRLLADTPCKLLRFLVADGSRVVADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQASDLIARLDLDDPSAVRRAEPFHGTFPKLGPPTAISGKVHQKFTASVNSAHMILAGYEHNINHVAQDLLNCLDNPELPFLQWQELMSVLATRLPKDLRNELDGMYKEYELKDDFQKSKDFPAKLLRGVIEENLAYCSEKDRVTNERLVEPLMILVKSYEGGRETHARVVVKSLFEEYLSVEELFSDDIQSNVIERLRLQHAKDLEKVVYIVFSHQGVKSKNELILRLMEALVYPNPSAYRDQLIRFSSLNHTACSELALKASQLLEHTKLGELRTSIARSLSELEMFTEEGARLSTPRRKMAINERMEDLVCAPLAVEDALVSLFDHSDPTLQRRVVETYIRRLYQHYLVRGSVRMQWRMSGLIALWEFSEERIEQRNGQSATLLKQQVEDPIGRRWGVMVVIKSIQFLPTAIEAALKETSHYGAGVGSGNPINSKHSNMLHIALVGINNQMTTLQDSGDEDQAQERINKLFKILKDNTITSHLNGAGIRVVSCIIQRDEGHPPMRHSFQWSFDKLYYEEDPMLRHVEPPLSTFLELDKVNLEGYNDAKYTPSRDRQWHIYTLVKNNKDSRSNDQRMFLRTIVRQPNVMNGFLFGNIDNEVGHAQASSSFLSDSILKSLRVALEEIELHAHNEAVRSGHSHMYMCILREQRLFDLIPFSRRTDEVDQDEETACTLLKHMVMNIHEHVGVRMHRLSVCQWEVKLWLDFDGQANGAWRVVVTNVTGHTFTVDIYREVEDSNTHELFYRSATPAAGPLHGIALREPYKPLDAVDLKRYAARKNETTYCYDFPLAFEKALKKSWKSAGTSHGAEANGHHQRYAQVTELIFGDSTESFGTPLVPVERPPGMNDVGTVAWNMKLSTPEFPGGREIMVVANDVTFKAGSFGPREDAFFDAVTNLACERKIPLIYLAATSGARLGVAQEIKACFHVGWSDDESPERGFHYIYLTEQDYSRLSSSVVAHELKLENGETRWVVDTIVGKEDGIGCENLHGCVIAGAYSKAYRETFTLTFVTGRAVGIGAYLARLGLRCIQRLDQPIILTGFSPLNKLLGREVYSSQLQLGGPKIMAANGVAHLTVADDLEGVSAILKWLSYVPQYAGGPLPILKPLDPPERPVTYFPENSCDARAAICGIQEDTQGGRWLGGVFDRESFVETLGGWAKTVITGRARLGGIPVGVIAVETQTMMQVIPADPGQLDSAERFVHQAGQVWFPDSAAKTAQALLDFNREGLPLFILANWRGFSGGQRDLFAGILQAASMIVENLRTYEQPAFVYIPKAGELLGGAWVVVDSKINPEHIEMYAERSAKGNVLEEQGLIEIKFKRDEVEESMLRLDPQLSRLNARLLKEIRMCTSETEAIRIRSAMTARMKQLMPIYTQVATRFAELHDTTSRMVAKGVISKVVDWEESRAFFYRRLRRRVAEDSLAKQVREAAGEQLMPTHSHGSALECIKKWYVASQGQGDGEEWNDDEAFFAWKDDRNNYDKHLEELKAERVSRLLSHLVESSDVKAFPNGLSLLLRKMNPSKRDQVMDGLRQLLG >SECCE6Rv1G0444860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:832089162:832090188:1 gene:SECCE6Rv1G0444860 transcript:SECCE6Rv1G0444860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASNTLRKRPLTVRTKGNVAASALQGRTADDGELPGEPVSPSARLVEEYFILVIIGFAAPVNQSTGGTAIGAKIASCPRFSSIQVTDKDGTLRWVRTTVDLDYHIIYPMLDMAAVAVNPDQAVEDYVASLSTKPMDHTRPMWEFHILDFPTSEASATAVMRVHHSLSDGVSLLMLLLLCSRSAADPSRPPALPPVPARTGAMYVRPRPPLSAGALAFAIWVWSFVLLAWHTMWDAASFVSTILFRKDTRTLFTRSDHANCRRKRIVHRGLSLDDVKFVKDAMNCVRVI >SECCE1Rv1G0039600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:550777929:550780655:-1 gene:SECCE1Rv1G0039600 transcript:SECCE1Rv1G0039600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRMIAAARRFFFFDSSPSSLLLCLLLSLLSANNGVVSAAAAPLKFGINYGQIANNLPRPAQVSGLLRSLNVNRVKLYDADPAVLTAFAGTGVEFTVSNGDVLNMSDAGNARAWVAQNVQPFLPDTRVTCVILGNEVLSGTDAAAMPSLVPAMQAVHQALVDLGLDDQVNVSTSQSVNVLASSYPPSAGAFREELAQYMQPLLDFLAEVGSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGAHDPGTNLTYDNMLYAQIDAVYAAMEVMGHKDIAVRISETGWPSAGDSDEVGATVQNAATYNGNLMKRVAAGQGTPLRPDVPVDVLVFALFNENMKHGQASERNYGLFYPNGTSVYDLGFGGASFGPSSPAAPSGFSSSSKPTMSFPLGVVVLLAAFLL >SECCE1Rv1G0044340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:602224700:602225350:1 gene:SECCE1Rv1G0044340 transcript:SECCE1Rv1G0044340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDCFFRAYGLAVVNTVYIGGTAMVLYGLVILERMPRRNKGAIIVLTLFLLLWVCVGASVYTAFCGVLFPWSALRRCLASAHGALLRHLRSAGRLLCLPCRSRLRRRGGSAALPQFLGQMESHMPVLAREAPVHEGARAATAYDILSYEQPECGGGGTPECPVCLGEVEKGETVKRLPACLHMFHQQCIDPWLHEQSTCPVCRCIVFAPLPAQMV >SECCE2Rv1G0102750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:581726681:581730791:1 gene:SECCE2Rv1G0102750 transcript:SECCE2Rv1G0102750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGD1 [Source:Projected from Arabidopsis thaliana (AT3G11670) UniProtKB/TrEMBL;Acc:A0A178VKL1] MDNPPPITGSGRDGGAFAFISKGWREVRDSATADLQLMRTRTDRELGRLLASASALAGPGPAPPVAAGAPFAELELVRKRIQPKIAELRKQYSSTMLDGWPPKSGASLRVDLSGITAIRNAIVSSGKDDKRLKADGGDEGKKEWEVVKMIRNGLKEFERRSLSSEMSAGFRVRTDFVEKFKLSLKSLNKECQEPKDDPPLDLTEILAYLVRQSGPFLDQLGVRRDLCEKLVDTLYNKRSGRLMHPSFSGDRSLIGNEDITDELDLRIARVLESTGYHREGLWNDPAKYKISDNRRHVAIVTTASLPWLTGTAINPLFRAAYLARSTMQKVTLVVPWLCKSDQQLVYPDNITFSSPEEQETYIRNWLQERLGFAANFKISFYPGKFSKERRSIIPAGDTSEFISSREADIAILEEPEHLNWYHHGKRWTDKFNHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQDLPRSIICNVHGVNPKFLNIGEKVIADREHGRNSFSKGAYFLGKMIWAKGYKELIDLLSKHKHDLKGFEIDVYGNGEDSQAVQAAARKLDLGINFYKGKDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVICPDHPSNEFFKSFPNCLMYKSPEEFVARVQEAMSSEPQPLTPEKRYSLSWEAATERFMEYSELDKVLKDRNGQHGEVVKRRGVRKLPLLPKFSDILDGGLAFAHYCATGNEILRMATGATPGTRDYDKQQCMDLNLLPPQIQHPVYGL >SECCE6Rv1G0378420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:8343361:8345012:1 gene:SECCE6Rv1G0378420 transcript:SECCE6Rv1G0378420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLLFVLPLIPLVVLAVIRHAGPIKTRLATVMLALAPKLPAAWTKPVFVSDCATAHRLLVRGSAGGSFSNRPPSMAPSAVLSAGRHHNITSAPHGPFWRAIRHNLTSQLFHPTRLHRYASARRNALRGLVADLREQQQQHGVVLAAESIRDAIFNVVCTMCFGDGVYPALVRAIADAQDDLVQSLPVVRVFVFSVFPVAVTRLIYRKQWNKLVSVRKKQEDMYLPLIDACRRRGRCSDEPPCYVDTLLDLEVPVKDDDHVASVGVGGSSGKQQRISDAEIVGLCSEFLGAGSETVAAAIQWIMANLVKRPDIQEAVRREIDDSVGAEAEEVGEEVLEKLEYLNAVVMEALRLHPTTALVFRQVMKEDDAVLDGRRIGVGTTVIFPLEAIARDKTVWADPDVFKPERFLASGGGETMNLVAAAGSAGKMKMMPFGAGRRICPGMGIAMLHIGYFVANLVRDFVWKEAEGEHAIDLQPHTIVLVTVMKRPLRAHLLLRRREAKNMS >SECCE7Rv1G0484210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:342009315:342010285:-1 gene:SECCE7Rv1G0484210 transcript:SECCE7Rv1G0484210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVARADTAAFFSGQEDAAEGSISSLPARVAGSIVRGVITFIFATVGTILGAITGGMIGLATESGLVRGAGIGAISGAVVAMEVVDRSMAMWRSDECGIWSVLYVLDVIWSLLTGRLVREKVDPAVQNAVDSQMNAADDGFSDGPPTLSEMFDMGSTSFKGMAADAIAELPATTITEQQAAVQDGGCSVCLQEFEAGEAARSLPECGHTFHLRCIDGWLCRHASCPLCRRAV >SECCE3Rv1G0177360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:462938762:462939001:1 gene:SECCE3Rv1G0177360 transcript:SECCE3Rv1G0177360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMRTTCTWTERPYEALLFPGIGFGPFLRSLGGRRRRLPSGGARAISEIPLWKSSDSNLVSDPRAKGQSQVDSFYGA >SECCE2Rv1G0142910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943104335:943107948:1 gene:SECCE2Rv1G0142910 transcript:SECCE2Rv1G0142910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinaceous RNase P 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G32230) UniProtKB/Swiss-Prot;Acc:Q66GI4] MRLAAAAGVLRPTAALLFHSRSRPHRLLPSPRRSSLPFARRRRHSSSSSSTATALDDPPPPTAPGPPSPAPPTQTGDGRETKAARRRRVRESPEGMLRHRLDMCSRDADLATALELYAAAVDPANAVPLALHHYNCLLYLCSNAAAEDADAARRGFEIFAAMEAQGVEPNEATLTSLARLAAAVRDPAMAFSVVRRMAAAGIPPRLRSYGPALFAYCDARDAGGAAEVEAHMDAGGVVPEETELAALLRVNADTGRADQVYRVLHRTRTLVRQVCEATAQVVEAWFRSDAAAEAGVEKWDARKVREGVVKGGGGWHGQGWLGKGQWDVGQSEMDKNGKCQRCGEKLVCIDIDPSETETFAKSLAELACKREVRDDFVRFQDWLHRHGPFDAVIDAANVGLYQSKSFSFSQVNSVVNGIQRVTKSKKLPLIVLHRSRVNCGPAKTPQNQKLIESWRNAGALYSTPPGSNDDWYWLYAAVSCRSLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRLTFSGRGPTFHLPPPYSIVIQESEAGSWHVPTTTGDDIESPRQWVCATRKTSDGPPSLPPQARATSKTSERPPSPPPQARDSSKTSERPPSPPPEARDSSKTSERPSSLPPQARASRKTSERLPSPXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXTSKTSEGLPSPPPQARATRRTSERPSSPPPQARATRKTSEGPPSPPPQARATRKTSERPPSPPPQARATSKTSERPPSPPPEASGTSKTLERPASSPKARLSDLGW >SECCE4Rv1G0239330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:399949826:399950915:1 gene:SECCE4Rv1G0239330 transcript:SECCE4Rv1G0239330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGCLNRLPSACVGDMVMATVKKGKPDLRKKVVPAVIVRQRKPWRRKDGVFMYFEGTAKFL >SECCE3Rv1G0163060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:143652341:143655214:1 gene:SECCE3Rv1G0163060 transcript:SECCE3Rv1G0163060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGRPPVLGVGEQFPPARRFPGSTGAWGTTPPTAHAGVGGERTWSGAPAPGRRAGTDAYNGQIDCFSTMASTPILTVKTLHINSAILAARSRFFLKLFSNGMNEFYQTQPRIRIADSEENAFMELLSFMYSGKLTTTEPTLLLDILMAADKFEVLSCMRYCSQLLRSLPMTTESAMLYLDHPCSLSMAAEVQSVVGAAREFLAEKYKILDTFEEVMNISLSGIEAIFSSTDIHVASEDAVYNFLLEWARARYLELEERREILSSRLLPLVRFSHMTCAGLQEILACTDDDIDREQVTKRINEVLLHKAYPTQMEGALAADASTLDWQSAERTYGSKHVKAVAFDRPCPQIIVYMDLTRNECSRFFPPGAILSDWFHLAGQKFYLLANSVLDEQTGLYRSFGLWLGIYGNSISDSLCFDIEFAARTRSSGKFLSKYGGRHTFNGHLLEGCDDLFGVPWSTFIADDSLFIDGVLHLRVDLTVVEQPELQT >SECCE2Rv1G0126340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:845781676:845783183:1 gene:SECCE2Rv1G0126340 transcript:SECCE2Rv1G0126340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G03210) UniProtKB/TrEMBL;Acc:C0SVH2] MAWWKNGALVAAASLAVAAVVCAGVAAAASKFDDVVQPSWANDHVLYEDDLLKLRLDSSSGGGFASKNRFLYGKATADLKLVPGDSAGVVTAFYLSSAGDKHNEFDFEFLGNVTGEPYLVQTNLYIDGVGNREQRIDLWFDPTADFHTYAVLWNPSQVVFLVDDTPIRVYDNKNASATKPKGHHRHPNATATTTATQAQTASAFPSPQPMAVYSSIWNADDWATRGGLVKTDWSHAPFVATFRDVRVEGCAWAANASDSDAGEVARCSGSSWGKEGRYWWKEKDMQELSVHQSHQLVWARAHHLVYDYCVDTDRFPVQPPECAGR >SECCE3Rv1G0170200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:249922691:249926234:1 gene:SECCE3Rv1G0170200 transcript:SECCE3Rv1G0170200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFTGPSTTLAEASAAHSAHPPTTVADCRLRRLAHSQEARSLAAAEARRKGMRAVVQRVLSASVEVEGQVVSAIGPGLLVLVGVHEADTSSDADYICRKVLNMRLFTNEKTGKAWDQSVMQRNFEVLLVSQFTLYGILKGNKPDFHVAMPPAKAKPFYTSLVEKFQKSYKTDSVKDGIFGAMMKVSLVNDGPVTMQVDSPSLQGSGQSSNGDAGLVRDGEAAVPNETR >SECCE7Rv1G0480690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:272472219:272472881:-1 gene:SECCE7Rv1G0480690 transcript:SECCE7Rv1G0480690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIPASSPSIQQHSHHHRVLAGVGMGCGAEAVVAAAGTAGMRCREHDCEVPAEVARHHEHAEPGSGQCCSAVVQHVAAPAAAVWSVVRRFDQPQAYKRFVRSCALVAGDGGVGTLREVHVVSGLPAASSRERLEILDDESHVLSFRVVGGEHRLKNYLSVTTVHPSPAAPSSATVVVESYVVDVPAGNTIEDTRVFIDTIVKCNLQSLAKTAEKLAAVS >SECCEUnv1G0552110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:244944820:244945977:1 gene:SECCEUnv1G0552110 transcript:SECCEUnv1G0552110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGGRSETQPRGRRQQHQKLPLDVLVDIAARTDPATLVRFAATCLDMRHRLKDDPGLRGRLRLRHGHCFVPPLLRGHLVYGYEQQRSDEQELFVVDTIAVDATKLRRHMAGFGSPLVLRDGLVLIRVAGQQLRVSYVLLVGGDDDEGASPVGRHFHVVMAYLELSKNHHHLQLQTFSSEQGAWGRCIKIRAPNMHGSHLRRGLGKALVIGGAVHWLCVVDTGAYIIKLNAKATHVTVTKLPKSFPHDGEHRQLLATLTAGGSPVVLVTDRDKILAWVPSKQTAKWQQRPRVVIEITEAILRFLDRAGGSCKRPTRLVQLDIVWFAERSGTVLINTCCGFFWVNLRSMEIVRRVSNHGVTYMSENIPYEMNLVDWVPTFSSTL >SECCE6Rv1G0387090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:105176053:105180003:-1 gene:SECCE6Rv1G0387090 transcript:SECCE6Rv1G0387090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline-phosphate cytidylyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G32260) UniProtKB/Swiss-Prot;Acc:Q9ZV56] MCTVSSAWRLRPFHDAPLFFPFPSLAPPPPEPSRLPLRMADAKAEAARQAPQSSQEEEEDWKEAEGDVEVADRSTSNGGGAGEGITDRPIRVYADGIYDLFHFGHARSLEQAKKSFPNAYLLVGCCNDELTHQFKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVTEEFLNKHNIDFVAHDSLPYHDASGASNDVYEFVKKLGKFKETKRTEGISTSDIIMRIVKDYNEYVMRNLARGYSRNDLGVSYVKEKRLRVNMGLKTLRDKVKQHQEKVGEKWSTVAKLQEEWVENADRWVVGFLEKFEEGCHSMGTAIKERIQERLKEAQSRDFSLLQYDSDDFDDDEEEDDEVAKDAKYVKE >SECCEUnv1G0564880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:388094143:388094559:-1 gene:SECCEUnv1G0564880 transcript:SECCEUnv1G0564880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVATEKTPAGKKPAAEKRAKTASKEGGGEKKGKKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE5Rv1G0309610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:156844155:156849798:1 gene:SECCE5Rv1G0309610 transcript:SECCE5Rv1G0309610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLFLPLLSPRAASPPRALTPPPARRLAVAAAGASVQDAVMSAAATGEYPCPVSPPYPAVSKDVELRRAMTASARSGAYSSAAVVFEDEWLAVVDKPTGVYCEALLSALPCSTASSGDPSSKPNLHLANRLDRDTSGLMVITKCNKVAAKLVKAFTEHKVKKTYLALCIGSPPAWEKIKICSGHGRSKHGAWRVYAMSDVGRTLPGGSSVRDMSTKFEVLGTDGEGQYKEPSNVDIDDIESITVQEKAADQTSNVDVKNHMVFVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYGGVIEWNGVDCDGHALHAESLSFVHPVTGLPVTFRAPLPSWANEIISTMG >SECCEUnv1G0547930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:178538281:178540455:-1 gene:SECCEUnv1G0547930 transcript:SECCEUnv1G0547930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHREEEEEAAAAGEEEDTGAQIAPIVKLEEVAITTGEEDEDVLLDMKAKLYRFDKDGGQWKERGTGAVKLLKHKETAKVRLVMRQAKTLKICANHLVVATTKMQEHAGSDKSCVWHALDFADCELKEEMFAIRFGSVENCKKFKDLVDEIAEQQGKNEEKESEEVSSAAELVEKLTVTEGKKEEQTEKVETPAVDDKKDAEE >SECCE6Rv1G0395520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:330058171:330062954:-1 gene:SECCE6Rv1G0395520 transcript:SECCE6Rv1G0395520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein LFR [Source:Projected from Arabidopsis thaliana (AT3G22990) UniProtKB/Swiss-Prot;Acc:Q9LS90] MQKQTGKSGGGGGSAAAKRGRPFGSTTGSGAAAAAAAAAVGDPAAPAALVGPSLHVLTALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDLRRDTTPLAKVPGLLDSLLQVIDDWRDIAMPRDHTKPPRVRTLGVNTTISGFGLENVGKVYSDSTTPPNDQSKIEGSTITKKRPAGFLFDEDGLFNIDDEGRTERQQCAVAASNIIRNFSFMPENETIMVQHRHCLETIFQCLEDQNAEDDELITNMLEALVNLAPVLDLRIFSSSKPSYIKMTEKSAVHAIMGMLASSIKAWHCAAAELIGRLIINPDNESFLVPVISQIYRRLVDLLSVPAFDAQAAAVSALYNVSEVNMDCRLKLASERWAVDRLLKIMKAPHPVSEVCRKAAVILESLVSEPQNRMHLLVHENNFAEILTSEGKYSDTFARILYELTARPSNKVTSGQAIWGNIN >SECCE4Rv1G0248990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:577908197:577909507:1 gene:SECCE4Rv1G0248990 transcript:SECCE4Rv1G0248990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDADDVFRYFRLNPTELQAVTYYLPRLLSGETLHCADKLIHRVEISGCEPKDLAARYAPVPLAVSSGDRFFFTTCKSKNGSKVQSVRGAGGGTWSIQKTTEISHAGAKVGEVKNLSFKTNGKSTGWVMEEYRCLLPEATVSDGVKVFCKMHLAQHAPDAARLESAAYNRQQAQPEAVTQSTHAQKRPAPAAAADPHPPRPKKRMRGAVPVPVPAPTTPSFLMYDEAASFPVQDVQASCESTTTSSRSDVAQAPEICSQSDVLESTQSEEAGSSSARSTSQENVFDPLEPISNLPEWEEDGFDLQELMRMMEDDPIEVEPVTGANTGVEMGQQEPLYLDALDQGVLEGMLQSDYPAFHDADKEKRYNAASDLDAPSLQGQDHLIQPRPCSFDPFEAAWNDEEALENEKRCNPMANLHTQPFGGHSNFFSSASVY >SECCE3Rv1G0153090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:52248181:52248753:1 gene:SECCE3Rv1G0153090 transcript:SECCE3Rv1G0153090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGTSSTILGGSLLLVLVLSSAIDVHGGSSSSAVPLSPLDELCIRLGSHYVTRDLCVSTLCIDPSCRSARSLPELAVLATRLTVVNATVTKASIESALAYAKDAKVKKVMRSCLQLYVGAIPRLQWVAQSVAAGRYSGVSEVLEAASMHVSGECTSLAGDVPLPMENNDFFWMAYVVQAVVYVVQHIIG >SECCEUnv1G0559010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:335664063:335669771:1 gene:SECCEUnv1G0559010 transcript:SECCEUnv1G0559010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADYGAPTELAGPLQQRRALYQPRLPPCLQGATVRVEYGEATTTIDPAGAHAVAQAFPRTYGQRLVTFLAPDDTAGAEKAVDERPPIRVGVVFSGRQSPGGHNVVWGLHDALRAYNPHSVLYGFIGGTEGLFANKTLEITDDVLASYKNQGGFDLLGRSIDQIRSSKQVSAAMTTCHDLNLDGLVIVGGVTSNSDAAQLAETLVQKNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKYYYFVRLMGRKASHVALEFIELVSQITTMHEKKKHHGVLLIPEGLIESIPEMYALIQEISILHNNNVPVTEIPTQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMIKRTKEGRYKGKKFSSVCHFFGYQARGSLPSNFDCDYAYVLGHISLHMIAAGLTGYMATVANLKDPVHKWRCAAAPLTAMMSVRRHLRGPGAIPIGNPAIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQFEGPGSDAKPITLTIEDQDYMGDIEMLKLYLDKVKTIVKPGCSRDTLKAAISSMISVTHVLTVMSHPLNAELPLYHFN >SECCE4Rv1G0259070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:682316516:682317706:-1 gene:SECCE4Rv1G0259070 transcript:SECCE4Rv1G0259070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVSKSPPVVVYPAEPQAPAADVQLSSFDQRIPPFACTVLLMFDHPIDKPVETIKRALSRALVHYRPVCGRLAGADPRIACTDEGVPFVGASAGCALVLEEVTPALLADLAVAYAAPLCRHTEPLLQMQVTEFSCGGFVVGVTWNHVLADGTGIGQFLQAVGELARGMPRPSVVPVRSDAALRDLPPPREKRRSLMKPKEKDDQGAFLDITVPSSLIGRVKAQCACTLFEAVAAVLWRCRTRAAVRDPDAPTPLIFPNNVRELFGAPDGYYGNCAIMKSVRATRAQVADGDIMDVVRLIRRGKETLLEAEAGGGVETAGDLYSRLAVSSWRRIGFDAADFGGGTPARVLWHEDRMVVPECIVCPPWKGKDGVNVQSLCVKPEHAAAFLAELEAM >SECCE4Rv1G0219500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:31988024:31990688:1 gene:SECCE4Rv1G0219500 transcript:SECCE4Rv1G0219500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFDRVMVEPRVYDQFGASVPRSGGNVSSDTKAVLCIGAAAALGWAAWRYYQRRVCLRKFGRDMTALAGKADPVIGRDDEIDRVISILCRRTKNCVALVGAAGVGKTAVAEALAQRIAAGMVPDVLAGARVIELDVGELVAGTKYRGSFERRMKDVIKQVEAANGKMILFVDEMHMLLGAGRSRRSAMDAANMLKPALARGRIRCVGATTFDEYRKYIEKDAALERRFQKVQVEEPSMDTTIEILQGLKKRHEQHHGVEIQDAALVAAAQLAGRYITSRQFPDKAIDLIDEACATASKKMRQINRQKAEVNTTKSSSANARKEAIITPDHVAQVVSRWTGIPVTALNQDEKDKLICLADRLHERVVGQDEAVNLVADAVLRSRAGLDHPGQPIGSFLFLGSTGVGKTELAKALAELLFDSEKLLVRFDMSEYVGSSSVLRLVGAPPSYRGYEDGGQLTEKVRRNPYSVILFDEVEKADPSVFNIFLQILDDGRLTDGRGQTVDFKNTIIIMTSNLGSDYLISKTARKNTTESIRDLLMEQVCKHFKPELLNRLSEIVIFEPLSHDNLKEIMKIQMKSIMARVATKGISLSVSDAALDTILSESYSPTYGARPIRRWMQKNVMTTLSRMLVKGEASEGSTICIEATDDKKGLQYEVVKKM >SECCE6Rv1G0399550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:441761023:441762967:-1 gene:SECCE6Rv1G0399550 transcript:SECCE6Rv1G0399550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR3 [Source:Projected from Arabidopsis thaliana (AT1G76990) UniProtKB/TrEMBL;Acc:A0A178W888] MRPYFDPEYENFNQRINPPRVCIDNNTCRDCTLVKVDSMNKNGILLEVVQVLSDLDLTILKAYITSDGGWFMDVFHVLDKQGQKVTDEKTIQYIEKALGPGSNIPGATKSSNSPGRSVGMHSIGDHTAIELKGPDRTGLLSEIFAVLAELQCNVMAAEVWTHRTRVACVVYVNDVSSGQAIEDAYRLDAIEERLRHVLRGHGGGNDDDDGRGAHTTFSAGSTHVDRRLHQLMHADMDLGGDGAPQGRPADDDGTAVTVEHCEEKDYSVVNVRCRDRPKLLFDIVCTLTDMQYVVFHAAVTSEGIYGIQELYIRRKDGRTLLKDEAEKVTKCLEAAMSRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGEQAVNVFYVRDASGQPVDMKAIEGLRGQVGQTVMLNVKKVPGDKAPEQTAGSMAKTSFFSFGGLFAKLGA >SECCE4Rv1G0231930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:192038305:192039176:1 gene:SECCE4Rv1G0231930 transcript:SECCE4Rv1G0231930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLFLLLISVIISMAITSSPAAAANGRIHLIMVNNCAESVWPAMLGTTGHTTPQSGGFHLGPGEETTFDVPVGWSGRVWPRRGCSFDARGMGKCATGDCGGVLRCGGAPGATPATVVEMTLGTPQSPMHFYDVSLVDGFNAPVSMTPVGGGRGCGVAACGADLNVCCPSALEVRDREGKVAGCRSACLAMGSDKYCCTGEYGTPAACKPTIFSHLFKAICPRAYSYAFDDASSLNRCKANRYLITFCPPQHE >SECCE7Rv1G0475010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:180598767:180600564:1 gene:SECCE7Rv1G0475010 transcript:SECCE7Rv1G0475010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPAQVALRLIALLLLLPAAWSATFTMTNNCGYTVWPGLLSGAGTAPLSTTGFALAQGASATVSAPASWSGRMWARTLCAEDAAGKFTCATGDCGSGALQCNGGGAAPPASLVEFTLDGSGGMDFFDVSLVDGYNLPMLVVPQGAATAAAASSTGGPKCMATGCLVDLNAACPAALKVTSTGAASSAVGGSAMACRSACEAFGSPEYCCSGAYGSPSTCRPSAYSQYFKSACPRAYSYAYDDSTSTFTCAAGTNYAITFCPSTTSGKYTDENPQAAGVPPTNGTMVYRGGEQFSTGGAASIAAHASQLLLAVAVAVALL >SECCE1Rv1G0061390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:715149135:715150949:1 gene:SECCE1Rv1G0061390 transcript:SECCE1Rv1G0061390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADVCAICLGGMVRGQAGFTAECSHAFHLRCISASVAHGNHDCPLCKAPWTVLPAVNAPPSSSSSRQVRRTYQDDEPTVPAQAAAANNGGPGAAALVLRTHCEVPALARGAARENFAVLVHAKAPSSEAARASLDLVTVLDVSGSMAGSKLALLKKAMGFVIDNLGPADRLSVVSFSNDASRLIRLMRMSDAGKAAAKLAVESIYANGCTNIGQGLRVAAEVLHCRRHVNAVASVMLLSDGQDTFTAAGRNGANYITLVPPSLRGYNGAGVRPPAVHTFGFGTDHDAAAMHTIAEATGGTFSFIENQAIVQDAFAQCIGGLLTVVVQEARIAVTCLHPGVRVREVKSGRYDNHVDADGRASSVDVGELYADEERRFLILMDVPTAQDAEEVTGLLKVSCTYQDAATGQAATVDGDDNAVVQRPVEVTDAEPSMEVERERLRVAATEDMAAAREAADRGEHAEGGRILRRRLAAVKGSACAHDDLGCEDLEDEMEDFIGLVEDTQQYNKIGRACILSGMNSHRKQRAAATRVESRGVPARAPAFGGRGQQVAYATPAMKKMVEKSREQRQTAAAAPTPQPSRKHGRGQQPDSKSGTGKRHKKH >SECCE6Rv1G0432720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:754020285:754020740:-1 gene:SECCE6Rv1G0432720 transcript:SECCE6Rv1G0432720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATKSGELRAVFASLDRDGDGRVSAAELRGCMRATLGEDMPAEEAEALVASADVDGDGMLCEAEFIELVQQAALAGADEEIDELRIRALREAFGMYEMEGQGCITPASLGRMLSRLGAEPGAGECRAMICRFDLDGDGVLSFDEFKIMMS >SECCEUnv1G0566730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:407488386:407489691:1 gene:SECCEUnv1G0566730 transcript:SECCEUnv1G0566730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVGMARSMLGGVISKAASAATAEMSLVMGVQKDIWFIKDELKTMQAFLAAAEATKNRDMLLMVWAEQVRDVSYNIEDCLDEFMVHVRSQSLMKRLIKLKDHRRIAIQIHNLKSRVEEVSSRNARYNLIKTEASMTSDKEVSYIEDVRNYSASNIDEAELVGFTKPREELIKLMDVNTRHGDAKVICVLGMGGLGKTTLARKTYESKEDIVKNFSCCAWITVSQSFFKIEMLKDMISQLVGGDSLKNLLKELEGKVVQVKDLAEYLNQEINDKRYLIILDDLWTIDAWRWIKDIVFPNSNKKGSRIIVTTRDVGLAKECTLESLAYHLKTLEVVEATNLLLKKSRKIYKDMDKDENFCKRLCWCN >SECCE3Rv1G0191300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747729555:747730733:1 gene:SECCE3Rv1G0191300 transcript:SECCE3Rv1G0191300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLAALVVLAAFLAGPAASEAASICFNGWLRLPTYNPVLCRPRPDVQTRQRRPAPSGSGLSSGYYNTRCPSAEKIVTDAVKKAVDANPGIGAGLIRLFFHDCFVRGCDGSVLLNTTNSKNSDTEREGPPNKDSLRGFEVIDEAKAAIEAACPSTVSCADIVAFAARDASYFLSDRKINIPMQGGRYDGRESFSNETDQLPGPFSNLTALQGSFAAKGLTSDEMVTLSGAHTIGRARCLFFSARFSEMEPAFATKLRTQCNGNDGTNVNQDDVTPNVLDKQYYQNVVDKKVLFTSDAVLNSTETITQVTENANMAGAWERKFEKAMETMGKIGVKTIGNQQGAEIRKVCWRVNN >SECCE3Rv1G0188010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:708202035:708203575:-1 gene:SECCE3Rv1G0188010 transcript:SECCE3Rv1G0188010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEEPVAESMMDKISDKFHGGGDSSSSSSSDSDDDKKGSAAGAKAKIYRLFGREKPVHAVLGGGKPADLVLWRNKKVSGGVLAGATSIWLLFEVMEYHLLTLVGHCLILSLATLFLYSNVCIFIHKSPPNIPEVKIPEDMTVNIALSLRHEINRGFFTLREIGHGRDLKKFLIVIGGLWLLSVLGSCCNFLTLSYIVFVVLYTVPVLYEKYDDKVDAFGEKAMIELKKYYAIFEEKVLSKIPKGALKNKKH >SECCE2Rv1G0071440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:44115791:44116947:1 gene:SECCE2Rv1G0071440 transcript:SECCE2Rv1G0071440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLKRIVEDEPKKAAYVTFLAGSGDYWKGVVGLAKGLRAVKSAYPLVVAVLPDVPEDHRQKLLEQGCVVREIVPVYPPESQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVYDNIDHLFDLEMGRFYAVKDCFCEKTWSHTPQYEIGYCQQCPDRVVWPERDLGVPPPPLYFNAGMFVHEPSMATAKALLDKLVVTDPTPFAEQDFLNMFFRDVYTPIPPVYNLVLAMLWRHPENIQLHKVKVVHYCAAGSKPWRYTGEEANMDREDIKMLVKKWWAVYNDESLNYKQPAADEAADPLRAALAEVVAVKSFPAPSAA >SECCE5Rv1G0361610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780484822:780489498:1 gene:SECCE5Rv1G0361610 transcript:SECCE5Rv1G0361610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAARSSRSRPAGHSGVLPAGAAAAGGGAGVQHADKLKIFKTDKFDPDSYVQSKCQTMTEKEIRHLCSYLQDLKKASAEEMRTSVYANYAAFIRTSKEISELERELLSVRNLLNTEAALIHGLSEGIQIDSLIIGPEDSAEDNISAIEYQELSEIHKWHIDFPDKLDVLLAERRVDEALDALDEAERIAVDAEKKQTLATADIVALKGVISDSRQKLSDQLAEAACQSSTCGVELRAAASALKRLGDGPRAHSLLLSAHNQRLQSKIQTTRPSSTAHSVAYTASLAKQVFSVIANALSDSMEVFGDEPSYASELVTWATKQAMEFTLLVKRHALGSCAAAGGLRAAAECVQIALGYTSLLEARGLSLSAVLLKQFRPCVEQALDSNLRRIEESTSALAAADDWALIYPPTGIRTFARASVGNLAHQPKLSSSAHRFNSMVQDFFEDVGPLLSLQLGGSTMDGLLKIFNTYVDLLVSALPGSMDDEANLEGLGNKIIRMAETEEQQLALLANASLLAEELLPRAAMKLSSVNQASMGSMRIRGPDKQNRAEQREWKRKLQHMVDKLRDSFCRQHALDLIFTEEGDTHLSAEMYINMDNNAEEIEWVPSLIFQELYTKLNRMASIAAEMFVGRERFATLLMMRLTETVILWLSDDQSFWEEIEEGPRALGPLGLQQFYLDMQFVILFGQGRFLSRHVHSVILNIIDRAMAAFSATGLDPDSALPSDDWFIEVAQDSISRISGMVRASNSEREVHSPTASVSAQSVSSARSHGSS >SECCE5Rv1G0339320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607106920:607108782:-1 gene:SECCE5Rv1G0339320 transcript:SECCE5Rv1G0339320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHSVLARKSPLGTVWIAAHLERKAINRTQIDGVDVPSYAASIMDPEVPIALRLSAHLLLGLVRIYSWKVNHLFQDCNRMLSVIRTAAAFAPARADIDLPPDADRAPFAAISLPVTFSLDDWNLDDAIRLIDSPDNHRRAADEITLPREEYVTVTLDEDGPSPACRLLRFEPEPEPEEQGTFPPFPEDAVSVDLPPQDSFHTNGHEDSPEILRRAPESVTRMTDGGSDTMDEDPSPFMEKVTTPVAMCSSLSPVRGLSLPGTSIPDVPTGVSHDPTPMEEDEVPAAAFTLEPSPPPVQGNKRKRTQNNAQEDPRIDEIVVLSNDDMRSQVDGDDLQRLVRRRKPLPHTALDTWRFNRRRQKDSLFAEPLVQGMCAELQKAYERNYPRVSDSDPDAANVGDGDAPPRNADTHEDTHESEPQHLTPMSPVNGEATPFDHSAPENPRFSSPQMDLSTVREEDDSPFIKTPRSGLGGTGATATGSYATPGESTRESHPNGSQFPFGDDYLNEDLPEIPGLINTPSMTSSADTGATGLSSMSTRTRAAAKYYKGMMSSATSEDQQRGKFSLSIILDGRTRKQAASMFFETLALKSYDYIDVFQEEAYGDISVSVRPSLSSAKL >SECCE1Rv1G0050140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644758017:644759111:-1 gene:SECCE1Rv1G0050140 transcript:SECCE1Rv1G0050140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIRSLLLPLALLALAASSAAVANLQIGFYSKTCPDAEKIVREEMAKIIAAAPSLAGPLLRLHFHDCFVRGCDASVLLESTEGNVAEKDAKPNKSLRGFGSVERVKAKLEAACPGIVSCADVLTLMSRDAVVLAKGPSWPVALGRRDGRASSAAEASSQLPPASGDVPLLAKIFASKGLGLKDLVVLSGAHTLGTAHCPSFADRLYNTTDGLVVDPSLDAEYADKLRLKCSRSDDNRAMLSEMDPGSFKTFDTGYYRHVAKRRGLFRSDAALLLDAGTRDYVQRIATGRFDADFFRDFGASMTKMGDVGVLTGTQGEIRKKCHTLN >SECCE2Rv1G0097020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:451498295:451502918:1 gene:SECCE2Rv1G0097020 transcript:SECCE2Rv1G0097020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEIGLVLARASDLRSRISACAAAARPPPRLGAGEEDDGGEDEGEEVEVESLVGINDALESLERQLASLQDLQHQQRYERETILSQIDCSRTSLLNKLKEYKGEDCEAIHEAAAFAGEKIENDDGLILPPYSGHVTNSFVLDDLYPANYMSKSMCLHNGLRFSGISEDGTRTNRMQNRIPSTSSRNSSGGIRSLIGWMAKTAVMIVGAVSIMKAAGYEPTTGRSGIKLDITGLLGREASGAKEQVPPTLQCPPGKVMLVGGDGRAHCVVKERVEIPFGSSLDAPNASYGLG >SECCE1Rv1G0026130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:365995242:365995794:-1 gene:SECCE1Rv1G0026130 transcript:SECCE1Rv1G0026130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLARASLTAVAAMLLLLAAAAAAAGPAAGGAAVAGPAGCQDDVVALNEACYKYVQKGAPTVPPSQGCCDAVRSVDVPCVCSYLGSPGVRDNISMEKVFYVSQQCGVNIPGNCGGSMKV >SECCE4Rv1G0241240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448327852:448328157:1 gene:SECCE4Rv1G0241240 transcript:SECCE4Rv1G0241240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEHVLFLSVYLFSIGIYELITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRINQSNLLNN >SECCE5Rv1G0372230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851949516:851951591:1 gene:SECCE5Rv1G0372230 transcript:SECCE5Rv1G0372230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAPVDQAKKEEEEFSTGPLSILMLSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >SECCE3Rv1G0177950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:487326442:487328827:-1 gene:SECCE3Rv1G0177950 transcript:SECCE3Rv1G0177950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRPLNTEPSFLHSPKLQSPRDACGAPLRSPSPRVLAGPPKKRMARLERSLSFKNWEAEAAADEPEAAIRCINGARPGTLMLQSPGSGSKQRSPSKAHLISPRPQAELDDAATKVQKLFKGHRTRRTLADCAIVVEELWWKAYDSASLNIKSISFFDEAKQETAASRWSRAGKRIAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWSASSSTEPFFYWLDIGAGKDVHHQKCPRSKLYSQLIMYLGPNERAGYEVVVEQGKLMYRRSGLLVETTEDSKWIFVLSTARSLYIGQKKKGKFQHSSFLAGAATTAAGRLVAKDGILKAIWPYSGHYLPTEENFREFISFLEENNVDLANVKRCSVDDDEYPSFNKTSDEPTEMEEKQHGETSQIEMPEMDIIMEVVATAEDNLETEAAAKMASLPSFKWATAAGARIGCVRDYPADLQSMALEHVNLSPRVVPSPSANRLPIPSPRPSPKIRLSPRLHYMGLPTPTGRRLPIPSPEITRQHFMGFQTPAVALTLPKHKAK >SECCE4Rv1G0251630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:605996489:605998942:-1 gene:SECCE4Rv1G0251630 transcript:SECCE4Rv1G0251630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Auxin transport protein REH1 [Source: Projected from Oryza sativa (Os06g0232300)] MITGTDFYHVMTAMVPLYVAMMLAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTLWSHLSRNGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDESGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIAVDPDVMSLDGRRDMIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGPVVRTGATPRPSNYEEDKAGNNNNTKYGQYPAPNPAMAAPQKPAKKPANGQAKGEDGKDLHMFVWSSSASPVSDVFGNGTEAYNDAAAKDVRVAAASPRKADGVERDEFSFGNKERDAEAGDEKAAAEQGTAGLVAAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFVMPAIIMKSIAILSDAGLGMAMFSLGLFMALQPRIIACGNKRATFAMAVRFLTGPAVMAAASIAVGLRGTLLHIAIVQAALPQGIVPFVFAKEYSVHPDILSTAVIFGMLIALPITLVYYILLGL >SECCE7Rv1G0470100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:116005657:116015170:1 gene:SECCE7Rv1G0470100 transcript:SECCE7Rv1G0470100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVRQRQRSMFIIVGDKSRDQIVNLNYMLAKSRVKSRPSVLWCYRNKLEISSHRKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCMYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLVILLLSSLSSLTSLYTMVMDVHERFRTESHTQAATRFNERFLLSIASCKACIVMDDELNILPISSHMKFIQPVAKNEDSEGLSERERELKDLKDQFREDFPVGPLIGKCCTMDQGKAVINFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLNTLFDFVCKGLNAMEYKEHLHYDVVKSSDPNLRKAIIQINVHKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKALLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSQSSVSSDGPSSSRLFKKIELNESIRYASGDPIETWLNELLCLDLANSIPNISRLPHPEHCQLYYVNRDTLFSYHKESEVFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVNESENQLPDILCVIQVCLEGQISRKSAIRSLNEGHAPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPSALRLGYGSAAVKLLSSYYQGEMTVFKDEEEIEEPDVTISEAAEKASLLEESIKPRANLPPLLVNLEDRRPEKLHYLGVSFGLTQELFRFWRKHSFYPFYVGQIPSAVTGEHTCMALSPLNSDDIKAGDSIQLGFLEPFYKDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDFSHHEPSEHDTSITLKLLRDVLSPHDMKRLEAYSNNLVDYHLILDLVPILAHEYFSEKLPVTLHGAQASVLFCMGLQDKDIGATKEELGIEREQVLSNFIKTMKKLYGYLNNTAGKEIEATLPRLKEIEAPLSRSMDEDLDDAAREVKEKRRVADEAAVDPKLLQKYAIKSDDLEIEKALQNEKLSASGVISVKSSKTSADKKEKHRENEKSKRKGQDSGGRSEKKKKNRT >SECCE4Rv1G0262450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:707636157:707637041:1 gene:SECCE4Rv1G0262450 transcript:SECCE4Rv1G0262450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMPRDSSASGALVPSDSAAMAPTTAAGKLPFPLLTRTNYAAWAMRMKYLLRANGAWGAVDREASSSEVDKGKEEMAMMIISQSIDESTLLRVAEKETAVDAWAALRSMHVGVERVREARVQSLRSEFVGLKMGDAESVDDFAARFTTLVGHIRELGDPMEEKYVVKKLLRAVSNKFIHVASSIALFGDTNKMAMEEAIGSLKAHEELVKGRETAREEEQLLMVRGHDSSRGRGRGGRGRGRGHGRGGGHRDKSEVQCYNCDDFGHFAWECPEKKKDEEKALLGVEDEPALL >SECCE4Rv1G0292830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883953379:883956600:-1 gene:SECCE4Rv1G0292830 transcript:SECCE4Rv1G0292830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSCPICGHQVLTAELERHANSHFEDDEVQRDMELAQLAMAAELSTSTVVDVPQHSKRPFPDDDYLAQGASSSIIRGPSPYGNVLYEQISCLVGAQVRSEIQRIEGGVMNLLRGCLESEGGSSTSIISGYVDHHQSLSSEDKGWGCGWRNIQIMSSHLLKQRAETREVLFGGCGFVPDIPSLQRWLEIAWDKGFDAVGASHFDSKVYGVKKWIGTSECVTLLRSFGVRARIVDFDSTESSNRQGKSSKRVCGPMDKYLIKTNPLPRSSSCELSQEDAENMRGQQVLVDWVWNYFSSKRADMLHNSKNVIVSDKTPLYFQHQGHSRTIVGIQKQKGNRGSQDRYNLLVLDPGHRTADLERSLTTKKGWQRHLKRGVHTLRKPQYQLCYVDPGVASSEETEQLKTIDSILIRF >SECCE5Rv1G0360850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:775551026:775556794:1 gene:SECCE5Rv1G0360850 transcript:SECCE5Rv1G0360850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAPPREDLITEDEEQRPPLTRPLLHRSATNNISQVAMVGSKACPIESLDYEIIENDLFDQNWRTRAKWDQVWYVVLKWTFCFAIGIITGVVGFLINLAVENVAGFKHAAVSSLMDSSSYWTAFWVLAGANLALLLLASAITASVSPAAGGSGIPEVKAYLNGVDAPNIFSLRTLAVKVIGNIAAVSSSLHVGKAGPMVHTGACIAAFFGQGGSRRYGLTWRWLRYFKNDRDRRDLVTIGAGAGVSAAFRAPVGGVLFALESLCSWWRSALIWRSFFTTAVVAVVLRLFVELCGTGRCGMFGKGGLIMYDVSTRFEDLMTYHLKDIPIVVLIGVIGAVLGAFYNFLMMQVLRVYSVINERGRAHKLLLAAAVSVLTSCCVFGLPWLAPCRPCPAEAGPNGACGSLNKFRRFHCPPEHYNDLASLMLNINDDAIRNLYATGTNDVYHPGSMLAFFLASYALGVVSYGVVAPSGLFVPIILTGATYGRLVAMLLGRHSGLDHGLVAILGSASFLGGTLRMTVSVCVIIVELTNNLLLLPLVMLVLLISKTVADSFNASIYDLIMRLKGLPYLDGHAEPYMRQLSVGDVVVGPLRSFNGVEKVGHIMHVLRTTGHHAFPVIDEPPFATAPVLYGLVLRAHLLVLLRKREFLPAQERYPKEYSIAARFEAQDFDKRGSGKQDTVDGVELSPEEMDMYVDLHPFTNASPYTVVETMSLAKALILFREVGLRHLLVVPKACDRSPVVGILTRHDFMPEHILGLHPVLLGGKWKRLRWHKAAVAKYFRDIIVRVANCG >SECCE4Rv1G0293310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885588666:885589832:-1 gene:SECCE4Rv1G0293310 transcript:SECCE4Rv1G0293310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCFLAQLLSSRSAAPISPLHRLLSAAAPAVSPEDPSSFAVEEYLVSTCGLTRPQAVKATPKLSHLKSPANPDAVLSFLAGLGLSSADVAALVAKDPQFLCASVENTLARNVDELTGLGLSRSEIARLISLTSTGRHFRCRGIVSKLQYYLPLFGSSEKFFRVLKQGGYHLGADLERRVKPNVALLQECGLGACDIAKLWRSAPRMLLTSLQSIQTMVECAEGLGVPRGSPMFKHALHAVSFISEEKIATKVDYLKKTFRWSDAEVGMALSRSPSMLRRSMDALQSRSEFLITEVGLEPEYIAHRPAMLNYSLDGRLRPRYYVLKFLKANGLLDRDRDYYSVFSLVEKVFVQRYICPYKEAAPHLAQDYDAAHSGEVPANFKFT >SECCEUnv1G0562760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:368549090:368550366:-1 gene:SECCEUnv1G0562760 transcript:SECCEUnv1G0562760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYDCKQVQVHNVSITSPGDSPNTDGINMGSSNHVNISSCSMHSGDDCVSILSGTTDVTVTNTTCGPGHGISVGSLGGAGDGPSLVERITVSNCSFFNTTSGVRIKSWQGGQGKATGFLFTNLTMTAVRLPIDIDQFYCPRGNCPERDGGVAIMDARFIDIQGTSSQQEAIKIMCSKSVPCHGIYLKNVDLSWANHTAPTQALVQNAYGSVVGTVKPQTQLAGN >SECCE6Rv1G0387220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:108844617:108845014:-1 gene:SECCE6Rv1G0387220 transcript:SECCE6Rv1G0387220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVATIALVLLFLTLGVEAELCKQRSRTFKGRCTHNDNCVAICLTEDFTGGYCHGVVERHCMCTKKCGQEPPEEPPEEPPEMIV >SECCE5Rv1G0334010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:563335455:563337285:1 gene:SECCE5Rv1G0334010 transcript:SECCE5Rv1G0334010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPCAPAICLQRCTYTMYSQPSQLLQGGLSQSMALWKHSRSHAVSYHAKMGLVSLPPKSTTSPRLQNGTCFACLEQQSKRGLSARDRILHAKLDMTSQHKFSSISWKSRTTRSLAQKIGGTGTVLSLSFAVSGIANAQGPVDNDIDSKSSTSCAHGKKVYTEYSVTGIPGDGRCLFRSVAHGACIRSGKPIPNEDLQRKLADDLRTLVADEFIKRRTETEWFIEGDFDTYISQIRKPHVWGGEPELLMASHVLQMPITVYMREEAASGLIAIAEYGQEYGKEDPIQVLYHGCGHYEALQIPGNSEPRSRL >SECCE2Rv1G0107430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:648879918:648882377:1 gene:SECCE2Rv1G0107430 transcript:SECCE2Rv1G0107430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQSDPYTRSSLQMQLIDTSTSFETSNLDLVRHEVPTPQVGMTFETVDLAYQSYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKAMMVVKYNASENHWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKDFMVRSFSQSNYSIEAAAKCGKLRFAEGDVEALLVFFDKMQAQNSNFFYNWDMDDEGRLKNVCWVDARSRAAYQHFSDVVCFDTVYLTYQFVIPLVAFLGINHHGQFVLLGCGLLGDESPETFSWLFKKWLKCMNDKAPEAIITTHSRPVVKAVSEVFLNTRHRYNLWHIMKELPEMSGRVEDKEAISLRMKKVVYDTITAADFEREWVEMANQYNLHDNRWLTTLFEERAKWVPAYVKDAFWAGISTVRRSERLEAFFDGYITPETTIKIFIEQFDTAMKLRSDREAYDDFRSFQQRPQALSGLLFEEQFANAYTINIFQKFQDQLKQLMNVTCTEVSRNGSIVTYTVTVIGKERKFDYRVMYNGAEKEVWCICRSFQFKGILCSHALAVLKQELVMLIPSKYILDRWRKDYKCPEETKETAIIPEAAKATGKGTKPENVREDKVDNLYNDGHQYFADIVEMGATDPDAMEYVLSVMKEAKEKVRRFEESRKEKRPEGNPVSASKKGAKSAKPSVEDVGNSTSVSALANTTATVAPTMMVAAASATPAAPTPMPVPSCTQMSVPPAMMAMATTSAAVPPGMFLVPMHPHMVFPPFTPGLPAAVPPVAPPPAPTANPAGVVPNPTKKRKKRKGNN >SECCE7Rv1G0464710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:63767195:63774366:1 gene:SECCE7Rv1G0464710 transcript:SECCE7Rv1G0464710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLAAPLRHVLRHPRLRPRRLLSSGPAPPASPSPPPASSSTLPVAAPPPPRHHLAPLRGPRRISPLLAISALSVATAAGTLYATTDNIEETLARTRASAARVAEQMRHTWTAGGVLCKSLMSVLSSANHEVRSGFELRVAALLADITAASAARRAAIVSAGGGAVVDWLLDSIVRGATQAEAARALANLLADPWVAPAVLGRPRAVPSLLQFIFSYQPKRGKKNSRHSSFDVSDHSKGRSMLVAALMDIITSNCDNADYSSFRPLLPADADIRDIAAAIEVIEEGGMHFDDHEDDSSDDGDRGLKGIGIKVLGGTTILGFSRANSSLKIGNSGDDILEVAQNSRMEVAQNSSGLVNQEPTVDYVDIERLSSHSTPGLWDDLQREHVAVPFATWALANWAIASDLNRTRIQELDSDGHAVTTALKAPERTVKWHGALVARALLEDQNLTLAPSVPDWSSSLLLTASQATENGDMSLAQMSLSTFLLSMIRCNESKFVIRQKGVHLLRSIAKKIEKENSQIGMKESLAVALSSLYSGEVPLSLEEAQRWSGILLCWLFDKSVSGTTHLTSVKILSSILDDYGPSSVPISQGWLALVLSEILGDNKTQSLKGATPPEPERVKNQVDYHNAYTATQVLNQLATAVVKLASIQSDYGSESGDKVPLYDFLSLEPFATALKNLNKKSPPKFDAVDSALATLKGIKALAELSSEDVTCQKRIADLGVVSLLKHILVGDDYEKLAAIEAYDASRIREVQDKNVSASDDSSTAATTDPRSVRVPPAAHIRRHAGRLLTILSLLPNSKKEIVSDDVWCKWLEECATGRIPCNDIKIKSYCRLTLLNVFCSENQNTESVSGEYPDSECEYKRKCPQFGDSLFLLNPELPLEVHLGNSGCGISRDACKDDGGIEHSGSETGSVEGSGAASRRVSPEVDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPRQWLSSDFPQARFFTVKYKTNLTQWTGASLSLQEVSSMLLRKLVAAGIGSRPVVFVTHSMGGLVVKQILHQAKLNNYDKFLNNTIGLVFYSCPHFGSKLADMPWRMGYVFRPAPSIGELRSGSPRLVELNDFVRQRYSKGLLDVLSFSESEVTPIVEGYGGWAFRAEIVPIESAYPGYGELVVLQNTDHINSCKPVNKNDPSYAETLAFLEKSLKSRGKRAES >SECCE4Rv1G0264290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:719766910:719771927:-1 gene:SECCE4Rv1G0264290 transcript:SECCE4Rv1G0264290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNACGAAEARVLCCADEAALCAACDEEVHAANRLAGKHQRVPLLPDAAPDAAAPPKCDICQEASGYFFCLEDRALLCRDCDVAIHTVNSFVSAHQRFLLTGVQVGLDPADPVPPVADKHVNTSGGSVDSLPKHLAKKNSTGLFSGENSASIPSQSAISGEYSRQISAPNTKTGMTNWTMDNSALRLAEPPPKYLSDGNSKLLLSNQTTAALSSQMSRDSGRAYNLPFSGSNGSDSLPDWPVDEFFSNLEYGPNFGFTEHGSSKGDNAKLGSAGGSPQCRLAEGLFAEDLLGQVPGFDAEDPWVVPEVPSPPTASGLCWQGNLHYPVYDGAMFVPEAPSLQSSQDHFTASAGFKRRRREF >SECCE4Rv1G0241230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448326305:448326847:1 gene:SECCE4Rv1G0241230 transcript:SECCE4Rv1G0241230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMVTGFMSYGQQTIRATRYIGQSFITTLSHTNRLPITIHYPYEKSITPERFRGRFHFEFDKCIACEVCVRVCPIDLPVVDWRFEKDIKRKQLLNYSIDFGVCIFCGNCVEYCPTSCLSMTEEYELSTYDRHELNYNQIALSRLSISTMGDYTIQTIRNSSGSKINKEKSSNSRTITDY >SECCE1Rv1G0057540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693890095:693893881:-1 gene:SECCE1Rv1G0057540 transcript:SECCE1Rv1G0057540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPPCECSRSRPAKFIMQMRGNFKDSMVIPERLVDHFGGKISGTIRLEAPNGQMYVVGVAKKMNTAVLQSGWEAFVHANQIQDNCYLMFRNLGISCFKVTIFGSHGEERISCGAGAKNLTHVKKASTYRADISSSSFDGASDSEGRKSGKTPAVNSSSDESSEDSLSEGESMESDDLQGLSKDYVLAGQCHLTEEQEDEIKEFVAKIRPETPVLVVMMKKSNVNHYPKLVISKDYAHAYFPHKTQFVTLKLPGKSKAWPCKFHIRPDGRGRNLSLGEFVRDNRVKKGDLCLFQPMTEVQSTRFTFMVHLLHKVGRTDICSNQGEDSPSEYGSIQSDHQETSSEVRYILSRRRRLDEEDEVGIDALIAEIQPEIPLLVVQIMKSNVSGPQPALIIPKRYAAAHFPTESQDIVLTLPGVKKKWHPHFHVRRGNIGYVLYGRWLEFVRDNRLREEDICLLQPINRGEGRRFMVTVHLLSKARRAGKSKGGDVVRGSKIGGTSTKAASMACVKDEPVDGTSDGCDRPGYVVLGCSARLTQEQEKVVADKVKAVRSEAPVYVAAIDKSNLSSALDLGATGHHAAADGHLPDGKQTLTLREAGWGKAWRVEMRHRRMVPAGGWREFAADNRLQAGDLCLLEPVVHKRLAMAVHIIRREHCR >SECCE4Rv1G0285800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:846516548:846520843:1 gene:SECCE4Rv1G0285800 transcript:SECCE4Rv1G0285800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGMAEYHHPPSPRAAQRRRLVLVLAPLLLFLAAALSFPSALRLPALRFPPRAAPAATAHHRRSPARRGRVAVCLVGGARRFELTGPSIARHVLGAPALDGRAVDVFLHSPLDADAYKFSLLARAVGDGTTTTLAAVRVFRPAPVEETPERARVLTAVNSPNGIQGLLQYFGLVEGCLDLIRERESRGNFTYAWVLRTRVDGYWTAPLAAADALPRSDGVYVVPEGSSFGGLNDRLGAGRRAASAAALSRVSMLPRLDAAGYRDLNSEASFKAQLEVAGVKPRERRMPFCVLSDRRYDFPPAGFGVPVASIGSPGPLSGAKCRPCRRPVACGPGDEEEEEECAVARLDRAWSWTEWRNGTLELCDASGPWEHGWEEVFDKAAGEEAAAERRRVAGMGLKECKAEMEALRARTDRWDAPSSDEICRLGLAPASRSDSSSSSSHSSSDRDSKQQLIEQP >SECCE5Rv1G0306030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:81824144:81827814:-1 gene:SECCE5Rv1G0306030 transcript:SECCE5Rv1G0306030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESTASEIFRRRLMIDGVDRKDAKDNQSAALDHLHDYYKKALHRLPPKLIPSLLEAGFSFGFLDPVSNIIANTVSQELQKCRKSKRLRAGNYTNAKKGEEKKRWQGLRDTAISKIIKESSKDILLVPGFTTLRNSSIAARSLAGLVTFLTSYFRYLTTCDALRYLRLSEADLLVAVHLIHKDRDIRTFTIHVPTAKIALQCAAISALHPTVAILVSRSFSLASCVDKASNLLATQDCISYSNIKELSKLFTSSKDMINQLNHVHHAVSKMQRRKRKTIPVELGLEISLKMVLLDRIHLFYLKAISCIPKNDLCSRHHRGLLKAGHCYGPFDPVTNIILNTIWYDTMFPPSQEFEVEMICTKSLARIEFLSLCGLVGYICACFPAYSVYEAMKCLLLCNARLDRVTETAKEQGHDGHIPFSESFAYGTAARTARHPSPTALVEFAMTLMPQVGKTLQSTLEIKQVLSSSDVCAISRTFSQTLLHAKSLEPVEKLSENASKIISEHQDNFKACQSTIVKKAEAALHRYAKKTGQEYELHFICDVNSTIPEHGACYCPHSYKYPFAHMNVWARRRGSQNADQVPTLFFIQFNNRDEDTESVAFLCCPIFDLSKDDGRCYHCEHKGIKIIHPSSEPYIGRHAEFQDMARGESTVNNKELIRYGQIGTLLDCTIEDEDSIYFDPAWDVDFTIFINETAKDRAMVQKLMKDSPGSVSDWIDKMMYEESLKPQLARKIIYA >SECCE3Rv1G0181310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:610491036:610491678:1 gene:SECCE3Rv1G0181310 transcript:SECCE3Rv1G0181310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGEDDDAATAEQGGEDEQTREAGGGGGVMASLVGKAKGFVTEKIAQIPKPEASLERVSFKSISREGVALHSHVDVSNPYSYRIPICELTYTFKSDGKVIASGTMPDPGWIGASGTTKLELPVNVPYDFVMSLMKDLSGDWDIDYVLEVGITIDLPVIGTFTIPVTTEGEMKLPTFRDLF >SECCE1Rv1G0040750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:565636546:565642314:1 gene:SECCE1Rv1G0040750 transcript:SECCE1Rv1G0040750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLHGEFLQVPIICSETPVMIEFVSNPSVGGSSDALSASLSACPSGRSASEVEIEVLFHDADGYVYDDGCCTGLPASVPFLGCDGDREDVEAGGATAGLLRGPSPVMEFVERDGNGELRWSEMEGRFGRSSSAHGNTAVENESASSAKEPVFVNQNSNPKRSISRSSSHGIRIALDSCERNSSSNLTEKDIKQAIMFSASLNKLSLSQDEATEYTHLILEEIQTGQGLAKLSGTRKGTLNDLQPTCWSTPIPTKHIQCMTSAAIVFFRAHWRRIWIIVMWLVACAALFTWKFMQYRQRLAFEVMGYCLPTAKGAAETLKFNMAIVLLPVCRNTITWLRRSRSINSVIPFNDNINFHKLVAAGIVIGIILHGGTHLSCDIPRIAMADKTIFGRTIAGDFGYHQPSYMEIVTSIEGTTGIAMVVLMLIAFLLASRPSRRNPGSLPPLVRQMAGFNAFWYSHHLFIVVYVLLIVHSMFLFLAKDVSEKTTWVYVVIPVMIYLGERMFRMVRSMAYESKILDAMTYPGKVLYLKMTKPPGFRHQSGMYVFVQCPQVSKFEWHPFSLTSAPDDDHLSIHIRSLGDWSYHVYDMFHEALRCSNLDLPKVSIDGPYGAASQDHSKYEIILLIGLGIGATPFISVLKDIANDLDKEGRTINHQSANGLRKVYFYWVTREQGSFEWFRDIMKEVSARDSKQGVIEMYNYLTSVYQEGDKRSVLISAIQALHFARHGIDIISKTPVRTHFSRPNWPRVFHGLARKHIGERIGVFYCGPDDLGRQLEGLCHKMNTRTFTRFVFHKERF >SECCE6Rv1G0422710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:690886004:690888990:-1 gene:SECCE6Rv1G0422710 transcript:SECCE6Rv1G0422710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQAAGFCARKGCKLLCLTHTVMVLVLVILAQAASLGTAAGPRVIIVGAGMSGISAGKRLSEAGITDLVILEATDRIGGRIHKTKFAGVNVEMGANWVEGVNGDEMNPIWTMANGTGGLNLRTFRSDFNHLARNTYTQDGGLYDEKLVEKIIDRADEVAESGRKLSGSLHHTGQPDMSVMAMQRLNYHMPSGPASPVDMVIDYYHHDFEFAEPPRVTSLLNTQPLATFNNFGSDIYFVADHRGYESVVYHIAEQYLKTDCKSGLIVDPRLKLNMVVREITYLPNSVMVKTEDNKEVYQADYVIVSASLGVLQTDLIQFNPELPWWKIMSIHQFNMAVYTKIFLKFPRRFWPEGPGKEFFLYASDRRGYYPVWQQFEKEYPGSDVLLVTVTDDESRRIEQQSDNQTMAEAKEVLRKMFPGKDVPDATEILVPRWWSNRFFKGTFTNWPIGVNRYEYDLIRAPVGRVYFTGEHTSEKYNGYVHGAYLAGIDSADTLVNCAEKKMCNYNVKGKHD >SECCE6Rv1G0446720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:845803676:845804899:1 gene:SECCE6Rv1G0446720 transcript:SECCE6Rv1G0446720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPSIADIQDELLEEIFSRLPTPADLARASTARASYRRVIADHAFLRRYRALHPPPLIGMLQLHEAFVPAQPPHPSAAAARSFAGFDFSCSSFLPSTAGCSWSPINFLHGRALLASAPVNEETGRRILVGSEVCDSHYCLFLTRDLAVCDPVHRRYILLPAVPGDLKALVRWPDLVQLETFLAPGDDEEDPVSFRVICLAQRRLNLLLLVFSSLDGQWHVLTYDQWGAQATCPPLLNSESGFCNRQFVHGCFYWHLHFRNELLVLDVRTMEFSTINLPPEWPDLNSFVIVEAAEGMLGMLTKGYDEESEDDRYWLTYSILRNNQWHSEKFIPLPVKRAILVGVAEGYLLVEALYTTSSQENLKFGYFSVNVKTLQVELFAALSKPILPGQLYAGFPPSLCAPTI >SECCE2Rv1G0064100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:1404167:1404832:1 gene:SECCE2Rv1G0064100 transcript:SECCE2Rv1G0064100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTGENRRLTGVREKGEGKFAAAITHPVTKTLLWLGTYKSPEVAACAYDLAARELKGTKAKLNFHYPPPARLVKEVIAAPRHRSHGHDAPLFQVVTSPPDPTAPPPPPPKLVVYFPFPFEAPAGDTPPVPAYPFLHMPLPAPAHISMQPAHVHPPAPQQQQPIRRMQIVAQAESCLSSSRDPLGAPSHHMVFNKPKLFVVPVTTNAPTEGADDNFTKP >SECCE7Rv1G0497450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:625759438:625764895:1 gene:SECCE7Rv1G0497450 transcript:SECCE7Rv1G0497450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGVTGVAVKLGELAAAEATALLRVDTEIRSLRRKLAYLQALVRGADRARRGRANELLLLWLRETREVAFEVEDAVDEFHLRVEAFHLSAKGRRGWWHGAAFNLVQGLATQVVVRHGLSNQIVKINERIDELNQNKETYAIESFPSETWNSSSIEADPEWYEDGYVEDSRESEFATLKDQIIDKEKIVCHRAVISILGECGIGKKTLARKLYNDPDIMRNFEVHAWVCLPPHIRFTDYVETMYKQVSSEVPEAPEKVDKTSLAPGDGETISKERKLRELMQNRRYLVVLDGLVEISDWNSLLDVLPDDNNGSRILLTTRLSVKEINNMDPRIAPLELGCLETIHGQQLFCRRVFGAKKPPEIYKCKDYYDKVHNISTGLPLAIIVLAGVLRSKVIPTEWDDVLEQLETNGQPKPVGRIWSLAFDDLPHHLKSCFLYFASMSENIIVYPDRLVRLWIAEGFVVPKKGKTLEEVGFDYLKDLVSRGLVQVMDKDAGGRIKLVAIHNLLHAFLESEARDSGFLEIHHQANVLNPNAVRRLAVHNYVDSFVNIPNEFPKLRSLLCDFAEDQRSSSIYESSQPQTPWGSFAEMFLRACGSSVRAGLKTIHGLHFLQGSRFLRVIDLNGLKIQKLPHEIGSIIHLRYLGIRNSNLAELPSSISKLDNLQTLDVRRTNVRRVVDEFWEIEALRHVLAEKIMLPICRVSLNNLMTLDGAEPSNPWHDQICPLNYMLCLRSLSLSGISETHTKALSAALRKMEFLVNLNLSGEVLPSTMFTDSSMRRLQVLTLHGKLEDLDASQSDRYVMPNLTMLHLHKSELSQPFVDKLAVLPCIAEMELSYCSYSGATLVFPGRGFQSLRKLKLINLCALEELVVEPGAVPMLSVLAMHDCRRLKVLNGLNDLEHLQELALYNMDVLVDTLKLADEKLCDKIKCLTTPTKVDRGVLAGSWVRNLGRPAMTSSDSAAPELPCGDLGRSGSVGRKATDDIEVHYSSGGAWTRLAQV >SECCE2Rv1G0129080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:866544708:866549270:-1 gene:SECCE2Rv1G0129080 transcript:SECCE2Rv1G0129080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPAGVDNTFRRKFDKEEYLERARQRERDEKDEARRGKDRGPPVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFEALKKRKDPASFSEQDLDERIWKQQQEEEEKKRQRREKKKEKKKDQAGQNEPEDIDPDVAAMMGFGGFGTSSKK >SECCE4Rv1G0279200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:812397546:812398108:1 gene:SECCE4Rv1G0279200 transcript:SECCE4Rv1G0279200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSASLLKRFSGIAQSQKGCRYDPERAGIGIVSAIMEPTDARLHIVGPLIHTNAREILEVTLPNLIWSNRRPFRAAILSCGLCRSWIGSGTVYMYKGEMGFCKPECVNDYIVEQLEKQTQRLRWCQREKVPPMKDDKEGNQRSMFFTCAGSL >SECCE4Rv1G0290880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874445022:874447024:-1 gene:SECCE4Rv1G0290880 transcript:SECCE4Rv1G0290880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALTLLEAMRAPRAEGPATVLAIGTATPTNCVYQADYPEYYFRVTKSDHLTDIKQKFKKICDKSMIRKRHMLLNEEMIAKNPTLCEFNMPSLDARQDILHSEIPKLGMAAAQKAVKEWGQPLSRITHLVFCTRQAVDMPGADYQLAMMLGLHLSVRRVMLYQQGCFAGGTVLRVAKDLAENNHGARVLVVCSEITAATFRGPSATGSHLDNLVAQALFGDGAAAVVIGADPDEPAERPLFKLVSASQTILPDSEGLIGARLREVGLMVHIHKDVPKIISKNIKSALVDAFSPLGIKDWNSIFWVAHPGGPAILDMVEAEVHLQNNKMRASRHILSEYGNISSATVLFILDEMRKRSAEDGHATTGEGMEWGVLFGFGPGLTLETVVLHSAPITSPREPY >SECCE6Rv1G0386980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:104150073:104158156:-1 gene:SECCE6Rv1G0386980 transcript:SECCE6Rv1G0386980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MAMAMRRAAALGARHILAASASASSRVASRRHMGSVDAGAAMEKIRAAGLLRTRGLIGGKWVDAYDRKTIEVQNPATGEVIANVACMGSRETADAITSANTTFYTWSKLTASERSKTLRKWHDLLMSHKEELALLMTLEQGKPMKEALGEVNYGASFIEFFAEEAKRVYGDIIPPTLADRRLLVLKQPIGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGALNVVMGNAPEIGDELMQSTQVRKITFTGSTAVGKKLMAGSASTVKKVSLELGGNAPCIIFDDADIDVAVKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFVKAVQSLQVGNGLEESTSQGPLINEAAVQKVEKFVGDATSKGANIMLGGKRHSLGMSFYEPTVVGNVSNDMLLFREEVFGPVAPLIPFKTEEEAIHLANDTNAGLAAYMFTKSIARSWRVSEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGMDDFLEIKYVCMGNLG >SECCE3Rv1G0144150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3239254:3241655:1 gene:SECCE3Rv1G0144150 transcript:SECCE3Rv1G0144150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEALVLALLFLDLDYGISRATATSEGDFFNNCPPSRCIEGGTEIRFPFRLATSTPSCGAPGLELACSREADTILLHPILGLCKVTSIYYNFSVLNVIPLEESWTRCPLQKMSSTNLSTSVYIPESYRGETAILLRCSRELIPMEKAGTRSGNVKSIVGPISCLSNTSQFMYLMDGSEPMYILPLDCTVVSHGIPTPWGYDIKSVWLFAERAKRVIAFGETTLTWSVPNITDICLECERNGHPCGFSSQRRQAFCKRQGSRVKVIAATSSVATFVVLLLTAATALYRSLKSKVDEEVRLKIEMFLKAYGTSKPTRYTFSEVKKVTRRFKDKLGQGGFGSVYKGQLANGVPVAVKMLENSKSDGEEFMNEVATIGRIHHANVVRLLGFSSDGTRRALIYEFMPNGSLEKYIFAHESDICRELLAPNKMLEIASGIARGIEYLHQGCNQRILHFDIKPHNILLDYSFSPKISDFGLAKLCARDHSIVTLTAARGTMGYIAPELYSRNFGRISSKSDVYSFGMLVLEMVSGRRNSDPWIENQNEVYIPEWIYEKISTEQELEPSRDMTQGEKDTVRKLAIVALWCIQWNPKNRPSMPKVLNMLTGALRSLTMPPKPFVSSPGHPMPQII >SECCE4Rv1G0250170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:589735553:589736887:1 gene:SECCE4Rv1G0250170 transcript:SECCE4Rv1G0250170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLFSSMRDAAVRPDVVTYGILVNDLCKAGRVGDALRVLDGMSGSGSDVRPDVVTLNTVVDGLCKSGRVQEAVAFVEARMKNVYGCAPNTVSYNCLADAFCRMGNVGMASEMVERMVREGVAPNIVTLNTIVGGLCWVGRIGGALDFFREKRSVWPEARGNAVTYSTLVGAFLHTNNVGMAMELFHEMADEGHSPDAIMYFTMISGLTQAGQLEDACSMASSMKKAGFKLDAKAYNILIGGFCRKKMMHEAHEWLGKMKEAGFRLDVCTYNTLLSGLCKAGDFLAVDELLGKMIEDGCRPSVVTFGTLVHGYCKAGKVDEALKIFRSMDESDIQPNTVIYNTLIDFLCKNRNASLAVELFDEMSEKHVPANVTTFNALLKGLRDKNMPEKAFELMDQMTKERCTPDYVTIDVLMEWMPVIGETNRLKNFMQQVGRMAPKRTTT >SECCE1Rv1G0000500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1951388:1953351:-1 gene:SECCE1Rv1G0000500 transcript:SECCE1Rv1G0000500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIRTTPAATGAGVTPEHARPPPMVRFNPLSDRFHTLGFHHVEFWCTSAASAAGRFSFALGAPLAARSDLSTGNSVHASQLLSSGSLSFLFTAPYANGCVAATASLPSFSADAARRFSADHGLAVRSIALRVADAGEAFCASVDRGARPAFAPVNLGRGFGFAEVELYGDVVLRFVSHPDGTDVPFLPGFQGVSNHGAVDYGLTRIDHIVGNVPELAPAAAYIAGFTGFHDFAEFTAEDVGTAESGLNSMVLANNSEGVLLSLSEPVHGTKRRSQIQTFLEHHGGPGVQHIAVASSDVLRTLREMRARSAMGGFDFLPPPLPKYYEGVRRLAGDVLSEAQIKECQELGVLVDRDDQGVLLQIFTKPVGDRPTWFLEMIQRIGCMEKDENGEEYQKGGCGGFGKGNFSELFKSIEDYEKSLEAKQSAAVEGSYI >SECCE7Rv1G0467780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:91169025:91170194:-1 gene:SECCE7Rv1G0467780 transcript:SECCE7Rv1G0467780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRECVISRLLSSPSTSTAAISPLLRLLSASASADPIYPNPGGFVVEEYLVDTCGLTRPQALKASTKLSHLKSPAKPNAVLAFLSSLGLSHADVAVVVSKDPLFLCAGVERTLVPVVDGLTGLGLSRSEIGGLVSLAHCRFRCRTIVSKLRYCLPLFGSFENILRLLKHESHLLSSDLDKVLKPNLVFLQECGLGGCDIAKLCIGAPRVLATNPERVRAMAACAERLGVRRGSGMFRQGLHAVAFLGEEKVAAKVDYLKKTFKWSDTEVTIALSKAPMLLKKSKDKLRRRSEFLLSELGLEPAYIAHRPVILYYSLEGRLRPRYYVLKFLKETGLVDRDRGFYSVLTKTERDFVEKYICPHKEAAPHLAEDYATACKGEVPTNFRFT >SECCEUnv1G0545220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:137754733:137757778:-1 gene:SECCEUnv1G0545220 transcript:SECCEUnv1G0545220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKLKNLNYVPHRPSTDNPPKKFLGLFGRNNPLGKYQKRLDDLEENVRMEQSDATRRREIPAAFVSFKSRYASANAIYIRQSDNPTEWQTEHAPDPHDVYWPSFSTSFMERWISKFVVFVASVLLIIVFLLVVAFIQGLTYMDQLEAWLPFLRNILEIAIVSQLVTGYLPSVILHFLSSYVPSIMKLFSTMQGFVSVSGIERSACNKMLRFTIWTVFFANVLTGSALNQLNIFLDPKEIPERLAVVVPAQASFFIAYVVTSWTSITSELTQTSALLYHLWGSCAKCCKGEDSEAPSMHYHSEIPRILLFGLLGLTYSIVAPLILPFVLTYFCLGYFIFRNQLCNVYAPKYDTGGRFWPIVHNATIFSLVLMHLISIGVFGVKEFPLGSSLLVPLPILTLLFHAYCGNRFYPIFEAYSTESLVNKDIQEQSKPEMAEFFSSLETAYCDPALKPIQRSSNSDERTSPLLSSV >SECCE6Rv1G0417190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:655660988:655661788:1 gene:SECCE6Rv1G0417190 transcript:SECCE6Rv1G0417190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVTLQYIANDSTRRGTFKKRLRGLMKKAGELAILCDVKTCVLVYGEGEPAPEVFPSHAKAAAILTRFRSMPELGQCKNKMNQAGFLTQRIDKLRDQVDKSRRECRDREIKVLLHRAMLGALPGLAGLTIEDLTSVGWKVDVLLRSIGERIDKIHSLSMQAPPPAAYQLTAASSSSMEDHIGSPASLYQVQAPLQQQQQQEGWLDMVPRHGEDLGTQMLYGGYTTGGHDGASFSSSSSGGDMNMMMMMQPFDLGFGLSHFPPM >SECCE7Rv1G0498670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:643369248:643370689:-1 gene:SECCE7Rv1G0498670 transcript:SECCE7Rv1G0498670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTGPAATATVTRVAQRVVAPSAPTPGGELPLSWLDRYPTQRALIESLHVFKGRAGDEAAESPVKAVERALAAALVSYYPIAGRLALSDSGELVVDCTGEGVWFVEATASCTLEEVDYLEYPLMVPKDELLPHPTYPASDPLAEDSVILLVQVTQFACGGFVVGFRFSHAVADGPGAAQFMSAMGEIARGRAAPSLTPAWGREAIPSPPAASVGPLPVPTELRLQYLAMDISTDYIDHFKARFLEETGHRCSAFEVLIAKAWQARTRAAGFARGSPVHVCFAMNARPALRPRALPDGFYGNCYYIMRVSAPAEAVSDAPLNDVVRLIREGKKRLPSEFARWSRGEMGDGGDPYRITSDYRTLLVSDWSRLGFAEVDYGWGAPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADGVDAFHRDMMRLD >SECCE4Rv1G0242780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:489222906:489227351:-1 gene:SECCE4Rv1G0242780 transcript:SECCE4Rv1G0242780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT1G27920) UniProtKB/Swiss-Prot;Acc:Q9C7G0] MGSLRTAGTAQLLALPESSSTYLLQELKMIWDEVGQEENERERILEELEQECQEVYRRKVNSANMSRIQLHQALAESEAEFTNLLLSLGERSFPGRPEKMNGTLKEQLNSITPALQEMQMKKEARLKQFTEVQTEVQRIASEIAGRSENEVVTVNEDDLSLKKLEEHQSELQRLKREKGDRLCKVEEYKVLICNFAKIMGMDPSNVLASVHPSLLTGANEQQKKNISDDILNKLNTMVQQLKEEKNHRLEKLHSLGKALTNLWNILDTTMEERQPYGQINIFSLTSANGMLGPGSLRLEKIQQIESEVQRLDQLKASKMKELFIKKKAEIEEICKISHMDVPYQTEMGNIMELIMSGDVDHDNLLKTMDGYIYKTKEEATSRKEIMDKVEKWITSCDEERWLEEYSRDERRYSVSRGAHRHLKRAERARIIANKIPGLVELLIEKTEIWEHGRKKIFYYDELPLLEMLKQYMLTLKEKEEERCRQRENKKVQTLLVKRNENSFISRPNTGCSRPSSRGFNTSHRSTSFSSHRVSSADQQPISDNSAEKDMHIRKVRDRSMQNKVGNNRSRTVHDEDKTSGVSTKHGLSSI >SECCE4Rv1G0231650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:186910876:186914237:1 gene:SECCE4Rv1G0231650 transcript:SECCE4Rv1G0231650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTESLHEKTIVFGLKLWVVIGIAVGASLLGILLILVICLTIQSWVRRSRRAFKELPMTQIPSAFKDITEVRVADQFSPNDYVVHDGLLLAIENGPVESTDKDAVQSAQEDNSRHREENNLSGSLVHTDDCDGIQSVSVCEQPSVHATADSAPLDGLPEFSYLGWGHWFTLRDLDVATDHFAKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGNVRHKNLVRLLGYCVEGTQRMLVYEFVNNGNLESWLHGELSQYSSLTWLARMKVLLGTAKALAYLHEALEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVLLLEVITGRDPIDYDRPPSEVNLVDWLKLMVANRRSEEVVDPHLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSSETIPQEERRPRQNRISENTETVPLRGKNSIEKSDAPEHEERPPRSKSRPFSSK >SECCEUnv1G0531200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16435759:16436085:1 gene:SECCEUnv1G0531200 transcript:SECCEUnv1G0531200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSISIAVASPASGWKSGRKAGAAPAPAEGEAEAVLLRRRNAELEREVALLRAELEAARLRAEAAEEAEERLCAQLGEAECEALELARAYQGHVQELARELAAARSR >SECCE5Rv1G0355140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:729419405:729419952:1 gene:SECCE5Rv1G0355140 transcript:SECCE5Rv1G0355140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMAAAAKIAVLLAATALFAAAGAADPQPPPPGKETQCWQCYSSCIHKCDNSSSGDVYKYFQCKKSCILDCYKELPPVCFKMCISETCLTLPPDKQVDCYKACGTKCFHKQPYPGPNPGPKPMPPPPGPPKPMPPPGPPKPMPPPPKPTPPKAT >SECCE1Rv1G0043570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:594236321:594237118:-1 gene:SECCE1Rv1G0043570 transcript:SECCE1Rv1G0043570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTMALSSPGLAAAKIASSNVFGEGRITMRKTAAKAKAKPAASSSPWYGPDRVLYLGPLSGDAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRVAGGPLGEIVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGYFVQAIVTGKGPIENLADHLADPVNNNAWAFATNFVPGK >SECCE3Rv1G0204470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:895012716:895013608:1 gene:SECCE3Rv1G0204470 transcript:SECCE3Rv1G0204470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhN [Source:Projected from Arabidopsis thaliana (AT5G58260) UniProtKB/TrEMBL;Acc:A0A178UG88] MWSGAAAAAAARTVSPPLHAPTCLSGRRGAGRPSTVSVRAGGGGLMDFVGGDLVKPDLGRWLDDVEKHKALAIYPPHEGGYEGRYLNRLRYQGYYFLDLSARGLGDPESTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLIVWVYEAKVLSKAELQFLAMLPDLRPKVRVIAECGNWRKFIWKPLKQISGLEPDPDAEE >SECCE2Rv1G0084130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172862241:172862891:1 gene:SECCE2Rv1G0084130 transcript:SECCE2Rv1G0084130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIASMSSSLAVLLLGVLLLSRGSVSNAARRLEEAEVPPDLPAPLPKPDLLLPPLLTPGLLPRPELPPLPTPDLLPRPELPPLPTPDQLPKLPLPAPDVLPLPLLPPLPIPDMLPLPELPPLPKPDVEPTPELPPLPKGEEPPKTKLPPLPTGEIPPKPVLPPLPTGELPPKPEVELPPKTGEPPKPELTPLPTSELAPTPAQPPLPKPAEPKP >SECCE4Rv1G0221540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:49842740:49845992:-1 gene:SECCE4Rv1G0221540 transcript:SECCE4Rv1G0221540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGVSLALLVLSAVAAAPQLVGAAKAEHSLGIIQKDIIQTVNNHPNAGWTAGHNPYFANYTIEQFKHILGVKPTPPGLLAGVPIKTHPESVDLPKEFDARTQWSSCSTIGNILDQGHCGACWAFAAVESLQDRFCIHLNVSVSLSVNDLLACCGFLCGSGCNGGYPISAWRYFRRSGVVTEECDPYFDQTGCQHPGCEPAYPTPRCQRKCKVENQAWKENKHFSVNAYRVHSNPHDIMAEVYKNGPVEVAFTVYEDFAHYKSGVYKHITGGVMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGKNECGIEGDVTAGMPSTKNTARNNDAAFGTAVL >SECCE3Rv1G0167970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:212256651:212259885:1 gene:SECCE3Rv1G0167970 transcript:SECCE3Rv1G0167970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase 1B [Source:Projected from Arabidopsis thaliana (AT3G06110) UniProtKB/Swiss-Prot;Acc:Q9M8K7] MSVPEAGQSAGRDEQEMDDYEQHQTRVLMALMQGLCAARYRKADNIPCLVDQGLYLGSVGAALNNEALKSLNITHILVVARSLNPAFPAEFTYKKIEVLDSPDTDLGKHFGECFTFIDEAICTGGNVLVHCFAGRSRSVTVVLAYLMKKHQMSLQSAMSLVRSKRPQIAPNVGFMLQLVNFEKSLQVEQGRRTLQSN >SECCE5Rv1G0337130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588618607:588621297:1 gene:SECCE5Rv1G0337130 transcript:SECCE5Rv1G0337130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASALPPLLVAAVLLLMAASATAMTVEDSAPDNIQPLSTLNLAAAQVAMDSASAIHASPDVLGKDGEDSAWVTVNFTTPSPSSDHWIGLFSPADFSSGIGSSKVAAEEDAPAGLPVAPIKYKFGNSEPDFLRTGGGKTSFLVINQRSDYAFGLFSGGKDNPKLLAVSNKISFMNPKAPVFPRLSQGKQWNEMAVTWTSGYDIGEAYPLVEWRIKGEETSKRTPAGTLTFTRGHLCGDPARGQGYRDPGFIHTAFLKDLWPNREYSYQIGHELQDGTVAWGKAATFRASPYPGQASLQRVVVFGDMGLGAKDGSSELQGFQPGAQVTTDRLIKDLPNYDAVFHIGDLSYANGFLAQWDQFTAQIEPIASKVPYMVASGNHERTYMDTGGFYNGNDSHGECGVPAETYFYVPAAAHRGKFWYAADYGMFRFCVGDTEHDWRPGSEQHAFLDACFAGADRKHQPWLVFLAHRPLGYSSNDFYAQEGSFAEPMGRALQPLWQRHRVDLAVYGHVHNYERTCPVYENTCTVKGKDRQSSYAGAMGGTIHVVAGTAGAKLRSYAGGAWPQWSVARNESFGYVKLTASDHSSMRFEFIHSDDGAVHDAFTITRDYKDIMACAVDSCAPHTLAN >SECCE2Rv1G0132250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888765755:888769605:-1 gene:SECCE2Rv1G0132250 transcript:SECCE2Rv1G0132250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQIRHSPRPCARGPKAPSVTGTAGAPGWTATMLRPAGALERRDGPRPTLVPSAARSQLKMLHPNTSHGAATTATRAVAGSDVQTTSAATSARVQGKLLLQSSDAPNGPLKLSLQLVSATVADNDGRGVRGEAAALDAVVSSGETEVDVELLWDEALGAPGAVVVTNNSDFPVYLKLLSLSSPAVHFVCNGWVYPVDKHPYRLFFTNDSYVKEKTPPALLKDREDELRLLRGEGAPADEPLQKWDRVYDYALYNDLGNPDVREDLARPVLGASEEYPYPRRTRTSRPPSKADPASETRAPLDEGVYIPCDERTGPVAKAPNLPKLDGHFGALADVHGLFDTNAKPIAFPVPQVISANRTAWRTDEEFARQTLAGANPLSIELVTGLPLTSKLDRAVYGDQDSKITKEHIEKNLGNMTVAQAIEKDRLYAVDHHDWVMPYLKRINELPGAEEKGELSQRKSYATRTLFFLQDDSTLKPLAIELSSPHPEDEHLGATSTVYTPPGEVKAGDDTFTSWDLAKAHAASNDACKNNYVLHWLRTHATMEPVVIAANRQLSVLHPIHRLLKPHFRNTLDTNSTARHIVIGSGDERQGGALYRNMHEVNFFTGKYGLEMSSKAYASFNFTELAFPNELIKRGVARGDPKKSEELELLIKDYPYAVDGLEIWTAIKEWVTEYCAIYYPDGDGAVAGDSELQAWWSEVRNVGHGDLKEAPWWPAMDCVDDLVETCATIIWLGSAYHSAVSFGQYAYNGFVPNRPTVTSGEVPADAKAEMTEAEFLGHITPKNEAFGLMALTMSPPVKPGEPLMGERPDTERWTSEQRAAKALLEFNAKLDAVAEAVKKRNADGALKNRNGPVEVPYTLLAPRADPAAPHVRGIPNSIAV >SECCE5Rv1G0297880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:6836815:6841064:-1 gene:SECCE5Rv1G0297880 transcript:SECCE5Rv1G0297880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASSPPLLLVLLVVAAAVAGARLPVDAAGNTTLGELRVKNGLGRTPQMGWNSWNHFYCGISEGVIRETADALINTGLAKLGYKYVNIDDCWAELKRDYKGNMVPNKRTFPSGIKALADYVHAKGLKLGIYSDAGTQTCSNKMPGSLDHEEQDVKTFASWGIDYLKYDNCNDAGRNVKERYTRMSNAMKKYGKNIFFSLCEWGVENPATWARGMGGNSWRTTGDIADNWDSMTSRADQNDRWASYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLLGCDVRSMSPQTKNIISNTEVIAVNQDRLGVQGKKVQSDGGLEVWAGPLSGNRKAMVLWNRQGHQATITAHWLKVGLPVSAAVTARDLWAHSSFSAHGQLSASVAPHDCKMYILTPK >SECCE6Rv1G0432340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:751023073:751024997:-1 gene:SECCE6Rv1G0432340 transcript:SECCE6Rv1G0432340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECSEDRCWEDLTRDALGLIFCKLSLQEILTVVSVVCKPWSKVVSGSDCWQDIDIQEWSQQSEPDQITSMVHMLLTRSAGSCHRLSVSRLPNDSLFAFIADNAQSLKTLEIPRSKINDFIVEDVAQRLTKLTFLDVSSCTKIGARALEAFGKNCKSLVRLRRVMHPMDVAGKVCHNDEARAIACNMPKLCHLEIGYMIIVTTAVIEIASQCQDLKFLDLRGCWDVDGKSLQAKYPGLKILGPAVDDCYENNFWDECSDDDPIGAWDEFVDDDYFTIGSDDEAIWDDDHALE >SECCE2Rv1G0104640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:608997056:608997406:-1 gene:SECCE2Rv1G0104640 transcript:SECCE2Rv1G0104640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETPCSVVVPRRSPARFRLPRHGLRRKVHVVRLGNGGAGAGAGARAGGVRGLCGLRRRIKLPWFRSAMWRLAELCAAVLSGPPATADATPSWTGVEPCFAAPFLPAALVRRARQE >SECCE4Rv1G0242450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:478216173:478219225:1 gene:SECCE4Rv1G0242450 transcript:SECCE4Rv1G0242450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKASLPVIRRRIGGVGLLRWVVRVASSIVLWTALLQLSTFFGLPLSPLRAARPSCIGNRNTSATASAVTAVASDVAGDLAPPALPTRRSYKSNGYLLISCNGGLNQMRAAICDMVTVARYLNLTMVVPELDKQSFWADPSDFGDIFDVNHFIYSLRDEVKVIRELPHKFNGKVPLSMQPISWSSDKYYLRQILPLVRKHKVIRFSRTDSRLANNGLPLKLQKLRCHVNYNALRFTPSIEALGNKMISSLRKTGSFVVLHLRYEMDMLAFSGCTHGCSGQETAELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTRIYIASGEIYGGEKRLAALKAEFPNIVRKEMLLSEDELHLFQKHSTQMAALDYLVSVASDVFIPSNDGNMAKVVEGHRRFMGFHRTIQLDRKKLVELIDLFEDQELSWNEFCTAVKELHEGRMSQPTRRKVIAGQPKEEDYFYANPYECLGPARKRREKLKHTD >SECCE2Rv1G0075760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:85417553:85418122:1 gene:SECCE2Rv1G0075760 transcript:SECCE2Rv1G0075760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMRNPKVMAKTHAEVRRTLENKSPVDHEKLLDELSYTKMVIKKTMRLNPVVPLLIPHLCRETCDIGGFEVKEGTRVLVNAWAMARSPEYWENAEEFKPERFEDGTATYKGSRFEYLPFGTGRRNCPGYTFGLATLELVMARLLYYFDWSLPAGTQLDELDMETSMESTARRKNPLHLVATPYKGAC >SECCE4Rv1G0296430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:903062026:903063834:1 gene:SECCE4Rv1G0296430 transcript:SECCE4Rv1G0296430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-thioredoxin reductase, catalytic chain [Source:Projected from Arabidopsis thaliana (AT2G04700) UniProtKB/TrEMBL;Acc:A0A178VTW5] MMSTAAATATAGTPVCPPPVSRGGRRSGRCAVRAQAAAGGGDGAEQKSLEIMRKFSEQYARRSSTFFCSDKSVTAVVIKGLADHKDQLGAPLCPCRHYDDKAAEAAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGEDQAISLEEIKEATSKY >SECCE2Rv1G0064590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4411754:4412182:-1 gene:SECCE2Rv1G0064590 transcript:SECCE2Rv1G0064590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAAEKTPAAEKTTAGKKPKAEKRPPKSKEGGADKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE1Rv1G0040350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:558139822:558144328:1 gene:SECCE1Rv1G0040350 transcript:SECCE1Rv1G0040350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRAHFVILVLAYRLLVPLSAEAQHTKESYVVYMGSPSASGGAGDVEAVRAAHLEMLSSVVASGEQEPRPSTPSLTHSYHHAFEGFAAELTQEEAAALSDHEMVVSVFRDRALQLHTTRSWDFLDTQSGLSTDRLGRRASGDVIIGVIDTGVWPESQSFNDAGMGDVPARWRGLCMEGPDFKKSNCNKKLIGARYYGIQPGSAAPTSSNASLGAATVTLSPAAMAGSPRDTVGHGTHCASTAAGAVVADADYYSLARGAAKGGAPASRVAAYKVCSMGGCSSSALLKAIDDAVSDGVDVISISIGMSSAFASDFLSDPIALGAFHAHQRGILVVCSGGNDGPNPYTVVNSAPWILTVAASSIDRTFQTSIVLGNGNVVKGVAINFSNQSLSGDRFPLLLGAQVAGRYTPVSEASNCYPGSLEVQKVAGKIVVCVGTNKMVSRRVKKLVAEGSGASGLVLIDDTEKDVPLDAGSFAFSQVGADLGAQILDYINSTKNPTAVILPTEDVKLFKPAPMVASFSARGPGGLTESILKPDLMAPGVSILAAAMPSTDKADVPDGKKASAFALKSGTSMACPHVAGAAAFVKSAHPGWSPSMIRSALMTTATTRNNLGQMVASNTGAVATGHDMGAGEISPLRALSPGLVFETTAKDYLDFLCYYGYKAKLVRMVSGDAGFACPRGAPSPDLIATDINYPSISVPRLAAGKAVTVSRTAMNVGPSNVTYTATVEAPVGLSLKVLPERLVFSRRWTTAAYQVSFASTGASKGYAYGAVTWSDGAHSVRTPFAVNVV >SECCE1Rv1G0052630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:663197727:663198188:-1 gene:SECCE1Rv1G0052630 transcript:SECCE1Rv1G0052630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADKKPAAENKVEKAAEKTPAGKKPKAEKRLPAGKTASKEAGGEGKTRGRKKGSKAKKGVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE4Rv1G0266580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:732254603:732255520:-1 gene:SECCE4Rv1G0266580 transcript:SECCE4Rv1G0266580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEGSRTPPPGRRRVSASPVVRRGRSPTRRGCREVVVQQEVVHQASSTVVYPPLTATNYFEWSLIMKVNMEAQRVWDAIEGGGSFSQDRAALAAILRAVPEEMHSTLAVKATAKEAWDAIKIMRVGDARVREAKAQTLLKEFDAVRMRSGETLDELAMRMNGIANKLRTLGENLDEVKVVKKLLRIVPSKYTQVAIAIEQLLDLKTMSMKELVGRLKTAEDRSDLDEGANNYDQGGGSRLLLTEEEWLARHRSGAGKKKGNFDIRKVRCYNCQEYGHYSKDCTEPRKQRALLAAATADDEPGLL >SECCE7Rv1G0491310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:523270636:523273853:1 gene:SECCE7Rv1G0491310 transcript:SECCE7Rv1G0491310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSPPSSAAVAYSSSSPSHLSPADGFLCVKEGVDEMIKHLANEPSLGLYFVQQHAQASMPILLDVKGKVTEKTREITLHTEDIEDSVCAVRSMAEFGLPIADDMIKDINKSLKIMSKTQPKKGLIQNPIWGFQSDKSSEAWNDLNATNGGISKNYLSSMLNTAKQKASSLRWPQTDFAMNDGISEKSVSSTAQESSQAEGHGASTPSDAERDETSTSGRLLENKNPATMNQGLSASDISHTAESYNKFKEEQELKLQEWLRESKEAEDNRG >SECCE4Rv1G0290650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873552561:873552998:-1 gene:SECCE4Rv1G0290650 transcript:SECCE4Rv1G0290650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPVVATAEKTTAGKKPKAEKRAPASKEAGGEGKTRGRKKGSKAKKGVETYKIYIFKVLKQVHPDVGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE5Rv1G0304510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:59388874:59389134:-1 gene:SECCE5Rv1G0304510 transcript:SECCE5Rv1G0304510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMGFSYAQVHVKQERVRRRISDGEKAAAGTAMGKSMAGEEEKQKESFTGENEKKEACNSWTTGRVHPWPWGSSPAAAAPKGGHR >SECCE6Rv1G0404620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:521976475:521976939:1 gene:SECCE6Rv1G0404620 transcript:SECCE6Rv1G0404620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPALEESYARLGVGFGGRWRRARGFRLCARSRLSVRRLRAKLLTFLGLVGRQARHLAGRLSRRGGSSCPRSGSARTLVGGSGSQRWCPPGGEAAASKHKPQQQQAPRSAASFMRTNSFYAQAIAECLEFIKRNSVPVEDYGSAVVARGGGR >SECCE3Rv1G0147970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:17079040:17079975:1 gene:SECCE3Rv1G0147970 transcript:SECCE3Rv1G0147970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRFKQLDMIGAGGFGVVYPARDRHTGEIVAIKYLRTNKYGHDDSGDRYLSAFAGEVSALEKCSGHPSIVQLRASGQHDGEAFIAMEFVGPTLRHVMKRVRFGRRHTEMEVCLMMRQLLARVMRMNHLGLMHRDLKPGNVLVDHRRNLKICDLGLSCSMADGPPYSNTIGTRGYRAPELLLGSTNYDERIDSWALGVMMAELLAGHHPFYGKTDMEHLSEILDLLGMADIKEWPGYDGRRLPGGWALQSSLRSMFPCPAEARRRRRPQLSEAGFEVLSGLLRCNPAKRLTARTALRHRWFKETNFRASKS >SECCE3Rv1G0203150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:880979493:880981948:-1 gene:SECCE3Rv1G0203150 transcript:SECCE3Rv1G0203150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFLQVPTDNGSVDTDAVRARRSLLEKAESVIKSVVRSGGRYEARMWLCSTLASIHLLNPRDQRDLFLDLLEMKDSRRDVAARLLRMIFDKKPAKAGSVLAKKCHMLEKFFEGNPERILQWFSHFAATGESAHKKGARALAQFAFVNRDVCWEELEWKGRHGQSPAVVATKPHYFRDLDVLQTVENFLEYVPDFWSSEELADSVKDGEILLIDTEYFVDQFIYLMYEGNFKDVWQVVEDFLMEEQFSTLSQYILIHLDEQRLLRFLKTLGKLISPNAQCTKLAFPCCWVEVLLSAHIDQISLDELILLNCVIAKGRQLWHLMNDEEHEEERGRMDELVRTMNDLTDADHFALIKDFMGTKFPDALKWIGIQSWVIFCGLSKLCKSADSCESLFTGNSIEFRKAYDYSLVQNDGYSISHTSDTDDKDLTRSSHKKRKRDKKRRRHRYDSDEDNVDQLLELGTFTGKRSIESQCGSWYLSTDDFSAPWDIADIPDHLSTCYLRVWLKWACFR >SECCE3Rv1G0143610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:1123172:1128343:1 gene:SECCE3Rv1G0143610 transcript:SECCE3Rv1G0143610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVARRREPELVVPARATPQVTMALSDLDDHRDLCYLQPTIEFFHAVDKESRNAPAVVRAALAEALVHYYPLAGRLREDPGTGGKLVVECTGEGVVFVEAEADVSIDELGQPPLPPYLCVEELFCEVGDTWVVVGAPLFFMQVTQFKCGGFAIGLHICHNIADSYGSNQFLNCIADLARTGGDDSRIVPPVWKRELLTARMPPQINPAYEEFLKRIGSSSDDVMFSTPPKEMVGRFFLFTPENMAALRTHAPTHLTPPVTSFELLTAVMWRCRTVALGYEVHQRVRLIFSMNVRGRWNRNALVPRGFYGNAVLYPGVDTTVGELCDSPLEYALGLVHGAKLDMTDDNMESMVDFIASIRGRPPFTMDRIYEVSDIKWVGQDDLDFGWARRVGGGVPMVGDISSKSVSYHMRCKNGKGQDLIAVSMLLPGPAMDRFEKEIDVWVNNGN >SECCE7Rv1G0470140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:116503860:116504303:-1 gene:SECCE7Rv1G0470140 transcript:SECCE7Rv1G0470140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGRNEASPSIIHTSSIALLQERFRNLQRVKEMREGRELQRVHHSADATDRAGSPLPPAPLDLGLQPTAASGDERPRWFLHPDLVRPSRPLHGASAYSGFGGGNGGVQQLASQPAAAAASPWGEVPRMQNSGYRGDVDVDTSLHL >SECCE1Rv1G0012210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:90193148:90194352:1 gene:SECCE1Rv1G0012210 transcript:SECCE1Rv1G0012210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGESTRTSAASPPGPPPGFGEGAPPDNALHALGFEYTRITGSEVLGRLAVTETCCQPFKMLNGGVSALIAESAASIGGYMASGYRRVAGVQLSINHLKPARLGDRIEAKANPIQVGRNVQVWEVQIWLLDPSTSEHKDLVSSARVTLLTNLSTPEQMKSYEQGIKKYAKL >SECCE6Rv1G0443740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:824058293:824059507:-1 gene:SECCE6Rv1G0443740 transcript:SECCE6Rv1G0443740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMATVKKLHLTDLPDHILAEIFLLLPDPADLARASATCVSFLRISTDRPFLHRFRILHAPPLLGFLNRDGFHPALPPHPSAPAARALALAADFSFSFLPSHCRWYVKDIRDGRVLLDSDPREDVRSPVFAVCDPLHRRYVLLPPFPHHLGLGASLDNTVPMLPGPRCNPFLVPLGEEEAAAGATTFRVILITHSITSLTAFVFSSTTGQWQAAVSKDLSDLGLDKFKSVVISHACDMRHYAYGCFYWDWVGLVHTKFLVFDTMRMEFSAADFPPGDWTTDGLAIVEAGEGRLGMFGFDGVCASDLSYTIAQNEGQSPSQWQVEKTISVDSRYLYLIKAGTERYSLLMRAKEDSSLDNPLVEFFAMDARTLKLERLCAKKDTNTSFWGHLYTNFPPSLLSSRTI >SECCE3Rv1G0157160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:80223198:80225489:-1 gene:SECCE3Rv1G0157160 transcript:SECCE3Rv1G0157160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTFHLAPDLPSVSRLCLGTMTMGEQSGPPESLRLLDAAFDAGVNFFDSAEMYPVPQRAETHGRSEELVGRWLRARRVPRDSVVLATKVAGPSGQMAWIRGGPVALDSRNITEAIDSSLRRLGVDYIDLYQIHWPDRYVPMFGETDYDPTHQYASIPMEEQLEALGKGVQAGKIRYIGLSNETPYGLMKFLQLSRDLQLRSKILTVQNSYNLLCRNFDAGLAECCHHERISLLAYSPMAMGILSGKYHSSDDSGPLDARMNLFKGRYSEGESRYNLQNPKLESAVKEYTRIGIKYGIPPSVLAVAFVLRHPLVGSAVFGATKLWQLEEVLQATGVHLSEEILAEINDVHARFPNPCP >SECCE3Rv1G0179010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:523691573:523694566:1 gene:SECCE3Rv1G0179010 transcript:SECCE3Rv1G0179010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSPAAALLRRLAPRLAGCSVGAGSSTRRTLPPYISPSSFLARFSSTPTSRAPPSSVGARDDEAEDDKLQESSSDSGSRLSISVDRAGLYSPPEHSHEPSSDSDLVKHLKSIIKFRSGPISIAEYMEEVLTNPQSGYYMNRDVFGEAGDFITSPEVSQMFGELIGVWAMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSAKFVNFTKALNINLVECSPTLQKVQYNTLKCEDQSVGDEKRTVSKLCGAPVYWHASLEQVPSGLPTIIVAHEFFDALPVHQFQKGSRGWCEKMVDLAGDTSFRFVLSPQPTASLIFLSKRCQWASPEELEKVEQIEVCPKAMEISEQIADRISLDGGGALIIDYGKNGIVSDSLQAICKHKFVHILDDPGSADLSAYVDFAAIKHSAKEASDDISVHGPMTQSQLLGSLGINFRVEALMQNCDEKQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGSRYLAMAIVNKKQGSPVPFE >SECCE7Rv1G0479490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:254140999:254143589:-1 gene:SECCE7Rv1G0479490 transcript:SECCE7Rv1G0479490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHIGSVDGPSPAAVNGAVGCPASAPGCPIMSSHPVPSAGEASLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLVAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGIPDFSQELRCFQTVTCHQAVVTNLDDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFTRDPVPFFLTPRMSNKMGLEAAVEATVEFLNKAVKPVLVAGPKLRVAKAGKAFVDLVDASGYAYAIMPSAKGFVPETHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAIIVQPERVIVGNGPAFGCVMMKEYLSALAKRVQKNTTAYENYKRIFVPEGHPLKGEANEPLRVNVLFKHIQDMLTGDNAVLAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGATDKRVIACIGDGSFQVTAQDVSTMLRCEQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVEAIHNGEGKCWTAKVKCEEELTAAIETALGEKKDSLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >SECCE2Rv1G0077120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:97054085:97058683:1 gene:SECCE2Rv1G0077120 transcript:SECCE2Rv1G0077120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVVALVLLVAVQCLALGPGTVAAAKVRRTRQGDYLNRLRGSPSSLAAVEEEETTAKATGRPALAAAAAEVGRKEADRVEALPGQPRGVDFAQYAGYVTVDAAAGRALFYYLAEAVGGNGNGDGSSSKGKPLLLWLNGGPGCSSLGYGAMEELGPFRVMSDGKTLYRNPYSWNHAANVLFLESPAGVGYSYSNTTADYGRSGDNRTAEDAYQFLANWLERFPEYKGREFYITGESYAGHYVPQLAHAILRHASPAINLKGIMIDNFDPCTDYYVDAYLNRPDVQKALHANVTRLDHPWSACSDVLTRWVDSAKTVLPIIRELMKNNIRVWVYSGDTDGRVPVTSSRLSVNQLQLPVAAKWRPWFSSTKGAGEVGGYIVQYKGDLSLVTVRGAGHEVPSYQPQRALVLVQNFLAGKALPDCKECEQD >SECCE2Rv1G0083130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:161994333:161995091:-1 gene:SECCE2Rv1G0083130 transcript:SECCE2Rv1G0083130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVAPPIAGAGPDPPAQHPEVEIITMRVVSAAEDADEAEPAVDTTSLSCPLLLLPTSSSLAKGMQVLLVQPATDSPAAAVQASLVPASRGDDAPAVQQARDKDARNSKAARELRGWLMVLATVIASITYASGLNPPGGFQGGGGDRVTPVLRATSPRRYTTFYYCNTAAFALSLSIVLLVASQDLRRLAKIKVLEIIVALDVLALLMAYIAGSTFGLEELGVCAGLVLIVPVALIVMSSRVCGKYFWDEL >SECCE6Rv1G0379090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11909031:11911008:-1 gene:SECCE6Rv1G0379090 transcript:SECCE6Rv1G0379090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGEASLADLEVPMVMRKVTLETPWTEKKKPRLGGSGDMPFTGLGGRKGLGLEDNKEDLKANSRDSDLELGRSGVDEKDDDDDVIEKKPAITVKWSLSQAKMKRKNQFRGIRQRPWGKWAAEIRDPSKGVRVWLGTFNSAEAAARAYDVEARRIYGNKAKVNFLEEPIVPRKRRARRAAPKAPKLSAAREPTVIPVVNNLSNPNYFVYPSADFASIQPLALHENVSFVPAMNSDVPVEASVMTLYSDQGSNSFGCSNLGWNYDTKTPDISSTAPISTIAEGVESALVQSNTYNAVVIVEGAESATLVQSNTYNSVVPPAMENNVVDFVAWVRFLMDDCVAEPIDSLLNFEVPQDVVGNMDIWSFDDMPMCGKFF >SECCEUnv1G0537090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67409643:67410296:-1 gene:SECCEUnv1G0537090 transcript:SECCEUnv1G0537090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISEDIMQEDEASPQQQQQAAAATEKDNSSTEVEKEEKEESKGRQPNSGNGLDLDNYSWTQQLPEVNLSVPVPEGTKGRSVVCEIKKDHLKVGLKGQPPIIDGELHKLVKVEDCFWSIEDGKSLSILLTKRNQSDWWKSVIKGDPEIDTQRAEPESSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSEEMQNQDMLKKLKSQYPDMDFSGMKMPK >SECCE5Rv1G0317840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:354454346:354456697:-1 gene:SECCE5Rv1G0317840 transcript:SECCE5Rv1G0317840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLSNRVGREALAAGDHIYSWRTAYIYAHHGIYAGDGMVIHFTRAAGHEIGTGTFLDKFLFSSSPTTADGPPCEKCGHLIKPQGVIMSCLDCFLDGGSLYLFDYAVSPPFFLAKARGGTCTMAPSDAAQLVVHRAKHLLNNGFGMYSLFKNNCEDFAIYCKTELLVETAYSVGRSGQLASLTAAFSAVASSPLRFLTTSASGLAVVTSGMYCVGRYVSDMGVRRDVIKVPVERLVEHWVNNVTPALSQGATQAETATPGGSPELPGEGELAKMATPGGLPELPREGELAKTATPGRLPELPGEGELAKMATPGGTPELPGKGGLAKK >SECCE6Rv1G0421830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:687095009:687095704:1 gene:SECCE6Rv1G0421830 transcript:SECCE6Rv1G0421830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSSSPFSMASRIIPPINNDDSRIAATGTAVPTPTTSKIMSSVANLAQLLPTGTVLTYQALSPSFTNHGKCETSNQWLTTALVAVLASACIFFSFTDSVIGHHDGKLYYGVATPDGFNVFNFSDEDERREWAGLDEFRRLRLRLLDFVHAFFTAVVFLTVAFSDVGLQNCFFPDAGRNTRELLKNLPLGMAFLSSSVFIIFPTKRKGIGFNDTTPQQKVIHPLNKV >SECCE3Rv1G0149010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:21157345:21162464:-1 gene:SECCE3Rv1G0149010 transcript:SECCE3Rv1G0149010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGGGGVGGFKADALEGARAILLKPSESLDESTRVAGPDFNDAGLGLAGMLGSLASTGFQASHLGDAIDVVSQMLDWRLSHEKPSVDCGEAELNPKYRESVKCKIFLGFTSNLVSSGIRDVIRFLVQHHMVDVIVTSAGGIEEDLIKCLGSTYRGDFSLPGALLRSKGLNRIGNLLLPNDNYCKFEQWIMPVLDQMLLEQSTKNVWTPSKVIGRLGKEINDESSYLYWAHKNNIPVYCPALTDGSIGDMLFCHAVHNPGLIIDIVQDVRLMNDEAIHATPRKTGVIILGGGLPKHHICNANMLQNGADYAVYINTAQEFDGSDSGAHPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVAATFARKFHGAN >SECCE1Rv1G0049530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:641946821:641948251:1 gene:SECCE1Rv1G0049530 transcript:SECCE1Rv1G0049530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVVLNPGLGVGHLVPMVELARLFLRGGLAVTVIVNGPPGKATDTSAAVARAAAANPSVRFHVLPTPPDADADGTVAPDAAEAPNPFVLLRRMNAPLRDYLRSVLPSVRALVLDMFCFCADAVDVAAELGVPVYVFYTGSASSLAVNLYLPRMQAQIGDASLGEIGDAPLSFPGNRPFKPTDLPKLALDRHNAVYKSFLHAFERIPESRGIVINTFEWLETTALRALRDGACVPGRATPPVYCVGPMVSAGGGGGGEEKRHECLAWLDAQPEKSVVFLCFGSMGTFPKSQLEEIAVGLERSGQRFLWVVQSPRSADGGPDLLADASAEPDLAALLPEGFRERTGGRGLAVKSWAPQADVLRHRATGAFVTHCGWNSTLEAIVAGQPLICWPLYAEQRQNKVFVVEEMGAGVEMAGYDGEVVAAAEVEAKVRWVMESKGGETLRERAMAAKEKALEALNQGGASRTAFAEFLRDL >SECCE5Rv1G0315200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:287607674:287611261:-1 gene:SECCE5Rv1G0315200 transcript:SECCE5Rv1G0315200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Replication factor C subunit RFC4 [Source: Projected from Oryza sativa (Os12g0176500)] MAPLVPSSQPWVEKYRPRQVKDVAHQEEVVRVLTNTLETADLPHMLFYGPPGTGKTTTALAIAYQLYGPELYKARVLELNASDERGINVVRTKIKDFAAVAVGSARKGGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEDVMSNRILHICNEEGLTLDAWALSTLSAISQGDLRRAITYLQSAARLFGSSISSGDLISVSGAIPEGIVKSLLVACKSGEFDVANKEVSNIIADGYPVSQLLSQFLDVIVNADDIPDEHKARICRKLGEADKCLVDGADEYLQLLDMASETIRALFNIPQGLVF >SECCE6Rv1G0402970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:493593460:493595181:-1 gene:SECCE6Rv1G0402970 transcript:SECCE6Rv1G0402970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSDATVTVSYRHGEDAGDGITASLLPKAEVVVPVEELPPVLTCKPPGRLARAVKEAWSVALSLAFPMLPSMSAAAAGEEARSILGLAMPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGHYELLGVTMQRTVLLLVAAAVPIAGLWMHMRPLLLLCGQDVGIAAVAETYILASLPDLLLQAFLHPVRIYLRTQSINLPLTVCAALAIALHLPINYVLVSVLGHGIRGVAFASVLANLNFLLLLLGYILVMGVHKRTGGFVFSVESFRGWGELVSLALPSCVSVCLEWWWYEIMILLCGLLANPQATVASMGILIQTTSLIYIFPSSLGFGVSTRVSNELGANRAERAGRAATVGLVLGFAFGGVASAFAYLVRGSWATMFTADPAIITLTASVLPILGACELGNCPQTAGCGVLRGSARPKDAASINLRSFYLVGTPVALVLAFWYHYDFQGLWLGLLAAQAACMVRMLLVIGRTDWAAEAKRAQQLTGAGVVTMAVGTKPSSGKGIHVVRVAAGGGDENSGGLLIDVVIEQPNDQR >SECCE4Rv1G0279330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:813898465:813900802:1 gene:SECCE4Rv1G0279330 transcript:SECCE4Rv1G0279330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRALARRLFSTAAAPEGAAAAASTPLARKAQNPLEEFFEVERSTADDQPAPHYGRGWKASELRLKSWDDLHKLWYVLLKEKNMLMSQRQMLASESMRFPNPERISKVKRSMCRIKHVLTERAIADPDPRRTAEMKRMINAM >SECCE5Rv1G0371820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:849966677:849968809:1 gene:SECCE5Rv1G0371820 transcript:SECCE5Rv1G0371820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGQCATCYGGIGRLDAAFSSECAHTFHPLCVSGAHACPSCSVIWRLTPTFQPSPLQPQPARMPPLHHGGATSRSACGVCKRAIGYAAPTFISECQHRFHLACVMGSVCPVCNARWAYQVSSYPYLHPYQYPPPPPMPPMPMPMWTSPFVDQPSPVYDDDEPLEPLAAQGAPLDDWDLVQDAPNGGGRLVLDAHCEHPAVARGEPHDNFVVLVHAKAPGAATAAAAAAEQTRTPLDLVTVLDVSGSMAGHKIALLKKAMEFVVDQLGPADRLSIVAFSTDARRLIPLTRMSDAGKIKAKDAVQLLYADGGTNILKGLTQAAKVLDGRRHKNAVASVILLSDGQDTYNLGGGGYNNGFGSVSYSKNYRALVPGSLLSGAGHRSTPIHTFGFGVDHDSSAMHTIAEETGGTFSFIEDEAVVQDSFAQCIAGLLSVVVQDALITVKCVHPVRVRAVKSGRYDSSIDAYARSASVDVGELYADEERRFLLLVDVPKAGHADDVTRLMKVTCTYRDTATGQVVDVAGEDVAVQRPVELPKDQQPSMEVAREKFRVEATEDIAAARAAAERGEYAEASKILDRRQEALLPALADDARCRALVEELRELSTRVASRREYEKSGRACILTGYSSHAQQRAASAVVAGAGGGYRCPPPPGGAGAMGGAASVFGFGAAGAYATPAMQMMVGASRQAREQQQQQQPGTLKRKSESYAGN >SECCE6Rv1G0435310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:770681052:770683051:-1 gene:SECCE6Rv1G0435310 transcript:SECCE6Rv1G0435310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGGGGGGKVSFKVILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGASSF >SECCEUnv1G0535180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:52913892:52917759:-1 gene:SECCEUnv1G0535180 transcript:SECCEUnv1G0535180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIDSIECVSSSDGMEDDDAMSSNLPRPFLKASAAAIAAASIGVVPGGGGGASGAGAAGGIAGPLIPPATSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESQCNFRPYSCPYAGSECSVVGDIPFLVSHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQQNPDSGACIPNLFS >SECCE7Rv1G0516050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:842837225:842838991:-1 gene:SECCE7Rv1G0516050 transcript:SECCE7Rv1G0516050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVVVEMAESRSSNRGAMAMPLEDEPWVKLIRESLTVKDEEDVDIHVSVFDVPKQLQVHKPEAYCPQFIGLGPYHHRHPELYGMERYKIDAARRALKRFLRAAAAPSVDVLDELVKELEDHDLKVRAHYHRYLEVSRKALSLMMIMDGAFLLEFLTIHHHHAVAEWEGEGASSTWTTKRMEHLVDLGGSGTGRKSAHGLILRDMLMLENQIPLFVLAMIKARCSSMSLDESSRRLTLMVTSLMKELCPFKMEGHFPETSCDVTKHAHLLELLYHLVVPAVPTPADTTENAHTTPPFSGHIELEMADPERQPDDGGQGQEERPSADTGSEHVMMQLFDFIAPKLKGGRLGKVMKPIELLVKAPWNKLAGMPGMPVESFMSADANQQEITIPSVSELVSAGVKFKPTSGDLSNASTITFERKTATLYLPTVTLDGNTEVVLRNLVAYESSAASGPLVLTRYTELMNGIIDTDEDVALLRKRGVVLNHMKKKDGEAAKLWNGMSRSVQHSRVPTLDKVIEEVNRYYDGRWRVKTKRFMRKYVLSSWKMLTFLATISMLLLTTVQAFCSVYTCSRWWFGDLVAVVPSESE >SECCE4Rv1G0256530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:657601011:657604888:1 gene:SECCE4Rv1G0256530 transcript:SECCE4Rv1G0256530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARMEWPAARLVLVPVLVSLLTLWPSGAVAVASPSAAPVRVGVVLDLTSHVGRERRACISTALDDFDAAHPSSDAARVELLVRDSRGDLATAAHAADDLIKNGQVQAIIWGPRTLSKSDQTAHLGRHRNHIPVLSFSSIIFPTSCAFWLENPVTAPGGYAKFGFTLGSDNITFPNPETDRKHRRKLDTRKSRKSCRSKTGLKIAVPLKLGFQVFVNVTDPYSEKQNVTGYSIDIFEAAMRNLRPLPCYDYFVFNGTYDELVGNVSSGVYHGAVGDVTITAERVTTTDFTMPYTQSGVSMLVLAEDEPDTIRWTFVKPLNGKLWFATMVFFFYTGFVVWMIELPRNQEYQGSSLRQCSTALYFVFSTLTFSHGHTIRSPLSKIVVVIWCFVVLILVQSYTASLSSILTAKRLRPWMTDFDQLRHSGDFVGYQDDSFVRSFLLNHNISESRLRNYTTKEEYADALWKGSNNGGVSAIVDEIPYLTSFLSDRRYGNDFRMLGCIYKTPGFGFAFHLGSPLVHNLSTAILNLAGGDEGSRIEVKWFGTTSSPIGAGTIPDTDSAPLTLENFSGLFVITGSISTLMLLISIMRLIYAKCTELRKADVESVSYSGTDDESSLLQNGIGGNPSPDRQPLHEAGNNNSGGVHMSGENAGDTQPEPVQQNGMHGGPVPAGHAQIEMRNV >SECCE7Rv1G0517810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856193754:856195259:-1 gene:SECCE7Rv1G0517810 transcript:SECCE7Rv1G0517810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTGASHPPSIVRTSAADDPRPLPHVVLLASPGMGHLIPLAELARRLAVDHGLAATIVTLTNLSDAAADAAVLSSMPASVSAAVLPAVALDDLPPGVGFGTFMFELLRRSLPHLRALMGSVAGGPTAALVCDFFGTAALPLAADLGVPGYVFFPNSFTLISVMRHLAELHEGAAPGEYRDLPETLRLPGCVPLRHAELPDGFRDRTDPVYAYLVEEAQRYGRADGFLVNSFKELEPAVAEAFDRDAAAGAFPPVYPVGPFVRSSGSSEEADELGCLGWLDRQPAGSVVYVSFGSGGALSVEQTAELATGLESSGHRFLWVVRMPSLDGNNYALGADGSDDRSQDDPLAWLPEGFVERTKSRGVAVAGWAPQVRVLAHRATAGFMSHCGWNSTLESLASGVPMITWPLYAEQKMNAAILTELTGVALRPAVSREDGFVAGEEVAAAVRELMEGEKGRAVRQQARRLQEAAARACTPEGPSRRALEKVAGKWRAGLRNGD >SECCE4Rv1G0227030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:116858870:116860863:-1 gene:SECCE4Rv1G0227030 transcript:SECCE4Rv1G0227030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFIMIKPDGVQRGLIGDIISRFEKKGFYLKAMKFMNVEKSFAQQHYADLSAQPFFAKLVEYIISGPVVAMVWEGKDVVLTGRRIIGATRPWEAAPGTIRGDHAVEVGRNVIHGSDSVENGKKEIALWFPGGLAEWKSNLHPWIYEN >SECCE2Rv1G0124690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833947087:833949358:1 gene:SECCE2Rv1G0124690 transcript:SECCE2Rv1G0124690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGNKTAVPNEPLPVEPLASSGVKRKRGRPRKYEYSTYEQPHMAQPIQSIPPLRSALYNPNIRHDGVHINHTLGGTLDSKMHTVYVLPAQQTQGDRPSRPVESGSTVKFRENQASNSSSAHVRGNLGKDVIIGKHFVGKMTKQSPGFSLITVRVKDNQVLRGWIPDETNLRPITPNDDLAPDLPMLQPSQLQKKASAIHRQAAPSLPVHLENVTIAKPLQMRRPVETSTAKHIIPPAPRPYIGSGVVAAAPVSVISGNVSRPLPKQDTGSLSQEPSVTAVPVKPAPPVLVSCRHVDQDVHVEGKYVPEFNSDSESSSGSQESSGQTPRGFPAAMDENEITSGSKERSNTANSDQHICKEPSDNLEQSDEPKTETNILKGVDSSMTEASGTTPAAEASSATPNPLDDVQSAVKEDELKVDSKESRLTTTT >SECCE4Rv1G0218380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:22239933:22241042:1 gene:SECCE4Rv1G0218380 transcript:SECCE4Rv1G0218380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKALLLAILGCICLCSSTVLSARELGDAALVERHEQWMVKYNRAYKDGPEKAQRFEVFKANVAFIESFNAGNHKFWLGVNQFTDLTNDEFRATKTNKGLKRSGSRAPTGFKYNNVSTDALPAAVDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKLSTGKLVSLSEQELVDCDVHGVDQGCEGGEMDDAFKFIIKNGGLTTEANYPYTAQDGQCKTSVASNSVATIKGYEDVPANDESSLMKAVANQPVSVAVDGGDAIFQHYSGGVMTGSCGTDLDHGIAAIGYGITSDGTKYWLLKNSWDTTWGENGYLRMEKDISDKSGMCGLAMQPSYPTE >SECCE5Rv1G0350430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:690470697:690471791:1 gene:SECCE5Rv1G0350430 transcript:SECCE5Rv1G0350430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQQQSTTAKEETSSPADEHQHPTLHMHNVAEVIPALPLETRWPPFALRRYRGYWLAERFLPAFAAFNDHFEPAPGATDVLLATCPKSGTTWLKALAFATAHREAHPPSSPDHPLLRRSTHGVVMFLDDIFGRPAEDARRVLAAYPSPPRVFGTHWAYSQLPERVTAGCRIVYLCRDPKDVMVSWYWFLKKCLPHDDAAGDLDFHELFEVFCEGRNGYGPAWRHAAEYWEASERHPDTVLFLRYEEMLREPAGNVRKLAQFLGCPFTSAEEDAGVVEAVVELCSLEKLSGLEVNRNGVPALAVRNDAFFRKGVAGDWRNHMTPEMAARLDGIVEGALRGTGFSFAHSGHAAEHHSHWTGAGAP >SECCEUnv1G0552260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:247925915:247929588:-1 gene:SECCEUnv1G0552260 transcript:SECCEUnv1G0552260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGMPGCNTTCGNVSVPYPFGMGPKSCYWPGFKLTCNNNGSKPPRLLLGEGSGAVFEVVDISLKNSTMRIVNRGLRAINMSDGSGQWIIADAETIGAGGVAYLLNPGSNEFILTGCNVQATLLANRSLASGCASFCPEFGDGISATQTYKRGNSKACSNIGCCQSTIQTASASYGVELKQLNYSGEYSNFSSPVNVLIAEVGWFDVDHNLEVVMNLDWRANKNLSREADQLRVPVILRWALARNTTVYNTGHSRCPHDAARSICKSTNSNCSVGNTIDEIRGYSCHCKEGYEGNPYLTGGCQDIKECNQKEKHFCFGVCEELSGSFRCRCPNGTHGNYTMPDGCIIDSVDTIRGNRNLGLVIGLSVASGPFILLLVLGALLITRGFKQHKAKVLRQKFFSQNRGQLLKQLVSHRADIAERMLISLEEIEKATNNFDQARKLGGGGHGTVYKGIMFDLHVVAIKKSNIVVKREIDEFINEVAILSQINHRNIVKLRGCCLETEVPLLAYEFIPNGTLADHLHTEERESLPWKDRLRITSETGKALAYLHSAMSVTVIHRDIKPSNILLDDALTAKVSDFGASRYIIPMDQTGTTTAVQGTIGYLDPMYYYTGRLTESSDVYSFGVLLVELLTRRKPSAYRSSEGDGLVMQFVALLAEGDLEKILDPQVIEEGGSEVKEVATLAVECLKLRAEDRPTMRQVEMALEALQTPKKRVRDYLTEETYEKEYAATGFPSTSQQAKTNEASRCDIQEEEFLLSAAYPR >SECCE1Rv1G0052370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:661062889:661064229:-1 gene:SECCE1Rv1G0052370 transcript:SECCE1Rv1G0052370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVSKHWTRVRTLGRGASGAEVFLAADDASGELFAVKSAAGATCAAALRREQCVMAGLRSPRVVSCIGGRPARDGSYQLFLEFAPGGSLADQLAIDGGLHELAVRGYGADVAAGLAYLHGAGMVHGDVKARNVVIGADGRAKLADFGCSRKAGADVPIIGGTPAFMAPEVARGEEQGPAADVWALGCMVVEMATGRAPWSGMDGDALAALHRIGYTEAVPEVPEWMSADAKDFLARSFVRRASDRCTAAQMLEHPFLAAAVVEEKSQAVKSKWVSPKSTLDAAFWESESDTDETEDEMSHGTAEGRMRALACPASALPDWGSDEGWIDVLSAQTEAADAVPVSTTDLDDDAMTSEDEPSVPALVTVDVEYSSDSVLVSADDSVFGHHQCLGGLAFHRLSCSELLFCNRISNTIDFVLAQTLCFTLLLCLPSRASPPPPHCDTFD >SECCE1Rv1G0045200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:608958345:608962478:1 gene:SECCE1Rv1G0045200 transcript:SECCE1Rv1G0045200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGTARQAGEAPRVGLLYDQRMLAHAPAAKKEPETPERLRAIWRKLTAEGVASRCVGMRAKEAKEKHIASVHDPKHIHLIRNISSKDSSGLKKTAKKFGSVYFSKGSSESAFLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAERSYPMGYCLFNNVAIAANYLLNERPDLGINKILIVDWDVHHGNGTQNMFYTDPRVLFFSVHRYDYGAFYPYEADASHVFIGDKTGRGYNINVPWEHAECGDADYVAAWDHVLLPVAEAFDPDIILLSAGFDAALGDDVGECCITPNGYALLLTKLLGFAKGRIVMALEGGYNPESIANSVCACAKVLLGDKFTLNSPEMQPFKSTWRVIQMVRDELKTYWPVLSSKLPENVSLRSTPSYIQGYNEAP >SECCE1Rv1G0059550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:706698271:706700999:-1 gene:SECCE1Rv1G0059550 transcript:SECCE1Rv1G0059550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPAKPIALRRPPVPICGGFCPARPSMAAAAGRFRVSASASASDVPDFLSSDWLETRKKKPFGPRLNYNAEEAVEYQLEALKYNDKPRPDYGVEVMYRFAGFDPFERSTYFGRQFDLGQFERFRRIFHHSTYRVLLGHKEREILSRLWVEENQFTQRVWVRGARPEEEEIFQFTMVQRVGGSWDGYWLTESLTNDDGDAFSGGVAY >SECCE4Rv1G0286650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850162131:850167363:-1 gene:SECCE4Rv1G0286650 transcript:SECCE4Rv1G0286650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASAARSSTPQLLLFLYAGCVLLFMSTNAPRHVAAAANSPFSFSFDFSTISTSRLEDLRLEGDAALHGKLVDLTYNSLTRRIANNNCIGRMAYAHPVPFYDSITGEVSSFTTRFTFVIGPNAGGGKKEGGMAFFLSSYPSRLPPDSGGGNLGLPGNDGLSQAYGTDRFIAVEFDTLSNTWDPRGTQDHIGIDINTVRQSVNTTSLPTFSLNGSMTATITFDSSTKMLVASLQFDDRPSVGPVEVSTMLPDPVTSLLPSEVAAGFSAATGESFQLHQILSWSFDSTLATHAGPGPLAAQIKLSRVTKESVPNSGSVDDSGSGDNSGSGDNSGPGDTSGSGDTSGSLDNKGSGDNSGSGDTSGPGDTSGSMDNKGSGGTGGSVDNKGSGGTGGSGDNKGSGDTSGSDMVVYIITWCILSVLYMVGLLWCVISGISHRRWRFKSDSFVLGTTGLRRFEYNELARATNNFSEKSKLGVGAFGAVYKGSYKDKEGRQLEVAVKKIKQTEGRDFSDELKTISATRHMNLVELKGWCCSRDNQACIGFCCCGCRQKVKRFLVYELVPNGDLEYHLKNVLPWEKRYKIAKGIGSAITYLHHGCKRCILHRDIKPSNILLDDEFNPKLGDFGLSRITDKDSTMLMTTAIGTLGYMDPQCIRDGEIEYNCRSDVYSFGIVLLEIACGKKPREQIQLEELRRTGTEARFVENVADDKLNGDYNSTEMQRVVFLGLRCSHPVGQQRPYMKDAMKYLEDGIELPAITERQSHQGAYGTIYSDEQALMSPSAVSSY >SECCE2Rv1G0117600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:774049783:774050265:1 gene:SECCE2Rv1G0117600 transcript:SECCE2Rv1G0117600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Oleosin [Source: Projected from Oryza sativa (Os04g0546500)] MADHHRDRGVLGGGGAFGDRSGHGGYGGDYHEQHQQKQPAMMCAVKAATAATAAGSMLVLSGLILAGTVIALTVATPVLVIFSPVLVPAAIALALMSAGFVTSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARLASKARDIKDAAQTRIDQAQGA >SECCE1Rv1G0053690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:670955632:670957360:-1 gene:SECCE1Rv1G0053690 transcript:SECCE1Rv1G0053690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAEAEAASARRRTLVLVNLTSMLEKADEVLLPAVYREVGAALAVSPTALGSLTLCRALVQALSFPLAAYAAARHDRAQVVAVGAFLWAAATFLVAISRTYLQMAISRGLNGIGLALVIPAINSLVADYTDDHTRGAAFGWLQMTCNLGSIVGGSFGVLLAPVTFLGVPGWRLAFHIVGIISVALGLLMWLLAADPHSKSKSAASAREEARELLRDARAVIAVPTFQVIVAQGVAGLIAWSGLNFATMWLELMGFTHWETSVITGLYLLATALGALFGGIIGDAVSRRFPDAGRIALAQISSASALPLGAVLLLGLPNDPSTGVAHAAVFFVMGFAISWNAASTNNPIFAEIVPAKARTTVYALDKCFESVFASFAPPVVGILAERVFGYKPVSSTQSSVDMDRDNAAALAKAMYVELAVPMAICSLTYGLLYCTYPRDRDTVSLMASEEDEDNESSAHQDEESLVGSLTQSLIPTTD >SECCE6Rv1G0403480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:504700154:504703820:1 gene:SECCE6Rv1G0403480 transcript:SECCE6Rv1G0403480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLAGLGHLFVVAFLFHFSSFMVLPAITDVTMAAVCPGRDECSVAIYLSGFQNAITGLGALVVTPVVGNLSDKYGRKALMTLPVTVAILPLFILACNRSEVYFYVYYVVKILAGIFCEGSIHCLSLAYVADHVGSKRRAAAFGLLSGVSAAGFLSGTLTARFLATSSTFQVAAAVGTAGAIYLRAFVPDSGAAVSFPGDAFDPLLQDSSCSSAASSSSSSDEELSPRLPPYKGVLPSPSDMIALLTGSLTLSTAAMITFFYSLGEHGLQTALLYYLKAQFGYSKDEFANLMLIAGAAGMISQLTVMPIFAPIVGEEMLLIVGLLGGCTHVFLYGIAWSYWVPYFAAAFIILSAFVHPSIRTNVSKSVGSNEQGIAQGCISGISSFASILAPLVFTPLTAWFLSETTPFNFKGFSIMVAGFCTLIAFVISIRMHGTRCGASEKMKLVQHEQA >SECCE5Rv1G0336190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:582826887:582830048:-1 gene:SECCE5Rv1G0336190 transcript:SECCE5Rv1G0336190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVGAGAGDGTVSSDDCTADRVLELRPRPHLRQPTMLDPPPPGGTQDHVCKQNGSLCQPGDGSEDGEVIPDLPEDIRRHIHALLPLRDAARAACASHSFLKSWRCHPNLILSHKALGLDGDLISKVDNILKNHSGIGMKKLRLELYGNKVDSFYVDRWIHIAVTARVEELAIIMPHIPGEEEYNFPCSLLFNGGENSIRYLYIAMCAFRPTAGLGCWTKLTKLLLSNVWIADDELEGLLSNCTAIQHLELKNCSEIVFLKIPLLECLTFLRVSLCINLQFIESGAPNLSTFCLFGGLVSILFGSDVKNIEVSCLKFGPPNIVLFARTELLSCAPDVERLVITSPNEMESTPMLSSKFLHLKYLHISLIGNKAISPAYDYLSLVSFIEASPCLETFIFEVQQPDMKHDSIIGDSSHLRRLPQHSHNNLKSVTIIGFCSAKSLVELACHIIENATSLERLTLDTSHGCRSPGGHSVNKPDRWYYTVSGSLMAAPPDRCLPMWGRGIEESLRARFAIRRHIEWKVPFGVVFKIVVPCSRCLMLNF >SECCE2Rv1G0134360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901157815:901159246:1 gene:SECCE2Rv1G0134360 transcript:SECCE2Rv1G0134360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPALGVAARTLLRRLCGQATAAAGSLSRPAGASRLRHLHSQQANAAAATAGHRYGLFRRYLKPQVMAIAGLSTAGVGLWYTNKKVSQLDIGLWYNKIMNKSLILSEIGLWYDKIMKRDLPPSEIDWDVPSGAGVSEADWVKTCVVPSAHWVAEVDWVEAGAVSPVARNQRHCGCCWAMAAAASVEAMHYLKTSKSISLSVQELIDCDTKSKGCDGGLIQNALRYVQENGLSSESHYPYKAERSISGCKWNKKAATSGISGFRLVDPTEDALEKAVARQPVVVSLHCSDDLMRYYKGGIMNYEPVSGMTNEEHYVLIVGYGTDTNGIKYWRFKNSWGPNWGEGGFGRIRRHVDDERGALGIFLKPGVYPVLN >SECCE7Rv1G0504690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:726279037:726281496:-1 gene:SECCE7Rv1G0504690 transcript:SECCE7Rv1G0504690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSPWPSLALHLASFLALLLHQPSLLAAQRLTAGSTLSPPGYITSPSGRFAFGFRALDSDPSQFLLAVWFNFNSTQALDPAQEKVVWYAKNLGSGSAVMATELSVFSIGPQLSLTDNTGSIIWTNPYPSLQAGSILALQDSGNLQLLATGGMPITWESFQHPTDTLLPGQSLGSGKALLSRRSNMVFFPGRYSLQVQGDGNIVLYLTNLATGNLDSHNAYWSTNTYRPGNQVGNTTIFFDSSGHLYYQINDSSGGDLIPPHPKSKFGYHQHASLDPDGIFRMYTRPKNAMGRSNLSWAVTGQFPTEGCKIKTSVQGLCGPNSYCVYGPNDRLDCECPSGYSYVNSQLRYMGCTQGFMPQSCDRKNRSAEFGVVKLPNTTWGNLPYEGYSHTTEDQCADSCLKDCLCAAAMYDGSYCAKMVSLAGFGRQGGDVVVKALIKVRTSSPSVPAPPRRILPYALLGCSAFLLLSTISSLMLHCYLRKKNANHDFVRAYTAKELYKATNGFCKLLGRGGFGEVYHGKFKSLHSADIAVKKLISSNDYSEREFANEVQSIGQIHHRNLVRMVGYCKEQEQRMLVFEFIPGGSLRSFLFQPQRPMWSWRAEAALGIAKGLEYLHEGCNYPIINCDIKPDNILLDDKKNPKITDFGIAKLLSDQQMHTTVTNIRGTRGYIAPEWFQSDRRIDTKVDVYSFGVVLLEMICCRKCQEPVTGLDGDDSVTLFWWAGQLVCHGKIEVLLHNDDDTIEDLVRVERFVRVALWCIEQNPSLRPTMHQVVQMLEGVVEVNSLPALTSSNCSSPLTSSVDGSTLLPGDANLEIE >SECCE4Rv1G0232880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:216898933:216900977:1 gene:SECCE4Rv1G0232880 transcript:SECCE4Rv1G0232880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTAGALLAVVAAVLLRGVAASLPRKPVDVPFEKNYVPTWAEDHIHYVNGGREVQLSLDKTTGTGFQTRGSYLFGHFSMHIKLVGGDSAGTVTAFYLSSQNSEHDEVDFEFLGNRTGQPYILQTNVFSGGKGDREQRIYLWFDPTKDYHSYSVLWNLYMIAFFVDETPIRVFKNSMDLGVRYPFDQPMKLYSSLWNADDWATRGGREKTDWSKAPFVASYRGFHVDGCEASAEAKFCATQGARWWDQPEFQDLDAAQNRRLAWVRKEHTIYNYCTDHDRYAAMAPECKRDRDV >SECCE2Rv1G0110050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:686397790:686399151:-1 gene:SECCE2Rv1G0110050 transcript:SECCE2Rv1G0110050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPGGGGRVRFNVGGQAFETTTTTLANAGRDSMLGALLDASWNVPCAGASAGGEDAAAEYFIDRNPACFAVLLDLLRTGSLHVPPHLPEKLLYREALYYGLLDHVRAARWGSFDGDRLRLSSSVPGRAPGDGTAVRAAPDGGCCVAHGGAVHVYNWMLDERRPVSLDHSQVNDVAYLDASTLLIAARERLGKCDGGMAAFSAVSGDIRHRFRVQHDRQAKSFTAGALAFDMDSRIFASCKGRLNEYGIGVWDSATGEQADFFYEPPGCALGDADRLQWLDATNALMVATLFPKTDNCSIGLLDFRDKSVVWSWSDAGTAASLEEKRVLHAIAMEDERSVCVINQYDDLGFLDLRSNAGAVRWSSRSKLMNRKAPGEESCYPKLATHGGQLFSSMNDSISVFSGPEHVLTSTLRRSYGGAICDFSIGGDRLFALHNEENVFDVWETPPPPII >SECCE6Rv1G0433570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:760344729:760345337:1 gene:SECCE6Rv1G0433570 transcript:SECCE6Rv1G0433570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASEIDPSVAPHIVVEESSRAGGSREEALPEAAAVEAVVETEPADGKEEGQCGLCLYMEAGGCKEAFVSWAECVQAAEKDGSDMVDRCSQATIDLKKCMEAHADYYAVMLQAEPTVSDQDEAAIAATTADANKNKGEESAPSPDTDETKMEEALVQSAATTADEKDMVVQQEATSSTAAEGVKKEEAIVQKAESLSLGN >SECCE1Rv1G0003530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14601967:14603743:-1 gene:SECCE1Rv1G0003530 transcript:SECCE1Rv1G0003530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEELESEEEVEGFEPFFYDSEDWDAWAEEEEERRRREEEEKAWKTEENRRRREAHDAVMDSIIEHDPKVGRKVYTRFFLRDFSVFDIDEESSVQPMRYTDSIYQDEFGLEDSANILSISIVSSDVGFPVNVYGRVIARDSIDYKCIYLFHRNRDDCQRLNEDGMLILTGPSRGLVLVDFIYLEIDLKIREEGVSLDRPFSKGLISIDGRVLSREEDVMVRSETLESWLSTTKVRFATVLNAVECTIEIKLLEGCFKGNIIVRISDKVRNLVPEQTIVIHDSKTDGMVTSDQIGVIKLRRSVITICLERMLVFHMNNNMAASVCAERTFDLTPRRTGEDQLEITCGAGKFGFKVVWSLMDFRL >SECCE3Rv1G0148510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19175329:19176166:-1 gene:SECCE3Rv1G0148510 transcript:SECCE3Rv1G0148510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHEKVEHQKTCAGSSTAVEQPAVLLQQGPPGDCSYELILPKVLPSSRASVTVTITGDDVGKKICGSGKSTMGSLVRMGPCGGIGGNVRETSMSDVNRIVQVIVWHGHAVDAISVMYERKGKEAWADRWGGEGGKPSTFSLQQDEYLTSVHGHYGEFNGFVVVRSLTFVSNLRSYGPYGKEDGVPFALHAGAGGMIMGFHALSGQFLDSIGTYVKMDNY >SECCE3Rv1G0184870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:667559104:667559292:-1 gene:SECCE3Rv1G0184870 transcript:SECCE3Rv1G0184870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIFRAVVIAAVAASSFAGVALAADEPAPSPTSGAAAVSSSLLAAVLCPAVAFLVGSMRH >SECCE1Rv1G0013610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:107120016:107151202:1 gene:SECCE1Rv1G0013610 transcript:SECCE1Rv1G0013610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEIMESDTAFSQRFAAKRLREVAKTKLNPTKRRYINETGFGDLMSISHFKAPHDLMEWLTMNIDTEKRELRLSRTKVIVFTRDMVKKVFNIPSGNRPIELFKRHEQCELRNIYHKNGRAPIAHAVNVLHRARNDDGDTTKRSWVLLALATVLTPSTGNMVPLEYLKSLEQMDRVAEFAWDEHVLSVAMREIKKCQDKIKSQATSSFWVGGCFPMLVVIYMDHLIFPQAAINEHQLNYSLPRACFVHQTDFDLVLEFDKNKLSLGKASFGKCRFRPLSETPYAALRAEGPVGNTSEVDQDQQVSDSPDCVDTEDCGAKNVNINDNQADVAEEENPTEGGSNPTENGKSTDEDVCGSLDDWLQNPAPFGAELELPSHLVAIYDKHTKLYSSELKGALSSFGQVLQAIQCKRMGQLLKDVEAASPSYQGATNVSFDVPPTHSNDVDKSDHHGCVKESYSKRAADEPTTDKVVEPDEGARSNHANGIDHESNGGNVVEMGTRTSSVVSEPPVQCFENASEKVSSGEDIEHGDQFIERNHSVHTSVSGHWSDAPSMCLFQEGTEEYTWWVSVQSQDPNSNAPTHGNTCDTPRPSCGVFDVTPQVAVSREVEAPVYDATPISMAPLSSGATDGPLKPQEEPFVLVSSREPSPNLDEEKLKSKDENKFKSKKGVGSPLSAGAKYKKIKTDSKSEAMYQHYVMKRYKMKKMKRGEIEPPFIRIGDFHITYTNFQKLLKPRAQICSEVMSLFIESFNIEQLSSSNKQKKFAFSVLMSLQLSVHPEVFDPSVCAKELRRACQNF >SECCE3Rv1G0162790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:138967980:138968414:1 gene:SECCE3Rv1G0162790 transcript:SECCE3Rv1G0162790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADSMRFQRQASCSCAPSMSRGYVRGGFDLDDDDFDGFADGHFDKAGAVQHGRAPPAASRGCGTKLRGLWRKIVREKKRILLCSSGCVPVGGAAAAAREPYDAYSYAQNFDDGASWVEPDNLSRSFSARFAVPSRVLQRVAV >SECCE2Rv1G0125210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:839688318:839688839:-1 gene:SECCE2Rv1G0125210 transcript:SECCE2Rv1G0125210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSQQEQPQRGRFSLGGTVELVAAFTAVCLALYGAVLYLNYLYVRWSGRDGVHRAGSGAAAGPATRKRDGRGGLDEAALAAMPVVMFKAEPRGAGGEECAVCLGAMQDGDAVRALPGCSHAFHAGCVDVWLGAHATCPVCRARPALPVPAAKDGSRTAEAAGREPDLESPV >SECCE6Rv1G0401580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:469942502:469943686:-1 gene:SECCE6Rv1G0401580 transcript:SECCE6Rv1G0401580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRSIGFISDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEVGAGDQGIMFGYATDETPELMPLTHMLATKLGARLTEVRKNGTCAWLRPDGKTQVTIEYLNEGGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPGKYLDENTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIIASGLARRCIVQISYAIGVPEPLSVFVDSYGTGKIPDREILKLVKENFDFRPGMISINLDLKKGGNRFIKTAAYGHFGRDDADFTWEVVKPLKFEKASA >SECCE7Rv1G0455770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8206571:8208263:1 gene:SECCE7Rv1G0455770 transcript:SECCE7Rv1G0455770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQEEEKTSVVEQPSPATAAAEEKTSVVKAEEEPSPAAVAEEKTTVKAGEKPSPTAPAPAEGQRRPPAGATAARRAGAPASPFDFSTMMNLLNDPSIKEMAEQIAKDPSFSEMAEQLQKTVAPAPAAARSPQEVAAALDPQKYVSTMQQLMQNPQFVAMAERLGSALMQDPAMSSVLGGLTNPAQKAQLEARVARMKDDPSLKPILDEIESGGPAAMMKYWNDPEALQKFGRAMGVGPSGTATVTAGAEAEEEEGDAVAAGGEEGEYEEESVIHQAASVGDVEGLKRALADGADKDEEDSEGRRGLHFACGYGELGCARALLEAGAAADAVDKNKNTALHYAAGYGRKECVALLVDHGASVTLQNLDGKTAIDVARLNSQEEVLKLLEKHAYV >SECCE7Rv1G0494420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:582803761:582807856:1 gene:SECCE7Rv1G0494420 transcript:SECCE7Rv1G0494420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLGRDASAAGAGGGKGGDDDAAAGIIRHDRKCRDLPFLALFAAFWVAMIVNSSFGFNQGSPLRLTYGLDYKGNICGSKHGDPDLSELDVRYWVNPNQVYQSGLKNSTSDLADAKSICLMECPYPAEDGLNFVCDYPEGDIRLSVDDWIDRDYDYFEYLTPDMRNSSLQLQGPCYPIIFPSINVYWSCQFIARPSNVSLKHWQQMGGVSIDENMLIDKTIHNTINYKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSLIWLAMIRYFVAVMPWITVILFNALVVSVTMFFYIKAGWIGNDPLTVVIGPSDPYVSIGGREINHLHAAAVLMTVVMIIAFLTSIAIVRRILIATSTTVLKVAAKVIGEVHALIIFPVVPYFALAIIYMFWFAATLYLFSSGQVLQNDCNAECCSFDLKLGKVNCDSCCGYSVHYTPHVGIAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFHTVVSSLKRLLRYSLGSVALGSLVVSSVEWVRCILKSLRRRLKGVDSAGESRLGKTVSSSSHCCLGCIDWTIKSVNRNAYIVIAVTGKGFCKASELATGLIMNNILRIGKVNVIGDVILYLGKLCVSLSSALFAFLMLDTHKYRSAHNKISSPLFPVLLCWALGYVVAQLFFGVVEASVETIILSFCQDAEEHDGEAQYAPPLLMETLGDTSQLQRLTQGP >SECCE5Rv1G0341940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:629071852:629075544:1 gene:SECCE5Rv1G0341940 transcript:SECCE5Rv1G0341940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGTNGSHSVATLPTSPLLPIRTPRKAPADVPTADGEPVSPTARLMEPIYILVTIGLGCPVNIPVFSAGIAAQLARYPRFCSIQVTDESNGGNPRWVRTAVNVDDHTIIPTLDPVAVAADPDRAVEDYMASLPALPMDRTRPLWEFHFLDFPTSEATCTAVIRVHHSLGDGTTLIALLLASGRSAADPTRLPAMPEQPARTGAIYAPRPRSKQGVLAFLAWVWSYLVLAWNTVVDVTLFAATIAFLSDPHTPFKYVEHGAASSSRRRFVHRSLQLEDVKFIKNAMNCTVNDVLVGATSAALSRYYFRKSGSTNTSKICLRSILLVDTRPTTSLQTYVDMIDSGKSNDVDWGNQLGYILLPFHLAMHDDPLAYVRKAKKTVDRKKSSLEVIFTCKMGESFLKMFGLKAGAFIFGRMFANTTLAFSNLVGPTEQIEFYGHPVVFIAPSVYGAPQALLVQCQSYNRTIKLSLSVDEKIIPDYVQLMDDFIESFGHIKDGASRLSTSVKQE >SECCE4Rv1G0264340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:720208734:720210176:-1 gene:SECCE4Rv1G0264340 transcript:SECCE4Rv1G0264340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESPLKRLTLLYNCTANTLILRTVTKKIAPWLAAGLEIVPQPATICLIHLLLVIVLLIITSTLYLALHSRTVYLVDYACFRPNSNLRITKATFLEHARLSSLLDDSIVNFIATIIERSGMSDETCVPPVHHYIEPCCGLDEARSEAELVVFSVIDDLLAKTCIKRDAIGGLITNCSAFCPVPSIADMIVNKYKLRGDIRVMNLSGMACSASMIAVGLASNMLRVMPQGSHVLVVSTETIGPSYYSGSKRSMVLSNILLRIGGVAKLLSTSRSKARFRLVHFTRTITAANNSAYRCVYQEEDEKGNLGIALSKDLTVIAGDALKANIMATGPYVLPTSELLKFSLFNMARKMPHWRKIKPYIPNFCITFEHFCIHAGGPAVISSVQHGLKLSDLHVEPSWMTLHRFGNQLSASVWYELAYIDANRQMKKNDRVWMIGFGAGYECNTASWVCRQPSSGADGPWGNCINHYMKHISKKSVK >SECCE1Rv1G0033760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:471124198:471124659:1 gene:SECCE1Rv1G0033760 transcript:SECCE1Rv1G0033760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHRPYQRDLRPPPSSAPDPTIFHGNGYFSSVAPQANAYFSSAPKDGAFPGAGDRRIEIYTTAPPPLPPPPRLALPPPPGRREGGVGSGGSGGGGGGGGSANMWCFSDPEMKRRRRVASYKAYSVEGKVKSSLRRGLRWFKGKCSDIFHGW >SECCE7Rv1G0508430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:772254903:772256909:1 gene:SECCE7Rv1G0508430 transcript:SECCE7Rv1G0508430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAASTWMWATLLAALLLLLAIPAASSAAATTEGGSTGTVIGIDLGTTYSCVGVYRNGRVEIIANDQGNRITPSWVAFTNGGERLIGEAAKNQAAANPERTIYDAKRLIGRDFADAVVQRDIKLLPYSVVDRKGKPHIQVQVKDGDVRVFSPEEVSAMVLTRMKETAEAYLGDKVTHAVVTVPAYFNDAQRQATKDAGVIAGLNVIRIVNEPTAAAIAYGIDEKGPEKNVLVFDLGGGTFDVSVLSIDNGVFEVLATNGDTHLGGEDFDQRLMDYFIKLIKRKHGRDITGDLRALGKLRRECERAKRALSNQHQVRVEIESLFDGVDFSEPLTRARFEELNADLFRKTMVPVKKAMADAGLSKSDIDEIVLVGGSTRIPKVQQLLKDYFNGKEPNKGVNPDEAVAYGAAVQASIVSGHVDENTKTMILLDVAPLTLGMETVGGVMTKLIPRNTVVPTKKTQVFTTYQDKQTTVSIKVYEGERSMTKDNRLLGKFDLSGIPPAPRGTPQIEVTFEVDVNGILHVKAADKGTGKSEKITITNEDRRHSQEDIDRMVREAEEFAEADLKLKERVDARNKLETYVYGIKNTVDSKMADSMERDEKEKVQDAVREVNEWLDDNVEAEKEDYDEKLRELEDVCNPIITAVYQRSGGASGDHTTEEDDDDHDEL >SECCE6Rv1G0435340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:770780932:770781918:1 gene:SECCE6Rv1G0435340 transcript:SECCE6Rv1G0435340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVVNKHYPNDFDPAKIPRRRQPKNRQIKVRMMLPMSIRCGTCGTYISKGTKFNSRKEDAAGEAYLGIRIHRFYISCTRCSAEISFKTDPRNSDYAVESGASRNFEPWREAEAVVDKEKRKRAAEEMGDAMRALENRAMDSKRDMDAHADLEETLLMRSIHARVSADRLLEILNHSSSTGHHQNLKEEGNTVLLAEVDEELVRSTTFRNSEAYVKRIEEDDDEDFGSEIINESSESDLDHPTDVMAKANGSESANKEEGKKSLASKMPKFIVKPKSSAAANPQKKHKTTESAAVQDSVKDKNEASEEKTNVLHSLCQYDSDESDD >SECCE7Rv1G0474510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:172735942:172736574:1 gene:SECCE7Rv1G0474510 transcript:SECCE7Rv1G0474510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAASVVYVCLVFHVLLLATTAVARTTTPFPTSGLTNATAVANSKTVNGVESPAATATLNSSDPYSDQYICYLCHRRNTLMIKWCPLYKDDCHLACLSSASPTRHPQLYPAADVEGRKSTLGTGPGGSNADACYVMKLYPDGRWVITVAVSCKAVAGCYLVCSHGDAALGSRADDTTPAVARGPPLPRASEFQRCGDQFPAAGAAANGV >SECCE2Rv1G0128360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:860102229:860103578:1 gene:SECCE2Rv1G0128360 transcript:SECCE2Rv1G0128360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MOT2 [Source:Projected from Arabidopsis thaliana (AT1G80310) UniProtKB/TrEMBL;Acc:A0A178WGR3] MASSAGDPLLPGEPPHRRRFLPPSIRLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFASGLLFGIPMPVQPMKSIAAVALSSAHLTVPQIMGAGIAVAAILLFLGATGLMTCLYRVLPLPVVRGVQLSQGLSFAFTAVKYIRYDQDFSRSSSASTAVERPLLGLDGLLLALAALLFILLATGAGDDDESAIDGAGRRRSCGRVPAALIVFAVGLVLCFVRDPSIFRGLRFGPAPLGLVKITWEDFKIGFWQAAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSVGLMNLVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAMGKLALGLVFGNSFVTILGEFPIGILGVMLLFSGVELAMASRDMGSKEESFVMLVCAGVSLTGSSAALGFIAGVVLHLLLRLREVDCGELVGRLRARRYPWLP >SECCE4Rv1G0268340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:741590295:741591211:-1 gene:SECCE4Rv1G0268340 transcript:SECCE4Rv1G0268340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDETVAGPRPDHGFSKLRKYSSFSPSSPAAADVAPAVTRSITIARPPSLSLPSGESSSVPSSPASVPDSPLAAGSSSSTPRVDGWRAFRRKSKMANVDAVRTEATVRQRSPTVYDWVVISSLDR >SECCE2Rv1G0067070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:17433292:17434452:1 gene:SECCE2Rv1G0067070 transcript:SECCE2Rv1G0067070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMIASAAWSKLPRDLLGEIYARIASPLGRAHFTAVCRSWRLVRSWQPPAPAFPWLIISPRPCEGGTAKRVFCPEDGALLGVPLPPAAIGKRLVGFHDGGWVAATSPVAGGESLVIVNLFSGIEVPLSAEQRTTPWIKKLIFSEAPTLDRCVLAAILADGLAICRVGCGNNSNQWMLQSFTSSYDDIAFGRGKLYGLLRSDLLVHDIHMTKQGNPVVSVARTLCMNQLPKCEGMRFDISYILKHGDKLLMAKRAWWSQENKGFFFKVFELVKIRNTRNYYRWAELTMLDDHVLFLSANCSRMVYMSAARRGRVETNHIYYNNVNLIGDDKSICSDVELTRCGHGQHLYCGEDRKFNGVDMIMSVRYYVHSADHNNLMWLLPPDF >SECCE7Rv1G0518760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:861807159:861808514:1 gene:SECCE7Rv1G0518760 transcript:SECCE7Rv1G0518760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATKNSAGVAWDRRRELQAFDDTKAGVKGLVDAGVTTLPPIFRHSPESLAGREDTVALVRRAAGTVGFFQVVNHGVPAELMAGMLEAVRRFNEGPTEAKQAIYSRDQARKVRFASNFDLFSSAAANWRDTLFFHLAPYPAPSEELPEAVRDVVTEYGHAVTKVALSVLELLSESLGLSSDHLRDMGCAENLNAVCQYYPPCPEPYLTWGTRRHTDPGFLTVLLQDGMGGLQVLVDGKTWVDVPPVPGAFIINIGDLLQLVSNDQFRSVEHRVLANKSKDTARVSVASFFNTDMERSARLYGPITDGRNPPIYRSVTARDFIATFNRIGLDGRSLDHYRLDQDTPTPAALEGCE >SECCEUnv1G0564660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:385960674:385961246:-1 gene:SECCEUnv1G0564660 transcript:SECCEUnv1G0564660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSIFQYSWEILPKKWVHKMKRSEHGNRSYTNTDYPFPLLCFLKWHTYTRVQVSIDICGVDHPSRKRRFEVVHNLLSTRYNSRIRVQTSADEVTRISPVVSLFPSAGRWEREVWDMSGVSSINHPDLRRISTDYGFEGHPLRKDFPLSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWEQRSDG >SECCE5Rv1G0356240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:738038227:738038778:-1 gene:SECCE5Rv1G0356240 transcript:SECCE5Rv1G0356240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSDRRLRSNPVHKKIPVLLHDGRPVNESLIILNFLDDAFPDTRSLLPADPYERAQPRFWADYVDTKVYDCGTRLWKLKDEPQARTWAEMVEILKNLNGALGGKALFGGDTFGFVDAAFAPFSSWFHSYERYGEFSVAEVSPRITSWAKRCGKRESVTKSLYSPEKIYEFIGVLKKLHGIK >SECCE3Rv1G0174050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:372486449:372492260:-1 gene:SECCE3Rv1G0174050 transcript:SECCE3Rv1G0174050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAPTPPPAEPLPSSPDSTSPPEEAEADAGGTLSRAGPVGTVSWGTGTLVGVFTGLLYGGAKEANANVSKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLVGGARLGMFTATFFGIQNLLIENRGVHDVFNIAGAGSATAAAFGLILPGSPMWRARNVLVGSALGAGICFPLGWVQLKLAEKANLEIENSKPPSDLQGNQSRVGAAIDRLENSLRK >SECCE4Rv1G0272750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:771280293:771282164:1 gene:SECCE4Rv1G0272750 transcript:SECCE4Rv1G0272750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHLLAVAVAALALDVAAAGGGSPALGVNYGQVADNLPSPQAAAVLLRALNATKVKLYDADSRVLSAFAGSGVDFTVGLPDNMVPRLASDPSAAATWVRANILPHLPATSITAITVGNEVLTGSDATMLRSLLPAMQSLHAALAACNLTSRVAVTTAHSLAVLSSSFPPSSAAFRHDLLPYITPLLAFLAKTGSPFLVNAYPYFAYKADPGTVDLDYVLFEANAAPVVDSATGLRYGNMLHAQVDAVRAAICAADYGRAVEIRVSETGWPSQGDGDEAGATPQNAARYNGNLMRLVAQGKGTPAAPGEPLQVYVFALFNEDQKPGPASERHYGLFKPDGTPAYDVGVKAPTIKGLKGGGNGDGSGNGTGSGVGMVVGEGPAGSDGFAVGPGGLYTISDATHKVRRWRWTESLAAMAAVLSMVSWS >SECCE2Rv1G0091460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:317152233:317153270:1 gene:SECCE2Rv1G0091460 transcript:SECCE2Rv1G0091460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNPMSQEEPEERQIGIDPFSLRQLSRLDIDKPLPIPSVSVDDHHLPAYSPARSASASVPFSGAASPRLSSAANAPTRWDAHLALARLAVADTTCPSRAASRSMSCADGEMELAHNEFDVILSSPERRASAPQRWGSDVPLIADARGKDGRRRGKHGTEAAPFSCCLYLPGLTRRNKLPASPTATTVRSSSGLPSATFRGPAAVQPESESDDPSMARQSTMSLAVSLERFECRFSTRSSGGLALDDEAASSWYSDLPLELILGCEADDESGLPMHAAFMFDSDGIRKSVLKKGVRRDVARPSSAKVSTDGSGADRISARHHVRFSMTSGSAMDADRRSLDPLS >SECCE4Rv1G0291290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876279514:876281037:-1 gene:SECCE4Rv1G0291290 transcript:SECCE4Rv1G0291290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAGAHGDAPASKFTLPVDSEHKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLVMLSAPTVFCMAVIDDASGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIQKCGATPFVAWRIAYFVPGMMHIVMGLLVLTMGQDLPDGNLASLQKKGDVAKDKFSKVLWGAVTNYRTWIFVLLYGYCMGVELTTDNVIAEYYYDHFHLDLRAAGTIAACFGMANIVARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCIWLGRASALPASVTAMVLFSICAQAACGAVFGVAPFVSRRSLGIISGLTGAGGNVGAGLTQLLFFTSSQYSTGRGLEYMGIMIMACTLPIALVHFPQWGSMFFPANADATEEEYYASEWSEEEKGKGLHIAGQKFAENSRSERGRRNVILATSATPPNNTPQHV >SECCE6Rv1G0425720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:709093063:709093722:1 gene:SECCE6Rv1G0425720 transcript:SECCE6Rv1G0425720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVNRGGAPDYHSPGFILGTLLAVAAAILLAAGLGCLLYYGPDHPYYYVAIDSASGLLDVPAPDLTLNPEFNLTVRITSASPGSGACIEAGTYVEVSYRCVMLAASTATSETLCTEPAMSREVPFIARGVGVRVPGYMMDNLAGDVRNGVHVFDITIKQSDAFYVNRRVKSCGGRRVGGHTADELEIACDGVWLCPDPDQRRMPSPTKHISAQSILM >SECCE1Rv1G0048370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:634401939:634402529:-1 gene:SECCE1Rv1G0048370 transcript:SECCE1Rv1G0048370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGNRFLAGSAPMEELQEADVLWPDTPPPSRDRLPELLDAAAVEFSCESFGSDDDGPAASSSMSSTSSSSSVLPFGGGSSGSFLSYPSTVAGGLGGHGDMAEEFQEADVLWPDDGYEPQERGADGGELWWLWCGFGGGDATGYHPAEPAAGGQRQAWRPPVSSPIDIPTGVATAAARRRRQGTLLVHRQSIGTD >SECCE2Rv1G0064150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:1837161:1838984:-1 gene:SECCE2Rv1G0064150 transcript:SECCE2Rv1G0064150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAASALHAVFAPRAATFAYRARHAAIRYLRSIPNATWFFRVAIPRQPFAPRHVRRLLDAISGKVDDAGFLDYLRDLFLSDAIAFDLGGSDLCRGLPQESDLTTTLLNIFFDPVDREVMAIREEVHKKNPRVKDDSVRHTPVRVYAVRYLDEMMVVTSGSKMLTLEVRDRILTVLERNLEVKVDRFGSSVHSAVSEKMEFLGMEFQAVPPSVLHPPMSEKAKRARKMYLKRKAAEAQELKNARETRRKKLGLKILNHLFKKVRRGHEFEFGFQIEDEVRQEFKGWAEETVAEYFKSQEHRRHWHRLFTSGDFLSLNRVRDQLPSTLVDSYDQLQETLDRFLMPRRGHDITQDEEMLAEEEDERQYEKRIVEDLTELKMRVNAPIELVRKAVKLAGFTNSMGRPRPIKLLICLDDADIIKWYAGVGRRWLDFFCCCRNFKMVKIVVSYHLRFSCFLTLAEKHECTKRQAISHYTKDLKVTNENGVPEVYFPTEKEIKMMGDKNLSDPKPVDGALTMILVRLAVDDTSLPCLAHFCAGTDTALYRIRLLQNRLNVDPLNEKKWVQGLSAIHESLNKKCLPLCSIHASDLLLGKITLQDIDCTQFVDVV >SECCE5Rv1G0339630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:609452784:609456303:-1 gene:SECCE5Rv1G0339630 transcript:SECCE5Rv1G0339630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) UniProtKB/Swiss-Prot;Acc:O80568] MAMERPVRLVLDASLLLDPVTAGGAGAPVPTLRAGAEALLRRLRHSNLGVAICHPEEMPTAVSGFLEMTADLNSFGYISLPAPTGNHLLNELMLEWSRSSSCFYATSRVDEDLFSELQSHNWRVVAVDSECGTKDSGVLNIGKLQELLITLATLIKKEIASSSVLMVGYAMKPSREEDFAKRGAFPLYPSQNGLIFVPLSFELPLASQLQEVDIILHKMTDEIISIDPNCSISFPRGISFSAGMSEVIRFMEEYPDFCIIDPFKNISPLLDRLQIQEILVRLQELGSERRPKLRAPHSLKVINFNGSELQKQLAEANLSFPLIVKPQVACGVADAHNMALVFQIEEFSNLSVPLPAILQEYIDHGSKIYKFYVIGDKVFHAVKSSMPNANLLKSSSGDEPLTFNSLKTLPVATKEQLLLNRVQDNKSLNIGVVEEAAKLLKESLGLTIFGFDVVVQEGSGDHVIVDLNYLPSFKEVPDSEAMPAFWDAIRQSYESKKGKVQT >SECCE1Rv1G0032250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:452731141:452731986:1 gene:SECCE1Rv1G0032250 transcript:SECCE1Rv1G0032250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKLHPYANALGVCAPCLRDRLLVLAAERDRAGASSGADCSSSSSRGSSPARGRAASPFAAAPVAQQHRRSDACAYTSSRHSHSHRPELLFFSTPQVGPATRAADDEPRESERKKSFHRRRSFLAALFGGGRRGSREEGSESKDSPRRSTSWLSAIVRRKRRPDAASSSLPRPADEEPESPGGSTSSSWWFPSPSPARHHHHPQRRSRPGGSGASGDGISGFAVCLSPLVRPSTGGPRRRCQQPEPSTLGESHRRHLSASSAASLGRNTSRKLADMGRFR >SECCE2Rv1G0073270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:66871419:66871829:-1 gene:SECCE2Rv1G0073270 transcript:SECCE2Rv1G0073270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE6Rv1G0451760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873564742:873566702:-1 gene:SECCE6Rv1G0451760 transcript:SECCE6Rv1G0451760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRNIFKEAYTLETLQTVSQFFRRHPPFLCLLLCLVILYKYYFSWFSLLVATSPIVLFTGLFLGVILTYGEPNNPENDHIYKKIEKAPQTQNVHNTAELVGNVCIPRITSGEDRIANHNNNENTFHKGSHGRSSSSESASSASDGSETTTHPMLHAFHQLKSAASSSVSSQDGDSIDSSSEDEAQNQDGNDENELEEKGVKVVAWTADDQKSILKIGCLEIERNQRLETLIGRRARKYIDRNLREFGYSEPLPTKEELSKFNVQIPTIFAPRRNPFDFPYNEDNFPESAPSAPIKMRNAFDIACEQEDESSSTGGANSSNVEPTHVASQIKKITMLRRHESFTEGAPFLADFRQNLQPSRFRPYFVTENMSDEGTTVPSLEGEASESSLQDLDNSSVNDQEIQNVKLSLDTETPILISDSSEDEMSLPGGHINYWVEAQYIENLSLFHATPLEERRVVQYPQEMEMTSNDLHQMSPHSDDLDLMSSTTEATEPFARSSNELPGKEVETLDDTQMGDPVYDSSPSGSDKPTSMGSPVDTVLVHEDYLHTSATSKEEMGLPSMFEVPTTEIASPSLASLEESRQNETSEIRDS >SECCE4Rv1G0259000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:681853823:681855500:1 gene:SECCE4Rv1G0259000 transcript:SECCE4Rv1G0259000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPAPSPVVVLSDDESGDDNAASDPLSRSAAERIASTLTTQAAVDALCKNHDVPRGFAARPAGELRACSAPPRGSVCVYAHMLETGVRFPLHAFFCEALTHFHLAPGQLSINGWRVLVGFVALCHEAGVRPSMALFRHFFKLYNRHERYYFRCRADAGVLFTGTSYSQSEREWKGGFFFLTSPEEWRCPVRWGEPPYNSSAAGPVLTSHQKQAAEKLLGVHGAARDLRAYLRETDLAAPLSADLPGAPPPPQPSHRSTLAKGVDPPVRDMTENMLGVEKTAAPAAGTGLVKSEAHGDMLPVSGKKRRREEVTATDGPGCAAPVSGPRSPHPPVPDTHDGDSADWKAARKVLEGLVTPSRERHFAASKPSDVVASSYVAVLQAANYATFSMTYALELDEKLVALERDNLALWEQLEKEKAARQAAEAELEKVKAELELAEAAAVQHFLGSEEYTRLLAEHALPEYLRGAEEMKRVVLRHYPHVDAGKLELPVN >SECCE5Rv1G0366510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:813577132:813578425:-1 gene:SECCE5Rv1G0366510 transcript:SECCE5Rv1G0366510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKDYTTPPTAPAPQAEAGAPPHSYPPTHHAMDGKDAAAPHPGSQQVSYPPMEHAMDGKDATAPHPGSQQVSYPSMDGMDAAPQTGAPPHPSSPLTQQAMEMEGKYAAPQPGSGAPPPSSSPLAQQAVEGKETAPAPTGESVPSAESARWGTRQMGPPAAPGAHPENQQAAQWTATRGDQELPPYVIMGGPEQAPAASARRTDKETKDSPMEHILDFFNTWSRKAEELSSNIWLNLKTAPSMSDAAMGKLSLGAKAITGGGFEKLYKQTFASGPDEHVKKTFACYLSTATGPVAGTLYLTNMNVAFCSDRPLSFTAPSGQTAWSYYKIMIPLAKLAAVEPVTAKESPPEKYIHIVTVDSHDFWFMGFVSYDKAVRHLGGAVSSSQHHGAAPAAAPATAPTYDQ >SECCE3Rv1G0212590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:955978938:955981138:1 gene:SECCE3Rv1G0212590 transcript:SECCE3Rv1G0212590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPATPQVHIESIMTGLPTRAVQPNRTRHIAVATPPLPETVLQRRLRVVLYYSADDHAPLEEGIWVRESLGEALCFFPEMAGRLRRLADGSWEVKLNDTGVRLQQATVEMTMEDFLADKDRARKEAALAPWVDVSAEDPDMCSLLFMQLSRFQDGGYPMGVSCTVLLCDPLSLARFLLTWARTHEEIKEQNKLLLRPMMQYMAYFERPEICCKRIKSFHIDSVAADGAHAQTVLFRTAGGSATGDLRTLAAACIDQASEELDVDRASRFTLVVAPRDSARGATTVETSVMAADGLKKGEAGHALEAAEWGELGLEELTLRGVKPVHVSCRIVAGGDEGLVVVMPVDGDGFLVTATIPK >SECCE4Rv1G0249550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:584600808:584604631:1 gene:SECCE4Rv1G0249550 transcript:SECCE4Rv1G0249550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 9 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G49100) UniProtKB/Swiss-Prot;Acc:Q9SMU7] MVYFDSWDEFVDRSVQLFRADPITTRYCMKYRHCDGKLVLKVTDDRECLKFKTDQAQDAKKMEKLNNIFFALMTRGPDADISEVSGKEQAEQQQSKKGRGRRQ >SECCE7Rv1G0491550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:529014230:529017646:-1 gene:SECCE7Rv1G0491550 transcript:SECCE7Rv1G0491550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHASGTETAEDRTRVCDLPEACVAHVIALTSPRDACRCAAVSPCFRDAAGSDVVWARFLPPDYLRLHQAPARRFPLSRSASTASAAAASSSTKKETYLGFTDAAVLVDGGGMAVWLVKGSGAKCVALSARRLSLPWDDGEFSWRWTPHPLSRFADVAQLVDCTCLDIYGRLPTAALTPATVYVAYLVFATEDAHRGLSFPDQETTVSVGDSAPSRHAVCLRPDPVDARRFIDGKGTDDAHVTGPALRGDGWWEVEMGRLRTRDEAVVGEEVTVSFEILGWYPKRGLIVEGIEFRPI >SECCE3Rv1G0209750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:940392717:940394175:1 gene:SECCE3Rv1G0209750 transcript:SECCE3Rv1G0209750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLVVLCLVSPLLLAGAVLGNPGYGGLFPQFYDHSCPKAKEIVHSIVAQAVAKETRMAASLVRLHFHDCFVKGCDASVLLDNSTNIVSEKGSNPNRNSIRGFEVVDEIKVALETACPGTVSCADILALAARDSTIFVGGPYWEVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRLGLNLVDVVALSGGHTIGLSRCTSFRQRLYNQSGNGLADSTLDVSFAAQLRQGCPRSGGDNNLFPLDAVNSSKFDNFYFKNILAGRGLLSSDEVLLTKSAETAALVKAYADDVHLFFQHFAQSMVNMGNISPLTGSKGEIRKNCRRLNNYH >SECCE3Rv1G0191940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:752861016:752865853:-1 gene:SECCE3Rv1G0191940 transcript:SECCE3Rv1G0191940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSELVWSPDDGLSIKIAAASLSTRKASLRWNADTLNIVISSPQQSGGGGGKSGDNVDATLRDAGEMPSQPRTRSDSSVRVSAASPNRTRNLDAQQSTSIRSQEQDSKCSGGISEMSEGEELSDNCCADKLNKEEVDICPTRCSNDASHGPASKKGTLQSISEKQVYCATAVHNERSWADNTWRARLVKAISQRDYVLPNNAVNAKSPSSFGSFSNTKKVPDKLAGFLDNQSDKHQDLVMQDNYNGNQEDQVMQENCDADHQDQVMQEHHKDGPVFVKCQSASGVNPVSKCDSASGVNSFARYESTPDVNPAKLEKGKEKVMHDQSNYVSNTKEGDDSNESMESCPRMKASKREYAQYSTAEMSSRNKRYRREYNESYCSGLLKRNGSSFFNWMSSLTNGSTVFDKTTTAVSLNQKLSEATGHKLAGHSLPLENNNSNRLQSVGFNSLFQSLYSHNVMITSRDTPHQSESNHTEHEADRLSLALNGSNSMLDKEISTGRETLDVAVGTLAADSLQMESAGGKWNFRDQSGVFPLRARRNFKMPNSSKSCSKTPEEKQNECHASPLNAAMGNKGGITESLWVSRLLPKTSMKLMDATPCNVNSDFCAVNPKGAGDKLYPSSQQNVNVEKEFNSSQYFTSTGSDNETTSSKCPVIPPEEHKQSETMASILAKRLDALRHAKTSAIRLAISSGISKDHNHRKSPFFINYSHDGLETGQGTPKSSSGGGRLVLWSGDKGKEQLYPLSDEELRGNMLARGEHQQCGGSTTGKAVAPHNNLEAPRDNLDTSAEYVDRRGVQIKEVGSNSIESLPHNKQIVPYNIVTSDIDQSSVVFGALQRLRLSRSDIIRWLTSPIMHTTLDGFFLRLRFGKWEEALGGTGYHVARINGALDRNRLSVTIRNSTCQVDSRFVSNHGFHEDELKAWWSAAMKGGWKLPSKEELSKKLRERELLHLQNGTGQPDNT >SECCE4Rv1G0250440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:593125909:593129651:-1 gene:SECCE4Rv1G0250440 transcript:SECCE4Rv1G0250440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRKHRKDATKASGEDFISALPDAVLQVVLSYLPSDETVRTCVLARRWRHLWKSTPALRVYRDDEEQWPAEKLNRFVNHLLLHRDRLPVDECEFNCYQYFPQEEGVLFQFAESWIRDAVSLLGARVLKACIHTRDYYLPLYNWAFISQRLMVVEFSYVILQFRNLDFSGCPALESLKMHCCTIHTDKIISQSLRRLSITRCYFELNARTCISAPCVVSLQLADLSGRAPLLENMPSLVTAYVRFNGGSNGYDICRHKGYYVDCDNDGCNGHYDGDGSNCVLFGGLSGATNLELISDPHVFIFRKNCIRCPTFSMLKTLLLNEWCAVADFGALVCFLRHTPVLEKLTIQLPRYKTKHRAIEVYESYNPTEHFIVLDHLKVVEIKCYKEDELVHKIIQILSTFGVVPSEQIGIQVIPWDIETLWFTESFKFEQRNEDPGF >SECCE5Rv1G0334670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566981162:566983930:-1 gene:SECCE5Rv1G0334670 transcript:SECCE5Rv1G0334670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSLIASRLARSGHALATRAIAQAPRTHNHHHAASPLFSRLGAVARAFSSRPAAADVIGIDLGTTNSCVSVMEGKTPRVIENAEGARTTPSIVATNSKDEILVGITASRQAVTNAENTVRGSKRLIGRAFDDPQTQKEMKMVPYKIVRGTNGDAWVEMAGKSYSPSQIGAFVLTKMKETAEAYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDASLLDYLVSEFKKSDNIDLSKDKLALQRLREAAEKAKVELSSTPQTEINLPFITADVSGPKHFNITLTRSKFESLVGNLIERTRIPCTNCLKDAGVSAKEIDEVLLVGGMTRVPKVQDIVSQIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQTFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKSTGKEQDITIKSSGGLSDSDIEKMVKEAELNSQRDQERKSLIDLRNSADTTIYSIEKSVSEYKDKVPAEVVTEIQSAVSDLRAAMASDDLDAIKQKLEVANKAVSKIGQHMQGGGGASGGDSGSSGGDQTPEAEYQDPKEAKM >SECCE4Rv1G0220120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:35547088:35547783:1 gene:SECCE4Rv1G0220120 transcript:SECCE4Rv1G0220120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLQHQQERAREVYRECLRNHAAKLGTYASDGCCEYTPDDSQPAALLCAACGCHRNFHRKAFLDGTASPGAASHHAPLMLPSPGAPPGYMHHHLAMAGPSGVAMGGGDGGGSHSGGGRRRTRTKFTEEQKARMLRFAERLGWRMPKREPGRAPGDDEVARFCREIGVTRQVFKVWMHNHKAGVGGSGGTGAQTSSSTTRGGGGGGAGGMSPAMGGDGEDDEEVRGSEMCM >SECCE5Rv1G0374350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862421353:862421901:-1 gene:SECCE5Rv1G0374350 transcript:SECCE5Rv1G0374350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASEVGPMMAKVALECGGDISASQEEVLAKSPFLGAANGPSASASASQAREDEEGEEEVFATPPELPQQDPITMCSLPFTPSPSHPRSPSPPPSDDDAAAKPRRKPRVCTRKVRGSKISTPAPTPTPSPKQQPEQPPRAAVDPLYRAMLMIPTAAATTTSKQDPLEDFLALARHRGIF >SECCEUnv1G0538380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72726313:72727479:-1 gene:SECCEUnv1G0538380 transcript:SECCEUnv1G0538380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSITDIPDHLLAEIFLRLSTPEDLARASAACLTFRRVSTDRSFLRFFRCLHAPQLLGFLDLDGFHPAMPSNPAARTLAVTADFTFSFLPSHRRWTVQDIRDGRVLLARNIGKHGQPPVFGDLAVCDPLHRRYVVLPPLPHSLAASLEHPFPPVSEACWKRFLVPLGEDEVATGETAFRVILMAHWETSLGALVFSSSTNQWQAPAFKDLSDLALGKGYMNRRHYAYGCFYWDCRVNFRMKKLLLLDTRKMEFSTADLPPGEWTYEGTTIVEAGEGRLGFFGFHCETPSYLSYTVARNKGESPSQWQMEKTISLDSRYKYFIKDATQRYLFFTRREAWSPCSELVGYFSMDIKTLQLQRAYQKQHYPWNEAYSYINFPPSLLSSRRI >SECCE5Rv1G0370110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:840028066:840029693:-1 gene:SECCE5Rv1G0370110 transcript:SECCE5Rv1G0370110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAVGVEAGHGSPLAYGGELTFTVVMTCLVAASGGLIFGYDIGISGGVSQMKPFLQAFFPKVLRRMADAKRSQYCIFDSHALTSFTSSLYIAGLVSSFAAGRVTRSLGRRGVMLLGGALFFAGGAMTGAAMNLAMLIVGRMLLGFGVGFTNQATPLYLAEMAPARWRGSLGVAFQFFLALGILTANLVNYGTARLEWGWRLSLGLAGAPAIVIFVGALFLTDTPSSFIMRGKADLARSALLRVRGASANVDAELKDITRAVEASRSSEEGAFRKLFADRQYRPHLTFSIVVPLCHQLSGMMVLTFFSPLVFRIAGFGSNAALMGAVILAAVKFGSLILSTLVIDRYGRKVLVMVGAVIMVVCQVANAWIMGAQAANGPIPRAYGVALLALTCVQGAGFGMSWAPLIWIIPGEIFPMEIRSAGQSVSVSTTLGLTFLQTQTFLALLCRLKYATFAYYAAWVVALTAFVLVFLPETKGVPLESMGSVWERHWYWKRFVGDGHGHGRGKPAASPSTST >SECCE5Rv1G0357500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:747248548:747250836:-1 gene:SECCE5Rv1G0357500 transcript:SECCE5Rv1G0357500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVILLAIKKIGIALAIRVANQANVQFGKYKTQLQELHGSMGRVARELRIMHDVLCHMDIRNRNNQVYDGWLEEVQKVAHVMEDMVDEYLYLVGREHDIGSCFYLKRGFRKSRSLLSTNQLAFKVKEIEKDLSHLSETNKRWVPMTNSGATSSCDYIVKRGQDLANISRSLAEEDLVGVDENREKLEQWLAGDDLERSVIALLGMGGLGKTTLAGNVYKNERKKFQCHAWVSISQTYSREDVLRNICNELLKDNVSVLSKSAAMDITCLEETLKSFLEQRKYLIILDDVWTPETFDDLSRILIHNDKGSRLIITTREGDVAALASPGHILTLEALPEDKAWDLFCKKTFPKETNHDCHAELKPLSREIISRCKGLPLAIVSVASLLRVREKTVEEWRRINNQLSWEIIHNSRIDHIRNVLHLSFIYLPTHLKSCFLYCSLFPEDYLLKRKQLVRLWIAEGFIEGRGGSTLEEVAEGYLKELVDRNMLQLIEMNSFGRINEFKMHDILRELAVDLCQKNCFGVSQEAKCEGSVEMDGRRLILDKINNDIHRSFSGLHRLRTVITSGNSESPFTLLPLLCKESRYMTVLELSGIPVKKIPDAIGDLFNLRHLALRNSKVKMLPRSVEKLTNLLTLDLRKSDIHELPSGIVKLKKLRHLFVEKIMDPDWRNINHLSGMCIPNGLGNLINLQTLQALEAQDESLRYLGELRQLKSLRLLNVKGSYRGRISESLVHMRHPSLLSVNASDGNHVLWLNVLLQACKS >SECCE2Rv1G0130830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:879019888:879021420:-1 gene:SECCE2Rv1G0130830 transcript:SECCE2Rv1G0130830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGTSSTSFSAFPDDKAAFEPLNPEDVRAYLHKAVDFISDYYTNIESMPVLPYVKPGYLQDELSASPPTHSAPFDVTMKELRTSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQLLRLPTTFMNRTSTGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSIGVSGIPRLVVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETNYGLDPANLLEVMQADADAGLVPTYVCATVGTTSSNAVDPVGDVADIAAMFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLYVRDAHRLSDSLETNPEYLKNDATESGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEDFVRSDDRFEVVVPRNFALVCFRIKASGAMTEKDADEANRVLMENLNKSGKVYLAHTVVGDKFVLRFAVGSSLQEERHVRSAWDLIKKTTSSVMD >SECCE5Rv1G0341600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:626081687:626085083:-1 gene:SECCE5Rv1G0341600 transcript:SECCE5Rv1G0341600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGQGGGGGVEEREVVNVDQSGREEEVRRALPMMPVRVLLAEGDDSTRHVISALLRKCGYHVSAASDGVKAWELLKEKSFKIDLVLTEVELPSMSGFLLLSTIMEHDACKNIPVIMMSSNDAVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLANGEIDVQQIQQEENIAEQHGQKTEVTKAEHSIQNVVRKNRECSEQESDAQSSCTRSEPEAESKHTNSFLEFKQITERQSSTDTKNTVENRDPENPSDNKRKKASTDIEVVHIIDDEPKPSTPMEVDIVRTNSQGNGDKWFSFPAHQLELSLRRSDYGRSEDQEKNDTRTLNHSTSSAFSLYNCRPISSFGNAVDAQPCSTSATHADLENKNRDSAAPFQDKADPICHPIRVVALPVPVGGLTFDGQPFWSGAPVAPLLYPQSGPPIWNSTTPVSREADTQATSSQQKCQQNDPTEMDSQQTESTQQQEVLPPPTANEKHLHVEIPSDSNPQQVSPMAGESGSGSSTVLNNGSSTVMNNSGNSLSGSACGSSSNRIATPTEQCNASDGATENPIMEGSHQLSQREIALNKFRLKRKERCFEKKVRYQSRKLLAEQRPRVKGQFVRQEQNIQAS >SECCE2Rv1G0103600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:592349540:592350115:1 gene:SECCE2Rv1G0103600 transcript:SECCE2Rv1G0103600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPPTPSSPSPLPAPARKSPSSRRRQRLLASPKPSSAPTSSSSSASSASSSSASFSFAPFSPAPSPFHHRFLSPLRASAVPFSWEHRPGIPKTPARGAGTRSKSGASPLPLPPSLFSNNKVVAEYSFGADGAYSVVPAKARKRKQQRRWPAVTDALTEWLAVLSLYRSCTRSRDSLAAAGPPPHPRRCSP >SECCEUnv1G0531880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17991644:17994358:1 gene:SECCEUnv1G0531880 transcript:SECCEUnv1G0531880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILDKQDEDDDFRGVDESSRAEVPDVGSEIHQALGEHRCLVLFHNGSDSIIDLNDLGIPHLDRWSASKVLWTFRGRLRLKSEISEKVDNSHLYLYDELSNNGWNFVLEKEAKEISRYTYKLGDEAIEECCLYLLSLNSQGGNIMDYDWATHAYTYWVCDGIIQGGKADETWEVAAVLHQQIHIEDYSSNALVSFGHELDTPPHRWIFVTNINVVHPDSTSFFLAAAPNMSDPPLRPLPNFMFHQSDKLRVLKLCCCTFSFSSPPFHCCKNLRFLGLDGCKDQRVEDDEDQDRPTMEFFKSLWVLEILYTDWELDLSLEIVDQMAANIREVHIKNGRLWQLNFAWRQLQNIRKLRVIEPTCPWGTGQNDEFLDMVKMEFLNLSGNSTIQVLPSLSGATCLKALVLDGCVGLEHVGPEALPSSLESFSLDACTRMDQNKEAKISHISLAGCARLVKFRLCGSLPRLEELDLSGTLVKILGLKEVQAPNLQQITLLKCLELHAILWPEDGLPTLTLLHIDSSVCQVQTKLNEAYVIIMDLRFFQYLVLQSNAQFCWNSNRFHLNICVPFTTNVKGQSYTKKKMGPGNSGQIMGSPQPKSLTPNTCRTYIDVCVDNIIIDHDYINGMQFQPSNCHVEIGKGISNASLESIQPIKVIIFAMNKARSLHVHDNSSITSVIPEHMIFTDEDYLAWRDLKQCHVVRCPRMQTVFTTDYTAIYLFQEIETFCAADLLNACCIWSKEKTWSGKDNITFAKLKSIHLYSCPRLTFVLPLVWAIQGSYLYSLESLHIVNCGDLNRVFPVHPDLKESVLEFLNLKHIHLYQLYKLQHICEVKMLAPKLERIWLRGCWGLRRLPTVNRDSRPIVDCEKDWWEKLEWDGLEACHHPSLFEPHHSSFYKMALESVSVLR >SECCE4Rv1G0227320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:121841855:121843114:-1 gene:SECCE4Rv1G0227320 transcript:SECCE4Rv1G0227320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASGAVALLLVVLGASVSSSSGQLQVGFYSKSCPSAESTVASVVRGASAADSTILPALLRLQFHDCFVRGCDASVLIKGGSNNAEVDNNKHQGLRGMDVIENAKAQLESECPGVVSCADVVVLAARDAVAFTGGPSFDVPTGRRDGKVSNVRDADVLPDVRDSAQVLRSKFRASGLDDKDLVLLSSAHTVGTTACFFIQQRLYSFPLPGGGLGSDPSIPDGFLSELKSRCAPGDSNSRVSLDRGSERVFDTSILRNIRNGFAVIASDAALYNDTTTVDVVDSYSGLLSTIFGPYFRQDFADSMVKMGSIGVLTGASGEVRKVCSKFN >SECCE6Rv1G0396800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:375995002:375995343:-1 gene:SECCE6Rv1G0396800 transcript:SECCE6Rv1G0396800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSISPKRILPSFHGPLTEEEMREDPGPEQVGLGYRAVSAVGVTEDVLVRFIVGYDILVHCWECPLYSKYAFLGALRSAGQMVPCEVSIGLILIVRLVSTFGSAKAIARIFP >SECCE1Rv1G0003720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14936388:14936612:1 gene:SECCE1Rv1G0003720 transcript:SECCE1Rv1G0003720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAPNAPAGEEKKTSWPEVVGKSIEEAKEIILKDMPDADIDVLPAGSAMTLDFRTNRVRIIVDTVATTPSIG >SECCE1Rv1G0036220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:507451949:507462387:1 gene:SECCE1Rv1G0036220 transcript:SECCE1Rv1G0036220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGAGRARGASLAAAVRAVAATARPASSAAAAAGVSVAGVILKGNDTPFGSLGWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDSEKAQAATILPVVQKQHQLLVTLLLCNAVAMEALPIFLDRIFHPVVAVVLSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIMCYPIAYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAQEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNVIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKARPKNPPAADTTEPNMEAAGATQLTAPLLSNAEERAESVVVDIEAPHSRQANGNKHHSVQQNDKPSNGVGRSSEDIEEGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTAQKASGAQSRQGQAGQPAGILKKPATEGDSNPSKQVNLVEPLLENRR >SECCE5Rv1G0365560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:807960976:807962720:1 gene:SECCE5Rv1G0365560 transcript:SECCE5Rv1G0365560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATGTADFFYREALRLGYVARSAFKLIQIQKQHKLITPGAAVLDLGCAPGAWLQVACQNLGPLEKGGLVVGVDVKKVKVPSAHCDSRVRTVCTDVMALMKRQARAMSPQERGFSVILSDMCPVVSGITTRDEAISCELGMRALSLAVGKIKVKESADYRETMERFQSSTGPDPDEDGVLRRGGSLVIKFLENEDIPGFNKFCKEKFKKVSLLRPKATRSSSREIYMICEGLR >SECCE7Rv1G0503480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:712604978:712607218:1 gene:SECCE7Rv1G0503480 transcript:SECCE7Rv1G0503480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHHLMARNLFVVSLLILSLVFNSCTAHSWRNNSSLDDMGPRCEPSNHPFGLCKARAAAFGYPCEDHMVTTEDGYILSLKRIPHGVSNSTKNTTRIPVLLFHGLMVDSVSWVLGTPKQSLGFILADGGFDVWFANTRGTNSSRNHTSLTPDDPEYWNWTWDQLAAYDLPAVLQHVYDHTGGQKVHYIGHSLGTLIILAAFSEHRLLHLVRSAVLLCPIAYLYKTKSKLTRLATQILLAEAFHFLGYREFNPVGPVSHEILLIICGDPEIDCYDLFTAVMGPDCCLNASTVCAFLQHATQSTSIKNLIHMSQMIRYEGVRRYDYGNARENMKHYNQPRPPLYDLSSIPTHVPMFLTHGGQDFLGDVPDTRHLLKTLVRTHDSDNMEVLYLPDYAHADFVIGYNAPKLVYGPIVDFLQRH >SECCE2Rv1G0121510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:809020593:809024846:1 gene:SECCE2Rv1G0121510 transcript:SECCE2Rv1G0121510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVMHRSSSDGGSSSGWSEAAAAAAGEEERAGWEVRPSGMVVQARDRAADGAAAGVPPRPPPPEIRVRVKYGAATHEVAVSSIATFGELKKALAPRTGLQPSEQLVTYKGRERKNSEFLDKCGVKNKSKLVVSEDPVSLERRYIERLRNARIQNANRAIGVIALEVDKLADQVTSIEKSVSGGSKVAEVQITTLIELLMRHAVKLESIPADADTSSQKNIQAKRVQKCVEALDVLKVSNARLQTVVVTTKWETFDNGAAPVTTKWEIFD >SECCE7Rv1G0490110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:472728392:472730467:-1 gene:SECCE7Rv1G0490110 transcript:SECCE7Rv1G0490110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFTKLAENETAQLQRAVFAQYIMMKKLFMELEEEREASSSAASAALSMIRKLQKEKEAERMEACQYRRMAEERMNHSDKALEIMKEVMQQKELEIYYLRSQLQVYKQRLLGVGIDDCDIADETITKNIPLFGSRDVENLCYTIKRNLSLPNFQLSKRFVEMELNRNNGLVASSRSRLGVYMHNSSENELDEVSSDLKAIRSKESLAADMDGTEKHGEEPKPPSNSSIEHSYPLEGSSRSSPFLMAGHHTDICSQRATPVGKDVRDMLHPDPPGSLHPDNEMDNTVAHHIGHLDTLKHPELSKVPTEYSCTPIKHGINTKEPETPHTVDLRDKGSHVLSKFSATRKVGSMNNVDRHVRVPAASSTPRAGIERTRSRLKRVQSEKMIELNGSKKNKEQIIMLKEVYEQLNMIEAHMRPSGSQETPRNDQSLDSVMEAALSFSI >SECCE6Rv1G0448490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:856364279:856364827:-1 gene:SECCE6Rv1G0448490 transcript:SECCE6Rv1G0448490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGSSSQVFIMPAAGHVNYLLAGGSGGGDDPRYPWIFKSLHEVDAVVPAIARAGKRPAAVPGGPQVEPYGCPICFRIFATAKAVHGHMRSHTDRSWRGMEPPRPPPLGLGEVRYPYMCDRCKMPFQTRQALGGHRASHNGKKGCSWLEREELAAAEEARKPIVFDLDLNLPAPEAEDQDGE >SECCE5Rv1G0318940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:369273187:369274719:-1 gene:SECCE5Rv1G0318940 transcript:SECCE5Rv1G0318940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSGNQTQSSDYELLASQPDAQCLVCTRPFTLDTEVTNSFEALAICRECKATVLNDSQRDVTTSTSQQRRQRRRRSRTASLESNEDAFSQQFSQLINLARQGHEADIDSPSVVRQLASYNSTPNQSQRWHASDDESDGLSYADSVFDELESNISFGDYGGESDASLGQHSMMGREITIQLDNESYMNTDTDIDPMNAGIDQWDSDDQEDEDVQLEESDFDEAVDAMQQHQQQSRGIGPSELTGWESEDGVWTWRSRRANMTNLMAGMEGPDVRTPFVGNPGDYADARQFEMILEQFAEDDSSRRGAPPAATSFIGNLPSLVISTCHEADGGVICPVCKDPMPIRTRAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTDDPESERSERAATNERGVHGVEEHSHLQEIGEEGSDEPEAEETHDMSNDAVEATNTSEHGVHVAEQSNRARAHRRWLFIAVAPAVSFVGLALVLCFANPASSGRRRLCRGSQSASAALVDTKRSWWSMF >SECCE2Rv1G0080880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:133652171:133653270:1 gene:SECCE2Rv1G0080880 transcript:SECCE2Rv1G0080880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGVKKGPWTPEEDLVLVSYVQEHGPGNWRAVPTRTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLQAGGDAAAKPAAQRPASSSKGQWERRLQTDINMARRALREALTTLDDIKPQQPDVADDGVNGPAAAGADSGSPAASSSSAASLSQCSPSAAGPYVLTTANISRMLDGWASSKGRSAGAAADSPSGSSASEVSYGSGAAARALGSAFEYDRKPAVLAPDQTQLNAIETWLFADDNSNNDHHGHASGSSGLLGVPAMLPAMGYPF >SECCE6Rv1G0413850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:626739356:626740045:-1 gene:SECCE6Rv1G0413850 transcript:SECCE6Rv1G0413850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSPASGAGSPEAEGGGGRKYKGVRRRKWGKWVSEIRLPNSRERIWLGSYDAPEKAARAFDAAFVCLRGPGAAGADLNFPDSPPPPCRHSVDPQEVQAAALSHANRAAVSAREAAAALMEDDCSRAPAALSTEQWPVTQPSWAQHDDVMDIFGDDAAAAPDGSIDWRSVMAHQPPLFSPTAGWGSNAYDFLQVTPPPTQGDEDMEECGHGASASLWSFDSRDSYFRY >SECCE1Rv1G0002220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8274969:8275178:-1 gene:SECCE1Rv1G0002220 transcript:SECCE1Rv1G0002220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIAVVLFVLLSPGLLLQLPGKHHFVEFGNMHTSAMAILVHAIIYFALIALFVIVIGVHITTD >SECCE2Rv1G0112120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:706751162:706753690:-1 gene:SECCE2Rv1G0112120 transcript:SECCE2Rv1G0112120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermembrane space import and assembly protein 40 homolog [Source:Projected from Arabidopsis thaliana (AT5G23395) UniProtKB/Swiss-Prot;Acc:Q8GYJ4] MGQDQSQPDPAVGEPSPPAADPAPAQSPAPAPSSLEELAAEAMSFSEDDESIDVKVQKALDCPCVADLKTGPCGSGFVDAFSCFLRSTEVEKGSDCVQPFIALQNCIKENPAAFSKEVLEEEEKDEEAEKSNLKVRAPAWSRESKSKPKL >SECCE5Rv1G0362110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782630705:782632061:-1 gene:SECCE5Rv1G0362110 transcript:SECCE5Rv1G0362110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAKKMLRDEITDATAAAFAGSTVIPDRYVWPDETTGRGSTVVGDDQSHELIPVLDMASLLDGSPEEIAKLGAACRDWGFFQLRNHGVDETVLERVKDSAREFFRLPLEKKKGVGIVKAEDGFQGFGHHFNTSTGKLDWAESLLLGTQPIGHRNMDLWPTELPTFRDSVEKYSLEMTKLKSLLMASMAIDLGIKPETLLETVEGKIQNIVFHHYPPCRHNTDKVIGIPPHTDGLFLTIVLEVDATPGLQVSNDGRWFPVRPLPGSLTVFVGDILEVLTNGRYKSVEHRVLIDAERDRTTIATFQDACVTGMVKPLPELGEVARYISIEKHDYVHRQFQALTERANIVDSLKI >SECCE5Rv1G0308640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:135427390:135427746:1 gene:SECCE5Rv1G0308640 transcript:SECCE5Rv1G0308640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVGALLVGAGERWWWPCWGPAARHAAAWAGALALAVSVASFAPEAAFVWALASGGCAAGSVRVPLDGGGDHVCVPARMAGRTFADIIVPPAFAALSVGASACFVRAIAVGRRHGY >SECCE5Rv1G0336370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583815436:583816485:1 gene:SECCE5Rv1G0336370 transcript:SECCE5Rv1G0336370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAHRNREPFSTMSGRKRPLEILRQRFQSELVAARRLLAEATALLPASSPSAPRVRVRPAEEPPAKKRKAPPSPVPVKKMTSKQRNQLYADLTKLAKLSESHVLPAHILELLKKHSRRGICDDYIEIEMHAVQDAALVEMRKQLDKFLGESKNPSTHHQHKQMMAQDEEDEAVDIVGGVSPLPVRSTPLQLVEEHEEYVDICGDASPVKNLGQGATISGSSGSSHQSVDSRPAPAERAANTMPPTRDLIARASEILERRRKEATSRAREKARQELLETERTAMFNDTLDEDMKALGIDQHNTASPDNLLRRMGLFLKVEDDDDDDDLKQQQQQHQSCQEDLEEGEIRL >SECCE6Rv1G0435510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:771685252:771689148:-1 gene:SECCE6Rv1G0435510 transcript:SECCE6Rv1G0435510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVREEIQGSIGKLQTTFHRWEQVSSNTGEYVHLTKELLTSCESIEWQVDELEKTISVASRDPVYYGLDEVELSRRRNWTGSARNQIGTVRRAVEKGKSNPAMARHQDPMGTSRTNQYSPQDNDDYIASESDRQLLLMRQQDDELDELSASVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLILFLVVLFIILFVLVFLT >SECCE2Rv1G0087360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:224555391:224556713:1 gene:SECCE2Rv1G0087360 transcript:SECCE2Rv1G0087360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRFLPIAASAVAGRSFLAAIPLPVTERRKQVCCKAGNSSSEKEGGEPSPAEEALRRLAELDAQLEGLKEPKMRPPAPPPPPDPFMDRDMIIQRGRPSDELPEMTPAYVTFSTLAIFILTIFTNVVFNVYIKPSVDGADQPVRIQRVPLADPSFQQPGDSSGSS >SECCE4Rv1G0292680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883464644:883466336:-1 gene:SECCE4Rv1G0292680 transcript:SECCE4Rv1G0292680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLRNSRSVFCRLMILRHYCNPTKPSAAPRSCSGVLDSIRGIFFRPVKPAAYCPTRAPALPVAFSRSQPSIYRARGWYRDPWKVAGASGAAVFLPYATVFTACAAVSHKETVPYTNRTHRVMFSPKLERELGDEDFEELKKERSKDILGPSDPNTVRVRHIASDIIRGIQEFFPTDGLGGDDDDAKQAKAVVRPQAEHLRDLQWEVIVIRDKSESAWSLCGGKIVVFTALLNSLETDAEIAAIIAHEAAHVVARHLMELTILIPPILRELLPFSRRTELEADLIGIMIMAAAGFDPRVAPEAHRKLGEGTVLDDYISSHPSGKKRSHVLSEGDAMKEALELYYKQVCAGKGADRRFPYGGIISDTLMSE >SECCE4Rv1G0259310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:684774824:684776918:1 gene:SECCE4Rv1G0259310 transcript:SECCE4Rv1G0259310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVNQGWTSSEVDEARSLIARLNTNKIMYDDNDEKNKQHNYIVNSLHAVFPSKTMKQVIDLYVDIATEMHSTQSREVAHVTSGSPQNSFTFRDPVNGNYELPGEENGASSTHSVYAMGDHANENFGVRDEEATIMDNNGLSFGCAMEDTGITVTGEEPLMVENNKMEVLENNISIDQPVVAPHQWGFWTAGEHSMGGLVNENFKVQEDEDTAMDDNGFPYCCALEDTTITKTEEAPMMVDKNKMVVLENNTSNDRPVVAPHQRKLWTTEEHKSFLYGLEVYGRGDWKNISKHFVTTKTPVQVSSHAHKFFKRIQKKASSGTKRYSINDVRLDDNELLAANNISAPRQALSFTGLNNDPSFKLQAPTSSCTVMSNLTQCSPSIYNQQVGQQPMWSEQQMMGSVAAVMDGVGNYVPDGQQGSAYFYLGNI >SECCE7Rv1G0475290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:184853100:184859869:-1 gene:SECCE7Rv1G0475290 transcript:SECCE7Rv1G0475290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQVAATFLSFLSCPRHHTAPSPSASFLGTPVLPASLRATAAGAPTLTFRCRGRRVAVVAQLPTMIPELASGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSDAAKLMRANGITLLTVRDAAATILGKSEMFYFSPMHPPLTESAQRALDWAVNEKLKSGEDGEVTANHLFLAIWSDKESAGHKVLASLGFDDQKASLLAKTAGEEKATSPR >SECCE4Rv1G0246420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:543971202:543976175:1 gene:SECCE4Rv1G0246420 transcript:SECCE4Rv1G0246420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCSKLAALLRRSRPFAAATAAASVSATATAAAAANGMEEAAAGPLRTRVCIIGSGPAAHTAAVYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPDGILGIDLMDRCRAQSVRFGTKIFSETVTSVDFSSRPFRVASDDTVVHADSVVVATGAVARRLHFAGSDAFWNRGISACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSRVYIIHRRDAFRASKIMQARALSNPKIQVVWDSEVVEAYGGSDGGPLAGVKVKNLVSGEVSDVQVAGLFFAIGHEPATKFLAGQLELDSEGYVATKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKTD >SECCE1Rv1G0025250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:349368600:349369715:1 gene:SECCE1Rv1G0025250 transcript:SECCE1Rv1G0025250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSAPVGDTPPRTASTIVAEGVSGSHVLTVQGYSNTLGIGVYRAIPAGVLRVAGHIWSIAYYPDGFDRDSIDCISFSLYLHHADVVGHGYDTDDNDNDDDVNAKRAIKMRCRFSLLDHVGEPVPNHTTPYMTSICYARGHGITSHRFITRADLENSVYLKDDCFCIRCDVSVTTLIRKQPTTQEFVIVPPSDMAHQFGRILETGEVGDVTFEIRGETFVAHRHLLAARSPVFMAQLFGPMKENDAKGIQIHDMEAKVFKMMLHFIYTDTLPNIDEGEITEMAQHLFVAADRYNLERLKLICANMLCNYMDVSTVATTLALAEQHDCDKLKEVCYRFLTSFQNLKAVMLTDGFKHLKIIRPNILEELLGR >SECCE4Rv1G0268730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744786068:744787881:-1 gene:SECCE4Rv1G0268730 transcript:SECCE4Rv1G0268730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLTSLLLSLPQQWQPVLLALLSAISLLLWTRSSSRKGLKLPPGPSRVPILGNLHQLGPQPHRTLRDLARVHGPVMQLQLGKAPTVVLSSAEAAWEALKAHDLDCCTRPVSAGTKRLTYDLKNVAFAPYGAYWREVRKLLTVELLSARRVKAAWYARHEQVEKLMSTLALAEGKPVALDEHILSLSDGIIGTVAFGNIYGSDKFSQNNSFQDALDDVMEMLSSSGSSAQDLLPTVVGRLVDHLTGFIARRERIFKQLDSFFEMVIEHHLDPKRVLPANGGDLIDVLIDLWKKPRGTFSFTKEHVKAVIFSTFVAGIDTSAATIVWAMSELVRKPRVLKKVQGHIRTVVGGNKRVQADDMPKLSYLRMVVKETLRLHPAAPLLLPRETMRDIQIGGYDVPTKTRIYVNAWAIGRDPVSWPNDPDEFNPDRFEVNDIDFKGEHPELMPFGTGRRICPGISMAIATIEFTLANLLFSFDWALPEGTTTDDVNMEEEGRLILHRKVPLVLVPTAYHNDL >SECCE3Rv1G0169200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:233397271:233400502:-1 gene:SECCE3Rv1G0169200 transcript:SECCE3Rv1G0169200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARITDGLPLAEGLDDGRDLKDADFYKQQAKLLFKNLSKGQHESSRLSIETGPYYFHYIIESRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTRKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGIVLMLFWIKNKIW >SECCEUnv1G0532640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:22309354:22311046:-1 gene:SECCEUnv1G0532640 transcript:SECCEUnv1G0532640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPFKLDIDELLDDYAKENCTSFTDFKRVWMAKKFSYIYEGRPKTNSGVFMQSLFLHCIGHMTSQSSLLQRLPGLYCLYCLYECQPYKPHYKIYLSIEESKKLKDFVIEAKQNGLGLIPALVKRMLDKGMFLFGFINLLGDNEAKEVDEMNASQNKRVKFACDKLFANTQIRSYTHTDLGVEFELDSIKKLSMEYAKAKESAFAEASQTVDVEDAKHILQNDKLLGDKVEEIVKEWDAQKEEFYQKTGVSPNDELAVVDNDESGEFHDENEADAFDELEQLLLE >SECCE1Rv1G0010070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:59637889:59638788:1 gene:SECCE1Rv1G0010070 transcript:SECCE1Rv1G0010070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWIMFRNVDGLTVTGDGTIDGNGETWWKTSCRVDNKLKCTDAPMALLLCKCNNLTVENIQLNSQQIHMSVEDCQDVLLKGIAITAPGDSPMNDGIHIARTKDIQVLDCDIKTGDDYMSIETGTENLYASRITCGPGHGISIGSLGDDNSEARVSNVTVYKAHLSGTTNGVRMKSWQGGKGYAKDVTFEDITMEEVQNPIIIDQNYCTSADPANPKPCEKQTSAVEFSNIRFKNIRGTSATKEAIKLDCSDTVPCRDILLQDVKLTFRGHKHKHASATSVCNNAKLTEYGTNVDPKTC >SECCE2Rv1G0081000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:134838509:134839939:1 gene:SECCE2Rv1G0081000 transcript:SECCE2Rv1G0081000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGTNTTMGPRKPRVVLYPSPGMGHLVSMIELGKLFAARGLTVSVALIESPHDTSATGPFLAGVSAANPSISFHRLPQVELLGSEPPEMLTFEVVRLSNPHLRDFLAGDSPAVIVLDFFCSAAIGVAEELGIPAYFFCTSGAQILAFFLHLPVLHGKSTRSFREMGQELVHAPGIPSFPATHAIQRLMDRGSAPYKAFLNMSTGLLRSQGIIVNTFRSLEPRAMDTILAGLCAPSGLRTPPVYCIGPLIKSEEVGVKRGDECLAWLDTQPKGSVVFLSFGSLGRFSAKQTREVAAGLEASGQRFLWVVRSPPSNGSSKNSEKPPEPDLDALLPQGFLDRTKGRGLVVKSWAPQRDVLAHDAVGCFVTHCGWNSVLESVMAGVPMLAWPLYAEQLMNAVFLEKEMELAVAMEGYDKEVVEAEEVAKKVRWMMDSDGGRVLRERTLAVMRRAKEALLEGGESESTLAGLVDAWIRA >SECCE5Rv1G0316550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:323351428:323352423:-1 gene:SECCE5Rv1G0316550 transcript:SECCE5Rv1G0316550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPLSQGHPQAWPWGVAMYTNLHYHHQYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLNGGDSPGEKDLLLSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKQLEAGDIVHFERVRGLGTGDRLFIGCRRRGESAPPPPVRVPPPALNTGEQQPWSPMCYSTSGSYPTSPANSYAYRRSVEQDHSDMLHAGESQREADTKSSTASAPPSRHLRLFGVNLDCGPEPDAEATTQMYGYTHQSPYAAVATVPSYWGSS >SECCE3Rv1G0173890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:364571683:364576428:1 gene:SECCE3Rv1G0173890 transcript:SECCE3Rv1G0173890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPCTLLVHFDKGSAAMANEIKTDLEGSDVAAKVEAMKRAVMLLLNGETLPTLFITVVRYVLPSEDHTIQKLLLLYLEIIDKRDAAGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLSEPEVLEPLVPSILENLEHRHHFIRRHALSAISAIYRLPHGDQLIPDAPELVERALASEQDASARRNAFLMLCLCGQERAVAYLFSNAERVTEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIISLLSSPSTAVVYECAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVRLILLDRLNELRTAHRDVMVDVVMDVLRALSSPNLDVKRKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQSGELEKGGEYRQMLVQAIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLSDTFYQIRASRVCSIALWILGEYSLSLSEVESAITTIKQCLGDLPLFTLSEEGETTDSSKPTQPMVNSVTVSSRRPVVLADGTYATQSAATEAISSPPVTAGSLASTLNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKVEANKACTGALLVMTSILQLGLSSYLPQLIDNDSYDRIVLCVRLLCNTGDDVRKIWLQSCRKSFAKMLAEKQFRETEEMKAKSQISHAQPDDLIDFYHLKSRRGMSQLELEDEVQDDLKAATGGFSKETDDANRLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQDEKEFLNHVIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQVDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >SECCE3Rv1G0197330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:817475182:817475490:1 gene:SECCE3Rv1G0197330 transcript:SECCE3Rv1G0197330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDRINIPDDKFYLGDAGYACRSGILPPFRKTRYHLNEFSGRNFRRTAQELFNLRHSSLRVNVERAFGALKNRFKILDRKSFHPYSTQVKLVLACCILHN >SECCE2Rv1G0125380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:840383371:840383839:-1 gene:SECCE2Rv1G0125380 transcript:SECCE2Rv1G0125380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVALLAVFAVLAALAVAEMASGAVTCGDVTSAVAPCMSYARGQASAPSARCCSGVRSLSAKASTSADRQAACRCLKKMAGSGISMGNAGNVPSKCGVPVSFPINTNVDCNTLH >SECCE2Rv1G0090520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:279821338:279826895:-1 gene:SECCE2Rv1G0090520 transcript:SECCE2Rv1G0090520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRNPLPSSFSRGAGGGNHPHPPPPHHPHLPPYHLDEFREPPRLPPHHLDDFRDPARLPPGHPDSLREHPPPPRHHFAGHGGGALPPASHMAAALEERIGAEIEEAHALLGQNQRLSATHVALVQEVSAVRHELGHTARAIGAAQQEGDLRIREVYERLMKMEAELQAVEEMRAELAHVRMDIQQLGAARQELMGQIQGYTQDLARSAVELQQVAAVKAETQELRHETQHLRSSIELEKKGYAESYEQGQEMQKKLVSVASEVEKLRAEVANAEKRSRAVVSAGNQGYAGSYGNPNANYAANPYNAGYSMNQANATDSGSQYGAGAAHSSWGAYDMQRAPGRR >SECCE5Rv1G0304720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:61420671:61424926:-1 gene:SECCE5Rv1G0304720 transcript:SECCE5Rv1G0304720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSGRASARGGGDRLPPEPQRDPLEFLSRSWSASAADVSRAFAAAPAAGAIAEDIAGELDDAASAGTGTGTGSSFSFASAATSQLIMDRIMSHSQEVSPLTSGRLSHSSGPLNGGGSFSDSPPVSPDVDDSRFCRAVSTPKAQPPRGGSKTVGRWLKDKKEKRKEETRAHNAQVHAAVTVAAVAAAVAAAAAATAGSGGKDDRAARTDMAMASAATLVAAQCVEAAESMGAEREHLAAAVGSAVNARTPGDIVTITAAAATALRGAATLRARVQKEAWNVAAVIPVEKGSMGMGGHNHGHKHGAAQRQIQQQQHHKVQEMGSSNSSSFSDDLPAIDQDENNFLGICCQELLARGTELLKRTRKGSLHWKVVSVYINRTGVVMLKMKSRHVAGTITKKKKSAVVDVCRDLAAWPGRHLLEGGEHRRYFGLRTAENRVIEFECASQREHDMWTKGVARLLAIVDGRKRFT >SECCE2Rv1G0088870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:249631210:249633413:-1 gene:SECCE2Rv1G0088870 transcript:SECCE2Rv1G0088870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRPRPSCRLALLASLCLFLLAAAPPLALARAAPAADSIHKLLRSHGLPGGLLPRSVESYTLDEGNGLLEARLSAPCYATYDNGDLAFFDTVVRGNLSFGALRGCEGLAQEELFMWLPVKGILVSDPGSGVILFDIGYAHKRLSRSLFEEPPDCKPSATTSMGAVEAARWRDRPGVPGLRERREAEEGGGEGHQDQR >SECCE3Rv1G0150960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:35094531:35095403:1 gene:SECCE3Rv1G0150960 transcript:SECCE3Rv1G0150960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLPTSHLRLCIFLSSIVVAGVAATERVPNPNNTAAGTTSACPSYRCGHAVDIRYPFWIGVDGNGTTNGSHHCGYPSLRLECRRDTPVLRLPSGDYAVTHIQYGDRTVSLFDLGVFSRSNTCPLVGRNLTLPDGSPLSLTSRDANLTFFIHCSFMGIPAHQVACLEGDGRHHSYVFRDGDDLTPYGYAGLCQDVVGMPVLRRSLFGRTGTAASGPLDAVVPALNMGFELSWRPEASGECGGCEKSGGLCGHRRVAARDAWTFTCFRTATTSAAWGTPKSSGTQHCIS >SECCE4Rv1G0260260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:694529723:694534863:-1 gene:SECCE4Rv1G0260260 transcript:SECCE4Rv1G0260260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDGEEEEEASAPLLRPRGGEEAAEGKKATGRGARVAREWWDESKRLWRIVGPAIFQRVALYGVNVVSQAFIGHIGDLELAAFSIASTVVAGFNFGFLLGMASALETLCGQAFGAKKHHMLGIYLQRSWIVLFLFALALTPTYIFTEHLLLLLGQTPELSRLAGKMSVWLIPQHFAMAMLLPLTRFLQSQLKNWVTAATAGVTLVLHVLVTYLLVSRFQLGYAGVVVAADVAWWIVVLGQFFYVICGGCPLSWRGFSMEAFADFWDFIKLSTASGVMLCMENWYYKVLVLLTGYLPNAEIAVDALSICLTINGWELMIPLGFLAATGVRVANELGAGSGKGARFSIIVSITTSVVIGLMFWCLILTYNDQIALLFSSGKAVLDAVHNLSMLLAFTILLNSVQPVLSGVAIGSGWQALVAYVNIGSYYLVGVPIGIILGWPLGFGVRGIWSGLIGGTAVQTLVLVYLTMRCDWDDEAKTTSARMRKWASTK >SECCE2Rv1G0087860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:233861999:233863450:1 gene:SECCE2Rv1G0087860 transcript:SECCE2Rv1G0087860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPTVVLVPSWGSGHFMSALEAGKRLLATGGGAFTLTVLVMHAPSEAMASEVEGHVHREAASGLDIRFLQLPAVEHPTGCVDPVEFASRYVQLHAPHVKAAIAGLGPSSRVSAVVVDLFLTALFDVLHELTVPAYVYFASSAAFLALMLRLPALREDLTGAGFEATESTVDVPGLPPVPPSYMPACLVKAKIQSYDWFEYHGRRFMEARGVIVNTSVELENSILAAIADGRCVPGRTAPTLHGIGPVVWFGSTDDQQPHECVRWLNAQPPASVVFLCFGSMGSLDAAQVREVAAGLERSGHCFLWALRGPPVAGTRLPTDANLDEVLPEGFLEATAGRGLVWPAWAPQREILSHAAVGGFVTHCGWNSILESLWSGVPMIPWPLYGEQHLNAFELVAGVGAAVALEMDRRKGFVVEAAELERAVRSLMGGASEEGRKARRTAAETSAACRKAVGEGGSSCAALQRLVGEILVLPTEGNDSH >SECCE4Rv1G0219370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:30360683:30361944:-1 gene:SECCE4Rv1G0219370 transcript:SECCE4Rv1G0219370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSLAMPVLLVALLACAAARAPVVAGDTGAACVGAICAMGACKELPLGYECECYDGWSRPNFTFSFPLPPHLFLPCAVPTCLSISEFTCYRPKPPNPANATLDPCSYNDCGSEGTCVGGEGRQYRCQCNPGATNVKGDPTLPCITCATDDKGCPVSSPTTPAPPSPSSSTAAPPGSVSLRNRLRLSLLLASLAALHAVIV >SECCE5Rv1G0360900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:775785068:775788245:-1 gene:SECCE5Rv1G0360900 transcript:SECCE5Rv1G0360900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor BPE [Source:Projected from Arabidopsis thaliana (AT1G59640) UniProtKB/Swiss-Prot;Acc:Q0JXE7] MDPAPQLKAEPSWRPPHRAGGRAGEASSAVTGQSSGSRPRRRQREPPASEDDSASARPVSTSGGGGSQDLTDAEAKRFKANKSSDDNGSFRKEAEADSRNASKAVDQNPPPPKQDFIHVRARRGQATDSHSLAERARREKITERMKILQDLVPGCNKVIGKASVLDEIINYVQALERQIEFLSMKLEAVNAHAHAHNGVETFPTKDFAAPTYNTAPGLTFDPQTPREYAQGSPASEWLHMQIGGSYERVT >SECCE2Rv1G0066180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:12768791:12771638:-1 gene:SECCE2Rv1G0066180 transcript:SECCE2Rv1G0066180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPSRCLVLSPISFRLPTPMDPYVAGGTTRHSGRPDWVLLHKSARISGHRNPTTAGCHTMEGHPIEVSFWLVDPPGVSYFSVHCPGLNKDFHEEPYILCAEAALVLFSVTFVPVPGRRSIQHFVYRAGPGAPALDLIPEADKIRRGDRFGLLPCGHDTEHYALVFLNRKFAYNKPCRFDLHIFSSATQTWSSKVPSSCLSEDDQALLLHHGTCKSVMVGDSSLGWVDLFSGILLLRDVFGECPVVKYITLPASRIRQTDERGHPYFASEYCCDVSCRGDGLIKFVEIEFDDPDRRNRGNQGWRAIIWDRMITSDGWSQRFRVDVDNISVDPSYSDLLPELWNDKTGEPELKRLIFYTPTLSKHDDDLLYIMSKVNKEDDKAWVITVDMKREAVEEIAPYSTKGCILTSWHCPCTFPKYIDDPTTSGDHVAMHSKRISVADCVLQVLLSRDWFREIGERLEFETPTYHESILFI >SECCE1Rv1G0043780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:595985570:595987630:-1 gene:SECCE1Rv1G0043780 transcript:SECCE1Rv1G0043780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAGPDPGAAEASVAWPDLRGSLERAGALAAELAAVAEDRARLARRLEAALEVRRESLRQAAALDEMRRRLERRRARADDLLVARRRAAEGVERQKEQMQAQIERVLPLSRALAAAHRQVQEAKELKSAEKARLGDLQRLLRKRQQSMVAQVAALYPVRVFRDLPVAENHHSRTNGECQTPSEENGALSQESGNGTHLLSVIKSPHVGPFTFFGWQIGKPRTKQRTYSHKELQRSAAVLGYAAHAVLLIASYLDIPLRYPLRFGGSRSYVGDRLPSAETSSVASAEHQSADSADSKLTDYPLFLEFQDDSTKASYAIYLLQKDTEQLLNYIGAESSGSNVFGNLRELIRIIQSDEYLYI >SECCE6Rv1G0401210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:464189870:464201471:1 gene:SECCE6Rv1G0401210 transcript:SECCE6Rv1G0401210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWGRSSSKEVKKSATENLIDTFQRFISPSEQKGSTKSRGGRRRGKDQTAEKRCWSTAHSRSTSPSKEVSRCQSFATDRAHAQPLPLPRSRAGVRRIVSDNPDSKPILEKSGTGHQLPLPALNRLATASVSSNSSIDSDDRADSQLQSPAGNEVTNVTAPSSSSGVRKECSGATTRRSTKEVTKPRNAFPSNQILSTSPSGAVTDSYQPTLQSSRQVALESAPNSLMSSPSQSPRTIFPDQIPTSAFWAVKPQPEVVFLGSGQCSSPGSGQTSGHNSVGGDMLAQFFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRAGGVVPESPTNRRDEGKKRQTHRLPLPPLSISNSSPFLPNNSAPTSPISRSPGRAENPPSPGPRWKKGKLIGRGTFGHVYAGFNSDRGQMCAMKEVTLFSDDPKSKESAKQLDQEISLLSRLQHPNIVRYYGSETVEDKLYIYLEFVSGGSIHKLLQEYGQFGEQAIRNYTKQILLGLAYLHATNTVHRDIKGANILVDPKGRVKLADFGMAKHINGQQCPFSLKGSPYWMAPEVIKNSSGCNLAVDIWSLGCTVLEMATSKPPWSEYEGIAAMFKIGNSKELPPIPDHLSEEGKDFIRQCLQRDPSSRPTAVDLLQHSFVRSASPLERPAASVPLEQLAAISCKPSSKVVGQAKNMPSLGLEGQSIYQRRAAKFSSANSGIHIRSNTSCPVSPCGSPLLRSRSPQHQNGRMSPSPISSPRATSGASSPVAGVNGAIPFSHARQAAYSNEGFTIAQNGRMSPSPISSPRATSGASSPVAGVNGAIPFSHARQAAYSNEGFTIAPRGLDKNLPARSPDPVLGHFGRAQQFSTGTQERVISECDILRPQSGKMRRLNVQDLNATTLPSKHVSQHGSGSHVRLKPSLDLTSGNQRLVRNHGH >SECCE1Rv1G0041150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568804323:568804634:-1 gene:SECCE1Rv1G0041150 transcript:SECCE1Rv1G0041150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE2Rv1G0111280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:698952975:698955359:-1 gene:SECCE2Rv1G0111280 transcript:SECCE2Rv1G0111280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIDRVRNATQAAKGFASSSAGQLARIEGLVTVGCILVGILVVSNSRRRHDGRFLARLVVWGAFMFNFPVISYTIGLMQSSSIRNELFVVWACFLLLLLGSADTMTAFSFNDSSQQTRSMMNQALHVVYLLFLILYYKGQLRGSFLVSLFLLWCLSVVRLGLRCKAYRSTCRSRGLIRENQVVFEYMKYEPLNSPGIQGGIYNAETMEGYIYLVDGKEVKKVQYGEEVIRVSYREPETVVDVPGMETAPDITGAETAVVAEAEPGDAHQLRVDAEKTVDVARIWQCKGKLLEYGGSDKGASRRRDLCLSFALFRILRLRFAVDHVGNISFPFQSDKCRDFVVKGLLSDDKDLDRAFRVVEAELGFLFDFFYARYPSIKDTIAPDLIVYAAILATSIFTLFSPDLLKYQPTGVSANIFIHGFNLDLLVTRLVIVWYILLESYQFLSLFIFSDWHKVKMLCRYVRKESWHMAFVEIPLKVMCYFTFSKYWKGSIGQYFILDNAHPHPVKSFLSWISLEALDSSLMTKSISLPLDVRKAVLRQLKAVDGKITDGRMWLYEMGIVDLDLDRDCLLGHTYARYIMTWHIATSICSYGKEVAELNASKEAAEENPSKEENAIKEAAELMKNHAVATKLSGYCAYLMAFKPDLVPDSTYTSLSMARGTLHNAREFLGKCKSNKEKYDKLIALGRIQSTNHEVRFLSEGARIAVYLVEKITTEEERWRVLATFWANMMLWIGSSDRAVAHATRMATGGEFITLIWALLTHAHVVDKLQEDGGNSGLHMQLNKNQDGQEATS >SECCEUnv1G0534230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:37166248:37168116:1 gene:SECCEUnv1G0534230 transcript:SECCEUnv1G0534230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGYDCAASVLLCAEDNAAILGLDDDEDCSWAAAAAGSGAATPPRIAADAADAAEGFLVDHPVLSDDCVAALVATEKEHMPADGYPQMLLRRPGALDLAAVRRDAIDWIWKVIEHFNFAPLTAVLSVNYLDRFLSVYPLPEGKAWVTQLLAVACLSLASKMEETYVPLPVDLQVVEASSAFEGRTIKRMELLVLSTLKWRMQAVTACSFIDYFLRKFNDHDAPSMLAFSRSTDLILSTAKGADFLVFRPSEVAASVALAAFGERNTSVVERATTNCKYINKEQVLRCYELIQDKIAMGTIVLKSAGSSMFSVPQSPIGVLDAAACLSQQSDDTAVGYPATCYHSSSASKRRRIGR >SECCE1Rv1G0007780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42522622:42522943:-1 gene:SECCE1Rv1G0007780 transcript:SECCE1Rv1G0007780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like protein, Positive regulation of Cd accumulation in rice leaves, Mediation of Cd efflux from cytosol into extracellular spaces via chelatio [Source: Projected from Oryza sativa (Os02g0629800)] MAFSRRMAASALLLLVLLVATEMGATTVEARDCLSQSHKFKGACISSSNCAGVCRTENFPDGECHTHNFARKCFCKRVC >SECCEUnv1G0533650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:32239122:32240242:-1 gene:SECCEUnv1G0533650 transcript:SECCEUnv1G0533650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNRGSWTPQEDMRLIAYIQKHGHANWRALPRQAGLLRCGKSCRLRWINYLRPDLRRGNFTAEEEATVIKLHALLGNKWSKIAACLPGRTDNEIKNVWNTHLKKKASEQKTAAGESKGEVAQGDPDTSAPSSSSASSSSTTITTSEGSNGGAGEQCGTSNEPETMDVPSPLELELEPVMDILDMLADEPTEAFATAAPMPTSSCSSSSPTTCGGGVEELLVLPDIDMDADIWSIIDDDIARLQGDATVPCTTKASHEEEEGKEWWLEDLEKELGLWGATEESQPQEGPRDQIGYPGPLYETEGDMASSSYLQSRSDHHQESNSE >SECCE6Rv1G0430660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:743892534:743896799:-1 gene:SECCE6Rv1G0430660 transcript:SECCE6Rv1G0430660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-dehydrocholesterol reductase [Source:Projected from Arabidopsis thaliana (AT1G50430) UniProtKB/Swiss-Prot;Acc:Q9LDU6] MAKQKQQQQPKPAPAAPPARPSKTAHSPWFTYASMVTLFSLCPPFVILLWYTMVHADGSVLRTYEHLRDHGILDGLKAIWPVPTLVAGKIILGFALFEAALQLLLPGKRFEGPISPSGNVPVYKANGLLAYAVTLLTYLSLWWFGIFNPAIVYDHLGEIYSALVFGSLVFCLLLYIKGHVAPSSSDSGSTGNVIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGQVADSMLVNTALMLIYITKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSTYTSPGMYLVNHPVNLGPQLALSILLAGILCIYINYDCDRQRQEFRRTNGKASVWGKAPSKIVASYQTTKGETKTSLLLTSGWWGFSRHFHYVPEILAAFFWTVPALFNHFMPYFYVIHLTILLLDRAKRDDDRCSTKYGKYWKMYCNRVPYRVVPGIY >SECCE6Rv1G0429310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:734368667:734369766:-1 gene:SECCE6Rv1G0429310 transcript:SECCE6Rv1G0429310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRACMFSVALGLLGVLLATIPAAVQSIGVCYGVNGDGLPSASEVVQLYRSNGITGMRIYFPDADALQALSGSNIGLIIDVANEDLASLASDRSAATAWVQTNVQAHQGLTIKYIAAGNEVGDQGGDTGNILPAMQNLDAALSAAGLGGIKVSTSVSQGVTAGYPPSQGTFSSGAASYMGPIAQYLATTGAPLLANVYPYFSYVDNQAQIDINYALFTSPGTVVQDGANAYQNLFDALVDTFYSALESAGAGSVGVVVSESGWPSAGGTAATPDNAQTYNQNLIRHVGQGTPKKPGPIEAYVFAMFNENRKGPAEIEKHFGLFNPDKSPAYPISF >SECCE2Rv1G0072490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:55598199:55599773:1 gene:SECCE2Rv1G0072490 transcript:SECCE2Rv1G0072490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMVRWLFSTNHKDIGTLYFIFSAIAGVMGTCFSVLIRMELARPGDQILGGNRQLYNVLITAHAFLMIFFMVMPAMIGGFGNWFVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSQSGGAVDLAIFSLHLSGISSILGSINFITTIFNMHGPGMTMHRLPLFVWSVLVTAFLLLLSLPVLAGAITMLLTDRNFNTTFFDPAGGGDPILYQHLFWFFGHPEVYILILPGFGIISHIVSTFSRKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIVVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFYYWVGKIFDRTYPETLGQIHFWITFFWVNLTFFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGIRRFFVVVAITSSSGKNQKCAESPWAVEQNPTTLEWLVQSPPAFHTFGELPAVKETKS >SECCE4Rv1G0229050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:142290789:142292981:-1 gene:SECCE4Rv1G0229050 transcript:SECCE4Rv1G0229050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGDPGHRCRCSHGPRCTGAPPQEGLAAAALDTRVRPLPMGAEAESMLRQIGAYTPNLDGHTVTLLSCIHSVLPPRPVVSNHATPSALLPPPQHDDGDRISNLPDALLRNIVSRLPVKDGARTAALSCRWRGVWRSVPLVLVDSDLLPARTGSGLQVAHADAHRVACAVTRILDAHPGPFRCVHLICTFLDECPDLLLLWLQLLAVKGVQELVLVNRPWPLNMPIPPTFFAMATLTRLYLGVFEFPDTRILPRAASFPLLHELGLCCVQIPRREDMDFILARSPVLEILCIQVNMLMKHLILVSRSLRCVQIIESIDLSMALKDAPHLERLIIWAATVRDGVPRRVKIGHAPALSLLGYLDPERHSLEIGNTVIKAGTTASPSTMVPSVKILGIRVCFGVRNVAKMLPSFLRCFPNVERLHLESNETDEPTGKLNNKFWQEVGAIQCVQSHMKLMVFYGFRGERGELSFLKFVLESARVLTKLVIVFTKGSFTSMAEASSKVKPLFAAKWASKDCSLVLLESVFQAGEDKWLLNFRAGSDFSTGDPFTRTAALRGCNF >SECCE2Rv1G0117570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:773853170:773854171:-1 gene:SECCE2Rv1G0117570 transcript:SECCE2Rv1G0117570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAGEADDFALDRIREHLLGGDGGVLSTANHDQGPFCDDVTFPVLPPSAAEPEAYQQQPIFFPQQGQRQEQMQGYMDLTHQYVNSYPAAAAVFRAPEPVMIQFGGQPSPVRALSSTLTISVPPQGTFGWAGTAAAAAQALAPVEDLRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTSVEAARAYDRAAFRMRGAKAILNFPNEVGTRGAELWAPPPAGPASQAATATNKRKRSEDEDAEVEVINKAVKAEAPSPSSARVSWDTPSSVSRGTASSTVTSVATTPEGGFPPTPSSSGWEQYWEALMGGMPLLSPLSPHPALGFPQLSVS >SECCE5Rv1G0308580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:134054743:134055222:-1 gene:SECCE5Rv1G0308580 transcript:SECCE5Rv1G0308580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPLALLLLVVLSATAMSAAGQGCGGHKVTVQNLCGHDLNLGIAAVADSKVLFPNGWLLPNGKHESFDVCSWSGSVSAQGAAVAKFHLGHDGGAYYEVSTDQANMPIRVSVTPHGSPLQGHCPTAGCNSGNHCFEHSVPGGNCHGVTEIKIVYYNP >SECCE4Rv1G0216800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12642850:12644195:-1 gene:SECCE4Rv1G0216800 transcript:SECCE4Rv1G0216800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANPSYYQNTAAVSAPVHFQEHLFHLFVHQQLEATNGANQLITVNPKLPQGFGVTVATDWDIRDGPEITAKVVARAQGLHQGSGKSNAAWFMSFNTVFTDERFKGSSLSVQGHLVSEEGEWVVTGGTGEFAYAQGVVTYKKIKELAGGNIRELRFRVVCFNFPKQNPLQKVGPWGGNGGTPFEIPEADLPQRLESVTIQSNEVIDAIAFTYIGQGGARRTVGPFGGGGGVKQPIVQLGPSEIVKEIFGTTGNIQGLNVVTSLSLVTNVKTYGPFGKQSTGNTPFRTTAPDKHSIVGFYGRSGQFVDQLGAYVRPN >SECCE2Rv1G0097400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:459453275:459463874:1 gene:SECCE2Rv1G0097400 transcript:SECCE2Rv1G0097400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] MGSDRGELARLCSGRNWSKAIRLLDSILARSPSSIHDLCNRAFCYFQLELHKHVVKDCDRALQLDPALLQAYVLKGKALCALGKNEEALVAWEQGHEIAVRDTIDLKQLLELEELVSSVKIYETVECEDVVDASPCDTKVVISEDRFINTSFTAATTDTKTVVCEENTANSKSSSNSDALLPNNSKDHKDSVSSAKDTTVTHQTPKKQPKPDKKNKAKVKKETNGQAEDVDERRSSDETITLDQALFATKVSKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPSAGEAWKRRGQARAALGEFVEAIDDLTKALEFEPNSPDILHERGIVNFKFKDYNSAVEDLSTCVKRDKKNSSAHTYLGLTLSAVGEYKRAEDEHLLGIKYDGSFLDSWAHLSQLYLDLAYPEKMLDNLEKVLQIDSRFSKAYHLRGILYHGMGRHRSAIKELSTALTLDASSIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEIALYTASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRSGRLNKQDFKFTKHQTSLLLAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNSAKLVRRRDKLNMSVNRGGYCSTSTLSAGSPTSSPSEERVSSGISLSWQDVYNIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPLLLGQAKIVRYYPYYLRTLEAAKTIMLDLKYVNNAEDRAIFLTDIEKLKKIEVASSCSDLYNVVGETYWVATRCDSIAFQGRRLEGTRITTQNVGKTGFDFAIRTPCTPSRWEEYQEEMTAAWEAICEAYCSDPNPTRDSNALDAVKAAILRMTYYWYNFMPLSRGSSVVGYVVLLGLFLAASMDVTASIPPGVQVDWEAILSPDPGTFVDAVKPWLYPSVKMSKSLKDYADVSVAFGTTGSVVAALTSADT >SECCE2Rv1G0126560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:846885223:846885903:1 gene:SECCE2Rv1G0126560 transcript:SECCE2Rv1G0126560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAGDMTAFYDAWMGREEEMVSDLTAALGARRRDALAPLVDAAVDHVAAYYEHKASLADRDVVAALDQRWLNPLERTFLWAWGWRPALVFRFVDGSGVGPRQRRELEDLRAATAAAEKEVDREVAAVQESLAGPRVLEALRQRRQHPRNGVQADEAVAAVGQSLRVLLAAGDALRERTVRGVVGVLAPDAEQAGAFVAAMLRFHLGVHRAGRAWSSGHGGGRRGL >SECCE2Rv1G0086740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:215149860:215150207:-1 gene:SECCE2Rv1G0086740 transcript:SECCE2Rv1G0086740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVHQTAAPMPAAAAMDDDFMPQSFGCFGRSLSRASSARRLEYRALSGEGEEIRRIAQEERSARAKLRWKAVAQEIMARRRGGGGGAARRRKAGFSYDSKSYALNFDQGAAAE >SECCE5Rv1G0345180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649499978:649503635:-1 gene:SECCE5Rv1G0345180 transcript:SECCE5Rv1G0345180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKDDAIQEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGYRMAAECARNALLKKTMDNKDNTDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLESIQILKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENANILVANTAMDTDKVKIYGARVRVDSMSKVADIEAAEKQKMREKVEKIIGHGINCFVNRQLIYNFPEELFADAGILAVEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKLIEEIMIGEDRLIHFSGVAMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVIFGGGWPEMVMSKEVDELARRTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENSTVGIDVISGGLGDMQKRGICEAFKVKQAIVLSATEAAEMILRVDEIITCAPRRREDRM >SECCE2Rv1G0130300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:875104660:875105187:-1 gene:SECCE2Rv1G0130300 transcript:SECCE2Rv1G0130300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHFCECYFDLSGPILCPVLGSMTPLFIPNSSIRPIRLIGLCISFITFLYPPVPRIQFDSSTTKSQFVESLLWLPYENIHLYMGVDNLSLFFVILTRFLIPICISVGWSGMRSFWKEYITAFLIREFLMIVVSCMLDPLLFYVLSESVPIPMLCGAEHLLFAGIKLFLCRGLVQ >SECCE1Rv1G0031260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:440556541:440557632:1 gene:SECCE1Rv1G0031260 transcript:SECCE1Rv1G0031260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATMAWTLAAAGFALVYWFVWVMGAAEVQGKRAVDLQMGSIADDKVGDRYSQYWSFFRSPKETAAAATADKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHREATRVHEERVADLLEASPGKRLLDVGCGVGGPMRAIAAHSGSDVVGITINEYQVNRARSHNRKAGLDAQCEVVCGNFMAMPFPDASFDGAYSIEATCHAPRLQDVYGEVFRVLKPGGLYVSYEWVTTPLYRADDPEHVEAIHGIERGDALPGLRRQDEIAAVAKEVGFEVVKELDLALPPALPWWTRLKMGRLSYWRNSLVIRALTLLRVAPKGVSEVHEMLYETAHHLTRGGETGIFTPMHMVLLRKPATAAE >SECCE5Rv1G0327780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:496299611:496303450:-1 gene:SECCE5Rv1G0327780 transcript:SECCE5Rv1G0327780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ethanolamine kinase [Source:Projected from Arabidopsis thaliana (AT2G26830) UniProtKB/Swiss-Prot;Acc:O81024] MVSPVRGPAFLHHTSLVSDRIAPNRLEAPTRIAPRQRASSRERKDRPEGAAEARGRARARDMGSDGRSWNGVAGGARGGGEEKMRTVEAPAPALAEVPTSTAAVDISLPLPEMTPRIIDLCKELVKEWSSLDSSCFSISTVSGGITNLLLKVSVKEGTDSESSVTVRLYGPNTDLVIDRERELQAIPYLSAAGFGARLLGVFENGVVSSFIYARTLSPSDMKEPRIAAEIAKQLQKFHQVDIPGSKEPQLWNDIFKFLKKASVLKFENNEKQKRYETISFREIQDEVKELKDLSDLLHAPVVFAHNDLLSGNLMLNDLEEKLYFIDFEYGSYSYRGYDIANHFNEYAGFDCDYNLYPDKDVQYHFFRNYLSDRPSEVQEQDLNALYTETNTFRLASHVYWALWALIQARVSPIDFDYLGYFFLRYGEYKKQREFCFSLAQGFLSSLRSG >SECCE5Rv1G0375160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867128770:867129411:1 gene:SECCE5Rv1G0375160 transcript:SECCE5Rv1G0375160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRAQYGSSGELEVFAATRYFDGLTDLAAGPVAVRQQLQDLDQEEEGYRSTQELGAKATKSNLAAFVSPAASFRKNPPATASSGTTPGIYNEPPQASSSSSAASCGRDLGEVVGDRRLQGDRVVRQAGGDEERWVVRCGGHALEEQHHMVHEKIAGAKSSDDRQVEKAEDDREKIIVDVKLSDGHQMEYGDDWGSDTSSDLFELDFEDVNNR >SECCE5Rv1G0372070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851175559:851180051:1 gene:SECCE5Rv1G0372070 transcript:SECCE5Rv1G0372070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MEPARRRRRRAHTADEAAAVLRKAWCRLRLSARDPARVPPWDAVALTAASPEQAALYARQLARARRLGRFPPSTAALAVPDPDGARIGSGAATLHAVASLARHLLSQATKEEIAEFRLLPEANGSSIPLASVVRFMATKHVLLLHAGGDSKRVPWANPMGKAFLPVPYLAGDNPDGPVPLLFDHILAVSASARQAFKNQGGIFIMTGDVLPCFDASNLLLPDDAACIVTAPTTLDVASNHGVVVASKDGTEGQNYSLCLVDNLLQKPTVSELVEGQAILDDGRALLDTGIIAVRGKAWQELVSLAYSSSQTMIEEIITSRKELSLYEDLVAAWVPTKHEWLRNRPFGKELIAALGRHKMFSFCSYDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMCSVPETTACDIAATTVILCSKISAGVSVGEDSLVYDSSLSGRVRIGSQSIVVGVNIHELHSDSPQIIRSSTCFTLPDRHCLWEVPLVNSMGRVMVNCGLHDNPKVSMNRDGTFCGKPWKNVLEDLKIQDTDIWDTSNLDKCLWNARLFPIMSPPEMLSVGLWLMGSSGRDADGKLSHMWRKSRRVSLEELHRSIDYHQLCIDSAKHQADLAAAVAKSCMTYGLLGRNLFQLCEEMLGNDSSSVEVSKELLTFFPSHEDQYSGVLPQSRGYQVKMDLLRASGDLSTASMVEEKVWASVASETASAIKYGSKEPSSSATTSSNGNLRPKKVVVELPVRVDFVGGWSDTPPWSLERPGCVLNMAISLEGRLPVGATTEATEDHHGVLIEDDTDRKVYIDDLSSISCPFKEDDPFRLVKSALIVTGILGHEMLSTSGLKIRTWANVPRGSGLGTSSILAAAVVKCLFQLMEDDGGDDNVARAVLVVEQIMGTGGGWQDQIGGLYPGIKCTQSFPGQPLRLQIVPLLASPQLIQELEQRLLVVFTGQVRLAHRVLEKVVTRYLRRDSLLISSIRRLAELARAGREALMNGEVDELGGIMLEAWGLHQELDPFCSNVLVDELFALADPYCCGYKLVGAGGGGFALLLARSPGHAVDLRRALRDSAAGLDVTVYDWNVAVPLPR >SECCEUnv1G0556320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310679218:310679469:1 gene:SECCEUnv1G0556320 transcript:SECCEUnv1G0556320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >SECCE2Rv1G0070200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:33963546:33965477:-1 gene:SECCE2Rv1G0070200 transcript:SECCE2Rv1G0070200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEIEIHSCCSSSSPAATDSPIDNDDLLREILLRLPSLPSSLPRASAVCRRWRRLVANPRFLRSFRDHHRKEGPPILGFFEDDSVDLVFHPILDPPDSVPLRIDINFRCFSVLGCRHGRLLIIDTESEIWQLFVCTPVTGEQQRVPIPTDFKIGSFIGSVMCAASDLGHVHGACHSSHFKAVLVATYGEDDQTIARVYSSETGTWGNLISTPTPYLDFSFGSPGCLVGKSLYWLSMDHTILELNLEEKNLAMVSVPPCANNVTNHQIILAVDAAVGFAALSYPCLQMWQRNVNCHGAAAWVPWKTIQVHTILGLPPQTESARRQMEYILCYDEDTDTIFISVDANIYMVQIKSLQSKRLYRTNYVFRIYPFKSFYPPGTRITGGGAEMVHDR >SECCE2Rv1G0079750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:122322103:122322441:1 gene:SECCE2Rv1G0079750 transcript:SECCE2Rv1G0079750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTNDVRRTVQESPVVVVGRPECCLAVVARHLLLRQGANPAVLEVSYDADPAALVYALRPKDDNTKLVTDVALPVVFVGGRLLGGLEQLIAMHIAEELLPLLRQAGALWL >SECCE3Rv1G0158780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:90800276:90801236:-1 gene:SECCE3Rv1G0158780 transcript:SECCE3Rv1G0158780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRNIGVAVDFSSCSKAALRWVSTNLTRSGDQLVLIHVNNSYQNEQGAMHLWEQSGSPLIPLVEFSDPHVTKKYGLTPDKETLEILAQVAHQSGVEVFVKIFYGDPTKKVCEAVDLVPLSCLVIGSRGLSTLKRALMGSVSTYVVNHAACPVTVVKENM >SECCE2Rv1G0126690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:848315985:848321011:-1 gene:SECCE2Rv1G0126690 transcript:SECCE2Rv1G0126690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMSGAYGGHHSNGGESRAPKQAVVVGAPPPEMEMEMEMAGAMGVVHKVAAQPAQSTASKMKGKVKETFFPDDPFRSFKGQPRRAQWVMAARYLFPVLEWLPGYSFSLFKSDLVAGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPSAEPMLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTQMGIVPVMASVFQHTNEWSWQTILMGACFLVLLLAARHVSIRWPKFFWISACAPLASVIVSTLLVFLFKAQNHGISIIGSLKCGLNRPSWDKLLFDPTYLGLTMKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVVMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAAYNIWKMDKMDFLVCLCAFAGVIFISVQEGLAIAVGISIFRVLMQITRPRMMIQGHIKGTDIYRNLHQYKEAQRVPGFLILTVEAPINFANTNYLNERTRRWIEDESSSGNKQTELRVVILDLSAVPAIDTSGIAFLIDLKKSTEKRGLELVLVNPTGEVMEKIQRANDAHNHFRPDCLYLTTGEAIASLSGLAKMATP >SECCEUnv1G0553870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:279963991:279965488:-1 gene:SECCEUnv1G0553870 transcript:SECCEUnv1G0553870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPASTPRGSKSARGTYSGVDRLSSLPSELLHRVMSFLPMPEAVRTSLLSPSWRNLWASTPYIRIDHHDFTDDNSKMEKFGDRLLLLRDSTATLDEAWIIDHTVASTTCTVWIRHVIMHKVRHLHVSGLGHLDSSAIPPSHHLETIRLQFAILGNGLLRPLNYDCRVLQLLQLEDCVLVDLKEISSRSLKVLRIINCLITGSLLICATNLTHLSILDMHSHSEAILVRDLSSLVTAFVSVKTIDGHGLLDGLSHATTLELHAPLLEGDLLICPMFSNLTSLVLGNWCMAADFDALLRILQRSPKLKELTFKLERVQCIRCMYSESTLPPSRAALSLGSHPCIERIKICCWEENPSVGVLVEALQPIAGDVKISVKHLY >SECCE7Rv1G0509410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:780361321:780363432:1 gene:SECCE7Rv1G0509410 transcript:SECCE7Rv1G0509410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESEKPLFSPADVSLHASTKDCWVVIHGKVYDVTKFLEDHPGGEDVLLHASASGDATEAFEDVGHSTSAISMMSSYLIGSIEDYVPPGASKDTTIGGSDVPPDSRTMQRNKGSPAPNTFLDFVLPLCMLVLAFAAWYYLTFVAEN >SECCE4Rv1G0254010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:631953085:631956206:1 gene:SECCE4Rv1G0254010 transcript:SECCE4Rv1G0254010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMKTKPAAEGKRWCSGINSKLVAFMLTVPSLIVFLGGRNSEQPAVEIQAAMPGRGRGPDVASFLQRKTAHGDRLLGGLLADGFDQKSCHSRYQSAVYRRNAGRQPSQHLVSKLRSHEALQRRCGPGTVAYTNALEQLKSGKSAASPECRYLISISYRGLGNRILAAASAFLYALLTDRVLLVDPSHKMDELFCEPFPNTTWLLPPGFPLLSYQSFYLSTPERYGKMLEDGVLRTGETNGSAAAELPAFAYIHLDYNQTDHDKLFFCDDDQKLMSNIQWLVMRTDSYIVPGLFLVRAFEEELDALFPERDAVFHHLGRYLFHPANQVWGLIARYYRAHLASARRVVGIQVRVFPWEAESPEILEQIKTCTQSERLLPAVLDQEEEEGDEEPAVVAGAQQPTAVLVTSLKAWYSDKMKEMYWERATADGRVVVVEQPSHEETQRYDVRSHEHKAWAEVYLLSVTDMLVTTGQSTFGYVAQGLGGLKPWVLHHVANGTVGWPCSRDVSMEPCFHVPPLYDCKRREDAGLIVPHVRHCGDLPAGLKLVDRREW >SECCE5Rv1G0372040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851128647:851130274:1 gene:SECCE5Rv1G0372040 transcript:SECCE5Rv1G0372040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPARCPAATASPPLRAPFVAMAQRGRRPPSSAAPEAEQPDEALARILRTEAAVSGVPRKAAAGSSQHSTRLWPRAVLEALDSAVASSRWESALEIFELLRKQRWYEPRTQTYARLLMMLGRCGQPGPATALFKVMVSEERLVPTVDVYTALVGAYGYSGLLDEALATVDLMKGADADCKPDEYTFSVLINCCCKSRRFDLIPAVLDEMSYLGIGCNVVIHNGIIDGYGKAGMLEEMEDALSNMLQDGDNVPDIYTMNSIIWAYGNRGRVDDMQRWYGEFQLMGVDPDTRTFNIMMKSYGKANMPDKMLSILKYMKRRFFSPSAATFNIIIECFGRAGNIEKMEYYFRLMKIKGVKPNPITYCSLVNGYSKAGLLDRVPAIIRQTENTDVVLDTPFFNCVISAYAKSGDIKIMEEMLLLMKEKKCKPGKVIYTTMIQAYIAHGMDEAAKLLETEMERYDKKLLGPVSEVDYK >SECCE5Rv1G0376700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873741866:873744436:1 gene:SECCE5Rv1G0376700 transcript:SECCE5Rv1G0376700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLASYVSIVLFVLTGTYVYYTTRSRSPLRLPPSPPGWPVIGHLHLMTDMPHHALADLARSMRAPLLRLQLGSIPAVVISKPDLAREALTTNDAAMASRPHLLSGQFFSFGCSDVTFAPAGAYHRMVRRVVVSDLVSPRRVATYGSIRDQELRSLLAHLTESASTEPEAPVNLSKCLLNLANDVLCRIAFGRRFPHGKDDKLAALEPFASTLTGLRRRLKSCLADLREVCDEIIEEHISGERQRIPGDRDEDFVDVLLRAQKAPDLKVPLTDDNLKSIVLDIFIAGTDTTFATIEWTMTELIRHPCILRKAQDEVRQVVGAKGQVEESDLAELHYMRAVVKETFRLHPVVPLLVPRESIAACILGGYDIPAKTRIFINTFAMGRDPEIWEDPLEYWPERFEIAGSEIDLKDRDYKLMPFGGGRRGCPGYTFALPTVQLTLAKLMYHFEWALPAGVRAKDINVEESFGLATTKKEPLFVAIRNSDMYEFKRE >SECCE7Rv1G0514600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:835174841:835175122:-1 gene:SECCE7Rv1G0514600 transcript:SECCE7Rv1G0514600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELIRRLSFSDRVSDGSGGVPRGCVPVLVVGDGDEECERFVVRVEALRHPSLSALLDMAAQEFGYKQEGILRVPCAVRQFRQALTTAAVSKN >SECCE7Rv1G0523830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883811652:883818916:-1 gene:SECCE7Rv1G0523830 transcript:SECCE7Rv1G0523830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGASLGAVTTFILPKIWEACCWQKPDLRDAVDSLKMQHKHILGKIREYRAMRERSRDIGGTLDEHIADLRRVANEMEDCIDSYHIAETTRTRAKLLRKIPRLKERSEKLEVDYKGPGKSTGGETTTSRKEESTAASGHGQSYSGGDLRVVRPSCAHPVDMDDPIKELLDLVKVSDSEPESKQLKVISISGFGGLGKTLLADKVYFHEDVCPQFTVRARVEAAGKSHDEVLKEILKQLPGTSANDQEIWQNGEQSVNDKGKNVHMDGVTRQNGEPGHGQATQPGSSERLRSSLKNKRVNDKGKNVHMDGVTRQNGEPGHGQATQPGTSERLRSSLKNKRVNDKGKNVHMDGVTRQNGEPGHGQATQPGISERLRSSLKNKRFLIVIVDIQENRGEIAIASVLKGLGEVHSRVIVTTTIQSIATSWASPNNHLYPMSSLNKVYWEELFFREFDEGKCKKPSDMGQLSSLKSLLEKCDGLPLALISTAKVLSGTELDNKACTEAWKKLCDIKHDETSTLQKMQRVLASTCAGLSGTNVPPTLIDCLLYFSMFPPNHHVRKNSLIRRWLAEGMKQNVDRKEFNLEEHIERLIDRSIIQPMEVSTRGDVKGCKASSLMYEYIFHRSKSEEFMAVLSDVMDNGFERKGYVRRLSIHATETGIAEERLPDDLSHLHTLAVFGTEKAEVTKDLANGLFGKKKFANCLFGNKGVLAKFKVLRVLDLKECAGLKGKHLQTICDMLLLKYLSLGDSIVQVPRKIANLKQLETLDLSRTNVVTVYMEVLGLPSLTHLLGKIRLSKWDCIFGVEKLKMFVRNKCKLDTLGGFTTGKSEAFPQLIGHMRQLNKVKIWIDPTADTRNLEHLTKGIKEFVRKSRFESNVGRSLSVDFSECVQEHAERSLRFLTLSQSQKVEETVNIPDNNTQGARIEQEGEANQCLGSNGGSTSNQIMNMQDNIQEHQAEEIEDAKQGAPSDDAYSSNETEMMQSLQNIREGYDKCPNKLSSLKLCGDLPKFPLQFVTEISGIEKLCLSSTRLSRENIAAALSELPDLFYLKLREDDLRHLDLKNKQFPSLRRICFSVKDGNLPRPTIIQHFRNLREVYLYANLNYEARRSWKVASESHPERPRVYFAESKCKGTSSEAVTQNQ >SECCE5Rv1G0345230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649724317:649724715:1 gene:SECCE5Rv1G0345230 transcript:SECCE5Rv1G0345230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKVALFFALNLVLIAVVHGNPPIVPTPPLVPTPVVAPTPSSGGSCPINPLKITVCSNVLLLLKLRINVPETEQCCPLLSGLADLDAAICVCTTIKANLLGLITIDIPVDLALLLNHCNKTYPSSFTCSR >SECCE5Rv1G0338800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:603204565:603206481:1 gene:SECCE5Rv1G0338800 transcript:SECCE5Rv1G0338800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSISMGGSAAATSLVNLKMMNHKCTRGLGPQRHFSHDVPSSACLMTFNKECSKRINHKVCRALQAVSPVQCAENPMQAPVAFKDFHVSVLTEEDGVIKIRVTVTSKMTDSVFENVLSKHIAAAQPLPGFRRLKGGKTPNVPKEVALHLIGPSKVKKAAIKKIINRAVAEYVEKENLDASKNLKVLQSYEELEARFEPGKEFCFDAAVHITGS >SECCE7Rv1G0476070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:196508799:196510930:-1 gene:SECCE7Rv1G0476070 transcript:SECCE7Rv1G0476070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTKSTSKQTAKLKSLVKLAVARLAVARRPRLGRRSIARGDVAQLLSIGHLDRALARAEQVLEEDSMLEALDIIEHYCKILVEQSAQLDKPKDCGEEIKAAAAGLIFASARCGELPELLDARPILAGKFGRDFERAAKEGSQVVVNPTLVHKLSGQKASVEQQRRLAREIAAENDILLDFPRSPGDVPQSKQSEQAKNVPVPVPAQESVERLEVNTETSEVQRRQRLADDNTSRSNFAQLRAEEKASRESKKYDDVRMAAEAAFESASFAAMAARAAVELSRTESQGKGPRGGGRGLDKVHPLQSSGATQRETRPPGKPQKPPSPSPSPSWSDKSTVSSVWSDAPTRDPPSPPKGKSIVFDRSDGEDDDVVEDLVWTPQPHRPPYNRRTASTMGMDGVGGNGNGNTHGARRAEFQASAANGSEEAQPAHRRHASEHAGGGTRREAPEQPGQYRAPPYRRSPAADAGGNGGAYESSAFVARAPYARITSALEGGNEHIARHEEVRRMGTDARVLQEQVYGSAAPGTGRAPLTPDRRAISVRTRR >SECCE2Rv1G0100190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:536307168:536310743:1 gene:SECCE2Rv1G0100190 transcript:SECCE2Rv1G0100190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAGDGEATGAGTPEAAAPFSADWKERILVPVVAAGVAGAGFGLLSRHRARLGPIRAAATYAANLSIVAGCYGGARELARDARATTPDDLMNSVVGGLASGAVLGRIQGGHFGAVKYAVTLAVAGTALDYAAMKLSPQWRDWKEHLSVDTKDWFTVPEWSPIQVLDEEALAKKREREELLFAQRALGKVSKEEP >SECCE7Rv1G0507560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:763483210:763488638:1 gene:SECCE7Rv1G0507560 transcript:SECCE7Rv1G0507560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHDGKPYQPRRGPERPPQPAEDPPAPAAAPAAADAVIDHLAAVAAEAEALNASIAAVAAEAEAMNAYEHAQEQEQEMEEEEEEEEEEEEEMEEEDDEGEGDGQHEHGQHGGNGESVPMDAEAAAQAAAAAAAGAPLDPHGAMVSAIVPPATGNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNPGMGAGASSSTPYSKRLNFPHRVASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKPKPDDGTSELATADGSPNWGSVEGRPPSAAACHHCGTNANNTPMMRRGPEGPRTLCNACGLMWANKGMLRDLSKSTPPSLQMVSAGPNDSQNGNTLSQQNGSTLSQQNGNAAIVPFAEEQNPAPATDANGHGHGSST >SECCE7Rv1G0509040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:776987723:776988385:1 gene:SECCE7Rv1G0509040 transcript:SECCE7Rv1G0509040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTVLPSSLLPRRSATAAADLHSCFSRVRVNPALMANPAAAKRPKRPGSVRGEQPPPAKRVRGACSGGELHVAVPANIKNGSKLPSPSSGKDPLHSSVINNQVAESPASATQPKPQMSMRELIEKARLTMALLDKARSASKEEANHRQDIERSRAEARRKVEQMADTVQFNDPWIHYSDVTKSPEELLQARQQAWRYQAQLIEMSRRRDYAQAMQIHG >SECCE3Rv1G0194600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:782909128:782910204:1 gene:SECCE3Rv1G0194600 transcript:SECCE3Rv1G0194600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKARIHADPAAPELDQIDCLPDSLVLLILNKLEDVHSLGRCAAVSKRFNDLVPLVHDVYVKIDRVVAVDGDPDDALNLSSPKPRHIFSHLFKLMLFTIAKPFHGMRGPGGAGGRPLFPRLAQHSPVQVLRGFSHVRNLRVELPSGDVGTEEGVLLKWRARYGSTLQSCVILGGTLVDRKPAGAGGHEPSTTEDGGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKEHPTLRSLVLADADGQGTLCMGAEQLAEFRENRLSASACSNRTQVPACSMKLKYAPYLELPGGLGLQGATLVVIKPSDDGVAGCHVGRKETEAFVSGAFDGPFRFAAKALMKRRTYLLEMNGF >SECCEUnv1G0528500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:4715493:4718042:-1 gene:SECCEUnv1G0528500 transcript:SECCEUnv1G0528500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLSDCSSCATSRLLPLRRALHPPPPRFRPSPGPPPLPRRPLLAAAAAAPLPLLPRARRMAALPAAASSADPATVLAEASARIIDGKLVAKQIREEVGVEIARMKDATGVVPGLAVILVGSRKDSQTYVRNKKKACDAVGIKSYEVNLPEDASEYEVIKHIATFNEDPSVHGILVQLPLPRHMNDENILNAVSIEKDVDGFHPVNIGRLAMQGRDPFFVPCTPKGCMELLHRSGVEIKGKRAVVIGRSNIVGTPAALLLQKANATVSIVHSKTKNPEEITRQADIIIAAVGVANLVRGSWIKPGAAIIDVGINPVDDPASPRGYRLVGDVCFEEASKVAGAITPVPGGVGPMTIAMLLSNTLDSAKRIHKFK >SECCE3Rv1G0157950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:84365690:84367833:1 gene:SECCE3Rv1G0157950 transcript:SECCE3Rv1G0157950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAAALLLISLPLILLSSLLPGRKSKAARPPGPWSLPFIGSLHHLLTPLPHVALRDLAKKHGPVMYLRLGQVDAVVISSPAAAQEVLRDKDLSFASRPSILASEICLYGNLDVAFAPYGAYWRTMRKLCTTELLSARKVRQFAPVRGGEILHLVDTIRSAGRGGEAVNLGSLVMSCTNTVTAKVAFGEGCAGELQAQFLAAIEVILRSSGGLCVGDLFPMSFVDVVSGMKRRLWRARRQLDTVLDKIIAECEARREKNKSGDDKDLLRVLLRIRDEGQLEVPMGTTNIKAVIADMFAGGTETTASTTKWVMSELIRNPGAMAKAQKEVRCAFDHKNPQDHEAHMEELCYTKMVVKEAMRLHPMVPLLLPRVCRKTCDVHGYRVEEGTRVFVNAWAMARSPEYWQDTEEFRPERFEDNAADYKGTQLEYLPFGSGRRMCPGNIFALALVELVVARLLYYFDWRLPAGMRPEELDMDTIVGLTAKRRNQLLLVASPYNVPIHKKIDDCKAH >SECCE5Rv1G0312340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:225656584:225681577:-1 gene:SECCE5Rv1G0312340 transcript:SECCE5Rv1G0312340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAANATQTTSTTSSVAAVAAAAQHQQLQRQLILMQQAQAQAQPHPQAQQLSQQAMSRFPSNIDAHLRPLGPHRLQQPAPSQLQTPPQPHSQGQPHPQPSQQQAAQARIRSPEVEMALQDAMRVCNPDIKTPFHSLEDAVSRLLPYHVVADYEAEEDDRILDSDATGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQSLLQEEKQASMELRAEIESREKAGREAAEAKMRMAMAEHARVEAQARPEVIGHGPLRANAAASQGDNGPSHDMEQEQVEDGWENTQRDDDDPSEDFLNDENEPENGNSDMQEEWRRSGEFDLNSR >SECCE1Rv1G0030450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:427882726:427887124:1 gene:SECCE1Rv1G0030450 transcript:SECCE1Rv1G0030450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVGEAPLLSHQHSPNQVSSADRNGKPFNWKAPAIILAFEFLESIAYAGIALNLVVYLGKVLHGTTASNAANVDTWNGTTFLTPVLGAFLADTYWGKYKTVAISIIFYLTGLLIITASAIIPSLQPAPCEGTSCPPATGLQYFVFFTALYLVSVGTGGVKSALLPLGADQYDDSNLEQSKKKQAFFSWFFIAINLGVFISGTVVVWIQQNVAWSLGFGISSVCLIIATVAFLAGTPIYRVQLPTGSPLKSLLAVFVASFKKRKVQVPADSSILFDGNDAGVTNEAPNKLAHTEGFRCLDKAAVVLGDQEIKESLSGRPWMLCTVTQVEEVKILVRMLPIWFTSVFYAASMCQTATTFIQQGNKMNTKIGSFSVPPASMNSASVVFMMIFVVIQDSIVIPVARRYTGNIAGLTQLQRMGVGRFLAVPALAAAALVEMWRLRSIRAGHNLSIAWQLPQFMLIACSDVFCGIAQLEFFYSEAPMSMRSLCSAFSFLAMSLGYYLNSMIISAIAALSKSGGGQGWLPADLNDGHLDYYFWLWVGIGAVNFVVYTAFAKNYTVKKVEHR >SECCE3Rv1G0213220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:960120427:960123101:1 gene:SECCE3Rv1G0213220 transcript:SECCE3Rv1G0213220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSAVDWWEEWQLRVLVLGSLFIQYLLLFSAPLRKLTIPSWFRSIMWLAYLGSDAVAIYALANLFSRQRRQDCTSGQGNSILEVVWAPVLLMHLGGQDSITAYNIEDNELWTRHVLTAVSQITVAIYVFCKSWTGGDKRLLQASILFFVPGILKCIEKPWSLMSASFNSLVSSSSPVSRAANKAAHHQGMERWNDQLFAGTASEAARSRRIGNAISLEDYVRISKAFVLACGHSQARKEPKHVHQVEGEANQVDLEGNHHHRTQGKGNEADLEPKDAHRLYMRWWLRLLPSCCPSSTDALRRSELAARKAFLDLEARELFVDLEARKLFVDLASPYHDRLSILEIFLADDANKMAYSSLQLRLFKMFELLYTKANTVYSDNDVMVVYSMYLRMAAMCLPFAAIGLFHKSHREAYDVNDVMVTYVLFCCTAVLEMFSIFAGKSSLRSSGMVAQYSLVGFFVRNKRHNKKICILSFFKCKDFLDKRWSMKPRSSSSRITALVLVHVKDWWQRHIVDVASYRKFNDHRGQWTIQRTGCNQNLGWSINRPFDESVLLWHIATDLCFYYMGTSSDHRCAASRCGKILSDDCATWCKGSPRHESAKVCRQMSNYMIYLLFVNPEMLLPGTRRNLFLEANNELNEILKGDKPSLMESLNCNRSYLERRREGKGPLPKEIERDIMNKIILKLQTRKCRVKDPGYTVTESPRDAEGFVQDAWKISEVLLRLGDEKMWQVIEGVWVEMLCFSASRCRGFLHAKSMASGISLLTYVWFLLSRMGMETLPERLQRTELSSGEGNAGAPPSTSQTSGSEEDPRRHEAAAVASASTSRPQEIQPAE >SECCE3Rv1G0201490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:864674829:864678514:-1 gene:SECCE3Rv1G0201490 transcript:SECCE3Rv1G0201490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASSSSAAAPAPAPPPAPALDPEAISLMAEEAPPEEITLVVKWSGKEYTVRAMGDDTLLELKRRICEFTDVLPKRQKLLYPKLILNDESVLLSSLPFKPNGKLTMIGTVEDEIFVDRPDDPEVLDDYEFFKDEVTAIKDNVLYKQKVKRRASQYKIKLLNPCRDGKRLLVLDIDYTLFDHRSPAENPLELMRPFLHEFLAAAYAEYDIMIWSATNMKWVQLKMEQLGVLSNPNYKITALLDHMAMITVHAPDKKVFDCKPLGVIWTKFPEHYNEKNTIMFDDLRRNFVMNPQNGLVIRPFKNASKNRARDQELRKLTQYLLSIAELEDFSKLEHDGWESFMDETGKRRRRR >SECCE4Rv1G0279950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817881396:817887259:1 gene:SECCE4Rv1G0279950 transcript:SECCE4Rv1G0279950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVESPQPAAWDHFKEEEENGGASVAAAAAAGELLTREIMKRLLVDADANGGIAEELEAAPEVEQKEEVAEEEEQRHKTIFFDATKGLWKCRHCDWTSPCENGTVDHRGYRHQIERNIELLVEKKDRFTVHRAKPPQAEEEEEEEVAKEEQQRHKSIFFDAAKGLWKCGHCDWTYHLASPYGNGTVDHRGLLKPEHLAVESYSENQAAEVTMPLQSEMQNSKDTTTKIEYGTYAHHSHGTVVKPEHSINESSSEDQNTTTTTTTTTSATTTTSSTTTSAEATTHSSSSTGIFHVEVLEGMTGEMPPPKPADAVIMDATHHLHGHEDVHGTTTTTFENGSFTTHISHTRELKVDCPNVTTIARGDLSTSPIPQAEDRPYVAVSVPDDVNPAAPSPEQSDDWDIPKAIVYGGLVESVTSLSVVSAAASSGAKTLDIFILGIANLMGGLPLIFHNIADLRDIRDVNEDNERVGHYWLQLGRRSKARLHMILALLSYIVFGLLPPILYGLSFRESNDRENKMMAVAGASLACIALLALGKAHVRAAPRTYFKTLMYYLTVAVSSSGLSCVVGVLITRILVHFGIIEQGGSASPATQGLSFPHSVGAQTSAWASF >SECCE4Rv1G0225010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:87002770:87005794:-1 gene:SECCE4Rv1G0225010 transcript:SECCE4Rv1G0225010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKRSSQPSHRRLESLDVKRSSSSLNMSTSSLRSINEEDRGAAAAHAGRRPTVVRFAPTPTPPRPSSSSGTRRGSHLAPQLQAPKAARPATAAMRPASPSGPRSARPSPAESGPKATRRSWGCTESAGDQKERVTGDVIGGERSKGAAATLVRSSSVPRSRKPAEEKPLQKRESKTNIISRTKQRPTPSLKPDVLHKPGAQRSPSIAAKTSEKRPPTPSGASPDDMVKVSPPRSTSATTMGASWESLPPALQTLGSGVMSYRDAAEMAAVEAMQEASAAEIVLRCLSAFADLAATAGKQSPQQTVDEFLALQAAIARSTAALGNQQRSGHASEWLHAAVTADLAPFSLYTAPPSSRKRGTEAPAVSASPRPVVAAEETATWLETAARELGEEMCAWFVGHVDRLLEADVAGTLGQLKRVNDWLDDVGLRTEAVERLKQKIFGYLLDHVESAVVALNGGVASNRRK >SECCE6Rv1G0434000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763291009:763291494:-1 gene:SECCE6Rv1G0434000 transcript:SECCE6Rv1G0434000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMILLNSSDGEGFQVEEAVAMELQTIRHMIEDDCADNGIPLPNVNSKTLAKVIEYCKKHVQASPNPADSAAPAEDLKSFDAEFVKVDQAILYDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNITNDFTPEEDAEIRKENQWAFE >SECCE5Rv1G0305080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:65866387:65867721:-1 gene:SECCE5Rv1G0305080 transcript:SECCE5Rv1G0305080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGVRVAAILLVSILASCFLVLGQAVAFTGSQAPYSPHPAIAIDLGNTNSCVAGYGHGHGQVETMFQLCIPTWVAFPGDGSVLVGEDAKNHTAPIFGFKRLLGKSRDFEREEEDVRELMARVPYKVVGRERPLIQVKKTADGAVKNLGADEITAIVLAKLRESAEAYLGRAIQEAVVTVPQQYNDPSRYSMLRAAELAGLRVTRMIDEPTAAAVAHSLHKKLRDEGNVLVLHLGGGTSDASVMSYVDGVFEFTGADEDPFFGGQDFDQRIVDHFVELIRKKHGKDLSNDKSALGRLRMACEQAKKVLSSQDLAGLSIKSLVDGVDFSGSLTRAEFEELNHDLFLKAMALVESAMRQAGLDKNKDLLDEIVLVGGSTMIPGIRRLVTDYFDGRKLKDVNASVMPDQTVTLGAALLTHPTANGYPCLGGDRRQWGYSTDWCFTY >SECCE6Rv1G0429060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:733431161:733432468:-1 gene:SECCE6Rv1G0429060 transcript:SECCE6Rv1G0429060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSADERLAQPRRRRREIEEGEVASAYSTGSDTDTDTDDEDARYYLHPVRLHDHRQGLTVTVARPGPGPVLDDVPGSRSRSATRASSPTSSLGSDGTIGAAAGTALFPACPVCHRQFHSSKAVHGHMRVHAQAQPKEKEKPSAAVSAVDQVGDSVSVSVAYAVEEPKTPDDPMTVEVASSDQVVAVSASVERSDLSMAIVVVEAVAPAPATAPNLVNIAPTPPAHPAQPQDPVPPPVALAPEPAAAYLLPAPAQHHVAAAPPQAFHWPLHQNPAAPRGFSCKECNRWFETHQGLGGHVAGHKNRRIAAEAAAAIAAGVDPTAAGGPKPEKLHTCKVCGEVYTSGVRLGGHMRKHYTGKPIVPRKRTRLLLPPDVMGLPIPGPAPAMEAPVVAPAVQPLQAPVVPAGCVRLFGVTIMQQPKEEEEKPQPPVDDKQ >SECCE3Rv1G0158380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:87596404:87610580:1 gene:SECCE3Rv1G0158380 transcript:SECCE3Rv1G0158380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLLRASPLSLLSRLKPLSRRPPASHLRRLLLLSGSASAPSRSAPTLRTLAAATDAATPPEEAALPAAEAKLERMQPLQWPQRDALCGELGAGDAGRRVRLCGWVALRRSHAGLTFLTLRDSSGLVQVTTLPEYPEVYAVVNKLRVESVVSVEGVVRLRPTESINADMSTGAIEVAADCVSVLNSVTRSLPFPITTADTVKEKFPEEVRLRFRVLDLRRPQMQSNLRLRHNVVKHIRRYLEDRHDFVEIETPILSKSTPEGARDYLVPSRVQPGTCFALPQSPQLFKQMLMASGFEKYFQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLNLNEELMIHIFQEVGDIKLPKCFPRLTYAEAMNRYGTDRPDLRFDWELKDASEVFLGSSFKVFADTLENGGVIKALCVPGGAKVFSNTDLKKGTVYAEASKAGAKGLPFLKVMDNGELEGVGPLVSSLKPEKKEHLLELLDAKAGDLVLFALGEQSTANQILGRLRLFIAHRLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLARESSIRDVIAFPKTTTAQCSLTKAPSPVEPQQLKDLGLRTS >SECCE2Rv1G0103050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:586444900:586446757:-1 gene:SECCE2Rv1G0103050 transcript:SECCE2Rv1G0103050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWCPSPTISKDVTELIGRTPLVYLNKVVTGCEARIAAKLEIMGPCSSIKDRIGYSMIADAEEKGLITPGKSILIEPTGGNTGIGLAFMAAAKGYKLIVTMPSSVSTERRTVLKAFGAEVVLTDPLRAMDDVVRRAEEIAAKTPNSYVLQQFENPANPKVHYETTGPEIWSATAGAVDILVAGIGTGGTITGAGKYLKEMNPEIQIYGVEPSESAVLSGGKPGPHKIQGLGAGFVPGVLDVSILDEVFQITNEEAASMARQIALKEGLLVGMSSGATAAAAIRVARRVENRGKLIVVVFASCGERYLTSFLFESIRNEAENMVLEP >SECCE4Rv1G0220900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:42544123:42554175:1 gene:SECCE4Rv1G0220900 transcript:SECCE4Rv1G0220900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP1[V]-like protein [Source:Projected from Arabidopsis thaliana (AT5G58040) UniProtKB/Swiss-Prot;Acc:F4KDH9] MEDDDEFGDLYTDIVLPTSEPPRPPPAETPPRAAPAPNPSSAPAPASAAAAAGGEEDDDDDDDWLLGGGDPLAGVDPTTDWVDEDDDGGAAPAVKREVDAKPPPPAAEDPDPLMGVGADDPGAAIPGLSSSAAAAGAAGSEDWDSDSEDDLQIVLNETDGRRRLGEDEGDDEDGEDLLIVADGPHIPGMDEQEWVEDGTAAGPEGERKEGGEPGKTGPMPGGRIGYSGGGPGFHPQHHSMFKYVRPGAPTGGAPSAPGQFRPPGPPGPFSGRGRGDWRPGAGRGMNKGFHSGYGMTQWGGAGRGFGGGLDFTLPPHKIIFDIDVDTTFEEKPWKYPGAEISDFFNFGLDEEKWKDYCKQLDQSRLESTMQSRIRVYESGRSEQDYDPDLPPELAAAAGHHDISADNRSKVDNGHTDFSAQGRVPTSNRPAVMTGRPIQVETGYGERFPSADTRSNRIRESESAAIEIVCEAPADDPIVADSSVDQSEKDSQGGKKSNGVEESEVYTPEKTNNSYNSTLGKAEHTRRLPVSSEGDMLTSDVHGRSPLNYKIRGSPSRGVRLKGRSQGVNPSREAESSNEVPRKTTSSKRRRDTPRDSKPVDDSETKDGLKGSPTVADETTDKLSTEDQFVDNDDRLALVDDSAEVDADDAISEPHMASDTNEGDNEDHSSKRQKIISKVEQPPGLNNSSDQVDLKTLNSENSRGVRSGSSKDHQKRLESGEEVLQDRRSRRVNDVRRHHDGEERDPRRKDVSARDVKPEIERTHLASRGRDDIHLPFGNRDRDIRGKSFDRVRETEILQRREDSMHNRRGKEEDLRLNYNAEVGARHRNKLRPIDRNDRDEDPHPRKLLDDGDWRGSRQRERGDMVLNSRESLDDSHIKRKKDEENTRRMKPENEDAVHGYRGRDDPNRRKRERDDGIDQKRRDDGVRIREKADDRSFVKNKEDNLRQREKDDRQRPKHESTLLLQREEGRGTGRGGRVMDEKIVSGGRKKDESRSALLSKETQERSKQNEPGRRGQGAEENSTQNKGRADVRPRDDNPNNNERNSRQDKINKTHDNNRVSSSSDARQASRDKPRESTRKGRGSVPNEQDLHRSSKRRREDNESHRSGKVEAKGGREQENGRDHATSSKTSKNPQRHDSFVKQGEEEAMSDDENTDDSRRGRSKLERWTSHKEIDYSTIDNETTHAFPSIKADVQPPTADASGKSDVPAIVVSSDIKCSGDNGQASEMTAEERDRHLDTVERLKRRSERFKLPMPGEKEAPQNKKVDAEVQTPQNESPVADVEVKPERPARKRRWTGS >SECCE4Rv1G0264010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718777204:718777866:1 gene:SECCE4Rv1G0264010 transcript:SECCE4Rv1G0264010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAADDGEEKSIFRCLDAARYVVAAMVTMLIVAVIVYAITVVLRPGDLYLGVVGGSVSISTTGGDNPGRPFNASVRGDNLTFSFTVRAFNPSGRLNIYYTNILAMLKSNFSSNSFLTLRLPNMILGPQAMVDTNILINTFVKVPDQAYYFSLLANGSSVYDAMIVLNGTRYDEVYSGHYTNTKAHASYYCSHIAVGGDKEDDGTTVDVRCKDENQLGSS >SECCE6Rv1G0401910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:475097289:475100504:1 gene:SECCE6Rv1G0401910 transcript:SECCE6Rv1G0401910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRMPRKTSNNTKYYEVLGVSKTATPDELKKAYRKAAIKNHPDKGGDPEKFKELAQAYDVLNDPEKREIYDQYGEDAIKEGMGGSGGGDMHSPFDIFEQLFGGGGGGFGGGSSRGRRQKRGEDVVHTMKVSLEDLYNGATKKLSLSRNVLCGKCKGKGSKSGATATCSGCRGAGMRMITRQIGPGMIQQMNTVCPECRGSGEMINDKDRCPSCRGNKVSQEKKVLEVHVEKGMQHGQKIVFQGEADEAPDTVTGDIVFVLQLKEHPKFKRKSDDLFVEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEIIKPGQHKAINDEGMPQHGRPFMKGRLFVEFSVEFPEPGVLTPSQCKSLEKILPPRPGSQSSDMDVDQCEETTMHDVNIEEEMRRRQHQRRQEAYDEEDDDEGGAPRGVQCAQQ >SECCE5Rv1G0336090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:582083336:582086286:1 gene:SECCE5Rv1G0336090 transcript:SECCE5Rv1G0336090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRRVALVALAVLALAAAAEGYNITKILAAYPEYSQFNKLLTQTRLAQDINKRRTITVLVVANGDMGSLAGGGRTLQTIRHMLQIHVLVDYYGGKKLHQLAHGVTACSSMFQESGAAPGMSGYVNITQHRGGKVTFTAEDAEDSAPSSAFVKSVKEIPYDLAVLQISKVLASPEAEAPVAAPAPVNITELLSKKYCKSFAGLLAADADAYSTINATKDNGLTLFCPVDSAVASFMPKYKNLTAKGRTAILLYHAVPDYYSLQLLTSNSGKVSTLATSNVAKKDYSFDVDKDGETAELDTKVNSASVTYTIKDDDPLAVYAISKFLQPKELYKVAKDIAPAPAPEAPKKKKTTKKKPSAAASPSDDDDDDSAADSPDDSSSDDATADKDGAAPSAVAGWVTAAVAVASALALAA >SECCE5Rv1G0317770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:352271387:352273452:1 gene:SECCE5Rv1G0317770 transcript:SECCE5Rv1G0317770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVREYTNLSDPKDKKLGKGKDKIDDEDVTFQRMVAKMQDVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRPATLADSAPALPAALCVDARPKSDIRQRDLLKNIVGIKPKRPKVCSPPQAAEKDGPNRYQEVSVSKMSSCQNEPKTAEATESRPQNVTGSLLGLAYESSDEE >SECCE5Rv1G0375420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868199880:868204517:1 gene:SECCE5Rv1G0375420 transcript:SECCE5Rv1G0375420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWTSDSDDSDKFEWDNDGEGEPSSAPAMRNFDAPGPSTLDSNEWINGEVPPTSLIERYVAMGFPKEMVMKSIKENGHSDADALLELLLTYKALDDDDAVGNNHSTSGCILPVVEDDDDLDFENWDGDDDAGGRESSSDDSGCEEFLREMSERDDKINSLVHMDFSEDEANGAITRCGVDADICVLVDSISASRVAEDCHSRNLSDHQVTDRCFNSFGGRKKARLMEESRKKRKRYGGGGQDNRPSLDGSDEESMPLPNPMVGFNLPGYRGPSVTRRLSELDMGPPFFYYENVARAPKGEWVKISRNLYDIHPEFVDSLHLCAAARKRGYIHNLPIENRSPLLPLPPKTIFEAFPHYKKWWPSWDTRTHLNCLQTCVASAKLTERIQRTLASSSNPPPKSVQKYVMDECKKWNLVWVGKNNVAPLEPDEMEFLLGFPKDHTRGVNKTQRYKSLGNSFQVDTVAYHLSVLRRMFPNGVRVLSLFTGIGGGEVALHKLGIHMRVVVSVEIGEANRRILRGWWDQTQTGTLIEIPDVKSLTDERVASFVTRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGKHSALFYDYVRILNFVKSAMANM >SECCE6Rv1G0441840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:812329001:812330191:-1 gene:SECCE6Rv1G0441840 transcript:SECCE6Rv1G0441840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDKKGATVLEDLPGEIMDMILIRLPSKDVGRCRVVNTSWHSATSTPKFMLEHHRRQPLVPIVDAHGQAVSHVVFGDAGGGISSQELWPLVREGNLSFTLKASCDGFLIIYNCTQPNFYICNPVTRKYVILQQPQEQFNFVIGFYHHHPTGEYRVLWFSRSHDSSKSSVYVLTVGSEKPRGIIVRIPMVSSPSVENRLMNDVHFSSGFSPPVHHRGSLHWWLSCSSDITGGCADIIVFDTEAESFRWMRSPGQRCPNSNLFDMKGTLAIWAGSTPTSFSTMDIWVMQDYEAQIWAFKYRIKLSTVEASRQLYLTSYKNKKRTPIESMVQSFNDMAVLNERELLVSFNGKHVLHCDVDGKFLGLVKIGKNQHCMRLAHYCLQESILPIPSLEMHQG >SECCE5Rv1G0332120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:544717301:544719741:1 gene:SECCE5Rv1G0332120 transcript:SECCE5Rv1G0332120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSLQSAHQSSQTMEGQSAPLLVKRPKEREGQVQRLASEVWEESMKLWEVVGPAVFMRLVLYSLNIISQSFAGHLGDAELAAFSIATTVISGFNLGFLLGMASALETLCGQAYGAKQYAMLGVYMQRSWLVLLAFAALLSPTYIFSGQLLSALGQPADLARQAGAVSACLLPLHFMYVILLPLNKFLQCQRKNAVTAVTTAVAFPVHAAVSWLLVSYFGFGVIGAAIALNFSWALVVGLQLAYAVGGGCPETWGGFSSLAFVDIWGFVKLSSASGVMLCLESWYYRVLIFVTGYMKNAELAVDALSICLSLTGLEMMIPLGFLAGTGVRVANELGAGNGHRARFATMVSTATSFLISIFFYLVALGLHGRLALIFSSSKAVIDAVGDMSVLLALTILLNGVQPVLSGVAVGSGWQALVAYVNIGSYYLIGVPFGVLLGWGFRLGVHGIWAGMIGGTTVQTLILAYMTIRCDWDQEALKASNRMRLMGSSG >SECCE5Rv1G0356590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:740544308:740546907:1 gene:SECCE5Rv1G0356590 transcript:SECCE5Rv1G0356590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGTRYRGMFEERMESVINQAERAGGKIILFIDEMHMLLGAGVGRGVTGASTMLKPGLARGRIRCVGATTFEGYRNYIEKDPALERRFQKVHIEEPSTQATVGILRGPRFWLPNEAILPRGRINQQYEQHHGLEIQDAALVAAAQLAGRYITGRQFPDKAIDLIDEACSATIKRLMQIDNQVEEVNAKQSRSANSVKGAAVVPNDVAQVVSLWTGIPVCTLEQEDKDKLIHLADRLHERVVGQDEAVNVVAKAVLRSRAGLNHPGQPIGSFLFLGSTGVGKTELAKALAEELFASEKMLVRFDMSEYVSSESVLRLVGAPPSHQGCDDGGQLTEKVRRRPYSVILFDEVEKADPSVLNIFIQLLDDGVLTDGKGRTVNFKNTIIIMTSNLGAEHLTAGMVQKHFKPELLNRLSEIVVFEPLLHDKLNEIVKIQMKSVISRVADKGISLFASDAALDVIISESYNPMYGARPIRRWLQKNVMIKLSQMLVKGEASEGSTISIDATDDKKLKFQVVKKVAG >SECCE7Rv1G0507570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:763497007:763503417:1 gene:SECCE7Rv1G0507570 transcript:SECCE7Rv1G0507570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVEPSSGAPRPLPPPPDSPEDRPAPPPPPPPPPPGGPPPTRKRSRSPPRPSLPPPPPIGSSRPERIRDRDRRGSRSPPPRRRHSPPSRRSPSPPFKRSRRDDGYDRRGGRGSPPPRYDRGGGRGGYDDDRHHGRHRASDWPDSRFGAPNDGPGNTQREGLMTYKQFIQVLEDDVSPAEAESRYQEYKTEYITTQKRAYFDLHKNEDWLKDKYHPTNLLSVIERRNERCKVVAKDFFLDLQNGTLDLGPGIIANKSENGNDGNSDDDADGDKRRKQSRSSSKETDPLSAAPKAHPVSSEPRRIQTDIERTLGLVRKLDTEKGIQGNVLSSGDHEKSDVDKSHMGSMGPIVIVRGLTTVKGLEGVELLDTLLTYLWRIHGVDYYGMSETNEAKGLRHVRTDNKSPSTTNINAADWEKKLDIYWQERLTGQDPMVILTAKDKIDAAAAEVLEPHVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVYKHLRLKHPEIVLEVTSNLREDIYSQNYMSDPNAPGGTPVMQQSAADKSRRRSDNGMDSRLRYDRGNRREYDRADRDGGRHGRGDGSPSRDGPDDQMFDSFRGRGSNAPFSAEFPAPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPSSFEQNGGPHGNSGVLGSMMGGGPAPIIAMPPSFHHDPRRLRSYNDLDAPDEEVTVLDYRSL >SECCE6Rv1G0385130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:73383753:73384106:1 gene:SECCE6Rv1G0385130 transcript:SECCE6Rv1G0385130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFRRLSRVAAADSSSAAAATAYRQLRAPKQAASASGGKVPQGHVPVCVGEEGGPVERFAVRADLLGRPAFAALLLRAAQEYGYGHPGALRIPCPVADFRRLLVRLSDDPYADEC >SECCE2Rv1G0075060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:82105181:82108819:-1 gene:SECCE2Rv1G0075060 transcript:SECCE2Rv1G0075060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVVAAQLSRLSGALGTSDHASVVSINLFVALLCACIVLGHLLEENRWLNESITALIIGLCTGVVILMTTKGKSSHVLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTISLAAIAIFSKMNIGTLDVSDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSVVLFNALQNFDPNHIDAIVILKFLGNFCYLFVSSTFLGVFTGLLSAYIIKKLYIGRHSTDREVALVMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWKFASDSPGKSIGISSILLGLVLVGRAAFVFPLSFLSNLTKKTELEKISWRQQIVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMLFGILTKPLIRFLLPASSNGAASDPASPKSLHSPLLTSMLGSDLEAPLPIVRPSSLRMLITKPTHTIHYYWRKFDDALMRPMFGGRGFVPYSPGSPTDPNVLVE >SECCE2Rv1G0074650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:78195520:78201054:1 gene:SECCE2Rv1G0074650 transcript:SECCE2Rv1G0074650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAVRARRLLASPATTGIQGILSASHRGCAAAGEFALLPHLENGFPASRSSPDHTRSFSSNVPRTLLSRTVTSHCRCKKSVCLCGYAHSSGYHMARAHLSTDSSGTDQPKESAEELYQKMLKSVEAQTMPPNAWLWSMISSCSSEEDIKLLFQILQKLRIFRLANLRINANFNDHLCMKVSEACARVGVLDYGLKVLWNHNVYGITPTIGSAHYLLQHAKEQNDTKLMEKIMQVLRRNSLPLQPGTADIVFSICYNTDRWDLLSKYAARFVQAGVKLRRTAFDIWMEFAAKVGDSQSIWNINSLRGKSIKHYTLTSGFACAKGSLLERKPENAAATVKLLYKHLPDQKKSFVKDELQKLVAEWPTEVIKRQKKDDRKAMEEALIEDIPKMITSMSNSGLDISVDLDKLARQPEAA >SECCE7Rv1G0514430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:834070668:834071842:-1 gene:SECCE7Rv1G0514430 transcript:SECCE7Rv1G0514430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAAGDAKLLIQSLNKAYSATPTSLKIIDLYVVFAIVTALVQVVYMGVVGSFPFNSFLSGVLSCIGTAVLAVSLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >SECCEUnv1G0530270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:12044387:12047493:-1 gene:SECCEUnv1G0530270 transcript:SECCEUnv1G0530270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKAKDRYTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHNEKCIYLVFEYLDLDLKKHMDSSADFKNHHIVKSFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRTMGTPNEATWPGVSSLPDYKSAFPKWPSVDLATVVPTLEPLGLDLLSKMLCLDPTRRINARTALEHEYFKDLDVSS >SECCE3Rv1G0151620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:40352055:40357791:-1 gene:SECCE3Rv1G0151620 transcript:SECCE3Rv1G0151620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATMLAVLLLVLAASQPARACYSRVFSFGDSLADTGNYRYVYGNDTGPRLPYGETFFHRATGRFSNGRIVVDFIADALGLPFVRPYWSGRSSEDFAGGANFAVGAATALSPEVLWEHGFTAARADLVHLDMEMKWFRGLLHLLCPTDFADCADMMNNSLFLVGEIGGNDYNIPLTSLVPVEKMRLFTPSVISKISSTITDLIGLGAKTLLVPGNLPIGCLPVYLTIYQSDNMEDYESETGCVRWMNEFSRYHNKLLVDELEKLRKLHPSVSIIYADYYGAAMEIFVSPYQFGIEDPLVACCGGGGPYGVSISTKCGHGEYKVCDNPEMYASWDGLHPTETSYKAIADGLLRGPYTQPPIATTKNSCSKLTKLVSSAEYKVLYDL >SECCE7Rv1G0516290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844771409:844771930:-1 gene:SECCE7Rv1G0516290 transcript:SECCE7Rv1G0516290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCMDVSATPSPEPPPPTAALDYDAAVILAAMLCALVCALGLNSVLQQCVARCTRRAVADPVAWVAHRRANAGLKREALVALPVTTYAGEKKQQQQHASAGSAGCAICLSDFADGETIRVLPVCGHRFHVACVDRWLASRCSCPTCRRRLSPDRAGAGEGQHRRDLQVLNAV >SECCE2Rv1G0126830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:848802376:848815038:-1 gene:SECCE2Rv1G0126830 transcript:SECCE2Rv1G0126830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIHQAGVKKDDHGGGDNQQLQVVSVLELQAGVVEDQLQPLGGWHAVEATSMLENYKTNSIATDGGTSSRIDILGPATEQSIPKPSDPLHPWPPPQSLPEGVQSVLKTSRVNRKCIGDGDGFTAYEERIAGDTNIRDPQKYRIRMKGIDAPELDMEYGLESKEELVRLIGGKRVSILVYGPDKYGRSLGDIYCDDVFIQEQMLKGGFAWHYKMYDKRPEFAKWQREAKKARRGLWSLDKPQEPWIWRRDNPRIDNKKGNADDIQVEPQSQVNESSVALPLLIMKDMEDMGRKWKESLQNIVSENMKLHIQVQELKRDKKEAEERAKKAEKEIKSAMADNMKLNGQLQESERNKKEAEDRVLQLDFFSGSVAAVNMDLHNKIEVLENENKKAKDRAKEAESETESVVAENRKLNDQLQVVEGQAMKLKYFCEKVAGVNIVLHRKLEGSEEKNKMAEEQAKETEKDMKTILDDNVKLSGQIQKLNKINKEISAFANNSKRKLQSVLAVNMNLDKKEEERATLPDKGLEIVGVENMKGKLQGISHKKSEQTVETPSATPTKDSGVKKSTVWRKKTDTTAYVAPPPKGHYE >SECCE1Rv1G0027720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:387699258:387700799:-1 gene:SECCE1Rv1G0027720 transcript:SECCE1Rv1G0027720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWQIFFGVILFALPLTLLLFRNGKTGGGARRPIPPGPPSFPVLGSMVWLTNSPADGESLLRRLFVRYGPIVSLRVGSRLSIFVADRRLAHAALVESGAALADRPTLASVTLMGENDNTITRASYGPVWRLLRRNLVSETLHPSRVRLFAPARSWVRRVLLDKLRDESSSGGAAVVETFQYAMFCLLVLMCFGERLDEPAVRAIAAAQRDQLLYITRKMTVFAFFPSLTKHLFRDRLQTAHAMRRQQKELFVPLINARREYKSRGGEPRKETTFEHSYVDTLLDIKLPEEGDRPLTDNEMVILCSEFLNAGTDTTSTGLQWIMAELVKNPAIQEKLYEEIKATTGDDHEEVSGDDVHKMPYLKAVVLEGLRKHPPGHFVLPHKAAEDMEIGGYLIPKGATVNFMVAEMGRDEREWEMPLQFSPERFLPGGAGEGVDVTGNREIKMMPFGVGRRICAGLGIAMLHLEYFVANMVREFEWQEVAGEEVDFAEKNEFTVVMKKPLRPRLIPRRSS >SECCE7Rv1G0458560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22156859:22160409:-1 gene:SECCE7Rv1G0458560 transcript:SECCE7Rv1G0458560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFLSIAIVIAYLLSHGIDMATASTVWGDQDFFKQCPWSRCSKNGPEIRFPLQLESSNSSCGATCVKIECSGEDTVLLHPFLGPFNVTAIDYSRAALSIAPLVQACHKVQKFISQSVPANSSHQCSLYGEPGKLLGCSREIPPIHNFMASPFSCLSNATHFSYLVNARQPVYVLPLNCRVISDVVVPILGSPELLQLEEEAQRFYEMKVYWDTGPPSVAFNCTQCEQDGRPCTFSSQRNQTFCVPHPHGSHVKIIAATSSVGGFVVPVLMVSTALYLSLKKRYNKEIHLKVEMFLNTHGKSKTTRYTFSEVKKMARRFKVNLGRGGFGSVYKGELPNGVPVAVKMLENSIGEGQEFINEVATIGLIHHTNIVRLLGFCYEGTRRALIYEFMPNESLEKYIFSRDSNIFQTLLVPEKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGISHKSDVYSFGMLVLEMVSGRRNSDPRTESQNEVYLPECIYEEVIAGQDLALTGDTAEEVEKEKVRKLATVALWCIQWNPKNRPSMTKVVNMLTGRSQNLQMPPRPYVPSENHDIP >SECCE2Rv1G0109270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:673992606:673998825:1 gene:SECCE2Rv1G0109270 transcript:SECCE2Rv1G0109270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGNDRRGAALGGLAVLPDDLLCAVVDLLQPTDIGRLACVSSVMYILCNEEPLWMSKYLSVGGHFEYKGSWKKTTLSRLNLCSKNSELEQKARHFDGFNSLYLYRRWYRCFTTLSSYSFDNGHVERKDDLSLDHFRSQYDGKGPVLLGKLAESWPARTKWSMQQLVHDYGEVTFRISQRSSKKIIMKLKDYVSYMELQHDEDPLYIFDDKFGESAPALLEDYRVPHLFQEDLFDVLDYEQRPAFRWFIIGPERSGASWHVDPGLTSAWNTLLCGRKRWALYPPGRVPGGVTVHVSAEDGDVDIETPTSLQWWLDIYPHLAEHEKPLECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNQSNFEHVCLDMAPGHCHKGVCRAGLLAVPGKSVRDIENHPPWSHNDMTRTEERLKGSGSVRASNSESQCSSFEFSDVDKSLENQVFSYDIGFLSQFLEKEKDHYTSVWSPTNPIGQREAREWLRRLWVLKPELRGLIWKGACLAINVDKWYACLEEIRACHSLPAPSEDEKLPVGTGSNPVFIVSDNVIKINAEGGLGYSVHGLGTELEFYDLLRKVGSPLVNHIPEILASGFLVYEDGVYRTVPWNGKGMPDVLAKYYPLELSYANSCFPLGLWSKQQFGMDGSAESSNRPIWPYMVTRKCKGDIFAHVRDTLSKADLLNLASSLGVQMRNIHLLPLPHGESLPGPEDNNVKDSDPPEWKQVISTLNRRKNNIKKHLANWGGTVPTVLIEKAEEYLPPDMSSLIKFVKDGDGDSVYTFPSWIHSDIMDDNILTQRAPEMGSLTDTKSTGDGDLEKLNEIHIIDFSDLSIGDPLCDLIPLHLDVFRGDTDLLREYLRSYQLPFLRGESNDDIYKSVQNSKFSTASYRAMCYCILHDDNVLAAIFGLWKELRDATSWEDVEHLVWDDLNLYQQSSPTLSS >SECCE1Rv1G0041610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:572895795:572896106:1 gene:SECCE1Rv1G0041610 transcript:SECCE1Rv1G0041610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE7Rv1G0472730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:147104826:147105257:1 gene:SECCE7Rv1G0472730 transcript:SECCE7Rv1G0472730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHMFLIVFMASILQAATSDTAYDLLEKNNFPRALLPLGVKSYVNHDGGAVEVTLPSSCDFNVTVAGGSHKIRFDSIVSGVIQPGSITQLGGVRIQFEWDFVAFHTVQRVGDKLRFTGSEHAATFDQSFPVSNFVQSPRCS >SECCE4Rv1G0246550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:545601316:545606251:-1 gene:SECCE4Rv1G0246550 transcript:SECCE4Rv1G0246550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQAPVESTYRACTIPYRFPSDNPRKATPVEIQWIDLFLKSVPSFRQRAENDPTVPDAPAKAEKFAQRYTDMLEELKKNPESHGGPPDCILLCRLRELILRELGFHDIFKKVKDEENAKAMSLFEGVVQRNDEIEDGEKRAENLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQYLLSRPWVIDDLDAFKSKWTEKSWEKAVIFVDNSGADIILGILPFARELLRRGTKVILAANDMPSINDITYLELVEIVNKLKDKNGKLAGVDVSDLIVANSGNDLPVIDLSSVAPELAYMASDADLVILEGMGRGIETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >SECCE5Rv1G0365830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:809441142:809442031:1 gene:SECCE5Rv1G0365830 transcript:SECCE5Rv1G0365830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAQAAVASRLPLSRRPTSAPSPSRPLLAVLRSSPSSSRWTRRASVRVRAGAGGGGRRRECPYEVLGVAPSASPAEIKRAYRRLALKYHPDVNKEANAQAKFVRIKHAYNTLMSSESRSKCAEAEEEFDWLAAIIKGMEAFEDSDDDLDFEWKPEGLWEHVVAYSLIIFMLIYVLT >SECCE6Rv1G0439500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:796710690:796711747:1 gene:SECCE6Rv1G0439500 transcript:SECCE6Rv1G0439500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQEVAATPATKEPSTNANANGNGTTKAAAAPKAKAPALNERILSSISRRSVAAHPWHDLEIGPDAPTIFNCVIEIPKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCDDSDPIDVLVIMQEPVVPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYRHFNDIKDLPPHRLAEIRRFFEDYKKNENKEVAVNDFLPSEDAYEAIQHSMDLYATYICEGLRR >SECCE6Rv1G0433600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:760526611:760527225:1 gene:SECCE6Rv1G0433600 transcript:SECCE6Rv1G0433600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASEIDPSVAPHIVVEESSRAGGSREEALPEAAAVEAVVETEPADGKEEGQCGLCLYMEAGGCKEAFVSWAECVQAAEKDGSDMVDRCSQATIDLKKCMDAHADYYAVMLQAEPTVSDQDEAAIGGGATTADANKNKGEESAPSPDTDETKMEEALVQSAATTADEKDMVVQQEATSSTAAEGVKKEEAIVQKAESLSLGN >SECCE4Rv1G0235940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:342160553:342176895:1 gene:SECCE4Rv1G0235940 transcript:SECCE4Rv1G0235940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAPPLCTWIVAACLSATCVADDEGKQRNYIVGGLFGTRCHLAARRRGGARSGVPIAVSFHPERGAVENNKSETKQRRVVVTGMGVVTPLGHEPDEFYNNLLQGVSGISEIEAFDCSSYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMQYLIVAGKKALENGGVTENIMNELDKSRCGVLIGSGMGGMKVFSDAIEALRVSYRKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILSAANHIMRGETDLMLCGGSDAPIIPIGLGGFVSCRALSQRNSDPTKASRPWDVDRDGFVMGEGAGVLLLEELEHAKQRGAEIYAEFLGGSFTCDAYHMTEPHPEGKGVILCVENALADAGVTRQDINYVNAHATSTQLGDLKEFEALRRCFGQNPQLRVNSTKSMTGHLLGAAGGVEAVAAIQAIRTGWIHPNINLDNPEKHVDVSLLVGSQKERCDVKVALSNSFGFGGHNSSILFAPF >SECCE1Rv1G0052650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:663431551:663432974:-1 gene:SECCE1Rv1G0052650 transcript:SECCE1Rv1G0052650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDPELEAIRQRRVQELMGQRGGAASPQNAGQQKAQEDAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQTGGISEKVSEERLISLLEQINSHTSKQTKVTIQRRRNVLDDDD >SECCE2Rv1G0068670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:24709673:24712264:1 gene:SECCE2Rv1G0068670 transcript:SECCE2Rv1G0068670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHNTCQQEQLLGSNSARPQTFWTICPACDTKYQYHHASSQKTVRCQNCSKAFIAHVLADQSVPSGPEQSMWKNAGVFSEIRLCQKFKPGQIWALYSDIDKYPNCYAFIEKVELENNEVRARWLEVCPDGELEKKSVGDRTVGCGTYRVATTDGITIYTDMKSFSHRVNAIFTGRRNSYEIYPRKHEVWALVKGWDIGWSSDAHNKKKYKYEVVKVLSDFTTGTSITVMPLVKIKVFVSLFMQSKEATPYLIRQDDTIWFSHCIPYRLMGASKSEGIPEGALELDPAALPLNLEQPHVRVVSESRSVKGSEFDAAYAGSSRGNKSHKESEGVGERQHATCMNAGIFAKTSVVENRDHNTPSSVEGMDVAEESDNAQAEVLCPEFFDFNQLRDVSRFKRNQIWAVYDSQFCMPRFYARITKVRRAPKFEVHFVSLEFDPRNKAEVAWSRGRLPVACGRFKHGASDTAKETKIFSQIISYEKRKARISYEIYPRKGEVWALFKGWDIGWSSDAENHTDFKYEVVQVVSDFTTSTSIIAMPLVKVKGFVSLFVGLKEATPYVIPKNDTLRFSHCVPYHWMEGTERDGIPEGAVELDPDALPPNLEDAFASVVPKSSYTQSAAEGTDVYEESDDIVQTVFECVESEFHEFTEMRSLDKFQPGQIWAVYNDMDKFPNYYAGIRKVDLKNNKVQVRWFDASPRGEEEKRLVNEDWPVGCGTFRVYDGNDAIMTYTGTEPFSHLVCSRPTGREKEFEIIPLPREVWAVYKDWRAGWTARDFKNCDYELVEILTHTESSILVQLLRKVNGHRTVFRRASSVKTIRKDEYLKFSHQIPRFRLTNEKEGKLRGCFELDPFSVPERFLGIDST >SECCE5Rv1G0329160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:512845404:512848591:-1 gene:SECCE5Rv1G0329160 transcript:SECCE5Rv1G0329160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHKSTVQKAALVEETRRPPLVPSGKQNASSLSRVRDVASRYKTGQGATATRRCTSPSLGRASTTNGTPVPNRAQSADRRRPSTPSTPSSKVSTPSTPTSRSITPVRDTVKEVNKSSRCIANERSPHGLWPAMRNLSPSYQLESAAAPGNKKDKVVSSPSLDHIKGQASVLTERKRSPLRRKKITEQCENSRPSEDLPKRATEQNRWPAMTSGSGPANLMLNTELSDKSSKPVLLSNTSRGLSPRKISAPEDAGKKLNQSLDDVARRLAIHAKRRDEQLDSGSDVCSQTTERSKYVSRPSRTTTLPVPVRSSSPNKVLSAASSASRAFQSPSRTRPSTPCRSQSAGSIQSGVIAPVVSYMVDPRKGKKNASQIENIHQLRLLHNRYLQLRLVNARSEDVLSFQKATAENTIYNVWRNTSNLRDAVNLRRIMVQRHQQELKLYGILQEQIACLEQWPALEDENNLSFSRATEALKASTLRLPVTSGARVDAVSLKNAVSSAVDVMQGLGSVCSMLSKVEDRTYLISELSVVAAQEKVMLAECRELLAMAAELEVQESSLRTHLMQVKDLSR >SECCE5Rv1G0321950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:413290592:413298157:1 gene:SECCE5Rv1G0321950 transcript:SECCE5Rv1G0321950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMADLSFSASISNAKLPRYGNDSSLARRSSMFRAEARSTDSEKYTTNGRSRKMVPTTELMRSKGGGRARTDTVNGSLNGAVNGSTKAVINGSANGVVNGSTRAVINGSPKAVVTGTSLVNGSNMSALVKTQKQMSARDDPFEEELKVLPSDEGFSWAKANYNSVQRSIDIWSFVLSLRIRILFDNAKWAYPGGFSEENQKIRRRNTASWLREQVLQLGPTFIKLGQLSSTRSDLFPKEFVDELAKLQDRVPAFSPEKAKAFIEKEMGCSVDVAFKEFEDRPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQRSEKFGGPSRDWIGIYDECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYAPGIKINNLAVLDSRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDKDGSLIYYDFGMMGEIKSFTRDRLLSLFYAVYEKDANKVIKALIDLEALQPTGDLSPVRRSVQYFLDNLLSQSPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKTRRRAGPELVQEIRKQANDARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKANVLQMATMYTAMGGTLLNIGVVMGSQGNQIVANGSFIGAGIFLALLVASMRRVKKLEKFETMM >SECCE7Rv1G0522580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878581496:878583969:-1 gene:SECCE7Rv1G0522580 transcript:SECCE7Rv1G0522580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKPELPPQQEERDNNHGITMEKTTTDESSSGEPVRNYRGWKAMPYVIGNETFEKLGTLGTLWNLLVYLTTVYHIKSVNAATILNFFSGTSNLATILGAFISDTYLGRYTTLAAATIASFIGMVLLTLTAALHSLHPPACVSKGQCEGPTPSQLAALMVSFFFLVVGAGGIRPCNLAFGADQFDPRTADGRRGIASFFNWYYFTFTIAMMLSATVIIYLQSDVNWALGLAVPAVLMGLSCVLFFMGTRLYVYVRPEGSPFTSFAQVFIAASRKRHLRRAHNNFELFDPPHQSKLVTKLAYTDQFTCLDKAAIRTTEDVLCSDEKTSANPWRLCTVQQVEEVKCLARIIPVWLSGIVYFVVITQLGTYVVFQAAQTDRRIIKSASFQIPQGSFIVFQMLALTVWIPVYDRVMVPTLFRFTKREGGITLLQRIGIGLALSVVMMLVSAAVEHRRRRTAMMSCFWLVPQQLLAGLSEAFAAIGLIEFYYRQFPENMRSVAGAFFFLGLGLASFASGLMVIVVHRATRRRDGRPDWLAQDLDEGRVDLFYLVTAAIAAVNLIYFVACSRWYRFKKSDGDVNADNDVELDESSKKVANAVPI >SECCE3Rv1G0197880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:824656042:824659462:1 gene:SECCE3Rv1G0197880 transcript:SECCE3Rv1G0197880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triosephosphate isomerase, cytosolic [Source:Projected from Arabidopsis thaliana (AT3G55440) UniProtKB/Swiss-Prot;Acc:P48491] MAPRKFFVGGNWKCNGTGDDVKKIVTVLNEAEVPSEDAVEVVVSPPFVFLQQAKGLLRLDFAVAAQNCWVRKGGAFTGEISAEMLVNLQVPWVILGHSERRALLNESNDFVADKVAYALAQGLKVIACIGETLEQREAGTTMEVVSAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVASPAQAQEVHDGLRKWLHANVGPAVAESTRIIYGGSVNGANCKELAAQPDLDGFLVGGASLKPEFVDIIKSATVKSSSA >SECCE2Rv1G0078350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:107147081:107149693:-1 gene:SECCE2Rv1G0078350 transcript:SECCE2Rv1G0078350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAEPHASDSSASPMSADAPASNPGAAAGARKRKAPAATARKPRKLQAQEPIQIPVYYGPTKGFYGVQGDDLVDSSYISRVVNECAHVIGQLPPQPQSMSLVDLQLWIFKLFRLHPETQDLSIKGFLQQRKIDEVETGWCIEYPWDICYFQTDKCWSAFVNKLKRKRYVTQKFMLYAECSEIKHYAILLKAVHDGYSQLTRVVLPGTKCLTTSNFSFLCLVEDLSMTTKEIICYLAEHYGEQMSPPEAWRARQKALEWEFGTFYDSHNFVPRLLKDITCKTPWGFVDIKDAEVAGCNNFRVLHRIFWAFGQCVQAFNHCRPVLCIKGTPLCGKYQEVLLTAIALDANGYSIPVACAVVEGETKESWMWFLRNLEQAVRHPSDVCIIHDYKRELTDAIEDFLSSDQRQWRKVESRWCMEHLAENFSAYFGDKKLVMIFKKLCQQRRLNKFIKIWKELDELTAKYTADKEGGTTGEMQQELVEHDEADLVAQSPCNRRDSVDSEEEGDHANENEGKVTKFSDWIRLKPMEKWSLVHDTKGARYGIMGADMSNIYKNDPVLKGITCLPLSAIVEVTFLRLVEHFKNTSAAANEAIGNPSMNFPERVQDDMNAKMQKSKMHRVVCLDTKTRKVFQGKECRNFKVQSGQKNEVVHLKSESISKLGKSAIQESATCSCNKPQLLHRPCTHVIAVCCQIGVSTATYMSPYYSLAYLGRIWSGNFDEYKISHGYRNITPFECNTTTWIPDKRLECGLPVFVTSDCLETVVDESEQQCDTGNGSTEDNQGATARTEEPNEI >SECCE4Rv1G0226220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:106347292:106351746:1 gene:SECCE4Rv1G0226220 transcript:SECCE4Rv1G0226220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTATAAAAAPWAPSPSPSTSSSATPFKARVGIASPCRTGVRTSSAPRLVATPARRRRRQVVQAIANPDPAVELPLTAENVELVLDEVRPYLMADGGNVVLHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNEENIEKVLDEIRPYLSGTGGGELEFVSIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLS >SECCE1Rv1G0053180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:667892532:667892828:1 gene:SECCE1Rv1G0053180 transcript:SECCE1Rv1G0053180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVSTRRRNYCTWDDEMDDALLEVLVEHHNKGDHAQNGWKPHVYTHVIRNVKDKCNKDITKDNISGRMRTLDHHYEFVSKILSQSGFGWDWTNNRL >SECCE1Rv1G0048780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637295372:637296464:1 gene:SECCE1Rv1G0048780 transcript:SECCE1Rv1G0048780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAAKPHVLVACALLLLAVGCKASPFWPLEIGYYHDKCPQAEAVIKGVMEKAISQNPGNGAAMIRMLFHDCFVEGCDASILLDPTPFSPTPEKLSPPNDPTLRGFELIDAIKDALEAACPGVVSCADIIAFAARDASCILSRGKVNFQMPSGRRDGTFSNASEPLKFLVPPTSNLSDLVASFVIKDLNTEDLVVLSGAHTIGRSHCSSFISDRLNTPSNINGGLAAFLRRQCPANATAGGNDPTVMQDVVTPNKLDRQYYKNVLSHTVLFTSDAALMTSAETARMVVENAKIPGWWEDRFEKAMVKMAGIEVKTGYKGQIRKNCRAINH >SECCE2Rv1G0075810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:86219739:86220206:1 gene:SECCE2Rv1G0075810 transcript:SECCE2Rv1G0075810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHYYPPPPPIAHALPRPHAADNAAALLPDDGSSDSESVAESSCPHPHRPTAIGDEGEDDDGCSGSCVEVDEYWGYYQQQQQQHPQEADDEEEVSTASVWWKKKRAAGGAGGAFPSAVGREAEEKKAEDPKRVAARQEEDRKFWADCLATGYP >SECCE4Rv1G0283030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:832748808:832754291:1 gene:SECCE4Rv1G0283030 transcript:SECCE4Rv1G0283030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAPKNMRTVYSREFLISIGESDRCKNLPPGVNLSLLNELQEASSVAYERSNRGQYTTPLGRSDGSGGYTYSSRGGSSGGRWDTRSTGSSDREGDFPDRESLTQDRHNGTQYKRTWQKVEHDGLLGSGGFPRPSGYAGPLAAKDRGNAPQPNRTAERYQPPRPYKAGPLSRKDVDSMNDETFGSSECSNDDRAEEEKKRRASFELMRKEQHKSLQEKKSGPGDDIMTMLQNSTENLGSATNSGKPDGIVPSVYQDTTKTSSVLPAPAARPLVPPGFSNAVVEKKVQSQSSNIPLEPKAHIPAREDKLPTIVQFSSQVEGNQSATDITASNKKKGISDSIGVHQKHTLPSGGVSSSTEFVPRILKGTEEWEADAMDDKYSIEKQGMSKNGGSVGKDNSISILEEFFGNALSKGSGNLPTYVESQQLKTGADMMASSVPESSKFARWFRDEDSKPSEDLPSKSLLSMIVNNDKPGPQNIAPGPTLSDGAIHQNLSSKLNTDKFDASSRLLPFPSPAPAGGIQEQYRHAGIPEPAPVMMTCEDLEQAMLAQVASNSSSTQKSAVQRHQAVLDEPAAKQKVAVDNHASHHLLSLLQKGTDSKGSSPFGFHIGSADEPQRSDVNAMANGGIYGTVPSNKTETVPINKPETAPASGKSVTLEALFGAAFMNELHSKDAPVSIRGSASSHEGYYPGEEALPFNSNEGGGPFKEPRTGIEYRNTSFSGPSQGTSFDKNGLEINLPEEDSLFTMNDSFGVRKPDMLPSLRSGRVEVQLPEKTVDDLNYKLQSLVSRDVEPAQVLGPDALGPRSHEQRYQVESQNLYHLLQGGRQPALAPRPMLDHVGNRSQQAPFDMPQALRHDPRRSFPPNMNPMQHTLNAPGVPHVDPAAHHLMLQRMSGSFPAEGLPRGVLPSQPVHQVSGYRPEMNNVNNFHMHPRQSSYGDFGLMPPGPSGPEVRGNHPDAFERLMQMELTARSKQMHPAMAGPVPGGGMYGPELDMNLRYR >SECCEUnv1G0536110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:62672318:62675568:-1 gene:SECCEUnv1G0536110 transcript:SECCEUnv1G0536110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTAFWGALLLLVTLLPLSANASSKLYIVYMGEKKNDDPSVVTASHHDILTSVFGSKDEALRSIVYSYKHGFSGFAAMLTESQAEKIAKFPEVVTVKPNTFHETHTTRSWDFLRLDHNQPGQQHGLLRKAKYGEDVIVGVIDTGIWPESRSFDDNGYGPVPARWKGICQTGQDFNATSCNRKIIGARWYGLGISDEVLNNNYKSPRDIDGHGTHVASTVAGGEVQGVSYGGLGMGVARGGAPRARLSIYKVCWLGGNCPEAAVLAAIDDAIHDGVDVLSLSLGGAGHEFPGTLHAVQRGISVVFAGGNDGPVPQTVSNALPWVTTVAASTIDRSFPTFISLGNKEKLVGQSLHYNASVINGDFKGLVHAGSCDTESSLALSNVTGKIVLCYQPAAANSMPPRQALPIAINLTIMAGAKGLIFAQYTTNLLDFLPLCKGVMPCVVVDFEIAHRIASYWRSDTGNAVVKVSPAMTVVGKGVLSPRVASFSSRGPSLLFPSILKPDIAAPGVSILAAEGDSYAFSSGTSMACPHVSAVTALLKSVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEAVPRKLADPFDFGGGHIDPDRAVDPGLVYDVDAREYNKFFNCTLGYVDGCESYYLNLNLPSIAVPNLKDHVMLRRTVTNVGPVEATYHLVVEAPAGIDVSVEPSVINFTQSSSKRATFMVTITTRQRVQGGYTFGSLTWSDGSTHSVRIPIAVRTVIQDFVADTA >SECCE5Rv1G0372200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851915349:851916410:1 gene:SECCE5Rv1G0372200 transcript:SECCE5Rv1G0372200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSTSAITARAVSGHHLLKIDGYSQTKVVTVASYIQSCTFDVGGHSWRIKYYPNGCSSDLTDSISVFIQLVSVSRDLPVVPDKGISAQCSLSLLDRAGKPVPSYTYTFNRSFTIPEGVSTWGERVFITRSALENSGYLKDDSFTLRCDITVTELRAEETEHCGFADLLWKKEGADVAFHVGGETIIAHRWVLAARSPILKAAAEAELLGMPEREKKKVPIATVRIDDMEAKMFKAFLHYIYTDALPNEMDKGDEAATAMAHGLLEAADRYKVERLKLVCEDTLCKRVSTSTAITTLVLAEQHHCQRLKAACIEFLVSPKNMKVVLENGGFKHLQRSYPSVLMDLIERAKVA >SECCE5Rv1G0339510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607977745:607980674:1 gene:SECCE5Rv1G0339510 transcript:SECCE5Rv1G0339510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPMPARRQLLLVLLHLLLSSSAASLHRRRDGSHHQWHGGRRALHEPLFPLESAPALPPPPPAPFFPFLPDAAPPQPPTVANPAPAAVGVGDVGAGGDPSSSSLPNPTAPANMSSLALPVTPSAPAPLRAFLSSHRLLTVLLLVAAVASAVLAAALVYLLARRRRGRPEPEPEAYKKPALPKPSPAKPALHDDDQHPRGSTATISSTSSPELRPMPPLPRQFQPQPGMSPLSSPILEDRIRDTRAPPVGVPPPAPPPPPPPPMPPSKGNGSAQAAPAPPTPPPLLPRAGNGSGWLPRRLSERPAPTVIRASAGAVHPEESPQSSEKDVDAGAAALPKLKPLHWDKFRASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRMPKNGFKEANGAPFNQENKVLDAKKSQNIAIMLRALDATKEEVCKALLDGQADSLGTELLEMLLKMAPTREEELKLREYREDAQSKLGPAESFLKAVLGIPFAFKRAEAMLYIANFDSEVDYLKTAFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNAHAFKLDSLLKLVDIKGTDGKTTLLHFVIEEITKSEGANIVATSQTKDKVSAVADDFQCKKVGLKIVASLGGELGNVKKAAGMDSDSLASCVSKLSAGVSKISDVLQLNQQLGSDERCKRFRASIGEFLQKAEAEITAVQAQEGLALSLVRETTEFFHGNSAKEEGHPLRIFMVVRDFLAVLDRVCKDVSRMNERTAPVGFSTSRRVETAAVPPQFNAAAQSSSSEEESSSSSS >SECCE5Rv1G0322740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:423425105:423425602:1 gene:SECCE5Rv1G0322740 transcript:SECCE5Rv1G0322740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFKLNPTSFALAAAVVVILAVAAPRPAAGASAHLHVYMHDVLGDSAMMVVRGPRGNFGNTVVMDDVLTEGTSASSAAVGRAQGQYIVASSKGGFELMVTMNVVLTSGTYAGSSVTVMGRDDTGVAVRELAVVGGTGQFRMAKGYVLWKTIRPDLLELDIYVNP >SECCEUnv1G0552920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:260141027:260142764:1 gene:SECCEUnv1G0552920 transcript:SECCEUnv1G0552920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHTCKHLPLGIAVLVLILASISPAYSQNQVSFNIGGAGGAAKKPSAGGGAGAGGGDGFDQFIAKNVEHFAVTEQIYASKAQVSGGKVLDAELSAAEAGTVRYVVSPDGKGNFKTITDAIKAVPEKNKKRTILDIKPGTYKEKLVIPMWKPFITFVGNPKNPPIITWDDTAGTRGKDGKPIGTLASATVAVEADYFSASGIVFKNHAPLAKPGQEGGQAVALRLFGTKAAFYNCTIDGGQDTLYDHKGLHYFKNCIIRGSVDFIFGFGRSLYTDCTIMSVTTDIAVLTAQQRTRGIDDKDAIESGFSFVRCKIFGLGQIYLGRAWGDSSRVVYSFTDMSKEVVPVGWDKWKVEKPDRKGGVFYGEYKCSGPGAMSNERIGWARVLDDAQARPFTGSHFVYGNSWILPPAKSNF >SECCE6Rv1G0380710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:24570147:24570824:-1 gene:SECCE6Rv1G0380710 transcript:SECCE6Rv1G0380710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEALLPPLLPTPPRSEMLPFLPTPPKSKMLPLLPTPHGVVLTMLVSAMSGRADFVQRWDWNKTGKKPCSSICSSSSSSSLESTEGTGRADSVSRWDSNKKYRKPSTTMSSSSSSSYSTGSPGGADSVERWDSKKLATSCSASLPPPTGRGRHDGNNKRLPSPGRASSAERWDLHKKPRPEGKLPETNATATAPQMAVFAGPSFYPSPDPSMLPMPSFLRAH >SECCE4Rv1G0286760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850612496:850617461:-1 gene:SECCE4Rv1G0286760 transcript:SECCE4Rv1G0286760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAEAAMAVVRAARPIFRGAHDGIAFAANAAFLADGYSLCAAGSAALMDRLPADKVEVGFDGWNSMFNRYAFLYSKEEEGKKKYILMECLVMEDFLAINALDREAQHKEPCNVQINVKDFFSGEQHKNYKYMYKNFTGFINIISSSLLVELDRKDTAAAHIPDVENNSSINSSGNVMWQYPNTRVTEPAGSEYVVWETRNTRMIEPARMIYPPVVLVGDDDTFPVPAVGFYPHSGGTGGSMHVGPNDPRFFPANPSTPLGDLGSVPPGGRYDPIGPPDVPGFEPSRFVRHSRHSSHSGGSTHRDHEFFQQGPDFF >SECCE3Rv1G0178560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:506954600:506956180:1 gene:SECCE3Rv1G0178560 transcript:SECCE3Rv1G0178560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSAARPSSGGGAAADDDFAFYYSFFQDAAAASPLPLALDAMPNNRRRKRGGDDDSAAAPIKDGSNGRKRSIATIITSLAALEAEGHADSAGAADATRRELALLESNADNKSQAMMDYFAKMEGSFDADADSEAAARSKRSRLSASAAAVAVVAGEEAAAASTSPPRAGHHQRRLWVKDRSQAWWDQCNSPHFPEAEFRRAFRMGRETFDMICEALGSAVAKEDTMLRAAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCGAIKSVLMPRFLQWPDEAAAGRFKDGFERSFGVPGVIGAMYTTHIPIIAPKISVAAYFNRRHTERNQKTSYSITLQGVVGPDRAFTDVCIGWPGSMPDDQVLEKSMLHQRAAAGMMHDACLVGGASYPLMDWVLVPYTHQNLTWTQHAFNEKVGDIRNVAVEAFARLKTRWACLQKRTEVKLQDLPVVLGACCVLHNICEMRREDLEPEVPFALFDDDTTPETPVRSETAKQERDGIAHNLLHRGFAGTTFF >SECCE3Rv1G0148770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:20376845:20378226:-1 gene:SECCE3Rv1G0148770 transcript:SECCE3Rv1G0148770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPGGSAGGATAGPTAAAAAAAAQKQRALLQKADADVSSLVDNFSALINIARVNDPPVRNSQEAFQMDMRASRMVHSADSLLKLVSELKRTAIFSGLASLSENVDRRIEVLSQQADETERMLERIGQEAAASLKELEAHYYSSVVRTPLYD >SECCE4Rv1G0254490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:638304445:638304945:-1 gene:SECCE4Rv1G0254490 transcript:SECCE4Rv1G0254490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGYGGPYSYYQPAAAPFYYAQRPARGGGGGSRPPLHLFLLLATLLLLAATSLYARCEAAVESMAQQLRPLLILSPLLLIVAAQLWVATSGDHRGRGGGALAYLLSQVTPGDQYQSGSGHGAAYGRWDGGSSPWGVAVVLVLVLVLVSYQSSFQEWRLFPLRGR >SECCE2Rv1G0100230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:537695369:537695710:-1 gene:SECCE2Rv1G0100230 transcript:SECCE2Rv1G0100230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEDFRACHDIASLAKMMVELERHVMFPTVYCLIELALLLPVATATVERAFSSMKIMKTKLRSKMTDGWLNDLMVCYIEREIFKSIDLAKIKQDFQNEGRALPLPGSSRRH >SECCE6Rv1G0401430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:466099555:466104424:1 gene:SECCE6Rv1G0401430 transcript:SECCE6Rv1G0401430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVVRKLGDPTLPPGGEDSPFAAVSGDRPIPELSSPTSVRVRVAATSLNFATFLQVQGKYQERPPLPFVPGSDYAGVVDAIGPGVRRFRPGDRVCSLASIGSFAEFIVADEKALYAVPDGCDLVAAGALPVAFGTSHLALAHRAQLKAGQVLLVLGAAGGVGVSAVQIGKVSGAIVIAVARGVEKLQYLKSIGADHVIDSSKENVIESAKSFLKASGLKGVDVLYDPVGGKLTQDSLKLLNWGAHILLIGFASGDVPVIRANIALIKNWTIHGLYWGSYLTHRPAVLIDSLNELLSWLSKGLITVKLSHTYRLAEAHLAFADLRDRKAVGKVMIVMGSSSKSRL >SECCE2Rv1G0111300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:699237167:699238373:1 gene:SECCE2Rv1G0111300 transcript:SECCE2Rv1G0111300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAACRSMEGRWSLAGSTALVTGGSKGIGHAIVEELAGLGARVHTCSRNAAELEECRRQWKDKNLHVTVSVCDVSVRAEREKLMDTVRQTLGGKLDILVNNAGQSMVKAATECTGEDYALVMATNIESCFHLAQLAHPLLRSGSGGASSVVHISSIAGFVGFPGLAVYSMTKGAMNQLTRSLAAEWAGDGIRVNCVAPGGINTDIAKDMITRDPEMAKNQASQLPMQRLGETEEVASVVAFLCMPAASYITGQVICVDGGRTIA >SECCE1Rv1G0056930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:690247518:690248945:1 gene:SECCE1Rv1G0056930 transcript:SECCE1Rv1G0056930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLRGGAAGAVVRGRGHVSLSLLADRCSTLRGLTVVHAAMLVSGRIASDAFAASRLLDAYASLSPPATVLRLLSSLPYAPNSFMLNTSLRALASSPDPASALAFFSHLRHSAGSSYSPGRHTFPFLLKASARLPLPVSTQIHALVLKHGLDRDTYVANGLVRAYSVAGRIGGARKVFDELPERSVVMYTTMVSGYAQNGRYQDAMGAFDDMLNDGFEPGAVVMASVLSACARSESGGLVMGRRVQDIMERRGMTAPVGVILGTALVDMYGKNGAIEEAVTVFKGMPERHTATWNALISALAHHGHGKDALAMFEQMRREGVPPNATTLVGVLSAYCHTGLLDEARRVFASMEDFVVTPSIQHYGCMVDLLGRSGLLLEAEEMIRGMTCKADTMIWGALLTACKSQGDIDIAERAVQEMLKLDPNNHGVYVVLSNIYADAGRWQDVDKLRKVMKDARLSKIPGSSAVAGCSAG >SECCE4Rv1G0273150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:774243423:774249464:-1 gene:SECCE4Rv1G0273150 transcript:SECCE4Rv1G0273150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEEMRDASSSCSVGDDDGAGFEEEGEGDGKDGVMVMEVRWFEVDLDYEYDAPRWFDLAQDEAPLEAAAAELWFATAPSYPPSPLIAMMLAEDLGLPNLRSITVADIEAVHCPKPSQRCSGATEQSTYRSHVPNEGRIPCYGASKNERKPVTRSAVKGSLSKGSTLMKPTASQLARQHKQLEVKNAVPSKKSVGVRSDRSTISSNDCTQQGGKRQKLEKGHLNKDVATNQHELIHKAHEKNVMSSSYDRATVLPKLKITVPREPELATKLRAERPRIQRSVPGNSKQLNRRDLQPACVTQSAPTRKVVQPFRAHQHANVQHVNVAPKTPVCSSNRATSLHNIDKTSEDDRDGTTGTFKFKALPLDKKILASRGDVGVFQTAKRNPTVPKEFNLSTSRKSKQPPLSELFNKLSLSTEACRAMDRQANERPNYITTKDCKENMIGAIHC >SECCE5Rv1G0375110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:866769782:866772246:1 gene:SECCE5Rv1G0375110 transcript:SECCE5Rv1G0375110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASASLLPMLKYGGSEHSRHRLSCKATIDDKVVRLDRRDVLLGLTAVGAAVTISNHGLALAADAGGHCAAMPIKAEDLRCVSANGFQCPGLYNPQDIVDFSSLPRPKGLPRVRKAAHLLNAAYVRKFEEAVRRMKALPHDDPRSFKRQAEIHEAYCASHYKVVPAGGGRETVFDVHHSSIFAPWHRMYLYFFEGILGDLIGDPTFGLPYWNWDTPEGMMMPSIFADESSPLYDAKRNPKHIRAVMDLNLSPDGLPTITDDLARVIDNNLYTLYRQMNVETPMQFYGGKFCNVELDNEGKQNYKVTGSLENGAHNSVHIWLGDPCLKNQDMGDLSTAARDPIFYSNHSNVDRMWHIYITNLGGALLPYQEWLDTNFIFYDAQKRPVRIRVSDVLDASNLGYMYEESGKLPWMGKRPKPATNIHRPLGLMGTQANNSYPMKLKKGERQYLKLERSEKAHASGGNRKRVPEALVVEVTIDPCEYIKFDVLVNVPKGQEKLVGPQNSEFVGSFTQVPHGSDTDKMTQMVSYQFPLRELLEDLKCGNDSQLDITLVPVEGNKVVIESARIEVL >SECCE5Rv1G0318260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:359055812:359058253:-1 gene:SECCE5Rv1G0318260 transcript:SECCE5Rv1G0318260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPALPAHLASVSLLSFFALVSSAANRDILWPGTSLAIEAYQSEILQSPDGTFSCGFYGVYDNAFTFSIWYSKAANKTVVWSANRDRPVHSRRSALTLRKDGNMVLTDYDDSVVWQADDDGNYRRNIQHAQLLDTGNLVMKNTSGTIIWQSFDSPTDTLLPVQLITAATKLVSTTQSRAPGNYIFRFNDISVLSLIYDVPEVSDIYWPNPDNSVYENNRSRYNSTRLAILDNNGVLASSDFADGVLLKASDAAPGTRRRLTLDPDGNLRLYSLNDSDGVWSVSMVAISQPCTIHGICGQNGICHYSPEPTCSCPPGYVMTNPGNWTQGCTASFNIPCHDQEPIKFVKLPHTDFWGSDQKRLLGVSFEACMNSCINDCTCKGFQYQQGTGSCYPKALLFNGKSCATGSVRTIYLKLPASLNVSDTPIPQSNVLDPAPPRLNCNQMSEGIRHPFPDLHKTGDEELNWFYFYSFIVAIFVFEVSFITFAWFFVLRREMRPSEMWAEEGYRVMTSHFRRYSYRELVEATRKFRVELGRGSSGTVYKGVLEDERPVAVKKLENVSRGKEEFQAELSVIGRIYHMNLARIWGFCSEGSHRLLVCEYAENGSLANILFNDQKTVVLDWKQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDTNFEPKITDFGLAKLLNRGVATQNMSQVQGTIGYIAPEWVSSLPITAKVDVYSYGVVLLELLSGTRVSELAVGSGAEVHSMLQKLVRVLADKLGGHEESSINAFVDPELDGRFSYVQARTMIKLAVSCLQEDRNKRPTMESVVQTLLPFDEASS >SECCE6Rv1G0413580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:624437479:624439827:-1 gene:SECCE6Rv1G0413580 transcript:SECCE6Rv1G0413580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKQLLLPCLVLLFAAAVGEASARAQPQSTYILHLAPDHPALSLSPARGGRNALLGPLLGLPRHLSAPRPRLVYTYEHAATGVAARLTEAQAAHVASQPGVLAVHRDEARQLHTTHTPEFLHLNSAAGLLPAASGAVSDVVVGVLDTGIYPLNRSSFKPVGDGLGPPPSSFSGGCVSAAKFNASAFCNSKLVGAKFFYKGYEEALGHPIDETLESKSPLDTEGHGTHTASTAAGSPVDGAGFYNYARGRAVGMAPTARIAAYKICWKGGCLDSDILAAFDEAVGDGVNVISLSVGSQYAADFYEDSIAIGAFGAVKKGIVVSASAGNSGPGEYTASNIAPWILTVGASTVDREFPADAILGDGSVYGGVSLYAGDPLNSTKLPLVYAADCGSQLCYRGELDKDKVAGKIVVCDRGGNGRVEKGAAVGEAGGIGMILANTEDSGEELIADSHLVPSTMVGQKFGDKIRHYVKTDPSPTATIVFHGTVIGKSPSAPRVASFSSRGPNSRAAEILKPDVTAPGVNILAAWTGEASPTDLDIDPRRVPFNIISGTSMSCPHVSGLAALLRQAHPEWSPAAVKSALMTTAYNLDNSGEIIKDLATGTESTPFVRGAGHVDPNSALNPGLVYDANTADYIGFLCALGYTPSQIAVFTRDGSVADCSKKPARSGDLNYPAFAAVFSSYKDSVTYHRVVSNVGSDAGAVYEAKVESPAGVDAKVTPAKLVFDEEHRSLAYEITLAVSGNPVIVDAKYSFGSVTWSDGKHNVTSPIAVTWPESAGAASM >SECCE3Rv1G0204630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:895660901:895664254:-1 gene:SECCE3Rv1G0204630 transcript:SECCE3Rv1G0204630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPRGLPFAVDTWGPSSRRRRHRFLTHAHRDHLVGAGADPGGGAVYATRLTLSLALRHFPQLGQEEFVEVEVGRTFKVDDPAGAFSVTAYDANHCPGAVMFLFEGEFGSILHTGDCRLTPDCAQNLPLKYIAKKGKENVCRLDFVFLDCTFSKCFLKLPSKESAIQQVIACIWKHPDAPFVYLACDLLGHEEILVEVSRTFGSKIYVDTRRNSDCFKALSLTAPEIITDDPSCRFQIVGFHHLYDNASKKLEGARASLQPEPLFIRPSTQWYACGRNQKPSLTEAQQDDFGIWHVCFSIHSSRDELEQALQLLQPQWVISTTPPCFAMELSYVKKHCFKTRLTTDDPLWKIFRDPFQKSVSSPSSVLASCTQPDEDPSTFVDDDHPTSVSEECTDFDVSTLELQFVPSPPVEEPDITLFGRARFGSQAIDIMKEELCHQYIAAAEQTRLCAPEDLLNDSSENVETFSGMDLTTKQAPASQQDCSEAGDVPPSCQCIAPPTLAEAFAVQPLPTVQHNIPVVPDQPEKSDIIIEPISISTAESSSLHIVRNAEVIDCQTDRLCVIGSSKSLHASLKRLYRSRNVPVPHPLPSLVGLLESTKRVKTRPGSDYSSLNSRHSLP >SECCE4Rv1G0272590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:770733486:770733928:-1 gene:SECCE4Rv1G0272590 transcript:SECCE4Rv1G0272590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRNNTHTLFLVVAILVMSSAFLPCNAEDPPSWCTPEAVCQDPVTPENMQTCKDTCKSFGYNPEQAYCNPDPTPRCCCIY >SECCE3Rv1G0201810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:867468572:867470143:1 gene:SECCE3Rv1G0201810 transcript:SECCE3Rv1G0201810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMVHMNHGEDETSYAHNSSFQSAEQNRMKPLIEAAIVELCSNTTTSSHGKVVIADLGCSSGPNAVALVSIALEATRSHFLRLRQPPPEVCVLLNDLPYNDFNAVVKSLVAVRQTSEPVVVTGVVPGSFYERLFPSGSVHLFCSSSSLHWLSKAPEDLRMNQIPAYDMDENARRERLPVVAGAYARQFRKDFTLFLQLRAKELAPEGRMVVSLPGLRSDEPLTEGSLIWGTAAQILAAMASEGVIDKEKLDSLYIPVHGPSDEELREIIQEEGSFSVTEMRVHDPISGMDSALLTPNRMVNSLRAAFEPIIVQHFGSSGEIMDEFVRTGEKHLSLRRRSQVRHTRNPRVMLVVSLAKA >SECCE7Rv1G0465420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:69717962:69719844:1 gene:SECCE7Rv1G0465420 transcript:SECCE7Rv1G0465420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPRKQAKMVELEKEEDPLVHDQTMLDDDDTDDDYVESEDDDSEEELQAEPSKKAVYNKEGLLEKLEDIAWPENVDWMHKLTVDHDQGEKVDVNDDLTRELAFYTQALDGTRQAFEKLQSRKVRFLRPADYYAEMVKTDTHMHKIKGKLLFEKKKIEEAEERKKARESKKRSKEVQAEKLKERAKEKKESIESVKKWRKQRQQGGFSKGKEDGPNLNFEVEEGLKQHKKQKPGVSPGDRSGGLAKRGKQGKNHRSKDSKFGHGGRKGMKKQNTAETTNDFRGFNNQKGDSGNKKRKMF >SECCE1Rv1G0001930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6744188:6745342:-1 gene:SECCE1Rv1G0001930 transcript:SECCE1Rv1G0001930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAPKSQSGRRPWQDLPSELLGLVLQRVPSHADRVRLRAVCRPWRTGARLQAPLPALLPWLAIRDGSFLSLPDGEVHHHVLLPDDDVAHRVSTGSTLFLVHSDDGCSLMNPLSREMTAPQSINLKCLSTRPGVLVDTNNIRKVVVTSDHVVAVRTRSRPNVTISIHRPQSTNVEWRWRPPQDTYYFMCDIALFQDKLYVLTAMFGSVYPLSLYAMDIVGNNHVSVQCMLTMPKDDVDDRNVASLHHYLVASTDRLLMVKQKAELFTMLPGPVIMPTLFEVFEAADLGSGGGCWRNVNTLMGRALFLSEGCSESLPAGDNPNVGARQDCIYFLNERNPFDARTRALCSHVYDMRDGTVSPLPFQTLVAREGPLTGTWFFPADT >SECCE3Rv1G0195500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:798242434:798244358:1 gene:SECCE3Rv1G0195500 transcript:SECCE3Rv1G0195500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPSGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >SECCE3Rv1G0189220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:722436718:722439717:1 gene:SECCE3Rv1G0189220 transcript:SECCE3Rv1G0189220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVQLESVAAQHAHTKLNVDSLPQGPSLFDGNDTARIDGSKSDEYERFEKGLMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNESKNSIKIDTPRRHELPRHELQQVICSLCGTEQEVRQICINCGVCMGKYFCEVCKLFDDDVSKQQYHCHACGICRIGGREHFFHCSKCGCCYSKVLKNSHACVEGAMHHDCPICFEYLFDSRNDVSVLPCGHTIHEKCLKEMKEHCQFACPLCSKSVCDMSKAWERLDAELATLSNSFDDKMVRILCNDCGAVSEVQFHLIAHKCHSCKSYNTRQI >SECCE3Rv1G0203350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883007942:883009653:-1 gene:SECCE3Rv1G0203350 transcript:SECCE3Rv1G0203350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERRAHARVGLVGNPSDVYGGRAVSLAVAGLWATVRLRPSDHLLVQPHPRHDLVAFPSLPALVDRLDGGGYYGGARLLLAICRVFHNHCKQSGIALEDKNFALSYDTNIPRQAGLSGSSAIVCAALSCLLDFYGVRDKIAVEVRPNLILDAEKELGIVAGLQDRVAQVYGGLVYMDFSQEHMDKLGHGVYTPLDVDLLPPLYLIYAENPSDSGKVHSSVRQRWLDGDEFIISSMKEVAQLAYDGHNVLLQKDYSELARLMNRNFDLRRKMFGDDALGEVNIKMVEVARSVGAASKFTGSGGAVVALCPDPDGDAQAELLKTACQEAGFVVEQIEVAPSALTQEELASLSSSHR >SECCE2Rv1G0115600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:753558766:753559356:-1 gene:SECCE2Rv1G0115600 transcript:SECCE2Rv1G0115600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAEQSKYGDSLPHSAPGGSRRTYATYQPEGLNYLPSFRAIYDLPTSPEVLFPEETRTWGRNLTLYPGCGYVVGAALGAATGFKRAVAEAERGESFKLRTSRVLNNCGSVGRRYGNHLALIGLLFAGTECAVGNLRDADDWRNIIAAGFGTGMLYRAPSGPRSAIIGAAVGGLMSAAAVAGNEALKRSSRTPPF >SECCE7Rv1G0467540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:89065728:89067648:-1 gene:SECCE7Rv1G0467540 transcript:SECCE7Rv1G0467540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPQRGRHSPPAVDDDAMSEIFLRIPPDDPKSLVRAAAVCATWRRIVSDAAFARGYRAFHGAPPMLGFLHNESHERSWGRGRRRRHEEYLVSNFVSTASFRPPACHERRHWPAIDSRHGLVLFHTPKRSEDFVICDLVTYDRWRIKADPAWRRIIWNGRFDEDWGEYEEEIEGITWNAAVLCAKDGCDHLYCHGGPFLVALVGSDRGRQITFASVYSSATRKWSGIISIKEPNVIEMTGHNAVLGNKVYFPCEQSDSVVEYDMGEQKLSVIRAPFAGLVGAEGGLLLFAMVLKPRLHIWSMEIRPDRTTALARRRVIELAPKLSAYAFLDVSVVGFAEGVGVIFLSTKAGLYTVELSSGRIKNMDREPSLGKIMPYMCFYTREWGRLPTSD >SECCE2Rv1G0115150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:746782019:746786496:1 gene:SECCE2Rv1G0115150 transcript:SECCE2Rv1G0115150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCGESDPPHEAVRVLSQPQRHGSHGRKPWRCVRLIVMLLHALFIGAVFLLDPALRSQIRQDQWYMSLYGALVLFTLAQYLYTANSSPGYVSDMLKAGSEMHATFINIATISKQVCSRNGSLNYFMSRSKIEQHNQQSPTPSSLLQMMDLYPPGSSSRDLTCSYCHLIQPPRTKHCHDCDKCVLQFDHHCTWLGTCIGIRNHCRFWWYIFGQAILAAWTVALYIQFLHVDMNGSWLKGLIGLTLLLPLILILIVLLILLMLHTYLALTNQTTYEIARRKRISYLRGVPRKVHPFSKGICRNLYDLCLSRQKGYVLEAIPPLDELEARSRPYTCRDVICCRCC >SECCE7Rv1G0494790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:589360738:589361794:1 gene:SECCE7Rv1G0494790 transcript:SECCE7Rv1G0494790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S31, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38140) UniProtKB/Swiss-Prot;Acc:O80439] MALLAVQGMAMSTAAFPSHHHGAVSSSFFGLSATVSFSRSRTSLAATAVSAPLTSVLDVYCGRGDRKTKRGKRFSHSYGNARPRNKKKGTGPARLYAPPAPPRKDQFEDGEIIAIEIDDDIMERMD >SECCE4Rv1G0265770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727406210:727412418:-1 gene:SECCE4Rv1G0265770 transcript:SECCE4Rv1G0265770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPWRRAMAARWLLLLLLGPALQVQAQLDSSDFISIDCGLPETAAAYVDNKSKLSYSPDDDFIDAGTNHNISAEYITTSLPMNWHTLRSFSRDRGARSCYTVGPLVSGLKYLIRALFMYGNYDNLNQLPIFDLYVGINYWQTVNISATDETKICEIISIISDDYVQVCLVDTGSGTPFISSLDLRPLKKNLYPQSGASQGLVLLTRRTFGQSDSPIVRYPDDPYDRMWIPQPSKPVAVGWSVITTDNTVENMLNNTFEAPSAVMQTAVTPIDPSSGIGFSWDAKPSTNNPTPGYFCILYLAELQDFPNNVVRQFNVYLNGKLNARDYRPVYLSTDIIYNASPFHPSLQYTISLNATATSTLPPIMNAVEVFSFVSTTGTATVAEEVVAITAVRDTYKVKKNWMGDPCAPKNYAWDGLRCRYYESSPPSVTGLNLSSSGLSGNVSFSFANLKGLQYLDLSNNNLTGSIPGILSQLSLLTLLDFTGNQLSGSLPSELLERTRNNSLTIKYDNNPTLCTNRNTCQPAPKKSSFMVAIYVVGPVVAVFLIVLLLVLLFLRRRMHGTTSNIITMGSKAIIAPSHAQSSSGHSSLRLDNRRFTYNELETITNSFQRVIGRGGFGKVYHGFLQDGTQVAVKLRSESSDQGVQEFLAEAQTLVKIHHKNLVSLIGYYKDREYMALVYEYMPQGALRQHLGGSTNNGKTLTWRQRLRIALESAQGLEYLHKGCNPPLIHRDVKTSNILLNANLEAKIADFGLLKAFNSGNDTHVSTARVAGTHGYLAPEYLATFQLTNKSDVFSFGVVLLEIVTGQPHILNGPEPTSIVKWVRQRLAHGNIEDVVDAGMRGDHDINSVWKVTDTALKCTAHAPEQRPTMTDLVAQLQECLELEVARGYTNAGLYTTGNGDDMSTNVSQRTTVFRIEHLGRMPTMSTGPALR >SECCE3Rv1G0145470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:7282487:7282894:1 gene:SECCE3Rv1G0145470 transcript:SECCE3Rv1G0145470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQATHGAAASLDLSLSLAPMRPPPAPPSTSFWAEGDAAAGDGGHDGEARSRRLFSCLFCDKKFLKSQALGGHQNVHKKERAGSWNPHLYLQPDHGDRPAAAAAKAPARWPNARLDDDGEKQLQQQQQLDLNLKL >SECCE7Rv1G0508860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:775657777:775661949:1 gene:SECCE7Rv1G0508860 transcript:SECCE7Rv1G0508860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVRAEDALAAAAAVAVASDKMRASVTLGGSIQRAMQRMGAGGARRARGGDACPTPPPPPEQQRPARVTAGSGEASVSASSSASCSTDDRNNGGGSKREGVRRGGGMRRYRSQLEQEVRNLQRQLQEEIDMHLALTDAIAHDAELILKSSTKLPNKAQELVDSISSLEITISKLETDLSDLRYQLCHVRTERLLVESNPEFLLSTPSTSKCTWDEHTSALRDFKLEEYESVQSMEEYMYPELEELQDVEKESENREKVSPSRQLGEHQDASLNIFLEEHQDEEMQEPSSAEKDGKEYLGIDALSFSVSDLKKDSMNGNICNNPNQLSGEMVRCMRDIFLHLSRSSKISPKLSSDNSSSSAGCLSGSTFTSVSDSSLMASVLRSPSVDSDHDDDIIDEVGNFDPYSVNGKEARRDIGKYCSVAEVSWMNVCKEQLEYASDALQKFRSLVEQLSKVDPTRMNCDERLAFWINVYNALIMHAYLAYGVPGNDIKLFSLMQKACYKVGGQSFSAAEIEFIILKMKNPAHRPQISLMLALHKFRVTEEHKRYSVDDTEPLALFALSSGMFSSPAVRIFSAENVRQQLQESMRDYIRASVGINDKGKLTVPALLQSYGKGTVEDSLLADWICRQLTPAQVAAVRDTTSSRKQRLLGFRSFTVVPFDSTFRYLFLPDEQH >SECCE6Rv1G0390500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:185835082:185835585:1 gene:SECCE6Rv1G0390500 transcript:SECCE6Rv1G0390500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFGNFGGFGGYGDFANSVSTMAFKVLMDDAPQLNLPTRERKATASTRAPERKMEVKELPSGALVLAVGVPAGVSPADVRVQVEDGNVLVIAGERKQPAAAEATGKFQQIRYPLPESADLDSISAEYKDGVLTITVEKLPPPGTKKPRIVEVKISGVGDERGSA >SECCE1Rv1G0056980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:691620039:691620679:1 gene:SECCE1Rv1G0056980 transcript:SECCE1Rv1G0056980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHENRGIKGFDGGDAVNPTSLLTEECDVLIPAALGGVINKDNVDAIKVKYIIEAANHPTDPEADEILAKKGMLILPDILANSGRLMVSYFECVQNIQGFMWDEEKVNRELKAYMTRASNIVLII >SECCE7Rv1G0524580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:886479787:886481644:1 gene:SECCE7Rv1G0524580 transcript:SECCE7Rv1G0524580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWLCLYFIGVSTVLALWFLVFFGGKRNRKKKQLPPGPWTLPIIGSLHHLVGDLPHRRMMKMSAQHGPLMHLRLGEVPTVIVSSAEAATLVMKTNDLDFASRPRSPSQDIIGCGGMGIIFGPYGDRWRQMRKICIVELLSSRQVRRMEGIKANEIGILLHSMATAGATVNFSTKMATLSSDVVTRAVFGGKFTRQDEYLHELTKVNELLGSFCLVDLFPSSRLVRLLSNGERLVRRSYSRIQHIITEIIEGREAARASGGHARSTNDEDLLDVLLRLQEEGSLTFPLTTEIIGVVIFDIFGAATETTGTAVEWAMSELLNNPEEMSKAQQEVREVLGKGRHVIKSTDLSELRYMRMVIKEVLRLHPPASLLVPRETREDCEIMGYNMPKGTNIFVNVFAISRDPKYWDNPEEFKPERFENSNVEYYGTHFEFTPFGAGRRQCPGILFAMSTVEITLANLLYHFDWVLPGGSSAESVDMSEKFGVSVRRKFDLQLIAIP >SECCE3Rv1G0147720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15913490:15916140:-1 gene:SECCE3Rv1G0147720 transcript:SECCE3Rv1G0147720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVANTCTTVDVLWQDGTRQQDRPSATIVPFGIWNEQEFFPGQHVVANILPVNAAVDATDDVTTTSVNNDIAASGTGPAERVGIVKSMQHEDQTVCVSWFKTPGHPDEAREVECDDTVSAYDLRLDPNHSAYYGDIVIRIVPSVSTDDGESAPLLQGNKKKNAVPADLSWVGRVVELPNGHVQVKWGDGSMSTVSPHEIVVIKDEHYMELWLEMGDWVEDDGIDDAPEEPVAANMDIDLQNLDNDVESVSLAMSRTRLLGLSFRSLLQLTSDMVARGKGYLMNWRSSSSSLPSSELPTPANDDSIGGAAVETSDAAVDVTSHGFDGGTKAAGATCCSDESFCFPRFDVLQISPLDHHYLHNTDQGASRAKSWAKAVQKEWKILESDLPETIYVQAFEDRMDLLRVVMVGASGTPYHDGLFFFDLLLPPSYPDAPPQVYYHSFGLRLNPNLYESGTVCLSLLNTFGGEGTEVWSSTESSLLQVVVSIQGLVLNDKPYYNETGYETMVDKPEGRRNALPYSENAYLLTLRTMLHLLRRPPRGFEEFVKEHFRHRGRFVLRTCNAWLQGNIVDNVHATEVSRKQPCSAGLRLALTNVVPSLVAAFTEIRTDGCEEYQ >SECCE7Rv1G0471210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:128700709:128701884:1 gene:SECCE7Rv1G0471210 transcript:SECCE7Rv1G0471210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRPAAAVLGAGHATTTRCKRSRINNIRSSADYEEETCLGEGSFGCVLLARHRATGKIVAIKYLNWADGSAEPPDPAELLREAGFLEACDGNQYVVGFKGLVRDPANGAYGLVMEYVAAPTLHEFLWKRRHGPPLPESTVRAIMWKLFTGAKKMHDRHVVHRDIKPANILLGQDGELVKICDFGLAISLSELPPYTQAGTAFYLAPEMLLGKEDYDALVDTWSLGCVMAEMLTGKTLFLGDDDDDDDDDTNNEIIQLWSIFRLLGTPDERTWPEFTSLPHTAKALRLLPPGHKQNRLRDLFPQEKLSDEGFQVLQGLLTCNPDKRLTAAAALKHRWFAAPRPAPAAAKVCGLSFTVKKAPRIKFIPPAMPPKNLLKIPLGVWNAAQQV >SECCE5Rv1G0312790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:245823324:245825748:-1 gene:SECCE5Rv1G0312790 transcript:SECCE5Rv1G0312790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREKSSVAAASLSSSAPTAVPSGERWGAATGNLGELGANVESLQKLLARKAVFVDDDVFSKASLASEQARSIKILDQRVQSLERELDAAISAAARARTEKRQAEAAQRAAELRAQEVTKELENTARVFQLHMEELRAKQEEIAKRDSDIKVLEAIIRTLSSKDDGGSSE >SECCE4Rv1G0257150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:664394225:664397905:1 gene:SECCE4Rv1G0257150 transcript:SECCE4Rv1G0257150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQADGGGDSRYVLSDLPSDIVVHVDDARFYLHKFPLLSKSSLLQKLIVEASQGGADEVRVDSLPGGARAFEVCAKFCYGMVVTLNPYNVVAARCAAEHLGMTEDVDKSNLVFKIEVFLNSGVFRSWKDSIIALQSTVALLPWSEDLRLVARCVDSIAAKATASPGSVVWSYTYNRKSASSDEIVEARRCSSSSPAVPRDWWVEDLCELDVELYKRVMVAIKSRGDRLPSDVVGEALRAYAARWLPESCRGSEPVDDNDACALEVLETIVWLLPSDDDASSPCGCSCQFLLNLLKLAVLVGAGELLREELMDRIALQLHDASVNDLLIPAKPPAQTAYDVDLVEALVGRYMRRAGVDDREDVFETNGEADDSLLALCKLVDGYLAEVAADPNLPVSSFVGLATSMPESARPAHDALYTAIDVFLKMHPNLSKVEKRRVSSLMDVKKLSKEASIHAAQNDRLPLRVVVQVLFFEQMRAAAAAAANTNAPLLLPDGSAHLELDDDDDGDCWKNRALALALPEPPTPSAALRKQIGSMSLKLVGEDRRRAEDVVSDGRRLARSASIANQSSRMSLSSRSRRIFDRLWVVGKPPGPGEVVSKSSDTSGSSQSPRSSAKPLGSNKSSGSSSRNRRYSVS >SECCE7Rv1G0520240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:868031700:868033406:1 gene:SECCE7Rv1G0520240 transcript:SECCE7Rv1G0520240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGATLFFVSLASLVILATWLSRKVVPSSKTRRPPGPWRLPLIGNLHQIVGSLPQVVFHDMSKKHGPVMYLRLGQVDTVVVSSPSAAEEVLREKDLSFASRPNLLVAAICFYGNIDIAMSPYGAYWRTLRKICTVELLSDRKVRHFLPVRDNETMSLVSNVREACSGGKPFNLGGMLMSCSNSIIAKAVFGEKCSSELQEQFLSAMDVVLKLSGALCIGDLFPSLRVVDVLTGLTARIWRARRQQDKALDKMISQSKMRPGDHLLGLLLRIRDEGELDFPMEMDNVKAIIMDMFTAGTETTSSAAEWAMSELIRNPEVMAKAQAEVRQTFHDKNTQDHEEYVAELHYTKMVIKEAMRLYPVVPMLIPHVCRETCDLGGFQVAKGTRVMVNTWALGRNPEYWHKPEEFRPERFKDDMATNQSLRFDYLPFGGGRRKCPGDTFGLAALHLMVARLLYYFDWSLPDGVKPSELDMEIRVGMTLRRKNQLHLVATPYKACS >SECCE2Rv1G0065570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:9193849:9195432:1 gene:SECCE2Rv1G0065570 transcript:SECCE2Rv1G0065570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPLRSGGAIYAPPRQAAASGRAVVGDKSGPAYQRRTWDALRRSITGLVNKATAANIRHVLPELLAENLVRGRGLLCRALLKSQAACPAFTDVFAAIAAVVNSKIPAVGRLLLARLAIRLRRAHVAGDKHQLAAAARFVAHLVNQGVAHELLALELVAMLLAEPADDGVEVAVVVVTECGAALGEACPRELDAVFDALRSILVDGDVDRRIGFLIEGLFAVRRTRFRGHPPVRQELDLVEQEDQFTHQIEIPLEDSHGDQLDPETHLDVFKPSATFMQDEAAYEDLKRSMLYGDEDDGEDIEEDDDDDDDDREDMEVIKDETETNLINLRRTIYLTIMSSAGVDEAGHKLLSIVRPGQEAELCAMLVECCKQERASSNARFYGQLGQRLCGVSRAYQAGFEACFARCYAAAHRMGTDELRAAAGLFARLLAADAVPWRGVLGGVRVTEEDTTSSSRILMKMMFQEMAEQLGVRALGRRMNDDDEPEVRDALFPRDSVENTRFAVNFFTAIGLGGVTEPARKILPL >SECCE5Rv1G0343510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638570446:638571093:-1 gene:SECCE5Rv1G0343510 transcript:SECCE5Rv1G0343510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGRKEGKAPRREEELVEAALAAAAAALFVSGVKSLAPAVLVDRWWWPLPAQVLAAAPSPVLFLLLNVLVACIVVVSVQPTKRASAASATGGVGVVAEVAPAGDGAAKKLKKRRSSKRRGDGAEPAALAPPYVAADRCMALVVDRGIVMAAAGGAEEEEEGEGAAGDAAEVDRRAEEFISAFRHRLRVDSFSSRRGEAGDAAARAISSTAPCF >SECCE7Rv1G0484090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:340110452:340111731:1 gene:SECCE7Rv1G0484090 transcript:SECCE7Rv1G0484090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPEQGNGAGDDQDSGGLPEDQMFEMLTRVSLDDLSACRQVSAQWRRLTYEPSFSPLHCRRRADAVVSGYFVQGMARNRYSATFVSMHPSQADAGPSVSLDFLPSAHVRVEAVSAHRGLACCVDADTDAAARMGGKASSARCYYACKPATRQWRALPNPRLSFPTAVTAMVARPAGAGAAADFKILRLSVPTLRDHLRCEIFDSRRRAWRRSADVMVWPESLVAASPAVRAHGAMHWLRWPDRVSAAEDIFAFDMKSEAWRLILLPPEVEDRTDRWAGKKKLVTVEGKLCLVVIVDEEAEVWVIADYGRQQERWEKKMPVNLKNLGMQEGRALILRDLCSSEVAFFNSVYRVIWYDFWRGKIAEVPVHHKCIQEVFKYESDLVPWDIDENKI >SECCE7Rv1G0495430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:597992990:597993550:1 gene:SECCE7Rv1G0495430 transcript:SECCE7Rv1G0495430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPHPAARSPAPPPSSPWPSRRSSKDDSSTQGGIIAGLVIGFVASLLLFTVAWSVFKGHRNSRARARAAAAAAARPWPPPLPYRPRSDEERQRRSASAPSQTARLPAFTYSPSVKHNVAGGGEEAATCSVCLGAFLLGETVRLLPVCLHLYHVGCIDPWLDAHSTCPLCRSDTDPTIDVVRIPPV >SECCE1Rv1G0033280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:465052188:465056863:-1 gene:SECCE1Rv1G0033280 transcript:SECCE1Rv1G0033280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSLRRLLRLLRDIMTLPADDAAVSEGERRQWEPPFDASRPAPPISYPITDLAALASRSYLSEDSNFHLPFNRASTPLPSPGAPLPPRRRLLVCHDFQGGYRDDAAPQGAANPDAYALWHWRLVDVFVYFSHYLVTLPPPCWTNAAHLHGVKVLGTFITEWDKGAEICREMFATQDSAQMYAERLAELAAALGFDGWLINIEVKLDIQFIDNLKEFVNHLTKKMHAAVPGSLVIWYDAITVGGDLDWQDKLNEHNKPFFDLCDGLFANYTWKEKYPRDSAATAGDRKYDVYMGIDVFGRNTFGGGQWNTNVALDLLKKDDVSTAIFAPGWIYETKQQPDFQGAQNRWWGLVEKSWDVLRSYPKRLPFYSDFDQGHGYQVSSEGLQVSGDPWNNISCQSFQPMLKYMGDEVQPPVRTSINFKDEPYSGGDCVTVQGSLRQNAIFSEQLFNGELSMEDGYVHLFYSVNAGANSDLGLSLDFSSRNKENTSILIAEDIATFSRKKQHHLYSSYVRSDKVEPHAPDNQNWVLYKATVQSSAIYTLTGINIVCTLKTTRKINSEAEEDGSPEEDADRSSPYHASLGHISIRNMDENTQFPAAESWVTEGKYISWSNNSNTSKLLSLKISWKLNTSHQSSFMKYNIYVEKLTADSNAKASRSFLGVASIEAFYVSDLQVPDEVTSLRFIIQACGRDGSRQGLEECPKLFLVPVE >SECCE4Rv1G0227900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:129379192:129382350:-1 gene:SECCE4Rv1G0227900 transcript:SECCE4Rv1G0227900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTAVSRLLVMMLIFGCAHVTTCSSLYGSETDMLSLLEFKNSISHDPHQAFASWNDSTGFCDWRGVRCRMKNPRRVTSLDLPYQGLVGQISPSLGNLTFLKYLDLSDNRFTAGIPPSLGHLPRLRYLYLSNNTLQGGIPSFANCSGLEDILLNGNSLAGKIPADWPPNLQYMSLSANNLAGAIPASLANLTMLNDFRIIYNKIEGDIPDEFAKLRGLQYLFVAANRLAGRFPQAILNLSTLVGLGLAYNSFSGELPSDLGNNLPNLQELYLSHNFFRGNIPVSLTNASKLYRVDMSANNLTGVVPGSIGKLTRLSSLNLELNKLEAHAHKDWQFMYGLANCTELRLFTMHGNHLESHVPASLGNLSVHLEVLYLGGNKLSGSFPRGIENFPKLIRLGLDSNQFTGPVPEWLGSLRNLQAIWLEDNMFTGFIPMSLSNLSRLGTLYLHSNKLAGHIPPSLGSLQMLQILRIDKNGFIGKVPMEIFRIPTIFEIGLSFNNLDGELPSNIGNAKQLTYLHVSSNKLSGDIPRTLGNCRSLEQVELDKNSFSGNIPSSFSELSVLTVLNLSYNGLTGSIPASFGDLQLLQQIDLSFNHLEGEAPEKGIFKKATAVRIHGNQGLCGGAPELHLHACPFVPLDSDKKKQSVLVKVVIPVAIVLLVVAAIYALLFCSGRRKKKRIPVQSFGPNFPRISYSDLLKATEGFSPSNLIGRGSYSSVYQGRLFPDRSVVAVKVFSLETRGAGKSFIVECDALRNVRHRNLVPILTACSSTDSRGNDFKALVYEFMPGGDLHKLLHSARGDESTSGLNSISLAQRLSIAVDVSDAVAYLHHNHRGSIVHCDLKPSNILLDNDMVAHVGDFGLARLHVHPASSSFGDSSSTSVAMKGTIGYVAPEFAGGGQVSAAADVYSFGVVLLEIFTRRRPTDDMFKDGLSIMKFVEINLPDKMLQIIDPELVQDLDLCQEVPMAEDIGSQCLLSVLNVGICCTKSSPSERIKMQEVSARLHGIRDAYFRFQRLREN >SECCE6Rv1G0384830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:69179736:69181007:1 gene:SECCE6Rv1G0384830 transcript:SECCE6Rv1G0384830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASSMPAAAPAAVSYGWLGPRLSFSRDAPSAVAADHGKALSLESSCKADQPAAAASKEFIDFEFSFGGSATMLPADELFADGKLLPLRPHPAAAAPEAERERESAPAEIPAPPEMVRTHRPSVAEAFDPYVFSPKAPTCSSRWRELLRLRKVQTPQKPSSSPSPPQSPSPVPATPSRASNSSAARSLKLLLLQRNGGRASGAAASDLSASPLLRDSSDSEASLSLASSRFSMSSSSSSSAHDHEDFPRHSLDSVDLTPKPRIRLVRSQPQRHCHPPASAPPQRHCPPPASAPPRAAHSPARRRPATPPPPSVASVDSPRMNSSGKIVFQGLERSSSSPAGSVHSSLRSRSRVMDRSYSTPVVLNVPVCSRPSFGFFKDKKETAAKDAAARLRSSLGRKTAHPASGGGSSVSGRDLGASK >SECCE2Rv1G0082250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:148836443:148837234:1 gene:SECCE2Rv1G0082250 transcript:SECCE2Rv1G0082250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTATTAAAAATMLAAVMAIFLSFVLCFYIFLCAKRSRGGAPPPPGSGVMAHLRFLFCGGDGRAGAGDGVAPWFYDGGLDDASMASLPSREVAKGEAMDCAVCITELAAGETARVLPRCGHGFHVDCVDMWLKSHSTCPLCRCPAVDAPPLPPAPVQAPEADQESPNFPTNVLFFGSQDDVSTGRAQPQTPAATTPQQSAPTPSQAQAPPAGPCGLRRLLGCGGASPPPAPREEDAARDIEMGLAGGESSASSRQTKPAGSC >SECCE1Rv1G0024660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:329183747:329184124:1 gene:SECCE1Rv1G0024660 transcript:SECCE1Rv1G0024660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKNQLIRHGREEKRRTDRTRASDQCPQKQGVCLRVSRRTPKKPNSALRKIAKVRLSNRHDIFAHIPGEGHNSQEHSIVLVRGGRVKDSPGVKSHRIRGVKDLLGIPDRRKGRSKYGAERPKSK >SECCE5Rv1G0347680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:669032820:669035507:-1 gene:SECCE5Rv1G0347680 transcript:SECCE5Rv1G0347680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFGGNGCCYSSGSEDEEEEEEGAEGYRKGGYHAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTLLSRFVALKIQKSARDYAHAALHEIELLSAAAKGDPTNSKCVIQLLDHFKHAGPNGKHICLVTEFLGDSLLRLIRYNRNKGIGLSRVREICRSVLTGLDYMHRELGIIHTDLKPENVLLVSTINPSKDPVRSRLTPILKRPEGNQYRTPSISFSEKMLKTRARRAVAKILQRRVSLGGFTADMVKERSLDGISMKCKIVDFGNACWADQQGDGVIQTRQYRAPEVIIGSGYSYSADMWSFACMAFELATGDMLFAPNTCQGCSEDEDHLALMMETLGKMPKKIATSGTRSKDYFNRYGDLKSVKRLRFWPLQRVLVERYGFTEPDAKGLADFLRPILDFDPENRPTAAECLKHAWLNN >SECCE5Rv1G0319510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:376393945:376395936:1 gene:SECCE5Rv1G0319510 transcript:SECCE5Rv1G0319510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRKFVQSELRNPPTTLAPGAFVSPAAKATRRLLLSAVSSSDRLPPLTVKLLHGRLLRLGLLADLYTFLLRALSSSGLHLHALRLYSLFPNPSHLALPVALKSASRLPNPLKAGEQLHARSLKLPSHSNPRILTFLLNLYAKCGLLRHARSVFEEMPCPCTVSWTALITAYMDVGRARVAVAVARDAFASGMRPDSFMAARVLTACARVADLGTGEAVLRTAEREGIANSVFVATAAVDLYVKCGEMAKARGVFDRMPEKHVVDWGAMVSGYASNGHPHESLQLFFAMRAHGVRPNCETVAGALSACTQLGAFDLAQQVVAVVDWDQLLDNPVLGTALIEMYSKFGSTGEAWFVFQQMRKKGITVWNAMVSGFSMTGHDKSVFALVGQMEKSGMKLNDMTFMSLLYSCTHAGLVEDGRRYFHNMTQLYRIAPRIEHYGCMIDLLSRAGLLKDAHRLINEMPMQANVAVWSALLGGCRIHRDAELAEHALKQLILLEPWNSGNYGMLSDIYSNSGRWKDAANLRLHMKAIGVKEVPGYSWVDFDGKVHKFHFGDNWHPLMDQIYKKLDELGMEMKAIGYKPTADEEKEHPLVHHSVKLAVAFCLLTTRPGEAIRVIKNTRVCTDCHTDIKLISRITHREIIVRDNSRFHCFRDGCCSCNDYW >SECCE2Rv1G0088060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:238308794:238309063:-1 gene:SECCE2Rv1G0088060 transcript:SECCE2Rv1G0088060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAKMTEKLLCQHMGVVDEGQQVTEKAIDKFVAMFQGQLPHITVAALRALFNLDCDLAQVVEDALVAHSGEAGPELQAATGDAPGTTE >SECCE7Rv1G0510550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:790886631:790890442:1 gene:SECCE7Rv1G0510550 transcript:SECCE7Rv1G0510550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNLINSKFYNRCKHAFKCIRTRLVVIRRKKQAMIGFLKKDVADILANGLDIHAFRRMDALIMEMNHASCYDMIEQYCDFLGKQLNSLQKQRDCPQEAMEAVSTLIFATARFPDLPELCDLRHIFTERYGGSLEPFVSLEFIRKLESELFTDEEKFQGMQSIAEESSVGFDMRALEIKLWAARESEDDLLEKDSMKKGELAVPLPIKQKDDGQWNDNTGRKNSDKSHGKEQLEKSVSPLDLKRENTQKDDNTRRRHADKADGKEHLEKSVSPLQTEREEAQKRVQKLKKKDVRPSEKELMEAVELDINGLPKHGSGLVKFPETESNRIVPPIVKPKETKKEHGVEKENNMGLGYHHRSPIPGRPDYTRRHANLGCKAVGLQNQGPTSLNPSSGKTTNRPPPISNPNGAKGRNCDEKEEGNSCLRGRPQHLEDVGHTVQNGQRAPHRVANVQPPYVKHKLGMQPVNDDPAKPIDSNCNMIERKDRLTDKDVLRPVSVRRRPAAPSYDEAPNGEKVTSQKANIHRTQPSKHKGANEGYDRKGNGVGNGRNVERTPSGRPGHSGMRNGVLYDDDYDGSMQRPKAIEDETDIDFGNLLPRTANRHHRLNSRNTDAHRGDPDEEEMMVDKLLMHYSKKKGSDTDETQTAVETSRTANCHGAQTQCQQNGSLNTAGRAVSLPPESVSPGEAAKVPARSTSLRSDCPGGVRVHPKMPDFEELAARVMALRNA >SECCE1Rv1G0033670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:469716614:469717497:-1 gene:SECCE1Rv1G0033670 transcript:SECCE1Rv1G0033670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHRNGIKKPKRQRQASTKGMDPKFLRNLRYSRKHNVKAGEGEVEK >SECCE5Rv1G0339800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611148716:611154029:1 gene:SECCE5Rv1G0339800 transcript:SECCE5Rv1G0339800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAATTTRIRIGMAAEEVRQASAAATAAEAASASVPAPAGSRWARVWPSALRWIPTSTERIIAAEKRLLSILKTGYVQEQVNIGSAPPGSKVKWFRSSSDEPRFINTVTFDSKENAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFDCRSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALQHPEHVQHLILVGSAGFSSETDHSSEWLTKFRATWKGMLVNHLWESNFTPQRIVRGLGPWGPDLVRRYTTARFGSHSTGELLTEHESSLLTDYIYHTLAAKASGELCLKHIFSLGAFARKPLLHSASDWKVPTTFIYGHDDWMNYQGAQQARKDMKVPCEIIRVPQGGHFVFIDNPAGFHSAIFYACRKFLSGDAEEGLSLPDGLISA >SECCE2Rv1G0084280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:173644230:173644628:-1 gene:SECCE2Rv1G0084280 transcript:SECCE2Rv1G0084280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALAKLWDMYEQSKINRTEDNLMNSFAVHQLTQEKIKLQASYEKLVEDVQALLDENEKRAQIESKPDESKLQEKYDMVKNLTVAQASVIRNMKLKLAEEKTKLQAHINELEKVVEETKVKLDGIKSILYE >SECCE3Rv1G0152210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:45418655:45419668:-1 gene:SECCE3Rv1G0152210 transcript:SECCE3Rv1G0152210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDQDQNQQPPRYWFPYWSGPPPPVRPQLSRRQFPPTPPSSPGRPSPSRPRQPTPPHATSSRPSPSRASPLAPIREPPPPPNGDSNSNAGGTTTAAAPAPRPKPKDVDVVPQPEKTAVHPAAAETKHGKAAQHRAAHYHKEVDKEKTKDKKSHAGEHKDKEKKHHADAGEHGKEKDKEKEKHHHADAGEESKHKEKEAKAEHGKLHGEIKAGVADMVRRAAGSGHGQGASVITLAGENKGASMKVGGKGKDGGSWKEHHRLDGGKPDGQRKQGMMTALINSNVQVINNSLLLQSSCSGGDPGVHLNLSAKSANKDKQKHAAAAADKSDKAAAAKK >SECCE7Rv1G0525220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:889189951:889204400:-1 gene:SECCE7Rv1G0525220 transcript:SECCE7Rv1G0525220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAVAAEGVEESVGQQEKVSFMGLFRYADGTDLLLMLVGTVAALANGVSQPLMTIIFGDTINAFGDATRSDVLQRVNKVVLNFVYLGIGTTVVSFLQVSCWTLTGERQATRIRSLYLKSVLRQDISFFDQEMTTGQIVSRMSGDTVLVQDAIGEKVGKFQSLVATFVGGFIVGFLKGWLLSLVMLASIPPVVLTGAIVAKVLSEISSRRQASYSAAGNVVEQTIGAIKTVVSFNGEKHAITTYNKLIHKSYKTAVEEGLTNGFGMGSAFFALFCSYGLAIWYGGKLAVTKGYTGGQVITVLLAIMIGAGTLGNAAPCMTAFVEGQSAAHRLFTTIRRKPKIDPDDNNGKQLEDMRGEVELKDVYFSYPARPEQLIFDGFSLLVPSGTAMAIVGESGSGKSTVVSLVERFYDPHDGEVLIDGINIKSLHLDSIRGKIGLVSQEPVLFMTSIKENITYGKEDATIEEIKRAAELANAAIFIDKLPNGYDTMVGQRGAQLSGGQKQRIAIARAIIKNPKILLLDEATSALDVESERIVQEALDRIMMDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGSHDELVLNLDGAYSQLVLLQESHEEDKIDRRLSTPRSKSKSLSMKRLISGSIGNSSGHSFTLPFGLPSAIEFLGGNETHGQNQEELNGDGEVPKKAPMVQLALLNKPEIPILLLGSLAAAVHGVLFPVFGLILSGAIKSFYEPPDKLRKDTSFWSLMCVVMGIISIISIPTEFSLFGLAGGKLIERIRALSFQSIVHQEVAWFDDPRNSSGALGARLSIDSSNVRRLVGDNLSLMVQIITNLVTGVVIAMIADWKLALIIICVIPLSGLQGYANVKFLNGFSQDAKMMHEDASQVATDAVSSIRTVASFCSEKRITRIYEQKCEASKNQGFKTGIAGGIGFGFSFLMLYLTYSLCFYVGGQFVRHGKSNFGDVFEVFFALVLATLGVSQTSAMASDSKKAKDSAISVFALLDRKSEIDSSSNEGLTLDEVKGNIDFRHVSFNYPTRPDVVIFNNFTLHIPHGKTIALVGESGCGKSTVIALMERFYNPDSGSILLDGVEIKSLNINWLRKQTGLVSQEPVLFNDTIRANIAYGKDGEVSEEELVAAAKASNAHEFISSLPQGYATSVGERGIQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERVVQNALDHVMVGRTTVAVAHRLSTIKGADIIAVLKDGAIVEKGGHESLMNIKDGVYASLVELRSAHHEAT >SECCE5Rv1G0333480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:557633115:557635418:-1 gene:SECCE5Rv1G0333480 transcript:SECCE5Rv1G0333480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVEARLVQEKVKKFEDFVDRRLKPDLVNAIAQRDNLFQQQKTFLDLKKNIENLEKNGVTSMRSMVNLGSEVYMQAEVPDTRHIFVDIGLGFHVEFTWQEALQFISVREARLARQIDEYTHLIASIKAQIKLVCEGIRELLQLPAE >SECCE4Rv1G0270780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:757199451:757199973:-1 gene:SECCE4Rv1G0270780 transcript:SECCE4Rv1G0270780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLHVPAAVEALLSHPVEALSERPAPRLTRLLVNVMVERSLWPVHVLLGADATVADLARAAVDAYAAEGRRPPLPADGATDTAARFELHLSKYALDALDPEAKVVDLGSRNFFLCSNRRTQSSDHHLLLRSSLTCLDV >SECCE4Rv1G0224680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:82990609:82991773:-1 gene:SECCE4Rv1G0224680 transcript:SECCE4Rv1G0224680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHADGLAIRGGTLDGQGQEYWACKKAGRCTGQGPTTLDISQFKGVSVKQLTLLDSKNVHMAIFDSTGVTVQGVKIVAPANSPNTDGIHAQLSRHVSILNTTIGTGDDCVSLGPGTSDVLIRDIKCGPGHGISIGSMGGQAGEEGVSNVTVERAALTGTTNGLRIKTWGMPNPGSVTGVSFSRVTMRRVANPILIDQNYCRRKADCPGKSSGVQISDVSYTDIEGTSATPVAVKFDCSGTNPCSGIKLRNVRLTYRHKQPAQAKCRNAGGSASGEVRPPSCF >SECCE2Rv1G0071670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:46549162:46549917:-1 gene:SECCE2Rv1G0071670 transcript:SECCE2Rv1G0071670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMSCLAPPPAAASFLSASSSSLGPAYYADAAIARALHFASMPEYGYDYDYDYSPAVSSPSSASAPSSSSLLADLPYGAGDGSSWFASTAAPATGSLTCDSVLVASDATPPRPPSTPVAAISAAASKRRAGLGPNAAGAGRAGKRRARASKRAPTTYISTDAANFRLMVQHVTGVQAEPGAADGSGALLPASFDASSAAALLDCHPFDGTFDADAAALHRQHQQQLQLQQPCYPTLDSWSVMYESRQQPL >SECCE7Rv1G0500700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:672625422:672627563:-1 gene:SECCE7Rv1G0500700 transcript:SECCE7Rv1G0500700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKLAAVVASLYLFIVLIRCGAAAAGAGGSTSCHGGRAVGRSGGGRAREPTVKAVFVFGSSLVDNGNNNFLNSTGVRADYLPYGVDFPLGPSGRFSNGRNTIDALGELLGLPRGGRIPPFADPATRGRAALHGVNFASGGSGILDRTGQDTGKVLSLNEQISNFEAVTLPDLRATTASTRRMKGHDFLHGCFLPKSLFVIGTGGNDYLLNYYRSRDTARPQLSDFTRSLIAELSAHLQRLYALGARKFVIFSIQPMGCTPVVRASLNVTGAECVEPVNGAALLFNGELRSLVDVAGPRMPGASFAVVDSYKIIKDLLDHPRKHGIRETYRACCSEMGSSGVLCRKGGPICSDRTKYVFFDGLHPTDVVNARIARKGYGSESPREVYPINVKKLAML >SECCE6Rv1G0402000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:476509237:476509833:-1 gene:SECCE6Rv1G0402000 transcript:SECCE6Rv1G0402000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKAAVAAATGGGCAAGDEWRCRKHPAARSGGGVCPYCLRDRLLRLCPNCARVRPCPCTCASPSSSSSASGDAVGRVHSLIEREHRVARSRSVAASSSAAMASVAASGVGGRRKARVWGWAPFWKSAAKDGAAAEDYDEEERLGLARSSSVSATAVEAKAVAAKAAATKARWGWHFPSPMKAFRHRRSSASMPERG >SECCE5Rv1G0358820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:757252831:757253829:1 gene:SECCE5Rv1G0358820 transcript:SECCE5Rv1G0358820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPIPAPPPAADDEIVYESMPCIRIYKNRVERYFGSEFVAASASADAATGVASRDRVISPEVSARLYLPRIDLDKPDKLPVLVYYHGGGFCLGSAFNPTFHAYFNNLAALAGVLVVSVEYRLAPEHPVPAAYADSWDALAWVVSHAAPGAAAGGFEPWLADHADFARLYLGGESAGANIAHHVAMRVGAEGLPHGATIHGLLMIHPYFLGTDKVASDDLDPAARESLASLWRVMCPTTTGEDDPLINPFVAGAPGLEALACGRVLVCIGEGDVLRDRGRAYYDRLRASGWSGEADIWQAPGKGHTFHLLEPLCPEAVAQDKVIAEFLNH >SECCE5Rv1G0356890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742377412:742378197:-1 gene:SECCE5Rv1G0356890 transcript:SECCE5Rv1G0356890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPTSFVAVALLMAVMLSTCGAARLLADIPALPEPTLPTLPPVVPTVPAVPGGVVPTVPEVPTVPTVPDVPTVPLPTVPSGVVPTIPTVPTVPEVPTVPTVPGVPAVPLPTVPGGVVPTVPTVPTMPGAVVPTVPGVPTLPLPPMPSIPSLPNLPLPSMPSIPGDLPMVPVPLPPIPSIPGDLPKVPVPLPPIPSIPGDLPKVPVPLPPMPSLPDLPKLPLPPMPSLPDLPKVPLPPMPSIPGVTKIPLPSVPGLPAVP >SECCE5Rv1G0322280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:418458386:418461818:-1 gene:SECCE5Rv1G0322280 transcript:SECCE5Rv1G0322280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDTFYTEQAVRSVVSDIPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDTEADEQLPVPVALHDIPGGEEAFEICAKFCYGIAISISASNFVPAALAARFLRMTEHVAKGNLVSKLDTFFESCVLHGWRDSIAALQAAWRISGWSESRIVQPCVDSIVEKILLPPSQVAWSYTYTRPGYAKRPHQSVPKDWWTEDVSELDIEVFRSVVSTVRATRMLPSPLIGEALHVYACKHLPDPLYTGGSANGHASQSQSSSTAAAEEALAKQRRVLETVVTMIPSDVGSVTGRFLLRLLRVANYVGASSSTRAQLIRQAGSQLDEAKAVDLLIPLPSDPQAYDVGAAEAVLEHFLAQYQRPAAPDERRRMGVAMEKVVRIFDEYLQTIALDSEFPIGKFIDLAECLPGIARSDHDGLYRAVDTYLKEHPDLSKADKKRLCRLIDCRKLSPDVRSQAVSNDRMPLRTIVQLLFVEQERTTGAGGSHSVAPPDRASVDAVSRLTATGREDEAAAMDHRSDGHRPRRAGHEERAQGDAAAMTRSLSASTKTAARKDRTVEERGSRLRNK >SECCE2Rv1G0079720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:122130652:122131979:1 gene:SECCE2Rv1G0079720 transcript:SECCE2Rv1G0079720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALLVVLAVLGSVACQASGYGYTYPKPTTPIIPPPPPATPPPSPTPTPVYPTPTPTTPATPPPVFPPTSPSPTPGYPTLTPTTPAPPPSSTPATPSPVTGLKVGYYNDKCPDAEKIVLEAVSNATASVQAGLIRLFFHDCFVQGCDASVLLSKVTGKPEPEMLGIPNLSLRGFEVIDAAKKKLEEKCPGVVSCADIVAFAGRDASKLLSTYKINFNMPAGRYDGFVSLKDETLPNLPPPFANLGTLTQMFAKKGLSQTDMVVLSGAHSIGRSHCSSFRDRLQRPANDNSNTSMDATFAGKLTQDCPADSDPTVAQDYKTPDVLDSQYYRNVMGGKVLFTSDAALMTSLETKRLVQEYTNLFIGDIVWYKHFGDAMVKMGNIEVKGSTNGEIRKKCGLVN >SECCE1Rv1G0021550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:269595439:269650983:-1 gene:SECCE1Rv1G0021550 transcript:SECCE1Rv1G0021550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34830) UniProtKB/Swiss-Prot;Acc:Q0WLC6] MEVSASASTPQALTLLTCPPCFLSLYPSVRLHGRAGGGGLLLRHPPQRRLELGYRARRPVGCSLSGSSSTALDVLGGSVGAAVAVLAALQLVWLRWRGAMHRESPEVLHTQVKSIVNKALRTTTQSVHDSNDARCATHENSFSELSISEGMTINELECRASISCIYPVNKTIQAVSVTNPGAMLHTLVDVSRQEEVGCSTSAEKRNSTENVIDVLATPYSGPPGQHKSKYFSNRIGWQGGLSYQFLSLSELQKDAQNGNGLGDSQTNSNNAHLFRCHQSNDDENIDLTSLSSFKRTVECPLNFVPQASIGNLFRPRKAIGFADSYVGGSHLTPGKLALFACLREGPASKQQKAVKDHDDAKTIGWSISDILHKENLDNFIPATTAGLNGTVDTSDYMRRYKSSLMDGRLKDCVDLLESMEQKGLLDTKKIHHASFLSACKKQRAVMEAVRFCRLIENPKMSTFNMLLSVCANSKDFEGALQVMVLLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYGALIDGCAKAGQVAKAFGAYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMTAESSESKGCKPILPDHVTVGALMKTCIQAGQADRAREVYKMLQEYSIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVQPDEMFLSALVDVAGHARRADAAFEIIKDVRAKGFHVGIMAYSSCMGACCNAKDWKKALQLFEEIKAIKLIPTVPMMNALITSLCDGDQVLKSAEVLNEIKELGVRPNEITYSVLCVACERNGEAQLALDLFEQLKLDGIVLNPTIVGCLTGLCLQMFSYDLSLGNIIVRFNQGKPQIDNKWTSSAIMVFRQAITAGLLPSSDVLSQVLGCLRFPHDSSLKTTFIDNMGISCDMPHHPNTNSLLEGFGEYDIRAFSVLEEGGLLGAVASTSTKYSQIVIDARKSNIYTAEVSLLSTLKSLKHRLAAGARLPNVTILLPTEKKQVDLDEREKTLKLAGRVGQAVGSLLRRLGIKYQGEESHGRMRINGLTLRRWFNPKFTSAPSTGAPVELLPLPSRLAKGIADQQRDIRSLSL >SECCE2Rv1G0073740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:71071632:71075127:1 gene:SECCE2Rv1G0073740 transcript:SECCE2Rv1G0073740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVEIDLKVPPRPPGFAFVEFEDPRDAEDAIHGRDGYNFDGNRLRVELAHGGRANSSSLPNSHGGGGRRGGVSRHTEYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDTEFKNAFSRAPIRVKEYAGKSSRSYSRSRSRSRSGSYSRSPSPKKKPSRRSASRSRSRSVSSHSRSPSKERSPSRSPAKSQSPVAASPVVNGEATSPKRDPSKSPSRSRSPDAKSE >SECCE6Rv1G0401080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:462533950:462539069:1 gene:SECCE6Rv1G0401080 transcript:SECCE6Rv1G0401080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVETWVREKPIRTFLARLSGQSAAAFVASTTSRSPAAADGGGAGGGGADGGIPQLSSVANSVVSRCSRILAVATENLQQSYEADFPDNCKEPNTYARELLEYCCHKALHEVTTRPDYLADMNLRRLMFDMMLAWENPGAEEGLLKNNSTLCNPVEIEDDDEGSIFYANSTSLAVQVNDMKTVGLSAFTRIAPSCPIIADLVTVHNLFDALTCSSGGRLHYFVYDKYLKSLDRVFRSIKGVMQSPLASSFNLDAGECLLAIDGDKPIHPVLQHIGISAWPGTLILTTHALYFQSIRVGYGDKIVKYDLATDSNQVIKRDFTGPLGVRLFDKAVMYKSSTLTEPIYFDFPELGSPSRRNYWLAITREVMQVHRFIRKFNLEDIQRAEALSKAILGILRYSAVKQAFHIAPSHFKTTLTFSLAEKLPKGDMILEALYNNYFQLLDTSLSHLSTEPAVDKAPQTHSVPFSLYALSRMGFVLLKRKNETEKEIRFCAVCVGVTKSLEAALQESFLYSERIEAARATVDQVKVEGLDANVALMQEILFSFIHAGKLIYSLTKWEDPLKSFLFLAFILYVIRSGLVSYTVPSVFVIFAVVMLWHKYSEEGKLLEVLEVRPPPNKNPVEQILILQEAISKLEDTLQAVNIVLLKFRALLFAAVPKATEIVAVALLVAAVVVVLMPPKHLLLMLVLEVYTREMPLRKQHTEKFRRRIKEWWARIPAAPVQMIRPNEDKKKI >SECCE1Rv1G0042300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:579206017:579208450:-1 gene:SECCE1Rv1G0042300 transcript:SECCE1Rv1G0042300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSRSITRSVGRVASALPRRWFPALGRRDAPLKALAAEDSVQTLETSLTEDICDMPIISGSSHRDGAIYKRAAYWGHNYFFDNTDRTETRLEPARAYDMPRDMMQIFSMKLARAPIDSGSMQLYGYIAARDEVDLRLNYVFNRSRDDPIIVQQGSLIEMNGPKRAILLIFDVLLEFDMRIKNGENEEDDLQLIDGISEFDGLRMSWRPHEVRVGGNCGAVDTSFALVHNSVEATVQVITSKVRTGFDLSFKPPVPVKIPRMNKEFQLFSGNISESCGLGSFVVAVTWDTLMNLKFKLNGHASHQLNLETASILVKVTWAVLPAYLSN >SECCEUnv1G0552230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:247838047:247840182:-1 gene:SECCEUnv1G0552230 transcript:SECCEUnv1G0552230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALATYVTKMLAEMAREEVAMLIGVSDGIKDLSVKLGDLKNFLADADRRNITDESVQGWVGELKHAMYLATDIIDLCQLKAMELDQTKGKGCLNPLLFCMRNPLHAHDIGTRIKLLNQNLDAICKRGSHFNFIKLEAYEDRKTTRSLATNRKTDSLMERSGAVGEKIEEDTRALVEVLTREAAGDKSDRLMVVAIVGVGGIGKTTLSKKVFNDDGIEGKFAKKIWLSITQDLNDVELLSKAIITIGGDLPGDGGARDRDLLLGALKNAIMDKKFFLVLDDMWCVDAWDKLLMASFSYGGPGSRVLVTTRYDIVARSMKVVHYHHVEKLGLEDAWSLLKKQVLTTEENGHE >SECCE2Rv1G0116060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:761161845:761163131:-1 gene:SECCE2Rv1G0116060 transcript:SECCE2Rv1G0116060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHRLLFLLAVSLAAVAAARAHNITDILDGYSEYSLYNNYLSQTKVCDEINSRSTVTCLVLTNGAMSSLVANLSLADVKNALRLLTLLDYYDPKKLHSLHGGSELTTTLYQTTGDASGDMGHVNITNLRGGKVGFASAEPGAKFQATYTKSVKEEPYNLSVLEVSDPITFPGLFTSPSAASTNLTALLEKAGCKHFARLIVSSGVIKTYQAAMDKALTLFAPTDDAFQAKGLPDLSKLTSADLVALLEYHALPQYAPKASLKTMKGGIPTLASTSKGKYDLSVVAKGDDVSMDTGMDKSRVASTVLDDTPVTVHTVDSVLLPPELFGGAPSPAPGASADAPASAPAPETSSAPAPSPKADKKTPKHKSPAHSPPAPPADSPDNSPAESPEGDEEADKANDKNGAAAEGMSVAAMVASVALVGLSLL >SECCE2Rv1G0069490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:29253517:29254626:1 gene:SECCE2Rv1G0069490 transcript:SECCE2Rv1G0069490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQHSHLDGDRTGKRPRRAPKKHLYLVLDDWDTGFSIYKVDANTLQDTCTSTSDVQFGFPDPPVLRFPVPVRHLGMSFTAFGNSIFIATNPGCPQTPTLVYNTKIAGITIGPSLPRSLLGGIDISVAAGDTLYGLTSRHAGEQHSFEAMSWAATGSDELSDPRPAMDWSWKSVPSPPPFATDDIISSYALHPDGHTIFMSAHDILYQHVPKGTFSFDTKRSEWRWHGEWALPFQGQGYYDSELDAWIGLRKDGYICACEVASRSRESAVQPYCKVAKEKLFLKVPERRVAATRATLAYMGNTNFCLVDCVQREGVEPTCIFDCCVLHVSTFGLKYDRRGELQTTRHCSNSCVVSKHILSFSPVVFWM >SECCE7Rv1G0484770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:346640695:346644782:1 gene:SECCE7Rv1G0484770 transcript:SECCE7Rv1G0484770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVRKHGWQLPAHTFQIVAITVFFLLVVAFYAFFAPFLGEQILDYVLVGIYTPVAFAVFILYIRCTSINPADPGIMSKFDDGHVNAPESNTGLQGTNLPAKTGIATGTNSPTSTCRSSLDGRTNHGGLTAGDTDINIRMQPLRRRSGCYFGGFICALFVKEDCRKSDDSENQVDAEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFFALMTTSLLWLAIEVGAGIAVLVMCFVNTNAEKNIQNKLGNGLTRAPFATIVGIFTLLSLVACVPLGELFFFHMLLIRKGITTYEYVVAMRAMSEVPQDEEEDERANIIYSPTNSATSGFSAGSSLGLHYKGAWCTPPRIFVDQDEVIPHLERGMAPSNVDPDATGHAERPNKAKRQVKISAWKLAKLDGNEAMKAAARARASSSVLRPIGARGPGSTGNSHPQSIASQDDYETGTQSASSLSSPVHVHKLAPRTQMNVPPPRPPERPGFPTTRTQATNPIMSRSATSYARENRRASVVWDQDAGRYVSVASAPARPVGAAAAKPVRAPRFLENPGGGRNLAPMSASSSALPSERLAYSGQSIFLGGPVLGAAAESGRNEANTRARPDDRRELNADHHHEGGPTAESFPAGTFQKRVKPPFNR >SECCE7Rv1G0499890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:658953455:658954555:-1 gene:SECCE7Rv1G0499890 transcript:SECCE7Rv1G0499890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIKMDELHGEKRSETLSLLHDACAQWGFFWLENHGINDDLMDRTKELVNKHYEQNMEKNFYSSEIAKTLGPDKVTSNVDWECSFMYHHQPKSNIHDIPELLRTTVPEYAEEVVKLAEQLAEVMSENLGLDKDYLRKAFTKPSIGIKVAKYPRCSHPEVVMGLRGHTDAGGIILLFQDDLVPGLQFMKDGRWISIPPTKGNKIFINLGDQIEVISNGIYKSICHQVLPNQNGSRLSIATFYNPGADAIIFPAPNLTYPSQYRFQDYLNFYSATKFTDKVSRFQTTKTVFK >SECCE2Rv1G0109810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:680930819:680933828:-1 gene:SECCE2Rv1G0109810 transcript:SECCE2Rv1G0109810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRRRQWGVACSVLLLSTLLAVASAQSPSPARAPKPAPSLPVAPRPAPKQTPSPSASPVPKPPAPRAPAQAPKPSSPAAPAASPVPKPPTPRAPAQAPKPPSPPSASPPPPAPQLPTPSPPPPAPQAPKPSPVAPAPAQKPSPPTTTPPPPAQKPPAPPTPPPAPTQPPANSSTPTPSLALSPNFYAASCPSVELAVSDVVRSASTLDPSIPGKLLRMVFHDCFVEGCDASVLIQGSGTERTDPANLSLGGFNVIDEAKRLLEAVCPATVSCSDIIVLAARDAVTFTGGPSVPVSLGRRDSLVSLASNVRANIIDTGFSVDAMAASFVSKGLSLDDLVTLSGGHTIGSAHCGTFRERFRPDANGSMVPVDGTMNAEYATELMRACAASGSAAVDCDEGSAAAFDNRYFSNLLDGRGLLRTDAVLVQNATTRARVAAFAQSQDSFFSSWASSFARLTSLGVKTGSAGEIRRLCSSVNG >SECCE4Rv1G0235200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:321875556:321881858:1 gene:SECCE4Rv1G0235200 transcript:SECCE4Rv1G0235200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRSPAPTGRRRRRSRGWSTPSKASRRPQSPTPSSAPPLAQQPPLPPGTEVEVRVDDDGFHGSWFEAKVDSFLPARGRGSRARYTVTYSHLLSDDSGGTLVEPFAPSHIRPRPPPPSNPEPLCLHDIVEAFHNDGWWSGILLATDPLTAAFPITREVITFQDPHHVRPRRDYVDGQWLPSKVAISVQPKRAVRVYAVGDKVEVVRDRDLYGYSWFPATVAKVIDRLSYLVEYSDLEEEVGGGKAMEYLHCLFIRPDVEHSPRESEFRLGPGAAVEVYCDGAWSPGVVQRAVGEGEYEVSIDGKEGELLLKKVPELLKPQYKWNGKHWRIVSPKRQGNRRQSVSGKRPTSAVEVASNDDENSHHTQSPATKRSRKELPRKTLEELTECSEHVLESEMDTSLSALRKSLASNFSPKTCSPRSRKNNFQAISRRIVDSCTVPMKGLGVHHASSENPTPQNESRADGIVEVVVQEAPLDMMLSNGQLNSPVCGTIADEAHDMLLTAGLRKQKMDSSCINNVVQKSQESPLDVQPLQVKTFSAKHKGGETHPIQALEGNSDTFDNIQLKGNSNSSCKEIICALTASTCNAPSPLDKQTRAPDAVSRGADSSSNTKVFASKKSAEKKGFKGLSSPHSSVHGTRTVQKRSAKKVAGRQKECFAERQVNSRGPHTQQQLNREEKVNVNDGTNQELFPLIPPGFKSICNGQGLLPPGFKSICNGQGLLDGEKVDERPIQLHIQDAGSSQCTMENTALRSCSAVGTSLHPPFLSCQISGERFPFIKTSSIWHQIEPMEVFRKVPQEPHFLPLQQFMPELREGMAIGLMVTYASLVESVKRSCIEDNIELFERKINALAHLEENGFDVKLLQHSLMKLLEAKWEHTKHLGHLDELKELVPRKESAMSHKHALLVEKEGAIFQLEQKLECLRGEAEQIARETKDEDAELLRLKAGVNIAQEACVNVEVRFHDILADMRSRLQLSE >SECCE2Rv1G0112450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:710007428:710010810:1 gene:SECCE2Rv1G0112450 transcript:SECCE2Rv1G0112450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASARGLLVGRRRDLMASLLAFSASAPPPKPSPSHTAPPPPPASSSARASRFRLLLARAAARRDPEPPPPPPQAESEKKSIAVRTGELFLGLSALFIRAGRGTAPVEEVERREGVLWEQRPEDVEAERQRREVATASPGFSFSAAGLLFPYHLGVAQYLLDKGYITERTPLAGSSAGAIICAVIASGNTMQEALQVTKILAEDCRSKGTAFRLGAVLKDVLEKFLPDDLHIRCNGRIRVAITQLSWRPRGLLVDQFDSKEDVINAIITSSFIPGYLAPRPATLFRNRLCVDGGLTLFMPPTSASETVRICAFPAGRLGLQGIGISPDCNPENRATPRQLFNWALEPAEDEVLDKLYELGYQDAAVWAEQNPPESSVKIEQLVTD >SECCE1Rv1G0026810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:374799735:374801834:-1 gene:SECCE1Rv1G0026810 transcript:SECCE1Rv1G0026810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] MGTLVWLRPTPVVPAGPSAAHVVAAGGIKGRPLRVSVASRCPNPRVLQAGRCRWLVVRSGVTVGATAAAGNDPEDPSRLSDLQVASRIRGVFFYTVTAVAAIFLFIAMVVVHPLVLLFDRHRRRAQHYIAKIWATLTVSMFYKLEVEGMENLPPNSSAAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMLPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVKRGASVFFFPEGTRSKDGKLGIFKRGAFSVAAKTGVPVIPITLLGTGKLMPPGMESNLNSGSVKVIIHHPIEGNDAEKLCTDARNVIADTLLQHGYGVL >SECCEUnv1G0559840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:341795762:341796859:-1 gene:SECCEUnv1G0559840 transcript:SECCEUnv1G0559840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAVGIDLAVGKPCFSGSACLVLIGSSGPLLLRVYFVHGGQCLSLSALVQISGWPLLLPPVCVSIFRGRCHGIINLLLPPRLVGAAVVLGSLYAVSCFVYAMGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVLLLTIGPAVLGVGPGSGKPAGEPSKTYWTGFCEAIAAAALAGLVLPLVEVAMERFGRRRGPAASAPPPYSTVMQMQAMMGAAGTMVCLLGMAIKSDFKALPSEAAAFGLGETKYYLVLVWGAVAWQLLNLGVIGLVTCASSLLAGIMVAVLLPLSEVLAVIFLHEKFDGPKGIALVLCLWGFASYMYGEKVQQKKAEAQKNQLLQEQMARKIGDLELANP >SECCE3Rv1G0183600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:652001639:652002987:-1 gene:SECCE3Rv1G0183600 transcript:SECCE3Rv1G0183600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRFHQYQVVGRALPTPGDEQPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRAPCIQIIKTATVNFKLCKRDNTKQFHNSKIKFPLVYQKVRPPTRKLKTTYKATRPNLFM >SECCE2Rv1G0142460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:940804337:940808549:1 gene:SECCE2Rv1G0142460 transcript:SECCE2Rv1G0142460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAAMVGVLSCLLLLFLKPRAIAAAAYSEYSCNGTTGNYTASDAFGANLARLTAELPTNASTSPSLYASASIGTSSDKVSALALCRGDITDTSTCSGCLDNAFQQLRALCAAERDATFYHDLCTLRYSGEDFLRRPEDNNPVINAMDVNGSTFAAWDSGNATSRSFFLSLVGTLFGEMAMYGSYNSTVRRFASAVMYVNPQLPTVYGLAQCTPDLSPGQCWHCFQGLQEQTRRWYDGREGGRIVGVRCNIRYESYQFYYGTADVRIGLQGGSSSPTESNGSKHRKTLIIFLCVSITVFCSMLVCCLLLVRRLRKGAGKTKFEQAHKRNNSKTEEALKLWKIEESSSEFTLYDFPELAAATDNFSEENKLGQGGFGPVYKGMFSDGTEVAVKRLAAQSGQGLVEFKNEIQLIAKLQHTNLVKLVGCCVQEEEKMLVYEYMPNGSLDFFIFEQERGPLLDWKKRQHIMEGIAQGLLYLHKHSRVRIIHRDMKASNILLDKDVNPKISDFGMARIFGSNVVEVNTNRVVGTYGYMAPEYASEGLFSVKSDVFSFGVLLLEIVSGKRNSSGHGKHYGEFVNLLSYAWQLWKDGRALELVDPTLGQCNEQVTDMMRCVKVALLCVQDNAMDRPTMSDVMAILGHDGVPLPDPRWPPHFHLRVTSDDEEDRAGRSGTRSTHLTGSCSTNDMTISTIQEGR >SECCE4Rv1G0216770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12590123:12596559:1 gene:SECCE4Rv1G0216770 transcript:SECCE4Rv1G0216770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARRLKQQGRGGGASDGGGRGLQRGRERFDGFAAGSFQNVSSGMAYFPSEDRVGYMSQEQREGLDRMLTSEMVRRHIAESGVDPSEIFFAPPTILGDVDGDHSKSNDSAKMEDLGPLHEAACTGKMETCQYLVEQLGFDINVEANDDSGMTPLACAVLRDKSVTVEYLLDKGANPNKQDNKRFTPLHYATKEGNNRLVRLLLSKGASVDVFSSEGTPLHVAASYGKSGIMQILLQHKADPNTVSADLGTPMAAVLCVASGRITESDALKCMKLLVKAGADLNCANPDTPLVIATSKDLSECVEYLLEVGADANIPSNQGSMIPIEMAANSGRRKLVEILFPFTLPIQSVSNWSVEGIIAHEKLRQSNKGKQSDNDSNVQLKLNAEVTTKKKYSGAPKKPCAKDKGGDKDKKAELKLLGAKAVERKDYPAALKFYSEAIKVDPEDATLYSNRSLCRLKSGEARDALADAIACVNLQPNWAKGYYRKGAALMSLKECKEACDAFLAGGKLDPASVEMREAFWEAIDAMKKQHSDRQSTGSV >SECCE5Rv1G0372580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853592367:853592768:-1 gene:SECCE5Rv1G0372580 transcript:SECCE5Rv1G0372580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSEAAPPVAVGEDPAAPAKGEERTKKEKTRVVLPQCHLDTFMVAEEFLEPLSPPDEEFLARVREQRGQKGFVEIELSDDDDEDDEEEEGGNAPPPAAGRGSMEEDRFSPEVTKKPGGGCGGGSGIKKLS >SECCE2Rv1G0134880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:903606573:903607547:1 gene:SECCE2Rv1G0134880 transcript:SECCE2Rv1G0134880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPDNATTGSSEDVISKENLRDFISSLPTREGWSVPLIEYNSYWFRPNILERILLDKQGFIPRADDIILATQPKCGTTWLKALGFTIINRCRYSFIDHPLLTGHPQHLVPSIEFPIAGSDFINIDALPSPRLLATHMPMSLLPPSTSSLGCRVVYLCRDPKDALVSRLHFENKVGEGSSISTDSAFSMFCEGFSPFGPFWDHCLEYWKESVARPDNILFLKYEELKSDPVQVVRRLAEFIGVPLTEEEEKSGVAQEVVRLCSFEMLTSLEVNQLGAGHRGYFSNSVFYRKGEVGDWKNHMSHEMGEKLDRIVQEKLQGSGLVF >SECCE7Rv1G0520800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:871951195:871952804:-1 gene:SECCE7Rv1G0520800 transcript:SECCE7Rv1G0520800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTMIKKPHAVFVPFPAQGHITPMMKLAKIFHCKGFHITFVNTEYNHRRLVRCRGPDAVAGLPDFRFATIPDGIPESDADATQDIPSLCYSIMTTCLLPHLKNLLRDLNGVVGAPPVSCVVTDGVMSFSMDAAVELGVPCVLFWTASVCGFMGYRNFQFLLDEGLIPLKDEEQVKNGYLGTPVTHARGMSKRMRLRDFSSFIRTTDPGDVMFNFLKHEAEQSDRAAAVILNTFDELEQTALDAMCSILPLPVYTIGPLNFLTEQLVSEGGREDRSCLEWLQGREPQSVVYVNYGSITTMSGKELVEFAWGLANCGYDFLWIMRNDLVKGEIAVLPSEFLEATKGRCLLASWCEQEAVLRHEAVGAFLTHCGWNSMMEGLSAGVPMLCWPFFAEQQTNSRYACVEWGVGMEVGDDVRREVVEARIREVMGGEGVGREMGRKATEWSEIAVRATTIPGGRSLANLESLFKDVLLTATKNAGWNWEFEM >SECCE4Rv1G0224730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:83713030:83714208:1 gene:SECCE4Rv1G0224730 transcript:SECCE4Rv1G0224730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRPAAAVLAATTHGSPTRCKRSRTSSIRSTVEYEEETCLGEGGFGCVLLARHRATGKTVAIKYLNWVDGSAEPPDPAELLREAGFLEACDGSPYVVGLEGLVRDPDNDAYGLVMEHVAAPTLQKFLWDRRHDPPLPESTVRAVMWKLFTGAKKMHDRHVVHRDIKPANILVGQDGELVKICDFGLAISLSEPPPYGQAGTAFYLAPEMLLGKEDYDALVDTWSLGCVMAEMLAGKTLFRGDDDDDDDDDDDTNDIIQLWSIFRLLGTPDERTWPEFTSLPRTAKALQLLPPGHKQNQLRDLFPREKLSEEGFQVLQGLLTCNPDKRLTAAAALKHPWFAAPRPAAAAKVGALSFPVRKAARIKFIPPAMPQKNRLKIPLAVWNAAQQV >SECCEUnv1G0552770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:257634151:257634396:1 gene:SECCEUnv1G0552770 transcript:SECCEUnv1G0552770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIAAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >SECCE5Rv1G0308680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:135808079:135817088:-1 gene:SECCE5Rv1G0308680 transcript:SECCE5Rv1G0308680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRDEEEKAARAVDAPLPAASPIKTGRHAGDVHLLSAAFFFLFSAYLPTQNLQSTLNTDGDMGAVSMGILYVSFTLFAVAASPVVRWLGARLALVVGTSGYALFILANLLPTWYIMVPASVYLGFTAAIIWVGKGTYLTSAALRHARDNNLPDGPAVGRFNGEFWGVFASTQVIGNLISFAVLRNRKGGGTVTGKNMLFLVFLGCTVIGIVLMCLLSKRDEKQDHSSAHSSFGDMLKCIVAPLKDRRMLLLIPLMAYSGLQQAFVWAVFTKSIVTPVLGLSGVGGAMAIYGVADVVCSLVAGRFTSGLPSATFIVSVGAILQAAVLFWLLLFHRPMGGLLGAAVPLLTGALWGVGNGVLNTQLSALLGLLFEDVKEAAFAQFRVWQSGAMAVIFFLSQVITLQAMLILMSTSLIVSFGSFLTLTFVVDKSSSTIRL >SECCE1Rv1G0038320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:537519718:537520674:-1 gene:SECCE1Rv1G0038320 transcript:SECCE1Rv1G0038320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATQRSVAAFLKDGAFLPARRCRLFLELFTLSAVLSGALYLFKCLALAGAAADADLESYYLSLHDMDIGGVSHFIATWRPSDYHRLLAPAYLVAAIAVGSATYIATVAAAVMARSDYGGEQQKHRTLPSFLRKVRSNLARPAKILVLSSVLRVALLLLVGEALEMHPTARYPARQLYLLLEFVCSVAVVASVAEEPGKGTALWRACRLMQRKYYSQYVLYLAGVLVIRIAILLVCVLVVAMASLPPTGGVVDASFTFLLLSAKEVLSVGNVTGYYFACRERDEQEKDAAARILIRECTSKSSLRFYLGKFIAFLPC >SECCE3Rv1G0189160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721924571:721926420:-1 gene:SECCE3Rv1G0189160 transcript:SECCE3Rv1G0189160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLGLDRVLDCFSLSVCANACVCIHAVGDDDDEENEGKALVSAQLDELLKLKDFGIGGGAKTLAFHLEPKTVELRVSMHCYGCARKVQKHISKMEGVSSFEVDLENKKVVVTGDVTPYEVLQSVSKVMKFAELLVAPKSPAPSR >SECCE7Rv1G0518610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859883578:859884114:1 gene:SECCE7Rv1G0518610 transcript:SECCE7Rv1G0518610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHICPTHTSTSLGRQAHHAAPTSLALRPSPCPYGISSSSGDDPPQVLHAVQVIKGVVPLANDPTTHFGDMYVIDDLLTEGPDAASPAVGRAQGFFQFASMTEYALLLTANFMFTAGSQNGSSVAVLSRDVIFDSVRELPIVGGTGGLRGATGYGLLQTHSANTTTRNAVLKIDMYLRV >SECCE1Rv1G0058060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:696822540:696824604:1 gene:SECCE1Rv1G0058060 transcript:SECCE1Rv1G0058060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDETGTPYGEYTYAELERELYWPSEKLRISVTGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLADLRVMDNCLKVTTNVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAGRINGVKRFFYASSACIYPEFKQLETNVSLKEADAWPAEPQDAYGLEKLATEELCKHYTKDFDIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTEQFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFCEPVNIGSDEMVSMNEMAEIVLGFEDKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLKFTYFWIKEQIEKERTEGKDVARYGSSKVVSTQAPVQLGSLRAADGKE >SECCEUnv1G0530670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13837515:13838432:-1 gene:SECCEUnv1G0530670 transcript:SECCEUnv1G0530670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVGDVAYWLAEHPDIVGFRWSPSGLWFSTWAFLLGFLASYVSLCLAADALLGALLRRRKPVPLGPLPAAHALLMAAVSATIFAGTLLSAVAEIRDTRWSWRGRSRTTPFRWLLCFPPGTRSSGRVFFWSYAYYLSRYLHAARGAFAVLRRRRGAAARVFAHAASVAMAFLWLEFSQSFQVLAILASTLAHAVAFGFRFWVHSAAGLPAARAARSGSAACQLGLLGCNLVCHAGVVWMHFGGAVAGGCSGIGAWVFNTLLNAALLWVFLHCYGTRGVCDDDGGATAAAATVSLKLKKKKKKEM >SECCEUnv1G0555240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:297674557:297679013:-1 gene:SECCEUnv1G0555240 transcript:SECCEUnv1G0555240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDAPPESGAARSPPAKRGGDARSPQQDAQPHKESSSSSRDRVRERDEDKDRERHRRGGEDRERDRERHHRDHREGSRDRERHHHEHREGSRDRERHHREHRERSERREHCDRSDDRDCRRSRDRDAERRDRDRDGHRRHRSRSRSRSESQSKRMSGFDQGPSAAIPILAPTATPGQLPELPAANPGMFPNMLPNLVNVPAVGQPLAMTQQATRHARRVYVGGLPPIANEQTVAVFFNQVMAAIGGNTFGLGHAVVNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSQAAALGPSQPNPNLNLAAVGLTPGAGGGLEGPDRIFVGGLPYYFTEAQVRELLETFGSLRGFDIVKDKETGNSKGYAFCLYKDVTVTDIACAALNGIQLGDRTLTVRRANQGAEPRPEQENILLQAQQEVQMKRLVYEVGGALPTKVVCLTQVVSADDLKDDEEYNDILEDMTLEARKYGNLVQAVIPRPHPSGDPVAGVGKVFLEYADVESSAKARLGMYGRKFDGKETVTVFYPENKFAEGDYDY >SECCE6Rv1G0389200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:147237049:147238699:-1 gene:SECCE6Rv1G0389200 transcript:SECCE6Rv1G0389200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDVPLHLLLVPVLAIIPLIFFASRRLTLPESSGVARLPPGPWALPVIGHLHHLAGAIPHQALRDLARRHGPLMLLRFGEVTAVVASSPDAAREILKTHDPAFASRPVGPMSRLWFQGSEGLVFAPFGDAWRQLRKICTQELLSARRVHSFRPLRQDELGRLLRSVASSSPSPSERRPVNLTEIIAAYIADSTVRAIIGSRPFKGRDACLKLFEDMFRMRPGLSLPDLFPSSRLVMLVSREPGRIKRCRREMLQIMDAVIQEHRERKAAGEGEAEDEDLVDVLLGLQEEVGSQHPLTTENIKFVMIDMFAAGSETATTALQWVTAELMRNPRVRHKAQEEVRRALAGHSKVTEDVLGNLHYLHMVVKESLRLHVPGPLLTLRQCRSPCQVLGYNVPVGATVLVNSWAIARDPAHWDAPEEFLPERFEQEQGGAGRDFKGTDFEFIPFGAGRRMCPGMTFGLAHIELALAALLFHFDLELPAGLDAAGLDMTEEAGITTRRRSELLVVATTLVPVPE >SECCE7Rv1G0493660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:572604755:572607429:-1 gene:SECCE7Rv1G0493660 transcript:SECCE7Rv1G0493660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTASRRALSRIGGALRRSLSSSSSMPDASGYHVSGGPSFMRAAVFWEPGRPLTMEEFRMPRPKAGEVLVKTKACGVCHSDLHVMKGELPFASPCVVGHEITGEVVDHGAHTPAEIVNRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGETRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPNSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIARAFGASEIIAVDVLDEKLQNAKTLGATHTVNAAKEDAVERIKEITGGRGVDVAVEALGKALTFSQCTQSVRDGGKAVMIGLAATNVVGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLENAISRKCKFEEANSAYEDLNQGKIVGRAVVEIM >SECCE7Rv1G0516950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:850129970:850131230:1 gene:SECCE7Rv1G0516950 transcript:SECCE7Rv1G0516950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAARSRPPEDGRISTGIRSSSSPPSGSETAAERLTDDLLVEILSRVPARSLCRFKCVSNHWLGLTNDRNYRRKLPRTLTGFYQGNQLVDSGVPFTNVSGSRHLTYPAFLPNRWQVKVIDCCNGLLLCSSYVAGDHGEEFRYIVCNPATEEWAEFPYCGYSGLFVPARLCFDPAVSPHFHVFLFPVADMEDQHGFFITGVHVYSSETRSWVHKEKRWRGTIGVANDRSTVYLNGYLHFCAIVNGSDGRLAAVDKEGKARTNFRVPDGLDVGFIQLSQGCLHYAGFDTDDDNVVRLLVYYLKDYERKEWILKHCVETSHLLGGRHIEYLDEEFDWIAIHPECDLIFFAVAQEDITFMCYDMDSGQVKVFCNLEDSKQEYFPYVPLYEELQSLHK >SECCE5Rv1G0367090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819294987:819314570:-1 gene:SECCE5Rv1G0367090 transcript:SECCE5Rv1G0367090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRAGPMQPAGPRRILRTQTAVNLGEPIFDSEVVPSSLVEIAPILRVANEVEAANPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENVPTLTGRAQKSDAREIQTFYRHYYKKYIQALQNASDQGDRSQLTKAYQTANVLFEVLKAVTQQHAVEVDDEILETADKVKEKTKIYIPFNILPLDPDSGNQAVMKFPEIQAAASALRNTRGLPLPKNYESKTDNVSNQREHLILLLANIHIRKHPKTDEHSKLEDNALDEVMKKLFKNYKKWCKYHGRKSSLWLPTIQQEVQQRKLLYIGLYLLIWGEAANLRFLPECLCYIYHHMAFEMYGMLAGNVSAMTGEYVKPAYGGDKEAFLKKIVTPIYCTIAQEAERSKREKGNHSQWRNYDDLNEFFWSADCFRLGWPMRSDADFFCQPLKPVDERNESTVKADKQKGKVNFVELRSFWHIFRSFDRMWSFFILALQIMVILAWSEGGSLGNIFDPLVFKEILSIFITSSILNLGKATLDIIFNWRARRTMEFMVKLRYVLKFILAAMWVVLLSVTYAYTWEKPTGIIRTIKNWFGNGPDQPSLFIIAVVVYLLPDMLAALLFALPLLRRKLEGSDYKLMRLIMWWSQTRLFVGRGMHESAFSLFMYTMFWVSLLLTKFVFSYYVEIKPLVEPTKDIMKFPINHFRWHEFFPRAKGNIGVVISLWAPVILVYFMDTQIWYTIFSTLVGGVYGAFQRLGEIRTLGMLRSRFDSIPLAVNDCLVPVETSDSRRKKGLRSNLHNRFKEMKHEDKEKVAARFAQMWNEIVTSFRHEDLIDNREKELLLVPYVADQGLRVMQWPPFLLASMVPIAVDMAKDSNGKDRDLKKRIENDYYFSCAIKECYASCKNIINDLVHGEPEKRVINTIFTEVEKCIDEDKVITDLNMQSLPDLYDKFVDLVEYLTKNDEKDRVYVIKIFQDMLEVVTRDIMEDQLPSILESSHGGSYQRPEGMTAWNNEYQLFQPSGAIKFPLQMTDAWTEKVKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPVAPKVRNTLSFSALTPYYNEHVLFSIKELEEENEDGVSTLFYLQKIYPDEWDNFQERIAGWEEELKENEELKKEELRLWASYRGQTLTRTVRGMMYYRKALVLEAFLDMAKHEDLMEGYKAAGSISDEEWKSLFAQCEALADMKFAYVVSCQQYGNDKRSALSNAQDILQLMRTYPSLRVAYIDVVEDRVGEKQIETAYYSTLVKVALNKDSESADPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIVFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTEDGIRQPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASRSINLSEDIFAGFNSTLRGGNVTHHEYMQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVFTVYVFLYGRLYLALSGLEEGLATQRKFSHNRALQVALASQSLVQLGFLMALPMMMEIGLEKGFGKALSEFIMMNLQLASVFFTFSLGTKTHYYGRMLLHGGAQYRSTGRGFVVFHAKFAENYRLYSRSHFVKGIELMTLLIVYQLFGQTSHSTIGYIFVTSSMWFLVLTWLFAPFLFNPSGFEWAKILDDWSDWNKWISNRGGIGVSPEKSWESWWEIEQEHLKHTGTLGIIFEIILSLRFFIYQYGLVYQLTITNDNKSIVVYLISWGVILVMLVILKIISVGRRRFGANFQLFFRLIKFMIFVSFFAILVVLIVLLHMTIKDILVCLLAFLPTGWGILLIAQACRPLFRVTGLWGSVRALGRAYEVIMGMLLFTPITVLSWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERAASTKD >SECCE2Rv1G0127730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:855690730:855692007:1 gene:SECCE2Rv1G0127730 transcript:SECCE2Rv1G0127730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVRGEAWSMAPPQQQRQPSGPRGPQQQQQNGRIDLRELKAQMEKRLGPDRSRRYFGYLSGYLSQKLSKPDFDKMCLLTLGRENLRLHNRLIRAVLYNVYQAQCPPPPPDVGKSVGPSAKKVSLAAGSLNSCNGDARLLQVQGSRSMGALQDHQLKDRLKHMGPNGRMEAVASQTQFVPGGSAVIENGALSSVELKRSVSFQQCEAAEPSAKHLRVDQLLPENALRQRRIMSDAAGHSAQMSRSPVRAPLGIPFCSASVGGSRKLLPPAVNAGEDHFSSCYEQGQLLNTEVLRKRMEKTAETLGLAGVTMDCAELLNNGLDSYLKNLIRSSVELKGADVRRDARKGASYKQHAHAKQINGVWLPNQAQMQSSSGQSDATNDSRSQHLISAHDFSVAMQLNPQQLGEDWPVLLEKICLRPSEEND >SECCE5Rv1G0321530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:405960363:405967133:-1 gene:SECCE5Rv1G0321530 transcript:SECCE5Rv1G0321530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAPKEAPPPQPQPGVEEDGTLSATAAMARDAAVLFQSRRYAECAEVLAQLLLKKEGDPKVLHNMAVAESFVDGCPDPKKLLEILGNVKIRSDELASASREQADSANGVGNNTSSEPRGSGIAPLISAAHNATAYGDEFDTTIITFNTALILYHLHDYESALSVLEPLYRNIEPIDETTALHVCFLLLDITLALQDASKAADVIQYLERSFGVANTGNQNEIASTVQQQPAQPKPAKSNTPPDSDSNTCPGGSEILSVGSFSDDTLEFESFYSTLDGGNHLGRPILNEFSRASADLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRTEPVMLAMFYNNLGCILHQQRSNHTSVLCFSKALKYSLSLRSEKPLKLSALSQDKSCLISYNCGIQHLMCGKPLLAARCFREAMPLLYHRPLFWLRFAECSLLALEMGFLTASGATSCKDEIEIYVVGSGKWRHLVISPVNSGSHLSDFGSSGEHGNLISLRFARQCLLNAQLLMDASEQKKMVISDTEECNQGSQCQKSSGQNTMSVESKVHSGPTTNANGEQKGAASLNATLQSSLAMYDDIIRKENLKIRQAILGDLAFVELCLESPLKALSTANSLLQVPDCSRMYLFLGHVYAAEALCLLNRLKEAADQLTVYLRDGNAIELPYSVENREKAPVEKDSDGEDSVTPAMTKLTSEESQHSMSLKPEEACGVLYVDLGMTAAVQGELEQANYLVSRGFAMLPNSPRALLASIYVDLLQGKAQEAIGKLRRCRNVRFKTSSVAASR >SECCE2Rv1G0124080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:829457287:829459086:-1 gene:SECCE2Rv1G0124080 transcript:SECCE2Rv1G0124080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAEPKVEVKVFVDQENSRVVFAESGREFVDVLFGFLTLPLGTVVRLLGKQAQAGCLDELYKSVEGLPARLFRTEACKTMLLSPINAAAKQCSQLKVRVDDTKHREVYVCADTSCCAHGEGAFSSVRGAVCKCGKAMFQLAGERPEENDGSTSAAGGGDSDVGVFLKGDVKFIVTDDFHVAPASTSLMLSILEKFEVQDLSSLEQRTLQLSSDKMISLLKRSLTSKNPLTGHYFDLAIGPDDSVTDILTENLHPQQENNAEHTLNNVKIKALQTKDNSSVLYAEAGAAFVDLLLGLLSIPLGSIVKRYGKCTSNGCVDNLYSSIGGFAEEFLRPGCQILLLSPNLPPFFGCGTSKMLQVEELSPHKQEIDACIKCFKIGGFRDLARCHETGYVGQYPKYINCEVNVKKASLFEWDPKLPKGEESDTGEAYVKQGPQSFMVTDNLHVLPLSLASTLRVISEAKIQRKDLVEKELTLTTPQVMEVLKAALVTHKALSTALLPPKQPAPKNMKKLHHQSFGLY >SECCE6Rv1G0446440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:844159172:844161469:-1 gene:SECCE6Rv1G0446440 transcript:SECCE6Rv1G0446440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKFNLGNNHLTNPDYAKFSPMSSLKMLSLANNDLSGTFPQFLLNTTNLRLRSLNLSGNTFSGPLPDSLPNMVPRLRHLNLSVNGFSGSIPRSFSRLQKLEALYLGRNNLTGGIPPELGMISGLRNLSLGRNPLGGSIPSSLAQLQLLQVLQLRNAGLVSTLPPELGNLTSLKRLELQQNQLHGSLPPCFARIKTLVVFSLAGNNINGTIPTEMFTNWTKLEYFDVSRNLLTGRSPSHICKCKKLKVFVVSRNLLTGSIPSHISKCKELIYFDVSENLLTGSIPVEIGSLRNLQALFLSKNCLTGNMPSDIGNATSLKFLAVSSNHLKGELPKNISLLINLVALDLSGNKFTGIIPHHDSKQLPVKVANNMDNSNFSGESRSVFCWLTLLQLLDISNNELFGNFPACLWNLEDLQTLDLSGNAFVGEVPTSTFYNSSLRSLQLSGNNFTGCFPAVLKNLKSLVVLDLGNNKITGVIPPWIGESNLSLRILSMRTNMFFGSIPWQLSRLPHLQLLDLAENNFLGSIPESFINFSLMRQTFMMQPFVTIDIPQWYFYNGSMAIIWKGREYTFEGRYAFVTGIDLSGNSLSGEIPSELTSLRGMRLLNISRNNLSGSIPKDIGNLKLLESLDLSWNKLSGHIPPSVSNLMSLSALNLSNNLLSGEIPTGSQLQTLDDPSIYANNLGLCGPPLRPCTNNSSSTTPMDGEKEHHHELETLWLYYSVIAGIVFGFWVWFGALFFCKISRLAFFSWVDAMQQNIVHRMKRT >SECCE4Rv1G0245670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:534804898:534806319:1 gene:SECCE4Rv1G0245670 transcript:SECCE4Rv1G0245670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGGAPSPHLLFVTSAMQGHINPVRRLAARVAAAGSAVTVSTAVSGHRRMFPSLASPGEEAVDAAGVLHAPFSDGYDEGVDPRVHDMRSFAARARAVGCETLAGVVARLAERGRPVTCVVYTFFVGWVPEVARASGIPSALFWIQPAAVFAVYYHYFHGHDAVLASCANNPDRDALVQLPGLPPLKSRALPSVVALTSPGERGYEVVGTLRDLFLALDEHRPKVLVNTFDALERDALRAVPGFELVAVGPVVPDGASPSTTDLSLRDDDDVNGYMEWLDTKAARSVVYVSFGTIFAVSKRQELETLQGLKATGRPYLWVSRKVAEDGAELDGAGAGGGADGGQGIMVEWCDQVRVLSHPAVGCFVTHCGWNSALESIACGVPVVAVPQWTDQPTVAWLVEECAGVGVRAQADGEGVAERGELQRCVETVMGDGEAALKIRACAAKWMERAREALAGGGRLDRNLRAFLSCF >SECCE5Rv1G0320210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:382824365:382826569:-1 gene:SECCE5Rv1G0320210 transcript:SECCE5Rv1G0320210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF152 [Source:Projected from Arabidopsis thaliana (AT3G09650) UniProtKB/TrEMBL;Acc:A0A178V9F2] MLHGHGKPRLQPQPRPPAAPFPAPPRFSPATATSSTSWRNHHTLHSAISPAPPLSTTHEDDDALLALLRAGETDAAYRLFAASPSLPESPTAASRLLAQLSYRSTSSHTFSRAAGLLHRLRAQGGLNLLDANSLSLAASAAARSGSAHLAYSLLLSMLRRGLLPDRRAYTAAISRLRPAHALRLFDAVLHHLRRAPDSASASFALPDTAAFNAVLSACADAGDCPRFRQLFDEMRSWPGAAPDALTYNVAIKMCARAGRRDLVARVLERILSSGLAPCATTFHSLVAAYVGFGDIPTAERIVQAMREGRSDVCLLLRHVAVEGNDEKGIAVVVDDEHSDVLEDIVGPKPEEGTEAPLLSKMYPPNSRVYTTLMKGYMNAGRVDDVVAMARAMRREGETMPASRPDHVTYTTVVSTLAAAGDMERAHAVLDEMARAGVPASRVTYNVLIKGYCQQLQMSRARELLEEMTTEAGIEADVVTYNTLIDGCVLMDDSAGALALFNEMRTRGVAPSTVSYTTLMKAFAASGQPKVAHKVFEEMEKDPRVTVDRPAWNMLVEGYCQQGMLETAKQTVERMKERGVQPDVATYGSLAKGIAVARKPGEALLLWNEVKERCEAGSGSGKPALKPDEELLDALADVCVRGAFFKKALEIVACMEENGIAPNKTKYKKIYIEMHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYGSEWRIGPLVGGGDEDDDQQLE >SECCE6Rv1G0386100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:90500493:90502726:1 gene:SECCE6Rv1G0386100 transcript:SECCE6Rv1G0386100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVCVTGAGGFIGSWIVKLLLARGYAVRGTSRRADDPKNAHLWALDGAAERLTMVQVDLLDRASLRAAFDGCDGVIHTASPMHDTPEEIIEPVITGTLNVVEMAADAGVRRVVLSSSIGTMYMNPHRDPDAPLDESCWSDLDYCKQTKNWYCYAKTIAERGAWEAARALGLDLAVVIPVVTLGELLQPSMNTSTRHILKYLTGEAKAYVNESQAYVHVKDAAEAHVRVLEAPNAGGRRYVCAERTLHRGELCRILAGLFPEYPIPTRCKDEVNPPKKGYKYTNQPLKDLGVKFTPVQEYLCEAVKSLQEKGFIKKASETMVLAGSRGSSPPQNSRAPVFMSKL >SECCE5Rv1G0349530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:684525316:684528550:-1 gene:SECCE5Rv1G0349530 transcript:SECCE5Rv1G0349530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWVQVLRKHFVARLATNAGLRQHTVTVDDDGTVVNFWLPKKKHTGAANTTRGKQMHAVVLVHGFAGDGMMTWGFQVGALAARGHDVYVPDLVHFGGSTSPSPDRSVAFQARCLATALQKLGLAGRRCTVVGFSYGGLVAFEMAAAFPSLVHSVVVSGADVAYTAAVNDALLRRLGAGSMTDLMLPETVGGVERLFSTAFYKKPLLPRRLLSDFLKVMCDNRKERSEMLENMITSKDKEAPAPVFQQMILLLWGENDNYFIVENAERLKRELGENATLRTISKAGHLAHLERPCVYNRCLKEFLAHVDRHPS >SECCE2Rv1G0081800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:145009117:145013447:-1 gene:SECCE2Rv1G0081800 transcript:SECCE2Rv1G0081800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Hyperosmotic stress response, Abscisic acid (ABA)-dependent gene regulatio [Source: Projected from Oryza sativa (Os07g0622000)] MERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGHKIDENVQREIMNHRSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFERICSSGRFSENEARFFFQQLLSGVSYCHSMQICHRDLKLENTLLDGSEAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRREYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTITRILSVQYAVPDYVRISMECRHLLSRIFVANPEQRITIQEIKNHPWFLKNLPIEMTDEYQMSLHMVGVNAPPQTLEEVMAIIQEARIPGDGSKFAGQLSVPGLGSMELDDIDDVDADVEDSGDFVCAL >SECCE1Rv1G0062890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721626733:721630125:1 gene:SECCE1Rv1G0062890 transcript:SECCE1Rv1G0062890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIVTVASGVMNPLIGKLTALMGDEYNKFKGVKKQASFLQKELSAMNAALQKLELMDDELDPTVKDWRDHVREMSYDMENCIDDFMRQSRADDANSGFIKITARRIKKLRQRLRIAHRMEELKTLAIEANARRQRYKVDDWKPASGSVDVDPRLRAVYQEADSLIGIDGPREEVATRLMDAQKNLKVVSIVGFGGLGKTTLAKQVYDKIGLQFDRKAFFSVSQRPDMTELLNNLQYKLGMKNPDTSHTRKVDDIIEEIRQHLKNNRYLIVVDDVWDESAWNVIKCVLPEEGNGSRVIVTTRVEVVAGAACQNDREGIYKLEPLSEENSRMLLLNRVFGSEHGCPSQFEQVITEILKKCHGLPLAIITIGSLLASQERSRKGWESIRDSLGAHSATNPSFEEMKSILNLSYMHLPAYLRSCFLYLGMYVEDYEISRDDLVRQWIAEGLVGNLQGREMEDVGTSCFNELINRSMIQPWKTECGEVLSCKVHDIMLDLILSKCAKDNFLTVAYNYEDMAGLHGSKYKVRRLSLSSMASGGATYGPTIGVNLSQVRSFTLLGKSMPPLVLFKYLRVIRIDRGSPHGDEILDLSAISQLFQLRYLYVWGPYFVQLPAELQGLHYLETLHIDPPLDSIPSDIVHLSHLSYLYLHICNHRLLPEWIGNMKSLNSLRMSVGWGAGQNELNGMNGIIGLGELTNLRDLTINLGTLEKPELDALVCSIGKLCNLKHLLFYGRRTEIHSQMDSLSNPFQHIEEISVSVLNFPRVPIWMGGLHCLRILDLRVEATSPDEVCLLGELPSLIKLKFSSSHIPKERVILGRGQFPILEYFGFWTKEDAMAYLGFEMGAMPNLRTLILHSKEWGGSTPVGMEHLLCLQKIEVYRHYRRDAAMVSAFRDALSLHPNHPSVE >SECCE3Rv1G0167180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:199212762:199217777:-1 gene:SECCE3Rv1G0167180 transcript:SECCE3Rv1G0167180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSFQDELRDLGVAALIRDDAEATALASADFGNVSVEPPAAAVVYPSCPADIAALLRASCARPSPFPVSARGCGHSVRGQAGAPGGVVVDMPSLGRLGGGFTSSRLSVSVEGQYIDAGGEQLWVDLLRAALAHGLTPRSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVQELDVITGLGEMVTCSKEKDTDLFDAVLGGLGQFGVITRARIPLVPAPTRARWVRLLYTGAAALTGDQERLIDVERGGMVSGLMDYVEGTVVTDNGLIGSWRSPLPSSSSSSFFSEPDDAARVAKLAVEAGGVLYCLEGALYYGGAAGGESDVDKKLEVLLRELRYARGFASVQDVPYLGFLDRVRDGELKLRAAGLWDVPHPWLNLFLPRPRVIDFAAGVFHDILRRGATGAMGPVLVYPMNRNRWDGETSTVFPEEEEMFYTVGILRSAVSFGDLGRLEEQNEEILRFCEEAEIPCVQYLPYYADQIGWRKKHFGPAKWARFMERKRNYDPKAILSRGQRIFTAPLA >SECCE5Rv1G0349820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:686817823:686819199:-1 gene:SECCE5Rv1G0349820 transcript:SECCE5Rv1G0349820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAARPKIGDRATSDVVVRLRTPDSGRDEWLYCHSGVLAAGSGYFADRLSDAWPTCQILDSRYCVEVHCRDADLSSHVTALRLLYAAEPVSRFGVRGALALLHAAAHLACARTAAACADYLESAPWDEADEEEILAAAPRLAAHHARVLARLRPADPGPATAIFLSAFRHATAAASRELKSAAQEQLEYMLTEDDDAPLLTFDAGSDAVRSQVKGCVTGLLNRFSDFTSSAPTKQQTKAPCSGELRQELHSFVSDIAWVCQVLGKLEMMKCLAAYWVEASSAVVAAVEAAAAAECHRESECLKTRLKVVEISAKVLEAVAFGNVVLPAEKRRHAVSVWIAFAGTTRHLADEADRGNDGDDDGNNGDGDTEAEAASASASAAAAKMGLDGEVWQGLESAITSIVTTLPSNAQAEVLSEWLQSKHAAFPDLSEAFDAWCYRSKVARRRLSFLNSTNRAS >SECCE5Rv1G0374100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861904799:861907426:-1 gene:SECCE5Rv1G0374100 transcript:SECCE5Rv1G0374100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAYAHLLPAVALLLLAATAPATAATSQADALLAWKASLGHPATLSSWTQATPVCSSWNGVACDDAGRVTSLTLRSLGLTGTLDALNATTLPALTVLDLSNNMLTGSIPAELGELVSLEQLDLSMNSLIGPIPSSFGNLTRLALFFNQFNGTLDALDATALPALTVLDLSYNMLTGSIPAELGELVSLEQLDLSMNSLTGPIPSWFGNLKQLTRLALSSNRFTGTLDALDATALPALTVLDLSSNMLIGSIPAELGELVSLEQLDLSMNSLTGPIPSWFGNLKQLTRLALSFNLFTGMIPPEIGSMTALQVLDLNTNSLEGKLPPAITSLRTLQQLNLSDNNFTGTIPPDLGKGISLTNVAFGNNNFSGELPLRLCDCFALQIFTAHNNNFSGRLPSCLRNCTELFRVRLEGNQFTGDISKVFGIHPNLDYLDVSRNQLTGKSSDWSHCTNLTHLHMNDNRISGNIHATFCRLTSLRDLDISNNQFNGQLPSCWWDFKLMIAMDLSNNSFSGELPMPTSLGLKLQSLRLANNNFHGVFPSIIETCRDLVILDLGNNKFFGDIPSWVGTSVPLLTVLSLPSNNFSGVVPPELSQLSNLQVLDLSSNFFSGEILMAMADHNCSLDSFHLADNGFTGAFPPLLQDCKLLATLDIGNNQFFGGIPAWIGSQLPSLKILRLRSNNFTGQIPPELSRLSELQLLDMANNSLTGSIPVAFSNFTSMRHNLPPLDEPRDSAPLQIMPNGRPRADQFPERVNISWKGREQTFQKSIGVLNLSNNHLQGLIPTGNQLQTLADPSIYGNNLGLCGFPLSPCEPTLGEGTEDHTKLIDLGLCYSVILGVVSGFWLWFGALFFLEQWRFYFLHSVDGLQVKIGVTR >SECCE1Rv1G0023440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:304639402:304657776:-1 gene:SECCE1Rv1G0023440 transcript:SECCE1Rv1G0023440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRRQNELARTARMKRKAQLQLKGGSKRARINNTEKSKDSNSSDSKIWNFEGASSIQNQQIMELRLQNLCSSQYCHAVRANSNYQPTQVTESSIVDSAMMAEQMMKRRRQDELTRTARMKRKAKIQLNKSRRHARTNNDEMDKDDNSNASKVWNFGGPTYICQHCHALIIRQPSFAQCCKQGKITLPPFKEPPPYLTSLLTRGGGKISANYRKNIRSYNSMFAFTSMGGTLDKKINKGRGPYVFRLNGQNRHQIGTLLPEEGDRPRFQQLYIYDTDNEIQNRVEASRSDGRDASLKQTIVSGLLSMLDENNTLAKSFGMARDRFKEDDYSNFTLRLLGSRDQDGRQDNMPSISEVAALIVKDPTQKHYGRDIVLEYKDMKPKRISEIHPKFMAMQYPLLFPYGEDGYRLGIKYKKKKGVSNRKYITMLEYYAYHLQQRPGQSMLMLMCGNLSIQFVVDVYTCIEQDRLNWIRKNQGNLRTQLYDGLQDAFRKGDTLTEQVGRRIVLPASFTGSPRNIERNYQDVMAICRWAGHPDLFVTFTCNPNWPEIQLMLDEAGNQKPAERPDIVVRVFMIKLKELMFDIKSKQYFGKTKAKFQKRGLPHAHILIFLDRREKGKCLKPSQTDQIICAEIPDKDRDPEIFEAVKNFMMHGPCGEANPKSPCMEKRMCSKYFPKEFCDETVVDEDNLPRYRRRDNGRQIDKGGVKLNNGFVVPYNKDLLVKFQAHINVELCNQYMPIKYLFKDIGRDNQATAMVEEKDQSKNNDEIKMYLRCRYITATEACWRIFKFPLRYQEPSVQRLLFHQENKQQVIFPDSTNLDEIIQRPRSGVTMFTEWMEMNKMHEDARELTYAEFPTQWTWVKKEKKWTRRRRGKKIGRIYNAHPASGERYYLRVLLNTAKGCMTFEDIRTVNGVVHSSYKSACHALGFLKDDKEWIECIKEASEWASGVQLRQLFATILCYCEVTDPRMLWESNWEALSKDIQHTPSWITYFPTPFTPSHNRKCTLIEIEKKMGQAGKSLKEYPGIELPNMPKLNGTENRLINEERNYDKDKLKAEHVQVVNSLNLDQKKAIDAIIESVDQSLGEMIFVDGYGGTAITTRLRSEGKIIVAVASSGVAALLLQGGRTAHSVFNIPINLTGQSTCYINQGSEVADLLVKTSLIIWDEAPMANKKCFEALDKSLRDVLRFTNENSYNKPFGGMTVVLGGDFRQILPVVPKGRREHTISASIKCSYLWQHFKVFNLTKNMRLNSLSNEQAEQKKTAEFAEWILQIGNGDPCLLDEKEYVSIPSDLLLQNEDDPKTKIIQSTYPDLQDNLCTQKFLEERAILCPLNETVKEINKDIMGQIQGDRVTYLSYDNVSRSMSYSHETELLYTTEFLNKLKHTGCPDHLLELKVGLPVMLLRNINQSAGLCNGTRMTITKLGKRVIEARIITGAHVGSKVCIPQIITLTVQPKWPFVLIRKQYPLSVCFAMTINKSQGQSLNKVGLYLPRQVFTHGQLYVAVSRVTNRHGLKILITDDEEHASKGIAKNIVYKEIF >SECCE2Rv1G0082800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:156648187:156650994:1 gene:SECCE2Rv1G0082800 transcript:SECCE2Rv1G0082800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAFII21 [Source:Projected from Arabidopsis thaliana (AT1G54140) UniProtKB/TrEMBL;Acc:A0A178W640] MDTGVGRTPPPAAAADAGAEPRDAHVVKEILRSLGLEEGDYEPAVVHQFVMLAQRYAGDVLGDAVAYADHAGRGSLQLQADDVHLAIRSNATFGHELPSRQVFLEMAHSWNETPLPKPPPGSICLPHDQGMMSGQKYLCIPQMKPSTDNVEGIKNGNTGETSNPKVAPSSCNNEDQTSSSNQQCSKSIPSQLNAMAARASRRWIMKNRRSKKSQP >SECCE1Rv1G0028040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:392758160:392765712:1 gene:SECCE1Rv1G0028040 transcript:SECCE1Rv1G0028040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRLQAFFSLTLATPRRLPSHLRCAAAVASPLPRRPPAAASNGSPEQRASETDLESGLYLVATPIGNLEDITLRALRVLKCAHVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPIILKRLHEGEAIAVISDAGTPGISDPGMELARLCATEKIPVIPIPGPSAAIAALSASGLPTEEFTFVGFLPKHGRSRKTRLEVSAREAVTQIFYVPPHGIHQFLLDAASSFGDSRSCVIAREITKLHEEFWRGTLGEANEAFASRQPKGEITILIDGNSISIDETPSDDFLEHELRELMAKGHALSMAVKLVAEATSAKKKDVYALALRVFGK >SECCE7Rv1G0464620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:62724896:62729266:1 gene:SECCE7Rv1G0464620 transcript:SECCE7Rv1G0464620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese and Cadmium transporter, Mn and Cd uptak [Source: Projected from Oryza sativa (Os07g0257200)] MEIEREAPGSERGRSRRASATQDAQDGKKFEGGDEMFSKEPAWKRFLSHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVVTGKHLAEICKSEYPKPVMICLWLLAEVAVIAADIPEVIGTAFAFYLLFRIPVWIGVLITGSSTLLLLGLQRYGVRKLEFLISMLVFVMAACFFGELSIVKPPAKEVLKGLFIPKLKGNGATGDAIALLGALVMPHNLFLHSALVLSRKTPASVKGIKDACRFFLYESGFALFVALLINIAVISVSGTVCYGENLSAEDIDKCSDLSLDNSSFLLKNVLGRSSSIVYGVALLASGQSSTITGTYAGQYIMQGFLDIKMKTWLRNLMTRCIAIAPSLVVSIIGGSNGAGRLIIIASMILSFELPFALIPLLKFSSSSSKMGPHKNSIYIIVFSWTLGLMLIGINVYFLSTSFMGWLINASLPTYAKVLVGIVVCPLMLVYLVAVVYLTFRKDTVVTFVADSCKADAEKAAGGSGEDDDEPVPYREDLADIPLPAHSRG >SECCE1Rv1G0060380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710033614:710035560:1 gene:SECCE1Rv1G0060380 transcript:SECCE1Rv1G0060380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENYDPCYPDQPAVHRYLPLWARMPAFADKPAFVWADDDAATGAMSYTAITYSELNAAVERMASGLLGTLRRGDTVLVLASPGLRLVKLLFACQRAELTAVPVIPPDPSMPGPTHAHLFRAVSQTRPSAAVADARYVQAVVGASSRLADALGGLRWLSVDGLDEREADLPGAMAGHAGCGAGDAYLVQYTSGATGVPKPVVVTAGSAAHNVRAARRAYDLGPGSTIVSWLPQYHDCGLMFLLLTVVSGATCVLASPDAFLRRPRLWLELISEFKATCTPVPSFTLPLVLRRGGGRSASAHGLRRPVQLGSLRNLILINEPIYKACVDDFVAEFGPHGLRAESVSPSYGLAENCTFVSTAWRSTGGRVDRLPSYKKLLPSARLPSSRAHEAPEIEIVVVDEKTGEPVRDGTEGEVWVSSPSNASGYLGHPSASHEVFCGRVPGRAGSRFVRTGDRGVVTGAERYLYVLGRSVDVVATTLDGRVHAHYIEMAAFGSAPDRLRGGCIAAFTAPVTTSSKEQTCVVVELQNGGDGDHTSLCDGIRRFVWEAESVRVGRVMLVQSGAVPKTTSGKVRRGAAREKLLARRYPVVFEALYDDGDDGGPTRAVGDEDGEMEERCAASWMAGAGGVSAMATALGASRRIRVQSFL >SECCE4Rv1G0235510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:337367384:337394224:-1 gene:SECCE4Rv1G0235510 transcript:SECCE4Rv1G0235510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGPGEASLRRRRAPARLLLAVAALVTCTIWLWSSSTDLTLASYKAQDVDVNKLWRTANSNGWRASSAPRSYWPSPPTESETNGYLRVRCNGGLNQQRTAICNAVVAARIMNATLVLPELDTNSFWRDESGFIGIYDVPHFIKTLKYDVHIAMSVPDIITNGKAKKLKAYQIRPPRDAPVTWYTTVALEKMKSYGAIYLTPFSHRLAEDINDPEIQRLRCRVNYHALRFKPNIMKTSSEIVNKLRSEGHFMSIHLRFEMDMLAFAGCIDMFTPQEQKILIKYRKENFAEKELVYRERRLIGKCPLTPEEVGLILRALGFDNTTHIYLASGELFGGKRFMRSFKAMFPRLENHSSVGPGKLEENTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLIGHRLYYGFRTTITPNRKALAPIFMDREVGSTAGFEERVRQVMMKTYVGGPHKRIHPESFYTNSWPECFCQANPRNRADKCPPDNIYEVLENQIRSEESEESIEVKAT >SECCE1Rv1G0001510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:5089022:5091344:-1 gene:SECCE1Rv1G0001510 transcript:SECCE1Rv1G0001510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPVQAAPGSAYNLVETKPPLPAKLGPRGTVSKMASTYDMVEPMKYLYVSVVKARDLPTMDITGALDPYVEVKLGNFKGVTKHLVKNANPVWRQTFAFSFANLQSNQLEVIVKDKDTIRDDFVGRVILEVSEIPECILPDSPLAPQWYNLSDANGERFHHGHSLGEIMVAVWIGTQADEAFPEAYHSGAHPLSAEGLTNTRSKVYYSPKLIYLKVSVIAAKDLIGAENSKDPPVNPTIAKIQMGSQIRRTRPGQPPANPVWNDEFLFVACEPFEDPLVVTVEEKVAAGRDEPIGRIIIPVASNAAADVTTGTKHREHSKTFASKIHLKMSLETAYHVVDESTHYTSDLQPAAKKLRKSAIGMLEVGILSARGLGGNKSPYCVAKYGAKWVRTRTLLGPAAHAWNEQYIWEVFDLGTVITVAVFNNKNLDGHGVDERIGKVRVRLSALESDRVYTHYYPLMAMGGLKKTGELHLAVRFTCIAWANTLAQYGRPLLPKMHYTNPISVLQLNSLRFLAMQMVVTRLGKAEPPLRREVVEYILDADSHMFSLRRSKANFNRIISLFSGALAAGKWFDNICKWKNPLTTSLVHVLFLILVCYPELILSTVFLYIFLIGVWNYRRRPRNPPHMDTALSHAEQAQPDELDEEFDTFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLAMQGERAQSLLSWRDPRATAMFITLSFIIAIVLYVTPFRVVAVLAGLYLLRHPRLRSKQPSAPFNFYKRLPAKGDMLL >SECCE3Rv1G0199320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:843920646:843923324:1 gene:SECCE3Rv1G0199320 transcript:SECCE3Rv1G0199320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWEEVRRRERGELPARRFEALARSRRAASLALSNRKEIATPHLGAVNSLQVDLTEGRYLLSGASDGSAAVFDLKDATEYEAGFIAKHRSILLVDRQHEHGHKFTVSKAVWYPVDTGLFVTASFDNYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHHDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQLRSQLGRRPPILDSTMVNDQKNLGRSSSSKSYSVQQRTGNHKKQSKALRKSLTMVRGHTQQKVHPGMSSSQNHKTAHYGAVTGLRTTTDGMHLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTDDPSLVFIPCMSSIKAYNTWSGTTFQTFRGHYDHVNCCYYNSQDQELYTGSNDRQILVWSPSTPALTEMEDDDKRQDGFAADEDNWSD >SECCE6Rv1G0381100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:29611470:29611880:1 gene:SECCE6Rv1G0381100 transcript:SECCE6Rv1G0381100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE2Rv1G0087910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:235307173:235308370:1 gene:SECCE2Rv1G0087910 transcript:SECCE2Rv1G0087910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQTVKAGAAAAAAVLVAAGVRMVGPAAAGFVAEEIPRAQAAAATWLTPPYLYLVINAIIISIAASSRFQTSRSSSSHAAVGTEPIPVPSPALAMPMDLPAPAVAMAMAVPVPVPRVPEDVHVPVVKMPPAPVPAPEMEDEEEDFLISRSAWTPQRRVTETEVEVAPFADLTNKREKPLSSARFGRKAAKPSPEGSRALRVSRPRREDTLESTWKAITEGRAPPLARHLKKSDTFDTRPGRRPSGGGEEAAPPAATMRKAETFNDNAVATGGAGERKVRREPSLGQDELNRRVEAFINKFNMEMRLQRQESLKHYSEMVGSGGRY >SECCE6Rv1G0410480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:598683438:598689795:-1 gene:SECCE6Rv1G0410480 transcript:SECCE6Rv1G0410480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEEGNASAHRGSSRRRGAAQVGLDADELLTLMHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRLSERAREKAVEELTDELEKLDEKLKLTESLLDSKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQEDNRALDRLTKQKEAALLEAERTVQTALAKAAMVDDMQNKNQDLMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKVLDRELARTKVTANRVAVVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQVKEKYQWRLKVLEDGLRGPPSGSSRPPTEGRNTSSGSSRRLSLGGADNMSKVSPVGALMRRSPSFNSRPSLSTGSSLVLKHAKGTSRSFDGGTRSLDRGKVLVNGPHLLNRSTDAVGDCETTDSWKASGEEKNTETPNSDSTDVVSGVLYDMLQKEVVSLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVSAMRLEKEQENKAKRLGSLRGPGTVSQALPGRSAPRGGLARNIQ >SECCE1Rv1G0034060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:476102134:476105612:-1 gene:SECCE1Rv1G0034060 transcript:SECCE1Rv1G0034060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYGSALSAVLLLSLLVLSLSDDAPPSTPVSPTTACNDTTDPTFCRTVLPPHGTSDLYTYGRFSVAKSLASANKFVGLVDRYLSRHRHLSRSAIGALQDCKLLSELNVDFLSATGTALKGTETLLDPQADDVQTLLSAILTNQETCLDGLQAASGSWSDRGGGLAEPISNGTKLYSLSLSLFTRAWVTTAKAPKGAKKPHRGHKKPPAAPAHARRGLFDANDEEMVRRMAIEGPQGTVAVNRAVTVDQGGSGNYTTVGDAVAAAPTNLNGSAGYYVIYVLAGVYEENVEVPKKMKYVMMIGDGIGQTVITGNRSVVDGWTTFHSATVAVHGQGFVAMNMTIRNTAGPAKHQAVALRSNADLSTFYSCSFEAYQDTLYTHSLRQFYRGCEVHGTVDYVFGNAAVVFQDCTFYSRLPMQGQSNTVTAQGRTNPEQNTGTSIQGCTLLPSPELAANAAFDTRTFLGRPWKNYSRTVVMESYIGGLVDATGWMPWSGDFALDTLYYAEYNNSGPGADTGRRVSWPGYHVLGDGADAGNFTVDSMVLGGNWLPQTGVPFTSGLKY >SECCE7Rv1G0485340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:369380766:369385140:-1 gene:SECCE7Rv1G0485340 transcript:SECCE7Rv1G0485340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPSSSSSSAPAAAPDDPTSLAPGFRFHPTDEELVSYYLKRKVLGRPLKVDAIAEVDLYKVEPWDLPARSRLRSRDSQWYFFSRLDRKHANRARTNRATAGGYWKTTGKDREVRHGARVVGMKKTLVFHAGRAPKGERTNWVMHEYRLEGDGAAGIPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEADDDDDFGPLPVERDIFGEHEAPGAMEKGYLQMNDLIQDLAGQNENGSVALPVSDTSNISSHSEGVEGNSGDILNDPSLGSNFLQYIHPGEQNSSMLNENMLSNANVGDFLNSSSPNDEFLELKDLELPLGNDSTIWPSDGWAWKTPFSLDAANGTNNEVPLITGDQPFQPDELAQLLQTLQDESSPLGSTMTDLPHSSITNSVKPEDDSLVYFDAPFDNSMFSDGFRQTNGFLGSPATILSGIETLDDGIPYYDAMDDNLFNDMMCSVQQSAGSSSHVFNGPVLTQEVNNPNYTYSPTQKVVEPNFVVGAPSSTRLSEAGGQLNCVVLPDSQAKNGSMGKRFVKMLDSISAPPAFAAEFPGKSLHPNTISVSAEVISIGSLTVASRQGKWSFQKDEDMELLFSTGFQADNRIHCGGCNTVTAVLRGGFCLFFLSAIMLLVSYEVGLCIYGK >SECCE7Rv1G0526120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894024151:894025323:1 gene:SECCE7Rv1G0526120 transcript:SECCE7Rv1G0526120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVLLDREVELCDEDAGINEGPIRLSWGGLPARRSGREKLSDAVTKYMQTFKAQPFVADPPELSSLNIRPPKSALVPPTSGLDSGRISSADKHLVALYAGGYRPGYSLPGSYLIYDASKDSISAIPCIRPDTRTSAMGHQSAVVMCTGEGSYLLAELVWVCPQDSQAALWLWESSAKQWVFKLRDLPVPPGTTAFSFSVHSCFSYGGSFLCWVDLHRGMLLCDLGKDCNFSFIELPQGHPNYDVSEYPDGLRAEEFRSVACVRGSVKFLAFNKFVERKPGETFGLTVWTLSPDHSVWSISYKCSVGDIWANTNYQTTGLGQLAPSFPVLSTHEDGVVYLVVNDTSVVGRRLVFKAQYLLRVDMGNNNDVQIYQQKTKRIYYRRRLSA >SECCE7Rv1G0521160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873120924:873121115:-1 gene:SECCE7Rv1G0521160 transcript:SECCE7Rv1G0521160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSCCCIGCGGGSDDDRGGGDGGGVGLDPKGFLLAMLIALVLVMLCHMPQPRRQNYLVYRG >SECCE2Rv1G0086550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:213743923:213744840:1 gene:SECCE2Rv1G0086550 transcript:SECCE2Rv1G0086550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHFQAQPPVLQLQDYCYYYQQQQEAAAVQAKPTKPRGRKKGSTSHSKFVGVRQRPSGRWVAEIKDTTQNIRMWLGTFETAEAAARAYDEAARLLRGAEARTNFAPRISPDCPLAVRIRGLLHHKKLKKARLPAASAKISGSPSTPAAPAAYTTSHSNSNSNSNSNSMDGACGGASSSSSSSAVSCDGAMKQGGGAPDAGEVYRPDFAPVAGAEELESWMFESSFGHFPALDGFAAVDACTLPAASPEETGAAPAAGMVEFERMKVERRISASLYAMNGLQEYFDKVFEASAGDPLWDLSPLCQ >SECCE5Rv1G0353110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:713772043:713779646:1 gene:SECCE5Rv1G0353110 transcript:SECCE5Rv1G0353110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-12E [Source:Projected from Arabidopsis thaliana (AT3G44050) UniProtKB/Swiss-Prot;Acc:F4J1U4] MLSDGGDDDSSAPARFELQEDPSFWKDNNVQVVIRVRPLSSSEISVQGDKRCVRQDSGQSITWTCHPESRFTFDLVADEHITQESLFKVAGVPMVENCMAGYNSCMFAYGQTGSGKTHTMLGDIENGTRRNNENCGMTPRVFEHLFLRIQKEKEIRRDEKLSFTCKCSFLEIYNEQILDLLNPNATNLQLREDAKRGMHVENLTEHEVSNAREALQQLIEGAANRKVASTNMNRASSRSHSVFTCLIESKWESQGIKHHRFSHLNLVDLAGSERQKSSGAEGERLKEASNINKSLSTLGHVITSLIAVSNKKSQHVPYRDSKLTFLLQDSLGGNSKTTIIANISPASCCAAETLSTLKFAQRAKHIRNNAIINEDASGDVLSMRLEIQHLKKELSRLQGQSGFTNNGFVCESPSAFKWDQANGTFSPLMFDKRATQRRDYDITLAAAFRREQEKEAKLKAAIAAKQIAEELATQRSEEVRSFRMRLRFREDRIKRLEQLASGKLSAEAHLLQEKEELMKEIEALRNQLERNPEITRFAMENLQLKEEIRRLQSFVDEGELERLHQQINVLEHQLLEALDWKLMNEKDPVNKDLSLFGEEAGDEKNEFLLVQAIQNEREIESLRKNLSVCLQAKEKLERRVDDLTVELEVAKKCDHDNKEFKAAQHQEQSVLLDAQTELKTLVDAIATASQREAEAHETAIGLAKENEKLRTELTTLIEDNKRLVELYEQAIVNIEVKQHGNYPSIPQTEDSNEQQSSHPSNGGNIVNDQPEAAYGSPSDALEEPMMVDEKCSHKDDPSRSEFSELQLQLEEMHEENDKLMSLYEKAMQERDEFKRKFSEQSNHETTLDIRSRDAGMDEEIDTVQSNPETIEDIQFRDAEMEAMQSNPETIEDIQFRDAEMDEVMDAEGFQGQHVHDSPIVAFKEAMQLVRVKLEHVQDKLVTAQDAVQYFKLLEMASTKAEELSSSIQLCCLDVQKEQEDINALKSALSESHERENALEGKIFSPAASCWDLHLKTEALAGSKFGVNVESMNKKMEQLSSLRTRKTEVSAARAEARRSETELRNRIDGLKQKYRSFEAQRKETERVLFAIDNLECPATPLQKPMNFGKASELLKSEEERTKLLSELKKSREQLSVVQKAIKSMRNCDDIDGEISRLESEMEVCFLSLLEADAEKFVRDHALAEVWEVQRKDLPSLLVDYQDSVFHVKLEEEQIRVCEASLQHQTTSLDEMNSKLSQAMRDLGELLIARGLDASTPHVSDKVKGDLDAIEVHVAEARQLLLVDNQTDL >SECCEUnv1G0553990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:281135634:281136104:-1 gene:SECCEUnv1G0553990 transcript:SECCEUnv1G0553990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTAEKRTVKSDPIFRNRLVNMVVNRIMKDGKKSLAYQILYRAVKKIQQKTETNPLLVLRQAIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASQKRPGRNMAFKLSSELVDAAKGSGGAIRKKEATHRMAEANRALAHFR >SECCE1Rv1G0011610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:79656967:79663215:1 gene:SECCE1Rv1G0011610 transcript:SECCE1Rv1G0011610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPDPADDDAHRRQATHPPAPSPAADPDDGGSDKPPTRAEEGRVVAMEPSPSPPDSTVSEDGRHQVALSSPPRTPIPVPEDDGGGDDLTRAVSLLSLAEAGLTLTAPGVVDPSPPASAVSSHGHQDDDDLAGVNKRTHARFLVTHAEAGLIIGAGGSAVAAVEARSGARVRLSRHDDLLPGTDRRVVLVYGLLNQVMDAMELLLQRLLHQGDQASDSEATVVLVVPQPCCGALIGKGGSVIKLFTDASETAIQVSPQNISYGINDRLVTITGPLDNRLRAVFLIIFELLEDIRYLFPSSTATSKTPMRSPVNKDAQESLTIAVADEHMGAVIGRGGRIINEISKVSGAWIDISGKGGFIPGTHDREVTIRGTSEAIRAAEAMIMHSVSVAGSEGGNLMGRRVEGKVVDRTRVVQ >SECCE6Rv1G0403010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:495051531:495054767:1 gene:SECCE6Rv1G0403010 transcript:SECCE6Rv1G0403010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSAATKLHIPPAHSAARRSSFLPLAAVALLCSASYLLGAWLHGGGFSPSSPSRSVTIATDISCTTTTLTPSTTTTTTQSLDFSAHHAAAVDAVAAKAASSASSAPRRYPACPAEYSEYTPCEDVKRSLRYPRDRLVYRERHCPSGRERLRCLVPAPAGYRNPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKLRFPGGGTMFPHGADAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWHLYDGLYLIEVDRVLRPGGYWILSGPPINWKKYWKGWERSKEDLNAEQEAIEAVARGLCWKKIKEAGDIAVWQKPANHAGCKASRKAAKSPPFCSKKNADAAWYDKMEACVTPLPEVSDASEVAGGAVKKWPQRLTAVPPRVSRGTVKGVTAKAFLQDTELWKKRVRHYKAVITQFEQKGRYRNVLDMNARLGGFAAALASYPLWVMNMVPTVANSSALGVVYERGLIGSYQDWCEGTSTYPRTYDLIHADSVFTLYKNRCEMDTILLEMDRVLRPEGTVIIRDDVDMLVKVKSVADGMRWDSQIVDHEDGPLVREKILLVVKKYWTAKNQ >SECCE2Rv1G0078360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:107152260:107153141:-1 gene:SECCE2Rv1G0078360 transcript:SECCE2Rv1G0078360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVLQLRTSDGKVLVAPAWDYRPAAAQALPLEMPVPSRALERVLQYWTKHSLAKATGESRESLARWDADFQRRLEEEGLAKEAASAAQELRRHGVDHGGRPHRQAATAASDVAAPAKAARADPVRAWCPLVHHLKGVNHGERSHAPAMPGAFHASAARPGPATTLPAAAGADSVDVRCAIRARGRQMAEDEESACHHRKRPASKAAAPVKKVSRPVGSKVIRAVVSRPITQLPVTAVAPVVKKMTPAVSTLRARRGKGELSCKVPKQIRVTAAAPMKQPIPWLRPVVLRPC >SECCE2Rv1G0108090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:658430999:658432979:-1 gene:SECCE2Rv1G0108090 transcript:SECCE2Rv1G0108090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGWLTLCFIVLSTLLALWFSGGKSKPRKHLPPGPWTLPVIGSLHHVLSTLPHRTITDLCRRHGPLMLLKLGEVPTVVVSSAEAVAEVMKTNDVAFANRQTTQLQEIIGFGGKGIIFAPYGDHWRQMRKVCIVELLSSKQVKRMECIRAEEVGSLLRSINTTTAAGAAANLSEMFAALGNNVVARAAFGGKFARQEEFLHAMDQIMDLMGGFCLVDLFPSSRLARGLSNGERRIKRIRDLIEHIITEILDERKVARAAGHGACSTDDEDLLDVLLRLQEEDSAYPLTTEIINTVLLDMFAAASETTGTALEWAMSELISHPEVMAKAQLEVREVLGQGRAIISNNDLSELHHIQMVIKEVLRLHPPAALLPRKTREDCKIMGYDILKDTNIYINVFAISRDPRYWSNPEEFKPERFENNNVDYNGTSFEFTPFGGGRRQCPGIAFASSILEITLVNFLYHFDWMLSEDANSVSLDMYEKFGFTVRRRSDLLLKAIPHVCSKATHI >SECCE7Rv1G0500210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:663642953:663644950:-1 gene:SECCE7Rv1G0500210 transcript:SECCE7Rv1G0500210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQAAKAISSPVPVEWYPTLAVVMVSVGLMLTASFFIYEATTSRRNRSLAKEIVTASIASVFLGFGSLFVLLASGVYV >SECCE7Rv1G0469470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:109679063:109682826:-1 gene:SECCE7Rv1G0469470 transcript:SECCE7Rv1G0469470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATAAAAAAISIHPFLSRPASVLRCGRRVPPLLLLRATSASSASDFNITFAEPAPAPSKALRGGPSAQPLVPWIARGADGKPSLHTSPPPDVIQAVAAAEAEAKRAAKRGQRQGPAADAPVASVRVREKKAAPTAPPKFSKAARRFYNENIKEHEPQRLAKVLAAAGVASRRTCEELIFQGKVTVNGSVCTAPQTKVDISKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSSGEEKSVISLLDDYLKGWNKIQPGVPKPRLFTVGRLDVATTGLIIVTNDGEFAQKVSHPSSNITKEYVVTIDGAVHKKHLVAISGGTVIDGVKVVPDLVEPLDAQADTKRTRLKIVVHEGRNHEVRELVQNAGLKVYALKRVRIGRFRLPSDLGIGKMVELKEADIKALDGNS >SECCE4Rv1G0256130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:654488674:654490785:1 gene:SECCE4Rv1G0256130 transcript:SECCE4Rv1G0256130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNTSKAAPSDKELQDIDDWLPVTSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVAAMILSWVITLYTLWQMVEMHECVPGKRFDRYHELGQHAFGQKLGLWIVVPQQLIVEVGVCIVYMVTGGKSLKKVHDLLRPEHSHPIRTSYFICIFGSAHFLLSQLPNFNSITGVSLAAAVMSLSYSTIAWAASLHKAGKAGPSHVVDYSMTATTSPGRTFNFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWRGVVLAYIVVAICYLPVAFLGYYVFGNAVDDNILITLEKPRWLIAAANMFVVIHVIGSYQIYAMPVFDMLETFLVKKLRFKPGWPLRLIARSLYVAFTMLVGIAIPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIKKPARFSMSWCINWICIIIGVLLSVLAPIGGLRSIIVNYKTYQFFS >SECCE2Rv1G0066380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14025842:14026428:-1 gene:SECCE2Rv1G0066380 transcript:SECCE2Rv1G0066380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGEMMMFFYWAYSARNDDIFVLSSIKPEAAEDLNRHGVTYYCLAIVTVVPMVDEYQNSFRVKVAKNIGLEEDLNKLEHAIFLNNITINVRIWKAHTCETHMNDNFTVIKSLLAPTNLGEDVCGICVKQDGVCLASFAETSRNYWVRMLLNLSSQL >SECCE7Rv1G0470580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:122513504:122515688:1 gene:SECCE7Rv1G0470580 transcript:SECCE7Rv1G0470580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGSSPTASSSSDDDGDASWRAAIDSVAVGGFGYPSSNGAAKATSGGGGVEEDSNGLEQPPQDEGKAQTPGLKLYQIKVRNMLDDMLEKNLEIVRNPCLNLADPEETGGGIKLFKKAPPGIRMDAVDKYHVQLKRPKILPGPEVDEKSKKFRHMLQSVVVDGNDVLVSAKEASQISLARMEARETAAKTKAKREEERVNQLKKVRGEKWLPSIASKMKKEKSWEQWQEKKLSSNGGDGGSFQLGRIC >SECCE1Rv1G0031650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:444533668:444536967:-1 gene:SECCE1Rv1G0031650 transcript:SECCE1Rv1G0031650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylmuramoyl-L-alanyl-D-glutamate--2,6-diaminopimelate ligase MurE homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G63680) UniProtKB/Swiss-Prot;Acc:F4I3P9] MATAPHLAFHLPFPFPSTSRPPPRALAPPPPRRASLRLAAGRRFRPPTADDEPPEAAEDSSHGLNRYDQLARHVERARKRQEADQPEVTAEHPLFSSPPPPPAGGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPTPPPPPELDVPADELSPEEAIDLDEIRRLQGLSVASVADEEDEEEYGDEGLPLDEDGEAFDVADELGLEGDRIRQPAFRMTLAELLDESKLVPVAVTGDQDVALSGVQSDATLVAAGDLFVCVGERGLAGLTEADKRGAVAVVADQDVDIEGSLACRALVIVDDITAALRVLPACLYRRPSKDMAVIGITGTDGVTTTSHLVKAMYEAMGVRTGMVGVLGAYAFGSKKLDAQPAASGDSMAVQKLMATMVHNGAEAVVLETTTDEMLPSGVDSEIDYDIAVLTNVRHANLEASMTYEGYMSSMASLFSRMVDPERHRKVVNIDDPSAPFFAAQGGHGVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILATVAVGVAVGAPLEDIVKGIEEVDAIPGRCELIDEEQAFGVIIDHARTPEALSRLLDSVKELGPRRIVTVVGCCGEKERGKRPMMTKIAAEKSDVVMLTSDNPASEDPLDILDDMLSGVGWTMEEYLKHGANDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEQGDVVVVTGKGNDTYQMEGDKKEFFDDREECREALQYVDQLHRSGIDTSEFPWRLPESH >SECCE4Rv1G0264360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:720232186:720236630:-1 gene:SECCE4Rv1G0264360 transcript:SECCE4Rv1G0264360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMPGPEVFDVVIFGASGFTGKYVIREALKFLSPNASPLRTLALAGRSRERVAAALSWAASPGPVPDVPILVADASDPASLATVAARARVLLSCAGPFRLHGRQVAAACAEAGAHCLDISGEPEFMERVEADLHEVAAKNGSLIVSACGFASTVADLGFLFHSRQWTPPSAPVSVVAYVNLESSDRKIVGNFATFESAVLGVANTSQLQALRRSRPRPAKPSIPGPPPPKGSLMIEHDKALGLWAMKLPSADTVVVKRTLAKVTEHPEGLPCADETSDFEKHKKEFWSSIKPAHFGVKIGSRSILGLVWCLSTAIFVGILAGFSFGRSLLLNFPEFFSLGFFRKTGPTEAEVSSASFKTWFVGRGYINSRHASECSPDKEIVTRVSGPEIGYITTSIILIQCALVLLSQRANLPKGGVYTPGVVFGPTDLQKRLEENGLSFDLISTRTIPSDEQAHAS >SECCE3Rv1G0153590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:56284274:56284942:-1 gene:SECCE3Rv1G0153590 transcript:SECCE3Rv1G0153590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFIVLFSCVLLLGLYAPPSLSDSPTLQDVCPMVLQSERKLFINGYLCKHPSTILASDFKTLLLNHAGKLDNVVRSSANIVTAAEFPGLNTLGMSMARTDIGPYGLVLPHSHPRASEMMFVHDGSVLAGFFDTTGKLFQKRLCEGDVFIFPRGLVHFIMNYGLRLATTFSVLNSQNPGVVGITHAMFAPDSDVVEGLVARMMKFRDMEIPDNKTTDFRWTS >SECCE5Rv1G0337330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:590864759:590866312:-1 gene:SECCE5Rv1G0337330 transcript:SECCE5Rv1G0337330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRLCLCAGVSSLLFLLLAGLATAAAGRYDSIFSFGDSSSDTGNNLVVFPPSARVDYVLRPPYGSTFFGRPTGRCSDGRLVVDFIAQHLGLPFVPPSLAHNGSFRQGANFAVSGSTALDAAFFRHLLPRTRKPLNTSLGVQLQWFESLKPSLCGTTQECETFFSRSLFVVGAFGTNDYGIFVENSAAGMTLMPLVPDVVGAISMAVERLIKHGATSLVVPGVIPLGCVPKILTGGDYCLEEYNKLATHHNLLLQEALDKLRDRHPDTAIVYADQFGPVMDMMESPAKFGLEEDALLTPCCGGPGTLLCGDDGGNLCENPSARLFWDGTHLTEVAYHYMAHLLARSIDDTTVRGPSYTSL >SECCE7Rv1G0468590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:100180900:100181187:1 gene:SECCE7Rv1G0468590 transcript:SECCE7Rv1G0468590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVESAKCECCELREDCTRGYIVGVKADFGGRWLCGLCSEAVREEGRKRGMEAALQDHMAFCAGWCRGKDPALRVADGMRQMLLRRRPSVSISK >SECCE6Rv1G0452090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:875194232:875196458:-1 gene:SECCE6Rv1G0452090 transcript:SECCE6Rv1G0452090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVVYPFFLCLAVLAGRAVSAPPHPQCHDNPPDLTAARVEYGKVIHDFAGYKAYVTGAIHSDRAVVLASDVYGRKIADKVGEAGYYVVVPDFFNGQPLTGAPGENLTKWLSEHSPVKAAQDAKPIFATLIKEQKYILGVGGYCWGGKFAVEIAKMNEVKAVVISHPYSVTVGDMREVKCPIEILGGEYDQATPQKFIYQFLNALRKRSDKIPYFGKIFPGVCHGFACRYNITNPFEVKTGEQALALMVGWFEKHLK >SECCEUnv1G0537230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67918843:67920593:1 gene:SECCEUnv1G0537230 transcript:SECCEUnv1G0537230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPAPAATRAGGLVLWLHGSAETGDRSRAQIAPYFSAAPAVRLSFPTAPVACYGDVVLNAWFGIPEVPITATTVRDAEGVLEAVEHVHKMLDKEVAAGTCPTDIFVCGLSQGGALAIASVLLYPKTLGGCVVFSGSLPLSKSFAERVPPEARKTPVLWFHGMADGVVLFEAGHAGCAFLQELGMACEFKTYPTLGHSLVDEELQYFRRWIFNRLGISRGTEDARPSSSASNHEDLH >SECCE6Rv1G0386630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:98546384:98547188:-1 gene:SECCE6Rv1G0386630 transcript:SECCE6Rv1G0386630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRERVVAPVRRAWLAVARRARGSGSTGVVDLHRDVQTCGYDDVQVMWNMLSSEKHALGGHRPAAPEKPPRRRASFWRASFWSVGTRVAR >SECCE3Rv1G0204010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:888513466:888517491:-1 gene:SECCE3Rv1G0204010 transcript:SECCE3Rv1G0204010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVGIKRAPAAQAVTVQPPPDARLVREAVRTSTIAEAVAPAALEAPAPALEGFLCLEEVEGRRWKYVVDAAPGKGKAKGRGRGGSAVPLGASVRAVPLQSPLPPAEEIMAFVRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDNDLKQLRFSSDLLLEIGAGIELATAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLLGTGLSIFITKRNPSLVTSFALLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGYVPSLKEGNSQETIFIHPWRHEPVSIGSRFGEAFQEPVSFVATRPLFEDERYMVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINVSHERKRMSSNRSDYYGNPHPRNMDFLAHIAESCKIVSSSYGTFRKKAKEQGWIMSESLLNPGKARLCVTRPQ >SECCE4Rv1G0250740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:596148972:596150052:1 gene:SECCE4Rv1G0250740 transcript:SECCE4Rv1G0250740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSLLLPLALLALAASSAAVANLEIDFYSKTCPDAEKIVREEMAKIIAAAPSLTGPLLRLHFHDCFVRGCDASVLLESTDVNVAEKDAKPNKSLRGFGSVERVKAKLEAACPGIVSCADVLTLMSRDAVVLAKGPFWPVALGRRDGRVSSATEASNELPPASGDVPLLAKIFASKGLDLKDLVVLSGAHTLGTAHCPSFADRLYNTTSENGTSGVVDPSLDSKYADKLRLKCKSVDDRAMLSEMDPGSFKTFDTSYYRHVAKRRGLFRSDAALLFDDTTRDYVQRIATGKFDGEFFKDFSESMIKMGDVGVLTGAEGEIRKKCYAPN >SECCE6Rv1G0383990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:59170344:59171189:1 gene:SECCE6Rv1G0383990 transcript:SECCE6Rv1G0383990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFLLKNRGEGKVIAADHTARSVLYDLGLHAIRAMPSMKAPKCIPVSLTVRNRLYVLDRIPSLPDGRVKQSFEVLTFRQPRYDYCDWRWRALEVPPYVSEPTCNGPSGHIQSYAVVAGSNICISTGSLGSYSFDTKKRVWSKSGDWALPFSGLAEYVPEHKLWFGMSSLDRGRTFCAADLAAATAVTPPGVHTAWEDATPPIEGDLVVSYAGHLGGGKFCIVRFIEVGTCERLLMDFDIVETIVVFTGVQVERCGEVLHAVQHKSVRYQLTDNHIVHWVF >SECCE2Rv1G0073310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:67076306:67077599:-1 gene:SECCE2Rv1G0073310 transcript:SECCE2Rv1G0073310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASCISLVVLVALATVASAQLSPTFYDTSCPRALATIKSGVMAAVSSDPRMGASLLRLHFHDCFVQGCDASVLLSGMEQNALPNNGSLRGFEVIDSIKTQIEAICAQTVSCADILTVAARDSVVALGGPSWTVPLGRRDSIDANAAEANSDLPIFTSSRTELEAAFSKKGLLTVDMVALSGAHTIGQAQCGTFKDRIYNETNIDTTFATSLRANCPRSGGDGSLANLDATTANTFDNAYYTNLMSQKGLLHSDQVLFNNDTTDNTVRNFASNPAAFSSAFTTAMIKMGNIAPKTGTQGQIRLSCSRVNS >SECCE1Rv1G0019160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:223122955:223123464:1 gene:SECCE1Rv1G0019160 transcript:SECCE1Rv1G0019160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHHRLRFVLNGKMKHLTTHLHRPRTTRSPLSFWGDGGIVPFEPFFHAFPGGLEKAAINRTFLILPSFQEEREILFP >SECCE6Rv1G0440170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:802896842:802898161:-1 gene:SECCE6Rv1G0440170 transcript:SECCE6Rv1G0440170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGASSCCGGAEKVGHGCVSASPAAATAGSGGGSTWRIYGYKELHAATGGFSEENKLGEGGFGSVYWGKTPDGVQIAVKRLKPNNNNSKAEMEFAVEVEVLARVRHRNLLGLRGYCAGAAADQRMIVYDYMPNLSLLSHLHGQFASDATLDWRRRVGVAVGAAEALVHLHHEASPSIIHRDIKASNVLLDSDFAPLVADFGFAKLVPDGVSHMTTRVKGTLGYLAPEYAMWGKVSGACDVYSFGILLVELVSGRKPIERLPSGAKRTITEWAEPLIARGRLGDLVDPRLRGVYDAAELARMLEAAALCVQGEPERRPDMRAVVRILRGETVSPDGAAAAASKGGNDAQVRPAVRMESVKYADHLMETENSVYSGDGDEDEEDDGKEAADYSSDEAEEYSLMDDQSSMNFGVFGAMPVVPVQTMHDPYVRRFGGNGVKI >SECCE3Rv1G0210780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:945708773:945715353:1 gene:SECCE3Rv1G0210780 transcript:SECCE3Rv1G0210780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSMAATMFCAVVLAALAAAAGSEAAVVEHTFVVHEMNQTHLCNTTKIYVVNGQLPGPTIDITDGDTVVVHVVNRLPHGLTIHWHGVRQMRSCWSDGAGFVTECPIPPGGEHVYRFNVTGQVGTLWWHAHVTCLRATVAGAFIIRPKGGRYPFPTPAKDVPIIIGEWWQLDLVELDRRMHDGNFDDNPLSATINGKLGDLSNCSGKPEESFVLDVVRGKTYLLRIVNTALFSEYYFKVAGHTFTVVGADGYYLTPYKTDMVTVAPGEAIDVLMAADAPPAHYHMVALANQPPEPDPQIPGFVSRGLVRYAGSSQNNNGLPVPTPLMPSQHNTMPSYYFHSNLTGLAHPDRHRVPMHVDERLFFTLGLGSICRGTNKTCQRGRSPETIVVATMNNVSFRHPTNASLLERYYDGRTSGLYTEDLPDHPPHPYNYTDRALIPPGPLEKALEPTFKATKLRRFRYNTSVEIIFQSTALLQSDSNPMHLHGYDFFVLATGLGNYNPKTDPKKFNYHNPQLRNTVQVPRTGWAAVRFVTDNPGMWYLHCHFEFHIIMGMATAFIVENGPTPETSLPPPPPEFKRCGANGLTQP >SECCE2Rv1G0066060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:11578259:11579218:-1 gene:SECCE2Rv1G0066060 transcript:SECCE2Rv1G0066060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVSEEPEHAAPAHKDYSDPPPAPLFDMGELRMWSFYRAIIAEFVATLLFLYITVATVIGYKVQSAADPCGGVGVLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLLLARKVSLLRAVLYIVAQCAGGIVGAGIVKGIMKDAYQANGGGANMVASGFSRGTALGAEIVGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKKAAWDNHWIFWVGPFVGALAAAAYHQYILRAAAIKALGSFRSSRSN >SECCE3Rv1G0185520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:675310833:675312611:-1 gene:SECCE3Rv1G0185520 transcript:SECCE3Rv1G0185520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSLVAPLPLPPPAARAGWRPARATPRRLVLAASSRGGGPAPGPAPLTFDRLREQLLQLHAEADLTQSKANSARVRLVRLTEAAENLKKRAAVSVRMGRENEAVDLLVQKKKLTKALENIKERIELLDKLSAKISEVISVKQNMLIEHALRPGTTNVEDSNDHIRVFSGKIDDRVEETSDSNLAGHSKRSELQMADSFTFSKDHDPTNIMDDHSAYDDFVQHIDSQLSSLQCEIDHYASSQLAKELDTQQSINDKLHKLSTMLKLITETRERIAKISDNTVSESGSDGLR >SECCE2Rv1G0074880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:80363106:80363936:-1 gene:SECCE2Rv1G0074880 transcript:SECCE2Rv1G0074880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEPEMPASERRLVALARFDALIFLYYGMLWVGSAASLAKFIARRALGDVEGSAVLAAASSVSHHSLIFAALFTPVALILVGATGVRSAYNTRKDIKEPPKTLRQVAQMMLKDPVIVGALVLLVFLPLIRHDDLVVGLLPVKRSQREHVRSVLRDVGSLGVGAVLCFIILPTTVLRQWRMK >SECCE3Rv1G0193210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:767078694:767079667:-1 gene:SECCE3Rv1G0193210 transcript:SECCE3Rv1G0193210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFESAYYVQLQSPVHRTYINAAEDGRTVCLDAPKTSHNSVWAVEWDPNSHTFLLRGAYGRYLGAPHMLSSKLPCPRVAAAQHDFDKPHKEEITWRAIPKRNDTHELKSMSGSCLCAGFCFSTSCRSRKWNVHVVPRTCIRPPPPPPCGDKWPISCKREVHWVLAERSGEIKEDDWGKFLFVGRDSSTLETELQEKTKDFPMSIFVRAGCYGRPTPLCVHLPRSRDPLYIVGFWSTSAADHLFFPDMAPVERELDERVSAPLGP >SECCE3Rv1G0163920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:153846477:153848263:-1 gene:SECCE3Rv1G0163920 transcript:SECCE3Rv1G0163920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGSGDGQSGSARAHFVLVPMMAQGHTIPMTDMARLLAEHGAQVSFITTPVNAARLEGFAADVKAAGLAVQLVELHFPAAKFGLPDGCENIDMIQSKKFFSNFMQACGALQEPLMAYLREQQSSPPSCIISDMMHWWTGDIARELGIPRLTFSGFCGFSSLVRYIIFHNSVLEHVTDDNELVTIPGFPTPLELMKAKLPGALSVLGMEQIREKMFEEELRCDGEITNSFKELETLYIESFEQITRKKVWTVGPMCLCHRNSNTMAARGNKASTDEAQCLQWLDSRKTGSVIFVSFGSLACTTPQQLVELGLGLEASKKPFIWVIKAGPKFPEVEEWLADGFEERVKDRGMIIRGWAPQVMILWHQAIGGFVTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKLVVDVLKIGVEVGVKGVTQWGIEKQEVMVRRDAVETAVNTLMDEGEAAEELRVRAKDCAIKARRAFDEEGSSYNNVRLLIQEMGNKTNPCD >SECCE1Rv1G0034310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:480178164:480182047:-1 gene:SECCE1Rv1G0034310 transcript:SECCE1Rv1G0034310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIPCAAPLMQPLVVVVVVLLLLAAPWRGGGATVARALNFTRADFPGDFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGRMPDKSTGDLGADGYHRYKEDVELMSDTGLEAYRFSISWSRLIPRGRGPVNPKGLEYYNNLINELTKRGIQIHVTLYHLDFPQILEDEYHGWLSPRVVDDFTAFADACFREFGDRVRHWTTMDEPNVIAIAAYDSGAFPPCRCSAPYGMNCTTGDSTVEPYTVAHHSILAHASAVRLYRAKYQATQGGIVGMNIYSFWNYPFSPTPADVAATQRSLDFMVGWILDPLVKGDYPEIMKKKAGSRIPSFTKEQSELIRGAIDFIGINHYTSVYVSDGKSGADASLRDYNADMSATFRMSRNDSGTGQFIPINMPNDPQGLQCMLQYLTDTYQNIPIYVQENGYGQFFFDSVNDHNRVEYLSGYVGSTLAALRNGANVKGYFVWSFLDVFELMAGYYSRYGLHYIDFQDPELPRQPKLSAKWYSKFLKSEIGISIENMVNPDTRSDAQQ >SECCE6Rv1G0422460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689967897:689968205:1 gene:SECCE6Rv1G0422460 transcript:SECCE6Rv1G0422460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWSLWKDGNTKDFVDSSIVDSCSLNETIRCVHIGLLCVQGSPNARPLVSSIMSFLENGDISLPPPKEPMYFTEDNYGTDGAAENTVNSKNNMSITAVEGR >SECCE3Rv1G0155360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:65154364:65155718:-1 gene:SECCE3Rv1G0155360 transcript:SECCE3Rv1G0155360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSDPAGWMMLDRFVYRRDEDGDGGGWCFPDESTAPLRATSSTSLGRPFEVAILHAEPPAVSRLYVRWPGGIKGKYGSGTALMAAHRDLILFQLVSMEGDITHDHFVCIASSDPAAGPHIELKRLPLCTIPMVLPPCCEEDTEGTTMQRVFFPNTVGLIRGSSPGREEEFIVAQLAMVTNIPGASRMMDRKLKCACSVLVCRVLTMMACGRFKSYPSSMRAMKTRPYIIGRRMLSSRSTTIFVGFPTILCVTGVGGDLLKYTSVVRHDQRLFGPLKPRTGYTIVTDTLSMTESDDIKCFHERVAIAYELWRYNTSECLPRQALMYPLVNMDDPSIVHYLVSEEGDKIDKVSMVTLDMITQKVTSIVPYINGEEDLCGQDADMVKEKSHLLTSFLPSEFPKFLNLTRY >SECCE2Rv1G0139220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:926172089:926177270:1 gene:SECCE2Rv1G0139220 transcript:SECCE2Rv1G0139220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAAEAALLREKASLLRSSMRTSEAVREGSAAAVDSIGRRMAAVDEAVRPAQARTWSAYRAHDNVARSLRAVEAVVRHLDGVREAESVILESASKGLTGYLDAVDKVKSAEDFFTSNRIGTAGVDALKRVDELLHKAAVELENEFSRVLSECSKPVELEQLLNCLPSRSSAKDSAESQPNPAAVCSLPTLIDPRYVPRLSKLAQKSVQLGCHQQFVKIYRHTRSSTLELTLKQLGVEYVTAEEVQTAQAESRDAKISHWIRCLQIAVKLLFPSERALCDQIFEGKHAWKDHCFAAATSKSLLNLLSFGQAITKSKTSPDKVFLLLEMFDATLELQSEVEAVFAGDECAENRKSAITLVKCLAQAAKKTLVDLKDSIVKESPKNTTTDGDVHPLTSYVGNYIKFLLDYQSSLKLIFQESSNGDGTKSGLVSEMTGLIHAVETNLDVKAKQYKDHALGILFLMNNINYIVRSVRSSEAKDLFGDDWIQRRRRTVQQHATQYKRAAWGKVLECLSAQGLTSSVGSVTEGIAGSVGSIGSHSGTTSTSVIKARFKSFNKQFEEVCQTQMNWAVPDKELRDNLILAVAEILLPAYRSFLKRFGPLVENSHHASKYMKYTPEALEQTLGNLFAKKLPQ >SECCE4Rv1G0279830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817082987:817086066:1 gene:SECCE4Rv1G0279830 transcript:SECCE4Rv1G0279830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRINVVESNEEYMMEEPTEHHNSTEKEVESSDVHERTTNDEEGFTDCDDANADENEDAENAETKERKKRKLKYIWNLPKGKRIMVRCNDIDQPIGKEAKHLGDFLGSVARNGSLCCLSYKDWRLLKTKTNVKAILDQVKMRFLYPPRMEKYILKIIGDRWRQHKSDLKAMYFDEKKNTEANYNNKPKSVTPDQWRSLVNHWTTQKAKEVSATNRNNCSMRKSTHTSGTKSFPRQREEMKDADPEKKYPHRAHLFIHTHKPKTSKDKMINAHVEGLKDILDKNPELADNSDGKTAWKGDALNKVLGDDKPGHVHGLGLVPNQKKLFDVSTSRVFQNTHFTSVEDTPNEDMLAFRVEMEKLYQVNKNQNAKIMELEEKMRRMERQPNQEISDPMATIGLEPSVDGHNSNRKRVLAPPVDGLQLVKKRSNNLQNKPSGSNDADLQASNKNSVSDKNKETMVRNGGSARQLEKCSATHKNVLQNQETPDHNFGAQQGEINAAAHKNVVPNKETFLENVSARQGEKTSSANKLTKKTTKGGNASSKSAQSGSLSWLGTSELPAGTKVFLKSLKNHNRDVALATIVSCDPNFKLDGAEIRNEFWAVHVDMALVKTENLVRSRKNCTTLGNAEKTKIAWPSTFIQKING >SECCE7Rv1G0466490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:79382075:79382449:-1 gene:SECCE7Rv1G0466490 transcript:SECCE7Rv1G0466490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSSFEIVEEVLKTEVKQSTFLRNVGLQSSRNNSDKATAEVAAHVRDLEQKLERSELQAGVMQEELAAIKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFGAKAV >SECCE7Rv1G0483320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:320784610:320788605:1 gene:SECCE7Rv1G0483320 transcript:SECCE7Rv1G0483320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDLPSGFADESKSKKTEGSSNEGQGVPPAAAAGLSGPFDFSSMQSLLNDPSIKEMADQIARDPAFNQMAEQLQKGAQTAGEQGMPPLDPHQYMETMQRVMENPQFMTMAERLGTALMQDPAMSSMLENLTSPTHKEELEERMSRIKEDPALKPILDELENGGPAAMIKYWNDPETLQKIGQAMGTTMPFSTVSTAEPSGTEETEEEGEDEDESIVHHTASVGDSEGLKKALDGGADKDEEDSEGRRALHFACGYGEFKCAEILLEAGAAVDAMDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNMDGKTPIDVAKLNNQDEVLKLLEKDVFL >SECCE4Rv1G0222650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:61630671:61633122:1 gene:SECCE4Rv1G0222650 transcript:SECCE4Rv1G0222650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPRSLGAQDNEEEEEYDSVFYEDIEAPKFVDLTAPDAACPADDPSWFCLRVGCDQRHEHVDPEALHRSFVMRVMAARSPNVRLHKAISRRNQSSMLPKCPHSAPAKPPRTRMTRLSLATEAAEKAARDRLQGHRIRGLRDSPIRTKAARVEASGTRKKALTTPRSKTVRPRQKPFLSVKHQKKPVSTDAAEIKGTVVKALFMSTPNKEAGQTPAKSQAPPVAEVCSKMKKLNLACREVPSRYLSQLPTPKIAKKCDETAAVKSAKRVQEPRNGKKKMVLGCSAKCANAETDEGNRSGRENIKADENSCKRTARRNWGQEPKEVLQESRVEVVEPLQPDIHGDDKENAPCGDHQLAEQALNREEEEDENSRQSENNENAPQKVLKRQNKVVNAEQGGKLKKTTTTLRPFRLRTDERQVLKDAVPERKPTVAEKNIMPVLKGENRRVVQAGRCPDGKEREKLTCGEKQRKQITHTATSRLGESRTVLSSIRTNNVRPVLTKGKTVEKSQRAATSTRTAKITSGFAAPSRIGEKRKAPVKTSRLQEAAA >SECCE6Rv1G0422000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:687756362:687758227:-1 gene:SECCE6Rv1G0422000 transcript:SECCE6Rv1G0422000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIAYVWNEWGIQILVLASFVWQVILLVTAGIRRGRAHFLLRFLLWLVYLLADSTAIYALGHLSFTSRSREHRLVAFWAPFLLVHLGGPDSITAYSVEDNRLWLRHLFTLLVQVAGAAFVIYKYVYGTGTMIMAAASLMFVVGVLKYGERTWALKCGTMDSIRNTVDSCKEDDYRSMFWPGLKFPFPDERRENMSNDDLLLSAHSLFYMCKSLFVDLSLTISTYKLCYIGVHAAGDLYKLVEMELSLMYDILYTKATVIHTWYGYCIRVVSLLGTLTAFLLFHFSNRSGYNGVDVIITYVLLAGALVLEMISVVKTLRSTWTCAMLYCMNWKRSLYVLQYLRRRHFTAKSITKRLWSGSTGQYNMFHLCTRDTSELGSTVASKIWLEKKWNKIHFSGTVTFDGYHKDLLLQTLPKIDVRNLRGTKILEDRGFDKEKAKWSHWALSTSFDESIIVWHIATEVYLFRCFCEPKPYNGCRESIKAVQVLSNYMMYLLVIKPDMLPGPTRQSQYANSCNILENMWRRIKNTPGRKDVQDIPSQKDTESAGQNGNDAVTILENIYNDAVTTLKNIYRSTIKTLKSIFCQDGPSESRLVEKFELSEKMDATFTGIANREVWLNSN >SECCE6Rv1G0408860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:577280888:577281722:-1 gene:SECCE6Rv1G0408860 transcript:SECCE6Rv1G0408860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLVHGGRGIGCLSRSAVGDPSALPALGNSVLLHRYHSTEKHDDSDTLGEIGEKARTTAEEFLKMAKEKTDDVAEGAKETVQETKEAVLGESDDEKDKFKQRVEQGRYDQK >SECCE4Rv1G0294370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:890105073:890105570:-1 gene:SECCE4Rv1G0294370 transcript:SECCE4Rv1G0294370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLPLAGVRTSNFEPRELPSNSYLNLGAPYGKFVPFIIAGEGGFGEHKQLNWTNLFLLNKLGSDIDSDHQAVIDQIKVEKDVEKIKELIERLPREISEHLEGHETHEQVEILADLSRNMDQRMSYLSREIRHLRSDLGEVMKGIGEIRGVLDRMERQNPCYRP >SECCE3Rv1G0153370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:53194464:53194957:-1 gene:SECCE3Rv1G0153370 transcript:SECCE3Rv1G0153370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMMRIFAMLILFSLCSRGNAEFRECTLSDLHVTQTATGKNAGGNPEYAVEVENKCICTQTDVKLLAPGFKSSEPVDPNVFRPDADGKLGTLNNGSPVYYGDKINFNYASATKFSLAPISSSVACS >SECCE2Rv1G0075140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:83041598:83044239:-1 gene:SECCE2Rv1G0075140 transcript:SECCE2Rv1G0075140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSTTQHPRHRCGTGLKPGSRRRVAAKPDRLSALPDELLHHIMSFLKAWEVVRTCVLARRWRRLWASALCVDLRVHHYSGRVDDPPEAFRYFVHRLFLLRDESALVCTLRLRSSNEEAGFTDDDANAWMRAAIKHNARVIHLIGHHPEIVSLDRVSFISCHLKVLKLSYARLDYGTLKQLSSGCTSLEELDLKDCLVMGPRILSASLKTLVMLECKTICAFSIAAPNLLLLHLVTPCIRVPSFKNLGSLVTATIILDDSFLGDNFEYFSDEDYCDGTTDDDGDGNDNNDWTESSKIHDNDFGYDDFIRFGYGHGFAEGICRHDRYKDNYDYGSDIDNDDNTYAYSEIANDAEYGYKGKGLISSKDNIYGGYRECNDSKILGGRHILLSLSSARTLELLTDAGEVVLSRELNMCPTFCNLKILSLGEWCMAADFDALIFLLQHSPNIRKLFLQLKINFNTGKASETGIKLQGRSFTWKDLRMVKITCSKDDGRVHKLANLFMANGIPVEKIYVRHSRSAYLRSQKQMKELWGM >SECCE7Rv1G0513620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:824106201:824106690:-1 gene:SECCE7Rv1G0513620 transcript:SECCE7Rv1G0513620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAIGVFCFLVFVALSSCPHRAQADHCAADKSKVMRDCWRNIGKNVGEYPLLHGSVCCQVIRAATDIHCVCDKFTANELARISLAKFAMATHVCGNGLRAHTLCAGYTVPAPPPSGST >SECCE3Rv1G0192100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:755049729:755050865:1 gene:SECCE3Rv1G0192100 transcript:SECCE3Rv1G0192100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTREVDASMRLTSALSTLAILAAILFTFLLLLAGTLQLRVPTGYAVVDCAPPPPSDEGAAAFLDSLLPLLAALPAAAAPTGFAALHADGGQAFARGICLGGSAPKECLACLAAAAKNLTGCGASRRAGVWRGEGCFMAYADGNASSPHEDVFRDVVSFGEDVFPAVISFDDGTSNLNCFDTRALVALAQFLAGHGAANSSGARVVTDAAALSSNATGKTTVTMRAQCARDRAAAAECARCLGDSAREVRACGWGLDGDHVRVADVVGHNCFLRIETSVPTGWPVPVAKFIKDPLLITLCAGMLLAALAAVIACVAGRKKRGNGNA >SECCE1Rv1G0029480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:414675317:414683289:-1 gene:SECCE1Rv1G0029480 transcript:SECCE1Rv1G0029480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQDRERTMRDLYDRTRHKDLALLEESNKEQRGGGAGRTEDSEQRGVAPKIEDNPTVRKRPVPSDSPHVKAESGTCNVCSAPCSSCLHRDLAPVDSNMDCGSSQTCCARSESRTNLLVRSGKGPHTKGGENDDELSATSSHASYSENGGHKAMARSIAADSEVNMPAKRRRLLSDTRSPREECHDDNNSCVTGTTAASKLLLDNKKDKLSTSASSRDLTVKDYKEKNIAGPNRLRNPLVEESTEKKKSDVHIAPPSSSDRSAPADSASFMTKKLLRTQSSVSASQGLSHKKSSQGLGNSPHNLAQQPSEKVPNNDIDHLPGGKLSRPVIGGDKHDMVTSCSASNKNKIKAGSSSKELESVSRCTKNGSQEHTDILSDDFAKKNDNVQHDQNQGLPTDKASDKELNTQNDAMTECGNSESLIDVNVCDICGDVGREYLLATCTRCLEGAEHTYCMRVKLDKVPDGEWLCEECQLNEDRTKMRGNRGASTVDISNGKNQDSESMSNPKTLQTTLTNLDAQQIACGTPVTDHLSGNNVKLHSGSTDTEARQVKCASPNGGRLDAKSKNFGSMANRKRLQVVTSDMETRPPTCGTPSAGRLGKKDESSEDLLNRKKLRIATDMESPMSSEGLLSPPKSSKRHAENASSSNPRPFKTESPRKHDVFSHQNSFKKSNKGNLNPPNNAPVKDVQAVKSSMTLSRSYSLGSLANAKAPVPSPRGPLSKQLSFNNSKSEPKVKQLAEGVASKLKPVKHTPIDVREKGPIRKLMKSESFKREGSVSKDPNTLKQNKSSLLSRDEKPRMLKPMSDKSFLERRPSFILQKPPLSPRPDSSVKLGDRKIDQDNPRPGPSILKTSKKPGNIEKKQTSALFKTEKRGIAIHQASTGVVSSKDADTVKTSDPLLPVENVNKDNDCVGEAALILRKNDNKMSTNPEVLSTPLAVTCETDLQSMVPRASASEDLTPNVGQCQPLATTSESQSMVPRASSSEELTPNVNVGQCQPLGVTSESDLRSMVPRENASEDLTPNVGQCQQDVLESTERKSTKSAEEVQAAEDILPESPHDPLMAQKHCSPENKLSEPNLKHQDSFDQLPTLGNLSRTLVIPEQTYIWQGIFEVSRTGISSELYDGIQAHLSTCTSAKALEVVKQLPQRIRLVEVPRCSSWPHQFKEVQPSEDNIALFFFAQDVESYERYGKLLEKLLVEDLCLTTNINGVELLILSSDNLPEKIQRWNGFLYFWGVFYARKANSSTELVVTNPCPLVPTTEPFDMPVCSPKVPQSLGIDLNQCPDDELYDPPVSLGSETEKSGASEDNKTLMGSTNGNESLDACEIHHQETAVTREIVSGSGTAVVSETYVPMGSGGYNMKLEYPSDTTGGSGTAGRDSMEDEESFSLNEAPCYLERHVGASRSMPDNILAKKKALTSLTEVSLQHQSESILKADFILHDSESSYKRQKTVNGDEQLPSERLSKIHSLPAGWRTPFDDSHYAYKGLSDLGSTKAISDQVVHVLSSDDEGSPEPTTMNKAQLKAEQGSSSPLLSLSLSTAAKTRKLASSDTGDDRSLSLSLGLPLPGVAKGNQALEIKQFLPEKPGINTSFHL >SECCE2Rv1G0120680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:800106349:800110201:1 gene:SECCE2Rv1G0120680 transcript:SECCE2Rv1G0120680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVATASPNIYTLDLVSFGRGVLDRGLVFRDARVGNISVPVDTFQILRIDESIIRKSAGDIRVIDRSHLHPGQVVGSASDVGGQIGIITDVTTVLDLVDFDKSGMATKVIKGVSPSSLWRVRRLSLGDFVVSGPWLGRVVEVSIDVDVLFDDGAVCRVIDAESKTLTRVDDALDVNHNYRQQENSRLYPGLRVSALDPCSLFKAALWLNGYWNLNRTSKGTVIKVKTSTVLVYWIASMHCGTDKGLVDASAPPAYQNADDLTFFSAAFHCCWGVADACFFREPSSTKIEDANGDAACAHDQDAEGKEQDGDDVIDDECETPTAHVLPSTKETDVRFYQKQLRKVFFEGHRRARRPQVRRHVEVEFPMVVARTRTSVDVLWQDGTRQHGRRSTTVIPFGIVNEHEFFPGEQVVGNVLPVDAVGDHSGMASTIVNNHIVDDGTRSTKRVGVVRSLHSKDQTVCVSWFKAAVCPDEAREVECDDTVSAYDLKRDSGHSPYYGDIVIRFLPSRSPNDRSAPLRGNTDLSWVGRVIDIPSGYVQVKWGDGNISMVLPNEVLVVREEYYMDLWTEMGHWVEDDGVDDAPEELAATNMLSTATKDDSIGAAAMETINAAVARNIVELNGDGHDFAEGTKAAGATSCCNKSLRFPHFNVLQITPPDHHYLDTTDEGGSHGKNWAKIVQKEWKILENNLPETIYVQAFEDRMDLLRLVMVGASGTPYNHGLFFFDLQLPPSYPVVPPQVYYHSFGLRLNPNLYESGTVCLSLLGTFDGEGTELWSPATSSLLQVVISIQGLVLNAQPYYNEAGYETLVGKREGQRNALPYSENAYLLTLRTMLHLMRRPPQGFEKFVKEHFRCHGRFVLRTCNTWLQGCVVGDAHATESSRERPCSAGLRLALANVVPSLITAFTEIGAGGATSFSTCESHCHVCSHHHSRDR >SECCE4Rv1G0229060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:142412292:142413623:-1 gene:SECCE4Rv1G0229060 transcript:SECCE4Rv1G0229060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAEGKKGGVLQGRYEMGRVLGHGNFGRVHIAKDLRTGRAVAVKVVAKDKVVRAGMVEQIKREIAVMKRVSHPNIVELHEVMATRSKIYLALELVRGGELFSRIERSGRVTEDVARRYFRQLISAVDFCHARGVYHRDLKPENLLLDEAGNLKVVDFGLSALADHARADGLLHTLCGTPGYAAPEVLRDKGYDGAKADLWSCGVILYVLLAGSLPFPDDNVVTMYKKAQRGDYRCPPWFSTDARRLIPKLLDPDPATRITVAQLVETPWFKKTSIAKPLIPEPPACVKDDADKDEPEALNAFHLISLSEGFDLSPLFEGNPASRRREGGMRFATREPATGVVSRLEEVAARGGGRMRVTKSSPGGVRLEGAERGGRKGRLAVAAEIFSVAPSVLVVDVKKDGGDTLEYLSFCCDELRPALQDIVWAADRAADPPASVAIAV >SECCE4Rv1G0284530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:840037474:840042896:-1 gene:SECCE4Rv1G0284530 transcript:SECCE4Rv1G0284530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G01420) UniProtKB/Swiss-Prot;Acc:Q9SGH6] MGSGLFKPCVHPDLRDVFSKMSFGDKIGFLFIHAFDKRNLWHKMPVPIGLLYLNTRRTLLEKYNLLAVGSSHGALFDPKEFPYRTGDGKYNDPHNPEAGSQYTFFGRNMKPVDQQDELMSPDPFVVATKLLARRQYKDTGKQFNILAAAWIQFMVHDWMDHMEDTKQVEITAPKEVANECPLKSFKFYATKEQPTNSDGIKTGYYNVRTAWWDGSAVYGNNEKEAKEIRTYVDGKLVIGDDGLLLHEENGVPSSGDVRNGWVGVSILQALFVKEHNAVCDAIKEEHPNLSDEELYRYAKLVTSAVIAKIHTIDWTVELLKTKTMRAAMRANWYGLLGKKIKDTFGHIGGTALGGLVGLKKPINHGVPYSLTEEFTSVYRMHSLLPSTLMLRDPTGQPAENNSPPYLKDIDIGELVGLKGEDQLSKIGFEKQTLSMGYQACGALELWNYPSFFRDLIPQNLDGTNRSDRIDLAALEVYRDRERSVPRYNEFRRRLLLIPIKSWEDLTSDKDAIEAIRAIYGDDVEKLDLLVGLMAEKKIKGFAISETAFNIFILMASRRLEADRFMTSNFNDKTYTKKGMQWVKTTEGLRDVINRHYPEITTNWMKSSSAFSVWDADY >SECCE2Rv1G0124920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:835476380:835478731:-1 gene:SECCE2Rv1G0124920 transcript:SECCE2Rv1G0124920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYKMKGFFKGFKIISQIFAAKEQEMVIGRPTDVKHVAHIGWSSSTPGTLTGNASPSWMNVIEGSSDFSSLGYFAPSAGASWTSQDFEQQMPRDMLPLGTTSEITGEDKATAPCPDVPRPPPRKTRRKKKTTVGSLVNSSMANDSSALASTASTATANATNTNVVM >SECCE3Rv1G0156720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:74628828:74629628:1 gene:SECCE3Rv1G0156720 transcript:SECCE3Rv1G0156720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHLQVQHQQQQLELPPGFRFHPTDEEIITSYLAPKIVNPAFDAMVIGEVDLNKNEPWELPKKAKMGENEWYFYCQKERKYPTGIRTNRATKAGYWKATGKDKDIVNPHCTSMLIGMKKTLVFYKGRAPCWEKTNWVMHEYRLEIGKQSKSGLRTTIVNAASINVSSKEYVVCRIFHKNTRNGLSSMVSHEDVGTGPGNNDQGNGGATTSEKISSMSMVTDGMPKY >SECCE2Rv1G0085360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:190049534:190051330:1 gene:SECCE2Rv1G0085360 transcript:SECCE2Rv1G0085360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHR [Source:Projected from Arabidopsis thaliana (AT4G37650) UniProtKB/TrEMBL;Acc:A0A178UYJ6] MDTLFRLVSLQASEQQQQQQQSASYNSRSTTSSGSRSSSHQTNASYNYYHHSSSSGGGGAGGGQYYYSQQQQQPQQQSYYLEPYQEECGGNAHQHHLYMDEDFSSSSSSRQHFHSHGGAAHPPTSSATPTAPTPPLSTSSTAGGAGHALFEAADLSFPPDLNLDFSSPASSSGAGGTASSAAVGGGGGGRWASQLLMECARAVATRESQRVQQLMWMLNELASPYGDVEQKLASYFLQGLFARLTASGPRTLRTLAAATDRNTSFDSTRRTALRFQELSPWSSFGHVAANGAILESFLEAAAASSEPQRFHILDLSNTFCTQWPTLLEALATRSPDDTPHLSITTVVSAAPSAPTSAVQRVMREIGQRMEKFARLMGVPFRFRAVHHSGDLAELDLDSLDLREGGATTGIAVNCMNSLRGVVPGGARRRGAFAASLRRLEPRVVTVVEEEADLVATDPDASDEGGDTEAAFLKVFGEGLRFFSAYMDSLEESFPKTSNERLALERGAGRAIVDLVSCPASESMERRETAAAWARRLRSAGFSPVPFSEDVADDVRSLLRRYREGWSMREAGTDDSAAGAGVFLAWKEQPLVWASAWRP >SECCE3Rv1G0165830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:179710064:179710883:1 gene:SECCE3Rv1G0165830 transcript:SECCE3Rv1G0165830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGMLLLLAAAALLAVGAGAAVPPSCERIECPAYDVVDSANGFEIRRYKDAMWVSTAPIEDISLVDATRSGFLQLFKYIQGKNAYNETIEMTAPVLTRVAPSDGPFCVSSFVVSFYVPAKNQADPPPAEGLRVQRWAGARYAAVRRFGGFVADADVGKQAALLDASLQGTRWAAAVSDGRKADPASDYTVAQYNSPFEFSGRVNEIWMLFDTMGTSDIQ >SECCE1Rv1G0040390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:558492645:558496757:-1 gene:SECCE1Rv1G0040390 transcript:SECCE1Rv1G0040390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGYGGGAEHQHQHQQHHQHQLLLGQAAAGQLYHVPQHSRREKLRFPPDPVDSPSPTPGAWPPPTVPFYSYASSSSSYSPHSPTLAHAHNQLLVHGMPAGGQIPSQNFALSLSSSSSNPPPQQRRHLAGATGPYGPFTGYAAVLGRSRFLGPAQKLLEEICDVGGRPLQLDRCSDDGLLDLDAMDDVGHEMDSSDRAAAEGVTVSGAEQQWRKTRLISLMEEVCKRYRQYYQQLQAVISSFETVAGLSNAAPFASIALRTMSKHFKYLKSTIQSQLRNTSKVAAGKDSLGKEDMANFGLMGGGAALMRGGNANAFSQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDSDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQGHKSSAADKNQLGVQQQTQQHSPDSSGRPSDPSNSQQGQSSSMTQNRGAHHHHASRHIQSELSPMTHDMRGQVSFAYNGGGMAAQHHHHQHHGIALSHPHQQQVEGASGAGSSGGVSLTLGLHQNNRAYIAEPLPAALPLNLAHRFGLEDVSDAYAMAASFGGQDRHFTKEMGGHLLHDFVG >SECCE6Rv1G0427710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720561537:720562727:1 gene:SECCE6Rv1G0427710 transcript:SECCE6Rv1G0427710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLLLVLAASLVALPSCRSLPVQAPVTKDPATSLYTIPFHDGASLVLDAAGPLVWSTCEAGQPPAGIPCGSPTCLLANAYPAPGCPAPTCGSDKPCTAFPSNPVTGACAAGSLFHTSFVANTTDGTKPVSEVKVGVLAACAPSKLLASLPRGSTGVAGLANSGLALPAQVASAQKVANRFFLCLPTGGAGVAIFGGGPLPWPQFTQSMPYTPLVTKGGSPAHYISLKSIKVDNTRVPVSEATGGVMLSTRLPYALLRRDVYRPLVDAFTKALAAQPANGAPVARAVQPVAPFGVCYDTKTLGNNLGGYAVPNVLLALDGGGEWAMTGKNSMVDVKPGTACVAFVEMKGVEAGDGRAPAVILGGAQMEDFVLDFDMEKKRLGFTRLPHFTGCGSA >SECCE3Rv1G0153270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:53005701:53006171:-1 gene:SECCE3Rv1G0153270 transcript:SECCE3Rv1G0153270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLMKIFAMLMLFSLLERSNAQCTLSNLHVTQTATGRTPEGTQSMPWRWRTSASAHRWTSSCLSPGSNPPSPWTPTSSGRTPTESSAPSTTVNVFRPDADKIKFNYASDTKFSLAPFSSVACS >SECCE1Rv1G0021260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:266440600:266445581:1 gene:SECCE1Rv1G0021260 transcript:SECCE1Rv1G0021260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCFVGMTEMQRNSTERWVTQMVRKSQKEALGTVTGQDITDDVGPGDDTTCSAGENRYPDWPGTTVFRMLISATKLGPIIGYKGERVRRLCEETKSCIRIIGGHLAGAERAVIIFAKEQPDELISPAMDALLRVYQHIIYDDGLNMGSDSTVVARILIPSEQAVSLIGEQGLMIYSIEEASKTNIYVLDCDLPPVALEEDRVVEIWGQPARVWKALELVASHLRKYLVDRSVIPLFDPHVPLSMLHVEMPPCHYSDHPEGMPPLYYSNHPEGPLEAVSPLCHSDNHQREPQWTETYYMRCRNPVEAPTSFERYLSVTPPRHGISVHGQETSSPPMGTYLSAPIELGSHHNLTAYELRATSPIGASSTVKRIRSLISVHGHQAHPLRKTCQPATMGKRAHLGISLYGSESHTSRVSPSAAADLPTPRGMSEYELQASQSLRMYPPATVENLLHCRVSACGPEAPSHVLVRPLTSKSPALTAQVTEKMQVPIIYAEAVIGPTGARIDYIRRASRSSILINDLDEDAMSIEINGSSATDVQTAEQLIKNFMAEAAAASPGHKFDSIPSYLPAPRSPQPDILRTSYVEKESGVAEQRLQTIY >SECCE5Rv1G0319140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:371260165:371265710:-1 gene:SECCE5Rv1G0319140 transcript:SECCE5Rv1G0319140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIDGCSVVVVPELEHAQANPDPSSISPDAWAPFEAAALGVMCRIQPTLASEGRRAAIVGYIQRLVRCSVGCSVFPFGSVPLKTYLPDGDIDLAAFGYTCSDESLANEVRAILESEERRKDAEFEIKDVQYINAEVKLVKCFVQNIVVDISFNQIGGLYTLCFLEQVDQRFEKAHLFKRSIVLIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHESLDGPLAVLYRFLDYYSKFDWDNRGISLHGPISLSSLPELVTDPPGIHDDCFLEREEFLRECAQMFTAPPRHYERNTRPFPRKFLNIVDPLKQSNNLGRSVSKGNFYRIRSAFDLGARKLGKILQVPANSVMDEVNQFFRSTLKRNLSRVRPDVQGTALNLNIERDNKGYPPLHSNPCEDLSYQLSSINISDNHGSLKQEEHSSSAEHQEMKSASHLVTSSLVSVSNGMSTKVYKEVDGDRCATIDSLSDLTGDYRTNFNNLLYSQSCHQDYPVHPIYYPMLPPPPVQYQNKHSLNGHNRKNAYGYPSANRMAPGPYSPGYFLIKPFYRPEDPMQPHGAVTYFPNPNLCMEAPPTGRGERKNYFPRNYQKHHRYGRVDMPADMTRSEEFRQQPPSPIYVPVANDKGIPSPLKIPTPSPHSTRDNIHGRGFINPHDSKLEFGTLGALHMEAKSASQNQANRTYSASDSKPSATLRSNSPGQNPGMGYKSNVMRNTKPYHLKDNGDFPPLSS >SECCE6Rv1G0386330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:95143165:95147954:1 gene:SECCE6Rv1G0386330 transcript:SECCE6Rv1G0386330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLSSWPWASLGIYKYFLLGPLVWKVAQEWAEQGGAPLGSRWLHLLLLFSARGLTYQFWFSYSNMLFLTRRRRVVPNGVDFRQVDHEWDWDNFLVLQTLIGAALVNGPLGLENLRVWDPRGLGIALLLHVGFSEPVFYWAHRALHGAPLFSQYHAGHHSTPVTQPLTAGFGTPLEALLLTLTMGVPLAGAFLMGTGSVGLVYVHLLTFDYLRSMGYSNVEVISHRVFEAVPPLRYLIYTPTYLSLHHREKDSNFCLFMPLFDLLGGTLNSKSWELQKEIYKGKNERVPDFVFLVHVVDIMSSMHVPFVLRSISSVPFENHLILLPFWPVAFVYMLLMWCCSKTFLVSFYYLRGRLHQTWSVPRHGFQYFIPAAKAGINRQIELAILRADRMGVKVLSLAALNKNEALNGGGTLFVDKHPDLRVRVVHGNTLTAAVILNEIPSNTKEVFLTGATSKLGRAIALYLCRKKIRVMMLTMSSERFLKIQREAPAEFQQYLVQVTKYQAAQNCKTWLVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGSCEYAMERGVVHACHAGGVVHFLEGWEHHEVGALDVDRIDLVWKAALKHGLTPV >SECCE2Rv1G0128280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:859574981:859579442:1 gene:SECCE2Rv1G0128280 transcript:SECCE2Rv1G0128280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin biosynthesis protein CNX1 [Source:Projected from Arabidopsis thaliana (AT5G20990) UniProtKB/Swiss-Prot;Acc:Q39054] MLQVEEALAAVLSAAAACRAAPLAVPLLDALGLVLAEDVRAPDPLPPFRASVKDGYAVVAADGPGEYPVIAEARAGDDALGVVVTPGTVAYVTTGGPIPDGADAVLQVEDTEQVAAAPDGSKRVRISARVAEGHDIRSVGCDIEKDSIVLKSGENIGPAEIGLLATVGVTTVKAYRRPTIAVFSTGDELVQPATATLNRGQIRDSNRAMLLAAAIQQKCKVVDLGIAKDTEESLMEHMDAALRSDADIILTSGGVSMGDRDLVKPCLAKMGKIHFEKIRMKPGKPLTFAEITTNDTTKPSKTALAFGLPGNPVSCMVCFNLFVVPAIRLVSGWSNPHLERVHVRISHPLRADPHRKEFHRAVIRWVPDDGSGRPGYVAESTGQQASSRLLSMKSANALLEVQSSGQILAAGASVQAILISDITSPPLDKLPAASSPISSHLVSSAKSASADAPQFAASQNAEVKVAILTVSDTVSSGAGPDRSGPRAVSVVNSSSEKLGGAVVVATAVVPDEVDKIKEILVKWSDIDRVNLILTLGGTGFTPRDVTPEATKCVIEKEAPGLAFVMIQESLKVTPFAMLSRAACGIRGSTLIINMPGNPNAVAECMEALLPALKHAMKQLKGDKREKNPRHVPHAEAAPVDQWERSLRAASSGGGCSCDP >SECCE3Rv1G0152150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:44658567:44659529:1 gene:SECCE3Rv1G0152150 transcript:SECCE3Rv1G0152150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKATNPGMEDDNDMEYSSAESGAEDAPESSSSPVPAPPRAAPSSRFKGVVPQPNGRWGAQIYEKHSRVWLGTFPDEDAAARAYDVAALRFRGPDAVINHPRPAAAEEAGSSSYRSELDPELGFLADHSKAEIVDMLRKHTYDDELRQGLRRGRGRAQPTPAWARELLFEKAVTPSDVGKLNRLVVPKQHAEKHFPPTTAAATGSNGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVKETGLRAGDTVAFYRSVYGNDTEDQLFIDYKKMNKNDDAADAAIFDENETGHVAVKLFGVDIAGGGMAGSSGS >SECCE7Rv1G0468690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:102642316:102643251:1 gene:SECCE7Rv1G0468690 transcript:SECCE7Rv1G0468690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQQRRPSSNQAQAGGGGQGQGQGGGAVRYGDVFPAVTGGLAEKPVAPQDAATMQSAENLVFGETIKGGPAATMQSAAMRNERMGVVGHDQVTDATAKQGVSVSETRVPGGRIVTEFVAGQAVGQYLAPDDAADAGGAGGDDTKITIGEALEAAGLAAGGRPVERSDAAAIQAAEVRATGLDTNIPGGLAAQAQSAADANVWAARDEEKAKLGDVLSNATAKLVADKEVETDDAARVASAETRNKEDKTVRPGGIAASVAAAARLNKQGA >SECCE4Rv1G0221700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:51591214:51591765:-1 gene:SECCE4Rv1G0221700 transcript:SECCE4Rv1G0221700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRRHHAACLLVLLALLCAAPAYGGRALAAGKAQAAAAGNATATADEFLAPHNQARAAVGVAPLRWSADLTAAAAWTSSQQQKQKGCAFADMGASPYGANQGWASYRARPAEVVASWVAQGKYYAHANNTCAAGQQCGTYTQVVWRRTAEVGCAQASCASGATLTLCLYNPHGNVRGESPY >SECCE6Rv1G0405650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:533896033:533897787:1 gene:SECCE6Rv1G0405650 transcript:SECCE6Rv1G0405650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSRTAHRRSAVMPLLRAALRRFLSTESSGFPKLRNLPYRLRRAAVPAARTAVSDYLISTRCLPSSHADSITALAPSSLHTFLASIPAVPSTFPTSDLPSLLRRHLSYHPLNELPFFLESIGLPPSTDSDLMFLTDHPSLLPAVAAVAHFGFPWSRLGLLFPNVLLQVPPDLISARLVALEESLRPLPRAAIIAACLSFPSLIENDLTGSAPLVDDLMRAYGGLGPDLGASNDIDVFLRVCGRMQVFYDAGVKIGSIGGLVGCNQRVFLELKEERIGERLKFFKNLGLAGEEAGRFLLSNPGVLDLDFDDVVISVPEYLRRVGLADDEVDVAVKKHPYVVGRNRLENLPGVLRAMGLNHWFLEKINGGGESLRYLSPDFVLEDASYDMEVERAFSDRMVKVKAEMNAQHVDTKLEFLKSIGYGENKIAAHILPVLHSTREMLNERFDYLLERGVEYKMLCRIVSVFPKVLNQGKEMLNEKLNYMTLDLGYSLEYLDCFPALLCFDLENRVKPRYAMLRWLQSYGLLKRPLSPATVLANSEKRFISNLYNMHPAAPKLWLECFYSRIHMEYYLKNIQSQHPDNE >SECCE4Rv1G0240990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448228764:448229009:-1 gene:SECCE4Rv1G0240990 transcript:SECCE4Rv1G0240990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIVAASVIATGLAVGLASIGPGVGQGTAPGQAVEGIARQPEAEGKIRGTLLLSLAFMEALAIYGLVVALALLFANPFV >SECCE7Rv1G0503560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:714365451:714370083:1 gene:SECCE7Rv1G0503560 transcript:SECCE7Rv1G0503560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDATHAPPAAEAQSLVESFCAVTSATPDEAAFFLEGHNWALEAAVRSFYDNTEVDADGPDPAPQPLPPVPAAVDGADSEDEDYAGGGDKDEDDEDYVGGGDEDDDEDAALAAASAAADERRRRPAKRQKRSHQARGGSGGASGRGTVRTLSDLGGGKGRAGSDEGEDSDDDEWAPPPELYTGGERSGMVVRDRSKRKNVADEVFKQAKRKGAKQGPARRQSSSSRSFPGTSRLLTGETVQPDAPQPPEEIVHNIYFWSDGFTVDDGPLRSFNDPEHASFLESIMKSECPTELAPADESSKVNVNLIRKEEKCPESVKRRAPFQGGGRILAAPSENSAPSDITPAAAASSTATTTAPKTITVDDSLPSTSLQIRFADGSRVVARFNTSHTISDVRAFIDTTRPGETSDYTLQVGFPPKPLDDASKTIEEAGVANSVIIQRV >SECCE7Rv1G0517990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856764102:856765079:1 gene:SECCE7Rv1G0517990 transcript:SECCE7Rv1G0517990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVLAPSMLSDPESATKDFVATLPVREGWSQPLVQFNNYWFRPRLLERILLVKQAFVPRADDIILATQPKCGTTWLKALVFTIINRSRYGFTDHPLLTRHPQHVVPFMEIPGASTNPTDIHTLPSPRLLATHMPMSLLPLGTRSVGCRVVYLCRDPKDALVSRLHFENKAFKGTDMSMHGAFSMFCEGFSPYGPFWDHCLEYWRESMARPDNVLFLKYEEIKSDPVPVVRKLAKFLGVPLTEEEENSGVAQEVVQLCSFDMLTSLQVNQAGGVRHGDNIHINNSVFYRKGEVGDWANHMSHKMGEELDLIIQHKLEGSGLVF >SECCE7Rv1G0514510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:834395915:834398368:1 gene:SECCE7Rv1G0514510 transcript:SECCE7Rv1G0514510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKASSPQQRSSDASEVLGHIRGHYKEALGRLPVKEMPELVSSLLGAGFCFGLLDPVSNIIFNTVSSTKDGATKKTSKKRKRRSRIEADLLEDSGDGVVQRSLSGLVTFLVCRFRYLHRREALHYLRLAKADLDLAARLVQLDRGITSAICSSPLTTKIAPLTTAVTVALKCAATTAKQPCPSTFTATWSSMASPPTSAPYTTDRLQKLLPTLPQLGLGLGHLDASQIRTLRSHLTRWMKCKKGMKVPLELRASVSSVLLDKIHSIYLDAIANLSRDALCRRHHRGLLKAGYCFGPIDDPVSNVLLNTIWYDTSFPAQDEFLTDMLCTKSLARLEQRSMAGLVTFVRVLFPELSDNVVMLYLLKANANLREAIAKLQLEHHHLSGSYKKAYKMAAQVACHPNPKALREFALSTAPSVMSSVRSLVKTTAHTLSSREVGDISALLISLRPSVQKVPKLTSRASSFVSRCQARFEVDQIFFRDTVEAALRKFSERSLEGIEYQLHVICGVNAQVTKNGEYGFYDLVDGYPYCHINFYAAPKGSPSEAGTAPTLFFVECSNVDEDENVLRCCVVDPSTDDGRCYHCEYRGTRLVHPSSGTYHGCDTEFEEIASDGYGLTIDELIYHGMRRSEFMGWLPEEDSIYFDPRWDVKFARYINDAAKPRRTPVQSNGLIAP >SECCE6Rv1G0395850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:343844191:343892189:-1 gene:SECCE6Rv1G0395850 transcript:SECCE6Rv1G0395850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLDNVRGLTLAMSSSAFIGSSFVIKKIGLKKAGDSGARARAVILGEIANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKERLHMFGVVGCILCVVGSVGIVLHAPKEREINSVEEIWHFATQPGFIVYSCVAVVGALFLIFWAVKRSGHRKMLVYIAICSLMGSLTVISVKAVAIALKLSLSESNQFIYVQTWFFIFVVIICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTILANMIMYKDWVSQSATQIATQLCGFVTIVAGTFLLHKTNTSSTDRYVESAPTPPPPPPPPDQICVQG >SECCEUnv1G0552790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:257741178:257741699:-1 gene:SECCEUnv1G0552790 transcript:SECCEUnv1G0552790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRALLVAAVTAAALFGTALSATYTVGAPAGSWDLRTNYTQWTSTIRFYTGDELRFLYPAAAHNVVEVTRTAYDSCSSSSPVATFPSGNDVIPLAAVGTRYFICGVPGHCAGGMKVQVNVVSKVVRCRGRGARQRCTQTTPPASSAARAGAEPVLALGLGAVVAGLMLLY >SECCE7Rv1G0470090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:116001619:116004123:-1 gene:SECCE7Rv1G0470090 transcript:SECCE7Rv1G0470090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDFGQCDAKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTHCVSKEDHPIVQRKGLAVVDCSWARLDDVPFVKLRCGAPRLLPWLVAANPVNYGRPCQLSCVEALSAALIICGEEETGEQLLAKFKWGHSFLSLNRDLLKAYSKCENGTEIINVQNSWLSSASSSVSKSPVNVADKPHRSTEEGSDSESDDGLPPLEENMNHLDLSEDEESEEENETENEGITDAK >SECCE7Rv1G0485700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:379445041:379473210:1 gene:SECCE7Rv1G0485700 transcript:SECCE7Rv1G0485700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDPRNPNPPPPPPPGSSSSALPAGGSYFPLPFHLQQQQPVATYQYQQLQQAQQLFQRDAQTITPEALESVKAALATSDVLDPSAARATASSSDAAAKKKTIPRRAAGQSWEDPTLTEWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMAKVVRDKRTGKTKGYGFVSFSNPTDLAAAIKEMNGKYVGNRPIKLRKSNWKERTDVEALKRQKNHIQKKPKTQKKGILHK >SECCE6Rv1G0394310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:294219308:294219511:1 gene:SECCE6Rv1G0394310 transcript:SECCE6Rv1G0394310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGKGLNATGEFFRRRDEWRRHPMVGNQLRHATPGLGIAIVAFGIYLVGEAAYNRLYRPAGDNHH >SECCEUnv1G0546900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:165116611:165118147:-1 gene:SECCEUnv1G0546900 transcript:SECCEUnv1G0546900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQAAAKGTLVSPCGNRAAGFGVRRRGAVAARMSPCAPAAVRIGRKSPFLGARLTVGPRRSKLVPRNLVASPVQMNLAFAKSTKWWEKGLQPNMREIESAQDLVDSLANAGDRLVVVDFFSPGCAGCRALHPKICQFAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATIKKFRDALAKHNPDRCSIGPTRGLEESELLALAANKDLQFSYTKQPEPVPTGDSEEVIAPGSPRLPPPAKPLVRQGSGERTLVSSGR >SECCE6Rv1G0401220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:464278494:464281026:-1 gene:SECCE6Rv1G0401220 transcript:SECCE6Rv1G0401220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGGGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFIATFLFLYVTVLTVMGVSNSPSKCATVGVQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIIMQCLGAICGAGVVKGFQQGLYMGNGGGANVVASGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRDHAWNDHWIFWVGPFVGAALAAVYHQVIIRAIPFNKSRS >SECCE2Rv1G0133440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:896736973:896738337:-1 gene:SECCE2Rv1G0133440 transcript:SECCE2Rv1G0133440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYACMFCFFLAVLTPVSLAVGAAGSRGATGFSLPLVPNYHTAAGVLEELLPEGDAKGGVNITHIRPKMIPLMGPAYSVLVGIGSGETQHFYKLALDLVHPLTWMQCKPCTPEKKQDGSVFNTAVSPHYHSIASTDPRCTAPYTRVSHGRCTFDIKFQYHGSSSAHGVLSTDDFIFDNSGPGSHMSRVDGLVFGCAHTTHDFINHDVWAGVMSLNRHPTSFLRQLSDRGLVAGSRFSYCLVGEERRSRRAFLRFGTDVPDHSHARSTELLHGDLADGGGMYYVRLVGVSLSGRRLTAITPAMFERDPRSLRGGCTLDVGAAYTLMTTAAYNILETEVVAHLHRRGVHRVTVPWEKLTCFRGKWQSIRRHFPTMKLHFHPESAVLFIKPELLFVEMIHEHTDYACFAVRPYAERTVIGAGQMLDTRFTFDLHRNRLFFAQEQCHLDTSAVVAS >SECCE7Rv1G0509480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:781014566:781015045:-1 gene:SECCE7Rv1G0509480 transcript:SECCE7Rv1G0509480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGTGAGAKAKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRIGRYLKNGRYAKRVGTGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIVPRHLLLAVRNDQELGRLLAGVTIAHGGVLPNINPVLLPKRTAEKEPKEGKSPKKTAKSPKKTDKKA >SECCE5Rv1G0366760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815642140:815644922:-1 gene:SECCE5Rv1G0366760 transcript:SECCE5Rv1G0366760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATMPLTSSPPRLLLQHHPGQAKLRQQRSTAGLALLPRRGVRLRCAPDEVAATAAEPEQQQGEEEEFVLLASNRSDFNEVIMVIDSPSNRYLVLDPSRNVHSILPKKSAWTNSYWDEFVSLPPVVPRGPVALLGLGAGTAAHMMLEVWPWIQLIGWEIDPTIIELSRDYFGMSNLEKTTDLGGSLSVRIGDALSPSATVEGGFAGIVVDLFADGKVLPQLQEAETWLEIAKKLMPDGRIMVNCGGADTPVSLAADTGVSSWVQNPTIKALCSAFPGQLNWKRLSEKESVNYVALTGPLPDLEEWSTSVPSELSPRVKQWVPCELA >SECCE5Rv1G0327660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:494406847:494409374:1 gene:SECCE5Rv1G0327660 transcript:SECCE5Rv1G0327660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKNTTDLRSFLERVAAKKKKSEPTSATPSSNDSQLQLVIFQGQSGSETHTIPPEPERAPNTESTIAEDDDESMTVDGSDSTDEDSDDDIYYIVPDPGLRTPISSYDVNDQDSVRRAYIALGRCKPKMDKKDFPQHNCGGKRRFQPVWFDEYKWLEYSVDKDAAYCFVCYLFKDSTKFPGGDSFVNGGFRNWNMKVRFRKHVGEVNSAHCEAEEKYNLFIKPKASIPRLKWSLECIKFILHQGLAFRGHDEGKDSKNKGNFRELLQWLAGNFEEVNKVVLGNAPQNCQMIDHKIQKQLIGSCAHETTKFVMEELGNECFAILADESSDAYQQEQLALCLRFVNKIGEPVERFLGLAQVADTTSLTLKEAIQTLLMKYQLPISKVRGQGYDGASNMKGHVNGLKKLIMEDSPSAYYVHCFAHQLQLTLVAVAKENIDCKWFFGHLAYLLNVLGMSCKKTRMLRVAQSEYMIEALKLGETEVGQGLNQEMGLARPGDTRWGSHYKTFVLMLHLMNEIFGYTNDLSNALQKRDQDIVNAIDLLEFTKVQLQVLREDAGWREFLENVTSFCVKHNVRCVDMDGKYMPIQRARSFYKNVMNYHRFHADMFLGVIDRQLQELNNRFDEVNTELLRCMASFSPAKSFSSFNIDDLVKLAGFYPHDFDFEEMHQLPFQPNLYISDVKNDENFTNLRNLAELSMMLVKAGKDLQYDIVYKLLKLVLVLPVATAGVERVFSSMNYIKNKLRSKMGQKYLNDCLVTFVKRDFFLQVKDEDIITHFQSIKDRKVNL >SECCE1Rv1G0042680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:583186302:583187432:1 gene:SECCE1Rv1G0042680 transcript:SECCE1Rv1G0042680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKFRLSDMIPNAWFYKLRDMGAHGRGGVGVHHRSSSARSFQPPLSSARWSVEALRQPPSARWNREVSNDVQQPEADLEPPVTPAKESLRAPLPRRASYYYSTRDREVPAPPPRAKDAQSPTRSSRRRHKIDRAPEERGPVSAPARGKVPVVDASASSGQRRDMCIKGDGGEPRRPTVRGTADDGGNVKVIASQNEIIIDLRDEDTPGSRLRPIVTKPARRQPGPSEQDGSHVVDLANVSARAGSASEKSSVSRPRRSSASSSGRRRVKTLSRSPRLAATARKVNPPSRKWTAPPPPLPAPVIVSSYPVVKMSEDPRQDFRESMEEMISAKGIQDAEDLEDLLACYLSLNDAAHHGLIIDVFEQIWVSLAGARP >SECCE1Rv1G0059120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703908948:703911368:1 gene:SECCE1Rv1G0059120 transcript:SECCE1Rv1G0059120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGRYAASTDPEAGRDGAGQAAVLLRSPSGATARVSLHGGQVVSWRNDRGDELLFTSSKAIMKPPKAMRGGIPICFPQFGNCGSLERHGFARNRMWALDAEHPELSRSDSGSRSFVDLILKPSEEDMKSWPHSFEFRLRISLTKDGDLSLVSRIRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSQRERHTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKRTFVIRKDGLPDTVVWNPWEKKAKTMADFGDEEYKQTLCVDAAAAERPITLRPGEEWTGRLELSAVPSTNCSDHLDQPGIM >SECCE7Rv1G0455290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6125677:6129128:-1 gene:SECCE7Rv1G0455290 transcript:SECCE7Rv1G0455290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHRCQPLTQQEQYTTGIKMITSQRWRLRELLVAVAVTVVAMASSPAIVCGRDLNQTVTASAQAMQQQQEEGSGNKKPLVTALIVFGDSIVDPGNNNNLPATRMKANHAPYGKDFAGHVATGRFSNALLPPDLIARRLNLKPLLGPWLNVEHTPEDLLTGVSFASGATGFDPLTPQIVNVFTMDQELEFFDAYRRRLVGIVGEAETRRIIAGAFFFVVTGTDDLANTYFMTPYRAGDYDIPAYVDLLLVGAEAFLRNTSARGARKMGFTGMPPIGCVPSQRTIGGGPRRRCEARRNYAALMYNKALQQLIGRLNADPTFHTLVVYFDIYDIIEELAVHGDRWGFTELTRGCCGSGLIEVTMLCDTRYMGVCDDVDKHVFFDSYHPTQRAYEIIVDHIFKNYVPLMHL >SECCE1Rv1G0003450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:13910181:13915447:-1 gene:SECCE1Rv1G0003450 transcript:SECCE1Rv1G0003450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTIGVAPPSSPKPLFVGCGDAQRSNTMASANKDSPMDATLASINPLLLASACFGSSGALSFLFRREDSNAPPVVMPTQAFLDLLNTSNTRTKRRLTVKQASEDIEDGIDHPTLPTAARLLKGVTIDEDTALHVVASHGDNTEFLRCASIINERDRDLLLAVNKKGDTPVHCAARAGKSKMVSYLIELARYRNKEHELLRKENVLKETALHDAVRIGENDIVEVLLDSDPDLANYPKEGTSPLYLAISLEKYVIARTLHDKCKGNILYSGPNGQNALHAAILRGTVIIEDLLNWKNSLTTECDEDGSTPLHFASSLHPSSSNIFKKVYEANPAPVYQADNKGLFPIHVAASLDGKDTIRTILENCPSSAGLLNAERRTFLHIAVEKTRLDIVLFVCHDPSLAWILNMQDNDGNTALHLAVQAGKLQMFCSLFGHKEVHLSLTNNNGQTPLDLSRSLLRPDMYYNQNTDKLIYRALGRADAKHNVLRWDQIYEKYTHPEDKNKEGDNIKDSSQILGIGSVLIATVAFSATFAVPGGFIADDHKNRGTPTLAGRYTFDAFMMANALAFICSGIATIGIMYSGCPMVELVIRRCNFAASVFFMSSSVTSLSAAFALGVYMVLAPVAHTTGIVICVLTPSVVLYRNIEFLLKVCTIAQSLYHRMGLIHALTWLVRMIHRRMVMELWPFIFIFGWAAIAQKLRNHH >SECCEUnv1G0536090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:62568938:62569846:1 gene:SECCEUnv1G0536090 transcript:SECCEUnv1G0536090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNGMGCRLALAIVGCILLSSLGTATATGKTGRITVYWGQTSSEGTLREACESKLYSTVILSFLTNFGGGKYELNLAGHPWKAVGPHVKYCQSKNILVLLAIGGGIGKYSLVSKADAKKVAEHLWDYYLGGESRSRPFGNAVLDGIDFDIELGSRAHYDDLARYLKAYGNRKGEKKVFITAAPQCPFPDRMLGEALRTGLFDRVHVQFYNNPVCSYRAGNAAAFKKAWNDWTKSLPKSSVYLGLPAARGASNKGSGYVDPATLVSKVLPIVQRSNNYGGIMLWSRYFDLKSGYSRRVKKFV >SECCE7Rv1G0498940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:648623451:648626324:-1 gene:SECCE7Rv1G0498940 transcript:SECCE7Rv1G0498940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQKAWRTAAFGLYGFTQFTKSGFVEHAKKFREEDMQIRLDGKNCLVTGANSGLGFATAQGLASHGATVYMLCRNKERGETALNEIRSKTGNMNVHLEICDLSSINEVKSFATKFSSSEKPLHVLVNNAGLLEHKRTTTPEGLELNFAVNVAATYTLTELVMPLLEKAAPDARVITVASGGMYTEPLNTNLQYSESEFDGTKQYARNKRVQVALTEWWAEKYSNKAVGFYSMHPGWADTPGVSKSLPGLSEKLSGNLRSNEEGADTVVWLALQPKEKLVPGAFYFDRAEAQKHLKFAGTAASHEQIGSIVDSIRSICNLPANT >SECCE2Rv1G0130050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:872973035:872973910:1 gene:SECCE2Rv1G0130050 transcript:SECCE2Rv1G0130050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMPRDSPASGALVPSDSAATAAMMAAGKLPFPLLTRTNYAAWAMRMKYLLRANGAWAAVDREDSSKEVDAGKEEMAMTIISQSIDDTTLLRVAEKETAADVWAALRSMHVGVERVREARIQSLRSEFDGLKMGDAESVDDFAARFTTLVGRIRELGDAMEEKYVVKKLLRAVSNKFIHVASSIALFGDTNKMAMEEAIGSLKAHEELVKGRSMGHDEEQLLMARGHDSSRGRGRGRGRGGGRRDKSDVQCYNCRKLGHFAWECPEKKKEDEEEKALLGQYVDDEPALL >SECCE2Rv1G0130510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:877265795:877266295:1 gene:SECCE2Rv1G0130510 transcript:SECCE2Rv1G0130510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKCLLKFFPDPNDYKTVKKEFADFALMMNAFQNADSIEDRDDFEPKQWWGTHGGSTKFLKSLALKLLGQPSSSSCCERNWSTYSFIHSSGRNRLTPVRAEDLVFSHNNLRLLSRQSDAYHAGPSRMWDVGGDGVESFTGVGMLEGADLTLDEPELEAEIMDALT >SECCE5Rv1G0324820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:456859692:456864130:-1 gene:SECCE5Rv1G0324820 transcript:SECCE5Rv1G0324820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MAAATATAQAQPGLDAREWDEAAYRRGILRERDLSCRTLFRAVFYDQRDEADPDVLLAAASSDGSLASFSLSSCISSSASARAAPQLAAAALVDPVCIVQAHSGPVYDAKFYNDPIQPLLFSCGDDGHIRGWRWHEMQSCLLPLSLQGDHVEPMLDLVNPQHEGPWGARSPIPENNAIAISKQDGSLFAAAGDACAYCWDVESGKSKMIFKGHTDYLHSIAVREANHQVVTGSEDGTARIWDCRSGKCTQTIRPVQNRKFEGSWVGCIAIDASESWLACGTSSGISVWSLLSNECIFNADCGAPVQDLLFDKNQILAVGAEPALSRFTINGVVVSQIKCAPPSAFSVSMHSSGMAAVAGHGGLVDVISGFGSHLCAFRCRGLDK >SECCE6Rv1G0398960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:428466371:428468819:1 gene:SECCE6Rv1G0398960 transcript:SECCE6Rv1G0398960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLIAVLASSKTRTGAAVATMAMQPRDTCLRRCGDIEIPYPFGVGSGCHLETGDWTFSLSCNRTGDGRRRLYNYQIEVLDMSVRRGQLRIYSLINPWCYNATTGAMNDQNNWWYNMDITNFRINDALNRFTVIGCNSLAYIRSLNDTADRYMTGCMAMCPGVGRLENGSCAGVGCCQTAIPSGLNGYQISFEEKFNTSGTAGFSPCSYAVLLEAAAFDFRTTYITTDEFMAANGRQVPLVLDWAIGNRTCDEARRNSSAYACVSTNSECVDSRYGRGKGYLCNCSAGYDGNPYLLDGCQDINECQDQSSRYPCSVPDTCVNTIGGYSCVCPEKTSGNAYNGTCEQDKSQIGWEIAIGVSIGVIVLIATASCAYMIYAKRRLAKIKREYFEQHGGLTLFDEMRSRQGLSFKLFTQEELEEATGRFDERNVIGKGANGTVYKGTTKDGDLVAIKKCRLASERQQKEFGKEMLIVSQINHRYIVKLYGCCLEVEVPMLVYKYIPNGTLYRLIHGRRDHDGPRIPFTARLNIAHQTAEALSYLHSWASPPIIHGDVKTSNILLDEDYTAKVSDFGASTLAPTDEAQFVTFVQGTCGYLDPEYMRTCKLTDKSDVYSFGVVLLELLTCRKALNLEELEEEKYLSSQFLLVLGENRLEEMLDPQIKREQSIEVLEQAAELAKRCLEMLGENRPSMREVAEELHRLSKLAQHPWGPPDSAELVELLHGSPSPTTYSGHSGIELSSTRNVSFTDTAYIGIQSPR >SECCE5Rv1G0347850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:670849265:670852204:-1 gene:SECCE5Rv1G0347850 transcript:SECCE5Rv1G0347850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASAPLLLALALAFAASLAAGDTNRVYDPCTDTKIQRGDGFTFGLAFAANGAFYSGSTQLSPCDRRLTQLSQLSLFRPKVDEISLLTVNTTTGFSPASAGGYMVAFAGRKYAARSQPVFVSNSSVTVSSFTLVLEFNKGKLQNLHWKKDGCGACTGKPNFICLGKQTCAIRTNICKSNNQGSMDCSIGIQLAFSGTDKHESVLNSWYEVSKLQQYSLYGLYSNVKGSLSGQFDKFF >SECCE6Rv1G0442280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814659611:814661710:-1 gene:SECCE6Rv1G0442280 transcript:SECCE6Rv1G0442280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCRRPATTLVLVLLLHLYYAPRWAFSLSFSLNFSDPSAGSSVDLDGDASISPPRLELTSFATNLLSSVGRASYARKVPLWNGATGEMASFTTSFSFQITPQKDSSGEGMAFFLGHFPSEIPPQSGGGGLGLLPAFTNGMGPTRMVAVEFDTLGNSYYGGISKNHVAIDVNSMVSTASTPITATPGKNLTSSYVMKATVTYHNLSKLLAVDLLIDGALFQVNTTVDLSAQLPEEVAVGFSAATGNASELHRVLSWSFSSTLPPLPTRNNNHKKLVIILSSVLVPLLSLVVCVAVLLWRRHKKMRANNGDSQERFAHRADLERGVAAGGPRRYTYHELVAATKHFAEDEKLGRGGFGSVYRGHLTLTAVATDRRAVAVKMLSAESSAQGRKEFEAEVRIISRLKHRNLVQLLGWCDSHKGLLLVYELVAEGSLDRHLYSKDRCLTWPQRYKIILGLGSALHYLHGEWEQCIVHGDIKPSNIMLDSSLNTKLGDFGLARLVDHDTGLLQTTKAVLGTAGYIDPEFVNTRRPCTESDVYSFGVVLLEIVSGRRPVMETAGKSFTLVRWVWSLYGRNAILDAADERLRGDEADERWMVRVLVVGLWCAHPDRSERPTVAQAMQVLQSDEARLPALPLHMYRTAPEPDTVSSSGPYEAFSIDSSTFTSTSGGVRSSLVNTGDTTHSLDSSSSALLRNSRDLAN >SECCE5Rv1G0339870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611465829:611467045:-1 gene:SECCE5Rv1G0339870 transcript:SECCE5Rv1G0339870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNDFGFGSLIIDIKLDGSNYREWAFSARTVLRTAGFVSHLTDDPPTPNDDAARKSWQKIDDRVMGVLILGVEPSLRMSLEHHTSAKEIWKYFEQRYLQPSSALHFSLLQNLHNTRQPEDLSIEEYYGTFTRITGQLGSMVPKGNSGCESCAAKEKYDHQTLMFQFVMGLKSDFENIRTQLLGRTTPPTLTEALASLIAEETRLRSLGDTSAQTLHTSVLAFPQRPGASKATPSAIVCSFCKKAGHHRDGCFKLHPELLAEFQARRALNQQRHAPQAPYQQQARGASASALSQPAVAATQPWILDSGASFHVTSDHSQLVSCQPVRDGASVQTADGPSEQEGHWNWPSP >SECCE5Rv1G0376980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:874960702:874963019:-1 gene:SECCE5Rv1G0376980 transcript:SECCE5Rv1G0376980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAVALRQSLLRRPYHRGGPSNGVLLGLGLGPPPWLRRACCSASLSAGAGSEHVPVFPRQKTWDPYKLLGVDHDASEEEINSARNFLLQQYAGYEESEEAIEGAYDKIMMKSYSHRKKSKINLKSKIKKQVEESPSWVKTLLGHFEVPSMDVVSKRFALFGFIAGWSITTSAETGPTFQVALALVSCVYFLNDKMKNLGRASATGFGLFAGGWIVGSLLVPVIPAFILPRTWCLELLTSLVAYAFLFLGCTLVK >SECCE2Rv1G0125220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:839715531:839718182:1 gene:SECCE2Rv1G0125220 transcript:SECCE2Rv1G0125220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRTLHSWFASSSNTLPIVPESNVAPINVIVQPTLIAADPTNESTNPSVDESTNPSRTNHPTDESTNQPAPPTQYILEFHPSQIFGDPADRIPIEDYAPEIRSEVRRAYLLKGRNKASGHKFEVTLDGKIWRSFQPQWLDRFDWLEYSVAKESAFCFPCFLFKKPSQAATFGNDVFTIDGYKRWKTALASFQKHVGGPSSYHNIARGLCDDFNNQRANVATKFRAHSKESEIKYKIRLTASLDCARYLIAQGEAFRGHDESSDSVNKGNFREFLDWYKDKNKDVKDAFDNGQGNALMICSQIQKDLATCCALEVTKVIKNELGDKKFAILVDEARDCSIKEKMAIILRFLDDNGELQERFLAIKHITDCTSAGIKEALFHVLKYHGLSINRLRGQGYDGASNMRGEFNGLQKLIRDESPYAFYVHCFAHQLQLVVVTVAQCCPVIADFFNFLPLIVTQVGSSCKRKDALLAKHQDYLIEMMENCKISSGTGLHQETNLARPGATRWGSHLRTLLRVYTMWNAVVDVLAIVIEDAREHTSQGGASSLLVQMECFQFVFIMIFLINLLNITNMLSQALQRKNQDVVEAMRLILDVKEALQTMRDNGYEPLCEQAKNFCEEHGIDVPNMDDLVGAMGQSARSKNKVTRDHYFRVSIFNVAIDATLTEMNHRFNEVNTELVDCILMIRNHRFNEIYVEDFTQAERLLLRYELPTFLTNIRRSEEFNGCPDISTLARLMVQTMKHRTFQLVYRLIELTLILPVATSSVERIFSAMKVIKTDLRNKISDDWLNDLMVCYCEKRIFKSIPDDQIMIRFQKKKNRKGHLPPEYDVIS >SECCE3Rv1G0147110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:13268915:13271792:1 gene:SECCE3Rv1G0147110 transcript:SECCE3Rv1G0147110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPPSSSPQDGSGGGGSSENLALQAPQPQAVREDYVQNAVNFLAHPKVKGSPVSYRYSFLEKKGLTKEEIDEAFRRVPDPPTSSTDAAAVGSQQASNPNQSAAVQPYASVQSPQAPTGSVATGHIVPQTQTQFSWYHALLGAGIFVGVGTSSVLIIKKLFLPRLKSWTRRVVSEGDENADNELKSKLYDEIKEAMEASSSAFSAIAKTNQELLASKEEDKKILVKLTEALDSQADVLKSLSETLHHTRENRFSQYNMLEEHAQPGPWNGPTTNSWRTSQQTNMYTNGDFDSGRQPFMPLPAEPTAGSFPRSYVDQRAPRAGYGYQPPMGYDRLNAGTREGYYGSPPYYSGGSNAVDAPAPAPVPAPVPTPAPAPAAAPVAQESPFQRHWVPPQPPGVAMAEAAAAIRQPRSLPRQEPQPAAGPADAPRPSDSAVNEQMDGGSPTITSDESPSSSAAVGTMAGAANGENGEGPGAA >SECCE2Rv1G0076110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:88750351:88752198:1 gene:SECCE2Rv1G0076110 transcript:SECCE2Rv1G0076110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAAPAFHSLVAKTSRASTTRASSQCESAPGRRGARARPRAAETAQAAAAEETPRFRWDALGSDLSDPQEQAMRGLSPKLPNRCRALMPRVVSLSPGDENLGVVLAFWVKAMKPKRADWLLVLKELKAMESPLLAEVLEYALLEDSFEANVRDYTKLIQIYGKQNLLREAEEAFQAMKARGLPCDQVMLTALVDMYSKAGDLTRAKETFEEIVLLGLPLDKRAYGSMIMAYIRADMLDQAEDLIKQTEDQQVFVGKEVYKALLRAYSYKGDSEGAQRVFDAVQFAGTVPDTKLCALLVNAYCLSNRIDEAVCVTRNMRGAGLEPCDKCLSLILSAYEKTSRLEGALEFLAELEENGVVIGQEPSQLLAAWFRRLGVVHAVEQVLKEVSKSSKSKQSVSVLKEGTKSWKSKDSVKKEWRKGKNSKHSISVHQQPSISVQTKGATNRNSKITQSITSATEVIL >SECCE6Rv1G0405730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:534751393:534752154:1 gene:SECCE6Rv1G0405730 transcript:SECCE6Rv1G0405730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFISKRDGNVVNPAVGTSFDSLDEAYQFYNLYSWELGFGIRYSKIRLNVERVKCMQEIVCGCAGKPERGNTSSPRCGCATRIRLPRSDDNGWYISDQAAEVGRQWMVYFICTFGSVDNVPFTKRSLKTLCCKLNKEQSDSDARKTMDILAEMKANDPEFKYTVQVDDESRIRTLMWVNGCSVDQCRCFGDVVTFDTTYRTNLYDMSFGLFVGVNNHFRA >SECCE3Rv1G0191530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:749115539:749117140:1 gene:SECCE3Rv1G0191530 transcript:SECCE3Rv1G0191530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWHDDGGRGGLGDIPDLAGRGGGEVAHVATRRVVQSRCHTEEVEPGRFVRKCEKTEQLLRDCIGRPSELVESKTENTEEDVTDEMTGGASRSLGFPANEPFAFPGLRSDIEAIEKGFGSFLDEAERMTNEFFKSFGFPPIHDGDSRPFPRQPAERHIGEGSAKKPNENEYSEFGSQITDV >SECCE7Rv1G0481340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:282737401:282743586:1 gene:SECCE7Rv1G0481340 transcript:SECCE7Rv1G0481340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSILHRACLRLALLPLAPLRAPLRSSSLPRPLRLPRRAAMTSAASRMSHIAAAAASGESSDPAAAAASGLGQEDDDLSLGYRLPPKEIQDIVDAPPLPVLSFSPSKDKILFLKRRALPPLSDLAKPEEKLAGVRIDGHSNTRSRMSSYTGIGIHKLMDDGTLGPEKEVHGYPEGAKINFVTWSHDGRNLSFSVRVDEEDNKISKLRVWIADVESGEARPLFKSPDIYLNAIFDSFVWVDNSTLLVCTIPVSRGAPPQKPSVPSGPKIQSNETKNVVQVRTFQDLLKDEYDADLFDYYATSQLMLVSLDGTVKPMGSPAVYTSIDPSPDDKYLMLSSMHRPYSYIVPCGRFPKRVELWTADGKFIRELCDLPLAEDIPIATSSVRKGKRSIYWRPDKPSTLYWVETQDGGDAKVEVSPRDIVYMENAEPINGEKPEILHKLDLRYGGISWCDESLALVYESWYKTRKTRTWVVAPDKKDVSPRILFDRSSEDVYSDPGSPMLRRTAMGTYVIAKVNKQDESTYLLLNGMGATPEGNVPFLDLFDINTGSKERIWESDKAKYFETVVALMSDKIDGDLPLDQLKILTSKESKTENTQYYLQIWPEKKQVQITNFPHPYPQLASLYKEMIRYQRKDGVQLTAKLYLPPGYDQSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFSGIGATSPLLWLARGFAILSGPTIPIVGEGDVEANDSYVEQLVTSAEAAVEEVVRRGVVHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKRPILLIHGEQDNNSGTLTMQSDRFFNALKGHGVQSRLVILPFESHGYSARESIMHVLWESDRWLQKYCVNGTSKADSETAADGESKTLSASGGGAASEGLSSDGLSSIQRSLLCKKGDKFSLSRSDQLL >SECCE4Rv1G0221840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:52704532:52704873:1 gene:SECCE4Rv1G0221840 transcript:SECCE4Rv1G0221840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVTLVLLLSGLVVFGEIGGAAAACDVACFQGGHITCDNYPGQELDGCACECAPKDGKGCVLHLDDGVTHTNCRTPQK >SECCE6Rv1G0384450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:63412841:63414190:-1 gene:SECCE6Rv1G0384450 transcript:SECCE6Rv1G0384450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTCSSGVILAISVVAVLVNADVALSGCSYKRLFAFGDSLIDTGNFVYIIGNGPSPFKELPFGMTFFKHPSGRISDGRVVVDFYAEALGLPLLPPSIPEEATGQFPTGANFAVFGSSALPPEYFKTKYNFTINPPSTLERQLASFKKVLARIAPGDAAARALLNESLVLMGEIGGNDYNFWFGDSRRNRETPLEYLPDVVARIGAAVQEVIDLGARTIVVPGNFPIGCVPAYLSGHQSNVTADYDEFHCLKWYNDFSQKHNQALQQELARLRSQNPGAKVVYADYYGAALQFVQKPQAYGIADPLVACCGGNGPYHTGGACNNQTKLWGSPDGFASWDGLHMTEKAYKIVSDGVLDGPFADTPLRHLC >SECCEUnv1G0555220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:297545615:297549785:1 gene:SECCEUnv1G0555220 transcript:SECCEUnv1G0555220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMVRRLALSCYPAAALLLLVFLDTPFTGAQPLPWQLCNATAGNYTDGSAYQANIRILASAFPMNASSSPALFAKGSAGTAPDVVYALALCRGDTNASSCAACVTAAFRNAQQLCAFNKRATMFDDPCTLRYSDQDFLANVTDNRGRSGAFNANNVSAAAFDAASGRLVNATADYAAADSSRRFGTGEVGFDQTYPKIYSLAQCTPDMSAAECRGCLRDIIVQYTPRYFLGKPGGRIVGVRCSFRFETYSFFAGRPLLQLPAALSPAPAPAATAGEGKSRHKTGLVLAITLPTAAALLLLISTCVCFWRRSKPAAERQPAVPYSTNQDDIQSIDSLLLDLSTLRTATNNFAESNKLGEGGFGVVYKGVLSEGGQEIAVKRLSQSSTQGIEELKTELVLVAKLQHKNLVRLVGVCLEEKEKLLVYEYMPNRSLDTVLFDAENRGDLDWGKRLKIVNGVARGLQYLHEDSQPRIIHRDLKASNVLLDSDWNPKISDFGLAKLFGWDQSQAVTSHIAGTYGYMSPEYAMRGQYSVKSDAYSFGVLVLEIITGRKNSSFANSEQSIDLSSLVWEHWTRGTVDELVDPSLVGRSPGGHLLKLINIGLLCVQDNPTDRPTMSAVNIMLSSNTVSLQAPSRPTFCIDEMEGFSGMYSTGGCKPEGVSVNEVSLTELEPR >SECCE5Rv1G0315220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:287831898:287836901:1 gene:SECCE5Rv1G0315220 transcript:SECCE5Rv1G0315220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWAPPAGLLLLLLLLLAVLLPARADRPARTGHADDDPEASSAVFQLYGNVYPHGLYYVAMSIGNPAKPYFLDVDTGSDLTWLQCDAPCVSCSKVPHPLYRPTKNKLVPCVDQLCASLHGGLSRNHKCDSPKQQCDYEVKYADQGSSLGVLVNDSFAVRLANSSVVRPSLAFGCGYDQEVGSSTEVAPTDGVLGLGSGSISLLSQFKQHGITKNVVGHCLSMRGGGFLFFGDNLVPYSRATWVPMARSAFRNYYSPGSASLYFGGRSLGMRPMEVVLDSGSSFTYFSSQPYQALVTALKGDLSKTLKEVSDPSLPLCWKGKKPFKSVLDVKKEFKSLVLNFANGKKALMEIPPENYLIVTKYGNACLGILNGSEIGLKDLNIVGDITMQDQMVIYDNERGQIGWIRAPCDRIPNENTIHGFEEGYCWPQFPSSIFGIQNEECAANYRSNKE >SECCE3Rv1G0150950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:35089088:35089543:-1 gene:SECCE3Rv1G0150950 transcript:SECCE3Rv1G0150950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRRSNVFDNFADLWADPFDTFRSIVPAISGGSSETAAFANARMDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKNDKWHRVERSSGKFVRRFRLPEDAKVEEVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >SECCE4Rv1G0283310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:834929709:834932109:-1 gene:SECCE4Rv1G0283310 transcript:SECCE4Rv1G0283310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLQAFWNHPAGPKTIHFWAPTFKWGISIANVADFAKPHEKISYPQQVVIACTGIIWSRYSMVITPRNWNLFSVSVAMSGTGLYQLSRKIRKDYFSDDEKEATAASLELEA >SECCE2Rv1G0071190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:41487974:41488246:-1 gene:SECCE2Rv1G0071190 transcript:SECCE2Rv1G0071190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVSTVFLLLLMAVAAAAGEKGTVRPPTASTPFVAWLHGRQPLFRGRAANGCMPRVFRVPPSAPSRYANYHTLDAGACDHGGGRSRKP >SECCE7Rv1G0526660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:896234811:896236067:-1 gene:SECCE7Rv1G0526660 transcript:SECCE7Rv1G0526660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIGRRFLNLGLFDGRKRAYSLRRLDLSKMDFFHRTAQEAAMHGKVVPTLTPAKACAPKRARICNTDLTGAPMINPPKSELLVRPPEVSYSIRSPRCLHFLPTASESKVILADHANRMLRFYTIDGCSYIDTMPSLHGYKHSPLAISVPPTNLHLRDGEDNGDLYIIDSVLHPDKAEVRPQFEALVWRGITTSLVSHRFWHCDILPLPPWITHHRNAFVYGHALVGDTICFSICGSEGDGTYCFHMATREWSKAGDWLMPFDGKADYVPELGLWFGVSEKLPCVADLSGVVRGEEPPPDQMRIWAHDDLPEEWQPTRLFKPRVISLGSGRFMVVDFLDAMEFDKDSNEMVTGKQFALFTGMEVAYGNDGKSDDGKNNSSSNNNGSKGKGVIGDLRMIKHKSGRYMFTNQQRIEEVL >SECCE3Rv1G0165550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:175654708:175656654:-1 gene:SECCE3Rv1G0165550 transcript:SECCE3Rv1G0165550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRRLPALPRTHGSTRRRLLATGASAASPLPWPGLHAWRRAPPSDLRSWGPNGPCTPDTDKAGTPEAGAGVDRGSSLAEMGALVLSTADPLAKAHLTHAAFSRWAAGGLPVGLAGAPDHPARPEKPLAVTQKEVPTHKAMGVPLNAYMLHNLAHVELNAIDLAWDTVVRFSPLQDTLGDGFFADFARVADDESRHFRWYSQRLAELGFRYGDMPVHNLLWRECAKSSSDVSARMAVIPLVQEARGLDAGPRLVQKLIGFADHRSADIVAKVAEEELAHVSVGLYWFLKVCDMMGRVPGAAFRDLIKEHDVVMRGPFNYQSRDEAGIPREWYDETLKPEIASNLSEVHDRLACVVEMEKENASLNG >SECCE5Rv1G0362600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:787431060:787434611:1 gene:SECCE5Rv1G0362600 transcript:SECCE5Rv1G0362600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGAPSAQGGGRGKNRRKPKANPYTVAYNRGAGAPPARAGLVVLRDPTGRDLEDKYVLGGELGRGEFGVTYLCTEAATGARMACKSISKRKLRTPVDVEDVRREVEIMRHMPPHPNIVSLSAAYEDEDAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRNGVIHRDLKPENFLYANKKESSPLKAIDFGLSVFFRPGERFSEIVGSPYYMAPEVLKRNYGPEIDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPRVSEPAKDLVRRMLDPNPITRLTAAQVLDHPWLHDSKKNPDIQLGDTVRARLQQFSAMNKLKKKALRVIAEHLSLEEVADIKKMFDSMDINNKGQLTFEEFKAGLRKLGNKMHDSDLQMLMDAADVDKNGTLDYGEFVAVSIHVRKIGNDEHIQKAFSYFDQDKSGFIEIEELRVALTDEVDGPCDEDIINGIIHDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRQRFSNLSLKLHKDGSISDDQK >SECCE4Rv1G0287950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:857488030:857491571:1 gene:SECCE4Rv1G0287950 transcript:SECCE4Rv1G0287950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGKRKGSACGEQGGGDGDSQSGKATPSSVIPYLPEDILFCIHSLLPMGEAARTACISRTFFHSWRCHSNLIFTKDTIGLKRSAWGENFDDKIDRILRNHSGCLKTFNIDYYGTRGFTGTSYFDRWLQIALKPGIEELTLVLSETKRKYNFPCSLLSDGVRNSLRYLRLQFCALRPTVELGPLRSLKSLYLWRVSITWNELECLIFNSLNLEILDLISCPEIECLKLPCALQRLGALSVLACERLKVIESKAPNLSGLYLCGNWLDLSLVETLPIKELELQQTNLIRDARAKLPSLMPNIETLAIQSSREVVDAPMLPTKFLHLKHLTITVRSGAMVSRAYDYFSLVSFLDASPSLETLILDVTQRRMVHESIFADSKLRHMPEHRHGHLKSVKINGFNSAKCVVELTCYILRNAVSLECLTLDTIYGSRCDDQGQDNWCIPMTDGILMEIPWALLAIKTHIENEVPPTVHLTVLEPCSKCHANGLERVLSQ >SECCE2Rv1G0098110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:475532331:475540422:1 gene:SECCE2Rv1G0098110 transcript:SECCE2Rv1G0098110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAVRLSAAAALLSCLCIHGLLGNSGQAFAQGPPVLHRIDLAEEDDDSGGLMPELSPTGSPQPFVPFLAPAPLAPFYNNSTPKLSGKCSLNFTAINGLMTTTAVDCFASFAPFLANVICCPQLQATLTILIGQSSKQTGSLALDPTLANYCLSDVQQLLMSQGASDDLHSICSVHLSNATEGSCPVSTVDAFESVVDSSKLLEACRKVDPVNECCSQTCQSAISDAARKISSKDAALTSNAASPKVDSCRNVVLRWLSSRLEPSSATKMLRQISNCNVNGVCPLSFPDTSKVAKECGGTVKNITVCCKAMDSYVSHLQKQSFITNLQALNCASVLGAKLQEMKVSTNVYSSCQVTLKDFSLQVGSQESGCLLPSMPSDASFDPSSGISFTCDLNDNIAAPWSSSLQASASKCNKSAPGPTIPERPAATSAQNGVNHNRLELSLAVCFGALVAAILLQV >SECCE1Rv1G0009090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:52479510:52481667:1 gene:SECCE1Rv1G0009090 transcript:SECCE1Rv1G0009090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAASATAAATNFEVDLGHLLAYDPSHHLAPAAGSSRAELRDECLRKATELAQAVANALFSLPATEGRDGPVVRLPPPTNRLPREKHLPRPKPPTKWEQFAKSKGIIKHKKNKRAWDEQTNSWKRTYGYDRVNDDRDVPIIEAKLTDEPGVDPFAQRREEKKGRVDKQEKNRLGNLKNAAKVGALPSHIQLAATSVPITGTKADVPRKAKKEDLENVAGMASAATASGGKFDKKLPGEKPLKKAGKNRKFLPVVEGKGMGNLEKQQNDKILNSLLAKNFEEPLDVSKAITMYKVKKDNKRRKDKQSSSSGSNKLKPQKKIQKKSSKKSA >SECCE7Rv1G0504130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:719998953:720002691:-1 gene:SECCE7Rv1G0504130 transcript:SECCE7Rv1G0504130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPGAGHVAAAWEQVRGPVIVPLLRASVLLCLAMSVMLFAEKVYMAVVVLAVRLLGRRPERQYRWEPVRDGDDPELGSAAYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIIVQVLDDSTDPVIKELVQVECRRWARKGVNIKYEIRDNRRGYKAGALKEGMKHGYVKDCDLVAIFDADFQPEPDFLQRAVPFLVHNPDIALVQARWKFVNADECLMTRMQEMSLDYHFKVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVYLGDLKVKNELPSTFKAFRYQQHRWSCGPANLFRKMVMEIVRNKKVTLWKKIHVIYNFFLVRKVVAHIVTFVFYCFVIPATVLVPEVEVPKWGSVYIPTIITLLNAVGTPRSVHLVIFWVLFENVMSLHRAKATFIGLLEAGTVNEWVVTEKLGDTLKTKMPSKALKKLRMRIGERLHLWELGVAAYLFLCGWYDISFGNNRYFIFLFMQSIAFFIVGVGYVGTFVAQ >SECCE4Rv1G0294910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:893511289:893512029:-1 gene:SECCE4Rv1G0294910 transcript:SECCE4Rv1G0294910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYHQTATTDLEAPAPVPKVKAAAVVTRNPTSPIGPPSPEMEATAEALTREEVLRRRRRRAARLLASYRRLYWAMAEEVRARHRQYVWELGCSPLEAEQPLSEAKPGPAAAPRRKKCGVTGCKVRAMAMAKYCHYHILSDPNQVFYKGCGHIMIKSGAQTGKSTHNTPILKASVPSLCNFHLQKSQKNISQAYKKVGFNPPSTGQISPDFSVLVAESVRQIQAKRREFRSAAAGKKYPKDGKVN >SECCE2Rv1G0142050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:938317968:938321089:1 gene:SECCE2Rv1G0142050 transcript:SECCE2Rv1G0142050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIMVSASTGAMNSLLGKLTTLMGEQFAKMKNLRKEVKYIRDELGSMKDALGRLADVDEPDPQTKSWRNTLRELSYDIEDIIDDFIQNIGEKDKKSGFVRKTIRRLKTSRARHRIAGQIEEIKKLVHETSDRHRRYDLDKIIPQSNNVVAIDPRVKTLYEKAANLVGMEGPKNELVDWLIDEEKQLKVVSVVGFGGLGKTTLANEVYQRLKGDFACGAFVPVSQTPNIPNLLRSLLSQLGRHPSIDACHSHLIDELRECLNTKRYLIIIDDLWDVSAWGFIKCAFPENDLASRVIVTTRSLEVATACCSPRHEYILQMKPLSNEDSRKLFFGRIFGSEDIFPNHLRDVSVEILNKCGGLPLAIISIAGLLASEGPKEEEWEHVRNSLGSMSGTKLTLNGMRQILNLSYKDLPSHLKTCLLYLAMYPEDYTIKRTDLERQWMAEGFISKENGQDMEKNARNYFNELINRSLVQPVKFDGVGAVTECKVHDMMLDLILLKCKEENFLSIVDGSEAITEEEYKVRRLSLRLNDSDNEILPGHISLSQVRSVMIFGDSGKTPPLSKFKFLRVLFVENHSTMDLTEMSELYQLRYVMTGTFKCLQLPIQIRGLQQLETLDVCNSSSIPSDIFHLPHLLHLDIGYKCKLPDGIGNMKSLRFLREFHLDFERNSLDNYKGLGELSNMRNLYLSGNLEDQDGVRRMDVLCSSLRKLCRLESLYIYNIKGCMDGLSPPCSLQRLHGRGFYFWDCWFSRVPNWTRELNNLRELQLQVDELLDDGVGILGGLPSLIDLVLQVRRSPKEMIIIDGRGAFPLLKHFEIGLSRASYLIFQSGAMPMVQRLSLIFNIDVQKQNGAGPAGIEHLAALEEVSAWILFVEATESQQSFAESAFRSFVDRHPSNPRTAVHFLEFTCFMDD >SECCE1Rv1G0006800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:35781454:35788610:1 gene:SECCE1Rv1G0006800 transcript:SECCE1Rv1G0006800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKTVIERIDDTASRQVTFSKRKGGLFKKARELGVLCDAQVGILLFSNTGRLYVYSNSTSGVNSIIERYKKVKEGQQFMSASAEAKDQLIINEIEELNKKESLMHQENIELHKKLNIIHQENLDLQNKLKGQLEVNGTITSSYSQYNIAARADLVRLQLSHFDQAERDEQPESPTLCL >SECCE2Rv1G0069990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:32722986:32724005:-1 gene:SECCE2Rv1G0069990 transcript:SECCE2Rv1G0069990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGMVPVIALAFALVLGPVSSGNFLEECDATWEPQNCWTYDGGNSLSLALVSNSSGSMIRSKRQFIYGTVSTMIQLVKGDSAGTVTTYYTSSVGDDHDEIDFEFLGNETGQPYTLHTNVYAAGVGGKEMQFRPWFDPTDGYHNYTISWTPCMLVWYVDGAPIRAFRNYEGSHGVAFPTSRPMYAYSSIWAAEDWATQGGRVRADWTRAPFVASYRGIDLDVCECYGGDCVNTCALAFRGCGGLTGDQRGKMRWVQDNYRIYDYCADHEGGKVPGVECSLPQY >SECCE6Rv1G0432100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749673617:749676025:-1 gene:SECCE6Rv1G0432100 transcript:SECCE6Rv1G0432100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os01g0952500)] MTVVDAEARFHVLAVDDSVIDRKLIEMLLRTSSYQVTTVDSGSKALEVLGLRDEGVDSSSSSPSSSSAPNHHQEVGVNLIITDYCMPGMTGYDLLRRVKGSSSLKDIPVVIMSSENVPARISRCLEDGAEEFFLKPVKLADMKKLKSHLVRRKQPQLQPQSQEKPPAPQQQKPEIQTQTQPLQQQKAEQAPAEPAVEEEVTATGITSDCGGGSRKRKAAMMEPDGASASLSSGTSSLAVET >SECCE3Rv1G0201460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:864248125:864249270:-1 gene:SECCE3Rv1G0201460 transcript:SECCE3Rv1G0201460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAFFATLARGLDDLSGAGGLSSLPALLRAAALLRGLHSQLMVLVGQLHLPPGGRWLDEYMDETARLWDACLAVKLGLAAVERYCAAASCAAAALDDWLQDPSPLSTRQVMRAISASRREAMAAEEENRALSESRIAPLSLQLDERRAADARLSGFNGFRGLLYALHNASSLLLLILAGGAVSGSPRSPSSDGADSSNDDGFMSSIATLQKRMAEEAAGDDGDGAPGMIRMQELRRARAAAEAAREEVERAAAAGGKCGDRDDAVKGKAGELKAWLEVLRAGTEGLACQLDDFLDDIVEGRKELSDLCSH >SECCE6Rv1G0408970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:579181280:579187427:1 gene:SECCE6Rv1G0408970 transcript:SECCE6Rv1G0408970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRQGCGEGCGDRRPFVRHMWPATRVEAAPPPAKGPASPPPPHRSSLPPLRTTSYPPAPTTPPAAPHKQESADSPRPPSADSFLKDGREFRVGDCALFQAVDVPPFIGLIRWIEKKKEGFPKLRVSWLYRSADVKLNKAIQLNAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCRRVYDIDNKCLWWLTDKDYINERQEEVNRLLHRTRLEMHAAVQSGGRSPKRLNSPSSAQQKSGLDDAQNCGLSKGKKRERVEQGIDPATRDRDRPVKVEEGELGNLKAENMKHTFTKFIAKFTDKGGLPNTEAVEKLVQFMQLDRTERKIDLGGRVVLAHIIAATESPDCLGRFVQLRGLPILNEWLQETHKGKSGEGGSPKETDKRVEDFLMSLLRALSRLPINLNALQSCSIGKSVNHLRSHKNAEIQKRAKCLVENWKKRVDAEMKSNEAKPVVSGQAVSWSGKGGAAEVSNGGNRRSISSEASLKNPVSRTAKPSASDAVTKSNPLTSGSSKLQHMQPAIATNLKDPPCKSAGGSELPTVKEEKSSSSSQSLNNSHSCSSDHAKTFGSPWKEDARSSTAASGNASKTSGSSSRVHRRVNSARLGSGIQKEATVGRSTSLDRSSFQEKSSQSGMASEKGGDAPSDNSNGHRLIVRFPNPSRSPARSVSGGSFEDPSVTGSRSSSPVDKHEQNGRRVKMKTENSRPQLASDANAESWHSNEIKGVAGSDESDKSAFPTLESNRTTEEAVKEVCASRPASSSQVNEKGVCSSETKGNSFSPMNALIEIKYSEAGTPLQAGDDTAMNLLASVAGEISKSELISPSASPRNSSANEVGCEGDSIEKLEVECDVAPSKHQGSSDDQKVILVKQEKPDTCLIAKEERNQRSHLPLHDNKITMSTGLSPQNGTVCNVVESSAKTENQEEGCTNKSVPVPGVDSQGEDHNACASRRPVEDVRMSSPVVVGTILGGQHNSVVSNHTPELVPSEELQLSAPDKQAHDQKPLADVLDQSEATATCDGTTGSKLDLKSSVCVVAAGPKKAEVLGVINTVLKEDEKDQPSSTSANVNKLVVLSVDAPNGLKESKDSSSESSSQVKPRAIISQDIEHSARHSPKKLSDDVGRKGDLVSSDEGSTAKAKSNGTAKLDFDLNELGDEGNHSEPATSPVICSSAIHVPGLSPFVSPVLSGLPAPITVAAPAKGPFVPPENLLRVKPEAGWKGSAATSAFRPAEPRKVVGTSLTTPDIAGSDAAGKRSRPAFDIDLNVADDQILEEDVSQSSAQTVGSESGNTRSLDGPVRSAGIELDLNRADEVAENNQFISNSSNRVEVTLLPARSLPGGLPSTSMNSSKNFFDLNNGPSLDEATTEPAQRSLSSKGASSIPFLPQVAGLRVNGTEINNMSPWFASANPYAPVAMQSFLPARGEQPYPVETASGTQRMIASAADSSQFGSDSGRAPVISTPPTMVFHPPAYQYAGFPFTPSVHLQTAGFPIGSTSYANSAPAGVPYFPTIAPSLVGSTAALPPQHVRQYAINRPEGSSSDGLDSNWKWKRPGGFDLNSGPGSIDLEGKDERILSSVRQNLMTPPQAFAEEQTRMYQLPGVGIKRKEPEGSWDPERSSYKQLSWQ >SECCE4Rv1G0217270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14907296:14911491:-1 gene:SECCE4Rv1G0217270 transcript:SECCE4Rv1G0217270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGREDEEAGQKLKSMDSGKSTGSSGDDDSPHPPRPAVKYHGWKAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIVGAFLSDAYLGRYLALAIASVASLIGMFFLTLTAGADSLHPADCGVGEVCEKASSYQLAVLFIAFAFLVIGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWYYFTFTSAMLVSATVIIYVQSNVNWAIGLGIPTALMFLACVLFFMGTRLYVRVLPEGSPFTTIVQVFAAAFAKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAMVASPEEVRSGGATAADPWRLCTVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVFSALQSDRRLGSSFHVPAASFTVFAMLAQTLWIPIYDRLLVPRLRKVTGKDEGFTLLQRQGIGIALSTVAMIISAVVEDRRRDIALNQPNIGTTQTGGGISAMSSFWMVPQLMILGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLTTIVHRTTGAGQNWLAQDLNKGRLDLFYWMIAGIGVFNFVYFMACARWYRFKGTRDA >SECCE7Rv1G0472020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:135682920:135685035:1 gene:SECCE7Rv1G0472020 transcript:SECCE7Rv1G0472020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCAVTPVRRPADPSSPSPTPSPLSLRQWRPAAQRNLRNQWSRLLAAKTRWLDAAANGRSHAASLVNAHLSRSYMPGMDLGMLKDMPRIRDKASAKLAHKEVQCSEMLLSAYKEMVRAMSDLVKASRGMRCFSKVSSGSPLVRFTDCQDDLNDLGDGGGAPVYRWVSMLEFEKLAKELVEMFVSELQLKRLIVLDLLSINLKEGADPSLEWSDELYDGEFNEFQSIGLGSGDSFPVPENWKADAFQARRPGHTPSHEILQVYLTTWLANVNIKTSRIDEIFELVGEEMQIKLR >SECCE2Rv1G0104880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:612288297:612293990:1 gene:SECCE2Rv1G0104880 transcript:SECCE2Rv1G0104880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEPSLKPEWLVRGVATPTASATCLRPGTSPRAGDQDRGASSRNRSTGRDRERSSQQSSSRRGSGPSVSRRHDRDGTVKSRGYASFGRSNRDRVCEKDSDFHDWESRLGLPDGPLRDGFGSFSSCRPESDRLSRVRPKLDTSTRTAGVSLENGNLSRKDAGGISFEREFPHLSSEDNDGKQDIGRVPSPGISSPLQSIPLVTVPDGWNSVLAEVPGLSEPSNNYVSSGFSHAGSGRQPEVSSCGMALSMAETVMQAPLKVSTTPQLSVDAQKIEERTMRLRPLTPSSNKTSISSLSDKLKIKGARAGDSNGPVKTAPQLLTQPSNSSVRTPVKSEPVKPSQSGSFQVLTREQNGAANTAKECSNNPVSPVLGQSSSVEPLKRSNVNHKPNGVVNVLSLHLQQGSSGERKSITKEKHKFFELLRSKSLNGSSAGIESSSSLIDEQKNPSVGLSLFNSGIKCIETGSSSCEDANSCDGSQQHFSDNEEIKPPSEPHDAIYEGLHEIVADNKDANSSSDPVDAEDEAKASLSIIPTDTTDVSARSDSRYGEALLSSEPIVAGQEESYPTEDEPSPEEMAFLKSLGWKQDEVVPPLKQEEIADCLRHNVRLQQKLEECRG >SECCEUnv1G0550760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:222461326:222462474:-1 gene:SECCEUnv1G0550760 transcript:SECCEUnv1G0550760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASMETALMADPTAKLPDDILVEIISRVPYKSTRSCKCVSTRWRDLISHPDHRKKLPRSTLAGFFYRTYDLASRPFNSHGYRSVSGNWCPIDASLSFLPKYEDLELLDCCNGLLLCRCSKPYPEEPDYLVCNPVTEKWVTFPANDWSSSSYACLGFDPAVSSHFHVFEFVPAVAFNMNLKYEYDIEEVGIYSSKAGGWTHQIKWDDPVEIHNFSGGTFLHGVLYLCSVTNLVAAVDVEGNCRFIPIPTLHDALGGHDVYKSRGQLYLANYTAAEASIWVLEDSSGEDYWALKLNVSYLQLCGAEYSSSKEYYSVISAHPEDNVLFIAVQSTLSGYRLQMKIFSYGIDSKELRFICDLGWTSRRPYLSYVPLFSESLADGH >SECCEUnv1G0561650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:356604419:356606220:1 gene:SECCEUnv1G0561650 transcript:SECCEUnv1G0561650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLCLAALGLLLGLVLIVLMFKGKPESKMMWGGASATQLPPAGTAFSWPLVGNLPEMMLNKPAFRWIHKMMEDMGTDIACVRLGGVHVIPITCPKIAREVLKKQDANFASRPLTFASSAFSGGYKNAVLSPLGDQWRKMRRVLTSEIICPSRHRWLHDQRAQEADSLTSYVYTLATAPSSSAVDVRHVARHYCGNVIRRLVFGRRYLGEPRPDGGPGTMEVEHMDAVFTSLGLLYAFCVSDYLPWLRGIGLDLDGHEKIVMEANATVNRLHDTVIDERWRQWKSGQREELHDFLDVLITLKDAQGNPLLTIEEVKAQSQDIIFAAVDNPSNAVEWALAEMTNMPEVMRKAVEEIDQVVGRERLVQESDIPRLPYVKACIREAFRLHPVAPFNVPHVALADTIVAGYRVPMGSHVILSRMGLGRNPAVWDEPLRFNPERHINTTADNDVTLTENELRFISFSTGRRGCVAASLGTAMCVMLFGRLLQGFTWTKPAGVASIDLSESKHDLFLAKPLVLHAEPRLLGHLYPAAPF >SECCE4Rv1G0262380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:707033884:707037375:1 gene:SECCE4Rv1G0262380 transcript:SECCE4Rv1G0262380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKSKGAKFAAVKKIISKKTIKKYKDEVLDPRKKDTEKEKLGRNVPQVSSALFFSYNMALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVERITQHKCYIVATCDRDLKRRIRKVPGVPIMYITQHRYSIERLPEATIGGAPRI >SECCEUnv1G0568340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:425286158:425286430:-1 gene:SECCEUnv1G0568340 transcript:SECCEUnv1G0568340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGRKILGFMVKEEKEENRGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRRRLLAYLAKKNRVRYKKLIGQLNIREQ >SECCE6Rv1G0379880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:17688421:17691786:-1 gene:SECCE6Rv1G0379880 transcript:SECCE6Rv1G0379880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILAWAADVVGGAGDSDDEAAAERERAAAMTPEQRLRAADLDARASSLRRAIQDLRARVPPPNVAQRLPHLHAHSLASSAALALQLNAHSSTKEQALHREVKIQEENTAYEKAISDCRQKIQEKQMEAAVLQSNLKEMETAELDLKAKVDNAIMDQEAAQHKASMAASEATGNALLEAESLMNLKSKDLEEKKGELKLLEDKIQRLEKVWSSVEEESLKNPSPAQREKILEKQLHSLIEQLTAKQAQAERLITDIHAKEKDLERLNSIQRNLQSGSSEAGAARNRFSGGLLSGDEDSGSKAARRPGQSGGFRTEGQKRLMILRSAFVLYILVLHVVVFIKISVSN >SECCE5Rv1G0365450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:807170446:807172419:1 gene:SECCE5Rv1G0365450 transcript:SECCE5Rv1G0365450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCEDVEYGDVFSVANPAAAPAYALDFSLHHHHQQQPQQQLGFPPSYYGFHALPAGSAADYQPSPPQSALTGSTPSPVSTTTELGSPEEASDDAVLAYINQFLLEDDDDDESSAPGTAAAPARDSALLAVAKPFVDIIADAKPAYQHTSWMDPCCAFAGIGGGSPDMFVGSSRQSSCQLVPCESVKVEEECAVHKGRKNRHGDDDLEPEDERRRKQLALSEEETVREMFDKVLLCNGVNCILQSPLPAEAEISAVYVKGSGNRRGRKKGKTGVATVEEESVDLTTLLIHCAQAAAIDDHRGSGELLKQIRRHSSPHGDAGQRLAHYIANGLEARLAGTGSTVHRTLAARRTSSADMLKIFKLYGTACPFMRMFRFYSNEAILDATKGVTSVHILDYGIDWGFQWPVFLQRISKRDGGPPRVRITGIDLPQPGFRPAERLEATGRRLSEYAKMFHVPFEYRAIAAKWDAIRVEDLRIDKNDLLIVNCLFRMRHMMDETVTDESPRMTVLNTIRRMNPHRFIHAVVNGTYNAPFFVTRFKEALFYYSSLYDMLAVTAPPVDEHRQLIEREYFGREVLNVVACEGTERVERPETYKQWQVRNLRAGFRQVPLLQESVKKARYKVTKSYHRDFFVDEDNKWMLQGWKGRVICALSTWKPS >SECCE2Rv1G0072610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:59042952:59046507:-1 gene:SECCE2Rv1G0072610 transcript:SECCE2Rv1G0072610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFASAVRHTFFFPSQDPPRKISNGSNAVPPPQHKQIDRPPLAVTPKPKVLPVPRTEPPKVVKGSTQRTIEVSKDIKRQKKGLKADEVENLKACQLEFGSYCLWSTEHKVIMKDSVVKRLKDQLFVARSYYPSIAKLQGQEALTQEMKQNIQDHERVLSASAVDADLPSFINKKIEQMEHTIARAKSCTVECHNVVRKLRQILDMTDDEAHFHMKQSAFLYNLGSQTLPKTHHCFSMRLTLEYFKSPSLESDVYPARKFNTPNHRHYVILSKNVLAASVVINSTVINSKEPANNVFHILTDAQNFYGMKYWFARNSYKKAPIHVVNYEEMILEKLPKFSMRELYLSEEFRVLIRSTERPAEQTRIEYLSLFSHSHFFIPEIFKDLKKVVVLDDDVVVQRDLSFLWELDMGDKVNGAVEFCGVRLGQVRNLLGKTKYDPKSCAWMSGLNVINLDKWRKHKVTENYLLLLKQVKKKDEVSLREAAFSLSLLSFQNLVYPLDGRSTLSGLGYDYGIDPEVAQSSAALHYNGNMKPWLELGILDYKKYWRRFLTREDRFMDECNVNP >SECCE6Rv1G0423870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697875194:697877213:1 gene:SECCE6Rv1G0423870 transcript:SECCE6Rv1G0423870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAEQLGEWEVQILLLSSLLLQVLLLVFSEWRRRASSWALLSAALNGILWLLYQLADSVAIYVLGHMALSCKPREQQQLMAFWASLLLVHLGGQDTITAYAMEDNDLWLRHLLTLVVQAAGAAYVLYKYVDGGALLVASVLMFLVGTIKYGERIYALKSSGLDSIRKFLDGFEVPEAKRNKRGFCRGGHDLLHICMGQLVDYRVWPSLFQIEAILTYHGRSRAILVGMQLSLMRDILYTKAMVISAGYGCLSRIVSVVATVVAFRLFQVASANTMGGYSRRDMVVTYILLAGAFLLEGVSLLRTAMSTWTCAWLRASGWDRLHKAVVYLRRHVQAAQRCRKWSRSIGQHDIFELHRYRKRSTCYSLMACFRLEQRWIRLRFFGSVIMSHDVEDWVVSQIEHMVQVYVKKNHNRTPSGPGHLALGGWGKDGAGASLYARVAGLEEAGFDGSILAWHYATGAFLCTYDLSKPTRPNMTPAEVTRQKILAKTIHALSRYMVFLLVERPHLLPSPVRRSQYDSFCTNFHGFTDNRGGQQRSYYNQPEVQLAYKVLDQCKPRGVEGVLRLISRVWVEMLCYAASHCSNDSHARQLSSSTEFITLTWILTTTLYYNWYKHDYPQRFTDIADRFLGRHERHRKTKKKQWSTIMALIRGVLSRERPL >SECCE6Rv1G0382870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:48141390:48141680:-1 gene:SECCE6Rv1G0382870 transcript:SECCE6Rv1G0382870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAIMILSLLVLALSHFVVQARMMPSHQPQMLAEKKASPPPYPSSPDFLRVFMAPPPPMPVTFAGNMGFAGAKRRRAIHVIQGSVPSPGVGHH >SECCE6Rv1G0438980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:793634072:793634426:1 gene:SECCE6Rv1G0438980 transcript:SECCE6Rv1G0438980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGSCGCGSACKCGNGCGGCNMYPEVEAAGATFLVSATATHKASSGGMEMAAENGGCGCTQCKCGTSCGCSCCSC >SECCE7Rv1G0467850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:92134876:92137510:1 gene:SECCE7Rv1G0467850 transcript:SECCE7Rv1G0467850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPQRRPSSPGALIDDLMREIFLRVRGDDPASLVRAAACCWSWRGIMSDPEFAHDYRKFHGAAPVLGFLYNDHHMTTHIDHRGQRRWYSSHFVSTATFRPPACRDRRNWRVLDSRHGLALFDTPKRREDFVVYDLVTGEHWEIHADPKCHYFMWWPDDDDDLHACLRCNATLLCAKDRCDHIDCHGGPFRVAFVGCDYTGRAAHAALYSSETREWTDVTSVEHEHFIHYTGHSAVVGDKVYVPCVESDSLIVYNMGERELSVINPPFLVQAITLMGVEGGMPLFVSVVKPRLLLWSLETGPGGAARWTRHRGIELKSLLPTPVLLDNFGVTPVGFAEGVGVIFLSTKAGLYTIELSSRQSKKVHAMQSMQKVIPYMSFYTGEWGPLLASGEASPAVAGGTMI >SECCE2Rv1G0128200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:858977251:858978219:1 gene:SECCE2Rv1G0128200 transcript:SECCE2Rv1G0128200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPTAPPVTTAAADEILYESMPRLRVYKSRVERYLVGSEFVAPSTDAATGVASRDTAISANVSARLYLPRLDTNDATLPVLVYYHGGGFCLFSAFDSTYHAYLNAFAARANALVVSVEYRLAPEHPVPAAYTDSWDALAWVLSHVAASAGTEPDPWLARHADLSRLYLSGDSAGANIAHHMAMRAGASVRGLVLVHPYFLGSDEVGSDGLDPAERERLGRLWRVACPAAAGEDDPLINPLADGAPGLETLACGSVLVCVAEADVLRDRGRAYYDRLRASGWRGQAEMWRAPGKGHRFHLLEPDCDDAAEQDRAISGFLNR >SECCE2Rv1G0112370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:708796776:708800093:1 gene:SECCE2Rv1G0112370 transcript:SECCE2Rv1G0112370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAATPPAVVLVPFPAQGHVTPMLHLARALAAHGVAATVAVPDFIYRRIAGTTAGNNDDDDAGDGVALASIPSGVADGGDGDPPGFADFGHAMEHHMPAHLERLLARRRVACVVVDVLASWAVPVAERCGVPAAGFWPAMLASYRVVAAIPELMEEGLISESGTPQSSANESDDDGLGQGKMLRALNILPAEVELKNEELPWLVGDSATQKSRFAFWLRALHRARSFRSVLVNSFPGEAGGAAAASDDGRPERQGPRVFPVGPLLAAGGGGNSAEQRTKGEAPCKQQSSSMWPADSTCIGWLDRQRAASVVYVSFGSWVGPIGPEKVRELALGLEATGRPFLWALKKDPSWRAGLPDGYADRVAAAGRGKVVGWAPQEEVLTHGAVGCYLTHCGWNSTVEAIRHGVRLLCCPVSGDQFINCAYITRVWGIGIRLGAGMGRDEVKECIERVMEGKEGRRLQEKMDGLRERVATAEATNLAQRNVKSFVNEIKRDHPLSMQMYSIL >SECCE5Rv1G0317220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:338514210:338514722:-1 gene:SECCE5Rv1G0317220 transcript:SECCE5Rv1G0317220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSKQPFLKSKQPFSKSEQPFSKSEQPFRKSKQTFRKFKQPFRKSKQPFRRRPRIGPGDRIDYRNMSLINRFISEQGKILSSRINRLTLKQQRLITLAIKQARILSFLPFRNYENEKQFQAQSISIITGSRPRKNRHIPQLTQKYNSNRNLRNNNQNLRNNNRNLSSDC >SECCE3Rv1G0196110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:806051436:806051981:1 gene:SECCE3Rv1G0196110 transcript:SECCE3Rv1G0196110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNNKEPAADGKPPTTICSRLQRAFQARPVFGPLRRLTGQPHDGGAAATGVPSGAPVVTTHGGAPPPVVLPARAPAPSPVGKAPAPKAAPVIMPAAPQKPAAAKGGGKPGQVLGSTPTVTATTNKVAEKAAGGVPVPVPPPAVMAGRPAADAKAGDNKAPQTKGKIRVSSRVRKALASSK >SECCE4Rv1G0291570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876971886:876974972:1 gene:SECCE4Rv1G0291570 transcript:SECCE4Rv1G0291570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAVLVVAALAVVLSSGGSVGAAGGGGERGALLALKAGFVDPLGVLADWKAAGSPHCKWTGVRCSAAGLVDGLDLAGRNLSGKVSADLLRLPALAVLNLSSNAFAAALPRSLAPLSSLQVLDVSQNSFEGAFPAGLGSCAGLVAVNGSGNNFVGALPVDLANATSLESIDMRGDFFSGGIPAAYRSLTKLRFLGLSGNNIGGRIPPELGELESLESLIIGYNELEGPIPPELGNLANLQDLDLAIGNLEGPIPPEIGRLPALTSLFLYKNSLEGKIPPELGNASSLVFLDLSDNLLTGPIPAEVGRLSNLQLLNLMCNHLDGAVPAAIGDMKKLEVLELWNNSLTGTLPASLGRSSPLQWVDVSSNAFTGEIPAGICDGKALAKLIMFSNGFSGEIPAGLASCASLVRLRAQGNRLNGTIPAGFGKLPLLQRLELAGNELSGEIPGALASSASLSFIDVSRNRLQGSLPSSLFAIPGLQSFMAAGNMISGELPDQFQDCLALGALDLSGNRLLGKIPSSLASCARLVNLNLRHNELTGEIPPALAKMPALAILDLSSNFLTGGIPDNFGGSPALETLNLAYNNLTGPVPGNGVLRTINPDELAGNAGLCGGVLPPCSGSHVAGLSRARGGSSARLKHVAVGWLVGMVVVIAAFTALFGGWHAYRRWYIVGGAGEYESGVWPWRLTAFQRLGFTCADVLACVKEANVVGMGATGVVYKAELPRARTVIAVKKLWRPAATDGDAVRNLTDDVLKEVGLLGRLRHRNIVRLLGYMHNDADAMMLYEFMPNGSLWEALHSGPPESRTMLTDWVSRYDVAAGVAQGLAYLHHDCHPPVLHRDIKSNNILLDADMQARVADFGLARALGRTGESVSVVAGSYGYIAPEYGYTLKVDQKSDIYSYGVVLMELITGRRPVETAAFGEGQDVVGWVREKIRSNTVEEHLDPLVGGGCAHVREEMLLVLRIAVLCTAKLPRDRPSMRDVLTMLGEAKPRRKSGSSAAAGNVAAVAVPAPVVDKDKPVFSTTPDSV >SECCE4Rv1G0261920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:704981524:704982990:1 gene:SECCE4Rv1G0261920 transcript:SECCE4Rv1G0261920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRRVVFFPFPFLGHFNPVLRLAGALHARGLAVTVFHTEQRVPDPADYPADYRFVSLPVEVPPELVASEDIARMGMAMNDASEAPFRDRLAALLAKEAEDGGVLCVISDVVWYSAQAVARELGVPALGIMTASAAIFRVYMAYQTLIDKAYLPVQDARKDDPVEELPPYLVKDLLRHDTSRLEDFAELLRHTVAGARQSSGLIINTLGAIEADNLQQIREDLSVPVFAVAPLHKLAPSAKAGSLGDTQADRGCLDWLDTQNPGTVLYVSFGSLAAMDPHEFVELAWGLAQSKRPFVWVVRPKLIRGFESGELPDGLGEELSRRGKIVSWAPQEEVLAHPAVGAFFTHSGWNSTVEAISEGVPMICHPLHGDQYGNARYVSDVWKVGVEVDGTHRLERGSIKAAIERMMDSGEGREIRERMQGLKMAAEDGINERGSSHTHLSDLVALIKSF >SECCE4Rv1G0250620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:595297571:595299661:-1 gene:SECCE4Rv1G0250620 transcript:SECCE4Rv1G0250620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGPLELWNHRSMQILVLLSLGLQVVLFVFAGIRRREAFPVRRLLLWLAYLMADSTAVYAVGHLSFSSTVREHQLVAFWAPFLLLHLGGPDNITAYALQDNQLWLRHLQILVVQVLGAAYVIHKNIDGGSSGRLLRLASGLMFTVGFVKYGERTWALKCGTLESIGASVKTQPPAIHKHFHRQDKASDEEFLVRRAHSLFHVCKRAIVDSSVIEKDSVEGQEGYTTKMMRKVELWALMEIELSLMYDVLYTKAAVVHTTAGYLVRVVSPLTIAASLVLFQLTPKDGHIGADVAITYVLLGGALFMETTSLVNALGSSWTFAFLSTTRWRWLRYVALCNGRWDSLRRRVVSLHHLVKGGFPGGTSRYKSRRWAYIIGQYNMLHLCTRPADTLLTSPLLGRLAKALGPNGWWNRKHYSGTVKISVSVKKHISVHMGRLYKHGTLNSLGMLRKKWGEEPLDRRGLYEGILKDSLGVEFQEGIIIWHIATDIFLAKSERAKAEDAAPRVEAIVMLSDYMMFLMVDRPYMLPGQPQNRLYQRTCENLVSMRLTDDPEYPNRRRKGIMIKNLFRVRDGPASGTSRASERRDLANRLYDEYESRRFSHDAPRLTYVARLAKRLLEKERVDGTADSLDLVLEVWTDILVYAGNKCSRESHAKKLNSGGELTTILWLMAEHLYQVSLEEASLAQRDDDVSNVL >SECCE5Rv1G0319770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:378685685:378687757:1 gene:SECCE5Rv1G0319770 transcript:SECCE5Rv1G0319770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRVAPSPATAAAAAVGQLPGAGLTAGSVRLPGPLPSAAGSAVCCRAAAKGKEVLSGVMFQPFEELKGELSLVPQGKDQSLARHKFVDECEAALNEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEERGHAEKLMEYQNKRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVATRCNDPQLTDFVESEFLQEQVDAIKKISEYVSQLRRVGKGHGVWHFDQMLLEEAA >SECCE1Rv1G0027690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:387049418:387050212:1 gene:SECCE1Rv1G0027690 transcript:SECCE1Rv1G0027690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPPSPEPFRVFVGYDPREHEAYEVCRRSLIRHATVPLDVRPIRQPDLRASGLYWRARGPMESTEFSFTRFLTPFLAGYRGWALFIDCDFLYLADVAELIASAVPSDAAAAQRLAVVCVKHEYKPLEATKMDGAIQTVYPRKNWSSMVLYNCAHPKNVAALTPDAVSTKTGAFLHRFSWLDDDEIGEVPFVWNFLVGHNKVDPADPTTQPKALHYTCGGPWFDKYRDCEFADLWIKEAEELRAEKEKSRAEKERLELEDEEGN >SECCE4Rv1G0256590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:657946340:657954187:1 gene:SECCE4Rv1G0256590 transcript:SECCE4Rv1G0256590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLRFTGASDSRSSKSRETIGDVIHGKAITTKLSLPKIRTIIKKLNLRQRDLVRACGFGTMLDINCSQLPRDLGVRLAIWFDCDSRTVNVPNVGSFEINPFTVHQILGIPLGGRLIDKIATSEARRVIAEDTGIHSSGPSISHLMSLLSGDLTDKKFLRIFMLILLSTFLCPTSHSCASPDYFNGIVDTDDIANYDWCSFALDWLVEKIRQFQISLSKPTVKGKEQSISLGGCLMIPLVTFFDYLDLKGTKVRNCIPRLPAWDDKSISAFDNINFAQLKFKDITKTCFMEKPSCIPSSHTLPNGVVHFIDALIPTDDDFKAKMKEMCTEFYEASMDACFNALQPVLAKQMCTMVETIQNQVNNRASSSSTPPVNELTCKECNSQKCTMRDGVSTTPLRTVIDTEFQSPSVGPALGTVESTGGGGDIFHYDPNDEDVVNGLLQLRHHRGSVMGDTPFGALIADQAVVAMSSEQDVGTEDSPSAGTEVGHPESNQISGAEGSRVSKRHIDLAVYTDSESGSTRPLKIQKIRNSACGDK >SECCE4Rv1G0278550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:809319436:809320590:-1 gene:SECCE4Rv1G0278550 transcript:SECCE4Rv1G0278550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRQRVLSHLLSAAPPPSISPLHRLLSAAAPAVSPNPSFAVDDYLVGTCGLSRSQALKASTKLFHLKSPSKPDAVLSFLAGLGLSGADVAAVVAKDPPFLCAKVERTLSPVVAGLTGLGLSNAEIARLVSLARDSFRRRSVVSKLEYYLPLLGSIDNLLRPLKHGSSILDSDLERVVKPNVSLLAECGLGACDIAKLFIRTPRILCAKPGRILTMVARAEGIGVPRGSGMFRQALHAVSSFSEHNIAAKLTYLKKTLRWSDTEVGIAVSKAPVLLTRSHDVLQRMSEFLISEVGLEPAYIAHRPVMLTYSLEGRLRPRYYVMRFLKENGLLSHGRDYHTMVVANEKVFGEKFICPHKQAAPHLAEDYAATCTGEVSARFRST >SECCE3Rv1G0171330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:268634578:268639012:-1 gene:SECCE3Rv1G0171330 transcript:SECCE3Rv1G0171330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESYAASPKDGGGGGAEGGRADAATGLEESMWRLGLAGGEEVAGEGLPERPGEANCVYYLRTGACGYGETCRYNHPRDRAAAFDGGTRTTRTVEYPERPGQPPCEYYMKNGTCKFGSNCKYNHPREGGSVQPVVLNSCGYPLRLGEKECSYYIKTGHCKFGSTCKFHHPEGPELGVVSETPSMYPPVQQLHVSSPLPYPPLASWQLGRPSVLPGSFFPGSYPPMMHPSPSAVMPMQGWNPYMSPMNQVAPAGGQQTVQAGPLYGLSHQGPPCAVAYGSNYASLSSSTWPSSDKQEVVFPERPGQPECHHYMKTGTCKFGSTCKYNHPQYLSTPRSNYMLSPLGLPIRPGAQPCLYYSQHGFCKFGPGCKFDHPLGALSYSPSASSLGDVPIAPYPLSLPVPPMAPSPSSSGLRPEYILAKDSSANQPASPGATFGNAGQMSKIYAPHMLLRPPTSASGATVTIHGGEF >SECCE3Rv1G0213840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:962197824:962198963:-1 gene:SECCE3Rv1G0213840 transcript:SECCE3Rv1G0213840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICAMKGDVRMHGKSATVYVVSMSDDNYRPENGTTKIRPYPRKSEEGTMQTIREVTIRWSGLDAPRCTVMHDVPAVVFSTGAYLNNFFHAMTDGIIPLFNTVREYDGHVQLVVTDYNHKWVDKFQRILAALSKYPIINFDVDDKVRCFPSVHVGTEGHKEMGIIPALSRKGYTMTDFRNFLRSVYSLKREWSTPVNRTSGHRPRLLMILRRNSRAFVNEAEAVAAATEVGFEVVSAEPQVVSDMARFAEVVNSCDVMVGVHGAGLTNLLFLPRNATLVQVVPWGDMSWGSNAAFGAPSADMGLRYVQYETTPEETTLKYKYPRDHAVFTDVASINRQGYGMTWGLFLNGQNITLDIDRYRGVLQQIYHDSTMVDPSLN >SECCE1Rv1G0030410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:427433256:427435817:-1 gene:SECCE1Rv1G0030410 transcript:SECCE1Rv1G0030410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRSVWQGIREKGLANFLRYARDEGYLKCLGDGNLLQTKIHNIGATLVGVDTFGNKYYEKLHDTQYGRHRWVEYADKGRYNASQVPAEWHGWLHHITDSTGDKLLEEKTKKFIREHRQNYTGQGDDLIYHSKGHALNPGQRDWTRYQPWEPKKEEAT >SECCE3Rv1G0167060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:197219530:197246955:-1 gene:SECCE3Rv1G0167060 transcript:SECCE3Rv1G0167060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARPGPPLPATVILELERIEGRLEQHADPRARQMLGDVGEAAALRVLRLIRESRKPVRNFSGYIMWAARNAPDAPSAESAVYGSGPSSGESSILGPMYDDDVQMDDKAPGSELAFSLSNHAMIEVESPARQVPQGSHSHGDPVACLLPDPVAMEVEKPDWINAAPVGVDSHLPEMLPVMPNQGGMEEFVEHPSFRMQDQVQSLQFDSPTPPFAHGVPDHPMVQVGSPGLGMVSGLQHQVGFDSPVRQIIPTSPRMASTPSPVREITRRVHQMGCPSGTVGFQASPTMECMMPAEPLATGNPSRATASRQMLALGELEFVRIFLIYVYLGGKKIEDVDVLHEDYIRSLNSLLMDRFELEIWNKFGHRFLAECDRRQNLDWDPSKTRVYHCNIEQRGDSIVTVFKGPYIENTRTSLQKVVGDDNVLVVNFSDISEHTNAGDNFETGCHFYHHVFEDGIILGLRRYRFLIYKDGGREKRIKAEKKKERNKKCTSSVRCYFVRTECDWDKGVPYILSNRTIGDARKLFMHVHTVPSVAKYVARFGLVLSKTITLLDDEDLSKVDVVTVDDVPCKDKNGSIVLRHGEALIHTDGTGLISEDLAKKCPTNVIKGNLLRIHDVNDSVDSKEDQFDINDHPLLMQVRMFYNGLAVKGTLLVVRELPERTIHIRPSMIKVNSDPSLSGGHSFNSLEIVSTSNRPKRALTSRFLITLLHYGGVPADYFMELLGKALKDVDKARHKTRDSLEVAFNHGDMDDLISARMILSGIRPEDEAYLQHQLTTMTKEEREGFKQGRLPIDQCYYLMGTTDPTGTLKPHEVCVILDHGPISGEVLVYRHPGLHFGDIHVLTATYSEAIQDFVGDSKYAILFPVSGPRSLADEMAGGDFDGDMYWVSRNPQLLKYFKPSEPWDPRNPPRKAKQEKPEDYDESKLEHILFREFFRTRFTPSYVLGAAADCWLVYMDRLLTCEVQEDKKEWESIKAKMLELVDIYYEALDAPKSGNKITMPRHLRVEVYPHFMEGKGFTPPYNSTSVLGKIYDKAKAHQPGTVHPINITPLTCFTEELVAEERNLWGPRYDEYRRAGTSLCDRNRPIFKEEKKARFRELDQKYKQMLYDAAELEESQKHPFAVYREACAIYQLVYEHAARCRCDDEGKRVERCGFAWRVAGRALCEFYVIKRRGDRVVADMQVLLDAFRKDRGA >SECCE1Rv1G0011660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:80322456:80323652:-1 gene:SECCE1Rv1G0011660 transcript:SECCE1Rv1G0011660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRNQRFSLLKQPIYSTLNQHLIDYPTPSNLSYWWGFGSLASICLVIQIVTGVFLAMHHTPHVDLAFNSVEHIMRDVEGGWLLRYMHANGASMFLIVVHLHIFRGLYHASYSSPREFVRCLGVVIFLLMIVTTFIGYVPPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHHLLPLILVGASLLHLAALHQYGSNNPLGVHSEMDKIASYPYFYVKDLVGRVASAIFFSIWIFFAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKAGGVAAIAPVFISLLALPFFKEMYVRSSSFRPIHQGIFWLLLADCLLVGWIGCQPVEAPFVTIGQIPSVFFFLFFTITPIPGRVGRGIPKYYTDETHRTGSLDG >SECCE2Rv1G0078070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:104184021:104189356:1 gene:SECCE2Rv1G0078070 transcript:SECCE2Rv1G0078070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSAARTDRLFDVEIQPGYADPRSLQHVLNKNASAAKGPKRKFGVDATKGESPGVNASNTGEHLRSCRKGKDPGKRARLVDKEEGPTGLGIVQSPTGLYADECAFCQSFRTAESLHGPMVLYHNGRIVSKDEADASNAIYVHRKCMVWAPRVKSNDDGTFENVESEIERGARWNCSRCNLRGAALGCYHGGCPNTYHVPCALMIPECRWDDENLYVWCPMHAPPDEMSSPTTETGILSPVPQNQSPAKEISADCQMEDNQINPLLGNEMSSQIIGRDIPSAVLQNHSSNCPAEEISVDCQMEDKQFNPLVTSNSPLPGQVPSSSQYLVKQGISALHRGKDLQVDQLNTSSSSLPQGQCSDKEGISTKYRAEEKLANQSSTPVDQWVLLGIALSASEKDSLKEFASLTSSTLVEEWDKTVTHVIVGRNAGSACGRSYEVLMAILSGKWVVAAGWVVDCLVGRIPGPKPCLAKLIPSPEISYEVKFYDELRTSIDGPTKGRAAAAEGGRKLLSGLHFCFSAYMHPEDRENIQNLIAAGGGQVLEGISPDWLRENLNRNPAEVYFIYHGGPPRTPTSDFDIELQESVKYAESKAKVIRHLQIFDAILCYDARILEPTGVFTKICK >SECCE4Rv1G0277110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:801694746:801696902:1 gene:SECCE4Rv1G0277110 transcript:SECCE4Rv1G0277110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGHHSIQLSAAGPGSPAAATQPSDGGTMSPELYLALCRGRKKEAMALLRQQHGSGAAAAAANQVAGIHQVSAEGNNVLHLAAEHGHHKLIQDLASFGGRMSLLSSRNSTMDTPLHCAARAGHGKAVSRLVQLSCEGGDESSLWCKNEAGNTALHLAARLGHGAAVEAIVSVAPGLASEVNNAGVSALYLAVMSRSAPAVRSITNRCGNASAAGLSSQNALHAAVFQGSEMVRLLLEWMPSGPSMASQADDSGSTPLHFASSSGDHLSVVGAILRAVAPCVVRMRDSGGLSALHVAAGSGHDRVAKALIKACPDAAELRDDRGGTFLHAAARGGHLKVVRLAIKKRTLRGLLNAQDGDGNTPLHLAVAAGAPAVAEALMGKGKVRDDVMNNDGQTPLDLAVRSTSFFSMVSMVATLAAFGAQSRPERWDHVQQWDSHDIMKAIDKTSDSLAVIAVLVASVAFTAANNLPGSYEQSGGGTDPEGKEVIKGMAVLQHEKIFKCFLVLDSFALVTSVLAVVLLLYGKASRSAGSWKTFAAALHCLWLSLVSMVLAFYAALAANALFMLLAVVSHLVSPRVSFRTLSKYVWRCGSGERRSVVMQQYPLVGAFVRNLIVFRVANYVVVLVGLSTVSGLV >SECCE1Rv1G0046980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:621199091:621199723:-1 gene:SECCE1Rv1G0046980 transcript:SECCE1Rv1G0046980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTRALALGAAVVLAFAAATAHAQRCGEQGSGMECPNNLCCSQYGYCGMGGDYCDKGCQNGACYTSKRCGTQAGGKTCPNNHCCSQYGHCGFGSEYCGTGCQGGPCRADITCGSQAGGKLCPNNLCCSQWGFCGLGSEFCGVGCQNGACSSAKRCGKDNGGRVCTNNYCCSQWGHCGIGPGYCGAGCQSGGCDTVFTDAIAANSTLLRE >SECCE5Rv1G0368390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:828524274:828525757:1 gene:SECCE5Rv1G0368390 transcript:SECCE5Rv1G0368390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGHELKPGQWSSTSFWVSHTGDGTKSSYNAIQVGWHIRPDRYGDSHPHFYTLWTRDGYDSTGCYNMDCPGFVRADRGVIAPGDAINQVSHVPHGPIQKITLRVLKDKTSGDWWVYYGYNKIPTGVGYFPKSLFSYLAHKANGMTFGAFVQSKGGLPNPPMGSGALPNGGKGRAASFTDLRFIDQDGNSSPITADIPTFVTDAKCHSITPIKNGQCFYGGPGGCVR >SECCE7Rv1G0525640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:891836140:891842190:-1 gene:SECCE7Rv1G0525640 transcript:SECCE7Rv1G0525640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYPILFGTQPSSSTSNSYHFVAGASSHDHLHHHGQAAGNNTSGGGGGLSQGLFPGSKQEEPSESKYGGDDGAGSSSQGGGREADVVAGKKKGEKRERRPRFAFQTRSQVDILDDGYRWRKYGQKAVKNNNFPRSYYRCTHQGCNVKKQVQRLSRDEGVVVTTYEGTHTHPIEKSNDNFEHILTQMQVYSGINNVSQTFGNQHMFQ >SECCE2Rv1G0129730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:870159988:870161551:-1 gene:SECCE2Rv1G0129730 transcript:SECCE2Rv1G0129730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVELVVLAVPAAVAVTRLVFGLISDIVKKAETARQNKQECDHLKRHVSQIGRLLLRLQLQDPEVAEALAGLRDTLQEAHGLVVACQKSNMVKKHFCAREQAMKFTQVNGRIDSHLLVIQMLSQFSITHRLDQILPPNHTSVLVPSPGYDYGSGSGSPSLQLKESANVPYVGSTSTHAVVHFTSAEIAVLTGNYGHVLSDDSFGTVYKGILHDGQEVAVKSLKNKGSQREGAFVSELETLCQLRHDHIVRLVGWCSEDDDRMFVYQYQHMSNGTLRDHLTHVGSGRPASASPVTSSWKARLQALLGVAGAIDYLHRFAVPGIIHRNVSSSSILLDKSCVPCLSEFGAAILQVPTMGGQHVGEVVGKAGYTDPEYCRTRCVSPASDVYSFGVVILEALTGRPPSWEGKDPNTLVGFAVPAIESRNLRSVLDRRPSPEPTPRQMEALELVAYTATRCLWQQDRPFMSNVVINLHKALGLMDGGEPKRL >SECCE3Rv1G0171740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:277795670:277797115:1 gene:SECCE3Rv1G0171740 transcript:SECCE3Rv1G0171740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDASFRSAIVNGETLKMFVGQRVRTVLKVQHTQGGVLVGQSTDGHQLTIRGASEGRESHYLEVVGIADNDQSITAEICKDFGDNFDADVFNGLCKLVVNGKVKEVVL >SECCE7Rv1G0504990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:729234147:729234512:1 gene:SECCE7Rv1G0504990 transcript:SECCE7Rv1G0504990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAPVPEGEEPMSAAEIVDEVLKTEVKQSTFLRNVGIQSSRNNFSKATAAMAPHVHDLEQKLERSELQAEVMQEELAAIKMKAEEAEAAREKEFELLRKKSQEQDEKLAHLMALFGAKAV >SECCE2Rv1G0100020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:532912202:532914453:1 gene:SECCE2Rv1G0100020 transcript:SECCE2Rv1G0100020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTMEEPLVDKTGGPKESLVVTEVKKQLYLAGPLIAGCLLQNVVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQYHLLGIYKQRAILVLTLVSVVVAVVWAYTGQILLLLGQDPEIAMGAGSYIRWMIPALFVYGPLQCHVRFLQTQNIVLPVMASSGVTALSHVLVCWLLVYKLGLGNKGAALANAISYLANVSILALYIRLSPSCKSTWTGVSKEAFHGIVSFMKLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVLSISLNTGSLAFMIPFGLGAAISTRVSNELGAGRPEAARLATRVIMVLGLATGVSLGLIMISVRNLWGYAYSNEKEVVEYIARMMPILSVSIIFDDLQCVLSGVVRGCGLQKIGACVNLSAYYLVGIPAALCFAFVYHLGGMGLWFGIICGLVVQMMLLLAITMCTNWDKEALKAKDRVFSSSLPLDMTT >SECCE3Rv1G0167250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:200280747:200282693:1 gene:SECCE3Rv1G0167250 transcript:SECCE3Rv1G0167250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g17630 [Source:Projected from Arabidopsis thaliana (AT1G17630) UniProtKB/Swiss-Prot;Acc:Q9LNP2] MHRLLRAADSRRCHRQRRRLLSAVAGDDQPILLLPSIACAVPWNRLLRAHICRSRPDLALALYRRMRALCPALPNTYTLPLALRAATSPRIASAIHAHALHLGLHAHPDVAGQLLAAYARHGRAAEARHMFDAMPSKRATMSWNTLISAYSVCCDPDGAMATFGRMVAAADEALPDAVTWTTLLSAHARCGKHPVVLELFGDMHRCGCEGNAESVAVALSACPYAGDLALAKGRTIHGYGVRKGVACGYLFVTNSLVCMYGKLGEMDGARKVFREAGEKNTVTWNALITSYAAAGMCGEALDVLVRMEQRSGTAAPNVVSWSAVIGGLASAGDNERALELFRRMQRRWLSPNVVTLATVLSACAELLAVRLGREVHAGTIRSLLDGHSLVANGLVNMYAKCGRVAAARTVFDGMKSRDLVSWNSMLAGYGMHGLCDDALAVFADMAEAKADPDGVTFVAVLSACSHAGRVAEGRRLFDQMILEHKISPSMEHYTCMVDLLGRAGLLKDASELIETMPMGADLCVWGALLNSCRIHGDAAMAEATIGKVLQAGAKTTGNHTLITNLYAACGMWDDSKRVRVMTREAGLRKSPGQSWIEVKNKVFVFVAGSVPPSMPGSEEIFRVLDDLYSEMDDERRTIEDDRHIASVR >SECCE5Rv1G0328150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:500396852:500401160:-1 gene:SECCE5Rv1G0328150 transcript:SECCE5Rv1G0328150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKTASITAETINPKVKIFDYEPCGEIARHAERLEREMEKSPGSRPFPEITYCNLGNPQALGQRPITFFREVLSLCDNPALLHRDETRMLFSPCAINRARKIIESMPGRNSGSYTNSQGIRSLREAVANGIAARDGFPSRPEDIFLTDGASSAINLCMQILIRSQEDGILCPLPEYPLYSASIILHGGTMVPYNLSEDGDWGLEIFEVKRCLEEARIAGLTVRAMVIINPGNPTGQVLSVTNQEEIVEFCRKEGLVVLADEVYQDNVYAEDRKFNSFKRVARSLGYDENDISTVSIHSVSMGFSGECGRRGGYMEICGFGDDVMGEIRKVASVTLCPNIGGQILTSLAMDPPKMGDGCFEHFMAEKEDIRLSLAKRAKTLSSAFSSLEGMTCNKVEGAIYAFPRIHLPTAAIKAAKAEGVSPDMFYACRLLDATGIAVVPGSGFHQVSGRNKATGTWHIRCTILPGEDKIKAMIPRLKEFHESFMNEFRDRS >SECCE2Rv1G0107100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:645704945:645706471:1 gene:SECCE2Rv1G0107100 transcript:SECCE2Rv1G0107100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSMATSTTSNRFAHRMLSLHRLIKNNLVASLLGGHRQTTPATTGTPVPLPATRLLGNSKTAAVVDVDALLLNSSMPSAATLFPPFFLVAVEAGSFVRGFLLLALYPLLRVLKHGTCVKAMAMVSFCGLRRNEAARIGRAVLPKYFFKEAAHVEALAKVAGESPKEVKVAAVSRTFPTVMAEAFLKDYVGFDAVVGREVKAGYRYFAGVMEDEKTDTTMEMLIDGLNQIEMKNTCHYPKPMIFHDGRLAFTPTPAAALAMYIYFPIAIVLAVVRIAIYTMLPRRMTGVAAALAGVRVRVTGAPSSAVDGATAGGRLYACNHRTLLDAIAISSALGKSVFAVTYSLGRLSELISPIPLLRLTRGREEDRRRMALLLARGDVVVSPEGTTCREPYLLRFSPLFAELADEVTPVAVDERSTMFYGTSTSPIYKCFDSVYFLMNPRPEYSVHFLKPVPTGGRNSSIEVANQVQRVLADALGFTPTALTRKDKYMLLAGNEGTVTTKRSNAN >SECCE1Rv1G0012190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:88999925:89000905:-1 gene:SECCE1Rv1G0012190 transcript:SECCE1Rv1G0012190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFLPPVLLAFSLAAATLILNVGVAEACSWQWPGWRHVFRGRYGRRNRISSIVSEEMFSSLFLHKDDTACPASGFYNYSSFVSAAEWFPEFGSGAHHVDWDTRKREVAAFLAQISHETTGGWATAPDGPYSWGLCFKEEINASSNYCDANNTEWPCVPGKPYHGRGPMQLSWNYNYGPAGEALCFDGLNEPEVVASDPVVAFKAALWFWMTPQEPKPSCHDVMLGRYVPTEADKQANRTAGFGLTTNIINGGLECNRPADPRVNDRIGYYRRYCEILDVKDLGDNLDCAQQLPYS >SECCE7Rv1G0476320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:201287030:201289622:1 gene:SECCE7Rv1G0476320 transcript:SECCE7Rv1G0476320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHGDRRRHRREEVGVLLDEEEEELEHHARACGGATSGLVDQELGGCQEGGGGMVFEASSSVGSVSATMGPPPIMCWPPPAPSLSPQEPLHGAIHHHHNIGIGGQGPFFPLLPPLPPQPPPPPPFLADFYARRALQFAYDHSGGASSSSDPLGFGAGLYMGHHGSPVHGMMMPPPFGASPFGDFGRMTAQEIMDAKALAASKSHSEAERRRRERINSHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEIREEACPLPTEADELTVDASSDEDGRLLVRASLCCDDRPDLLPDLIRALKALRLRALKAEITTLGGRVKNVLVVTEDDSDACDGDQQDEDGNMQAPMSPQHAVASIQEALRAVMERTSSSSGAEDSGGSASGGLKRQRTTSLSAILENRSI >SECCE2Rv1G0105640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:623367035:623368682:1 gene:SECCE2Rv1G0105640 transcript:SECCE2Rv1G0105640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVNLSIYAIACSIVAIQYLLSAFSNVLCAIMVNAALLPATLLLCLALAGSADAGRTADGFYELKNKKGDFSIKVTNWGATLVSVVVPDCHGDLTDVVLGYDTVAGYAKGAAAGSTIGRVANRIANARFVLDGKTYRLFRNDGNNTIHGGHRGFSKVIWTVKEYVRDGDSPYIIMYYRSFDGEQGFPGYLDVYVTYRLSDPYDLSIHMNATATSKATPVNLVNHAYWNLAGHGSGDVLEHELQMFASQYTPVDGYMIPTGQVAPVAGTKYDFLTPTPVGANMEIVPGGGGGYDINFAVDGQHNAMRKVARVQDPDSGRALELWANQPGMQLYTANWISNDKGKGGKVYGQYGALCLETQAYPDAVNHPEFPSSIVRPGEVYKHDMVFKFSY >SECCE6Rv1G0409240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:584464871:584467820:1 gene:SECCE6Rv1G0409240 transcript:SECCE6Rv1G0409240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSRSNSRATFSSEIHDIGTVQNSTTPSMVYYTERSIADYFPPHLLKKVVSEVVSTFLLVFVTCGAAAISAHDVTRISQLGQSVAGGLIVVVMIYAVGHISGAHMNPAVTLAFAIFRHFPWIQVPFYWAAQFTGAICASFVLKAVLHPITVIGTTEPVGPHWHALVIEVVVTFNMMFVTLAVATDTRAVGELAGLAVGSSVCITSIFAGAVSGGSMNPARTLGPALASNRYPGLWLYFLGPVLGTLSGAWTYTYIRFEEPPKDAPQKLSSFKLRRLQSQSVAADDDDLDHIPV >SECCE6Rv1G0379050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11749092:11750791:-1 gene:SECCE6Rv1G0379050 transcript:SECCE6Rv1G0379050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELNLVSYVSIVVFVLSGAYVYHATRSRSPRRRLPPAPPGWPVIGHLHLLTDMPHHALAELAKTMRAPLLRLQLGSVPAVVISKPDLARAALTTNDAAMASRPHLLSGQFLSFGCSDVTFAPAGPYHRMARRVVVSELLSARRVATYGTVRGKELRRLLAHLTKNTKPGAPVDLSECFLNLANDVLCRVAFGRRFPHGKDDKLAAVLAEAQDLFAGFTVGDFFPELEPFASTVTGLRRRLKSCLADLREVCDDIVEEHVSGKHQRLPGDRDEDFVDVLLRVQKSPDLEVPLTDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPRILEKAQEEVRRVVGAKGRVEESDLAELHYMRAIIKETFRLHPAVPLLVPRETVAACTLGGYDIPAKTRVFINTFAMGRDPEIWEDPLEYSPERFEVAGGGGEIDLKDPDYKLLPFGGGRRGCPGYTFALATVQVSLASLLYHFEWALPAGVRAEDVSVEETFGLATRKKEPLFVAVRKSDVYEFKGEELNEV >SECCE5Rv1G0339770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:610689062:610689898:-1 gene:SECCE5Rv1G0339770 transcript:SECCE5Rv1G0339770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELLSPCSSFFPVSPPSGQFEHHHHQFIEFASCEVPEQWLLGDVVVPAKSEEAADIWPAGSLLSPDSELSELPAASQPASTESTPRPAAKRRGRKPGPRPEGPTVSHVEAERQRRDKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRARVARLEEEGKQAAAARWDTTSASLGGGASFQNFLAGDETVEVRKVGRDAAALRVTTAAGSAPYAPARLMSALRSLELQVQHACVSRVQGATVQDVVVDVPAALQHDDGAALRSALLQRLRDSA >SECCE7Rv1G0499120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:650267591:650268847:1 gene:SECCE7Rv1G0499120 transcript:SECCE7Rv1G0499120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPRSSLRSLVLLMSHGKPQLSSFFSSSAAATGVPPDAPGADAPAVQPPAPPGRSCPKLPLEEEFVLHPIPGGPRPRPRPRPRPRGAVKDVSVTDKALLNAPEDPSTYPDPDHGSDGIFEDPRHPVLDPSGRGRSAVTDKPAQCTQEHPEFPVPDCSTDKGPVEEHPAYRTPGYGSCSTPEPVPDKPPCGASEDVEQRDVDPPPPGREGVGHRVVDPPRGGRGRRAVPDEPEQCTQEHPPVPDSSSDKVFSSCSSAVPPSAPATCTPAAPDVQPPSTPAPPDRSGHKLPDHEESVFNPLPDPPSGNRLSPVIEEPAQCTLDNPKYPTPDHASSSPSEGASGELLEDPLHQLRDPPRRP >SECCE3Rv1G0171720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:277465194:277469177:1 gene:SECCE3Rv1G0171720 transcript:SECCE3Rv1G0171720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYGGVASLVASGVVFWSTAFLLLRALLPKRSYDFCNRAVSTMHAVTGVALGCLSVQDWASPVSPVASPSSPRQMRALAVTLSYMIYDGACCHLSGDARLDNALHHLISIVGLAAGLAYQRCGTELVACLIVTEISSPLLHLREMLKEIGVKDTDLNLLVDILFAVTFSVARMVCGTYVTYRTVTADNPILIKAMATSLLLVSAYWFLRILRMVRHKIGKKRLASKAAGKGSPM >SECCE5Rv1G0322570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:421890990:421895995:-1 gene:SECCE5Rv1G0322570 transcript:SECCE5Rv1G0322570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDVICNLRVVGVPTKSAIYTWGYNQSGQTARKGKERHLRIPKSLPPKLFTCRDGENLRWIDIACGRAHTAAVVSDGSLFTWGANDFGQLGDGTEESAKEPKKVNALATEFVKSVSCGAHCTAAIAEPRENDGTISRSRLWVWGQNQGSDYPRLYWGAFAPNTVIRQVSCGAVHVVALSDDGLLQAWGYNEYGQLGRGCTSEGLQGARVLNAYARFLDDTPELVKIVRVSCGEYHAAAISENGEVYTWGLGSLGQLGHRSLQSGDKELIPRRVVALEGIVTRDVSCGGVHSCAVTEGGALYGWGGGHVGQLGLGPQNGFFSCALNGPDMLLRNIPVLVIPSGVRLVTCGHSHTLVSMKDGRIYGWGYNSYGQAANEKSTYAWYPSPVDWCVGEVRRLAAGGGHSAVLTDASSLKELCEFKLAETVNRSNARLIEDVASRTGADALARLCEKLREHLVEQGDSELVEMHMVEEIEAKAG >SECCE7Rv1G0521370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873515079:873515462:1 gene:SECCE7Rv1G0521370 transcript:SECCE7Rv1G0521370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTNVSLSTFAPTARGDAVLARPQVAATRVTFPTAYRGSGALSCRAGGPSTPPGISDKMSESIKEAQEACSEDAASGECAAAWDEVEELSAAASHARDKLKDSDPLENYCKENPETDECRTYDS >SECCE1Rv1G0047350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:624531681:624532116:-1 gene:SECCE1Rv1G0047350 transcript:SECCE1Rv1G0047350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFIVARPSPALGLPRLRLARAEGLRCRCGKKVSSALAPMVAKGAPLLAKASGMASAAPMLASALALVDEWMSGERAGQSNDLLGWTLLLALGLVLCFYAVYSTTFDDDDDQSTGGGITL >SECCE1Rv1G0028580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:404203083:404208876:1 gene:SECCE1Rv1G0028580 transcript:SECCE1Rv1G0028580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAARRSLASGGLSGTLARRLHPSLPQLLPSNSTGDPRKPSPLPPPSAPRPFHFALAPRGTSQTLSFPPFGLHPLPDPSRRSFSSFRDTGFTDTLTDVTHSAASAAVPASFPGEVAWAAEDSSMAVAAVQHLIDAIHSFTGLNWWISIALSTVLLRCGMFTISTLVNKRLYGMRQDIEQYIKWVKNTKGEKSIHELADAADPMVRKLGFLPTLHIIVTPYTFITLYSAISNMVEKVPSLKGGGVLWFTDLTTPDALCIFPMITSLFIMLRFEVNYGVATKRTGHSRKMEDNIRQVVRATSLLPMLWTATLPQAISCSLVTWSALTLAGKIVLKHPAVQKVLYGGSLRLNLRCSSSDGQKGPTAEDCGSPVKEEDEPVPPEKKNSSDASIHRDESDKKSTKDG >SECCE4Rv1G0292470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882637297:882638136:-1 gene:SECCE4Rv1G0292470 transcript:SECCE4Rv1G0292470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFGLPITEDTVGALSRYRGKKEITQDDCAREAMRLIHAEGKNLDALQHAWDLKGQYGNGMSTLVLVYNATGARVTLEQRHDWQGYVYGRQPPPSMHNGQWISFLHVGTGTASHAGRVFRGRDKDGKIRDFVVAWFIPLNLNPTAAYTEIGDQGAFTGRWSNIASRLRNAKRITRANDRNCASTVSIGGYTTSQCIAVLQHSFEPMPSERDNPAEWP >SECCE3Rv1G0152370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:47113394:47118087:-1 gene:SECCE3Rv1G0152370 transcript:SECCE3Rv1G0152370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MSSSSSPPCPPPSRATSAYGCCCHRPLLASTSAPARSRGARLTLTLRSPSLLPLPAGPRSRSRSRRVACRAADEPEQPAASNGDEEKKEAGDDAPAPSVDGGVQAAAAARTDSGADNEEKEVVDDAPSPPADSVVQADAAADNTKDESPNAEPVNSALTSLQNIDRYVNAAADSAAQTKDESPNAEPVSAAVKALQSIDRYVNAASDTAAQTKDESLNAQPVSASDTVQNTDGDATAADDSTAQEQVVEVDVNVASGSPLPGMKQQLEEAVNIPKATVDILKDQVFGFDTFFVTSHEPYEGGILFKGNLRGVPAVSFEKITTRLENKFGDEFKVFLLINPEDEKPVAVVVPKQTVEPATGSVPEWAAAGAFGVVTIFTLLLRNVPVLQDNLLSTFDNLELLKDGLPGALVTGLIVGVHEIGHILAAKDAGVKLSVPYFVPSWQIGSFGGITRIVNIVRNRGDLLKVAAAGPVAGFSLGFGLLLLGFTLPPSDGLGIIVDPAVFHQSFLLGGLAKLILGDVLKEGTQLSINPLVLWAWAGLLINAINSIPAGELDGGRIALAMWGRKVSSRLGSVTIALLGLSSLFSDVAFYWAVLIFFLQRGPIAPLSEEITEPDNIYIGLGVAILFLGLLVCLPYPFLFDPSQVTDFNL >SECCE1Rv1G0043180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:589802808:589806251:1 gene:SECCE1Rv1G0043180 transcript:SECCE1Rv1G0043180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRISATPRPCNGRRVVARKRPRQEAVISSVRKLQRREISSRRERSFAMNSAQERFRNIQLQEEFDTHDPKENNALLPYIRKRSKIIEIVAARDIVFALSQSGVCAAFSRVTNKRICFLNGSPDEVVRSLFYNKNNDSLITVSVYGSENFSALRCKTTRIEYIRRGTPDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSISDKNVQEIKISPGIMLLIYTRTSSSIPLKILSIEDGTVLKFFNHLLHRNKKVDFIEQFNEKLLVKQDGENLQILDVRNFQLTEVSSTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSTDSSSEENAGSINISNILTGKCLAKIKAGNFNEQKKTWKFQNTITEALEDITALYYDEERDEIYTGNRHGLVHVWAN >SECCE3Rv1G0178310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:497757938:497762082:1 gene:SECCE3Rv1G0178310 transcript:SECCE3Rv1G0178310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGGEEEFPAGVTGADAEVGALVWVRRRNGSWWPGRILGQDELPENCVVPPRSAGTPIKLLGRPDGSIDWYNLEKSKRVKAFRCGEYEECIEKAKILARQQKRTYNEGKYVRREDAIMHALEIERSRFPDEDEMDDAMCASENRYSANSKNISGASRISSCVERGLYDIEENSAQGLSEASTFFKLPQNISSSSTRYASSSRKKRKASNKFEDDTVKGFRRMRDLIGSNKAPKQKSSAGSFSNGYHDLPHLESGPSFGYGLPSTNGINKSKQSHSLTKRKRSNIGQAYENSRKKDKRRPLSKLCKDSAVKVPTYWDASGQSSVQSSGHKLSNVFESNWGEFSLPGNLNCSYSSGTSSVETLADALCTSRNGATKASKLKEAEVLDGTGFLSDGCYDDDEFLDAHRTMEDDVTAEGHLHTHGSCASVKDETLKGKTQITDYSREHIPLLRDNTSSKKKNIQVTPVTCNMDESLLVQQYGRTIKCKEQDEDVTGLDARVGSASDPGSSMKFVLVPPDDGAGIMGQQYYESGPEHDESFETLSNHSHSEKVGAASPYYGSPLQVIPPEQKPDMKSTRCHMVKPIKSVQTDYKLYDVELAVEGTYKGHRAPLVSLTSKWNRKPVMGFPVPVVVLDDSCPVESRDNHHLAKNSLTHLLKRSEVAEPRQPRSSHASKPKLGGRKKVSEHDMDKSWRPHTKKSASSPRKMRRLSSFGSSRREGANRNTVVQKIGGPTIACIPVRLVFSRINEALSFQVRSENTS >SECCE6Rv1G0438480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:790330564:790331034:1 gene:SECCE6Rv1G0438480 transcript:SECCE6Rv1G0438480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASDKRQKDVAELEHGLEHNGDIRLLPTSTARSYACPRSRHARRVWCGPVTRTGRAQLAATAYFPAPLRPQPQRSISMPSCRCVSLKRPPRRSSTASSPCRRSHRGLLTMPRTSIDRHMAWSIAQTPAFATAGTPPARRTTPKTNQLMIPIVVV >SECCE3Rv1G0199710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:847935949:847936428:-1 gene:SECCE3Rv1G0199710 transcript:SECCE3Rv1G0199710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGWLSNWLVKHEVVHRSLGFDHRGIETLQIKAGDWDSIAVILYVYGYNYLRSQCAYDVAPGGSLASVYHLMRIQYGIDNPEEVCIKVFAQKDNPRIPSVFWIWRTADFQERESYDTVGISYDNHPRLKRILMPESWIGWPLRKDYITPKFYEIEDAH >SECCE1Rv1G0007810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:42620855:42623096:-1 gene:SECCE1Rv1G0007810 transcript:SECCE1Rv1G0007810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDGDFDIEQFLDLDALDKEGFMVSAAQQDPHFVNSDTLPDANDGYFDIEQFLDLDALGEEDFMVHTTVTAEPQQDPPATHLLMPHPVPSDNDGQAQFQAVAPSSVQHQQIPGESSANGFDGQTLMPAPHAHAQPNIQAAVLHQQMLGQPTPSSLDSLQLMDPELFAQHGHYDIPEVLIGDELNQAVGSSGAIGAPAPDVVLMEDDLNQASGSGANGVPNVGPMEDELYQTGGRCTNSTPEVGSMEEDEEGFVPLLPGRLQCTDCFVIREIRSRNESRLFCLFLHASKTRGTFEHAILDRHYIGEQTTTEYINLRRHAGEWVLKLISTIVTSERATCTVEDSNVAPLNFNINWPPVVNDAYQQKEISMLLSILNGHTHENVEALVPPQPPAAQPPAMKDAPNVNLFYKTTTPNIPEPLPVILEQQSIESALVHSSRPTREQERTARRSKEQEVRHYLREQKEKAQNDLIISSAVKLFCRRNGLTQRLCWFRTINRKIIDMEERAISFRLDRLMPIRDTVHGYVIEKEDLIAEITSLMKKERESKNDHEAGPSVTKKGSVAL >SECCE4Rv1G0249090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:578828702:578830672:1 gene:SECCE4Rv1G0249090 transcript:SECCE4Rv1G0249090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGKAVEDLASAADGTTTRAEDRLAVAERVILEFERRRSSCDGSDVGIWDAQATCTNEGLLAAVDEIVFLKEMHAFPMASAAGRRMDGALRAAMSCLMEEFLSLRVWDGSLLEGKRGLRFAVDKLSVSVAAARGASSSFAFLTGGSTASTVGTSTAELSSGTVDELYASGSQSAGPDVFSDGEFPDELDLICPASLSILHEISLRVIRAGYTKELLHTFADAPCEVLDRFLSILQIQVGCSREAEADESISYENAEWWTAEDMIRRWILTTKLVGKALVAMQTQLHAQSNGAFDRFKNDYLMAMAKRRSYILLRFADGFTSTRSPEKLMYVLEMYEVLHSASPGLVLVLTGQHKELFSRQVEVVLAKLARALRVMISGAVTKVRAGVNSGTQSETRGVGAGVHLLTRYAVSCIESLAPHRGALDLILASTGGILEGVNSFGDLFSELVASLEPKLEEISTVCGAEGGGLRHLFLANNTSFVLKRAGALGGDDEWAACRRSRVEQHVAGYAEASWAPVVAYLEAGKPATKALAKFDAALEKAYSGHVRYEVYDPELRAALRNAVSEKVVGAYGAYLLEHPKLAKSARYTSDSLAGLVSDLFEGEDAAGSHS >SECCE3Rv1G0192330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:757418818:757422275:-1 gene:SECCE3Rv1G0192330 transcript:SECCE3Rv1G0192330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPQGPMEKAAAAAEEEPEEAWAWTWGAGTDGQLGNGGFQDHHLPQPLLLPPRCRGRVSFVAGGGAHAIALTSDGEVFTWGRGTHGQLGHGNIENIPHPKFVKFFENYTVTSVSTGWNHSGFATDSGQLFMCGDGSFGQLGTGDNQSRNLPSEVPSFSTKHVEKLAFGMRHSLVLLKDNSVYGFGSARRGQVGKSACKNQKFYNTPRLIDGFPNCKIVNLYANGDHSAALDESGQLYIWGRALVGEHDDDQPRAAFPSLSISQVALGWHHALVLSGGELYTIGAYRHQKCDPNVSENPVAQQLNLSTASSAHHESSSASNLAKVPSIHGQQVTQIAAGTEHSALVTDSGALFTWGWGEHGQLGLGDTCDQVVPRRVNLGGEGSRSSASLGVYCGSGFTVAVSVA >SECCE4Rv1G0293710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:886857749:886858288:-1 gene:SECCE4Rv1G0293710 transcript:SECCE4Rv1G0293710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASPGRVLVMVACAEGIGVPRGSRMFRHALYAVSCFSEDKIAAKLDYLKKTLRWSDTEVGIAVSKAPVLLRRSHDVLQRMSEFLISEVGLEPAYIAHRPVMLTYSLEGWLRPRYYVMRFLKENGLLSHGRDYHTMVVANEKVFVEKFICPHKQAAPHLAEDYAATCTGEVSARFRLT >SECCE4Rv1G0224650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:82848405:82850318:1 gene:SECCE4Rv1G0224650 transcript:SECCE4Rv1G0224650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPTMPTPMATRPASTMAAKLPAPSTPRQCYLLPGRRAGLARGAPSASSKKRNPWLDPFDDGPDEEFDYTGVYSGGKQEEDPRPPEDAENPYGFLRFPMGYMPELDSLASKVRGDVRRVCCVVSGGVYENVLFFPVVQMLKDRYPGVLVDVVASARGKQVYEMCKNVRYANVYDPDDEWPEPAEYTHQLGVMKNRYYDMVLSTKLAGTGHALFLFMSSAREKVGYVYPNVNGAGAGLFLTEMFKPETTNLADGGYNMYQDMLEWLGRPAKGVPQQPIPPLRVSISKKLRAVVEDKYNRAGVEKGKYVVIHGIESDSVANMTSRGDDDCLLPLELWAEIANEISSGGNGLRPLFVMPHERHREEIEEIVGEETAYLFITTPGQLTCLINDSAGVVATNTAAVQLANARDKPCVALFSSKGKARLFLPYVEEKKSCTVVASATGKLAGIDIEAVKKAVKDLEPAPSFALAQT >SECCEUnv1G0554540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:287014045:287014239:-1 gene:SECCEUnv1G0554540 transcript:SECCEUnv1G0554540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMPNILSLTCICFNSVLYPTSFFFAKLPEAYAIFNPIVDIMPVIPLFFFLLAFVWQAAVSFR >SECCE6Rv1G0430600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:743561565:743563608:-1 gene:SECCE6Rv1G0430600 transcript:SECCE6Rv1G0430600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSFPHGIQIFILLCYSICSLHLPHATSLSFSFNFSEPGGYDARNFSFQGDAYYDSQSQTIELTKAGGSPNIPNSVGRALYAQPVPLWDAITGELARFTTSFTFQIKVDGELSGDGMTFLLGHYPPTSIPDPLDNGRNLGLFNKSVGTVATGDNRVVAVEFDTFLNVESDNSDSHMGIDVNSIISRVYTDVAVPGKNLTSGLPMTCHISYGNDTKILAAVLQIGDTTYRVNTSLDLRQFLPSEVAIGFSGATGVAVELHQIMSWSFNSTLEPPPARKNSKFPWKLLLCTRKKHYRALARGLEHFDYHKLARASNKFSQENKLGQGGSASVYRGQLTDKHVAIKRFRPVASGEGRKAFEDELRIASGLRYRHLVQLIGWCYDRKRNPVEFICWWWDDRYTRLFLVYELLPQGSLDQHLHKGKSWLPWSKRHDIILDLGCALQYLHVDCEQCIVHGDIKSSNVLLGSSYGAKLGDFGLARFVHHETGSQTTDVLQGTYGYIDPMVSGRDPTMCLHDRPPLSSWVKNERLISGESNVVRQQMEHTLLIGLLCVHQDPSIRPSITHIMEALRSEELTLDIAPLAPVTLSLP >SECCEUnv1G0568350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:425292860:425293558:1 gene:SECCEUnv1G0568350 transcript:SECCEUnv1G0568350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLLFLILALVASNSNHGGAVAAAADPPPPAIFVLNLHDGPDSASLAFQTHDLSLAGFTDESGHWNTFPGHQHLIPTSTTLPFGSSYSELIGGLANLPGVPLGRHAMKQALRVLSAYDPAADVEPVKRALAAVKVMISEAQRLTPIDEIVASGWDTESRVAPEHLAYIDHWDTMSYELLRAERTGKWKGPFTGMLEKRANISSKEDAVAVVRVVLNADFQQVLRAHTIQR >SECCE3Rv1G0165960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:180982218:180982529:1 gene:SECCE3Rv1G0165960 transcript:SECCE3Rv1G0165960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE3Rv1G0210740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:945195736:945196092:-1 gene:SECCE3Rv1G0210740 transcript:SECCE3Rv1G0210740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPSRAALALIVAVAAILVLVVAAELDAGSSTWAAEDYGGSVATQAACRVEDEEGCGGEATPRRQLGGGGYIGYDALRRNAVPCSVRGASYYNCRPGGQANPYSRGCSSITRCRG >SECCE2Rv1G0112470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:710029458:710034097:-1 gene:SECCE2Rv1G0112470 transcript:SECCE2Rv1G0112470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHTTEADASAAGAGGDEESPVEAGTLRHRHNAAKADDGEIKGSAPAGDGEEEEAEASVERAFLDKPVPTWREQLTLRAFVVSFFLAIMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTSAIERMGFLRQPFTRQENTVIQTCVVAAYDIAFSGGFGNYLFGMSETIASQATEANDAQNVKDPHIGWMMGFLFLVSFVGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVRTLGKFFSFSFLWGFFQWFYTAGDGCGFQNFPTLGLQAYKSRFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGVMWPLIHNNRGSWYSADLKDSSLHGLQGYRVFISIAMILGDGLYNFVKVLVRTSVAFAAMAKKNSTIPISDVGGAKATGEPPVVSFDEQRRTEQFIKDQIPKSVGYAGYAAVAAVSIATLPQIFPGLKWYYILVAYVFAPVLAFCNAYGTGLTDWSLASTYGKLAIFVFGAWAGAPHGGVLVGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFDDIGVSGSEYPAPYAIVYRNMAILGVDGFSSLPKHCLTLCYVFFAAAILINLVRDLVPAKVAKFIPLPMAMAIPFYIGSYFAIDMFVGTVIVFVWGLVNKAKADAFAPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRSVNANVDAYLGN >SECCE6Rv1G0417340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:656872508:656880449:-1 gene:SECCE6Rv1G0417340 transcript:SECCE6Rv1G0417340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIARTTQASATEYYLHDLPSTYNLVLLDLVSRGRFLKSVLCKHDEGLLLVKVYFKRAGEPLDLKDHERRLERIRRAFQGLEGSHVWPFQVWFQTDKAAYLLRQYSYSNLHDRLSTRPFLSQIEKKWLAFQLIHAVEQSHSKGVCHGDIKCENVLVTSWNWLYLTDFASFKPTYIPDDDPSDFSFFFDTGGRRRCYLAPERFHEHGAESQVSSDAPLKPSMDIFSLGCVLAELFLEGQPLFELSQLLAYRRGQYDPTHTLEKIQDAGIQDMVLHMIQLDPKERLSCKSYLQKYATVVFPTYFSRFLHKFFSDIVPLGSDARVEKTQENFERIHDMMISGLACEQIQVSGEKGIEGQAANSPRESAQSTSLVKINIPGDHRQIVGDLNLLLKEVEQRNNHGDTMVPRANGIGPLQQPNEIQSQTTSVLAAQNDDVSHVHKISKSNLLALVSGYDSQSDTYSFDIFQQTHSKVSCEGMVLLASLICSSIRSVKKPELRRASLILLKISSTYIDDDSRLQLVVPYVIAMLSDPAAIVRCAALETLSDVLCLVQDFPISDAMIFPEYILPMLSLLPDDAEESVRVCYASNIYKLALTAYRFLLRSRSIADTGPLDKSVVAAASQSADAPAKKKDDKIDRQLARLRRNIYDIVQELVMGPKQTPNVRRALLQDIGYLCYFFGHRQSNDFLLPILPAFLNDHDEQLRAVYFGQIVVVCYFIGSRSVEEYLLPYLEQALSDDMEAVVVNVLDCLTMMCKSGYLRKRVIVGLFGKALPLLCHPIQWVKRSAVRLIAACSESLGPVDTYVYLSPHLRQFLHREPPSLSETALLACLKPPVSKSVLYQALELAKDTGEVLLKGDVKKEQILQGGRYPATTQSVSSASLEDAVRLRTSTLDIKDSISSDKSLYYGYAPHASAGSISFYDGLSKGIPSYSVNTDKRGLGEIYMPWESNRHPGLSSSVQGFQTRENHFSSKHGDLSISDPRKSSSPVPGDGGSNSETAVSPSFARSSVALETGWKPRGILVAHLQEHRLSVNDIAVSDDNSFFVTASDDSSIKIWDTRKLEKDIAFRSRLTYSLGSSRALCTTMICGSSQVVAGASDGTLHLFSVDCARGAGSSTERYSGIVNVKRKDLKEGAILSVVNCSSDSFSPTILFSTEHCDIHKWDTRSNSESWSFKSSPEEGYISALVMGQCGNWFISGSSRGVLTLWDHRFLLPVNSWNYSTVSPIEKLCLLIPPHNSISCSGRPLVFVAAGCNEVSLWNAENGSCHQMFRTAGTENDAVTPKTPSKPLNKTTAKDVRRAGNYKYRIEELADPPQRLPGIRCLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSAKGVGNDECYDIRSSYGVQVVQESCKPPTPASRLTHRTQLAMAAADSAGCHRDAIMALASVNLSSQRLISASRDGAVKVWK >SECCE5Rv1G0346720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:663376762:663379823:1 gene:SECCE5Rv1G0346720 transcript:SECCE5Rv1G0346720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLPLISLLLVAGGGGRSFGSFVDAATTGVPNLEEVPSAAYWEAVLPGIPMPPAIINLLLAQQNVHTSLDDIIINPINLKSGKGPRKIGPYDEKLKLEPVHEDKDVHISLQVEEEASKLFATHKTNMEQNLKEISVSYGLEGKTYSNKVLPNLMKIFAAYKPRKQENLKEISISYGSKGGTDETEVSRAYGLEGEKELKEISLSYGVEGDDVLKEISVSYGVEGRKSLKEAPVSYGKEQERKLKEIPVSYGLDEGKGEHNKFFNQDAEDPHKATMSYGSQYEEDPHKVTMSYGSENEEDPHKVTMSYGSQHEEDPHKVTMSYGSEHGEDPHKATMSYMSEDEEDPHKVTMSYGSGHEKDLKAFLTGHATHFKVKGEGSHHAHSHKHGNKKQADVLFFHDMLRPGSVITPTIPLTTSLPALLPHGVAKSIPLSTEHLSDIIAAFAPASLAMAREIRWTLDTCDHPRTLPGQKAGCATSLESLTELATSLHGTHNVRAFSASNLPIDAARTPALRGMYNVTAVRKLSDSQEIVTCHDLTYPYTVYYCHTANPTAAYTVTLESVDGAMAPAVMEALAVCHLDTSRWSPKNPFFELHNLKPGDVAVCHFLTKLSIIWVRGGEQGDTHAATR >SECCE3Rv1G0161030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:111587117:111587630:1 gene:SECCE3Rv1G0161030 transcript:SECCE3Rv1G0161030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRTDFPRTAPLKRLTSKEEKNGNFGREFVKCESKSEGQIVKKCHHFKWMDDYIQRLQGLGLLDSRGNAIREFNLPHDSAAPAAAARLVYPMVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMVISR >SECCE4Rv1G0233900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:257069771:257070145:1 gene:SECCE4Rv1G0233900 transcript:SECCE4Rv1G0233900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVVVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDNELELLRKKSQEQEEQLAHLMALFQAKVV >SECCE4Rv1G0216020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8521549:8521836:1 gene:SECCE4Rv1G0216020 transcript:SECCE4Rv1G0216020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRKKNGGESSASPGASPCRDDEREKVPRGHVPMVTGCGARVVVPVRLLRDPCIAELLDMAAQQYGYGQPGVLRIPCDAGHFRRVVDGALHRAD >SECCE1Rv1G0030970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:437603869:437605090:1 gene:SECCE1Rv1G0030970 transcript:SECCE1Rv1G0030970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRDADRKKQYMEYALQKYNNDEDLAGEMCFVFDESKDEVFIIEGNMNSYEHFNFTAKQAGSIVLFFAEVIPDEGELCNVLCCKPLDSDDNGHCFGCKNQGSVDLRHPADESLYVGGHVDCEFPFMWDSISEDDSD >SECCE4Rv1G0250880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:597437912:597439513:1 gene:SECCE4Rv1G0250880 transcript:SECCE4Rv1G0250880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTARLRWRPFRASSPSSSASSSPSSSFTTTVDPPAEFLCPISGTLMADPVIVPPGQTIERACIQACAALAFYPPAVAGLPSSPLVLIPNVALRSAILNWCERLGLPHPSPLSLDTAGDIVRRLMPPRQEQRSQVNYGPPPQEQRSQVNYGPPPQPQASSVRTRNRHSVDYSAGDEFVQEPRQTGGSLEEEIMAVLGADGASPAEQKATMASLRQATRESKEMRMQLCTPRLLAALRPMLLSADAGIQVNAAAAMVNLSLEAENKVRIVRSGAVSPLVDVLRVGHPEARDHAAGAIYSLAVEDENRAAIGVLGAIPPLLELFSSGGAGHRARREAGMALYHVSLAGMNRSKIARTPGVVRTLLATAVARDRVNDADADAAALRKLAVMVLANLAGCPEGRAALMDGGAVAAIVGLMRSGSAAPGSAEEEYCISALYGMSRGSLRFRGLARAAGVEAALMPVAESDGGVGRDMARRTLRAMRGEDDEVALTASGILGREWDDASVVSEGMVSLRRPPHHRSNYAGSSGSNTTQF >SECCE7Rv1G0471350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:129541385:129543176:1 gene:SECCE7Rv1G0471350 transcript:SECCE7Rv1G0471350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDNVAGRSSARGAPVVVSLQSESHTLDDTGIATNVPGPTRTELGAGVVDDVVQREEGEDEAGSQPMEPYVGMRFDTFQIAKDHYNSYALRMGFSVKMNTSRRTPTPMFEAPPVLDPIPDPKPVDSDEEMEDGPPIFAEEEAGTSKKKKKRKRETIKQSQCRAKMLVKLIDGRWEVTHFVRHHNHPLVSKPSLSKYLRSHQGISPDEKEFLRRMMHVMAEFYGSEMMAPFSPKAITNLCTSFRRDDTKEGDMIEIIAHFKDIQKTDPDFFYKVKYDEEDIVVNILWVDDSARKAYAEAYHDCISFDTTYMTNMYNMPFAPFIGINRHGQSVMLGCAFVRQELASSFDWVFGTFLEAMDGKPPDNFITDEDGAMRQSIQSIFSTTVHRCCCSHIMKKAQEKVGWLLCRNPGLSNDFNYCVDFSFIIDEFEQNWAGLMMKYEAMTHTHTLRSTNTC >SECCE2Rv1G0089050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:252040036:252040716:-1 gene:SECCE2Rv1G0089050 transcript:SECCE2Rv1G0089050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKASSSKASTVDDDDN >SECCE2Rv1G0136260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910868213:910869929:-1 gene:SECCE2Rv1G0136260 transcript:SECCE2Rv1G0136260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAIPDELLVDILLRLPTPEDLIRASAACASFHRLVVDRSFLRRFRKIHPPPLLGFLDPVAHRFHPAVRPHPSAPAAGAVALAADFSFAFLPAPASSFAFLPAPALDWTVREVRDGRVVLDRPRHADEGLGSVFKEMVVCDPLHRQYLLLPPLPGDLAASVADQLLIYGQSFAEAFLVPPGYDDEEAATATEETSFRVIWVVLLQAKQMAVVFSSRTGQWRALTRSDSLPLLDFTWMLHFMSRHYAHGCFYWISGSSEKLLLLDIQRMEFSMADHPPCARASSDDVAIVEAGQGMIRMFVPTPHTTRSSFTVWRNNGGSSTQWQWQMENETISLPSGSLLTGAVGRHLLQYHVGSSSIRRGCYTRGVDTFQFERVCGSASLPKPSHVYCNFPPSLLSSPTVSSATPQADVRGEGGAVRVPEPQEADSGGMEGSVFSVAAEATNPLFVVDNEAEAGCGGRGGDAGAGPLEGAGAGGVA >SECCE5Rv1G0356800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742013281:742013715:-1 gene:SECCE5Rv1G0356800 transcript:SECCE5Rv1G0356800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNASMLAVIMACALLLAGSTCDAARNLADATPAAAAPAASPVPGLPAVPTLPAMPTDTVTLMPPMPSVTLPTVPQVTLPPMPSIVVPKAVLPPMPKVTIPTVPQVTMAPMPAIVVPKVTLPPLPFVPNVNVPMPLAAPPPSA >SECCE2Rv1G0131110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:882801031:882803109:-1 gene:SECCE2Rv1G0131110 transcript:SECCE2Rv1G0131110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSGKGMERGSSKEMEKGSGKEINWESWEIKDRMKEMVSVGRERNDVLDSLTPSLSGWRRIHKGESSKWSWMTVGKAANETASDLMDSSKMELPEKELDSILQGMEQLGHRMLSMAYFLRKPGVPSISPHVVTELINATSKLRRTTEDFYQDTDAVESWSGEGESEGDDKGEGDGKGEMQKEDGIPGEISDLTKYLRFSSHYENKLALIVDEGDGEDEDEDEDEDEDEDEDEAEDRSTLEYLKKEIADEQKSFGDEYDCWERVWGSKIGGCGGLEDITTLSPMHFTHCTPGVVPYPAAVAGNTLLIYSIRILRKHLDMTVKPLKWPLKVYGVVAARDTVDHNRNILFSRSRLNYQKLGEDVLGREDSFLCLTGPSRAIFGVGPVDFEIDLKINEGAEEDTTLISSCYRRPRRLSIGNSYTKIIRGCCCDVELIYEELDTPLQATIVGVHVVEGGWHFKYGCRVACSWSAATGTIGATRSEVVLLQYRGENMPVGSDGYLHLPRNVVPVGLQGTLRLVIQAYPEPDFRPIKGHVDFCIQHCQTSSLQCEVGNSTVEITVAWSLLVKEKLELLLEGYAAGRD >SECCE3Rv1G0180960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:600883656:600890053:1 gene:SECCE3Rv1G0180960 transcript:SECCE3Rv1G0180960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDGGVKDQEASSSSSPPAAAIARAARPPRPVSFKTKRIVWHPLKHRRFRVGATKTMVEASSSAQSVRGAREVGEATTAAAAMGPPKEDEKGHICGGWKSEDGSLYCGYSSLRGRRASMEDFYNMRSSKMDAKNINLFGVFDGHGGSWAAEYLKEHLFENLLKHPSFITDTKTAISESYTKTDSDFLDAETNIHREDGSTASTAIFVGNHLYVANVGDSRAVISKAGKAIALSDDHKPDRSDERERIENAGGVVTFSGTWRVGGVLAMSRAFGDRLLKRFVVAEPEIQEQEIDDELEYLILASDGLWDVVSNEHTVAFVKGEVGPEAAARKLTEIAFARGSTDNITCIVVEFHRASMANNDQG >SECCE6Rv1G0396970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:381689520:381692443:1 gene:SECCE6Rv1G0396970 transcript:SECCE6Rv1G0396970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEISEIKKIGIGLVGFGILFSFLGVILFFDRGLLALGNIFFLTGVGLLLGWQSMWQLFTKKANIKGSVPFFLGLFLLFIRWPVAGIILELYGSFVLFSGYGAPIQAFLYQIPIIGWILQYPFQLFGLRRKRA >SECCE6Rv1G0438830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:792834060:792837064:-1 gene:SECCE6Rv1G0438830 transcript:SECCE6Rv1G0438830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSRIGSDSPYKSGSGSSSPSSSSGWAWRRKRKGGVSVSSSRVSSSPSTTVPPTPRSEGEILQSANVKSFAFNELKTATRNFRPDSVLGEGGFGSVFKGWVDEATFAPARPGTGMVIAVKKLNQEGFQGHREWLAEVNYLGQLSHPNLVRLVGYCLEDEQRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAARGLAFLHSDKAKVIYRDFKTSNVLLDSSYNAKLSDFGLAKDGPTGDKSHVSTRVMGTHGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRALDKNRPSGEHNLVEWARPYLSSKRRVFHILDARLGGQYSLSGAQKTAALAVRCLSGDARARPGMAEVVTALEQLQDAKETAAGAGQGKASGGFVRMRGGGGSGAGRQQRQRQPEPMAVRRLPAAPLRSHPE >SECCE7Rv1G0520030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866807738:866817979:1 gene:SECCE7Rv1G0520030 transcript:SECCE7Rv1G0520030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) UniProtKB/Swiss-Prot;Acc:Q9LSW2] MDYLKTVVPSQLLAERGSNLVVINPGSMNVRMGFASQDVPFNIPHCVARHKNDAPKLSVRDQRLNCRAGSTHNAEREKSYDIIASMLKIPFLGEVSPSENQPLPPKMGRLDGLSSQQNKDDSILTWTDVMDKSIKTSTSIVNSDADEDPSQSTSDDANKPNSEENKYKEMIFGEDALKIPPSAPYCLGRPIRRGHFNISQNYSLCQVLEDLRTIWNWMLTEKLHINPKDRHLYSAILVLGETFDNREMKEMLSIVLCDLGFSTAVVHQEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQQRHRTWPNFKNDPMKKPIDMLMLNKIKEGYSQIRSGSYDAVSVVHSYEHEKSVGHQKTRLSALSVPPMGLLYPRLLIPEEFPPPPRPWFQDCDDMLEDTLQTNDGLSGNGGLGAWDSYQMLPTRVKKFDNIGLVEAIVSSVLSTGRVDLQRKLFCSIQLVGGAASTTGLAPVLEQRVLNTIPSNQPVEKVEVLQSRRNPMFIPWKGGVILGVLDTGRDAWIHREDWIKNGIHVGSGRKYKDSYYLQSQLMCYYNS >SECCE2Rv1G0093750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:385406096:385444072:1 gene:SECCE2Rv1G0093750 transcript:SECCE2Rv1G0093750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKQLCDALATAGFDSGDPLDPESLDWAFLQGDDSSRVLAWIAARLRRANVLSASDLELYDQLELEGKLLEGKDLDSAFHSISAFSEIGENHEYTFLSEESPGDIRDSKLAFRAEVSDLEKKLASLEWQLDLLTAQATTITHGKKSRTSAKTSATGKLARFDEELAKRSLEMNAALGKLVATIQELSYYHSEADIGVYLSYCDFQSYVISNLACTKELNTWFTKKFEKGALRFVAKEDMPRGASEKPHHFVVELKRINSMRINSELTEELKDLSLQVQECLSKTVASLCSDLARLEGANILQGDHNLIVHRQECYISEQKRFINHLVNQLAAHRFLAIACQLERRTKFSSAYSLLKATEMELQSYLLAVNSRLDQYHLIGEAASSMIEEGSIDDRDTFLHAVRDILSSYSGFQTITPTYVSACALVEQISELEDELHCYQHELENVLPRERGRFTDEQCRMVQKLDQILSVPVTHMLPKFTPWPLAQALEELEMISYEVSASVNEVTMAREEKTKMLQHPSRNAQQERRVFTDFFCHPGRLENQVGDLTSRVRGIPE >SECCE1Rv1G0036920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:514879637:514879993:1 gene:SECCE1Rv1G0036920 transcript:SECCE1Rv1G0036920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPHDCLSRVRHHAHAHAGSRRSPRPAARTRRGNARRAQAASPLPQEVRVKVSAATADAAYAGPAFGAMSPSPRALPLPRFSNTKTAVSDTVDDSATRELRRLLGLERPAREI >SECCE2Rv1G0114410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:734394266:734395438:-1 gene:SECCE2Rv1G0114410 transcript:SECCE2Rv1G0114410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRDCELTCSIRTGATKWSSISEPIVQLCYDNPSQILSLPSPRCSACRLALHREAKGRRPPSAVMDPSSEIVYDMPGFIRIHKSGRVERLQGTETVPPSPSGDPANGVASKDVVLDPAASVSARLYLPAAAAAAEPGRKFPVVVYFHGGAFVVHTAASPIYHKYATSLAAAAPAVVVSVDYRLAPEHPLPAAYDDAFAALKAIVAACRPDGAEPWLAAHGDASRVVLAGDSAGANMAHNTAIRLRKEGIEGYGDKVSGVALLHSYFWGTEPVGGESPDAAFYYPGDMERVWDVVCGDKFGRDHPYINPAASPEEWRQLGSGRVLVTTAGLCWFVERARAYAEGIKACGWVGELEFYETKGESHTYFLFNPDCDDAARELAVVADFVRRC >SECCE6Rv1G0386610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:97849528:97851839:-1 gene:SECCE6Rv1G0386610 transcript:SECCE6Rv1G0386610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRLCGGTMAPVSDVADFGYAPMQSYPNFEPAGMVMPGDRLPPFQQHHLYDSLDFNAPAFSFQDPAVLFSSGSAFSNQLQQPFLQTQVTMPTMASSSLLQAPMMTLPGMLTSSSASPVDAYTFGGGSGGFLKREEGSPFSDVGGGGRIGLNLGRRTYFSPADVLAVDRLLMRSRFGGVGAMGMLGLGLGATAHHHQPPRCQAEGCKADLSAAKHYHRRHKVCEYHAKAATVAASGKQQRFCQQCSRFHVLAEFDDAKRSCRKRLTEHNRRRRKPAGVQGKDSEPPSKKADASITSSYTGNHRTQKSTTGAAFSPSASGFSCLQQQQQQQHEIDSGGQSSNATPTNLSLAAPPPPQDDAGFGAGLDTMLLIQQQGPDEQEEEERQQQHFMMTSLVQSHRDSRNILSCSTTSPSDQRHHQNDGDSCCNGNSMQHFFEVEFM >SECCE3Rv1G0172870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:308352666:308353910:1 gene:SECCE3Rv1G0172870 transcript:SECCE3Rv1G0172870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVGHCHDLKPPAVRGRHYRPHSVTQLDRSLLERDNQERNALSPQRRCLSSPCFTTVAEPASDDAHDDKKMPRVEIVAGRQARGVHELIAEAAGAIASGTRLVPAQSGLGGALLLKDGRSGEHVAVIKPLEDATSSRSTNGGGGYESKAVLREVAAYLLDHDGFASVAPTALIKISRSEMETTVASIQRFVAHHYDAGELGPSRFSVTSVHRVGILDVRLLNIDRHAGNILVKNPPTSGCFADGSTSAAPLELVPIDHGLCLPEELDDPYFEWLHWPQSSRPFSGAELDYIASLDPFKDAEMLREELPSLTEPAIRILTLCTVFLQRAAAAGLCLADIGDMMTREFSSAEEGLSALEALCKKAADSIDTHSPKRVSFGELGGEEWAAFMEKFEQLLPPAFEDKKRGAGVTLN >SECCE4Rv1G0218320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:21987098:21987955:1 gene:SECCE4Rv1G0218320 transcript:SECCE4Rv1G0218320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRHFRWLLVEHPAVASFHWRPGTTLAASPSFPAAVICAYLATVLLLHRRLPSLPPRALRAVSALHNCVLLALSAAMAAGCVLSAAATAPSPRWVFCFPPGGATEASGPVFFWAHVFYLSKVYELGDTLLILLARRPLTLLHVYHHALVIAMCYLWLATRQSLMPVALVTNAAVHVVMYAYYLCCTLGLRWPPRWKRAVTELQILQFLFSFAASLVMLWFHFAPGGGCEGMAGWAFNAVFNASLLALFLDFHGAAYKAKAKAAKANNRNSNIDNNNGEKLE >SECCE3Rv1G0196080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:805796057:805797160:1 gene:SECCE3Rv1G0196080 transcript:SECCE3Rv1G0196080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAIVLSATMAAAALFMLLAAAPAPASAMDFGEHDLASEDSLWALYERWRERHTVARDLAEKARRFNVFRENVRLIHEFNRGDAPYKLRLNRFGDMTADEFRRAYASSRVSHHRMFSRKEGGGGFMHGSAAAVRDVPPSVDWRQKGAVTAVKDQGQCGSCWAFSTIAAVEGINAIRTKNLTSLSEQQLVDCDTKSNAGCNGGLMDYAFQYIAKHGGVAAEDAYPYKARQASSCNKKASAVVTIDGYEDVPANDEVALKKAVAAQPVAVAIEASGSHFQFYSEGVFAGKCGTELDHGVTAVGYGTTVDGTKYWIVKNSWGPEWGEKGYIRMKRDVEDKEGLCGIAMEASYPVKTSPNPKHAGAHDEL >SECCE5Rv1G0373790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861297317:861298009:-1 gene:SECCE5Rv1G0373790 transcript:SECCE5Rv1G0373790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHMGPHFQIPPGSLDALVTFTTLVSIVVYDRLYVKAVRSLPTRIGIGLLVQELTMATASATESRRLSYARSYGLDVTGGKLGLSIFVLLPQFVLMGLADVFLVVGKIEFFYEQAPEGMESLGTAMSLTAYGVGDFLSSFLPSLVSRVTREKGDHWVANNLNASHLDYYYVFLVLLAAINCAAFVVLAHWYKYRVESVGQ >SECCEUnv1G0567800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:418276035:418276355:1 gene:SECCEUnv1G0567800 transcript:SECCEUnv1G0567800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKAFCISLVIFVLVLFTAPDPVAAAVYSVGEVVMPMSSSLRLEDSVVPELGLDLDVHRRLFGEVGKGALDPNKSACKPKCAGEGQPYTGRGCQAIYGCVPKSSE >SECCE5Rv1G0369050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:833201109:833201928:-1 gene:SECCE5Rv1G0369050 transcript:SECCE5Rv1G0369050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVVSLVYASSPRGSGFIVYASPQAFLAMTCEHVIRGCRELQIFFPGETKAYKARVLRHDPTIDLALISFLPDGDCLERRVPLRFADLNTPLNCGAVRLIGYHQVPQGRLLSPGVFDGNLTVQEADQTDDPEMKRRSIQFLNCNYASEPGTSGGPILKGDRVVGVNSDYFGGHRFSISVIGVCNTLRKWLNMENSAVGIDDMLAMLARE >SECCE7Rv1G0497550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:626899638:626901857:1 gene:SECCE7Rv1G0497550 transcript:SECCE7Rv1G0497550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse II-like 1 [Source:Projected from Arabidopsis thaliana (AT4G15417) TAIR;Acc:AT4G15417] MDPQSQSQSQSPPPAADDDSDAMPREQQQREEAPPGYLPPMSPEDVAAVEALLGYEFKDKSLVGVALTHGSFYLPYRSGDSTYERLEYLGDGVLTCLVSREVFLTYPDLPPGPLTRLRAANVDKEKLARIAVDHGIHRFLRHKAPKLEEQIADFVKELCQYEYHSNGLLDAPKVLSDIVESLIGAIYLDSKYNQEIVWQVFRNLADPLISLETLGRHPVSELLEFGQKTGRIVDISKDGWVKDTKVDVFVDGVLVASATYAQKKEIASNRAAKAALDKLKEMSGQSDVLSAPLDELGRSRALELQ >SECCE1Rv1G0063350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:724543598:724544227:-1 gene:SECCE1Rv1G0063350 transcript:SECCE1Rv1G0063350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDNHVGHLAIPPASLSIVRVFSVLIGVFIYESVLVPLARRYTGNAKGLSQTQRLGIGLALSMLTMVYSAILEMKRLAIAQASGLADQNVPVPVSILWQAPAYVMHGAAGVFAGIGMMEFFYDEAPDTMKSLCAAFSQLAVASAAYFNALVFSVVAAVTTHGGEPGWIPDNLNEGHLDYFFWMMAALSLLNLAQFVHYSMRYREKTTC >SECCE4Rv1G0228540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:136816535:136822639:-1 gene:SECCE4Rv1G0228540 transcript:SECCE4Rv1G0228540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDLIASCQDSIRQIGDEIADALVYLDAGTLEAFQFIGAFPLLLELGARAVCSLESTSPLDAAAEWNSSFAHPARKIVVITSRLLSDAHRYIIRCLGNHGTVSHCTVLTAISEIGHSAYVDSPLGPDAFREYETLLIQDHEELLKKCGKLNKDKDNIPYTGRDFTSDGDTKWGSGVHYGPSESSPRKNDFSDDDLGQVEARGKRLSVTVCHFPMIFSPISSRTFVLPSEGTIAESYLSNHREDSLSPGLPSISTGKPFDGDEVPPGLTLTAQFLYHLANKMDLKLDIFSLGDTSRAIGKLMMDMSSLYDVGRNKSSAGLLIVDRTIDLLTPCLHGDSFLDRMLSSLPRKERTSSFYAAKNPQTPSKHSQATVKRSPLDIKVPFESAFSKEETKSRTSMLSESMMAFVSGWNSAEVESEVTWLPDYSDKAHDGKVGTLSGSFLSNYAGVRYLEALLDRGAKDGLVLIKKWLMEALQLEKLSSPSKGRQTASISELRSMVQMLCQHELSLVRNRGVIQLALAAEMAFQEPQSTRWEAFTSAERILSVTSAETTQSLASEIRDFINTSTSVESHKQGNTMGSTQGLLTFQDILLLTIVGYILAGENFPTSIAGGPFSWEDERSLKDVVVDSILERPSSVKFRFLDGLEKELEAKGRSKDGDRNKDSSEPTSTTTDDFDDQWDNWDDDDDADHQKEEAYGDMQLKLEVRDRVDQLFKFFHSLSSMRLRNQALGEGLAALSRFETDSYSRKGLLYKLILAVLTRFDIPGLEYHSSAVGRLFKSGLGRFGLGQSKPNFGDQSFLIVFVVGGINTLEVREVMKAISESGRPDVELILGGTTLLTPDDMFELMLGSSSFT >SECCE1Rv1G0061440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:715963165:715965500:1 gene:SECCE1Rv1G0061440 transcript:SECCE1Rv1G0061440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQVGEALGGIAAIMAFHHELRVNPRQCRLLADACELAFDAVAAEVRACLRFDDRLAGRWKPLESPLRELCRAVRDAEHYIRLCFGDLHGGGGGGGGSWWARAAALTHGVECVELHLHGLLWCVAVVLEAVEVVAEATAALNPDELARRRVLFARDYDKDLLDPALFRRSRVGGAYLATQELAARMDTAWAEDRWLLSQLLDEMRSKPLSRQDQRIADLLAAPRGEPHPASALLLGDFHMRRRLGGTLKEVQWMGEAFAVKHYVGVDADDAAVGAEMELLASVAHPNVAHCRYCFRDEEKREAYLVMDQIMSKDLGSFFKEAGGSAKRPRAQLPLVVVVDAMLQIARGMEHLHSKKIYHGELNPSNVLVKPRGGAADAYLVVKVAGFAGDPAAAAVTSPGRKASHAAAGANANANANASGNGSVNPCIWYAPEVLENDEPVQRRTEKADVYSFGMISFELLTGKIPFEDNHLQGDNMSKNIRAGERPLFPFQAPKYLTGLTRRCWHGDPAQRPAFSSICRVLRYVKRFLVMNPEQHGGQADASTSTPAPAPPTPTPTPAVDYLDIEALLQKKLPAWQRRQGDAAPRVSDVPFEMYAYRVMERERGKAAILHIGRSSDSGSEANSLCGDEGVHSSATVPDVVAETVPTSSPRTKTWSRSLSSKSSGSGRLAPSASSPRKPAGRVTAAKAGSPQKSRSMGVVRQAPAPQVGALRRTPRIMSDGQLQAAVIPQSQRRVPGGHASDSELG >SECCE1Rv1G0042620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:582394671:582399788:1 gene:SECCE1Rv1G0042620 transcript:SECCE1Rv1G0042620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM3 [Source:Projected from Arabidopsis thaliana (AT5G46280) UniProtKB/Swiss-Prot;Acc:Q9FL33] MDVNEEAMAANKRAFLDFLDQDVGKGVYMQAVRDMVQSKRHRLTIGMDDLRNHNLDLARRVIRSPGEFMQPASDAVTEVARNLDPKFLKEGERVLVGFTGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHYCPATAAFMSREYRDITSFVGLPTGSVYPTRDDNGNLLVTEYGMCQYKDHQTLSMQEVPENAAPGQLPRTVDVIVEDDLVDCCKPGDRVSIVGLYKALPGKSKGSVSGVFRTVLIANNVSLLNKEANAPVYTREDLKRMKEISRRNDTFDLLGNSLAPSIYGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGSYDRSITPTKNIGLPDSLLSRFDLLFIVLDQMDAEIDRQISEHVARMHRYCADDGGSRSFDKAGYAEEEDGDANAAIFVKYDRMLHGQDRRRGKKAKQDRLTIKFLKKYIHYAKNLIQPRLTDEASDHIATTYAELRDGGANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKIDVEAALQVLNFAIFHKELTDMEDREQRATEKQQAEQDAGAGGDNVDVPGGASGANADGHEGSGNDPMDVDGGSGNASNDQDVSSQRMEAFEAILGQHVLANHVDQMSIDEVEQTVNRDAAVAYTRGQVEFILERMQDANRIMIRDGIVRII >SECCE1Rv1G0062840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721488561:721489987:-1 gene:SECCE1Rv1G0062840 transcript:SECCE1Rv1G0062840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMCADLLVDILRCLPPRSLAASRCVCKAWHAIVDDHRLLRADQLPLSLDGIIYWTTDTEAPRLFARRSTVRDITSRLGYLDDIAGKRRWSCPMCNSCNGVVLLHGHVVNPATRQWAPLPPVPCACSLQPYGGCACHRGNRYIAYDPTVSPHYKVLLIPDTSDHGPVGTTELWSPTSYIVRVFSSKSMCWKERPLVRQGDAAGTVADMKTAPLYLFHSAYWQGALYVPRIYGFILRINLSGGGYQVIKFPRGNKGVPRLGKSTKGVYCASNHGISKCEIWFLDQSCGHMEWVLKNEINLQPAVTKYSSVLVEDGPWILQSRRQMDKRLLKNVASLKFGDGNNEAVSKDDFEWDSDDENMIGTADWPQKSDNNSLHYECLGFHPYKEIALFCWSNKIVAYHLNSSKIRYLGKTAHISAQMLVACTYSPCWLRDLPGST >SECCE2Rv1G0089210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:254917415:254919457:1 gene:SECCE2Rv1G0089210 transcript:SECCE2Rv1G0089210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTRLSLLLLCAGLPLLLFSRAEAGEVGVCYGRNGNNLMDPASVVQLLKKNGITSVRVYDTDQGVLNAMKNSGIKLVVAVPNEMLPSAAGDPDWAVQWAKNNLLPYYPATDIRGVTVGNEVFKQANQHTSHLVPAMKNVQAALASLGLADAVKVTTPIALDALKASSFPPSSGEFKDEIAESVMSPMIDFLEQTGSYLMVNIYPYYAYSSSNGAMDINYMTFRPNAGVVDRRTGLTYYSLYEAQLDTVYYAMERLGSSSSRSSNHTQKMRMLRGGRRAPKPKVPTKGGESNPGGCAYCPQSLGFTKADAQAYTNNLIKLALSGAGTPHRPEFDISVYIFELFNENEKPTVEEQNYGLFYPNGQPVYQVDFGAGDKSSSWCEANAAVGDARLQAALDWACGNGADCSAIQPGKPCYEPDTKVAHATYAFNDYYQRKGRASGTCDFSGAASVVYRQPAGTCDPKAGSWCVANAAVGDARLQAALDWACGHGADCSAIQPGARCFDPDTKLAHASYAFNDYYQRNGRSGSSCHFGGAGSVVHQAPKIGNCVLRSRA >SECCE5Rv1G0346680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:663048439:663048753:1 gene:SECCE5Rv1G0346680 transcript:SECCE5Rv1G0346680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRNNYRVMTAEEEEEAGLCVGWIFLYMAVFYLGFFAFSAVISYTHVFARATRVDKIFFGILGAPCPLLAAGIIYLLLRADSPFRNKRATARVADLPPADVC >SECCE1Rv1G0000800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2533206:2535947:-1 gene:SECCE1Rv1G0000800 transcript:SECCE1Rv1G0000800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVGHLVVTLGSALATEAATFGWALLCQEAAALRGLFGKIRESKAELESMQAYLQEAERFKDTDRATAIFVSEIRGFAFQIEDVVNEFTYKLEDCKHGGFAGKMKKRLKHIRTWRRLAAKLREIQANLQDAKRRKKDYYTVISTTASKLTNHALHFTRDEDLVGMEENRERLIQWLTGGGGSSGDSLEQSSSKVTTVWGMPGIVDVTNIEMRGLAESIHDYLQGKKFILVLDDVWTPLVWSEIRNVFPTSNCTGRFVITSRKHEVSLLATRESAIHLEPLQAHNSWVLFCKGAFWNNDDKEYPLELQKLALKFISKCQGLPIAIACIGRLLSCRPPTSAEWENVYVGLDSQLAKDVIPDAHMILKVSLEDLPYDLRNYFLHCALFPEDYVLKRKTIMRQWIAAGFIRETEMNSTLEEVAEGYLTELVNRSLLQVVERNYAGRLKYCRMHDVIRLLALNKAKEECFGKVYNGSGTGAFCLEGARWISVQGENLEQLSRSAAAHLRSLLVFGRYIDVDLLKPILTSSNLLSMLDLQGTRIKMLPNEVFDLFNLRYLGLRYTDLESLPEAVGRLQNLEVLDAVHSKLTYLPNSVVKLQNLRYLYASSTSSTSENVRAGGVKVPNGIHHLAGLRALQCVKATSEFLHEVGALTELRTFDVCNVRSEHSAELSNAITKMSRLVHLVIVASAENEVLRLEGLYLPPTLSWLALRGQLEKTTMPQLFSSWSYLNSLTRLQLALSNIDEETFSCLSVLRGLRSLGLMQAFEGKRLDFDAGSFPKLRFLHIWGATQLNHVGIEEGAMQNLVELLLADCPELKFLPDGIEHLAALEKLILEDTSEELIEKLRQKGDSEECSEDVTKISHIRNITV >SECCE6Rv1G0379380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13529998:13534601:1 gene:SECCE6Rv1G0379380 transcript:SECCE6Rv1G0379380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPRTILRPFCVSPGGGGGRYLARHARPCLRRASPVGATNGDERGGSKKRRKKPAEESRLEVLYDDGFGSVTMKDYWEAVRAMPKDDGGPPRWFCPVECGRPEVDRAPLLLFLPGTEGVGMELILHHKSLGKVFEVCCFHIPANDRTPFEGLLQTVEEYVKHENALSPSRPIYIVGDSFGGCLAISVAARNPEIDLVLALVNPATSSAKASLQAILPFLEAVPSNLPFIHTHLLKYLMGNPFNMTMISTENSLSPQETIQEVSSKLTSMPPLVSELGDIIQMDALMWRLNLLKSGADYANSQLHMVQGEVLLLASGSANLPPSGEADRLFKTLKNCKVRYFRNRGDRLLMEDGFNLLTVIKGVKMYRRGMQWDCVNDFLSPTLSEFNKTFDEDFKLFHHLLSPAMLSTMKNGKIVHGLAGVPDEGPVLFVGYHQLLAMECAALIEGFLREKKTVIRTVAHPVFFAGNYETLCQELSLFDVVSMYGAVPVSPINMYKLFERNEFVLLYPGGVREALHRKGEAYKLFWPDQTEFVRMASRFGVTIIPFGCVGEDDFLEIVLDYDDQKNIPYIREEIKSFNRDFTILRDTMKGDDGNQVLHLPVVLPKLPGRLYFLFGKPIEMKGMDNVLTDRKKANQVYFQIKLEVENAMSYLKSKRNKDPYRCIAQRALYQATWGPSAQVPTFEP >SECCE1Rv1G0018510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:196450669:196455439:-1 gene:SECCE1Rv1G0018510 transcript:SECCE1Rv1G0018510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNGTAWAGALSPAARYAETGGASLTWENLTAVLPGSGGRPTKKLLQGLYGYAVPGRIVAIMGPSGSGKSTLLDSLSGRLARNVLQTGKVLLNGKKRRLDFGAVAYVTQENVLLGTLTVRETVTYSAQLRLPSSMSKAEVRRVVDDTLDEMGLRECADRPIGTWHLRGISGGEKKRLCIALEILTRPRLLFLDEPTSGLDSASAFSVIETLRTLAIDGGRTIVSSVHQPSSEVFALFDDLCLLSSGESVYFGDAKLAPQFFAETGFPCPSRRNPSDHFLRCVNSDFDDVATAMKGSMKLRAEADLDPLLKYSTTEIRERLVDKYRISDYAMMVRNTIHEISKIEGVMEEAVKGSQATWCKQLRTLTKRSYINMYRDFGYYRLRIIIYVLMAICLGTIYYDVGNGYTAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKVFSLERQNGHYGVAAYIISNFLSSMPFLLTMSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWKYPVSYIVYGSWGLKGAYKNDLLGLEFEPMTPGGEKLTGEFIITNMMGLSVSYSKWLDLAMIFILLLAYRITFFFVLKVKEAAAPYLRVAYTRFTVKRLERRASFRESLAMTSLSKRHNAPHPMAMQEGLNSPMQQY >SECCE1Rv1G0009680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:56070032:56071763:-1 gene:SECCE1Rv1G0009680 transcript:SECCE1Rv1G0009680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAAYGCSAALSFPGSPFARRSPPSRVHLASSNPKPPRSPTPSSLRASCRRRRPYVCACSGEVGPDNASAASPAEASFDIKLPRRSLLVKFTCNKCDARTERLINRVAYERGTVFLQCSGCQVYHKFVDNLGLIVEYDLREENGVNTCTED >SECCE3Rv1G0203890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:886226942:886230942:1 gene:SECCE3Rv1G0203890 transcript:SECCE3Rv1G0203890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNALRYLSKDDFRVLTACEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDCKKYDGFRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLAHRRSFNWLYLSRLAALKEFAFMKALGDHEFPVPTAVDCNRHCVIMSLVPGYPLVQIRELQNPDDVFDKILGLVIRLAEHGLIHCDFNEFNIMIDDDETVTMIDFPQMVSVSHRNAQMYFDRDIGCIYKFFNKRFNLTEKGGQDGSETDDDDSGRPSFLSIQKSSGALDKELAASGFTKKEQVEIEKFIDENAEEHDSGSDDEDSISEQESEGGDAVSAEISSLKIVDQDPAGAPDLLVMGSDKHETLSKEHATSTSPSGENKPTTDPTADGIEDPKGAESGGDDDDDSSEDTEDEDDALLTKQLNKQRKRAMAAALGRRRPLNSRNAYKDKGKGTMNSKIQRQACQW >SECCE4Rv1G0290950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874957101:874958241:-1 gene:SECCE4Rv1G0290950 transcript:SECCE4Rv1G0290950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSNRPAHDSVPDKYVFPPEKRAALLDDAPCSDVALPVVDLQRAALSDARQRRLVAEEIVKAGKEFGFFQVVNHGVAEEVVRAFREASAEFFAMPAEEKLPYCSDDQSKPFRVASSTSYDRNQTRYWRDYLKLRCHPVTDDLVGHWPAKPESFRSSLAEFSAQVHKLAQTLLQLIAEGLGVDEGFFAGDLSGGDTQMNVNYYPPCPDPSITLGLLPHCDRHLLTVLSQGDVAGLQARYRGRWLIVRPVPGAFVINFGHQMEIVTNGVLASVEHRALTNSAVARMSVATLIMPKMDCLIGPAPEMVSEANPPKFREFVFREFMAAYDTAAASREDVLDYFRIKEH >SECCE2Rv1G0090760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:288191839:288233636:-1 gene:SECCE2Rv1G0090760 transcript:SECCE2Rv1G0090760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G37860) UniProtKB/Swiss-Prot;Acc:B9DFK5] MAFSCASVRLHGRVGTVKCGAVLARPSGCSYPTGRMWIARGIRCQLPPQASADGSAATTSGAAVVAPEAGKVAGSAEDVGAVTQPTVLQEKGAEVADVSGSGGNGKFRPGGGGGGGADNGGGGDGGDGHNDGDDELGPILSFDQVVQEAEKQGVTLSSLPADMIEAAKSIGIQKLLLLRYLNMQASAWPLGPAIRSCGLLRNRMLVDPAFLFKIGTEIVIDTCCATFAEVQKRGDEFWSEFELYAADMLVGVVVNVALVGMLAPYARFRGGSASTGLLGRVRHAYDALPSSVFEAERPGYSFSIQQRLGSYLLKGFLYGAVGFSCGLVGQGIANLIMTAKRSVKKSESDVPVPPLLKTSALWGVFLGVSSNTRYQVINGLERLVEASPLGKRVPAASLAFTVSVRFANNVYGGMQFVDWARMSGCQ >SECCE7Rv1G0524980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887977950:887984847:-1 gene:SECCE7Rv1G0524980 transcript:SECCE7Rv1G0524980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFEPSVWSDFFCKYKPQPPNSSQEWMKTRTDKLKKDVHLLFRTCTNNLERMTLVDVVQRLGIEHLFEEQTATALSDIHQSEFNSSNLHDVSLRFRLLREHGLWVSPDVFKKFKGEDGTFNQDITRDPKGLLCFYNAAYVLTHGEPLLEEAISFTKYHLESLAPNLVSPLAEQVKRALHVPLPRTYRRLEALRYMPEYEKEVGYNPTLLELAKLEFNLLQSVHLKELKAISEWYKDLSGDMELSFARDRVVECYLWGYSVFYEEEYALERMIFAKCTFVHTLLDDINDVRATLVEYRKLDAAIQRWNENAVSLVPDYLKKFYNKLLMCFREFEDELTLNGRYPIDHIKKTFQQQSKFYLQEAEWLHQNHKPSFEDKLHLAAMSTGVTALCVYTMVCMGDGAPKGALEWALGYPDVVMACAKIGRLMNDLAGSSKHRNNSGDVANCVDCYISEHKVTDKIAFDAIDSMIEDEWKTTNQARFEHRRELFPVVQRVVNFTLSLPVYYGDRKDAFTFSMHLDNIINSLFVMPIPI >SECCE2Rv1G0070640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:37251310:37251813:1 gene:SECCE2Rv1G0070640 transcript:SECCE2Rv1G0070640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCTDCPRTAPLKQFTSKEEKNGNFGREFVKCESKPEGQILKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPAAAARLEYPTVVDVELKVELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAIYR >SECCE4Rv1G0234400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:279732744:279733256:-1 gene:SECCE4Rv1G0234400 transcript:SECCE4Rv1G0234400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIELFQGVAVDGSSAYVPIDEGEEEYDVADDGNEQSPMSTTSRKRGSSGGEQSASSPGKKHKSPMVKLMTGLINTMNSENTSDMITEYTNKRQEAKDKAKEKKSNSTKESITHCQLLTVQCGAEETNVEYFMATQLFADEANRVIFENISSKDNRLTWLKRWCMMKKLY >SECCE7Rv1G0520430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:868577249:868580453:1 gene:SECCE7Rv1G0520430 transcript:SECCE7Rv1G0520430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTNGKMGMRQQAEGPAAMLAIGTANPTNLVQPQDAFADKLFRMTRSDHLTELKQKLNKICQKTGIQKRHFHLTEETVGAHPEFLDRELPSLDARIEMVATAVPKLAQSAAAKAIAEWGRPPTDITHLVFSTYSAWEAPSADLKLATLLGLRPTVCRVVATGLFLHGCYGGGRALHLAKELAENNRGARVLVACAETTLVCFGSPDQASLVGHALFGDGAGAVIVGAGPFSEGERPLFEMVTATQTTIPRTEHVLGMQATAGGIDFHLAIQVPMLIGQNVERCFLDAFGTDGDAPGSWNELFWAVHPGGRPIMDNIDTVFKLEPGKLAASRHVLREYGNMSGATIVFVLDELRRRRKEEDGGHLLLPEWGVMLVFGPGITIETMVLRSPR >SECCE1Rv1G0052640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:663429462:663429923:1 gene:SECCE1Rv1G0052640 transcript:SECCE1Rv1G0052640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADKKPAAENKVEKAAEKTPAGKKPKAEKRLPAGKTASKEAGGEGKTRGRKKGSKAKKGVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE3Rv1G0186620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:687600034:687606830:1 gene:SECCE3Rv1G0186620 transcript:SECCE3Rv1G0186620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAACFYHPAAGRGSPSSLSPGLRSSQSKVFFISSGGRSGSRWWMRGRGEGKASCGSINSSSRARARPALFSPAMEWQECSTEIEVDVPCSVAYQCYSERETIPQWMPFISTVKVLEDKPELSRWTLKYAILGRDVEFSWLARNMTPTKNQKIHWRSLEGLPNRGAVRFFPKSSSSCRVQLTVAYEVPEILTPVASALKPFLEGLLFNGLERFVAFAKERYSKTLQS >SECCE2Rv1G0127360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:852352676:852354161:1 gene:SECCE2Rv1G0127360 transcript:SECCE2Rv1G0127360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVTATVSAVCATVAVVITMAVIKRCRHVRKKMHKKIVSKIMEEISRRNRDRRAAPDDGGAAMDDVVIEIGPVEKFLHEILNEKPMRFSSEQLASCTGNYSTELGSGGFGVVYKGELPNGLPVAVKVLKVSMNKKVQEGFMAEIGTIGRTYHVHLVRLYGFCFDPDTKALVYEYVENGSLEKYLYRDGDGAGEDERRERLEWRTLHNIAVGTAKGIRYLHEECQHRIVHYDIKPPNILLTADFTPKVADFGLARLGERENTHMSSLTGGGRGTPGYAAPELWMALPTTEKCDVYSFGMVLFEILGRRRNYDARLEDESREWFPKWVWDKYEQGDMECIVAAAAGVGEADREKAETMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYVSGGGSSSSWALSSGTGTGAGTYTSSSRDTGRDSEVSAASAPAPKPSDAMLKGAKFIDPVTA >SECCE5Rv1G0336760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:586427917:586435173:1 gene:SECCE5Rv1G0336760 transcript:SECCE5Rv1G0336760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPMAAGAAAADKKHSKKTGKGGNMKGRHGPAAVAMKARGAAGAERSNPFEAIWSRRKFDVLGKKRKGEERRTSRSRSDAVHKRENTLLKEFEQSAKSSVFHDRRIGERDETLPEYDKAILRQQREHMAKLKRASKFNLADEEDDEDSHTLLGNDDFNEEVPIGDDSDEEGNTLSKKRLSLQSSDLPSETDLPGETHGHKSKKEVMSEIILKSKFYKAQKAREKEEDEHLVDKLDSDFASLAQTPALLSLTESARVNTHKNNSSTIHKGSSGLTGKEIFNKEKPDAYDKLVKEMVMDQRARPSDRTKTPEELAQEEKERLEKLEKERHKRMLGTAESSDEEDDDSEDGDHHMRADNSKPISGDDLGDSFSFDEPTKRKKGWVDEIYENEGRKIGEGVASGDEGSDDSGEDEDEDEDEEEDAGDEEDSSDNDFRNMPARDWEQSDDDEVAVEEDEKDDVKDKEQVIGEKVKKTNAQNLKRVSNAKQKPHGKDEDLPFVIEAPNNLQDLCSLVDGRSETEIAEIISRIRTCNSIRLTPENRKKMQVFYGVLLQYFAVLATQSPVKFKVIETLVKPLVEMSGETPYFAAICARERLTHTRARLCEDIKVPGKSSLPSLKTLLLLRLWSLIFPCSDFRHAVATPMLLLMCEYLMRCPIQSGRDAAVGSFLCSMVLAATKESKKFCPEAISFLQSLLVTSLKGEVSNQTNDQFMELKTLKPWLHISEQVHEVNRMNILDLMSMDPDSPFFASDNFKAGILLSVAECLRGFVIIHEGLCSFPEIFMPVSSLLQQIMEKSELPALLQEIFQDVIDLIKKKSDEHHASREPLQMRKQKPEPIKQLNPKFEENYVKGLDYDPDRERARLKKLRKQVKSEKRGAMSELRKDSYFMAAVKEKERMKHEQERSEKYGKAMAFLQEQESAFKCGQMGKGKKRRR >SECCE3Rv1G0185790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:678193817:678194641:1 gene:SECCE3Rv1G0185790 transcript:SECCE3Rv1G0185790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPELRRSMTLSEQLSTPDPAIREFLKIPADNSHLSDDAGGGGRAAADGGGMINWKPLRDRLRLRRSVNAWSSPSQRPSMTDGAGSLKNNGSGSHRSHKYIYAQGEATAAFSRTSSLRQTPAFSRAASTRAGSNATIGRSAPVVDGEGSEDESEQDEEEEEGKEEEEAPAAQMSLMALLEQTDSWDEDEEEEEAAAGGGASKNAHADEDEDDGEGREEEMVHVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGFIQEILDIF >SECCE3Rv1G0187170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:695449177:695451035:1 gene:SECCE3Rv1G0187170 transcript:SECCE3Rv1G0187170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G78630) UniProtKB/Swiss-Prot;Acc:Q9SYL9] MATAISASALLSSAFSGDRRHRRAARPAPRRAVPAGLTVRCEQSDKQKRQPLSALVPREQRFMFEGDELCGPDIWNTTWYPKAADHVTTEKTWYVVDAEDKILGRLASAIAVHMRGKNEPTYTPSVDMGAFVIVVNAEKVAVSGKKRSQKLYKRHSGRPGGMKEETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGSEHPHVAQKPVPLPIRDKRIMKSA >SECCE7Rv1G0456130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9420068:9420579:1 gene:SECCE7Rv1G0456130 transcript:SECCE7Rv1G0456130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRFRDAQSGGSVVCLTQIIGAERGLYPGAAAYGTSLGAVHQLVRLSAMELGKHNIRVNAVCRGLHLGDKFPVSVGEEKAEKATGEVMPLRRWLDPEKDLAATVLYLVSDDSRFMTGTTIYVDGAQSIVRPRMRSFL >SECCE6Rv1G0446350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:843667336:843669643:1 gene:SECCE6Rv1G0446350 transcript:SECCE6Rv1G0446350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVQKLFHVSLLLLLCAAKATNPEAEAEALLRWKSTLVGANSLSSWSTANSTCSWFGVSCDASGHVTELRIPNAGLNGTLDYLYSAAFLNLTKIKLNNNNLVGVIPANISKLLTLTCLDMSSNNLVGSIPRSFSRLQNLKALRLSANNLTGGIPEELGMISGLRNLVLYSNPLGGSIPASLGQLQLLHMLHIQNASLVSALPPELGNLTSLKYMILSQNQLYGSLPPSFARIQTFDSLCQELEFFDGSENLLTGSIPREIGSMRNLQALFLWKNHLTGIMPSDIGNATSLKFLDVSSNHLEGELPKSISLLVNLVALSLSGNKFTGTIPNRDSKQSPVVKVAKSTDDSSFPGESRSAICGLTLLQLLDLSNNKLSGDLPGCFWNLKYLQALDLSGNAFAGEVPYSTFYNSSLRSLQLSGNNFTGWFPAVLKNLKSLVVLDLGDNKISGVIPPWIGESNPSLRILSLRANMFYGSIPWQQLSRLPHLQLLDLAENNFVGPIPESLVNFSLMRQTFVMQPVVTNVIVLTTYRYFYFYNGSMDIIWKGREYTFEGRHAFVTGIDLSANYLSGEIPSELTSLKGMQFLNISRNNLSGSIPKDIGNLNLLESLDLSWNKLSGPIPPSVSNLTSLTALNLSNNLLSGEIPTGRQLQTLEDPSIYGNNLGLCGPPLSIPCTNNWGSTAPVDGEHEHETVWLYYSVIAGTVFGFWVWFGALFFCKIWRFAFFNRTDAMLRIVVHKMKRT >SECCE2Rv1G0089750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:261425181:261426722:-1 gene:SECCE2Rv1G0089750 transcript:SECCE2Rv1G0089750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQRAIKRCKHFEIGGDKKGKGTSLF >SECCE5Rv1G0364860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803407308:803408441:1 gene:SECCE5Rv1G0364860 transcript:SECCE5Rv1G0364860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGRGRCMDGLPEDVLHEVLSRVGNVKALFMLAVTCRRWLRRFTDPTFLRGLWGVGHRARLLGFFFHLAFLPSPGSPLCALTSFDDDGTFNYAEPLAARRGIVLMRLVPRTRLLGLCNPITGERHVLPPVECSGEVYTYAIITAADSDPDGKRFAFAQLLLVTLPKKSQVYLHSYSAATRSWSPPTMCPKSFSVVGERSAVVHQGAAHWLCINRAENLFYTLSTEVGKQPCVLSLTKLPQVRVGGSPLLCVSRDGQLSVACVYPMHMTVWTQQQGHGEWLRTAVIRIPMAVPNPSPNRREKWFDFNRGSMLVLYRSSGVFILDLDKKVMEKIMDDCLLPEYSYLLNKTASVAYEMDLVEFFLLHLGGLCRGRLIE >SECCE3Rv1G0165730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:177873752:177888536:-1 gene:SECCE3Rv1G0165730 transcript:SECCE3Rv1G0165730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFWRPGSEKPSASLVDDEEGGVLFLPTNTNASSSSSGFGYASLERLRQRLPVYKYRKAILYLVERHATTIIVGETGSGKSTQIPQYLKEAGWADGGRLIGCTQPRRLAVQTVASRVAEEVGVKLGEEVGYTIRFEDETNPGMTMIKFLTDGVLIREMMEDPLLSKYSVIMIDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIEARSMSTFFSNRRKNSLLKPADGLPNPEPAILSVEGRGYTVETHYLEEPVSDYLQAAVNTVLIIHEKEPPGDILVFLTGQDDIDAALKLLNDEIQHLGKHYFDLVILPLYSGLPRGDQDLIFAPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDLESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQSDGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEILFSLGILDEDAKLTVPIGFQVAEMPLDPMISKMILSANDFGCSDEILTIAAFLSVQSVWVSMRGVKKEFDEAKLRFAAAEGDHVTFLNIYKGFHQSGKSSQWCYKNFLNHQALKKVIEIRAQLVRVMKRFGIQLKSCDRDMQAVRKAIIAGSFANSCHLEEYGQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPAPH >SECCE4Rv1G0284500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:839808254:839808732:-1 gene:SECCE4Rv1G0284500 transcript:SECCE4Rv1G0284500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQEKFFHDQVENIHKALEDKEREFERLLQEERAKARQCDVDSGTNENRKLRKEHVQRFMDSQVKDVQEFEAEADKLIKAHEEKKVQLKKEYAAKEVELEKEFDAAFTALMEKHKPDTFQASNTS >SECCE1Rv1G0005680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:27302565:27303129:1 gene:SECCE1Rv1G0005680 transcript:SECCE1Rv1G0005680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKAKKVAAKKFGGPRKKSVTRSIKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRVVPRHLLLAIRNDQELGRLLSGVTIAHGGVIPNINPVLLPKKTAEKAEKAGAAPKSPKKTTKSPKKATKA >SECCE7Rv1G0522680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878932477:878935512:1 gene:SECCE7Rv1G0522680 transcript:SECCE7Rv1G0522680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSGCLILATTMALGLVVLLATPSECARAFLVFGDSLVDNGNNNYLMSTARADSLPYGIDYPTHQATGRFSNGLNIPDIISEHLGAEPTLPYLSPELHGEKLLVGANFASAGVGILNDTGIQFMEMVRMSRQLQYFHEYQGKLRAQVGASQARQMVNRALVLITLGGNDFVNNYYLMPFSLRSLEFSLPDYVRYLISEYKKILVRLYDMGARRVLVTGTGPLGCAPAQLARSRGGGCDADLMRVAELFNPQLSRVQEELNARYGSGTFIAANTFRIHFDFISDPAAYGFRTATEACCGQGPHNGIGLCTALSNVCSDRNQYVFWDAYHPTERAYRIIVSQFMTGSLDYVSPLNLGTALHIDASLMD >SECCE6Rv1G0415700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:643646292:643650232:1 gene:SECCE6Rv1G0415700 transcript:SECCE6Rv1G0415700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGFSAPSGMEFEAKITPMVVTSCVTAATGGLMFGYDIGVSGGVTSMEDFQREFFPTVLRKSRENKGSNYCRYDSQGLQLFTSSLYLAGLVSTLFASYTTRRLGRRATMRIAGAFFIVGVVFNGAARNLGMLIVGRILLGCGVGFANQAIPLFLSEIAPTTIRGGLNSLFQVNITVGILFANLVNYGTNRIRPWGWRLSLSLAGFPAVLFTLGALFMVDTPNSLIERGRQEEGKVVLKKIRGTDNVEPEFNEIVEASRIAHDIKNPFRSLLQRRNRPLLIITVLLQMFQQLTGINAIMFYAPVLLTTLGFKVEASLYSAVITGAVNVLSTFVSMYTVDRVGRRMLLLDGGVQMLLSLVAMAVVMRTKVTDRSNHLGHDWAIMVVIIICNFVSSFAWSWGPLGWLIPSEIFPLETRSAGQSISVCTNLLFTFVFAQVFLSMLCHLKSFLFVFSSICVAIMSLFVLFFLPETKNIPIDEMAERVWKQHWFWKRFMNDRGDNHIISGEETPSTVSLFSQFVE >SECCE4Rv1G0264300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:720110063:720115773:-1 gene:SECCE4Rv1G0264300 transcript:SECCE4Rv1G0264300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWHWMGSFKYLVLAPAALHTAHSVVTKGWGDMNLVYAAMLPALLLRLFHNQIWISLSRHQTARRKHIIVDRSLEFDQVDRERSWDDQAILATLFFYLAYAVIPSVRLMPMWETKGAIIMALIHIGPIEFIYYWFHRALHHHFLYSRYHSHHHASIVTEPITAVIHPFVETLAYFLLFSIPMLIPIYMAYGSVLGVVLYLAYNDFINNMGHCNFEMLPKWIFERFPPLKYLIYTPSYHSLHHTQFRTNYSLAMPIYDYIFNTMDKSSDKLYERTLIGTEETPDVVHLTHMTTLQSSYHLRVGIAAVASRSSDNHVWYMWMIWPMAWLLMVLGWVYGSSAFVVESLKLKKIMMQTWVIPRYNFQYGLIRERESINRLIEKAISDADRRGVKVLSLGLLNQEKQLNGSGELFTQKYPKLRVRLVDGSGLATAVVLKSVPLDTKRVFLCGGGSKVAHATAIALCQRGVQVIMNQKKEYDMLKLRVTESSTAYLQFSIDEIPQIWIGDTIDDKQQMGAPRGATFIPTSQFPLKKMRKDCTYLSNPAMKIPEAMQNVHTCENWLPRRVMSAWRIAGMVHALEGWGIHECGDDMMDIEKVWSAAIKHGFSPL >SECCE6Rv1G0409140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:582316974:582319706:1 gene:SECCE6Rv1G0409140 transcript:SECCE6Rv1G0409140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIVALPAVPVPGRRLHPNLKPRRRSTLVAVLGSCRCGRRHLLGASSAAGLLHLVNPPCLAAPAIDPDVMLERVHPARPGWYEKLYATAMDKGMQPYEAEIAKYKSNLFSQLSVAGENILELGVGTGPNLKYYASAAGVNVTGVDPNMYMEEYSRAAANSAGLPQSNFTFRRGVAESLPAEDGSMDAVIGTLVLCSVKDSDMALREIKRVLKPGGLYLFIEHVAAPDGSFLQFVQGALDPLQQLVADGCHLTRKTAENIEDAGFSSLSLNAVRLSSAYIVSPHVYGVARK >SECCE5Rv1G0352940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:712778093:712781014:1 gene:SECCE5Rv1G0352940 transcript:SECCE5Rv1G0352940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQNTTFVLLMLCLAVLGRAEYLKYKDPKQSIGVRVNDLLGRMTLAEKIGQMTQIERENATTGVLSKYFIGSVLSGGGSVPSSKASVAAWQSMVNEMQKDAMSTRLGIPIIYGIDAVHGHNNVYKATVFPHNVGLGATRDPELVKRIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPNVVRSMTTIISGLQGDDPSDTKGRPYVGGSKKVAACAKHYVGDGGTFMGINEGNTIIDNDGLMTIHMPAYYNSIIRGVSTIMVSYNSWNGKKMHANHQLITDFLKNKLKFRGFVISDWQGIDRITTPEHLNYSYSIEAGVGAGIDMIMVPFAYTEFIDGLTSQVKNNIIPMSRIDDAVYRILRVKFTMGLFENPYADPSLMGELGKQEHREIAREAVRKSLVLLKNGKSAYTPLLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGLTGNDNTTGTTILSAIKSTVDPSTQVVFSENPDSAAVDSGNYDYAIVVIGEQPYAETFGDSLSLTIPAPGPSVIQTVCKSVKCVVVLISGRPLVVEPYIGAMDAFVAAWLPGTEGQGVADVLFGDYGFSGKLARTWFKSVDQLPMNVGDKHYDPLFPFGFGLTTEAKK >SECCE6Rv1G0453810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:884762043:884763717:-1 gene:SECCE6Rv1G0453810 transcript:SECCE6Rv1G0453810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGESVLEHILNGDEEPKDLPLALLQSITNDFSEENKIGQGGFGEVYKGVLRNGVVVAVKRIHINVDTIDDKLFRREFNSLWKANNHQNVVRFLGFCSNSYHTTIVKPGSEEIKLANVRERLLCFEYISNGSLDQHITDELRGLEWETCYDIITGICKGLCHLKETNIIHMDLKPANILLDDHMIPKITDFGLSRPKENSHTTGQRFGTRGYLAPEYDNAGKTSVKSDIYSLGAIIIELVTGSMGVPDKNNVLRRWRHRWSKPPTLLQYQQVTRCIDIAVRCRQQEPGHRPSVSEIISTLTESESTDGQSDQVS >SECCE3Rv1G0196540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:809321152:809321694:1 gene:SECCE3Rv1G0196540 transcript:SECCE3Rv1G0196540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEASQALRWRYGELDDGSFSVRGARGVPLLATLFAILVFFVGVCVYLRWACRRYNPDATLPSFYSSSSPTSSSAAAPGAHSAVAGLDAAAIAGLPVTLYRHSPSASPGREDDETQCPICLGEYADGERVKALPRCGHCFHPECVDAWLCSSPSCPLCRGSLLADTSTVKIVDPSEAV >SECCE5Rv1G0363820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:796630331:796630960:-1 gene:SECCE5Rv1G0363820 transcript:SECCE5Rv1G0363820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPVAGAPATGAADPYAPPQATYNFSARLALTVSLVLLMITVVFIVIPLMVYLMITRSRRGGHGLAGGILRSISMISSRRHGLDASALSALPVTAYRQESGAAVRAECAVCLAELADGDEARELPNCGHLFHLECVDAWLRTRTTCPLCRAQAAGLPGEDGKAQTSSVAAAEPVLVGAAGGSLIVTVPASCTQKDARVSTSGSPCYK >SECCE3Rv1G0207430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:920799498:920799872:-1 gene:SECCE3Rv1G0207430 transcript:SECCE3Rv1G0207430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVVVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE4Rv1G0266510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:731966540:731970438:1 gene:SECCE4Rv1G0266510 transcript:SECCE4Rv1G0266510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYRPYLPSSSHDRVPLGGYPGYVPPEESSYYASKMAALRGVPNVPRVDVPLQSRAYGLDVPAGMSRPAYGLDVPAGVGHPAYGLDVPAGVSHPAYGLDVLAGVRHPALVGLGALPAGARPRGPSPLEDPALVQRNSSLGKSVSVPEVEPPKPVLIVDRPSEDESNILFVDGLPTDCTRREVAHLFRPFVGFKDLRLVHKEPRRSGDKAYALCFVEFIDAKCAGTAMEALQEYRFDERKADGPFLKIQFARFPFRPPPAHEDRKRVSAR >SECCE5Rv1G0350840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:693423942:693425069:1 gene:SECCE5Rv1G0350840 transcript:SECCE5Rv1G0350840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKGGKALVQSDAGAYVTWSGADHPKLAAEGLGCGLLVLRPLSFALPHYADSNKFGYVLRGSGVAGVLPVATGDASPAATERVVRLEAGDVIAVRTGDVSWWYNDSDGDADDLSILFMGDTERAVSPGDISYFFLAGGNSVLGGFDAGLLAGAWPGVTKEQAAAVFQSQPAVLLTGLSKKLPGVYPGEDDRKGLVVNAGQVAAGILKTLTAADLAALGGLGITAVLGRLEPGAARTPWVLREGAAQAVYVASGSARVQVSSAAGGETLLLDEEVASGSVFVVPRFAVTLISAGADGAEWVSLIKSARPALEHLTGDGSVLGALTAQVVQASLSVAPELVGVRRAEPSR >SECCE2Rv1G0134030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:899463460:899464166:1 gene:SECCE2Rv1G0134030 transcript:SECCE2Rv1G0134030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVVKLDVHDDRLKAKALKAVSGLHGIDLLGVDMKDQKMTVVGTVDPVAVVAKLRKLFPGAQIVSVGPAKEEKKDDKKEGGDKKDGAGGDKKPAGDKKEGDKKDGGGDKKDGDKKQQEAKPAMPVYPPYGYAHYGYPPPPPPRYVVHSAEEDPNSCVIC >SECCE2Rv1G0117430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:772342946:772344121:1 gene:SECCE2Rv1G0117430 transcript:SECCE2Rv1G0117430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAPAQPRNGGDDAVSARLQRALELLFPSNLAGKAVLFAVVVALLRMLPTSQTPGIWDLPHILLLGLVISYGVFGQRNADADVVPPVVPSSKIVDDDESVEAFVSQILQGPLVFEGNSGAGGSGGARDGGVQAWSSQYYSNDPLVVVADADDASEKPLLLPVRKLKPSVTEESAPGNVSDDGTSEEAEFLPKEGKEYGGAREHAISSPSSVLDAGLTLSPCSPPPPPPPPQLLGGARGLGKARARSFNEYGVGDMSMSGRAGLRSRFRSNSSIQATRRSTFTGYDPVAPSDDQADADDEVDDMDAASDSSFSSDDMARDGDDELDEEEDDYDEDGERREGDNSCDEELFELATRPEPEEDEVDKKADEFIAKFREQIRMQRVQPGPGRR >SECCE1Rv1G0056970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:691452029:691452490:-1 gene:SECCE1Rv1G0056970 transcript:SECCE1Rv1G0056970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGLAYAMATLLLIGCLVVVGHSRSIYQDHHVNNITGNATAVESPLEEDKLALKWCVSRECDPNFPREGYNQPCTCCFSLPGAPCWHTFEECKAKCPTCHPEC >SECCE1Rv1G0016570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:139658886:139660166:1 gene:SECCE1Rv1G0016570 transcript:SECCE1Rv1G0016570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGFTRFDVEKFDGTGNFGLWQTRVKNILAQQGILKGLQETKPAKVDNDVWEDMQVQAAATIRLCLADQSATNTLYLKQKFYGLKMQEGSDLVEHVNAFNQLVTDLVRLVVKIEDEDKALLLLVSLSPSYEHLVITLTHGKTTINNEEVTAALLAHELRKQKNATEESTQGLGLAVKGYQLRKGQEAEKKKKKKVQCYMCKDWGHIKRECPELKGGASANAATHGDDSDSSSDVLVVSNRPSTKTKAWMLDSACSFHATPNKEWFCSYKSGEFGLAYVGDDTGYRVAGVGDIKIKMFDGVARMLRGVGHVPELRRNLISLGVLHDGGMEFRCDRDKKTMEIMEDGVTVMIGERTASHLYKLHGSTVAGGAMKSGAMKSGAAGVAMESHSGGGSGPSDSSQ >SECCE7Rv1G0463510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:54517140:54518750:1 gene:SECCE7Rv1G0463510 transcript:SECCE7Rv1G0463510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQLQVLSALDGAKTQLYHFRAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYADPSSPTPGSLPPNVAAAVNGVALCGTLAGQLFFGWLGDRLGRKSVYGMTLMLMVVCSVASGLSFGHTPASVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGVVTLVLSTVFRNAFPAPAYQVDAAASTVPQADYVWRIILMLGALPAALTYYSRTKMPETARYTALVAKNAKQASLDMSKVLQSEIEAEPEKLDEIMARGEDYGLFTSRFAKRHGLHLLGTAAAWFLVDVAYYSQNLFQKDIFGSIGWIPKARTMDALEEVFRISRAQTLIALCGTVPGYWFTVFLIDVVGRFWIQLVGFAMMTVFMLGLAVPYHHWTTPGNHVGFVVMYALTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQSPDPAHVDAGYKPGIGVQKALYVLAACNLVGFLVTFLVPESKGKSLEEMSGEAYAEEGNAANNVRPSGEQLV >SECCE6Rv1G0443480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:822952717:822953937:1 gene:SECCE6Rv1G0443480 transcript:SECCE6Rv1G0443480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTAISDHLLVEIFLLLPGPADLARASATCVSFRRLTTDGSFLRSFRRLHAPPLLGFLEPGRFHPTLQPHPSASAAHAFALAADLSFSFLPSHCRWTVQDIRDGRVLLHREPRKGEEPPVFRELAVCDPLHRRYVLLPPVPDDLAASVEDPIPMVHRLQCKSSLVPLGDEETAAAEATSYRVLWMTYSKTKLAAIVFSSSTGQWQVTASNHWSDLVIGRGELTIMPYVQPIHLNLHCACGCLYWDWLIIGTKKLLVLDTRRMEFSITHLPPGEWSWNRTAIVEAGEGRLGVFGLDDEISSHLRYTIAQNKGDSPSKCQMVKTISLESGYQYYIRDSTERYLLLTRTEEGFFHKYPRKNPLVEYFSMDVKTLQLQRVCAKQVKTMHFPLIYTNFPPSLLSCRTI >SECCE7Rv1G0509170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:777961272:777964451:-1 gene:SECCE7Rv1G0509170 transcript:SECCE7Rv1G0509170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRHPSSVLLLLLVAVLVAPLVVASAGPSSPSAFLEDDVVLGAVARLGKGANASGRGGPSCDNVDVDISAVVGGSSSRASGWKEEIAGLAARPEMAAWLRRVRRRIHERPELAYEEVETSRLVRQELDGMGVGFRYPLARTGLVATIGTGRPPVVALRADMDALPIQEAVEWEHKSKNPGKMHACGHDAHVAMLLGAASILKARERHLKGTVKLLFQPAEESGAGAKRMIEDGALEGVEAIFAVHVSHQHPTSVVGSRTGPLLAGCGFFKAQIRARRAGADPVLAASSTIISLQSLVSREADPLDSQVVSVAMVNGSFSGGDPAAPLVLGGTFRAFSNASFYTLRRRIEEVMTLQPRVHGCEASVDFFENQSFYPPTVNHPRMYAHVKAVARALLGEGGYRDVPPMMGAEDFSFYSQAVPAGFYYVGVRNETLGSVHTGHSPYFMIDEDVLPTGAAVHAAIAERYLAEHSSDPRSPEQEEL >SECCE5Rv1G0303040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:44310790:44311761:-1 gene:SECCE5Rv1G0303040 transcript:SECCE5Rv1G0303040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPHAACSLLLGLLIGLTRPSPCASSLYSPPPPDMAYHHGGVLDGTVPISVLYYGAFSPHHKAVLADFLHSLSPRSRPHAFGAPSAAASSSVAQTGRERTRVMLTNQVSDEGCSLGKHLSRLQVEQLAARLGVAPGGIAVMLTAADVAVDGFCGSSCGLHGSLAPGGAVHVWVGNAAVQCPGRCAWPFHAADPAAAATAGPGRHRGGETPLRAPNGDAGVDGMVINLAALLAGAVTNPYGHGYFQGDAGAPVEVGGGCPGVYGRGAYPGYPGAVKLDAATGGGYNVVGRNGRRYLVPALVDPANYSCLIMA >SECCE5Rv1G0330390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:528579563:528584602:1 gene:SECCE5Rv1G0330390 transcript:SECCE5Rv1G0330390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGAHMDLEAAPGGVPATTPPPPADKQTDVGNVRKDLFLAYKTLGVVFGGLVTSPLYVFSTMHMSSPTEADFLGIYSIMFWTLTLIGVVKYVGIALNADDHGEGGTFAMYSLLCRHANMGILPSKRVYSAEEQLLHNQSKTAKGPSNLGKFFERSLTARRVLLFMSILGMCMLIGDGVLTPAISVLSAIQGLRAPFPAVTQPVVAFLSAAILIGLFLVQKYGTSKVSFLFSPIMAAWTFTTPMVGIYSIFRYYPGIFKAISPHYIVHFFLTNKKEGWQMLGATVLSITGAEAMFADLGHFSKKAIQIAFLSSVYPSLILTYAGQTACLINHLKDTDQESIGKVFDDAFYKFIPRPVYWPMFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSDENEGEVYSPETNYILMVLCVGVILGFGGGQAIGNAFGLVVIMVMLITSIMLTLVMIIIWRTPPVLVAAYFVPFVIMEGSYVSAVFTKFTEGGWLPFAISMILAWIMFVWYYGRQKKTEYERANKITAERLGELLAMPEVQRVQGLCFFYSNIQDGLTPILGHYISNMSSLHSVTIFVTLRYLLVPKVDPQQRITVRRLGPRGVYQCTVQYGYADNLSLKGGDDLVAHVVSCLKQHIQASDDGQWSPVSAEDEAADLEAARSAGVVHVRGKMRLYVGGDAGWFDKVMLRFYEFLHSICRSALPALGVPLQQRVEIGMLYKV >SECCE1Rv1G0039620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:550812774:550815977:-1 gene:SECCE1Rv1G0039620 transcript:SECCE1Rv1G0039620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFGRWESDPLFPAAECVQDSADRMDGVYRLLLHEKKVIQDDTSDAKLHAPIQYERDVATALGTTKWQLEQFEREVNAAAFSDKSTSRENAILKFRQFIRAISEQISEVEESLKTLRTDFSRTPKSPYSSSEHDGDGLASFLSGGNNHSHAYYSADTNEITELKLDSAPMANGYHSAQEHTTRELRYSGNDVEGASILQCSRGESASEGDHNGSTLCSLDVDDSLGTSHHYKNKLSSQYRSFMRNLWFTNRDHESFTKRRKDGEVVDGLRNGNILPPFNLRTSGRTTYCWPELIKRTLSRSEYSTRYNHPQLFVATSLLVALAVLGLLVLHAK >SECCE1Rv1G0038060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:534713205:534717194:1 gene:SECCE1Rv1G0038060 transcript:SECCE1Rv1G0038060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVNQNCFAWPHEESSGQDSSQGTTQVFDHGSISFGRFDLESLAWEKWSVFTNDRRTEEFVKFNGLVAKKKAYFEEYFKRIRELKALEQQNQQTELNLEYSGDGSDSSQTGEDEPVAKHASPAGSGTHVDDSMGQIAAETTPEHRLGCYKDHNESISNGISGTTRSSSVGSLQIIGEETAENASSDKQNAKCGQDDLVMPNEATMTPKRIIEKCSRISQASKIIPKTVKMTSSYNPDHTFVSKGPESAKPIVINQKTKPGNIQSLRNPKAATTNVIGTTGRTKRVPKEDPGAAALRRPSSAASQRPSTRERRPVTRDGSRNPAGMDSSCRPSTAERRLATRDLAANQTNIASPCRPSTAERRAITKELAPKHANVATPRRPSTADRRPITKEPASNNANIATPRRPSTPDRRLTTKEPAPKHANIATPQRPSTAERRPTRREIAPKLAGLASPCWPSSAERQPVARGIPEKNADVVALRRPSTAERRPIKREAAPKHADVVPLRRPSTAERRPVARDTGLKHANVSNPCCPSTPEPCLSRGSAAKHADVVITPCRPSTGERRAVAKENTLKLDPKTPIRLRAMPGNSNGAMATAATPQKAITRNRVKTSKPEMKSYAKERLELQVGGKLKSSSVNLPPRKVLTSNVGANRVVENIRKPNKQGIQETVGSRVLASKNATPLQTGSTKTRVPNPPPPPPPRRASQSPSKPSPNKLSVGGRKPKASTPHWH >SECCE7Rv1G0501510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:683835296:683835799:1 gene:SECCE7Rv1G0501510 transcript:SECCE7Rv1G0501510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTLKEEKNGNFEREFVKCESKSEGQIVKKCYHFEWMDDYIQRLQGLGLLDSTGNAIGEFNLPHDSAAPAAAARLEYPMVVDVELKVELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISH >SECCE2Rv1G0077240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:98434875:98438091:-1 gene:SECCE2Rv1G0077240 transcript:SECCE2Rv1G0077240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADNNAGQQPDGGRGRAPAPEQREVKVVVVDEPPAAVSRLQAQRPVAPLQVTTQAPPPPMSVASGGVDLPPPAAAYPPVMQQTPPQPLASLNSRVYTNQITLCLFLLQLAAVGLAVGFFVYRAVKDIVQDPRSRNARREESLLRQWLPPVEGAVALSIVLAFAWQKAVRAWPRAMVTVILWSAFGVTLAVGALLMCFSMPATVGLGVALVVFSIGTGLYACWVTRRVGFTARVFEKAVQPVDKFRGLNGPAYLMVAAGFVWISVWCVAVIGAVNFRFPGLTILALVVSLAWTAEVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRILARGLNLLEGEDEFMFSCAHCCLNVMNAVFEFGNSWAFVHIAAYGRGFVQASQSTWKQFEGQPGMPALADADITSSVCFLTGVTSGALCVALAGSWTFVTHRHYTATVSLMAFYVGYLMTRIGMALPQACVGCYYVCYAENPRSRLFEDSPIRDRLKKMEEDGLDAAVLAPTPRFPHQHVSAA >SECCE2Rv1G0075250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:83282888:83285775:-1 gene:SECCE2Rv1G0075250 transcript:SECCE2Rv1G0075250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRISTRRWGPYRRAGQASSSGAIAVDRLSALPDALLHHIMSFLKAWEVVPTCVLARRWRHLWASAPCIDLRVRHSSFRDANLPEEFCDFVDSLFLHRDVSASVDTLRLRSSDDYVGFDEKDTNTWIRTAISCKARVIHLVGHRKGVASLDRVSFVSCHLKILKLSYARLDDRILGQLSSSCKSLEEMDLKDCLVTGPGIVSTSLKTLIMLKCTFNWDFSVTAPNLVLLRLITPSVRVPSFTNFGSLVTGTIILDDSLLSDDFGYISDEDNFDETTDDDNHNDDKRENYKIHDDCSLSDDDFGYINDDNFGYISDDNPGYISDDGDFDKFGFGYGFPEGRYGHSRYKDNYDYGSDIDSDDNTYEYSVIANDAKYGYKGAKLSSEDGKYGGNSGRNYSKILGGHNMLESLSTATSLELLTDAGEVVFSRELRRCPTFSTLKTLSLGEWCMAADFDALIFLLQHSPNIKRLFLQLKLNFGMRKALETGIKLERRSFTCKDLRMVKIKCSKDDRRVHTLAHMFSANGIPLQNIYVRRSGNAHLRGQKFMRELAKQELDECGDDWM >SECCE4Rv1G0241560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:454466907:454475141:1 gene:SECCE4Rv1G0241560 transcript:SECCE4Rv1G0241560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDAQLRFNCRKRPLSADAGLHDHLPGQQRKRRGARVLVPDCFLVPPPEAISSDFDMWDYIRSIPDRLGWGNGLSLSLLKGWVRASKDVPQDPPDLTFLTKNNDVKGRVVGNWKIDNSRKVALKAVKPRWKDLLEETRRNDKRLVEIDDEVALLEKTLVEQRKLAEPPKEDLSQFFVPLTAEDEKEVQDCLYGNGSSSKVLVLHEPSNIEVSRETLRCFRPHGWLNDEVINLYLELLKERGIREPKRFLKCHFFNTFFYKKLACGKTGYDYKSVKRWTTSRKLGYELIECDKIFVPVHQDVHWCLAIINMKAKRVQYLDSLGGNDLRVYEMLARYIVDEVKDKSNKEIDISSWTKESIDGIPLQENGWDCGMFMLKYIDFHSRGVSLSFGQEHMEYFRRRTAKEILRLRAD >SECCE5Rv1G0336200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583009861:583012281:-1 gene:SECCE5Rv1G0336200 transcript:SECCE5Rv1G0336200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPKDSPSQQDDDSQGGEVMRSLDDLPEDICRRIHARMSLQNAARLACASHTFRRSWRCYPNLDLRQRTLGLNGDHISKVDHILQNHSGVGMKKLRIELFDCDKVDSSYISSWLRIAVTAGIEELTLYLPAAPEDEAYYSFPCSLLFNGSENSIRYLDIGICAFRPPAGLGRWRSLAILYLSNVLIADDELEGLLYNCAALEHLGLLNCPEIVCLKIPCLLRRLRVLRVTICRNLQVIDSNAPNISIFHFSGSLVSISFGSALQVKNVHMECLEFGQSNIVLHARTKLLSYAPNVETLVISSPNEMISTPTLSRKFLHLKYLHISLIANEAISPDYDYLSLVSFLEASPRLETFILEVRQPSMEHESVIRNASQLRRLPQQRHTSLKSVTIVGFSSAKSLVELACHIVENATSLELLTLDTSHGCQSSGGCSVNIPLRRYYTESGALEAASPYMCIPMGEDIIMETVKARLAIRVHVTGKVPSGVVLEVVEPCNWCFGVEDLDA >SECCE4Rv1G0286790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850724452:850730551:-1 gene:SECCE4Rv1G0286790 transcript:SECCE4Rv1G0286790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAEAAMAVVRAARPIFRGAHDGIAFAANAAFLADGYSLCAAGSAALMDRLPADQVEVGFDGWNSMYNRYAFLYSKEEEGNKKYILMECLVMEDFLAINALDREAQHKEPCNVQINVKDFISGEQHKNYKDMYKNFTGFINIISSSLLVELGRNDAAAAHVPDVENSSSINSSGNVMWQYPNTRVTEPAGSEYVVWETRNTRMIEPARMIYPPIVLVGHDDTFPVPGVSFYRHSGGTGGSMHVGPNDPRFFPANPSTPLGDLGSVPPGGRYDPIGPPDFPGFEPSRFVRHSRHSSHSGGSTHPDLEFFQQGPDFF >SECCE1Rv1G0045140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:608522855:608523382:1 gene:SECCE1Rv1G0045140 transcript:SECCE1Rv1G0045140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKALILITVAVTMLGTALGASHTVGAPHGSWDLQTNYSQWASRIRFTIGDELKFQYSNTVHNVVEVSKAGYDSCNGTNPIATFPSGNDVVPLPAVGIRYFICGVPGHCVAGMKVQVNVLSKKVRTVQRCRRIGNRRRCQNETVLSSAAAAHFDHSAVARLSLAVLVAGFTLFF >SECCEUnv1G0556800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:315649358:315653469:-1 gene:SECCEUnv1G0556800 transcript:SECCEUnv1G0556800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGSPDADDPNCGGDGAEPSRTLQESDDRYSAPASPLSTRPSGQPPGTSVVANEGSASSSAWGSDDDDEPADRYKNPASASFLLGPSSSTMGASSSSSSFSSDSWIGSDRTCGGASTSSSLDPSNYMDFDRNPLSEWMYNQILEAPFWSHEACDAVRASWRDNYEACDDFSMVLQTSQNGCKKILQKEPLSSLPHEFENEIMKDKAKKLSANYSEYRKVPGDGSCFYRSFIYSYLEQLVKVSHEEELRLLGALEPMWEKFQRLHLPGSYSNLRDAFVGFILECMEQKQKLSVSAYQEWLFQESQNEQKFANILLYLRLVTAIEICTEVEQFKSFITDLDQGKPDATKYCLEEVLPVTEDAQQVNLTALTNVLRVPLRVVNIDVTPIEEPNIHIIYESPDSSVPTVTLLYRPGHYDIIYEK >SECCE6Rv1G0391140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:207134995:207143118:-1 gene:SECCE6Rv1G0391140 transcript:SECCE6Rv1G0391140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLAPPAVPMELHAGNRDRLVTALRAHLSTTAGPPRGLALLQGGEEQTRHCTDHLELFRQESYFAYLFGVREPGFYGAIDIASGQSILFAPRLPPDYAVWMGEIKPLSHFKDTYKVDLVFFVDEIVQVLQDQFSEHGKPLLFLLYGKSTDSGNYSKPASFEGIEKFDTDLATLHPILTECRVTKSEMELALIQYANDVSSEAHIEVMRQAKPGMKEYQLESIFLHHSYRHGACRHCSYTCICATGENSSILHYGHTAAPNDRTLNDGDMALMDMGAEYNFYGSDITCSYPINGKFNSNQAIVYNAVLKAHNAVISHMQPGVKWIDMHKLAEQTILESLKKEKIIHGDIADMMTRRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTIRELKEGMVITVEPGCYFIDALLRPARDDPISSKFFNWEEIEKYKSFGGVRIESDLYVTAQGCKNLTNCPRETWEIEAVMAGTPWPPRASATKAENGLPKA >SECCE3Rv1G0182030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:621685022:621695358:-1 gene:SECCE3Rv1G0182030 transcript:SECCE3Rv1G0182030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGSDKAGFFTEYGEATRYEVCEVVGKGSYGVVASAVDTHTGERVAIKKIDDVFEHIADATRILREIKLLRLLRHPDIVQIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLSPEHHQFFFYQLLRGMKYIHAANVFHRDLKPKNILANADCKLKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGRPLFPGKNVVHQLDLMTDLLGTPSAESISRIRNEKARRYLGNMKKKHPIPFSQKFPGVDPMALHLLERLLAFDPADRPTAAEALADPYFTGLANSELEPTTQPISKLEFEFERRKLAREDLRELIYREILEYHPQMLHDYLRGGDQANFLYPSGVDRFKRQFVHLEEIGAKGEKTSPQLRQHASLPRERVIGSDDPEKPNADYCIKLHVGELPGHTSVTDGLNKPLLNTRNFLKSESIGASKCIVVKEKREKDEEYMSEYMHEASD >SECCE4Rv1G0223870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:74913479:74918370:1 gene:SECCE4Rv1G0223870 transcript:SECCE4Rv1G0223870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASCLRPPLSSGRPASPGARLGFPPPPHPAQLYPAGLAIGSWRRRLAGVGVAAASASPFDELYARGRPIHGASKKSTLWNLIQDIEPLDLSVIQKDVPLETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAECRLSFERNLELSEEDAECEKRDTAEDNLHDINLGRPVTIFRLSEDDMTQDSGKSDEESSGESMGEMLSNLTPQAEEQIIRLQSRLDAMKKELHDLKRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTCPGVQEAIQSVVHGLLATLSPKVHSKSPPPLENAGGTLNRGGEDDDCAELVENTSLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAHLLRISSDVGSFPIDDDHFI >SECCE1Rv1G0035590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:500015509:500015919:1 gene:SECCE1Rv1G0035590 transcript:SECCE1Rv1G0035590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIRAKWFLTGVPVGFWWGWKKSSEWELEAMVESVLPRPVARHVVDLLPKATADARHKARLEESIRENARKQEETIRRQDEALRRMEATAMRQEQTIGLLHAVIARLQEAEDQRLLQKQGLPTATPAPPPPPKQQ >SECCE4Rv1G0271170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:759792293:759793401:-1 gene:SECCE4Rv1G0271170 transcript:SECCE4Rv1G0271170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKITPAFMLLLLIAVMSSVVAARELAGGEEAAMQARHEEWMAKHGRKYKDDAEKALRFQVFKANSELVARSNADGTKKYHLAVNKFADLTSEEFAARYTGFKPAPPGTKMLPGFKYENVSLSAEDEQGVDWRTTGAVTDVKYQGQCGCCWAFSAVAAVEGIHKITTGQLVSLSKQQLLDCTTDQNHGCGGGLMTSAFQYIVDNGGITTEDAYPYLAAQGRCDSDATQPAATIRGYQVVPANNEDALAAAVANQPVSVGIDAKQTSFHMYGGGIMTGDACGTEINHAVTLVGYGVQQDGTSYWLIKNSWGQTWGEGGYMKLERGTGACGIDLLASYPVA >SECCE6Rv1G0450320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:867498401:867499875:1 gene:SECCE6Rv1G0450320 transcript:SECCE6Rv1G0450320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAPAIEVPTDAELLQAQADLWRHTLYYLTSMGLRCAVKLGIPTAMHNLGGVTSLPDLAAALSIPASKQPFLGRLMRALVTSGVFASGGKDGSGAELFRLNPLSRVLVDGVDADEHHSQTSFVLAGTSRHYMEAALGMADWFKKDVTGPVPSVFEDVHSASLFDETTAALDPELDALVTEGLEAHDNLGIGTIMRECHDLFKGLESLTDCCGGDGKTARAITKAHPHVKCTVLDLPKVIEKTPSDCVVNYVAGDLFHTVPKAQAVMLKLVLHHWSDDDCVKILTQCKNAIPSREEGGKVIVIDIVVEPSLGPVMFEAQTLMDLLMLVFTRGRQRSENDWRDLFMKAGFTDYKIIKKLGARGVIEVYK >SECCE5Rv1G0356300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:738599910:738600683:-1 gene:SECCE5Rv1G0356300 transcript:SECCE5Rv1G0356300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTDVNMETEVSELVPNHVDSAGRHLPKHSPKSSMKIADVNMKVEALTNHINLRRGGDVGNKKTKKKIFRVPRDELDEILSYQAPPAPAWQNAAKRYPWIVAEMEKIKVRFLAGQEKMRRQYEALGYATFEAEVTDDEQEEGAAATTPYYKEKDEASTTSFHEDNDDAAAVTTPYYKEKDEASMTSFHEENDDAAAAVVVVMTPYEEKDETSAASFYEENDGAAATTSPWIIPRGRGRRRFRPGVVKQACGVKKIT >SECCE7Rv1G0478950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:243494993:243498647:1 gene:SECCE7Rv1G0478950 transcript:SECCE7Rv1G0478950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGEGLKVAYQGFPGAYSEAAAKKAYPNCQTVPCEHFDTAFQAVQNWIVDRAVLPLENTLGGSIHRNYDLLLRHELHIVGEVRLAVRHCLLANRGVKIGNLRNVISHPQALAQCEHTLTELGIEHRQAVDDTAGAAKLVAEQMLQDTGAVASSLAAELYGLDILAENIQDEKVNVTRFMMLAREPIIPRVDKPFKTSIVFSLEEGPGQLFKALAVFALREINLTKIESRPHKKRPFRVADDAFSTRVKYFDYLFYVDLEASMADPKTQNALRNLEEFATFLRVLGSYPTDVSEA >SECCE2Rv1G0066800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:15520317:15529767:-1 gene:SECCE2Rv1G0066800 transcript:SECCE2Rv1G0066800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCCLVLPIQGDFSYWWPHPHPPPDFGGKHRREMGLLNEMLRSTRQSKGSPWKVLIMDKLTVKIMSSSCKMGDITEQGVSLVEDLYKRRQPLPSMDAIYFMQPTKQNIDIFMSNMSGKRPLYKKAYIFFSSPIQREWVAHIRKDSSVLARVGALSEMNLEYFAIDSQGFVTDHEKALEELFTENSEGSMNYNSCINMMATRIATVFASMKEFPRVHYRVARTIDASTLTTLRDLVPTKLAASVWNCLARYKSTIPEFPQTETCELLIVDRSIDQIAPVIHEWTYDAMCHDLLSMDGNKYVQEVPNKSGSGTEKKEVLLEDHDPIWFELRDVHVADANLRLHEKMRDFISKNKAAQLHQARTNGELSTKELQKMVHALPQYTDQIDKLSLHVEIAEKLFDIIKQQHLKDVGQLEQDLVFGDAGSKELIDFFRTHQDISRENKLRLLMIYAAINPEKIQSEKGAKLMQLAGLSADDMIAVNNMRCLCAHETKKSSKGGFPLKFEIRKKKRGVRKERTGEESTWTLSRFYPILEDLIEKLSKGELPKDEYHCMSDPSSSFRGISSSMSARTSPAHQPAQSMRSRRTGSTWARPRSSDDGYSSDSVLKHASSDMRKLGRRLFIFVVGGATRSELRAVHKLSSKLKREIILGSSSLDDPPKFITKLKMMSNEEVSLDDLQI >SECCE7Rv1G0522600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:878677459:878680576:-1 gene:SECCE7Rv1G0522600 transcript:SECCE7Rv1G0522600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKPELPPQQEEHDNNHGITMEKTTTDESSSGEPVRNYRGWKAMPYVIGNETFEKLGTLGTLWNLLVYLTTVYHIKSVNAATILNFFSGTSNLATILGAFISDTYLGRYTTLAAATIASFIGMVLLTLTAALHSLHPPACVSKGQCEGPTPSQLAALMVSFFFLVIGAGGIRPCNLAFGADQFNPHTADGRRGIASFFNWYYFTFTIAMMLSATVIIYLQSDVNWALGLAVPAVLMGLSCILFFMGTRLYVYVRPEGSPFTSFAQVFIAASRKRRLRRAHNNSELFDPPHQSKLVTKLAYTDQFTCLDKAAIRTTEDVLCSDEKTSANPWRLCTVQQVEEVKCLARIIPVWLSGIVYFVVITQLGTYVVFQAAQTDRRIIKSASFQIPQGSFIVFQMLALTVWIPVYDRVMVPTLFRFTKREGGITLLQRIGIGLALSVVMMLVSAAVEHRRRQTAMMSCFWLVPQQLLAGLSEAFAAIGLIEFYYRQFPENMQSVAGAFFFLGLGLASFASGLMVIVVHRATRRRDGRPDWLAQDLDEGRVDLFYLVTAAIAAVNLIYFVACSRWYRFKKSDGDVNAVNDVELDESSKKVANAVPV >SECCE5Rv1G0364840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803122324:803123658:-1 gene:SECCE5Rv1G0364840 transcript:SECCE5Rv1G0364840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKMNKRKRMARGAVVFVALATMAAIVRAMIRKRRPRITYGPMHERDRIRFDYLNQKIWQSDVLCKNMLRFERAAFFCLCGILRDRNLLEDSPHVSVEQQLAMFLHTIGHNLRNRVISANFGRSYGTTSIYFRKVLHAIGELRNDYIRPPSLETPKKIAGNHRFDPYFKDCIGAIDGTHVRAGVTKDVEPSFRGRKAFTTQNVMAAVDFDLRFTYVLAGWEGSAHDATVLADALTRERGLQVPPGKYYLVDAGYGAKPGFLPPFRGVRYHLNEWGNNPVQNDKELFNLRHSSLRVTVERAFGSLKRRFKILDDAKPFFTFPVQVDIVIACCVLHNYALSQGIDEFIIPEVTWTTQPIRTSRQQLSDNRALVDHRLQIAAQMWEDRQLMYANL >SECCE7Rv1G0510420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:789609733:789610347:-1 gene:SECCE7Rv1G0510420 transcript:SECCE7Rv1G0510420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKTPKGKSGFFGMRQKPSGNFGVEFSNAGRRWWISTYPSAHEAACAYDVAVWRAERPWDHINFPEIESRAEAEMLVPQGIKMKEIPTKKKTTKKPPVVVSVGKTDEEAMARFSQEHPEYVQAELEYYWKREAEQKKKGAKKEDEAGPSTVIPIESSSEEDWADFSEKEEEEEEEEEEEEEGCDDPEKEEFWTQFRSSDDEE >SECCE4Rv1G0261780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:704216994:704217965:-1 gene:SECCE4Rv1G0261780 transcript:SECCE4Rv1G0261780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAIVAGGKTLDQHRRRVVKEEEEEGEEAAAVAIPRVLRVSFEDRDATDSSSDDSPRQGRRFVEEVCIEQPPRMTTSPQQPQASPFRRGPVLGAKRRADAAEEPKFRGVRRRPWGKYAAEIRDPNKGVRVWLGTFDTAEEAAMVYDSAALRLRGPSATTNFAISPSPSADPDPAVADAEGDVGHLLVAATYEESSDESHFVGSPVSVLRPLEVVASTVNASKPDESTASLKISVDTCQRGGDYSPFCNADVIVPPEDEDCMFPGLSFAAPTIFDDDGMMSHLDYYAANVEPVSLLEMGELPVWREVDVFFDDVLSASPLNEL >SECCE7Rv1G0496740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:615739248:615745592:-1 gene:SECCE7Rv1G0496740 transcript:SECCE7Rv1G0496740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGKQHNAQPPAPPAAAPPQQRAVAQMNSSRPWPLSFTLIKPAPEIKSVTPPKRKKQCNCKNSHCLKLYCECFAAGLYCDGCNCKQCGNKVENEHARQEAINSTKLRNPKAFQPKIENVPSTLSVRKDAGAPSVPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCMDCKNFEGSEELQAIIQGDNASDKNNAQQAANVTLNGAIGSSGYKYSPVRRKRHPEDPLGPEANHLDASQVASSSGLEGCIGYQSRSKMVYRSPLANTIHPTDVNDLANHLVIVCRKATEEFLTIADNKVEMEVERGIYTNADLNNDKMKNREVQNGGVSQPDVATHIDQRTAGDLESPCNNTQEDYRPASPGTQALLCDEQGTAFGSDYRTSFPSAFHDQDTPELSALQEKTVLTGFRDYLRLLITRGKINANNSAGLTEANASSEPAMELDARRNHGATTGPGVSSFPSEAVERPKAPGNPEIPRTSDPSA >SECCE5Rv1G0316310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:317161682:317162538:-1 gene:SECCE5Rv1G0316310 transcript:SECCE5Rv1G0316310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRCGQRQCRRGVSGDVELDAAMALANMAGVSGPAALPAVQPAGPQHGASRQEEEELASTRLSLELGKVGIQALSCSSSSSAGCPSQQTRVAVAAPGGGGGGYGPRPRHTLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNESMKKEKEAAMQEYLILQETNKQLKEQARHHLPLPLFY >SECCE4Rv1G0219450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:31202512:31206449:1 gene:SECCE4Rv1G0219450 transcript:SECCE4Rv1G0219450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRLLGSAGQTETELLQEMHRRLGLATAATALRRFCSHRPAPPPDRRLAFLRSELEHLDLSRAPAQPPPREQWQVTQEPGSGGARAGDKPVAVDIAHPWPEWVALMELLLHKGHLDPSAFAGAAPSKDSNLVRTACLRFGRERPEIVRYLSRWDIQVALRGGCPSIDRKVINSGKRLRAHVGLDEGEVCSQCSLRGSCERAYVRARKEEVGRTVDVMRILLTYGLDIVTGNVGNKSCLNKTVKESIKKLLNEVVELDSKGPGSTTDKAAPRVLKGQSAVPVKQGDWNCPKCNFLNFAKNIKCLRCDGEFQERYHLMHEDQDHLPLKKGDWICKRCNFLNFAKNTRCLQCHDKPTNRLLSPGEWECASCNYLNFKRNAFCLKCGWKRPKALNDQDSIEPHRDLEQNNHPAISFVQDGIQPTLRKRQLVQKRAPLSDEDSDFWSSEEAGDNDDDDDNENNSMLPMHRDYKFLDSFPIVGGRSATSQEPLEREKWKEEMSRGHQGLPGVASEESNRSSPRVPRSMEMLESEDDDDDEISSWFSGANSSRNLKR >SECCE2Rv1G0130060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:873036272:873039713:1 gene:SECCE2Rv1G0130060 transcript:SECCE2Rv1G0130060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLQPPRPHPHPTSRLSRASPPSPFPFFPAGTSRPGCLHPVPVSGHSASRVSKGKFAVAAVTLDDYLPMRSTEVKNRTSTDGIKSLRLITAVKTPYLPDGRFDLEAYDSLINTQINGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGANIKVIGNTGSNSTREAVHATEQGFAVGMHAALHVNPYYGKTSTEGLISHFKEVLPMGPTIIYNVPSRTSQDIPPPVIEALSCYSNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLVPGLMHSLMFEGENAALNEKLLPLMKWLFCEPNPIGLNTALAQLGVVRPVFRLPYTPLPLEKRVEFVRIVEAIGRENFVGQKEARVLDDDDFVLISRY >SECCE1Rv1G0026520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:371767139:371770700:1 gene:SECCE1Rv1G0026520 transcript:SECCE1Rv1G0026520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVTESGLNSVRERGFFSLVDEPMVTGSIDSLLAKLNFTTKLNKRRAKVMKELYQDVLKLKKGFDNLGGGRVMDPGALLWTQFARDLVFDIEEWIDAKSGAANSSKRELIDLFKHRIHYVCEQFTWCDLLGPTEDQFKLIHDLHKDLESLKKDLPYKFGPPATPEQSVWMDQTCELVTKVETLVHQKPTNDMDESWEKLIQEYRAKIQQTRDTLSTAPICCPLLSEEKTHNLIALKGPSSKLLLHLTSSQVRLKLVSVVGMEGLGKTTLAKEAYAKLESKFECRAFVTVGKNAYLTATLLDILHQVKPQDTIPRNGPGAPEINQVVTELREYLVTKSYFILIDDIWSIRAWDILNCALPENDLGSRVLITTCISDVAKCCSMRPVDAIHQMEPLSEEDSKTLFHEEAKRPAANKLLKMCGGMPLAIIVAAGRKSAGLAESEIGERCILSTSDQYSTSDGMRMILQMSYDELPAPLKSCLLYLCVFPEYYTIKKERLIRLWIAEGFILGRCEESLWRTGESYFNELVGRGLIQPVFGYEDGQAVACTVHGVILEFIKSLSRKYKFATTGADLRSGVCPWYPIRHFSVDYCSKQDEVNTLDLRTLHLSSMRTLQVFGPVGWTTLLSTFKNLRVLDLEDNDNLRSHHLKGIGGLVLLRYLGLRGSAIHALPDEIGKLEHLETLDVRHTNLRNMPASIVGLERLVCLLIEDVVEMPSNILVMQGLQEVSTMRVDNMESLHEVVELLGRSERLSILGLSFDGLSPSLDSARAFTDLLRAVGQSKLGSLSLHCLRGDWIGLLDSRPYQLRRFEVSIPVPVLESTVFIIPCCITHLNIEVAQLGEEGLRVIASMPQLVLLKLASSGPAAAMMDTDTDTSLRKQRRRAIIRRGAFLRLKVFWFTCKAGGNEVQFDPGAMPQLQGLRLHFNTLETLSLYGDFEFGIEHLSSLNRIHATFTCEDTAAPEVVHAEAAIKQQVSQISSANTPTIEFSREKPRMLEEEKKTVGKITQKSSLSRWLRGKKRG >SECCEUnv1G0528030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3127238:3128722:-1 gene:SECCEUnv1G0528030 transcript:SECCEUnv1G0528030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGLRNAAAAAMAPSACATHDHPSSYLLELAAADDLPAFRRAVQEDNLSLVAASPWYGPSPKPSNTNTNRLALHLRTPAMVAALYGSTTVLSYVLSIAPSEAARASASDGATPLLLAHAGRAPSAPHAARLLLAAGADKATLLALDAPSLHHHHQQQSRLPAKKDTDTARKTPKKDYSSASDAASQTEDINAGVFATDDFRMYSFKVNPCSRAYTHDWTECPFAHPGENARRRDPRRVPYSCVPCPDFRRDPAACRKGDACEYAHGVFESWLHPAQYRTRLCKDEVGCPRRICFFAHGTRQLRAVNPSAASMASPSPSSSPSPPRSSSARPALTASLSSRELDLDADSQYARRMMMARANSPPDYSPDLVAAYVQALSSLQQQQQQQQRTTKPPLSARAAAFTNRSQTFVHRSPAPSQARSFASPAAPSSMSMMADWGSPDGKLDWGVQGAELRKSTSFGVRSRGQEETYSSWLNDGSDMLAARWSDLEQMVA >SECCE4Rv1G0227340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:122271651:122272058:-1 gene:SECCE4Rv1G0227340 transcript:SECCE4Rv1G0227340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSTSKQQGGDREESKQQKMAKEVKKSEAPIFTYQLPFHSLSLNKVKNIEVDRLRLSFTTPTLVPVDSDSDEESDDGSDIDDTVNSTRGQVTADKPGLDHICSGLHAIPRKNKARSAKKRSHKLSSRKFYKIFS >SECCE4Rv1G0252720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:617935871:617936542:1 gene:SECCE4Rv1G0252720 transcript:SECCE4Rv1G0252720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHNNGEVASFHFLPPPNPSSPFTTHHHMNMALPPQAYFPPSFDPTALLAGDDDVAAAFEFDAILLEEAAHLAGGNGSPSSGSDAGGGNYLQVGGSGAGVDAAEEERRRRRMVSNRESARRSRMRKQRQLSELWAQVTHLRGANRRLLDELNRALRECADACHESAKLRDEKAKLNEKLEQLLQQSAPEKKAASSSSPASSNHSGCSSEPCKNTIAAAASVE >SECCE4Rv1G0296200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:901986072:901987074:-1 gene:SECCE4Rv1G0296200 transcript:SECCE4Rv1G0296200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQTGGDKYRSFMGGDGEKNTVWRHGVPPNFDVVNKLFEEERTKEWAEGSVEEKVQRLLKTWEMEMFHKLRPEDQKSVHSQGFTSSTNGMKPLTRKEWTAIGGYNAFLATKLPLEHRIYDPDTETFDSGMETFLTAFPRGFAIEVLDVYCTGPPRVAFKFRHWGYMEGPFKGHPPHGQRVELFGVCIFHVDEEMKVEKAEYFYERGNFLAGFLSAPAAAVASASGCPVMRGN >SECCE1Rv1G0026350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:369511315:369514640:1 gene:SECCE1Rv1G0026350 transcript:SECCE1Rv1G0026350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHRVEDGRPPSNLQRFLDCTTPAVDTHILPKTNGRLSNDAWHHADADSVEYFNLADLWEQYYEWSAYGAGATVQLHGGDKVVQYYVPYLSGIQLYTNKVLNASRSFGEDYGMDFWSDDDDNEKMSRSWSSTSDDSFNCDVVGGNRRRPGHLYFEFFEVCSPYGRIPLMDKVYELSQGFPGLTSLKSVDLSPVSWMSVAWYPIYHIPYQRNVKDLSACFLTYHTISSSFQDHTPETMTYGSAASGKQNDQKANTVSLPPFGLAANKIQGSLWTNPRTGDHKRIVSLFGAADSWLKQLGVRHHDFNYFVTHPM >SECCE6Rv1G0436730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778951278:778954142:1 gene:SECCE6Rv1G0436730 transcript:SECCE6Rv1G0436730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTLEQTAREAAPAGCLATFLDLSHRSFTDVSCLGSFKNLERLDLGHNCLVTLEGLSACTNLKWLSVIENKLVSLKGAEVLSKLQVLNAGKNKLTRIDEVKSMTSLGALILNDNNITSICKLDPHHQLNTLVLSKNPVITFGDALVNAKSMKKISMSHCEIESIGSSLAACVELKELRLAHNKITTIPSDLAKNTKILNLDLGNNLIERESDLKVLSELRYLRNLNLQGNPIAEKGTLAKKVMKIVPNLRIFNAKPIEAISQNENSGKGGSKLKKDEEMPDRDPVDSNTKKKEKRKRSKQQVQSPEEPAAKDTPPDATIAAPVKSALSDSKKKKKEKVVTEQDKSSKPKSKDDKASSNDTEGKAKKEKKKSANKEDKDAGGIDDTEVSFAELMFSGDGAAVPEPMPKDKAQATALDGKFVGGLVIDHTKKRKKAKGTPIDASDLKQLCSAPEVGAGGLSGWD >SECCE6Rv1G0424920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702902989:702907390:1 gene:SECCE6Rv1G0424920 transcript:SECCE6Rv1G0424920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPVALRDELQGVSSSARADLFRGASCNIESEVLATLANGQDPHASGANTGFRVRHIRLPSGDSYSGTLLGSTPEGSGRYTWSDGTIYDGEWRTGMRHGQGKTLWPSGASYEGEYAGGYIYGEGTYTGQDNIVYKGRWKLNRKHGLGCQTYPNGDMFQGSWIQGEIQGHGKYTWENGNTYTGNMKNGKMSGKGTFTWKNGDSYEGNWLDGMMHGYGIYTWSDCGYYVGTWTRGLKDGKGTLYPSGCRVPAGDELYIKNLRNRGVLPDPRRQNHGSRILHSSSVDMGNMKVGINRDSASSRRNTSDSRNVSLERRWSLEVAIEKFIGNENSETSENIDDSELPILEREYMQGVLISEVVLDRSFSGSSKKAKRRQKKTVRETKKPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMNFPKEGSRLTPSHPAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPNYYNHVHTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIKTDSEFLKSQRIMDYSLLLGVHYRAPQHLRTRASYRRSMTAERLTVLSEEDAQEDDAFNYPEGLVLVQRANDENSVVVGPHIRGSRLRSSAAGFGEVDLLLPGTARVQIQLGVNMPARAEQIPKEDDSKSFYEVYDVVLYLGIIDILQEYNITKKIEHAVKSMQYDSVSISAVDPEFYSERFLKFIQTVFPENS >SECCE4Rv1G0249220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:580634051:580637472:-1 gene:SECCE4Rv1G0249220 transcript:SECCE4Rv1G0249220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PERIANTHIA [Source:Projected from Arabidopsis thaliana (AT1G68640) UniProtKB/Swiss-Prot;Acc:Q9SX27] MHHHPGPFHVFRAERGVTAGHHHRIGDGAAQLPPELHHHPRSPNPSSKSSSNVTVANFPSLASPHGVVGSLGMATPGMAFAAAEAGRFCMPRPAVQQLENWGDSLSGIVVTSPLTETSTDLDDSGDKHHALMGGGGAGAGGGAHSQRRVGCVDSSERTGEEKTARRLAQNREAARKSRIRKKAYLAQLETSRSKLTHLEQELQRARQQGIFIAGGGSGDCSSGGALAFDLEYARWLDDHQRHINNLRVAVIADLSDDELRILVESLLLHYDEFFRLKNRATKSDVFHVLSGMWMSPAERFFMWLGGFRSSEILKVLASHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQALSETLAAAAGAGPLAGAGNVTNYMGQMAIAMGKLSTLENFLRQGDLLRQQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRD >SECCE7Rv1G0484130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:340699670:340703111:-1 gene:SECCE7Rv1G0484130 transcript:SECCE7Rv1G0484130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLRQVDRARRALRALIASKGCAPIMLRLAWHDAGTYDVNTRTGGANGSIRYEEEYTHGSNAGLKIAIDLLEPIKAKHPKITYADLYQLAGVVAVEVTGGPTVEFIPGRRDSSVCPREGRLPDAKKGAPHLRDIFYRMGLTDKDIVALSGGHSLGKAHPERSGFDGAWTRDPLKFDNSYFLELLKGESEGLLKLPTDKALLDDPEFRRYVELYAKDEDAFFKDYAESHKKLSELGFTPRSSGSASTKSDVSTAVVLAQSAVGVAVAAAVVIAGYLYEASKRSK >SECCE1Rv1G0040930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:567333722:567335899:-1 gene:SECCE1Rv1G0040930 transcript:SECCE1Rv1G0040930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDQKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILIGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKTIKINKAEGGDAPAASGSACCGS >SECCE2Rv1G0127090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:850885135:850886451:-1 gene:SECCE2Rv1G0127090 transcript:SECCE2Rv1G0127090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSAALRVVESCFVTPSDETPRKGLWLSALDLVLVSRGHTPLVHFYSAGDVAAAGDGFFDLARLKESLAKALVPFYPLAGRLGVDSDGRFEIDCNGEGALFVVAHSDRTFDDFSDHKPTPEIRRLFRPPVQPPSIVLAIQVTFLRCGGVVLGTATHHAAADGPSTFHFIRTWAGYCRDDESAAVELPCHDRGLLRARSPPAVHPETITAFCSRLPPHEPSGAVATQVFTLSKDQLHVLKRFCGGASTFCAVSALVWQCRACAARRLHPEATTRINFPVDIRHRLTPPLPARYFGNGVVNVFATAAVKDVVSETLASVASRVRSATERLDDELLRSAVDYFEVAEKDGQTEQRGNLPETELRMNSWFHLPMYDADFGWGKPRVMTRAEAVRGGWVYLLSGGGDGSARLLISLEPATLRKFERAVAERQVLAGVHARL >SECCE3Rv1G0202860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:878433796:878434778:-1 gene:SECCE3Rv1G0202860 transcript:SECCE3Rv1G0202860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQQGNRVDQHGNPVAAAPGATAVTGAPTGGQLQPGREEHKTRGILHRSSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGARKQTYGQPAAPAGMTGTGATGGPYYVQPAPAGAGAHGPTATTGTYGQPAPAGMTGAGAHGTMPTGEKKGMKDKIMEKLPGGHKNEQHTMPTAGAYGQPGMTGTGVHGNTAPGGGYGQPGHAGTTGTGAHGNVTTGGAYDHQGHPGVTGTGAHGTTATGGAYSQQGHAGVTGTGEKKGIMGKIKEKLPGQH >SECCE4Rv1G0286470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849425097:849426653:-1 gene:SECCE4Rv1G0286470 transcript:SECCE4Rv1G0286470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGNQSVRTIADHTAMQSELWVVCATLAAALLYYLTSAARRGGTGGRPPPGPTPVPFLGNLLDLGGNLHHTLARLARAHGPVMKLKLGLVTTVVVSSHDAAREAYTRYDRHLAARAVPDAANAVGNSGRSMIWLPSSDPLWKTLRGIVASHIFSPRGLAAARGVRERKVRDMVGYFRHCAGQEVDVGEAVYGGVLNLVSSAFFSVDVVDMGGESASGLREVVEDIIAALAKPNVSDIFPFFRPLDLQGWRRWAGARYQKVFGILDGIIDRRLADGRTSTSEDAHGDFLDSLLELVAAGKIGRDKVTVILFDVFAAGTDTMAITVEWAMAELLRHPHAMVKVRAEMEDILGGKDTDTLEEPDAANLPYLQAVVKEVMRMHPVAPLMLPHQAAEEGVEIGGFAVPRGATVIFNVWAIMRDPAAWERPDEFVPERFLDKARAVEFRGKDYEFLPFGSGRRLCPGLPMAERVVPFVLASLLHALEWRLPDGVSADELDLTEKFTTVNTLAVPLRAVPVVVT >SECCE3Rv1G0196290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:807662531:807663992:1 gene:SECCE3Rv1G0196290 transcript:SECCE3Rv1G0196290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLLSQVLVPFLVLLCLSRACAARRVSVAVYYETLCPFCSGFVVNDLARIFRNGLSSNVDLRLVPFGNGRVSPDGSMTCQHGEDECRLNAIQACAISVWPDAERHFPFIYCIEHLALTRKWGAWQSCFHETGLPSQPVIDCYNSGYGRQLELRYAAETNALQPPHQFVPWVVVNGRPLGDDYTNFEAYICNAYESELPEACRGKHLQVAQHTRASRGHKRNPRELAIVLAFCVALWF >SECCE6Rv1G0423700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697182307:697190038:1 gene:SECCE6Rv1G0423700 transcript:SECCE6Rv1G0423700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPAPPASCCSSDPSSHHRLPPPRRAAFPPAASRPFAGLLRAARARCSPSPSPSSAAGRGRVSVAPAVARFQRGMAASGTEHAYSNILTSLPKPEGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDGFQVTKNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDALAKLGSDANKINPLVPVDLVIDHSVQVDVARSTNALQSNMELEFTRNRERFGFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGIMYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFHGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLRLTGRSDETVSMIEAYLRANNMFVDYNEPQADRVYSSYLALDLDEVEPCISGPKRPHDRVTLKEMKSDWHSCLDNKVGFKGFAVPKEQQDKVVKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLKSGLQEYFNKQGFHLVGYGCTTCIGNSGDLDESVSAVITENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGIGKDGKEVYFRDIWPTSEEIAEVVQSSVLPDMFKSTYEAITKGNPMWNELPVPEASLYSWDSNSTYIHEPPYFKDMTMSPPGPHAVKNAYCLLNFGDSITTDHISPAGSIHRDSPAAKYLLERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLGGEVGPKTIHVPTGEKLSVFDAATKYKSEGHDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPMCFKAGEDADSLSLTGHERYTINLPTDVSEIRPGQDVTITTDDGKSFTCILRFDTEVELAYYNHGGILPYVIRNMAGGQN >SECCE1Rv1G0053490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:669870647:669874207:-1 gene:SECCE1Rv1G0053490 transcript:SECCE1Rv1G0053490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLWIVKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPDTNYIFMGDFVDRGFNSLEVFTILLLLKARYPGHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTIDQMRTIDRNCEIPHEGPFCDLMWSDPEEIETWGISPRGAGWLFGSRVTTEFNHVNNLELVCRAHQLVQEGLKYMFPDKGLVTVWSAPNYCYRCGNVASILSFSETMERDVKIFTETDENIQMRGPRSAVPYFL >SECCE2Rv1G0089930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:264471810:264473261:1 gene:SECCE2Rv1G0089930 transcript:SECCE2Rv1G0089930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPEGAAVVDAYKKALATAASVSAYVMLARGMARELLPDELRSAVRWGAAFVRSRFSAPEKERHTIVIRRVLGGGYNEDDMFDAALTYLATKINPQSMSRLCLARTRRREPDGSGSCSTLLSMENGSSTTDTFEGVEFRWTSIESGGNDGNNRGKELLELSFDAEHTKAALDKYVPFIMSTAEELRLRDRALKIFLNQGSSWKGINHHHPATFDTLAMDPSVKQAVIADLDRFLKRKEYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSGVYDNSCLQRLLIDMSNKSILVIEDIDCSFDTMSREDRKVSHATYTDDEEHDEYDDARARGHPPERERKITLSGLLNFIDGLWSTCGEERVIVFTTNYKDRLDRALLRPGRMDMHVYMGHCGWEAFRTLARNYHLVEDHALFPEIRELLSVVEVTPAEVSEMLLRSEDVDVALRVLMEFLQQRRCKTNEENEKNAHIAK >SECCE4Rv1G0261840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:704463889:704464299:-1 gene:SECCE4Rv1G0261840 transcript:SECCE4Rv1G0261840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGMFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE3Rv1G0163230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:146036371:146039872:-1 gene:SECCE3Rv1G0163230 transcript:SECCE3Rv1G0163230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNTSILRLFSPHVAIRRWTSTAANRVAHTSSTSQIGSFLSKKVYTPPPWASDLSVVPSHNYTLGQFPTPIHKWNLPNLPKGTEVWIKRDDLAGMELSGNKVRKLEFLMADAVAQGANCVITIGGIQSNHCRATAVAAKYVNLDCYLVLVTSKLLVDDDPGLVGNLLVERLLGAHIDLVSKRDFVKIGGVALTDLLKKRLLKKGQKPYVISGGGSNSLGDWGYIEAVREIEQQIHLSGDVQFDDIVVACGSGGTVTGLALGSQLSSIKAKVHGFSVCYNPGYFYDHIQELIDGLKTGLSSHDIVRIEDAKGLGYAMSTPEELKFVKDIAAATGIVLDPVYSGKAAYGMLKDMSKNPTKWEGRKVLFIHTGGLLGLYDKVDQLSCLAGRWRKMDLEELVHAKGQWRTQKLVEA >SECCE6Rv1G0439480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:796653779:796654239:-1 gene:SECCE6Rv1G0439480 transcript:SECCE6Rv1G0439480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKSGERKKAVTRSTKAGLQFPVGRIGRYLKKGRYADRIGWGAPIYLAAVLEYLVAEVLELAGNAAKDNKKTRIMPHHLLLATRNDEELSKLLDGITIAHSGVLPNIHSVLFSKKANMP >SECCE4Rv1G0281880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:827090279:827091472:1 gene:SECCE4Rv1G0281880 transcript:SECCE4Rv1G0281880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPEKGEVFQRNPKPRLDSHPGETGVFTDDLILEILSRLPARSVHRFKCVSVPWRDLIADPANRKKLPQALAGFLYMSFRSVHHHHFASVSGGAAPFDPCLPYLQPNKYKHMEQVDACNGLLLYRGCTKNLAPWDWTEDDCRFVVCNPATGSWVELPPKSQPQEPAKGRNRFVGLAFDPAVSSHFHVLCFEEANSSSVTGVTIYSSQTGAWSHRDRAMAEEVKLLYRTRCIFAGGVLYLMGSLRSSNNDHVLLAVDMAGKVWKTISVPYVRRFSMIGSSQGCLHYAIASVSDNNNILVSKIELWCLKDCDSKEWVLKHTASIDKLMGMALKNYRVIGIHPDCDTIFLVPCGGHTLLAYDMQHQKVGCILDLEKNSTQRFLPYVPLFSESLADANVR >SECCE4Rv1G0221740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:51771263:51773488:-1 gene:SECCE4Rv1G0221740 transcript:SECCE4Rv1G0221740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFGASSMADETGNDSQMFEGNEIVDPGNEAVHGDEAVQGGELVEVDELAQGDELAQGEDLTQGDELLQGNEMAITEVATPPTTRRRRKKSLVWEHFTIEDAAGGATRACCKLCKQTFAYSSGSKIAGTSHLKRHITLGSCPKIKGQGHKLAITIGTDNDGDGTVERRSKRRYRYTGYANASFDQDRSYSYLAKMIILHDYPLHIVQQPAFTAFIDSLQPRFRVVDVDAMEVEVYAVYQKEKENLLQAFSAMPGRISLTIGLWTTSQTLGYVSVSGQFIDSEWKLHRRMLSFMMVSSPHSDNALSEAISSSLTDWGMKEKLFTITLDNDSLSHDIYSANLRDQLSSKNNLMLKGQLFVVRCYAHILDAAAQDVIALIHGVIYSIRESIKFIKASPSREEKFAEIALQLEIPSTKTLCLDVTTQWNTTYLMLLAALDYRQAFITLETVDDNYNEAPSAEDWKKIEAACNYLRLLYDSAHSIMAAGNPTSNIFFHEAWKLQLELGNGSAHEDPIFSSIAKDMYERFDKYWKDCNLVLAVAVVMDPRFKMKLVEFSYSKIYGVEAAKYVKVVNDSVHDLFKDYVAQPLPLTPVYAEQGKSDNATANGNNTQATQPSTGDGLQDFDIYLSEIATTQPSKSELEQYLDESLTPRIQEFDILNWWKLNMQKYPTLSKMARDILAIPVSMVTGGSSIFSAGTGCHVLDDYRSSLRPEIVEALVCAKDWLPNSTAAPEAPGSGLLKMD >SECCE1Rv1G0004120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:16529144:16530991:1 gene:SECCE1Rv1G0004120 transcript:SECCE1Rv1G0004120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.2 [Source:Projected from Arabidopsis thaliana (AT2G26690) UniProtKB/Swiss-Prot;Acc:Q9SZY4] MRGKMEVERSSWSEDGNLVQDAVDYRGCPANRSNTGSWLGAASVVGIELCERLATMGIAVNLVTYLTDTMHLPSAESANVVTDFMGTSFLLCFLGGFLADAFLGRYLTIAIFALVQALGTGLLAVSTTVRHLRPPPCGSAAPCEEATGLQMGVLYVCLYLIALGTGGLKSSVSGFGTDQFDERDDRERTAMGYFFDRFFFIISLGTLLAVTVLVYIQDHVGRSWAYGLCSGAMLVAIAVFLSGTKRYRYKRSSGSPVVHILQVLVAAARKRGLKQPITAAALYEDRPEHARINHTAQFRCLDSAAVMAGEEDNEVGPDGRPTPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRIGGFEIPAASLTVFFVSAIMLTLAVYDRVFIPLCRNLTGRPGFTNLEKIGIGLVLSIIGMVAAAICEKKRLTVVASTATNGTALPISVFMLIPQFLLVGAGEAFIYTGQLDFFITRSPNSMKTMSTGLFLTTLSLGFFLSSALVSLVRAATTWLGDTINHSRLDYFYWLLAVLGALNLAAYLLCAMWATPAASSMAAQPHSATAADEKC >SECCE2Rv1G0107080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:645282054:645284851:1 gene:SECCE2Rv1G0107080 transcript:SECCE2Rv1G0107080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMASTSGACLPLLLLLLSPLIISSSKAAAAEAEPEQPVHDLLLSFKASLRDPAGALATWSSSTPYCNWSHVTCTDTSSSSSSASVAVSLQLQGLRLSGDINATALCRVPGLAGLSLAANAFNQTVPLQLSRCASLASLNLSSGAFWGPLPEQLAALASLTSLDLSGNDIEGTVPPGLAALRGLQVLDLRGNRLSGVLHPALFRNLTSLHYLDLSGNQFLESQLPPELGGMASLRWLFLQGSGFSGEIPETFLGLEQLEALDLSMNSLTGAVPPGFGLKFQKLLSLDLSRNGFSGPFPNGVDKCLMLQRFEVQGNAFTGELPAGLWSLPDLQVIRAENNRFSGRLPEFPGGVSRLEQVQVDNNSFSGAIPQSIGMIRTMYRFSASLNELNGSLPDNLCDSPAMSIINISHNAISGSIPDFNNCKRLVSLSLSSNGLTGSIPASLGDLPVLTYIDLSSNGLTGAIPAELQNLKLALLNVSYNRLSGRVPPELVSGLPAVFLEGNPGLCGPGLPTDCDAPLRKHQGLALAATVASFVTGLALLAVGVFAVYRRLHGNRSCSPWKLVLFHPIKITGEELFAAFHDKNVIGRGAFGKVYLIELQDGQKVAVKRLFSSGKLTFREVKNEMKALAKIRHKNVAKIAGFCYSEGEVSVIYEYFQRGSLQDMICAPKFAVGWNDRLKVALGVAQGLAYLHHDYTPRMLHRDLKSSNVLLADEFEPRVAGFGIHRVVGEKAYRSSLDSDLNDKCYIAPEQNFTKNPTNLMDVYSFGVILLELITGRPAEQPASKDCSDIVSWVRRRINLIDGASQILDPSIPRTEQQGMKAALELAVRCTSVKPDQRPDMYEVVRSLQAL >SECCE5Rv1G0334890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:568644153:568644803:1 gene:SECCE5Rv1G0334890 transcript:SECCE5Rv1G0334890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSRSPAAAVAGSGRATATKTAKVVDVDGSMAQFAAPVTAREALDSVQERRPGAPVFLCSSDELRFDVPARALAAEEALQPGWLYFVLPMATLRRSLSGPEMAALAARASSALAVASGVASPPRRKNGAGAPGANGKLRKAAARVAPFVVADEIAGPDGGLDRHHAYGKYGGDEPAAKARKRTSWGGSRSSTRRRRRASGTATLSSISEADDF >SECCE5Rv1G0360670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:774064381:774064881:-1 gene:SECCE5Rv1G0360670 transcript:SECCE5Rv1G0360670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMENRGFWAWGERMAAAIAGGDERKMAMLREIYTRYKDEEDMCQESRMLVRAASKEEQIQIKDKSPLEIICWAMDEGKYADMWSKDDLSYWFPSYTISDGMRVVIMTGRSPPRSQAMPVVTDNDVRVVPRQKVARVVSQLPRRSPRFPRRSARIQTLAQSADNE >SECCE7Rv1G0468860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104048345:104051476:1 gene:SECCE7Rv1G0468860 transcript:SECCE7Rv1G0468860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEHEHPTAEEADAAKDIHEYDPDECVVDVKTAEWHIQDRHEAKLLIEQLNQSGLGEDISDQEFLAYFNKLACGPPWIDLKAGLKEEDLDQQFIDHALYRFRYYKYKLTQPKEELHADNLLEEGEDDKEEREYLAMLKEKQLLYREEDDTTSEEDDNLMDKTEADFSQEFLEENRFFISFEEDGTLDWFFHPDYCEYASLSDYERLVLRNYGGTEYTRWSYYHSYLHSYDVEQEYVKYCEELSKQLKWMEDYSDIDPSSVKSGHISSRGAYQAIKIAATSFPKITPTLAYNGYDECKESMAYHHIYLKEYDGLYFEIWQRVKKGMSFRAALEDLCVLNRFPLRQGLMQIALSHEFTMTSLEKDFRTCTAAIRPGVEEDQAKELIADAIKKRVDKPKFYDDYIRKKIEIAHIVGILPSEKTEKTEATV >SECCE2Rv1G0112550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:710995179:710998606:-1 gene:SECCE2Rv1G0112550 transcript:SECCE2Rv1G0112550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKALYMYAAVLALLLCSAATFIQSPTDVFGPVALLEPTPSSARDFGAVVSDAPFAILRPGSSADIALLLGALSSAPPRLRATVAARGVGHSLQGQAQARDGIVVETRSLPRTVAVVAARAGGEATARAYADVGAGALWVEVLEECLKAGLAPLSWTDYLYLTVGGTLSNAGISGQISNVLQLQVVTGNGEVVTCSRAESPDLFFAVLGGLGQFGIITRARILLQEAPPKVRWVRAFYESFETFTKDQELLISMPEQVDYVEGFMVLDEHSIHGSSIAFPARIDFSPDFGSKGRKKVYYCIEFAVHDFQTDGSSSSVDHVVELVSEKMRHMRPHMYSVEVSYFDFLNRVRMEEESLRSQGLWDVPHPWLNMFVPKHGVAQLKDLLMGTVLAGDFQGAILVYPLLTDKWDGNTSAVIPSAPDGVMYIFSVLRSADPSQCGRGCVEEILEQHRRVADEACRVDGGIGAKEYLARQPTQAHWRDHFGPSWERFLARKARYDPVRVLGPGQGIFSRTDSASSM >SECCE3Rv1G0156220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70509842:70510660:1 gene:SECCE3Rv1G0156220 transcript:SECCE3Rv1G0156220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTESEVAGFAVGAFLLGASIAAQRVDGFVAASQRSSLNMCKRCGDLRMVACSQCKGVGSVRKGGTFTFGLLEDIYESLGAETKAADLAPCSKCRSKGRLPCAECAKVR >SECCE3Rv1G0154230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59345096:59351441:-1 gene:SECCE3Rv1G0154230 transcript:SECCE3Rv1G0154230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12380) TAIR;Acc:AT3G12380] MSSVHRAPRQTDFAGFPDSAPIVIDNGASTFRIGWAGEAEPRVSFRNIVQRPRHRSSGETVTVVGDTDPALMKYFDCTRTSIRSAFDDDVVYQFEYMEYILDYAFDRLGATSEVGHPILMTECECNPSFSRARMSELLFETYGVPSVAFGIDDVFSYKYNQKLGNCGEDGLAISCEFGTCHVIPFLKGQPVLGACCRTNVGGAHITDFLRQLLSLKYPYHVANFTWEKAEELKKEHCYIAADYMSELQIFKNNKEEAEEKTRYWQLPWVPPPRDEPPSEEELARKAAYKEKAGQRLRDMAAAKRSQKIADLEEKLSGLEDLMDHLDGADESQTTSILGRSGYLSQQEIKSDILKATQSLRKAKGESNGNEENADASGADKYPLVSVPDDMLTPEQLKEKKKQILLKTTTEGKLRAKQRRAEEAALREKQEEERRTENPELYFDELRARYLELSEKVDQRKRQKVNGNNNSSGAVGRGERLNAAQKERMRLLTTAAFDRGKGEDTFGMRDEDWLVYKKMSKENEEDDDGKDDDESELARIASKIQEVDPTFVNKHDAVEPAPDPHKVRPLTAEDFRIAIGIERFRCPEVLFQPGMIGVDQAGIDEMVSISLRRLMEDESVKERLCQSILVTGGSSLFPGMIPRLESGIRQFRPYLTPLKLVRAADPILDAWRGAAAFAASSKFGKQTFTLADYREHGESLFHRYNIVYTL >SECCEUnv1G0549620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:206376158:206376535:-1 gene:SECCEUnv1G0549620 transcript:SECCEUnv1G0549620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKNQLIRHGREEKRRTDRTRASDQCPQKQGVCLRVSTRTPKKPNSALRKIAKVRLSNRHDIFAHIPGEGHNSQEHSIVLVRGGRVKDSPGVKSHRIRGVKDLLGIPDRRKGRSKYGAERPKSK >SECCE1Rv1G0059690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707410013:707411396:1 gene:SECCE1Rv1G0059690 transcript:SECCE1Rv1G0059690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAPLIPAHPVAFGFWPRPVCFPTADGLFFYAGCTPFVPCASPSPLGTPFVVTSTSPSPLGSPFVPCPSPSPLGIPFVPCASPSPGGSPVTPSPRLPPRAAPVTPSPRPSPRAAPFTPSPGTSSSGTPFTPSPRTHPSGTPWSSSTSGSRVDDAAATEHRLRLARLALQCQDATNRYELCLSHLADAAREAAALRLENSELRIVNNDLAGRFAMLGGSQASAVALAEQLRGLHLGQMQVPVPVQAVPAPAVLPMPMAGPASPAEKHAVMPKSISIRSTGYLKMARRGGKGKHRVTKAVDVGSCKQQRVFVGVDVDGAKAEEHQGASDGGDRRGQVVKSASGLEFEAYSQGMYKTELCNKWEESRACLYGGQCQFAHGIAELRPIIRHPRYKTQVCRMVIGGGLCPYGYRCHFRHSITAADYIPVHP >SECCE4Rv1G0284860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841226484:841227638:1 gene:SECCE4Rv1G0284860 transcript:SECCE4Rv1G0284860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRSCILTHLLSSPATHPVPYLHRPLSAAAPAVSPGPRFAVEDYLVDTCGLTRAQALKASTKLSHLKSPTNPDAVLAFLAGLGLSSADVAALVARDPKFLCAGVERTLSPILAGLTGLGLSRSEIARVASLAPRTFRSRSVVSGLEYYLPLFGSSESLLRGLKKYNYFLRSDPERVVKPNIELLRECGLGTSEIAKLCLAAPWLLSTKPERVQVMVACAERLGVPRRSGMFRHALKAVESLSEEKLAAKVEYLRNTFRWSDAEVGIALRKAPLMLTKSHQALQSKSEFLISEMGLEPAYLAHCPVMLCLSLERRVKPRCYVIKFLKANGLLDRHWTYGTIVRVSEMVFVETFICPHKETAPNLAEDYDAACRGEEPANFRFA >SECCE5Rv1G0362340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:785494823:785496576:1 gene:SECCE5Rv1G0362340 transcript:SECCE5Rv1G0362340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWAALLLALLSVSASSAVATLAVTAPPPAPARAPAPVTAPAPAHAPPQAQGAEGLLINGNFETAPRKVNKTLIVGRHSLPGWTLRGHVEYVSAGPQPGGMFFAVPHGVHALRLGSHASASQNVSVRPGSLYALTFAATRTCAQDEALRIAVSPSLSAPADVAVRTLYSADTADTWAWGFRASSPVAQVTFSNPGVQEDVACGPLIDAVAIKELATPYPTKDNLIKNDGFEIGPQVFKNSSVGVLLPPKQKDVTSPLPGWIIESLKAVRYIDAAHFSVPAGQYAVELVAGRESAIAQVIRTVPNRAYNLSYVVGDAKNGCHGSMLVEAFAANVTQKVPFESTGKGGFKATSLRFVAAGVRTRVTFYSSYYHTKLTDGVSLCGPVLDQVKIVPLKL >SECCE5Rv1G0343270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637408928:637409317:1 gene:SECCE5Rv1G0343270 transcript:SECCE5Rv1G0343270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPNGVALSADRTHLMVASTGPCKLLRHWIKGVNAGTSEPFADLPGYPDNVRPDRKGGYWVALHREKNEMHFGHDRHLLAVRVGANRKIVEKMRGPKGVRPTEIMERENGKLYLGSVELRYVGVVKRK >SECCE5Rv1G0350790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:692441325:692443193:-1 gene:SECCE5Rv1G0350790 transcript:SECCE5Rv1G0350790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 57 [Source:Projected from Arabidopsis thaliana (AT3G17730) UniProtKB/TrEMBL;Acc:Q9LSH5] MAPVGLPPGFRFHPTDEELVNYYLKRKIHGLHIELDIIPEVDLYKCEPWDLAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVMTQQHHGGGGSGGGARAIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDSEDTIAIQDTYALCRVFKKNAICAEVEELQEGQCSMALLEGACQQLLAAGSRTTGQEYYQTPSPDVPAGSTSGGADADADDEADKDDSWMQFISEDAWCSSTAAEESTSCAG >SECCE7Rv1G0478700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:240578978:240589985:1 gene:SECCE7Rv1G0478700 transcript:SECCE7Rv1G0478700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKWHAVAALGIAYAVAAADMGLSFAGTVAASTGEASSPRKLASLYGTTYHHVWPPMKFGWRIVLGSFIGFFGAAFGSVGGVGGGGIFVPMLMLIIGFDPKSSTAMSKCMITGAAVSTVYCNLKLKHPILDMPVIDYDLALLIQPMLMLGVSVGVICNVIFPDWLVTVLLIILFLVTSIKAFLKGVKACKKETVIRREEKAKQLEQYNEEIGCTPPPTGPIAAAETKNPTDEAVSIWKNIYWREFGLLAFVWVAFLTLQVIKNYVEICTSWYWVLTVLQIPVSVGVSMYQAAGLVQGKRVLSSRANNQTSPKAHQILVYCFFGVTAGVLAGLLGVGGGTVMGPLFLELGIHPQVSSATASFAMMFSSSMAAVEYYLLKRFPVPYALFFTSLTFVAAIVGQRVARKLINWLGRASFIIFILSSMIFVSAISLGGVGISKATHKMARHEYMGFGNICNYHV >SECCE3Rv1G0179270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:533832343:533835012:-1 gene:SECCE3Rv1G0179270 transcript:SECCE3Rv1G0179270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRPLQTLPLPLLRRHFCASAAAVSAPDHNIDELLSPPFDYLPGHPRPDAKHDEVIFAVPRDSSGRHFAAKERKAGRVPAIVFEQENGQEGGNKRLVSVPSKQIRKLVDHLGRSFFLSRLFRLQVWSEHAGQGDLIESVRVLPRKVHLHAGTDEPLNVTFMRAPSSALLKIDVPLMYIGEDASPGLRKGAYFNTIKRTVKFLCPADIVPPYIEVDLSELDVGQKLLMRDLIVHPALKSLQSPDQPICSIIGSRAPDQKKEKEKEKSK >SECCE2Rv1G0117040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:769421333:769422238:-1 gene:SECCE2Rv1G0117040 transcript:SECCE2Rv1G0117040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTCRLCCRRFASPRALAGHMRSHSVKVARSQISSASSASTSVAAGDDDAADARMAIQAYVLRDKPKRRVRLAESDFSDRESEAEFPSQSPDAKRVHAGSRDAEPVSSVSDAATPEEDVAWSLMMLSRDSWPAPTSYRAADSDDGSDGGGEAAPPAAEQKRTRFQCPACKKVFRSYQALGGHRASHVRGGRGGCCAPPPPPPPAASTHLQPLPECEEGTRPHPHECPCCFRVFASGQALGGHKRSQLCPGAAAAAAPGADHPAAAIKSLALIDLNLPAPFEEPEVSAVSDPFLAARPGH >SECCEUnv1G0531440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17476358:17478118:1 gene:SECCEUnv1G0531440 transcript:SECCEUnv1G0531440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMENIVDGKPGFSPDVGSGRTMETPFSVSLSVPASPSSETKLDVHHPARAMPLRQARQHSLPSPAVRGNADAPAVPRSDTMRKRDRRFDRLRTFSGRRLDGQLSTLRGLSQELPAADLERGSAKISEEDTDEDHDVPTADRYFAALQGAELDTLRSTEEAVLPKDELWPFLLRFPISAFGMCLGVSSQAMLWKTLESEPSMAFLRVRPAPNHALWWVSVALTAVVSIVYLLKVIFYFEVVRREFHHPVRVNFFFAPWVACLFLVKGVPRPEWEVHHLFWYLLMAPILCLDLKIYGQWMSSGERRLSKVANPSNHLAVVGNFVGALLGARMGLRELPIFFFAIGLAHYAVLFVTLYQRLPTNVQLPKELHPVFFLFVAAPSVASMAWARISGEFNNSAKLLYFVSLFLYVSLVVRVNLFRGLRFSLAWWAYTFPMTSVALATVLYASEANNMLTQTLAVGLSGIAVVTVIAVLATTVYHAFVCGDLFPNDVSIAITQKRPKFSNILAHLRHEVAPPLHPNFISKHETYFDESISNSRTTSRVGVVHGHGRAEC >SECCEUnv1G0541600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98069754:98070110:1 gene:SECCEUnv1G0541600 transcript:SECCEUnv1G0541600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFAPICIYLVISPLVSLIPLGVPFPFAFNSLTYPEKLLAYECGSDPSGDARSRFDIRFYPVPILFIIPDPEVTFSFPWAVLPNKIDLFGSWSMMAFLLILTIGSLYEWKRGASDRE >SECCE3Rv1G0148200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:18530981:18533644:-1 gene:SECCE3Rv1G0148200 transcript:SECCE3Rv1G0148200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEILMTKQSSPTQSQEHPQLHGTVAPQGDGSTPSESDHQRRITMVPLVFLIYFEVAGGPYGSEQAVHAAGPLFTLLGFLVFPLAWGVPESLVTAELAAAFPGNGGFVLWADHAFGPLAGSLLGTWKYLGIVINIAAYPALVADYLGGVVPSVARPGRARSAAVIGMTLLLSLLNFAGLSVVGWGATTLGIASLVPFVLMTAMAVPKVRPGRWVTRVEGRKKDWRLFFNTLFWNLNYWDSASTMAGEVERPERTFPRALAAAVVLIAVSYLLPLMAATGATGASPDAWVNGYLADAAGIIGDPWLKYWTRAGAVISSIGMFEAQMSSGVFQLHGMADLGLLPSVFSTRATCTGTPWVAITASTAVTITVSFLGFDDIVATANFLYSLGTLLEFSSFLWLRAKYPTLKRPYHVPLPFLALVAMCVVPSVFLAYVCMVAGWRVFAIAAGLTTLGVGWHALMRVCRAYKLLSFNVHESSGLAG >SECCE6Rv1G0400610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:455671160:455675434:1 gene:SECCE6Rv1G0400610 transcript:SECCE6Rv1G0400610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDSGGGRSGAELMVPQFHLKALHAILAARAPWPIASAPASAAVRRRDRWFHLPLHAPPAAEHIPEPALGEPVVVDVYLAPSAAGAGEEEEVVERWTVACEPWSAGERAAAEAGEGLAVNRAYKRCITLLRSLYTTLRLLPAYRVFRTLCASGQGYNYQMGYRVGSFAEPFSRADEAAMRTRGFPSVETHLGRLAVSVQYLPSLAAYNFEITSLSSAMLITDYVGSPAAEPMRSFPSSFTEAASSALPLPSRRPNSWASPAAYWPQSPGQHAKFSPPPTLYASPTPSPPTFGGGYLQSRLSGETAPMSIPQAGGGRAPVQYRNMSDPSRGFMLPPPSPKSVRGEARSHESLTESGRSSRKGEGLRMADLYANLPAAPKIKDSREESGRFSGVFSSSGSPRHGISRSSSRLSTQDDNDDAYLPFAVDDVDAPDSRPGSSGGKEDQSGSSSHKSQDAAVGYLVHLLRSARPLRDPSSSSLTSRAESTEAGNTSSFMSRRTSDAFEELESFKDIKENLLSRSRSRMQDSLDRS >SECCE6Rv1G0413540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:624320555:624322897:1 gene:SECCE6Rv1G0413540 transcript:SECCE6Rv1G0413540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRPLALAALCALLAATGAGATTKATEVEAQSSYIVHVAAAHAPRLPRRGLLTTRAYGSFLREHIPVEMSSPAPRVLYSYAHAATGFAARLTERQAARLESTGSVLAVVPDTMQELHTTLTPSFLGLSPSSGLLKASNGATDVVIGVIDTGVYPEGRPSFAAAPSLPPPPSKFRGRCVSGPSFNGSALCNNKLVGAKFFQRGQEALRGCALGADSKSPLDTNGHGTHTSSTAGGSAVADAGFFDYARGKAVGMAPGARIAVYKACGEEGCASSDILAAFDEAISDNVDVLSVSLGAVGMAPNFYSDNTAVGAFRAVSKGIVVSASAGNSGPGDSTACNIAPWFLTVGASTLNRQFPGDVVLGNGETFTGTTLYAGEPLGPTKIPLVYGGDVGSKVCEEGKLNATKVAGKIVFCESGVNARAAKPLAVKLAGGAGAILASTQAFGEQAITSPHIHPATTVTFVAAEKIKKYIRTQTSPTATIIFRGTVVGSTPPSPRMAAFSSRGPNFRAPEIFKPDVTAPGVDILAAWTGANSPTELDSDTRRVKYNIISGTSMSCPHVSGIAALLRQARPEWSPAAIKSALMTTAYNVDSSGGVIGDMSTSDASTPFARGAGHIDPNSAVDPGLVYDAGTEDYITFLCALGYTAKQVAVFGSSISCSKRAGATVGDHNYPAFSVVFTSNKAAVVTQRRVVRNVGSDVAAAYTARVTAPDGVRVTVSPEMLQFSQTEKTQEYVVTFAREIAGSVTEKYTFGSIEWSDGEHSVTSPIAITWPTSKVAEM >SECCE1Rv1G0046590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:618741777:618742286:-1 gene:SECCE1Rv1G0046590 transcript:SECCE1Rv1G0046590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQVAAHRAFLLCNYLLLGAASACIFLTLSLRLVPSPCGLLLVFLHALTAVFAAAGCSGSFTTPAAGAGAQHTAHTAGAVLTAIFQGAAAVLAFTRTADFLTDLRSYVREDDGAVILRLVGGLGAAIFVLEWASLALAFALRLSEDVGEEAAHGGEYPKSWPSGYHV >SECCE1Rv1G0044900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:605998965:606000023:1 gene:SECCE1Rv1G0044900 transcript:SECCE1Rv1G0044900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSTSTPPRVGVVALADAAMGTPATRKNQRAAATNGDSNSPASSGRFQRLEVLGAGTFGVVYRARDRRTGEIVAVKCLRASGGHGNGERYLSDFASEVGALEACSGHPSIVQPRASGRLESGAFLAMEFVGPTLTHVMKHVRFGRRHTELEVRLHMRQLLAGERRMNRLGLMHRDLKPENVLVDSHGNLKICDLGLSCSMADGPPYSNPIGTRGYRAPEILLGSMDYDERVDSWALGVMMAELLAGQHPFHGRSDMEHLSEILDLLGSADIKEWSGYDGRRLPGGCQLGSFLRNKFPCPAEARVKGPPTLSEAGFEVLSGLLRCNPEKRLTAEQALKHRWFKDANPRATRS >SECCE5Rv1G0353650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718569690:718571171:1 gene:SECCE5Rv1G0353650 transcript:SECCE5Rv1G0353650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAAQPRVMVLPFPAQGHVIPLMELSRKLVEQGLEIDFVNTEFNHGRVLQSLAEDGAIPGGIHMLSVPDGLGPADDHTDIGALVKGLAAAMSGRLEEMIRLRKAKWMIADVSMSWALELATTTGVRVALFSTYSAAVYALRMKLPKLIEDGVVDESGNVKRNERVQLMPPVDTAEIPWVSLGSTTERRRINIQNVLRTNRLMPLAEKIICNTFMEMEPDALALLPNALPLGPLVAPTSRPTGHFLPEDTTCLAWLDAQAPGSVVYVAFGSSGVLDATQFQELADGLALSGRPFLWVVRPNFTAGTTEGWFDALKHLVEGKGLIVGWAPQQRVLSHPAVACFMSHCGWNSTMEGLLHGVPFLCWPYFADQFANQSYICNVWGTGIKLCRDERGVVGKQEIVSKVARLLGDEGVKARAATWKDRACASIAEGGSSHGYLLKLVNLLGEHASYPITT >SECCE4Rv1G0224740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:83718514:83722411:-1 gene:SECCE4Rv1G0224740 transcript:SECCE4Rv1G0224740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVTPHLAAVGTGSRHHHQPPHRPPAYISARPRARLVPVAAAAATPATDGVAPVPPHPRRSAVKRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKILTQVVEQLYKLVNVIQVDDLSKEPQVERELMLIKLNVEPEQRLEVMGLVDIFRAKVVDLSDHTLTVEVTGDPGKIAAVQRNLSKFGIKEVARTGKIALRREKMGQTAPFWRFSVASYPDLEVKMPSKPTQSTAKTTSGDSEESSQGDVYPVESYESFSMNQILDAHWGAMTDSDPTGFCSHTLSILVNDSPGVLNVVTGVFARRGYNIQSLAVGPAEKMGTSRITTVVPGTDESIAKLVQQLYKLIDVYEVQDLTHLPFAARELMIIKVAGNTSARRDILDIAEDVFRAKTVDVSGHTITLQLTGDLDKMVALQRLLEPYGICEIARTGRVALCRESGVDSKYLRGYSLPL >SECCE2Rv1G0078920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:113086539:113087444:-1 gene:SECCE2Rv1G0078920 transcript:SECCE2Rv1G0078920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVLQLRSSDGKVLVAPAWDYRPAAAQALPLEMRVPSRALERVLQYWTKHSLAKATGESRESLARWDADFQRHLEEDGLAKEAASAAQELRRHGVDHGGRPHRHAATAASDVAAPAKAARVDPVRAWCPLVHHLKGVNHGERSHAPAMPGAFHASAARPGPATTLPAAAGADSVDVRCAIRARGRQMAEDEESACHHRKRPASKAPLCLPATAVAPVKKVSRPVASKVTPAVVSRPITPLPVTAIAPVVKKMTPAVSTLRARRGMEDLSCKVPKQIRVTAAAPKKQPIPWLRPVVLRPY >SECCE2Rv1G0131160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:883323413:883324738:1 gene:SECCE2Rv1G0131160 transcript:SECCE2Rv1G0131160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAARRKRSRLAPRSPPATRPRAPPPQLTALLAQLATSVSLALRFASDRDLLLRPAQALALDPLLLAAARAVSRLLALLPLHLQTITLTSLSLSPPTPPPSLPSSWFLRLVSASPALPDSAWRDAFRMSRPAFYALLRSLALPDPADPAAASSSLALPADHKLGAALYRLAHAAPARAVARRFGLPSPAAAARAFYEVCRAVADRLAALLDLAAPDRISRAVPGFCALSLPNCCGALGYARFGGAAVTAQALVDAEGRFLDVSVGWDPSEAPPEVLPRTKLYTSQSLVLANAPHGELIGGSVPRYFLAPACCPLLPWLVTPYRDAGATDGASKERIFNDVHAQGARLVRSAFGRVRARWQLLEECWKGECQEALPYVVVAGCLLHNFLIKCGEPMPDAADPVDAGADAFADFEGENDKEGERIRDVLAVHLSLVSRTQ >SECCE4Rv1G0295480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898541862:898543827:-1 gene:SECCE4Rv1G0295480 transcript:SECCE4Rv1G0295480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSPPASSPPLDDEDLLEEILLRLPPKPSSLRRASLVCKRWRGILADRRFLQRFRKHHRKPPLLGYFDRKYEGADFTPMSGFTPNMSSIPRLSHLNLVDCRHGVALFLDQALREILVWNLIAGVQRHVAFPPWLNNRHDGVVDTAAVLCSASDDLHVQGDGHLSTFTVLFVGYYPNQAKAFTCLYDSKSGLWGETISASARYAVYMNRPSVLVGNTLWWLLYSADLLQFDFLNQKFVVIEKPAEAYADVNCWYFQPLRREDGGLGLAVCSKNLSMQLWARKSNCDDVVSWVLEKTIQLDDQISHPLFPSLTRSVMMMGYDENANVIFLCFENRHHFMLHLDSMQFRHIGTKTCWDHKMCYPYRNFFTEEVPQ >SECCE3Rv1G0147130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:13275350:13279031:-1 gene:SECCE3Rv1G0147130 transcript:SECCE3Rv1G0147130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVQETPNAGALPKKAPKVMNWTPPMSALMLKGLSEEAARGAKTDKGFKEVSVFREQILQLAGIACHEEEGKSRTELLEKLKACNKLMLVELCRSFDVPGSTGTKKDELVTIVMEFLMEHCSGIIYTDPDKAQLLSCQKLKKRKRNKNGANLSSGEPSKKRKPDGTLLEIYSQDEADGRKAVEDRTNHSEFCLRDNRDECTYNKTGQFAKEQAKPGPFEGINDSALGKLAAAPLPGVPIHTDEQTLITTPSAKLVSNVENDNTDMVASTKKNISVTKKKAIQNTDSKEKSGGKIMSRGDAKPGKQALKPSKDELRQAVSCILGAANFATMTFGEVVKAVDKYFGKDLFERKPLVRALIEEELFRLAKEAERKEVEEEEAMEAKVRAEEAAKDSSARDGRVESEIDKEHEVEAGPDGKPKDAERIGSSNGSEKGGKSAENPQDGKAEVGDTRKKETSDGFSKDCDEAEKVAQDANGDGGIEVLRDGEAETANDCNGNTVGGGCEDVKSGEKDDRSEEGRAEDDAGDTEAEESEANEAEAQVEDVKSKEANQ >SECCE4Rv1G0251230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:600897507:600900819:-1 gene:SECCE4Rv1G0251230 transcript:SECCE4Rv1G0251230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVRQAEAWAGQAEHWIRQQPPEQIYVAVAVIAVTVLVLVAASCLKSSKPNTIVLSGLSGSGKTVLFYQLRDGSSHQGTVTSMTHNNATFVLHSEMERKDKIKPVHVIDVPGHARLKSKLDEVLPQAAGVVFVVDALDFLSSMQPAAEYLYDILTKATVVKKRIPLLIFCNKTDKVTAHSKEFIKKQLEKEVNKLRESRNAISSADISDEVQLGLPGEAFNFSQCQNKVIVDEGAGLTGDVSAVEQFIREYVKP >SECCE1Rv1G0025400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:355447599:355451175:-1 gene:SECCE1Rv1G0025400 transcript:SECCE1Rv1G0025400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNPISVRLDLNRSSDPSRFNVNLRSYFSSIRPPTKLAFGFRLGRCIILHFPKRTFIHFFLPGRSPRLKRKQDKKSRPAVLQEKGWWPTFGKVGPIGCLHSSEGTEEERNEVRGRGAGKRVEPIDREKQNEIRIWPKKMQRYGYHDRSPSRKKNFDKSLRVSGAFKWPQYAGVVNDIPFLTGNAAYLLKGNRIKFFLPKKSRSDGPTSYLLKRTLPAVRAYLLKGNRIKFFSPKKSHSNGPTSHLLKRTLPAVRPSLNYSVMQYFFNTKNKMHFDPVLVLNHFVAPGNTLSHRFFLESSTSEKKCLARAKKRLIHFIRQANDLRFAGTTKTTISLFPFFGATFFFPRDEVEVFGATFFFPRDGVWVYKDPFCEYAREQLLAQFRIKCRNLMGKDKVMELIEKFIDLGRIGKLIKGIEMMIEIILRKRRIPYGYNSYLNEVQKMRSFLSNRTNTNTLIESVKIKSVYQSASLIAQDISFQLRNNPISFRSIFSKIVKDIPFIMPKGVEGIRICCSGRLGGAEIARTECGKYGKTSCNVFNQKIDYAPAEVSTRNGISGVKVRISYSQNKKGRAISETYEI >SECCEUnv1G0539970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78055663:78056481:1 gene:SECCEUnv1G0539970 transcript:SECCEUnv1G0539970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSILFLAALLAVVSWQGAMASDPGPLQDFCVADMHSPVRVNGFVCKNPMEVNADDFFKAASLDKPRVPNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNLPAPNRNKFLSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSDPPISDDVLAKAFQVEKNTIDYLQAQFWENNHY >SECCE2Rv1G0124450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:832684765:832686194:-1 gene:SECCE2Rv1G0124450 transcript:SECCE2Rv1G0124450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTFTVLRREPVLVGPASPTTPREKKRLSDVDDQDELRSHIRGIFFYRVGGLARGDDPVDTIRRALSEALVHYYPLAGRLREVEDRKLVVDCTGQGVTFVEADADVRLADLEADVPGLMPPFPWIEGLCFEVEGSSDVLNCPLVIIQVTRLLCGGFAVWHSFNHTVADAAGIIQFLNAVAELARGLPAPTVAPAWSRELLDARGPPAPAFPHREYDPVPLTPTPSEDDIVRRAFVFGPSDVATIKKGLPPHLRDRATSFEVLAAVLWRARTAALRTLWSNEEARLVTVVSVRRHSTALRLPTGYYGFACVYVTVMMPAGTLLNCSLADVVEQLREAKASVTPEYVRSTADLLVLRGRPPLARANMFLLSDLRHVGFQQVDFGWGKPVYGGPSRIKFGSAFFVAVKDSDGADAVAVPVALPMMAMEKFATEIQSLLKDNTQTALENS >SECCEUnv1G0529940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9898189:9898706:1 gene:SECCEUnv1G0529940 transcript:SECCEUnv1G0529940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKGVARKKAVTRSVRAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLATEVLELSGDAAKETKKARITPRHLMIAVQSDPELSRLLAGVTIAHGGVVPYINSVLLPKKKAAGAAEKSPKKE >SECCE3Rv1G0209640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939679229:939680301:1 gene:SECCE3Rv1G0209640 transcript:SECCE3Rv1G0209640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PnsB5 [Source:Projected from Arabidopsis thaliana (AT5G43750) UniProtKB/TrEMBL;Acc:A0A178UJI2] MSSSSSSLASAISAAATAARPRHGAVKQQLSARVRPHLKHAAGCWESSGSGRAVVARAGPGPLTEIEPDLQEDPIDKWRTNGVSPEDFVYGVYDGHHTYDEGQEKKGFWEDVSEWYQEAEPPQGFQALISWAFPPAVILGMAFDVPGEYLYIGAAIFIVVFCIIEMDKPDKPHNFEPEIYMMERSKRDKLIADYNSMDIWDFNEKYGELWDFTVNRDDLIQP >SECCE6Rv1G0392780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:268191099:268199092:1 gene:SECCE6Rv1G0392780 transcript:SECCE6Rv1G0392780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRLLGLCSGKDSLPVDLPKPVENEKHGEVEHANVNPLSVHPLPLTRTSDLLPESSNGSDSLKEEKNQYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQDEAARLYDRAAFMCGREPNFELSEEEKNELVKYTWDDFLAMTRNTITSKKQRKVGTLRHNKADLFIGDTEIVNGGVSSNSDDGDVDTSVS >SECCE7Rv1G0501090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:679639087:679642951:1 gene:SECCE7Rv1G0501090 transcript:SECCE7Rv1G0501090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGADRLYPSVEPYDLEPPQLDAAAAAAVGSGEARVCDQPVRWDEEPKEGIHICEQAAKDNPEENDMEDHLSVVNIYESDDEMTPKESGENIHSDCPLRQQTGIWVPPSVPPMTKHDHEEWQKGFVSNAGYFAEDEYKWDIDEDIREMTMWDVFAEMAVAGKDKLLSVASYDFGRHSMSLVSHFLLQAALDDNSQTLAEASVGSEHALLETEPTKWLPDSAAPSCMLCGARFHPVICSRHHCRFCGGIFCGGCSKGRSLMPPKFGTSDPQRVCDVCGVRLECIQPYLMNQISRACQLPTKDLTDLSTLRSWLNLPWAPTMEYEIYKAANSIHGYCKVGKLNPEKSIPDSILRQAKGLAIITVVKVGMMVTYKIGTGLVIARRADGSWSPPSAISTCGVGYGAQAGAELADFIIVLRNTDAIKTFSGNAHLSLGAGFGASAGHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRNNENARFYGGPVKASEILLGSLAKPPAAATLYKALSTLFDKIGK >SECCE2Rv1G0101360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:562227761:562230548:-1 gene:SECCE2Rv1G0101360 transcript:SECCE2Rv1G0101360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGDPGGGIAGPGRAARLPRWTRQEILVLIEGKRVVEVRGRGRGRGAAAGAAAEPTKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIREWERSLPSLAGKEVSFWAMRNDARRERRLPGFFDREVYDIIEGRGSGGGGIRDSGSNAAVALAEVGEEGKEKVVFDSGRASAAGDDGLFSSSSSSEEEDEETSTPAPAPVPVLPTAPYPPPSQAPAVVAVAVPVPVPEKKTEVPTQGSSEQGTSKGKQQEEIRITDEPPPPQGGQKRQRSDDAPGETADLQGKLIEILDRSGRMVAAQLEAQNINCQLDREQRKDQVSSLLGVLGKVADALYRIADKL >SECCE2Rv1G0119680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:793723760:793726075:1 gene:SECCE2Rv1G0119680 transcript:SECCE2Rv1G0119680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLFVVIAAAAIAVACCLAACPVGAGASAGGFYENFEVKWGTDPDPDRRVEIVDGGRLVTLTLNNVSGAGFQSRDAFLFGEFTMEMKLVPGDSAGTVTTFYLTSKDPTAAGDGHDEIDFEFLGNVSGEPYLMQTNVFAQGVGGREQRSYLWFDPTEDFHNYTILWNPLNIIFSVDGVPVRVFRNQEANGVPYLTGQAMKVHATIWDGDTWATRGGRVKIDWAHAPFVASYGTYASSACVSAADGDDQDGAPSAFCCPADASSWMARRLAPDGERALAWARDNYMVMDYCDDPWNLGRPAECDLDRLTSAV >SECCE4Rv1G0231780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:189040465:189043207:-1 gene:SECCE4Rv1G0231780 transcript:SECCE4Rv1G0231780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGVLHCLGAQVSSFVLVSSDQVALPVPGSMAMPRRLDQLLLPLMASCFLLQALSVHAITRHYKFNVVMRNMTRLCSTKSILTVNGKFPGPTLYAREGDNVLVKVVNHAPHNVTIHWHGVRQIRTGWYDGPAYITQCPIQPGSSFLYNFTVTGQRGTLLWHAHINWLRATVHGAIVILPKLGVPYPFPAPHKEAVVVLGEWWKADTETVINQAMQLGVGPNISDSHTINGHPGPMSDCASSQDGFKLNVENGKTYMLRIINAGLNDDLFFKIAGHGLTVVEVDAVYTKPYKTDILLITPGQTTNVLITADQSAGRYLLSVSPFMDAPLQVDNKTGTATLHYTNTVSATARLTLIKPPPQNATPIASKFSESLRSLNSKEYPANVPQTVDHSLFFTIGVGVNSCANCVNGTRVVGTINNSTFVMPSTPILQARYYSIPGVFTEDFPATPPHKFNYTGSGPKNLQTMNGTRVYRLPYNASVQVILQDTGIISTESHPIHLHGFNFFVVGGGVGNYNPKTSPSTFNLIDPVERNTIGVPTGGWTAIRFRADNPGVWFMHCHFEVHTSWGLKMVFVVDNGKRPSETLIPPPKDLPQC >SECCE6Rv1G0431180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745789763:745790473:-1 gene:SECCE6Rv1G0431180 transcript:SECCE6Rv1G0431180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDAVAVVAEEANAVLGCLRHQEPALPPIPLISVLCMQGGVHIEPGFFAFNQDLIVRGVAHFLDGAGKFVFNNRLHVLLRRSETGLMVNQPELMAPYTSQPVVVPEDSHSMFITFSKGNALHREEIFEYFRQKWGDCVVRVLMEKTKGGHIPMYGRIIYKTEAIVKLVLNGERLVKISIGQREIWLRKYVPRPTNAAA >SECCE2Rv1G0134630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:902506686:902508970:-1 gene:SECCE2Rv1G0134630 transcript:SECCE2Rv1G0134630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWALLFLLAAHLAAGEPTSTATITATPAKLTKPHHAVTLQWSNLPDPSPLDYVAVYSPPSSGDLHYLGFLFLNSSASWATGAGSLTLPRLPDLRAPYQFRLFRGPPGVDQDVDPLPDASRRAAVSGNVAHEGSGARPAQLHLAFTDGVDEMRVLFVCGDGGRRSVRYGPAGRREEEWEEVPAEASTYEQRHMCGYPANHSVGWRHPGFVFDGVMKGLQPGSRYFYKVGNDLGGWSETYSFISRDTEANETIAFLFGDLGTYVPYNTYFRTPQESLSTVKWILRDLQALNNKPAVISHIGDISYAKGYAWLWDHFFEQIEPIAANTPYHVCIGNHEYDWPSQPWKPSWAANIYGGNDAGGECGVPYSIKFKMPGNSSLPTSTIAPDTRNLYYSYDAGVVHFVYMSTETDFTHGSDQYNYIKADLERLNRSRTPFVVFQGHRPMYTSSNESKDTAHREQMIQHLEPLFVKHGVTLALWGHVHRYERFCPMKNYQCLNTSSSFVYPGAPVHVVIGMAGQDYQASWEPRPDHPEVPIFPQPQRSMYRGAEFGYAKLVATREKLTLTYIGNHDGQVHDMVEILSPQVDTDSAPDKLVGASPKKMRYLGIAGSVMLAMLLGFMAGFAVRRKTDPARWSPVQDEES >SECCE5Rv1G0367800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:824417793:824418221:-1 gene:SECCE5Rv1G0367800 transcript:SECCE5Rv1G0367800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSNYLLLAGVLVSIFAVVAAVGSEDCTPWTATPITPLAGCRDYVEQQSCRIETPGPPYLAKQQCCGELANIPQQCRCQALRYFMGPKSRPDQSGLMELPGCPREVQMNFVKILVTPGYCNLTTVHNTPYCLAMEESQWS >SECCE3Rv1G0165760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:178964139:178965823:1 gene:SECCE3Rv1G0165760 transcript:SECCE3Rv1G0165760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLGSRRRGLRVLAVAVAVAVLGAASPRAAVDAYKNYTVGDDKGWYDGLAVDYQAWAEGYNFTLGDFLIFNTDKNHSVVQTRNETLYKSCDYDDSGLDDTVDWSAAAPEFSKDAVTAAVPLLKEGNTYFFSGNYDGEQCENGQRFAITVAHGQGLPPDLRPPVADAPGPAAGPDSGDRAPAFDFSHPKNVSTPSDTGVSDDETSTGTSDSTRNLARLGAGLVMALGALFAVQV >SECCE5Rv1G0326590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:481895250:481901925:1 gene:SECCE5Rv1G0326590 transcript:SECCE5Rv1G0326590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDFAAMGAPEKTRSLVADHPQRHTHKGGTGGDALARIETRRRRSVGHGGGAPGRPNSPASPAEPPTTPLRAPEARSRSKGDVAPRSPPPDRDIRQADDEDAHEPRVQFLAPGTYFLNDFSDTDSSVSVSNSTYRSMTPSPTESPTCAARQNDASDNGAIPAIDSDNAHDLADVSIAENSKANHGPSCIFDFGDNIWCPPPPEDEIDDAESRLFGFDDDDDEIGYSNNTFAPSCFSAKTNRVTGVSDTICGSHQESVQKDLFRHFQALVAQLLKAEGVELASEKDSKIWLDIVSSLAWQAAHFVKPDTKKGGSMDPSHYVKIKCIASGNPTDSNFIRGIVCSKNLKHKRMVSEYSNAKLLILGGALEYQKASNKLASIGTILEQEKEYLRTVVGKIESRKPNVLIVEKSVSSYAQELLAKDISLVLNVKRTLLERISKCTGGQIASSIDNIASARLGQCDMFKVEKVLESLASGHTEKRPTTKTLMFFEGCLKRLGCTVLLRGNCREELKNIKRAMQLAVFAAYHLSLETSFLADEGATIPRVLSVTAMGAQQAWTNTDHISAKSADRDTTDSLRAAEEKCPHTAAITQMVDRISASPPSLRLDGENLESGPECTKSESPVDHANSLDAVNGCQKAVLAKIPVDLCHLESSGSGLPPDDFQAGDLDNQNRLSCSYVPGTENHQSILVSLSTTCIPKNLACERSRLFRIKFYGSFDKPLGRYLRENLFDQAYCCPSCKEPSESHARCYKHQNGSLTISVRRLLSQKLPGEHDGRIWMWHRCMKCKFEDGMPPATHRVVMSDSAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGYGNMVAAFHYSPMVTRSVNLPPSVLNFNCHGMQDWVKGETVMVFDEMESLHMEVYGFLNSIERSIITLDEPVKTGIRRQIIEMKDLLNRERNEYEGLLLPVIKGSVHSMKSAIDTLELNRVRRGLLLDAYVWDCRLCNIDSLKANGHIARTDSSNPENLQATSINEDKSELLMTVTQHGETHGGPATYRRCSSGSPRRSLLSREASMDNGNILSETNSLIGLVDGASGAGDLDVVFSKFSVCENGRRLSMNSIETLPVERLPSLASILSDKIDMLWSGSTEAHCSLPQDLNKADGKGSFSLLGNPSYKKAISPVRVHSFDSIFRLHEREQTGLLPASLHLSLKMRSVDSFRDLTSLVKDPMTNMRRAFSQISPRSRGNLNVILTRAPTYLKSASHMVSDGARLLLPHIGSEGALVVAVYDDEPTSIVSYAMTSQEYVEHVTHKLDSKSSFQRMSNCSAVNNNGLEKALPSQEGAHFKYSFDDEAFCADNTKFSVTCYFARQFASLRKKCCPSDVDYIRSLSRCKRWSADGGKSNVYFAKTMDERFIIKQVTKTELDSFVGFAPHYFRHLTQSLTSGSPTCLAKILGIYQVNIKGLKGGREVKMDLMVMENIFFQKNISRVYDLKGSVRSRYNSDTSSNNKVLLDSNLIEELHTKPIFLGRKAKRTLERAVWNDTSVLASLDVMDYSLLVGIDEERNELVIGIIDFLRQYTWDKQLETWVKASGILGGPKNETPTVISPVQYKKRFRKAMSRYFIAVPDQWSS >SECCE1Rv1G0052100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:659330431:659333971:-1 gene:SECCE1Rv1G0052100 transcript:SECCE1Rv1G0052100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETAYYETLGVSVDASPSDIKKAYYVQARLVHPDKNPGNPDAARKFQDLGEAYQVLSDPAKKEAYDKHGKEGLSQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVDEGLSSQDARAKVQEKIKGLQTERETKLTQSLKDRLQPYVDGRKDDFVHWANAEAKRLSEAAFGEAMLHTVGYIYVRQASRELGKSKLYMGVPFIAEWVRDKGHTIKSQVHAASGAIALMQLQEGMKKVEEGANKEEQLMKSFEEKKEAMLNSLWKINVVDIESTLSRVCQAVLKDSTVSKDVLKSRAKALKKLGTIFQGAKSLYHRENSLRVESPMNEDETTASH >SECCE2Rv1G0113820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:725826334:725827008:1 gene:SECCE2Rv1G0113820 transcript:SECCE2Rv1G0113820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPAQEQQREPEPALPLVRLNHVAFSCTSVEESVDFYRRVLGFELIQRPASLDCGGAWMHRDGMGIHLLQRNADADAPPRSPAIDPKGNHISFQCSDMALTKARLRGMNLEVVTTRVCDGETMVEQLFFHDPDGNVIEFCNCEDLPVVPLAPPSCLGKQPVQMNVHL >SECCE2Rv1G0139270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:926254006:926254638:-1 gene:SECCE2Rv1G0139270 transcript:SECCE2Rv1G0139270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSRAASCLCCPFKCLACGLFSCLCSILVSLLVTAGVLALILYFLFRPQMIAATVDSASLAQFALGTPASPALLQYNLTLAMTVRNPNKRVGLYYDGVEALALFDGQRFGFAPLDPFFQGHQASTELKPAFGGQQVLEGDVTQANLRTQLAGGAVEVEVKLNAKLRVKVWAFKVPGPRARISCPLSLPAPGATAGAPAFKPTECKVWF >SECCE6Rv1G0448710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857565405:857569167:1 gene:SECCE6Rv1G0448710 transcript:SECCE6Rv1G0448710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGLQQLALFNGDDQLQIIRDRETELGRWPRIERLGCVIRRRLGPWTLDEFDQRVWPSCSHGDKGLEVVRSMLKQATDRPIEEAYLEAFRRLPADAMPDLAECAYLGGHCIGILDPVSNIILNTLNLLCRRRAGIYSPSKDQLPPAPVNPHIRSTWSGIAFDSYCGLLAFMRVYFRYLSEEQARAKFALGIAAIKVDHPMPHDLLLLFQGQPTEAMRSLLLTLEEGRCLRVDDVETFIKVLHHQHLMLPTDLHLMLPRAPSSGSCPRYCFNLNDAGGTMAATLTLERRPAFNLVNLRSSKSVFCKIKSSVGLMQGFTRHTPMEVADAAPTCQHIHSIKMSLLDTIHAFYVKALAKLPNSYAPRFLRAILAAGHCYGLLDPVSNIIIHAVWYDAIVPPRHSGSEIQPDILGATALRRLGLSSLDGLIAAVRAATDFSEHKAVQYLRAIRCDLSLMPVMWQSTRKSVRILRHASKAARHPLEEHRMSSLGILFDPPTASFLAYLFKDAENPLSDAFIRELHLVCEDKLLSSLYEIAPENTSMPRLPEIAPTRHNLEQKQLFLRGELGELLRLYAIQHPSEPLYKVEMICGVDEHTRLFYSHCYHLNFLAAPQASAPRVLFFAQILKSASQSKESDGGSFCCPLPYYDANNPFPGRCSMCEGNMSKIIHPSSGAHIDSVHTEPKSTVDFVYACAPNSMDCYQTQGGDLSESELTYFKEPVDLFAYAELRSSG >SECCE4Rv1G0257100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:663265458:663266753:1 gene:SECCE4Rv1G0257100 transcript:SECCE4Rv1G0257100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQVLSTEMVVPAEATPGGAVWLSNLDLAARRGYTPTVYFYRSNGEHAGFFAADAVKDSLARALVAFDPLAGRLGLDGAGRVQIDCTGEGAVFVTARSDHYELEELMNEFVPCGEMRDLFVPPTPAPNPPCVLLLAQVTYLRCGGVVLGLALHHSVVDARSAALFVETWASVARGATKEDAPVPPCFDHKLLAARQERAVLYDHPEYKPEAAPVHAATAASTYASALITVSKQQVSALRARCAGASTFRAVVALVWQCACRARGLPPDAETRLYSMIDMRPRLAPPLPQGYFGNAVIRTSTVATVGEVVSNPVDHGARRARAATSQGDDYARSLVDYLDGVDVMNLPRSGISRAHLRAISWMGMSLSDADFGWGAPAFMGPALMYYSGFVYVMNAAGKEGALTLALSLEPESMAEFRKVFADELARLEV >SECCE3Rv1G0163640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:149967969:149968256:-1 gene:SECCE3Rv1G0163640 transcript:SECCE3Rv1G0163640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVAMLTEFKLRNNIGCSWRVTVKLMNDRVTLNQGWATYAAIRQIKIGYMVTSKLLTPDTLKVIIFDDDGIDVVNKCGKHDEAFTARD >SECCE1Rv1G0045300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609228409:609232111:1 gene:SECCE1Rv1G0045300 transcript:SECCE1Rv1G0045300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRPWQLRLLALLTTTTALLSTSTSSSTSAVHDLPALLSFKSHITKDPLGALSSWTLNSSSNGSTHGFCSWTGVECSSAHPGHVTALRLQGLGLSGAISPFLGNLSRLRALDLSDNKLEGQIPPSLGNCFALRRLNLSVNSLSGAIPPAMGNLSKLVVLAIGSNNISGTIPPSFADLAAVTVFSIAKNYVHGQIPPWLGNLTALKDLNMGGNIMSGHVPPSLSKLINLRFLTLAINNLQGLIPPVLFNMSSLEYFNFGSNQLSGSLPQDIGSMLPNLKKFSVFYNKFEGQIPASFSNISSLEHLSLHGNRFRGRIPSNIGQSGRLTVFEVGKNELQATESRDWDFLTSLANCSSLVLVNLQLNNLSGILPNSIANLSQNLEGLRAGGNQIAGHIPTGIGRYYKLAILEFADNRFTGTIPSDIGKLSNLKELSLFQNRYYGEIPSSIGNLSQLNLLSLSTNNLEGSIPATFGNLTELISLELSSNLLSGQIPEEVMSISSLALLLNLSNNLLDGPISPHVGQLVNLAIMDLSSNKLSGAIPNTLGSCLALQFLHLKGNLLHGQIPKELMALRGLEELDLSNNNLSGPVPEFLESFQLLKNLNLSFNHLSGLVPDKGIFSNASAVSLTSNDMLCGGPVFFHFPTCAYPEPDKPARHKLVHILAFTMVGAFILLCVSIAIRCSIRKSRGDARQGQENSPEMFQRISYAELQSATDSFSAENLVGRGSFGSVYKGTFGSGANLITAAVKVLDVQRQGATRSFISECNALKRIRHRKLVKVITVCDSLDHTGSQFKALVLEFIPNGSLDKWLHPSTEGEFRTPNLMQRLNIALDVAEALEYLHHHIDPPIVHCDVKPSNILLDDDMVAHLGDFGLAKIIRAEESRPSLADQSCSAGIKGTIGYLAPEYGTGTEISVEGDVYSYGVLLLEMLTGRRPTDPFFNDTTNLPKYVEKACPGNLLETMDVNIRCNLEPQATLELFAAPVSRLGLACCRASARRRIKMGEVVKELGAIKRLIMASQNSASWSTTK >SECCE4Rv1G0243060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:494968388:494969164:1 gene:SECCE4Rv1G0243060 transcript:SECCE4Rv1G0243060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVLRRSVTLADQLAAVGPPAAPPVQAGSCNLRDLLKLRDEDDGRRAAAVTLASAMQADRRASSSPPSSSAAVAAAAARTLLDIIRDDQPAPPASGAGDPFVRRAVSLPAPQTTPAPGPALTRPVAAPVTPPEASPPQPPTDQEEEEQGERVSLMALLEQTERQWSADATVQQEQDPAVAASEPSSQDALVPEDDAELPEAGRGAGCCCVCMARAKGAAFIPCGHTFCRACARELLAGRGRCPLCNAAILDVLDIF >SECCE4Rv1G0267750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:737220976:737225193:-1 gene:SECCE4Rv1G0267750 transcript:SECCE4Rv1G0267750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALVSVATGTLKPVLGKLASLMGDEYKRLKGVRGEIKFLTDELTAMHAFLLKMSEEEDPDVQDKVWMNEVRELSYGMEDSIDDFMKHVDDNDTKPGSLTEKIKNLLGKMKTRHRIANEIQDLKKRIIEVGERTARYKTRDAFSKTVNVTVDPRALAIFEHASMLVGIDEPKAELIKLLTEENGHASTQHKQVKMVSIVGSGGMGKTTLANQVYQELKGKLKCRAFVSVSRNPDIMNILRTIYGDVSGQPYTDTEAGSIQQLLATISSFLVDKSYFIVVDDIWKKETWDVIKYAFPMTSCGIIITTTRMKDVANSCQASFGGHIYNIRPLDIVHSRQLFHRRLFSSEENCPPYLKEVSDQILKKCDGLPLAIIAISGLLANTERTEGLWNQVKDSIGRALERNSSVEGMMKILSLSYFDLPPHLKTCLLYVSIFPEDSFIEKNDIISRWIGEEFIHKEGRYTSHEIGERCFNELLNRGLIQPGKTNEYGEVESCQVHDTILDFIISKSIEENFVTLLGVAIQTIGTQSKVVRRLSLQGTNQGNSTIQPAGLVLSHVRSLSVFGGFVEIPSLEEFKHLRVLDLSNYQSLEEHHLENIVRLPQLRYLNLKNTEIRKLPEQIGRLGCLELLDLRHTCVEELPASIVNLKKLSHLLVDYDVKFPDGIEEMQALETLTGGSFSKQPFGFLSGLGQLKNLRNLVLFFGFEEYSDTKDTNMVEEECLKSIISSLCKLGTQDLRSLTIWDWSSLLEEPLCLLALDKLINFSLGAPQVPKWVSSLRNLQEIHLIVDEVKQDDLCILGALPTLLILHLEEETMSNKKFRISGEVGFQFLRIFIYEANPHLVDLMFAAGSMPKLEKLVLSFRVAADSLDFGIKNLPCLSTVKCCTNAANDIFRAIQTAMERAASTHPNHPSLLFWRDVRFYSSGRPSYD >SECCE5Rv1G0366290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:812522478:812527321:-1 gene:SECCE5Rv1G0366290 transcript:SECCE5Rv1G0366290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger protein [Source:Projected from Arabidopsis thaliana (AT2G29530) UniProtKB/TrEMBL;Acc:F4IKQ3] MAAPKDMRSELEKEMMFGMAEKEMEYRVELFNRLTHVCFEKCIEKRHKEGELNMGENSCIDRCVSKYWQVTNIVGGMLGTQQTPM >SECCE1Rv1G0024290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:322818166:322818879:-1 gene:SECCE1Rv1G0024290 transcript:SECCE1Rv1G0024290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTVPLSQDGPERKISGVVLDAYLELLRRRQGAEHGKNARRALLLGVEEQDWLEYLGSLPRTDERLTDQDAADVAATAARYLQNDMVFFLVNHREHFFVAVLNFAKAEYQVLDSGNYARYNGVRFYEEAMSKIRDGVGRCMEEAARAHVAGWRLRLEPGLPEQNDESSCGLFALKWMELWDGEELARSFSMDDVHSFRTKLAEELVFSELNEMQDVKQEIELKMKYLRVEKHSWS >SECCE7Rv1G0478730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:240811686:240814493:1 gene:SECCE7Rv1G0478730 transcript:SECCE7Rv1G0478730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDSKPAQVPATAEPTAPAAAAAGEAPNPTSPTAAQNPSAAAAGGAATDLEKKMRRAERFGTQVVMSEDEKRSSRAERFGIASSNEKMEEQKKKSRAERFGLPTPSSDDTEAKKKARLERFGQSTEVGKAEEEKRKARALRFAGAPSGSSEGKDKDTSKPDAATVAGTA >SECCE6Rv1G0425180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:705189046:705190025:1 gene:SECCE6Rv1G0425180 transcript:SECCE6Rv1G0425180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELTWLPKLTCLAFEIWIAFNEPPLSFGHVPLLEVLSLSNVAYNRHKMVKLSTFLGETSVRDLKLGFKCEKIWVQPECLAGRQAYVFHQLRILHLFGIPEGYDLTWTMFFLEAAPSLEELYMTVVDHPCEMEMDEKVRRRESYSENKGVQWESATSNFKHHRLTKLAFFCFQSEEYMVSHVRRVMKAAVNLRDVYLYDRVTCIYCEGVEPLKPIVFPKTGEQMSSVEKQIREGIESPAMIHFLAAAEISDDYRARICEDG >SECCE3Rv1G0169110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:232421256:232425629:-1 gene:SECCE3Rv1G0169110 transcript:SECCE3Rv1G0169110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKLLQLFGVGKKKKKNSNKKGKSVDPLWQGASAAPHSTVNVLASNILLDPCSSGAGNVLSLQKHEPECSSDISSMIKAVDGSENEDHKLFNQFDVLQDYSDHHYAKTSPGKTTKDWTKTIQKEWKLLQRDLPGSIYVRVYEDRIDLLRAAIVGPSGTPYHDGLFFFDVRFPPEYPRCPPKVYYHSGGLRLNPNLYESGKVCLSLLNTWWGSGCEKWSKSNSTMLQVLISIQGLVLNDKPYFNEPGYKNTVNTPVGEKHSMAYNQTAFVLSCKTMLYSLRKPPQHFETLVVHHFHERERAILDACSAYASGIIVGSSVRDGAKYACDKCFAGFKKSLDAHTELLAKELAKNRAQALELKGDTPVADEVASTS >SECCE4Rv1G0230840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:173831191:173832471:-1 gene:SECCE4Rv1G0230840 transcript:SECCE4Rv1G0230840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLFSPKNLRVFSPRPHMLDEAFCYGPFNRSMQRRWKKPVDSARTRLEGRTRDHRLDKLMIQLKNLRLALDLHAVISQQRKGYASLQLLLRWRREIGLNTEMGAFLKKYPHIFEIYVHPVTRNHCCRITRKMADLVAEEDAVLRENEPAVVQRLKKLLMMSTDGTLNMHALWLIRKELGLPDDYRSSMLPNHQSNFSLETPDTLTLISRRDENLAVASVEEWRDKEYTEKWLAEYKTKYAFPVNFPTGFKIEKGFREKLKNWQRLPYTKPYEKNDLHPITNADRLEKRIVGILHELLSTTVEKMVPLERLSHFRRPFSMEVNLRELLLKHPGIFYISTKGSTQTVLLRESYNKGCLLEPNPVYSVRRKMLDLILAGCRGIVETENAISLVEEDSQGSSQNYTCQVDDMNSMSESESDSSVESIS >SECCE3Rv1G0179580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:544689218:544695249:1 gene:SECCE3Rv1G0179580 transcript:SECCE3Rv1G0179580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAAAPEPTEAPAPAPSPQVPLDWRFSQVFGERGAGEDVQDVDIISAIEFNKSGHHLATGDRGGRVVLFERTDAKDHVSRKDAEKADYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQETNGASFLLSTNDKTIKFWKVQEKKVKKICEMNMNPSNAPANGSLAGSTMCGSSARTPNGGILKPGGLPLLRLPVVTSQETSLAANCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPTNMEDLTEVITSAEFHPSHCNTLAYSSSKGSIRLVDLRQSALCDTHSKLFEQHEAPGSRSFFTEIIASISDIKFSMDGRHILSRDYMTLKLWDVNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFNCGAGGSEVTTLEATRNPTRRQIQNPARPARSLSSLTRVVRRGAENTGIDANGNSYDLSTKLLHLAWHPSENLIACAAANSLYMYYA >SECCE4Rv1G0216550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:11397524:11397727:1 gene:SECCE4Rv1G0216550 transcript:SECCE4Rv1G0216550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYQVKCATCGKSTWAGCGRHVASVHRQIPEGQHCACRDWPGVSADADKAAGSTSGAVEESSTCTIL >SECCE4Rv1G0285940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:847255496:847256947:-1 gene:SECCE4Rv1G0285940 transcript:SECCE4Rv1G0285940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVSAVAGELVSRFISFLLNKYHSSRPHSQEKVMERLQHLLMRVCTIVDEADTRYITNSGMMMQLNMLSEAMYRGYSVVDNSKYRALQDRASSDEVSNNDSSTRSLYLAKRSRTSNKGTHLELHCALESLEIVVANMAEFVILLGGCERMSRRPYDVYLYIDNFMFGRHAEKQKLLSFLLQHDDPPGDHVPAVLPIIGGATIGKKTLVAHVCGDERVRLRFSSILHLNGDNLVRILEHGMAMKGNMLIVIEFVYDVDDDDWEKFHSFLIRIGRGSKIIIVSIIKRLARFGSVKPIFLTALSHDELRYLFKIMAFGSVDPTEHPRLLQLADEFAEMLHSMQSSLVEITVFADALRRTLHVQSWCCILDKGIRFLKRNLSMYGMPPRIALQEQGHPVDITDVTSHPHSMTPYTINASIEKPPSVTSAELLTDPTVRPKGDFILILWESRIPPHESFHYFVTSRAQDTHQGGTLPGRKRRGVPV >SECCE3Rv1G0147600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15374573:15375828:-1 gene:SECCE3Rv1G0147600 transcript:SECCE3Rv1G0147600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIDFIELSDDNIIDLSSDEETVQEDQIATQHRAMLLDKQGVFVLAGEGSRGVQAVFVAAVEGSHDVQAVFVASSEGSQDGQAVFVAASEGRQEASEPGHALEATASSMIMEEAPLVAASQGNQAVQAVSVAASEGRKETAEPGHALEATASFMVTEKPPVDMVVLALPHAGSPNFLRCPTSGPFASLTSTTPKALTSEGGDTKLVRAKVKRPRKNHHTGTRKAVTSEGGDAKLVRLKTKHPKKKNHTEKPPLGTTVLALQHAESPNFPCTSAPFPGPTSTSLTALSSEGGDAKLMSGKVKHRRKNYRPCKLIPQTESF >SECCE4Rv1G0252340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:613498729:613501364:1 gene:SECCE4Rv1G0252340 transcript:SECCE4Rv1G0252340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGLAMQSRAVGAGAGPRGRRSGALGGDGPARAASLRVGGPAAAAPALRARAARPVAPLCCVQTSRGHQSLHNSVDEALLLKRKAEEVQFELNGRCIYLVGMMGSGKSTVGKILAEVLGYSYFDSDSLVEQAVGMPSVAQIFKVHSEAFFRDSESSVLRDLSSMHRLVVATGGGAVIRPVNWRYMKKGLSIMLDVPLDALAKRIAQVGTASRPLLDQPSADPYTAAFTKLSLLAEQRGDAYANADVRVSLEELAAKQGHDDVSQLTPTDIAVEALQKIKNFVTEPSMASGPFDDL >SECCE1Rv1G0033530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:468312554:468320326:-1 gene:SECCE1Rv1G0033530 transcript:SECCE1Rv1G0033530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G51820) UniProtKB/Swiss-Prot;Acc:Q38833] MATSHFLAAAAAATSSSSAAFRPPLRFLSLPPPSLTLSRRRPFPVVCAADADAKETTKPKVPEKAPAAGSSFNQLLGIKGAKQEDNIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMLMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWVLLLAGLGLGALLDVWAGHDFPIIFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYIGLPWWAGQALFGTLTPDIVVLTTLYSIAGLGIAIVNDFKSIEGDRTLGLQSLPVAFGMETAKWICVGAIDITQLSVAAYLLSTGKLYYALALVGLTIPQVILQFQYFLKDPVKYDVKYQASAQPFFVFGLLVTALATSH >SECCE4Rv1G0222190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55213138:55214382:1 gene:SECCE4Rv1G0222190 transcript:SECCE4Rv1G0222190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTPSPSPSPSRLVPQLLVALLQRRRFDSALRPSPTFRGFSPSSIAALLAAIPRLILPRSAGRLCPQRPFPSPSSSYHRRVAAALTLAFLSWSHSDAIPHIVPLTEAPLRAAALSLARAHALPALFGLLREHAPLVSTAALTDVIRVLGEEGLPRQALAAFHRARQLRCSPDAQCHNTLLAALCRNGRFKEARFLLDQMERPGARCKPDPYTYTVLISWYCRIGAGTGCRKAARRRIYEAGRLFRRMGEKGLEPDVVTYNCYINGLCKTYRVERAHEVFDEMVKKGCLPNRVTYNSFVRYYSAVNKVDKAIEWMREMVARGHGVATSSTYTPLIHSLYESGRVGDARRFMIEMAESGHLPREHTYKLVKDATEEAGEEALPAELCHSIEDGIKERFQRVMRMKPIMRPVTR >SECCE2Rv1G0084680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:177925147:177929973:-1 gene:SECCE2Rv1G0084680 transcript:SECCE2Rv1G0084680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPPGPEVFDVVIFGASGFTGKYVIREALKFLPPNASPLRSLALAGRSRDRVAAALRWAAAPGPAPDVPILVADASDPDSLAAVAARARVLLSCAGPFRLHGRQVAAACAKAGADCLDISGEPEFMERVEADLHEVAAKNGSLIVSACGFDSIPAELGFLFNSRQWTPPSAPVSVVAYVNLESDRKIVGNFGTFQSAVLGVANASELQALRRSRPRPAKPRIPGPPPPKGSLIEHDKALGLWVMKLPSADTVVVKRTLAKVTEHPEGLPGVDETSEFAEHRKEFWSSIKPAHFGVKISSRSILGLFWWLCTGLFIGILGGFSFGRSLLLKFPEFFSIGLFRKTGPTEEEVRSASFKMWFIGRGYSDSARASERGSKPDKEIVTRVSGPEIGYITTPIVLVQCALVLLSQRANLPKGGVYTPGAVFGPTDLQKRLEENGLSFELISTRTLP >SECCE2Rv1G0133290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895850868:895851329:-1 gene:SECCE2Rv1G0133290 transcript:SECCE2Rv1G0133290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDTTMFDATNPMMEWLNGDEENAILDGVDAASAVFEKIRLLNSSRKVSRLARKDNGRKRKRVEEEEDDYHDSEDDDEENEELDLEIDHDDDSHDDGASQSDGGDSPMQVEKDLASQVGNNVEVTSDGSLVNRRSERVRQAKKVKEVTSLYN >SECCE5Rv1G0355710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:733602143:733602823:1 gene:SECCE5Rv1G0355710 transcript:SECCE5Rv1G0355710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVMLAVAVAVAMVFLPVLASATVHVVGDGSGWTLGFDYTAWSESNQFRVGDALVFKYNKANHNVVEVSGPDFRACNSGRGLGAWNSGSDQVQLGSTGRRWFVCAVGSHCVMGMKLNVTILAAGTPSHAPAPAPSSSSHNTILAADAPSFAPAPAPLSSAHKSKRPFVTKW >SECCE3Rv1G0204130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:889800971:889802048:1 gene:SECCE3Rv1G0204130 transcript:SECCE3Rv1G0204130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSPDLAGFQFYKLMAPGTSWEKLVLPGKFARALHGRALRGVKLRVEGAGARAWDVEVVANEYGDMHLGRGWKEFVRANGVELGQLLVFCYDGAALLTVTVFDDSECGRHCCQREEEDDSAEEEESPPPALPGSGSSSSDGDVHGGGAGAAPSRFTVTLGQCHLGTKKKQYLNVPVEFSQSHGFTEKGRVALRMRGQQWSVCLKHSNRRKGNARTRTALRYGWSQFRVDNGLRVGDICFFQLLQEAAGDDPVLRVEVRKADGTIVH >SECCE4Rv1G0253140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:623180976:623181677:-1 gene:SECCE4Rv1G0253140 transcript:SECCE4Rv1G0253140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVCKAEGAAPASLVKSGAPVAFRALHSPAVTAARRPYNTQISRYDGDGDDYSGRDLVIPSFFSQDMLDPLGRLLSLTETGLSSTAGAGASRLAHWVAKEDDDAVYLKVPMPGLTKEHVEVRADKNILVIKGEGEKQPWDGDDDSKVPRYNRRIETPADAYKMDKIKAEMKNGVLWVTLLKLKEEERKDVFHVTVE >SECCE7Rv1G0480370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:269064649:269067230:-1 gene:SECCE7Rv1G0480370 transcript:SECCE7Rv1G0480370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWRSNRCSVGPSGAMLALSLLVLLLHAASPARGQASSSSDSDGVVIAQADLQGLQAIRQALVDPRGFLSGWNGTGLGACSGDWAGVKCARGKVVALQLPFKGLAGALSDKVGQLTALRKLSLHDNALGGQVPAAIGFLRDLRGLYLFNNRFAGAVPPALGGCAFLQTLDLSGNSLSGTIPSSLANATRLYRLDLAYNNLSGAVPASLTSLRFLESLSLNNNNLTGEMPSTIGNLRMLRDLSLSNNLISGSIPDGIGNLSRLQFLDLSDNLLGGSLPVSLFNVTSLVEIKLDGNGIGGHIPEAIDGLKNLTKLSMRRNVLDGEIPATAGNLSRLSLLDVSENNLTGGIPESLSSLANLNSFNVSYNNLSGPVPVVLSNRFNSSSFVGNLQLCGFNGSDICTSASSPATMASPPLPLSQRPTRRLNRKELIIAVGGICLLFGLLFCCVFIFWRKDKKDSVPSQKGTKGTATKDAGKPGTVAGKGSDAGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMEDGSYVAVKRLREKIAKSSKEFEVEVNALGKLRHPNLLSLRAYYHGPKGEKLLVFDCMTKGNLASFLHARAPDSPPVSWPTRMNIAVGVARGLHHLHTDASMVHGNLTSSNILLDEDNNAKIADCGLPRLMSAAANNNVVAAAGALGYRAPELSKLKKANTKTDIYSLGMIMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAATGSETGEELVKTLKLALHCVDPSPVARPEAQQVLRQLEQIRPSMAVSATSSFTGEPSHTTATATTITDDTRSTTTE >SECCE6Rv1G0436460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:777467502:777469198:-1 gene:SECCE6Rv1G0436460 transcript:SECCE6Rv1G0436460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKAPTLYRLRARSLITSKVLDADSSPACPSPPPFRSRSHVSVPFLWEDAPGKPKLRAAPPSALFCPPAAAASPAVLADAGATAARVAGGHEDGHGAHARPVLLKLKLPPRLQAPEHPLSSPNTVLQGPYLRGGGGGNKPPRPLRRIASAASCRGAGGGLFLWRKGAATTATASAGSKEGDRCQLYAVAPDASCLSPVSSSSSSSTSYFFDDHSRRQPDGREDSEDGEGGDDGTKGAVRITRFRRNRSLPTMSTSHLWANIRKGVKQINPWSYKPT >SECCE3Rv1G0151130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:36872036:36873353:-1 gene:SECCE3Rv1G0151130 transcript:SECCE3Rv1G0151130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAMAVNSSQTMTIPTDAELIQAQADLWHHSLSYLTPIALRCAIQLGIPTAIHRLGGAASSADLVTALSLPPSKAPFLSRLLRLLSTTGVLASNEAGIYSLVPLSYLLVDGILVDGDASQSALVLGLTSRYHIEAAMGLADWFKKDIAQPVPPPFEDVHGAALFEESMAALDPECDKLFNEALAAHDHMGIGTVLRECHGLFNGLQSLTDCCGGDGTTAKAIVKAFPHIKCNVLDLPKVVDKAPSDGLVNYVPGDMFHSIPPAQAIMLKLVLHFWSDDDCINILAQCKNAIPSREAGGKVIIIDIVVDSSSGLMYETQLLMDVAMMVCTRGRQRDENDWNAIFMKAGFTDYKIVKKLGARGVIEVYP >SECCEUnv1G0527690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1569479:1572804:1 gene:SECCEUnv1G0527690 transcript:SECCEUnv1G0527690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYLGDLLKQAAEEELGMMLGVSGDIDKMGVKLGDLKNLLADAERRRITDDSVQQWVTELKRAMYEATDILDLCQLKIMERGSSLPDMGCCNPLLFCLRHPRFSHEIGGRIKKLNQTLDSIKERSAAFSFLNLTSYEDRTRVRPSAASRKTDPVLERSGVVGDKIEDDTRTLVEKLTNKNDIDDIMVVAIVGVGGIGKTTLAKKVFNDEAIQHRFNTKIWLSVTKEFSEAELLKTAIIAAKGKLPDGGAQDKSLLVPALAVAIRDKKFFLVLDDMWGDNEWNNLLKDPFSYGAPGSRVLVTTRHGTVARGLKAVHPYHHVDKLGPEDAWSLLTKQILTTEKSEPTIDMLKDIGLQIIEKCDGLPLAIKVMGGLLCQKEKSRHAWGKLLNDAAWSVSQMPEELNYAIYLSYEDLSPCLKQCFLHFSLKPKKVLFEDIEYVGMWIGEGFVHGDSDRLEELGIEYHKELVLRNLIEPDTSYPGQKFCSMHDVVRSFAQYISRNESLVLNNGESTSNTFSMQRYLRLSIETKGVESDTFELRSLQEQKSLRSIILIGNFKIRPGDSLTIFSSLRTLHMESIDCVALLESLHQLKHLRYFAVKKCNGINSLPQDIHKIKLLQHVSFEGCKNLVRLPNSIVKLQELRFLDLDGTYVTAVPRGFGALEHLRTIYGFPAQMDGDWCSLEELGPLSQLRCIRLLGLQNVSASSFARKARLGEKVHLSMLRLHCSRGFGDDGQKKENVTEKDQRVIEEVFDGLCPPPCIQDIFIQGYYGCQLPRWLRDTSTTLLNSLKILMLRDLACCTQLPDGLCRLPCLEFLEVHQAVAVKRVGPEFVQPSSHHHHPSSQVVVAFPRLHELVLSGLVEWEEWEWEEEVHAMPLLEELFIQRCKLRCIPPGLATHARALKKLTIASVQGLQALEGFASVVQLDLFNLPNLTNISNLPKLQKLGIDRCQNLESLQGMDQLRRLVLTVHYDRPIPSYLQTVKPSHLLLDCGPEALAYMALGKSGPEWDKFGHIQHVEAYADDIAEHIEKKWHLFYTCEPYSMVTNIDPQVIYIVNSLLFQYV >SECCE2Rv1G0139440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:927223761:927225743:-1 gene:SECCE2Rv1G0139440 transcript:SECCE2Rv1G0139440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTESLIKLFSEWEIQLLVLLSFTLQLFLFFTGSLRRNGTRGFLRLSIWAAYLGADLVAVYALGLLSRHEDITTTERQMQLLAFFWAPFLLIHLGGQDTITAFAMEDNNLWLRHLLNLVVQVLLALYVFWKSVGRHNVELLVSGIFLFVAGVIKYGERTWSLKCASLESSTGHHYIKLFPEEINVVDVGYSSIVCAALDSMPYILGIFSASSLFATSPLSEDTLRDPDKMLKVVRLELGMMYDDLYTKAVVLRTRSVITLRCISQISFFVSFALFHADDKQRYSRGDTTITYTLFIGGFLLELCAMFVFMMSPWTWAWLKVKKCNRLAKLSWFIFSTNIGWPEKKQRWPNLMGQYNFRSWLTGNDLQPRTWKQRVMTVVKRLFMYLFCAKKKNIFWMSKLLDTEYVNVDKMMMECVAKEICLLHDEFPIGDSPTRKPTHKKGHREWQSIQPLLTRTKTDLVTDFGCGISEMHMLTELHLSKYPPPSDMEANNAGMVEVCRKLSHYMMYLLVTHPSMMPLNISTKATLERYQVDPRVIEEDLMVKEEGKEPSKEVLERMEPSKEALEGLVHMWTRLLLYAAGKSRAEMHKMQLSRGGELITFAWLFMAHYGLGDSQLRRIQITNDETKTICPSVPEIYAFYDPKYIIYLCTTYSLLSKAS >SECCE6Rv1G0449580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863251886:863254927:1 gene:SECCE6Rv1G0449580 transcript:SECCE6Rv1G0449580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYRLGGGGNGHYEMAAAAAAAWRQPESPQLSLMSGCSSLFSISGLRDDDADLHLLTGARSLPSTPVSFGGFPGGDEVDMELRQGGGSSGDDPRTIRMMRNRESALRSRARKRAYVEELEKEVRRLVDDNLKLKKQCKELKREVAALILPTKSSLRRTSSTQF >SECCE1Rv1G0027850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:389979843:389983805:-1 gene:SECCE1Rv1G0027850 transcript:SECCE1Rv1G0027850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRAGFASLLGASFLLLLLRSAEPLGFSHRQGTAGDELLAAPSRYLTREERWMNQRLDHFSPTDHRQFKQRYFEFLDYHTDAAGPVFLRICGESSCDGLPNDYLAVIAKKFGAAVVTPEHRYYGKSSPFDSLTTDNLRFLSSKQALFDLAVFRQYYQEKLNSRHNRSAGFDNPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQVGVSAGPECKAALQEITRLVDEQLRSDSHSVKALFGADTLKNDGDFLFLLADAAATTFQYGNPDALCSPLANAKKKGESLVETYAHFVKDYFIKKLGTTVSSYDQEYLKETTPDDSSSRLWWFQVCSEVAYFQVAPKNDSVRSAQVNTRYNLDLCKNVYGEGVYPDVFMTNLYYGGTSIAASKIVFTNGSQDPWRHASKQNSSKDMPSYIIKCSNCGHGTDLRGCPQLPFRIEGDSSNCTSPEAVNTVRKQIVKHIDLWLSQCHEPTRAW >SECCE4Rv1G0274490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785073628:785074023:-1 gene:SECCE4Rv1G0274490 transcript:SECCE4Rv1G0274490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRLVVLLTFLLATFFAVAHGQTSTSPPPPSPVVPDGWTIVSNTSDLAIQQVGQFAVRIYALSTGKLRLGFVDVFSGMTRPSNGGFDYQLVINVSDGPAPRNNRPYNASVWGILGTMSWKLWSFTLVV >SECCE3Rv1G0161380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:116852812:116854261:1 gene:SECCE3Rv1G0161380 transcript:SECCE3Rv1G0161380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGDDGMDALPDGVVQAILSRLSSARDVAACAGVSRCWRGCVPFLPALYFPRSAFDARGGLACADAAIGRMVHAAARLEELVIYCPFSAAHLPRWLAARSASLRVLELRVDSAAAAGSGSGHLDCVAAAPNLEELRLWGLTMTREPAWGRLQRLRVLEIVGASLADLAVSGAVDACPSLTDLALLGCECSGSVFLATPLLQRCRLDFVGSGTCSLALAAPLVESLEVQGFNYITLHGGDHLKRLTISKNTGRVNTVGIDRLPVLEELSLRGVQWSWGAVSHVLQCGAEAKHLVMKVEFCGDSDTLQPFPEVDLVEFFNGHPKLCKFEVHGAMFASLCQKNSLKNLDSRFLISSLEEVLITVRSPLNAEQKLVTIESLVRYSPRLRRMVLRISQMKNCHEAADEFFEEVSKFAHMSNGRVRIE >SECCE4Rv1G0289790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:868362913:868363533:-1 gene:SECCE4Rv1G0289790 transcript:SECCE4Rv1G0289790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLGSSAATATARPTRPAMAGRSTSMAHVHHHANGASGAKAKDGAGAPGRPPTRSYSQSHRHSQQPSRRSHHRRSHSHSEGGPSKQPGCKQLTGETMGGLATASCLLPCAVVDFAFLATVRAPVALCRRAVRGSRYRRSASVGEAEMVKLREEADSVLKFGRTASAWPAMAPADEEEEMEKQVWASFRDAGFGRTSPHLDNDIS >SECCE6Rv1G0434680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:767039845:767041338:-1 gene:SECCE6Rv1G0434680 transcript:SECCE6Rv1G0434680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHRLPLLLVAFMALVALTTAVEYNVVDFGARPDGGADSAGAFLAAWGAACNDTGRSGSRPVLRVPAGRFLLSQAYFKGPCRSAGVDVSIDNNGTVFAPPEVDSKAWIMFHHADGVAIRGGTLDGQGKEFWACKKAGRCTTGQGPRTLDISQSKGVSVKQLTLLDSKEFHMTIFDCSGVTVQDVRIIAPANSPNTDGIHASHSRHVSILNTTIGTGDDCVSLGPGTSDMLIRDIKCGPGHGISIGSLGWQDGEEGVRNVTVDRAVLTGTTNGLRIKTWGVPNSGSVTNVSFSRITMHRVANPILVDQNYCPRKVDCPGNSSGVQISNISYTDIEGTSATPVAVKFNCSSTNPCSGIKLRNIRLTYRHKRPAQAKCGNAGGSTSGEVRPPSCF >SECCE4Rv1G0272320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:767978471:767978704:1 gene:SECCE4Rv1G0272320 transcript:SECCE4Rv1G0272320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE1Rv1G0007470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:40517307:40518359:1 gene:SECCE1Rv1G0007470 transcript:SECCE1Rv1G0007470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGCYALESLELKENSGFRRLSISSQTLKSVGFCTNWFTESVPLQELVIEDAPCLERLLSLELDPQVGPRTIRVISAPKLNILGVLSTSITKLQLATTVFRSMSAVGLKTKMQSVRVLVLDYTNLDIVVNFLKCFPCLEKLHVFFQRMSYINPREYDPLEPIECLELHLKEVLLKNYDGNVPLCIDFAKFFVLNAKVLKEMKITLPYHRQYNWFAHQRRLLRTEDRISQDARIELRCGNHVYFRDNRNTHDLSMADPFDVPSSGCKKCSGSPF >SECCEUnv1G0546700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:161326307:161329216:-1 gene:SECCEUnv1G0546700 transcript:SECCEUnv1G0546700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDQYHEQGNRSGHNISSKSFQRCEGCDAHYYWCHMDDTQKYFFKCMVGNFQDKMTIPQKFVENFKGQISEVIKLEAPDGNIFNVQATKDLNKIVLGSGWGVFISFYEVKEGYFLVFRYMGDSHFKVLIFDFGSCCEKEVFHVLMNYGPNAQEKDTRLDQSPLSERRCQNGGSSNGESRRRCEHCDVHFYWHHMDDRQKHFLRLMFGDFRQEISIPEKFVNNFRGRISKVMKLGAPDGNVYNIQVINDRNKIVLRSGWAAFASAYGLKEYDLLVFRYIGDSHFKVLIFDPSGCEKELFHIVMNHTPNLPETGISHDRSFLKETRRRDCESRDNNSRKTKKMTPLDSPSPKSAEGVTSPEDTLNSGGLRETTKPRYVLATGCNLSTSQKAEVDALVRKVRPVIPFYITAMNKTSMSGSLVICKDYAAKYLPDEDQFITLCHPHKSNIWIDNLKVTTDGSRMLSVGWSCFALHNKLWESDICLFEVWKSDGEVTMVVHSLEGGHHPQGKEPESQKKRRYPVKAEATEDEESDKEHAESNYYYSTHANGLTSDEQDEIFRSALIQQGNPVYVAVLGKKHVKKRNNMLFIPIKFAAKHLAARSHDILLLRPKRKEKWCVRYYYHSSVRGFSYSPWAKFVRDNKLREGDICAFELIRGVRKATMIVHVFRKVDGRLVLLD >SECCE4Rv1G0250160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:589727421:589729217:1 gene:SECCE4Rv1G0250160 transcript:SECCE4Rv1G0250160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARRLAAALPRLRAQHRLSSSAASPPAPTPSAASVLDDLLSAPTPSASALSLLRDTPSLSAELYSTLAAPSHALTPASFALLLSLPSCHCLPPPSAPILSALLSKILARRPSSPVQAAGFLCASLAAGAPPPDTSVFNKLLAPLARAGDLPRMTQLFSSMRDAAVRPDAVTYGILVNGLCKSGRVGDALRMLDGMSGSGSDVRPDVVTVNTVVNGLCKSGRVQEAVTFVEERMWSVHGHAPNTYTYNCLADAFCRVGNVGMACEVVGRMEKKGLSPDVFTLNMIVVALCRVGRVWAALKFFREKRTIWPEARGNEVTYITLVQALLGTKNVDVAMELFREMKEEGQPPKKTMYFIMILGLTQAGRLQDACSMASSMKKAGFQLDAKAYNILIGGFCRENRLCEAHEWLGKMKEAGLRPDVYTYTTLLSGSCKAGDFLAVDELMVKMIDDGCRPSVVTFGTLIHGHCKAGKIDEALQIFRSMDESGVQPNTVIYNILIDFLCKSRNVSLAIKLFDWMSEKHVPADVKTFNALLKGLRDKNMPEKAFELMDRMREERCTPDSVTIEVLLEWLPGIGETNRLNNFMQQVGRKAPKRATT >SECCE2Rv1G0096260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:436246426:436251811:-1 gene:SECCE2Rv1G0096260 transcript:SECCE2Rv1G0096260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDELAQIDISTEEKDKLVAEVMRYVLFKTHQNSGCPIKREELTQIITKNYRQRALPALVIKEAGDRLAATFGYEMRELQRTRATSTRSGRSSSQQTSNVDAKSYVLVSKLDPEVYSKYVEDKERAHVSGFAFVVISIVHVAGGKISEEDLWRQLKRLGLNETDENHPVLGNNKQALELLVQQRYLLKEKIAGPEGHSMVYELAERALDESISSKLKEYISQVVGISTATAE >SECCE3Rv1G0159640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:97717546:97719420:1 gene:SECCE3Rv1G0159640 transcript:SECCE3Rv1G0159640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGSRVATYLRRARLIDSLRLRLRSPSSPPPPPPDDPVVALHGIRAAPTPAAALSFFRALPSPAPLPLFHALASRLANPASLPDLRSLLASFPLPPPPLVRLRLLAAAGDHPAALAAFASIPTTPHRPAEAHTLVISLHAGAGDHAAAVDAFGAMVREGALPNARTYTVVVSHLASAGFVDQALEVFRLLPSLRTRRTTRQYNVLAEALAEAGRFDQLRWLVREMAAVDGVMPGPQTRAAIAAMREAGHTEGTEDFVEELSPDARIPYAVDDADGEGDSEEEEDVVKDTPRAKETPLKPWLDPRELARALEGWDPKEVAELEAAGIVWTPRLVCKLLRAFRKPGTAWGFFCWVACRPGGFAHDRDTVARMAAILARAGRDDLVERLLAKVRNDGILLPFATVRLIVDFYGLSKKADAAVKVFRDADTICGPVSRPNLALLCSSLLRTMAKCRRGRDATELLEEMMATRGVLPDLQTFSGLMEHLAGAGDLKGVHRLFGMVRQCELRPDGHMYAVLIRAYCKQERAALALKLFDEMRGAGVAPDAPTKALLVKSLWREGKLREAASVEERCEEMITAGGGLPGAAPGHVWTASAADLNKVYGIYSGCFRQHDAEHLAADEGTG >SECCE6Rv1G0438180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:788259729:788260631:-1 gene:SECCE6Rv1G0438180 transcript:SECCE6Rv1G0438180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLKGVHKRLGLPSISISCSSADATNIIASTGSGTRSSGRAIDRHSPRLRDPHRSSTSKPPRPSTSAKDSATSGDSKQQHGHGNYKKKKSATAAAGTSEKRMVSPATSSRFLLNSSRLQSDDLDVFALPPPPPSSSIDVFPGGEGKPTSLIVAFPGDAALPLSFAKEAPPPLQQAESSSSGTSSSSASSSSEIAAVQQEQQQKAAVLARSSTTTARRTTQVVVVLRVSLHCKGCAGKVKKHIAKMEGVTSFDIDIPSKKVTVVGDVTPLGVLTSVSKVKPAQFWPSQPQPPRASASF >SECCE6Rv1G0431330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746230402:746231103:-1 gene:SECCE6Rv1G0431330 transcript:SECCE6Rv1G0431330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPHVSETEVEQELHRLEASISSSNSISMMCDGLRSLANIYDGLEEIICLQSNQACSSQKRKMLDAEMECSIELLDLCNTMQEIFTEMMAVIQELQVALRKGDDAAAQAKLQSFSRLAKKARKHFKKTAKKPASDKMVMLLTNAREICISLLESTVHLLSKQIEMPKQSLVCKAFYKKKVVVCEEGQLQELEYSIEDLQNGAGNLFRKLVQNRVSLLNILSS >SECCE4Rv1G0217090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14337877:14350142:1 gene:SECCE4Rv1G0217090 transcript:SECCE4Rv1G0217090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDTGAPAAVGAGKGDEPEPPRELGAAGERTAPDHHAPVSPGASGAVEAGQDDAPKPEAEQEEGGVDEEDNEEDAPTHLPFAPAEEESLEETTTVDPSYTISLIRQLIPKGSSVEKEFSDKQRVNSDDEDSMQPDNTDPSEKSDKQGLPEERSVSRKDQLEECGCILWDLAASTPQAELMINNLVIEVLLENLRTENSSRVKELCLGIMGNLVCHESLVAAISLKKGLIATVVEQLFLDDVKCLTETFRLLAAVLRSSASVSWAQALLPDEILSRILWIVGKTESSTLLEKIVDFLSTVIDNRDVVAMLLQPLLKLGLVDRVTGVLTIELERSPDEKLDRSDSLDLVLHFMEELSAINCVSKAMTSNDRLIQVLVNMIKSPDKVEVASYCASAVIVISNILTDGKHLVPKISRDLAFLEGLVEVLPEVPDDDQARYALWSVLSRILAQVQVTGLNSSSLDRFASLFSGKLGLIKDDLESQVVDEEKSTPEDALLKGWTSRCLMVISFFMERWIEEKSSTGDFIGNAREVLSYCQKALR >SECCE5Rv1G0307570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:115776339:115779320:1 gene:SECCE5Rv1G0307570 transcript:SECCE5Rv1G0307570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVLSLGKSVVIGALSYAKSAGAGEVASRLGVHRDQAFIVDELEMMQAFLMAAHDEPEDKDRVVRIWVKQVRDVAYEVEDSLQEFAVRLHKQSWWRVARTLLDRRLIGKQMRELRAKVEDVSRRNKRYRLINGDGSGSKPSNISTTTGEFPVAGVTMSGTDEARRQLDKAKMDLIRLISSKKDDDLRVIALWRANGDLEETSVIKKAYEDLKMHKKFECFAWIKLVRPVNPTEYLRSILRQFYVNSLEGTTMEAQDLRWMEMTKENDLVGEFKRFVSTKSYLIVLKDIHTIEEWNCVKVFFLNYKKGSRIIVSTEQVEVASLCVRQQTVTADHKQLHMDQALYAFYEKWQSRMWEASEGKAKGEGAITTLLLSLVQASCGPDHRDWHWR >SECCE4Rv1G0255380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:646055753:646060154:1 gene:SECCE4Rv1G0255380 transcript:SECCE4Rv1G0255380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASRACCKPSVLLTPRASSSSAAPRAQALLCRPSTSAALQGLRASAFAVAPAPRRRAASTGIVCGKVTKGSVPPNFTLKDQDGKTVSLSRFKGKPVVLYFYPADESPGCTKQACAFRDSYEKFKKAGAEVIGISVNDAASHKEFARKNRLPFTLLSDEGNKVRNEWGVPSDLFGALPGRQTYVLDKKGVVQYVYNNQFQPEKHIGETLKIIENL >SECCE2Rv1G0111480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:701307999:701309313:1 gene:SECCE2Rv1G0111480 transcript:SECCE2Rv1G0111480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCLQVALFAGTDAIARRSNFIFSPISIRTGLAVLATGTNGETLTQLLAFLGSQDLHLLNAASASLVSEMHAWPQLTFAAGIFADKSFSLRPEFVSAAASAHRASVRSVDFQNQPAAAAAEVNALIAENTRGRIHDLVSPDSFKGDPKIVLANAMHFKATWSRKFDRSDTVRRDFHRLDGTSVRVPFLSAPGMQYATSFDDDLGFKVLQCFYKKAGRDGKLDAKAPLFSMLVFLPHRRDGLRDLLRMAVTEPDFVMRCAPRREQVVNPCLLPKFKFSFRFDATDALRGLGLAAPFDPLAADLSGAVSNMPSEGLYVSAVEQMCAVEVDEEGTTAVAAFYTPTSPSYSPSARPPPPPMSFVADHPFLFAIVEYGKGEVLFLGHVVDPSD >SECCE4Rv1G0266100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:729344887:729346272:-1 gene:SECCE4Rv1G0266100 transcript:SECCE4Rv1G0266100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGDSLVDVGNNNYIFTIAKANFHPYGRDFKDHVATGRFCNGKLLIDFIAEKVGFNGSPLAYLNPEASGHNLLLGANFASAASGYNDHGTLIKAISVSQQLKYFKDYQAKLAVVAGSSNARSIIWGSLYIICAGSCDFAYNYINPFLDMNQTAEQFSDRLVGMFYNSVTQLYDMGARRIGVFSLPPFGCFPMAITLYGHGRSGCVSRLNNDAQYYNTKLKAAVDSLSKKYHDLKIVVLDMYTPLYNLATSPVSQGFTEAKRACCGTGTVEASILCNPLLPGTCPSARTYVFWDVWHPSEAANKVIVDSCVDEINNLVA >SECCE6Rv1G0431360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746295710:746297827:-1 gene:SECCE6Rv1G0431360 transcript:SECCE6Rv1G0431360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYQRSISLPSRPHVSETEVEQELHRLEAIISSSDSISTMCDGLRSLASIYDALEEIICLQSNQVCSSQQRNMLDGEMGCSIELLDLCSTMQETFAEMMVIIQELQGALRKGDDAAAQAKIQSFARLAKKARKHFKKSAKKPASDKMVMLLTKAREISVSLLESTLRLLSKQIEMPKQSLVSKAFQKKKGVVCKKEQLLALECSIGDLESGAGHLFRKLVQSRVSLLNILSS >SECCEUnv1G0528890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6143797:6144729:-1 gene:SECCEUnv1G0528890 transcript:SECCEUnv1G0528890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHFIHFGAATTTPTPPPPPPQAYNTSSATFDDYHSSPSAFDMGDADDMHLLNTLLLQMPTAAHYTFDMEPSSSTSSSSCSSYSSSDHHQHHQPTSTPVTAGQSPTKRRASQQPHPAATKGLIGVRKRPWGKFAAEIRDSTRKGARVWLGTFNTPEAAAMAYDQAAFSVRGAAAVLNYPVDRVQESLRTLALRPDVPGGSPVLALKRRHSIRKRSPNKAKKAALEPTKMMKESMPPVQQQQQEATAGVVELEDLGADYLEELLRVSDEPSASSTMVQLMGSFDHHHHHHHYVDDQSALANTAPMLFPHC >SECCE4Rv1G0225480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:94357212:94359976:1 gene:SECCE4Rv1G0225480 transcript:SECCE4Rv1G0225480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYTVQFLCSVVAAFARLVRALRKAMASVLCSPPVSVPATTSPASAPLSMRRKAHPALSVTVGDALRDQREAREHLLIAPAVDAFAACNGSGGAVQQVEPAKKAAWGARKRPSMLVIPVASEAVEVAAGWGTVVAEKEAEVEVEGEGFWLASRRGARHAMEDAYGVITQKVGGDSQQLAFYGVYDGHGGRAAVDFVSDHLGKNVIAAVLATTEEAQEAEPSSWSTDAVTAAIKAAYLATDSEFVKQGLRGGSCAATALVKDGDIYVANLGDCRAVMCRDGVATAVTSDHTAVREDERSRIENSGGYVSCGSNGVWRVQDCLAVSRAFGDGDLKRWVISEPEIRKLPLTAGCEFLVLASDGLWNKVSNQEAVDAVSRSSAGRDSTGCCKELVDMARCRGSRDDITVMVVDLKRFTI >SECCE2Rv1G0067600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19376228:19380867:1 gene:SECCE2Rv1G0067600 transcript:SECCE2Rv1G0067600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKIKFKNLLRRTSAAAGSSGYGGADEATPTTPPRVPPASPASPASPSPLQAPRPPRRPAGKDTDEVPDDEKINDSLFMSGQGENLPHDILRHDSVPLSKNEYFSQRRNWKQLLDGKRTYLRPPREHHVLTDVFLCPDTLKAALGRKKRLSRLVQKHSKNFFQEKMKLLCQHYAHFRRTRGDGSCFYRAFLFSYLENLGLMQDSQAEVTRLMEHVAMSRENFCRLKWDKAYFLNPEEYFSSVVSEFSHLVNSVANGLSSNELYNRSIQEIVPLRIISLLRLLAETEIRSREDDYKSLLPKEMDALTYCCKELRPLDVEAEVLAMRALTYALGIPLRVETVDDGLNRGIQVKRLDLFPRSESGKGPLHLVESCWLSITTPEPQEIGSGNLLSSDGTPLLTLLCRPRHCDILYRNEQN >SECCE7Rv1G0510220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:787952063:787953109:1 gene:SECCE7Rv1G0510220 transcript:SECCE7Rv1G0510220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRLAAIKAFDETKAGVKGLVDAGVTAVPAFFRHPPDPLSPSATLSTVVAAIPVVDLSGSRSDVVGAVRAAAQTAGFFQLVNHGVPEAAMSGMLAAVRRFNEEPAEAKAPYYTRDAGRRVRYNCNADLFRTLLGKWRDTVYMEDMDQEKNDEGLLPPALRGVAPEYTAQMRTLGGELFGLLSEALGVRRGYLEEEAGCLEALRVSGHYYPACPEPHLTLGTVRHTDPAFLTVLLQDGVGGLQVLVDDVGGKPAAWADVPAAPGALVVNVGDFLQLVSNDRFKSVTHRVVSNSVGPRVSVGCFFRANAARVCAPVVADGGDPPRYRSVTAEELLRSSIAQTLGDLRV >SECCE7Rv1G0480600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:271747029:271748159:1 gene:SECCE7Rv1G0480600 transcript:SECCE7Rv1G0480600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKSLSLFMSQPEAASRPPPDGRNSGYLVVKGDDDGDDDETCCWGQCGGTRVRDLPFPQDRVLTLRYTEHHGQSSTTYTDSVVFVPVPDQPVASNRYYAVVASGKRKGLVRTCSREEDMTPCCFCRCINDLKPQPFDPADLYQQIEIVQRRRGRFTAKAVAADGFPNYLYRKKYWRVYASKPTKNRLDLGDAPGLNVGLRSRQLADASLLDAFPAATAVSTAVGKWYCPFYLIKEDGVSPSEQMDVAAFYEVALEQRWEPVQNVHDGSKLHSRKVLIGGSLEARQEVSSGASRHGDGYVWFRAAAGQSVGVCASVWERMRWEEYRGGWVDEEEDAEKVAGRSVLVERFVVKRMDRTVVVAFDFVHLNKVRGKQA >SECCE1Rv1G0031430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:442320289:442322053:-1 gene:SECCE1Rv1G0031430 transcript:SECCE1Rv1G0031430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRTKPSPAMRDPKLKTARAHKPRHFHLLDKLRKRYFYRWRWLLWLALSAYLFLPALPPSLRGSSSEPRPSVRIYAYDLPPRFNRGWAAADARCARHLFAAEVAVHEALLLRQQRAGLRPEEADLFLVPVYVCCNFSTPTGLPSLAHARGLLADAVDLVRAEMPFWNRSAGADHVFVASHDFGACFHPMEDVAIAAGIPEFLKRSILLQTFGVQGRHPCQDVEHVVIPPYVPPEVGPGKLPAPEKAHRDIFAFFRGKMEVHPKNISGHFYSRKVRTELLRRYGRNRKFHLKRKRYDGYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADNIRLPFPSVLRWPDISLQVAERDVASLEAVLDHVAATNLTTIQRNLWDPMKRKALVFNRPMEEGDATWQVLKELEAKLQPLRRHGRRRIYM >SECCE1Rv1G0006520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:34536615:34537241:1 gene:SECCE1Rv1G0006520 transcript:SECCE1Rv1G0006520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMVEDSKSARVTDNLNSALTIHQLTEEKNKLDADYDKLVKDVHQLVDMQQDRVVDFSYLQSNLTYQHQCRVELVAGMKAEMAKKDADREKLNQKYELLCNLTSAQATVIQNLKLKNLKEKELLSEARQNLELKNADFTKFEEKLTQQKLELKFQVADLLKGKEKLDEEKYMLHLKIGELMKAEEKLKEKIKGIQAILQN >SECCE1Rv1G0036690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:511279010:511286047:-1 gene:SECCE1Rv1G0036690 transcript:SECCE1Rv1G0036690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAAGAPGSPVAAPDQVAARDAVIGWYRGEFAAANAVIDALCGHLAQIGGADYDAVFAALHRRRLNWFPVLHMQKFYSVADVAAELRRVSDARAAAYSEEEAASTVIHEPMDELVVTVAAEPDAEPEHEHEPIPEAQPDVSVHPAGADHEPEADGEDSSGDSSERKAASTEDDAVHDGHNTDQGSQGGHSLPESYPICSDHDECIARPERIKIQKGFMAKESVKGHMVNVVKGLKIYEDVFTTMELMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQHTTEETNCHIEPIPVVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETSMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFVRVRPSTPVDLSPLPSPTKAMTLWQPPPTAATAGMQKPHHGNNGAIIGYCPGPQAMLAPAWGMAVRAPVMMVAAPARPMVMAPSSNINKRMGRGGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIESQG >SECCE5Rv1G0325910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:470677143:470679294:-1 gene:SECCE5Rv1G0325910 transcript:SECCE5Rv1G0325910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEVDSANDMVEQEESSCSAPSPSFSGRNTKRLRSKVWDDFTPIFVGTKLAKAECMHCQKVYSVGASGTSSLLKHQAKCSTRAHKRPMQDKLQVLPSTQKSTVAAGSGLTQKKLPFSLTSQNKCLSTADAMLQKKGLALLDIPNDINQEVHQNSSHEELAAHKQKNQLGAKLGGPEQDIPTNMNRKNPEVDQGEPHKELVRIFAAQGHSPSTRAHDRFSKFVACLNPMVKMPHEYVMCRYFKELFDKEKTKLKEKLATLRSRVCLSAYVWHYDLLSAFLCLSIHYIDDEWEKQKNIIKFRAVDPSCSGEELSQSILYAIDDWGLRDKVFSIILDDAFLDDSVTSDVKARLQKWNLRSANRSVSTSVNQSLFVTRYATHLVKHVIQVGKDELEKVTQKSTKCSKYAKGHIPSVVHYPNHRYAPSPGGWKSAKKICKVMEDLQRHMDEIHNCCNPADLFDKVWDVKKLLHRDADSYIWGCSKISNELEKMQEKFKEQWKLCCLNICMPMIMDPSYRLKRIKSRLRSDAGSYHLEKRSFEDDIEDYIEEVHAILLNLYCEYSDPVEDTSCTSSRSKTRKRTLGEGRDTLMDYYQTNTYPYSERPMTELDQYMQEPGLSADESSALQWWKEHNLTYPTIARMARNILAVPLSVDCSLAIKTARRTICESSSLWREEVVCVQDWLRSDGSLSE >SECCE1Rv1G0017540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:156815958:156818516:1 gene:SECCE1Rv1G0017540 transcript:SECCE1Rv1G0017540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) UniProtKB/TrEMBL;Acc:F4IA25] MVSEAANAGIDRVLWTEAQIAARVAEVASELAADIRRLAEPAVVVGVATGAFLFLADLVRRVDAPLAVDLVRVESYGDGTESSGRPRVTADLKVDVAGKHVVVVEDIVDTGNTVSCLIAHLQKKGALSISVCTFLDKPARRTVDFQLVGGGKFYRGFECPDSFVVGYGMDYAELYRNLPYVGVLKPEMYNKKTEN >SECCE7Rv1G0509810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:783628846:783632734:-1 gene:SECCE7Rv1G0509810 transcript:SECCE7Rv1G0509810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT4G33470) UniProtKB/TrEMBL;Acc:A0A178V1U6] MELLRIPPSLPILGGRAFPLLRRYRLYGTQHPLTWSSLQTTGRKQNLNGGRSAHCAASHDGASVSHVNGAPKDSPLPDSLLRDARILYCASPAMGHNKEAHPESNKRVPAIVDALEKLELTPKHRGSQVLEIQNFYPASLDDVARVHSRTYITGLEKAMSKALDEGLIFIEGTGPTYATETTFAESLLSAGAGITLIDSVVAASKLGPSPPLGFALIRPPGHHAVPEGPMGFCVFGNIAVAARYAQHQHGLKRVMIIDFDVHHGNGTCDAFYDDPDIFFLSTHQLGSYPGTGKMNLIGQGSGEGTTLNLPLPGGSGDYSMRCAFDEVIAPSAQRFKPDIILVSAGYDAHALDPLAGLQFTTGTFYMLASSIKQLARELCGGRCVFFLEGGYNLQSLSSSVADTFHAFLDEPSLAAQFDDPAMLYEEPTRRIKDAIEKVRHLHSL >SECCE5Rv1G0368730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:830556692:830558098:-1 gene:SECCE5Rv1G0368730 transcript:SECCE5Rv1G0368730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVRIVSRRMVRPAPSTSRPPERQPETVHLTPWDLRMLATDYIQKGVLLLKPPAAGTQGQGRNVTVVDRLASSLASALGGFYPLAGRLALNDDGDGAGQTISLRCSDEGAEFVHAVAPGVTVADVTALYIPRVVWSFFPLNGVLGAEATTGSCPVLAAQVTELDDGVFVAMSLNHGVADGTIFWRLFNTWSEISRRSVDGDRESDISSPLPVFERWFPDGCVVPIPVPSCKLDDTVGQRRRAYGQRPPVQECFVRFSGESVKNLVSKANTEMSGSGATISSLQAVLAHLWRAVCRAKRLAPEQETRYALLVGCRGRVDGVPAAYSGNAVGHVAAESTAGDILEGGLGRAAWLLNRAVASIDGARETGELAAWAREPSFTLPPAFTQGAGARALLFTGSSPRFDVYGNDFGWGAPVAVRSGGGSKVGGKATVYEGGCGAGSMALEVCLAPEALARLVVDEEFMSATVP >SECCE7Rv1G0460400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:31246948:31252041:-1 gene:SECCE7Rv1G0460400 transcript:SECCE7Rv1G0460400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVSPAPAAAAFLPRAPSPGASPRGATALSRHRSSSGGRAVAAGAAATGDHWGADDSQHEQQYRGGAGRGGTRRAGPSVQCDVDVVSWRERRVFASVAVAADVDTVWRIITDYERLADFVPNLVHSGRIPCPHEGRIWLEQRGLQQALYWHIEARVVLDLREVPDAVDGRELHFSMVDGDFKKFEGKWSVRAGPRSASAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLTALAFRSEKMYLENHKFGPTKFTGAESKPLNLRSATVENDAISSSKFKEAPASSGFGGVLASPPPEVNGKWGVYGSVCRLDRPCVVDEIHLRRFDGLLEREGAHRCVVASITVKAPVREVWNALTAYEKLPEIIPNLAISRIILRDNNRVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYVEKAEAERSNSKVHSDAPTNLDTVPLYYTEGRQPEQASVHCSSTSTRQRSKVPGLQKDIEVLKSELGSFIAKHGQNGFMPKRKHLRTHGRVDIEKAITRMGGFRKIATLMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPSYMPSRKSFERAGRYDIARALEKWGGIQEVSRLLSLEPRRPRKQEDADGESEPESPSAAAAATEHHPGSSKASVPLDAQKWLLKLKDLDINWVEY >SECCE3Rv1G0156200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70458424:70458705:-1 gene:SECCE3Rv1G0156200 transcript:SECCE3Rv1G0156200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFSILSASPADIAPGAGSGAGWARFSWQRQGRRLQDDDGQARAGQEGKQGGLPAEAEQQPDKGKPPTLLPRFAPEFDGIDCFGTIVCH >SECCE2Rv1G0136270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910873389:910875094:-1 gene:SECCE2Rv1G0136270 transcript:SECCE2Rv1G0136270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAIPDELLVDIFLRLPTPEDLIRASAACVSFRRLVADRAFLRRFRKIHPPPLLGFLDSGRHLFHPAVPPHPSAPAASAVALVADFSFAFLPAPHQDWSVREVRDGRVLLDRPRRHDSGDGFGALFMEMVVCDPLHRQYLLLPPIPDDLAAPVVDQLLIQEQRFDEAFLAPPGVDEEAAAMEGTSFRVIWMVLLQAKPMALVFSSGTRQWRAASSELLPGFVLSSWMVLSVSRHYAHGRFYWISGLSEKLLVLDIQRMEFSMANHPPAFSGGVAIVEAGQGMIRMFVHNPDAPLFVPTTHTEPLRYMAWRNNGGISTRWQREKKTVSLDSGSVLTGAVGRHLLLYQCGSSSVQTGGFTLDVDTSQVERVCASFPAPSHVYCNFPPSVLSSPTVSSGARHADVQGAGDAVLVPEVQEAGPGGAQGTVLPLVVQAPDHLLVIVNDAEAGRGVARGRRTGLTS >SECCEUnv1G0541620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98078730:98079419:1 gene:SECCEUnv1G0541620 transcript:SECCEUnv1G0541620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKSYAQILIGSRLFLTAMAIHLSLRVAPPDLQQGGNSRISYVHVPAARMSIVIYIATAINSSLFPLTKHPLFLRSSGTGTEIGAFSTLFTLGTGGFRGRPMWGTFRVWDARLTSVFILFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSPVNWWNTSHQPGSISRSGTSIHVPMPIPILSNFANFPFSTRILFVLETRLPIPSFPESPLTEEIEAREGIPLKT >SECCE6Rv1G0450580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:868680119:868682612:-1 gene:SECCE6Rv1G0450580 transcript:SECCE6Rv1G0450580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin dehydrogenase 7 [Source:Projected from Arabidopsis thaliana (AT5G21482) UniProtKB/Swiss-Prot;Acc:Q9FUJ1] MMLAYMDRAAAGAATERDALELTVVAADAAECAAARDFGGLVSARPAAVVRPASADDVASAIRAAARTTHLTVAARGNGHSVAGQAMSEGGLVLDMRAGAASRRLQMKLVSSGGGGAAFADVPGGALWEEVLHWAVSNHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVAELEVVTGEGECRVCSPSAHADLFFAVLGGLGQFGVITRARIPLSPAPQTVKWARVVYASFAEYAADAEWLVTRPAESAFDYVEGFAFVRSDDPVNGWPSVPIPAGARFDPSLLPAGESGPLLYCLEVALYQHHHEKPDDVDERMGEMMRRLKYVRGLEYAADVGYVEFLSRVNRVEEEARRSGSWAAPHPWLNLFVSARDIADFDRAVLKGMLADGVDGPMLVYPMLKSKWDPNTSVALPEGEVFYLVALLRFCPGGGAAAAEELVAQNGAIVEACRSRGYDFKTYFPHYRTEADWARHFGAKWARFVDRKARYDPLAILAPGQKIFARTPSSSRTDRS >SECCE2Rv1G0067870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20336050:20337564:-1 gene:SECCE2Rv1G0067870 transcript:SECCE2Rv1G0067870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMETDLAAAKIFPLPVDSEHKAKSFKLLSFAAPHMRAFHLSWMAFFICFVSTFAAAPLVPIIRDNLNLTKRDISNASVASVSGSIFSRVAMGVVCDLLGPRYGCAFLVMLTAPAVFCMSLVHDPAGYIMVRFLIGFSLATLISCQYWMSTMFSGNIIGTVNGLAAGWGNVGGGATQLVMPLVYEAIRSRCGATPYSAWRVAYFGPGTLHIVIGIMVLTLGQDLPDGNLWSLQNKGQVAKDKLAKVAWGAITNYRSWIFVLLYGYSAGVELCTDNVIAEYYYDHFHLGLRTAGTIAASFGLANIFVRSMGGYFSDVGARYFGMRARLWNIWILQTAGGAFCFWLGRASSLPASVTAMVLFSICAQAAEGAIFAVIPFVSRRSLGIVSGMTGAGGTFGAAFNQLLFFTSSNYGTGQGLQYMGIVTMACTLPVMLVHFPQWGSMFFPANVGADEDKYYGAEWSEEEKSKGLNARTVKFAHNCRSERGRHRNAILLANDTNQQQHA >SECCEUnv1G0531150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16190735:16192552:-1 gene:SECCEUnv1G0531150 transcript:SECCEUnv1G0531150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPERSSGRCAAPWPPLQEAGSSPYMPSLCSPSGSPAVKRYGDRFIPNRSAMDMDMAHYLLTEPRKDKENSPSPSPSPSPAKEAYRRLLPEKLLNNHTRILAFQNRLPEPANNFLAELRADAASIQDKPAKRRRYIPQSPERTLDAPGLIDDYYLNLLDWGSANVLSIALGNTVYLWDASSGSTSELVTVDEDDGPVTSVSWAPDGRHIAIGLNTSAVQLWDSSSNRLRRTLQGVHESRVGSLAWNNNILTAGDMDGKIVNNDMRIRNHAVQTYRGHQQEVCGLKWSASGKRLASGGNDNLLHIWDVSMASSAQSTGRTQWLHRLDDHLAAVKGLAWCPFQSNLLASGGGVGDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNDRELLSAHGFTQNQLTLWKYPSMVKMAELNGHTYPVLYMAQSPDGSTVASAATDEELRIWNVFGTSDAPKAAVYRGTFNARNHIR >SECCE6Rv1G0383980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:59166247:59168445:1 gene:SECCE6Rv1G0383980 transcript:SECCE6Rv1G0383980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLLNLLLMEVAAIVSIILLSLLVVLSSYRRRAGHPALRLFVWTASTLFLPLVSYAVSAAAKWDAARVPLLLAWTVFLQILRNTIDTARCSSLTIDSNASGGSKFRPSVEQLARMGWVAFLIISSGGEAGSPQLTGVLLWLWVLSLVKLIHRLVAAELAKNSFAVGLNAYLISDYMKQLHVHGREQDQGEDSAQDGVPPYLVMGEEKLHIEARPHGYQIGRTSQAPSLCADAGHVVTMDRIWRLFSAGDSLLASYPHTKDLCLSFSLFKLQLRRFLGCPLAEVGSRRALAFVENGLLAGSPERAFRVIETELAFLADFLYSKLTSFYASGWWFPVLNSILVLATWVSCLAAGGAIVHDMTNQGTALAVDYEQLRNYLQRHDTVFHAIVGLDVLVTVSFIAAIVFTEGWEIANYVRSDWIKVATICEYARRPSWRRSRWTRGKLGRVLRFTAVQRWDDRFGQTSVLHTRTCYCGCVSRQVDRISKTSVPVPASVKATIVTTLRTNHGALGNGVLSLQRSGVADRFTWACRVGAGGDGSSGERSISEQILVWHVATSLLEVKRSEAAAHGSDNDVEDGGDCDDTVVVATHLSRYCAYLVAQKPQLLPDHPAWTEELYEGVVEEVARVLARCAGPLVRYERAATCLGGSMNETLRKASKLARQLAEEVGDEEVVWRILAEFWAELIVFLAPSENVTAHAKSLRRGGEFITVLWTLLGHAGIICRPESDVEHSR >SECCE2Rv1G0120780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:801568790:801583579:-1 gene:SECCE2Rv1G0120780 transcript:SECCE2Rv1G0120780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMELEDVVLSWSAQEIADDDLYRDKVETIPCNFKSLDHYLTSYRVPLIEETRSDLCSCLELISEAPSSKILSMEAAGKPGSYFMGVDFWDNDAGFSTGAYYARNGDIFILSSIKPEAAQDLNRYGVTYCLAMITEVSMDDEYHKGFRVKVAKNIGSEGEDLNKLKHAIFLNNITTNIRIWKALTFDMHMNDNFTVIKSLLAPTNQGEDVCRICVNQDGGCLASFTEQLLSVKLNRSQVDAIESVISAVRCGHVNLMKLIWGPPGTGKTKTISTLLLVLASLKCRTLTCAPTNVAVVGVCTRFLQTLKDFNEHIDNICLPSSLGDILLFGSRSNMDITEDLQEVFLDFRVDELVECFSSLSGWNYRIASMISFFEDCVSRYDMLLEDDGKIDPVCFLDFIKKQFDATAIALKRCIMNLWVHLPGSCFSRDSVINISSLLNMLEKIGTLLCDVDWTDESLKRGLGCLLTENSVCAQPVSSFEKELEETRSSCLKLLKDLLHSLNLPTGVGKDWVQSYCIRNATLLFCTTSSSYRLHHMEIAPLEVLIVDEAAQVRECELVIPLRLHWLKHVVLVGDDCQLSAMVKSKVCKEAGFETSLFERLVMLKFDKHLLNIQYRMNPCISLFPNAQFYEKKILDGSNVLSPSYNKDYTCLPFGSYTFINVTDGREDKEGTGNSRRNMVEVAVVLHLIHTIFKSWKNRNQGLSIGVVSPYKAQVDAIKSRLGEKYDTCDGFHVRVKSTDGFQGEEDDIIILSTVRSNGRGVVGFLADNRRTNVALTRARHCLWIVGNAQTLYKSGTEWTDLVADAEKRKCVFSATNDATICKLVLQVKQELDELDDLLNADSAVFSNTRWKVILSDEFRKSFTKLKSQQLRKEVLQKLIRLGDGWRTTVKNLDIPGVSHLAKVYKVWNLYLVWSTDVEKTEGRYFQIIRVWDLLSQQNVARTVQRLENLFSMYTDDYLDHCRRVQTQGKLEVPMVWHIEHDIIRYNKDCKVAAHEEHDVVDTSYAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEEQIIIQFPLTSFILGRSGTGKTTVLTMKLIQKEQQSLIASQGLHLDGDDLSGLDHKNIMPLKDAGENFVKQVFITVSPKLCSAIKNHISGLKRFGTGDVSDQSSILHMHDIMDDLEEFTEIPDNFCDLPNEHYPLTITYRKFLMMLDGTCRTSFFEAFYGEMKTSFERGHSRSRAVQTFIELKEVTYEKFATFYWPRFNADLTKKFAASTVFTEIISHIKGAYQASRPYVGKLVREDYVMLSDKRFSSLNNEKRDIIYDIFLEYESMKCTAKEFDLSDFVNSLHSSLVSEGYNGDMVDFVYIDEVQDLTMTQIALLKYVCMNIKEGFLFAGDTAQTIARGIDFRFEDIRSLFYTAFLAETEASNQGLKHGKKVHLSDMFQLSQNFRTHCGILRMAQSIMSLLYFFFPSSVDKLSPETGLVYGEAPVLLESDNDENAIMTIFGESKTKHGNLHGFGAEQVILVRDDAAKKQIIDLVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLRNKWRVLYGYMKDKNIIAHSEEISHPGFDRSKHYLLCSELKQLYVAITRTRQRLWICENTENYCRPMFDYWKKLCLVEVRLLDSSLIQAMQTGSSSDDWRIRGTKLFNEGQFEMATMCFEKAGDAHREKLARAAGLVATANRVISTNLELGKASLQTASEIYESIGMHEKAATCYIKLGDYKKAGMVYMEKCGTSKLEDAGDCFAMAECWSEAAEVFLKAKCYTKCFSMCSKGKNLFNLGLQFLQQLEEEHSFENSKSLEVSAIRTNYLDNCAQHYFERGDIKQMLPFVKAFGSMDHARAFLNSRNLVDELWSLEMEMGNFLEAAGIAKHNGDVLLEIDMLEKAGLFEDATRLLLLHIIVDSLWSSNSRGWPPKRYAEKEQLLSRAKEMAEKVSECFYCFVCVEADALSDVNKSLPSLNCTLVEGRKCANLLVELVASRSIVDVHLQSRASGYNIELEPGSEDENSCSNMLASNQMSPQTLFYVWNHWKSIIIKVLSHLRHTDGPELNDYAVMYEDLCAKYFGLRKDGEVDRYVVLNVNASWLSTAGRNSLQQDGNRCFLDAPQCYSCAQCFWMNELSSVGFSVLKKLESIVQISPKPSSCYTLVRTILIINEIAKFLEEPQFSMPKNTKLRSFFVLCERRFFELVFLVWRDGTTRSLLHLLDSPAAYGLIADSLSSNLRPANKNLTHGHLGRTTMLLLHAAQLNEELLSRLLQYLDNNSEWADFFQYFKKFLDSGGDRSSLILNFKLALDFTFNVKWKDELDYISPICYVGLLECLGFMASSYLIQNDFICCTKSLLVNMLECRTSKAYIDTCLVSKSSPDSDLDRLAYSSGRFIYQTIITILTTKHMLQEWVLKTSSPSSTSYKPVLLRLVVTLYPLILTLSLGNCYEVTHNLLRNEVFKDLPVEFSQKIVHALQMKSRTPSNFTRVLADALAAIGDNMVVIGSPKGPVICRNLNAYMISKEDLHDVPKIMALLSPEEASSVQETQLPEKSDGDKSGNVISGNIPKAIQDNEMEHSDEYDTVEMLFEKLEILKADKEGGLKDPRCVIEYLICALPWLENGLLAAIDKRLLEDVRRICSEFEKGSEWAQRNACRAVEKLWKGENKLPMIFRMVTAARLCMVEDQMREKSMNDRSNDAAAADAQPHTDAGGCSDDDEPDMGEAATSTSKKTAKKQKSKKKSKKSKGRGKK >SECCE6Rv1G0435940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:773512522:773513180:1 gene:SECCE6Rv1G0435940 transcript:SECCE6Rv1G0435940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMVKQGGTPGDRGKPGGDGDKKDKNFEPPTAPSRVGRKQRRQKGPEAAARLPGVAPLSKCCLRLLKLDCVKDYLLIEEEFVAAQERLRPTEEKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVIAHRIQDLGA >SECCE4Rv1G0242380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:476183357:476188493:-1 gene:SECCE4Rv1G0242380 transcript:SECCE4Rv1G0242380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-CC family protein, Pi-starvation signalin [Source: Projected from Oryza sativa (Os03g0329900)] MRRCDLRQSHNSRVSGGMSSSLPILPNSLKETFHGPYNPQLTPMQRQLSDLVPLHQSALPSATLHPRAGAMRSSYADSLGYSPNPLDSAANHERQSMVAPFAPQPSDIEVFQTLSNNIPGGQTEATWFPGSADGLSDYRDNIPASGSQIQNGGPAVTSDVVAKQNEWWAEIMNDDWRDILDATAADPQSKSMVQPSNSAASQPAVNQPASSHGGEICNVASPPNSNSAAKQRMRWTPELHECFVDSVNKLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLMEGTAEKRTTTEELTLDLKSSMDLTEALRLQMEVQKRLHEQLETQRKLQLRIEEQGKYLQMMFEKQSKSNTEKAQDLSSGATTTLSSEPSHPATRNRDNDAADDLNRTGENPTSAEIGETFIHAGGNQEMAESESSDPLANTNDGSKAPQEKRRRVHDS >SECCE2Rv1G0086940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:217487532:217490493:1 gene:SECCE2Rv1G0086940 transcript:SECCE2Rv1G0086940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRRELEKKRKAATADFGGKNFVRRSELEEKQLQKRRQIPAKGPSVPTPNSSAASGPTNSNAEPAQAGDVNPNPSSSAAAASVPPALAGKKATPEDTLHSEERRIDELVLPRNEVMRRLRVLREPVTLFGEDDADRLDRLKLVLKSGAIDDIDDLEMTEGQTNDFLRDMIELRMRQKAGRDAYGKGKGKRVGAGDGGEGGALGDDVDEGDGDARRSGDDADADKDSKRMKTKFEELCDEDKILVFFKRLLNEWNQELDDMTELEKRSAKGKSMFATFKQCARYLSPLFEFCRKKVLPDDIRKALLFIVDCCMKRDYLQAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTLCQRRYPALPSKSVEFNSLANGSDLQALLSEEKNPTKGPSEDRLRLMPASKE >SECCE5Rv1G0302600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:40020009:40020463:-1 gene:SECCE5Rv1G0302600 transcript:SECCE5Rv1G0302600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALARAARGLWPAAAAAAGRGNRGQVQPSRGIVVQVRDGNLERALQVMERKMRSSGMERLIKRRTEHHVKNSEKRVLARKALMARVRSQELGKSLRDILIKKIRAV >SECCEUnv1G0567340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:413886208:413887338:-1 gene:SECCEUnv1G0567340 transcript:SECCEUnv1G0567340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGNSSPDIPTDALVDILVRLPPNARRRVRLVCRQWREAVDERAPVDMRRRGAKILVVDSYGCTDVFSTDGSRTNLWRDYAMAGRYKRSSVVGTCNGILCLCNDFMPGGAITLANPSTGDAVSLPPLPPPTASVLLYEYCRKSWHQAYAFACHLTTGRYKVVHVPAHYESFWEPGTVHVFTLGEASWRDVEAGPSARCSPGLSSLAAVEGTLYWLTEDAGKIMSFDLDDDRVVPTVPLPVPVKSSRCRLTKVHARLGVAGEQWSRRYVVEVHGPRQLAVPHFAHGDYVLTHGSSEVNGGVSLYRHKMSGAARVQTGVVQIRKKDGEEVARLVHPVYRTFEYVETKESLRVYKTAFHEMGLW >SECCE7Rv1G0495550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:599310179:599313853:1 gene:SECCE7Rv1G0495550 transcript:SECCE7Rv1G0495550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKPQPSAKKPQRRSGRVNPAAAMADSADPTPSPPPPEASSAAAKPVSVDPAPESASPAAEPVSVDPAPPQAPEAATPAAAAKTVSTDPVPPQAPEAATPAAARPDLGGPPRRSATGGKLKKRLTDKLRAAAEERLARIPVDLRFRPLEPPPPSISNHEAALRVLGLLDFARFDLGSEPPRPDLVAQLIAYYDRPNQRSFVWDTRVPVNRSVFAKAFNIPRKYVQPPAQPPAQPRGMKTAALVSAALEFMRVYIHPWLTDRTLLQEVANAEKMVKDGSVLKVNWGHIIWSIIDKEITDLPKRDDGVCQYGAYLQRLIRVERPQLFDLPQKEEAGKLVQKVSFDLVKDERDGDSDARNKGLQELEPIDADADASSKGPKESELEGVSLTSKSLDVLGPADDDASGNDLKELESGDVRSNILEESENTGVDLRSNDLNESGDATKILEESETEGVDLRSNDLNESGDARSNILEEPETEGLDLRNNDLKKSDSGDAGSKSMDELAGVDANAFSKSPDTKVVADGDAKGMSLDVEMESGDADANAGSKSPDTSKVMDEDAKGLDVEMESGDVDANAGSKSPDTSKVMDVDVEMESGNADANAGSKSLDSSKVMDEDAKGLDVELELRAMDPNASNNSPDTLKVVNEDAKVMSLDVELESGDADANARNKSPDTSMLVDEDAKVDVDANASSSKLETPNMADEDGKLLVGVVDANASSNGPEPPNVADEDDELQLGDVERLETSKVAGEDVKGMSLDQSKAGDSMVLEEAVAPTHEMNTVNGEALADFAWEEDENTIVGAAEKDACPSPEEVIAVPEEDEEGEEEEEKDEAGWSSANDDDGSMDVEDNVSVQNLDSDNEEAEESEHDAFEGCSGGVEMNWGIGDEKGGEGTTHCLQQCDFPGVEFENLNKGNVGMRDGVNFDDGFKMGSLHGMESNLLQAMNSDPATYNGTENAHDLSSGNFLAMGADAHKNGVDLGAGNSFFFPNNNGKRHVEDIDDGYDDQMQTQQQFLQGNQHKRMRNSSSSVPPGSAFFNANYSEPIQNLLVNASMLYEQKEREIQEALSQKQFMANLLQEKEAVIHSLNSARFEQENKLQAKLRCFEHDLNVMGQLVSGYKKALKQSHASFNEYRKKFPSNEFRYHDVRNGGGLVLGVRELERKRLEEEQKKVAAANEMIESFKREWFLKANDWENRINLLWSKTEGLARELDLLKEKRKSKLAALAREE >SECCE5Rv1G0351550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:700917405:700918615:-1 gene:SECCE5Rv1G0351550 transcript:SECCE5Rv1G0351550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRRNVAAILVVVLACLVSLASAEQIKTTNTRWSLRLPLPDGVSGAESLAFFGKDGVYTGVSDGRVLRWGGSAAGWSTFAYNANYRKIPLCSDSGVPSEQKESICGRPLGVRFNRKTGELFIADAYMGLMKVGPDGGEAQVLATEADGVPFHFLNGLDVDQATGDVYFTDSSANYPRRFNTEIIMNADATGRLLKYDARTKLVTVLKAELPYPNGVALSRDMTHVVVAHTVPCQAFRYWLKGPEAGRYELLADLPGYPDNVRRDGKGGFWVALNQERARMNATEAAPAKHLVGVRLSADGVEVEELTAARGVTLSEVAENGNKLWLGSVELDYIGVFA >SECCE2Rv1G0122820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:819383354:819383656:1 gene:SECCE2Rv1G0122820 transcript:SECCE2Rv1G0122820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACAFFFDAEPVGEPGVHALDACALCTKPLAQDSDIFMYRGDTPFCSDECRRERMQLDAACARQAARAAARRQKQFSAGTNSGRERRESWEVSVAS >SECCE7Rv1G0508270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:770823137:770824088:1 gene:SECCE7Rv1G0508270 transcript:SECCE7Rv1G0508270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYSPNLRQAAAGAGATSAPLAAALGKSSSSSLIHGRLSFGHTSLQTSNHRAKRAGWAVRVLPLTEENVERVLDEVRPSLMRDGGNVALHEIDGLVVVLMLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQIHDTETGLELNTENVEKVLDEIRPYLSGTGGGSLELDQIDGFVVKIQISGPAAGVMTVRVAVTQKLREKIPSILAVQLTE >SECCE3Rv1G0187700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:704084694:704086605:1 gene:SECCE3Rv1G0187700 transcript:SECCE3Rv1G0187700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSGLSKSQPLPLLAGASSPRRALLAGLLRAGYFSKSTTSSPTLRPSTTRASTRAAATPRDPSRFGLGHRVSFSTAPDGSDSVGGSGGRSLPWLAAGSVDGGVPTARSRAGRSSSWESSADKFFSKGDQSARVETLEDRVSYKEGGIGGEENEPIDNPKWGRIKDKFQRNVATDERPRDRGERFEKPDVRRWNKQEDRGERFDKPDVRRWNKQEDHGERFDKPDVRRWSKQEDRGERFDKPDVRRWNKQEDRGERFRGERFNQPDVRRWNKQEDWGRKTWKEVGESTVPKMVGEGVYGVGPVLAALTAGRREFYALYTQEGMDLSRSNKKKKDKRGIEKVLLMAETIGLKVIEASKHDLNMVVDNRPHQGLVLDASPLEMVNTKELERVRVHGGKAPVWIALDEVMDPQNLGAIIRSAYFFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTVAPKAIPLSEVTTGEPTILVLGSEGTGLRPLVERSCTHLVKIAGNADGFVVEEEIDATDADIGEEGDNYSGNQDMKSFLAVESLNVSVAAGVLLYHLAGKNVHPVTEKPSISPV >SECCE6Rv1G0402850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:490086599:490089682:-1 gene:SECCE6Rv1G0402850 transcript:SECCE6Rv1G0402850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKVLMSDQLEEWKEYYINYKMMKKKVKQYVQQTQDGGRNHEQVLKEFSRMLDDQIEKVVLFLLKQQGHLASRIEKLGQQRAILTEHSDISQVSQVREAYRQVGLDLVKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVSTRANHPYSQLQPIFKQVGIVAVAGALTRNLASLQDHQGSFISIYDHPSITLKDPIIEQINHSVQKLTCSTTFLTFLGQHALIIPEDVQASSEDLVDDQSYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFSGNLLYALAYDLNSLTVLILGRLLCGLGSARAVNRRYISDCVPLKTRLKASAGFVSASALGMACGPALAGLLQTEFKIYAVTFNQNTLPGWIMCLAWVGNLIWLWISFKEPDHFAKENAVNTQSSDSGHGRNDNLEGGLAQPLLTEAKERQDENADNNEKDPKESHKPATSIAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAIFLAVLGLTVLPVNVIVGSYITNLFQDRQILVASEIMVLIGIASSFHFGSSYCVAQYVVSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQSQLLNTTLLPSLVICVASIAATFGTYNTLY >SECCE7Rv1G0503690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:715387922:715394582:1 gene:SECCE7Rv1G0503690 transcript:SECCE7Rv1G0503690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEAAFGVASLRLGSSYRERGGDVFSRASSSRAADDDDEEALMWAALERLPTHARVRKGIVGDDGGGGLVDVAGLGFQERTRLLDRLVRVAEEDHERFLLKLKQRIDRVGIDFPTIEVRYDHLNIEALAHVGNRGLPTFINTTLNSLETLANLLHIIPNKKIPINILHDVNGIIKPKRMTLLLGPPGSGKTTLLLALAGKLGSDLKVSGKVTYNGHGMNEFVAQRSAAYISQHDLHIAEMTVRETLAFSARCQGVGSRYDMLTELSRREKAANIKPDPDLDVYMKAISVGGQDANIITDYILKILGLDICADTMVGDDMLRGISGGQRKRVTTGEMMVGAERALFMDEISTGLDSSTTYQIVKSLGLITNILSGTTVISLLQPAPETYNLFDDIILLSDGHIVYQGPREHVLEFFESMGFKCPDRKGVADFLQEVTSRKDQQQYWARSNRRYQYVPVKEFARAFQAFHVGQSLSAELSRPFDRSQCHPASLTTSTYGASKTELLRACIEREWLLMKRNMFVYSFRAFQLLVMTLIVMTLFLRTNMHHGTVNDGIVYLGALFFAIVAHMFNGFSELALATIKLPVFFKQRDYLFFPAWAYAIPTWVLKIPISCVEVAITVFLGYYVIGFDPDVGRLFKQYLLLLFVNQMAAGLFRFIAALGRTMVVANTLASFALLVLLVLSGFVLSHHDVKKWWIWGYWMSPLQYAMSAIAVNEFLGDKWQRVLQGSNRTLGIDVLKSRGFFTEAKWYWIGVGALLGYVVVFNILFTLALSYLKPLGKSQQILSEDALKEKHASITGETPDGSISAVSGNINSSRRNSAAPGDSGRRGMVLPFAPLAVAFNNMRYSVDMPAEMKAQGVDEDRLLLLKGVSGSFKPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARISGYCEQNDIHSPNVTVYESLVYSAWLRLPSDVESETRKMFIEQVMELVELNSLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSCDLIEYFEGIERVSKIKPGYNPATWMLEVTSQAQEDILGVSFAEVYKNSDLYQRNQSVIRDISRAPAGSKDLYFPTQYSQSSITQCMACLWKQHLSYWRNPQYTVVRFFFSLVVALMFGTIFWQLGGKRSRTQDLFNAMGSMYAAVLFMGISYSSSVQPVVAVERTVFYRERAAGMYSALPYAFGQVVVELPYVLVQSLAYGVIVYAMIGFQWDVKKFCWYLYFMYFTLLYFTYYGMLAVGLTPSYNIASIVSSFFYGVWNLFSGFVISRPTMPVWWRWYSWVCPVSWTLYGLVASQFGDLTEPLQDSGVPINVFLKSFFGFQHDFLGVVAVVTAGFAILFAVAFGLSIKVLNFQRR >SECCEUnv1G0529660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8803106:8805885:-1 gene:SECCEUnv1G0529660 transcript:SECCEUnv1G0529660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPPNPQNPNQHPPAELAVAVAVAMDSSSDEEWVVLDPDNPAESSDDDRGVLALPASTSPLSLSSDDEEDDEDADEEDDDEDEDDGLESSESEEEEDPFEVDEDEGAGAEQRLTRPLSGMFHHTPSDAVAYAAFDPVRSAKQLIPDPAFSAFPERVSALASSRGLVCLRGLATGFYYVANPLTFRRVRLPYHSRDHRLDADPAVVIAFEDDDDHPLAFRHYHVVVTYQVHDGVWAVESFSTRTWAWRVGDDICAPETVVARSGVAARGRAFFRTTIGHILCYTPETGCVDLIPAPHEVDGRKDWEIGEMEGNFCVACVDQAAKEVAVLYMVPGDRADEVTWAWAGQFHADKMGYHDRMTLLRSQGAAEVVMWDPLEQLVLAVDFDGRVTRSIGPLSGGTYYSDFIPYVNSYADIYSEEEVGSVFKTNDEAVTFKVPQASVKAEIDSN >SECCE6Rv1G0448670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857505277:857506119:-1 gene:SECCE6Rv1G0448670 transcript:SECCE6Rv1G0448670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFGRSISFPFTPARSFSKPRHVRSVSLPGTTSSHPLLANLHAHIAALRCWIQQDPSSSLPSGLAAIQALHSSLGDLLLLPESGAALRCSTSNAADRLLDIFLLLADAHQGFQECLLSLRHAATESRAALRRGDTGRLASAARSQRRAEKELARLAASVTAVSSKSARLHLVAISGEEAEMAYALVEAAAASAVASAAVFSAAVSISSAASSSKNTATFIPAFARRAMTAPENAEATVERLHALERCLDECDSVCHVVFRSVMQTRVSLLNILTPTIY >SECCE6Rv1G0441550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811362474:811365832:1 gene:SECCE6Rv1G0441550 transcript:SECCE6Rv1G0441550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTDKLSEDGENDQLKFGLSSMQGWRASMEDAHSALLDVDKDTSFFGVFDGHGGKVVAKFCAKYLHREVLKSEVYLAGDLGAAVHGAFFRMDEMMRGQRGWRELQALGDKINQFTGMIEGLIWSPRGSDSNDRHDDWAFEEGPHSDFNGPTCGSTACVAMIRNSQLVVANAGDSRCVISRNGQAYNLSRDHKPELEAERERILKAGGYIQMGRVNGTINLSRAIGDMEFKQNKFLSADKQMLTANPDVNTVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHLKTESSLSAVCEKVLDRCLAPSTLGGEGCDNMTMILVQFKKPIHHGKNAGGGEQSAVGDKNVSAGEQSAVEDKNASASDQSAVEDKNASAGGQSAVGDKNASAGGQSPGDIEQSADEEKNS >SECCE5Rv1G0305290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:69125669:69126298:1 gene:SECCE5Rv1G0305290 transcript:SECCE5Rv1G0305290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRCYSSTAAIIVPRRKICARASMDGCSSSESRQRASSSVSFSCKVNKVYENKNMGILCYTDENGELVCEGLDEGPRLTWRDMEKSTQERESKNTAEDWRERALRIAGEIDWNILQSAAGTGKK >SECCE1Rv1G0000850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2585494:2586735:-1 gene:SECCE1Rv1G0000850 transcript:SECCE1Rv1G0000850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNQEDELINMSNNELLQAQLELYHHCFLFVKSMALGAATDLRIADAIQHGGGAVTLSDLAAKAGIHPSKLSHLRRLMRVRTTSGIFSADFQACGDIVYKLTRVSRLLVGAGSESMRRHNLSPMVGAFVNPVAITALFSIREWFTDEKNGALSSLFEVAHGCTRWEMKAKNAGDDHVFNAGMAADSYLSMEILLRECSDVFGSLGSSLVDVGGAHGAGAVAISKAFPHVKCTVLDLPLVIAGAPTDENLTFVAGDMFEYIPPTDTVLLKWILHDWPDVDCVKILRQCKKAIPARDDGGKVIIMDMVIGSAASQDDTIARETEILFDVYMMYIDGIQREEHEWSKIFFEAGFSDYNITPVAGIRSVIEVYP >SECCE7Rv1G0500240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:664713465:664714187:-1 gene:SECCE7Rv1G0500240 transcript:SECCE7Rv1G0500240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAGISALWSTVHGWFTPWVLFLVLNLIVITIVVTSVATAPAESGEGAAARADGERRTLSCVDSMAIDRPHFTAPAPETPVTGVLDLGQPAEQPPPLEMEPGDQGEHDAFTEEGEHDEHPHMERSMSEAAIEAKLPRLRKSASDKPAFAHFVAEADTKVVEARRGATRRDAERRRPLVAEPEEPASEVEIEEAGGEVDARADEFINKFHHQLKMQGMDSFMRSRKRLHRRRATVVPEAR >SECCE3Rv1G0162040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:125852460:125852948:1 gene:SECCE3Rv1G0162040 transcript:SECCE3Rv1G0162040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVFGMENPMMTALQHLLDIPDGEAGGPGNAGGEKLGPTRAYVRDARAMAATPADVKELPGAYAFVVDMPGLGSGDIKVQVEDERVLVISGERRREEKEDARYLRMERRMGKLMRKFVLPENADMEKISAACRDGVLTVTVDKLPPPEPKKPKTIQVQVA >SECCE4Rv1G0257070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:662740677:662741507:1 gene:SECCE4Rv1G0257070 transcript:SECCE4Rv1G0257070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPQHADVTSLLAAAGGQQPPLNGRVAIVTGGAGGIGAAVTAHLASLGARIVVGYIGDSAPADQLVASLNAADAGSSGPRAVAVCADVSDSVQVERLFDAAQAAFGRDLHIVVAAAGFQDAAYPAIADTEPEQWDRAFGVNARGTFLCCRQAARRLVRGGGGRVVTFSSSNVASLRPGYGAYVATKAAVEAMTKVLAKELAGTGITANSVAPGPVATPMFYAGKSEERVRAVASECPMKRIGEPADVAPVVGFLCSDAAGWINGQVIRVNGGYV >SECCE7Rv1G0526340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894738744:894742169:1 gene:SECCE7Rv1G0526340 transcript:SECCE7Rv1G0526340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVVGAVVGAVVTNLVAKLSAVIDKRFSEFSDLDDDIRYLKTELAIIAAKIDDQHKGQPGVLHIISNTDMRDLAFDIEDCFDQFLPCAACKRGAVSKGDLVDFAVQVGRLKKRLERAKQQRTDTDANGSQQAVHLPDQLLCTPAEPADQVGIEEPKQEILGLLGSEELLVISIVGFGGSGKTQLARAVFDCDEAKHKFGIRAWHTASEHKDGDELLLTILQKLFPKEPLLSVSQIQSHVSRLQRRIRFEQKTSRCLIVIDDIEKHHWEAIKCFFSDLRMVRILLTTTVQTVAKACTKDHGYIYNMRTLGVEDSKDLLKKRVGDFNRNSTDLEDGSAAIVRKCHGHPLALISVANYLLQQEQITGPVCQNTSRLLCTHINENKHGDFTKLRRILVDNYINLPFHLKTCLLYTSLFPNDRPVSRKTLTSRWLAEGYIKHEIERTYQESADEKLEQLMDRNIIRPIDTSNRGEKKTCKPHGIMHQFMLYKSISSNFVAPSLENRGNYRHIMMDNQRNRRETNMDHGAAGPAAYRPSHLKNVFRLLKPSKSTVSSEDLRPRSLTVFGSAEEAVYSYLINCKLLKVLDLKECNNLRDKHIKNIYKLLHLKYLTLGSSVRRLSDEVGNLHCLETLDLRKTKIETLPVEVISLPHLAHLLGKIKLNKLSRKKLDKFLSGGCNLETLSGVVVEKNSQFPELMEHMKKLTKVKIWCVITSTDQNYTKLFNAIHKFAQSGTDTSRGARSLSLHLNGSSNDLVHLSATSRQPGSAPLVFYLSSLKLHGTLSHLNEFAKALSGLSELCLTCTNLEGPALLACLRELWCLVYLKLVEDRLDDLNINLEGDLASLQRLCIVVKEPRFPKIAQGALPGLVSLRLLCKDLEGVCVGIKVGHFEHLHEVALDSEVNQETVELWENEAKEHPKRPKVLLLKRVEAADTWSAAKYVATDQRL >SECCE7Rv1G0463830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:57344999:57347061:1 gene:SECCE7Rv1G0463830 transcript:SECCE7Rv1G0463830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAYYLRCFGFGGCVHPHPAAAVANRQGARHREPKKPTSQLQSAASSASSSSGLDFREEYTSAFRTESYNDFWARVLDITLAHGAALVPRPASAVSAASKRLSSYRVFAEHLLDPDQAAAAAALASARNRPDVHGLLSAYYDETAGASFLCSHLLKDIEQIRLRYRPLKAALRGRLVPGGSLADVSAALGRPFTALAATQGRLGDARLSSADLLKGLDCGRKAARRRIRTLARLRQALSVSFVTVVAVVAVVGACVGVHVLAAFAAFPMMSPAWLGLFSGRGARRALVQLEAAAKGTYILNRDMDTISRLVERVRDEGEYMLALLQLCVAEEAAAGAGAGKGRLVREVLRQLCKNEESFRQQLDELEEHLFLCFMTINKARSMVIKFMTTAASR >SECCE7Rv1G0492420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:551177025:551180406:-1 gene:SECCE7Rv1G0492420 transcript:SECCE7Rv1G0492420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGNEINPHIPQYMSSAPWYLNAEKPSLKHQRKWKSDPNYTKAWYDRGARLFQANKYRKGACENCGAMTHNKKACMDRPRNVGAKYTNMNIAPDEKVESFELDYDGKRDRWNGYDTSTYTRVIQDYEAREEARKKFLKEQQLKKLEEKDGEQDGENVGSEEDEEDGLKIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNVHAWEAFDKGQDFHMQAAPSQAELLYKSFKIKKEKLKSESKDKIMEKYGNAASDEPIPRELLLGQSEKEIEYDRTGRIIKGQDVALPKSKYEEDVLINNHTTVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMRENMARKEAAEEEPVRQEEKKLATWGTDIPQDLVLDQKLLEESLKKEAKRKKEEMDERKRKYNVKWNDEVTAEDMEAYRMTRVRHDDPMKDFLN >SECCE7Rv1G0472300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:140685633:140689759:1 gene:SECCE7Rv1G0472300 transcript:SECCE7Rv1G0472300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGSEVDHGGREMFHGHPDPVVDELNRLENLLREKERELGHAYSEIKGLKVTEALKDKAIAELSKELKKQDEKLSILEKQLEQKNLDVKRLCNERKEALSAQFAAEASLRRIHSAQRDEEVVPFDAIIGPLESDIKKYKHEIAVLQDDKKALERHLKLNEAAFVEAGDILRSALERALIVEDVQNQNIELKKQMEIYHEENMLLEKSNRQKILEIEKLTHTVGELEESILATRDVANAVHFYQNQATRLNEEKKTLERELARAKVYVNRVATTTANEWKDDADKLMPVKRWLEERRLLQGEIQRLRDKIAIAERSAKVEAQLNDKLKRRLKSLEEDMRNGKPNTLANEANRKGTPKRSTSQPRQPGTPRMSQQLASFEGIADKRRPTSQPRAAAAGKVLRQPNSDTEPVEKARNVKQPDSPRARTGAARKDRTVKNHLWATSKVTSDAGKENKEQNPNYKPHSSTPHVEGHDSTKPQAVVFDVNGDCGVQCSEHHKVMDLENLDEEKVEALNTESTQGGNRGS >SECCEUnv1G0559020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:335685390:335689983:1 gene:SECCEUnv1G0559020 transcript:SECCEUnv1G0559020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPFVSFETTSTSARQSAGIFSDPLDELGRHSKSQVKTATDSGLFEDSSAFNQIPKSEPLFTTNLSDDSKGSNGSTKAQDSSPVQNFPKRNSAQQPSVEEFENIFQQSQSARYSDVHVDSGAPGSEKYSGNGMDDRSPRSDESEGEIWLTVSEIPLFTQPTGAPPPSRPPPSLTIKQNPHGSRAKRRDDDYLRHSTQNYNHNKSSSMDELEEFAMGKPQKSAYDNANPFFEDEFERNSSAAASAAAMKEAMDKAEAKFKHAKEVRERERDAKLRNREQQEQDDEARLYAQDREERERQEKLDREKEMTQREEKEREQRRLEEARELEQQRERGRGRQAVERATKEARERAAIEARAKAEREARLRNERAAVQRAQQEARERAAVDARDRADRAAAESKDRAAAEAKEKAAAQTRERATAERAAVERVQQDARRRADRAAVEKAAAEVRERQAAEARERHAASVAAAAAREKQSKPDDLESFFGMGARANSAPKQRAPTVEPTFNAQSQSRGAATSASASSMRKASSTTNFTDDLSAIFGGAPTPSDEFQAVEGESEERRRARLERHQRTRERAAKALAEKNERDMNVQREQAERDRISESLDFEIKRWAAGKEGNLRALLSTMQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >SECCE4Rv1G0276000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:796261673:796263126:-1 gene:SECCE4Rv1G0276000 transcript:SECCE4Rv1G0276000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEHDFHMTKGEEESSYFKNSKLQEKALVETKPILQKIVMEMCGALLHPTMTIVDLGCSSSDNTLYFVSNVIEAVSHHIAEFSGHPTELQFFLNDLPGNDFNRVFQSVQRLKNSIDMDHKGEPIPAFYIAGLPSSYYTRLLPRRSVHLFHSSYCLQWRSRLPDGLEGKKRPYPNEGNIGIGTTTPPFVVKMYQEQFQKDMLLFLQLRHEELVANGQMVLTFLGRKQDDVYSASLNRLYGLLSQSVQSLVEKGLVEKEKLDSFNLPVYGPSVDEVKAMVDQSQQFELTHIKLFETNWDPYDHSEGNDVHDSVQSGINIANCLRAVMEPLFASHFGESVLDELFKKFAYKVALHLVKEKTKYSVITLSLKRR >SECCE4Rv1G0249330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:581851362:581851703:1 gene:SECCE4Rv1G0249330 transcript:SECCE4Rv1G0249330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAAAASSPSPDQPPANKAVRVVVKGRVQGVGFRDWTAETAESLGLAGWVRNNRRDGTVEALLSGDPAKVDEMVTRHLPVGPPASAVTAVVTSPADHIHPSLGFEIKLTV >SECCE2Rv1G0067700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19691202:19694743:1 gene:SECCE2Rv1G0067700 transcript:SECCE2Rv1G0067700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRKLDDLAQPSNAAGSSGDGGGGGGGGGGDATPTKAPRLSPSPSRDQTALPASPRPLQPPPAGKDAVELEEGELGDDEYSGDEEEDDQDSEGRSRPDGRTDRALTAGFWCPRARPTALGPQEQLSELVRESPDNSIIQEKMKILSKHYVLFRRTRRDDSCFYRAFIFSYMEILRQMQDKQAEVTRLMECLEMYTDRFSRLKWEKAYFSNPEKYFSSVVSELNQVLNLVANGCTSEWLYQRNLEETFSCRIISFLRLLTETEIRTEEFYKQSIPKNLSVLEFCWKTVRSLDAEATTTQMRALTYTLGIPLRVEVVDKSSMGQGVLVKRLDFFHQSELNKGPLHLTRSYLSSSTTPKPLEEGSDDADLLSSDGAPLLTLLCRRGHCDILYRK >SECCE6Rv1G0422140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:688343752:688344128:-1 gene:SECCE6Rv1G0422140 transcript:SECCE6Rv1G0422140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYMRKVAEGPLGTGKAFICLSMLMLLLLSSEKMGSHGCEKRKSGKWTNDTCIIAGTCNGPCRDEGFDNGHCKNTWTCICYKNCGLSRGVSLQPPHA >SECCE7Rv1G0504710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:726346625:726347719:1 gene:SECCE7Rv1G0504710 transcript:SECCE7Rv1G0504710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRQLSLQPCGHSNTAKRGNHRDSRQQPRRRHVYLVVDDWERGYSVRKIDVVTFSPQAEAAAVAPEPEKLPEPAVARFEGHHGRLAFFGAHDSKILAMPAYGAADFPVYDVETSGITLCAHPNGRKICGPPLLASIAGKLYMIVESSLAVLDTQPPPNGDEYKAWAWTFLPAHLPSFQSPDVKSFAVHPDGRTLFVSARRRTFSLDTRSLEWTCQGNWVMPFSGEAHFDRDLDAWVGLCIHERGFGHVCSCDAVPVVAAGVLQTMPAWKLGKDQLFHADRERHLGATLLFMGDGSYCLLECVSADDQDEDEYAAHRVYHVTTFDLRYDKDGELRATRRRAHSYEMTDAHEMNELYKRPTAFWM >SECCE3Rv1G0204210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:890557429:890558774:1 gene:SECCE3Rv1G0204210 transcript:SECCE3Rv1G0204210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDATADLQEWELLLASPTAAAAPGPYAAGGEAAEDDAAGAIKYDYFDLGSDAKYARRASLSMGVEEGADEEAGEASWVEPHPGALAFPTRDRAALWSDSSSDGERREDAEATEPLVETPREAGADEGAVAKGGPAAAAARWWRLPVEVLRLWAARAARSAWSVPVAVALLGIAVLGRRLYRMRRQSKAVARVRLVLDDKKASQFKAQPWLRRAPMIKPMLPANGVTPWPVLGHL >SECCE3Rv1G0202830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:878287281:878289715:1 gene:SECCE3Rv1G0202830 transcript:SECCE3Rv1G0202830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRCICCQAAEFDENENGHAKAKAKASTNNVDGMKKGLKDSATVKAEPQDSAPSINIPVLSLDELIEKTDDFGAKALIGEGSYGRVYYAVLDNGTKMAVKKLDSTENEPISEFSTQVSLVSRLKHENFVDILGYCMERNLRIVAYEFATMGSLHDVLHGRKGVQGAQPGPPLNWMQRMKIAVDAAKGLEYLHEKVQPSIVHRDVRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTAPRGQQSLVTWATPRLTEDKVKACIDPRLEGEYPPKGLAKLGAVAALCVQYEAEFRPSMSIVVKALSPLTLSRQTPPAPPAALDS >SECCE7Rv1G0524840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:887405871:887409070:-1 gene:SECCE7Rv1G0524840 transcript:SECCE7Rv1G0524840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWFSLCFVALGMPLALWLLKIAGGKNKQHKHQLPPGPWTLPIIGSLHHVASLVPHRKITRLCRRYGPMMHLKLGEVHAVVVSSSEAVAQVMKTNDLTFATRPGTPTQDIAGCGGRGIIFAPYGDHWRQMRKVCIVELLSSMQVRRMESIRPEEVGHLLHDISAEATAGDSINISEKMMELSNNVVSRAVFGGRFTQQDEYIRELDVVLTLLGGFCLVDLFPSSRLARWLSFGARRMKRSYGRMQRIIADVIEERKAARAAGGCASSTDDEDLLDVLLRLQKDDSLAFPLTTETICAVLFDIFSGATDTTGTILEWAMSELVSHPETMAKAQLEIRKVLGRDQTIINNSNLGNLHYMRMVIKEVLRLHLPAPLIPRMAREDCKIMGYELLKGATILINVFAVSRDPKYWKQPEAFMPERFENNNLDYNGTHFEFTPFGAGRRQCPGMLFGVSTLEITLANLLYHFDWALPGGASPESLEMSEKFGMTVGRSSDLKLIAIPRGCIKDMQTYSHT >SECCEUnv1G0548590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:190533601:190538776:-1 gene:SECCEUnv1G0548590 transcript:SECCEUnv1G0548590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVAAAEDALRSKGAVVVPAPQPSKGVASWAVDLLERLAVRLGHDKAKPLHWLSGNFAPVRDETPPAAGLPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFFGLFMVQMQALRKKLKILDVTYGFGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFTFGYSQEPPYCTYRVITKDGVMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDEKTIRWFELPNCFIFHNANAWEEGDEVVLITCRLENPDLDKVNGTQNEKLENFGNELYEMRFNMKTGAASQKQLSVAAVDFPRVNESYTGRKQQYVYCTILDSIAKVTGIIKFDLHAEPESGKKQLEVGGNVMGIYDLGPGRFGSEAVFVPKEPGVSGEEDDGYLIFFVHDENTGKSEVNVIDAKTMSPDPVAVVELPNRVPYGFHAFFVNEEQLVHQQTEV >SECCE4Rv1G0261790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:704332952:704339529:1 gene:SECCE4Rv1G0261790 transcript:SECCE4Rv1G0261790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEFRSRSGSGSYGGAGGGGGGSSLYPRVGQPAHGAAGPPPQRAAPYLHATAAPSSPAAPPPALSSSSSSTSMGIQVVIKPEYRITPPPQLAPQMVEVPRSTFNFDFEYERKILAEAEKENPNWGKFVIERQTPPPPPPQQQQPQPPRGPRHSTSTTSMATPGDPLVEKYMSMGLGREAVSFAVLNYGDNPTKVKEFVKAYNALHEMGFTSRNVPELLAMHDNDPDKVIQHLLSTT >SECCE3Rv1G0193460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:770046350:770050162:1 gene:SECCE3Rv1G0193460 transcript:SECCE3Rv1G0193460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSSPRRFSAYLLLCLCLMISISRGYGSQKLYIVYLGEKKHDDTSLVTASHHDMLTAILGSKEEALASITYSYKHGFSGFAAMLTDDQAQDLAELPEVISVTPNQHHDLMTTRSWDFLGMNLDHQPPSKLLQRSKYGEDVIIGIVDTGIWPESRSFSDDGYGPIPSRWKGVCQLGQGWNSTNCSRKIIGARYYPAGLDKADQANNYMSARDINGHGTHTASTAAGAIVEGVSLHGLAAGVARGGAPRARLAVYKVAFEGPKKVQLASAALLAALDDAIHDGVDILSLSVQYNDNSFGSLHAVQKGITVVYGAGNSGPRPQVISNTAPWVITVATSKIDRSFPTAITLGNNQTIVGQSLYYMIKNESKSGFQPLVQGGSCSIEALNGTEINGKIVLCIKETFGPAEDIIPDAITNVKTGGAIGLIFAIYTVDKLLSTEDCVGMACVIVDIDIGFQVATYIGSQGSPVAKIEPASTTTGSRVPAPRVAIFSSRGPSAKYPTVLKPDIAAPGVNILAATGDGYVFDSGASMSTPHVAGVVALLKAVHPDWSHAALKSAIVTTASTKDEHGVPMLAEALPRKLADPFDYGGGNINPNAAADPGLVYDIDPRDYSKFFACTIQKYAICNISTSPAYNLNLPSIAIPELRGPIKVQRAVTNVGEVDAVYRADIQSPPGVRIKVDPPTLVFNATKKVHAFKVSMKPLWKVQGDYTFGSLTWRNEHHSVRIPLAVRITIQDFYADVA >SECCE4Rv1G0273020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:773569062:773571341:1 gene:SECCE4Rv1G0273020 transcript:SECCE4Rv1G0273020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLDMAAMRKKLEEEVLGTWLWDKELDSILQEQQERDDEGDYEYYEPDEPRESDQEEEELHYGGSWGYGYTFYYEDGNPYYVADMEERWENQMRFPPTMSSAKKSRGVWEGSLQVEGPCQVDPSLLSAQSLLPPLPKWENRWVDKRENEPCRRAIQVLSLNLSSPHDAALEVYGMFAFRDVRNNQLRNYVFQYSRDKPCKLKPGSCKLQPLLNPLQGIYAVGLVLIEYRLLIKDEEGEDDKVLIDGYSVYAPSFYAEYERLHWHINTGHHGSIDLRMASIPKAVLAVLEFEVHHLGDNLFDSLTITAVYRTMQGGAFTVFDGKLSVCRLPPVTVCVDYTENLTIDLYTYNRQSGDDNCYPDGVVGDSKIPGHFHYDIEDIALDTLWFEPQKSGSSTKNSSNLYGLVMSVKVTWSSLCEPCQ >SECCE6Rv1G0428830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:731239766:731242235:-1 gene:SECCE6Rv1G0428830 transcript:SECCE6Rv1G0428830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLHPEFSEAEIAKLGSACRDWGFFQLTNHGVDEAVVQDMKYSTLHFFGLPLEKKKAVAIQANGFEGFGHHYNRASCEKLDWAESLILVTQQHEQRNIEFWPADPSTFRDALDKYSLEMSNLTSRLMVFMASDLGIEQEALMGTFQGKTQSVAFHYYPPCRHPDKVIGITPHHDGLGLTLLLQVDDTPGLQVRKNGRWYPLNPLPGAFVINVGDILQILTNGTYKSAEHRVLADVEKGRATVVMFQNACVAGMVKPLPELGEATYKAIDHVEYVKGNFRALAEGTRFVDSLKIV >SECCE2Rv1G0115960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:759287973:759290859:1 gene:SECCE2Rv1G0115960 transcript:SECCE2Rv1G0115960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGRPALMLKEWLELEFTAELSRDGFGYYPRHLVAELRTTRRNGDVIARLSAAVRAALFRPSGREGEVALSGNSPRRPRMGFWKKRRGEEAVDRRVPSCSASTSAGGRRDGSSPAMLPRRRSRESAQAGGVGAGAGGRRSDETKVAGLEATRHLDQEQERKQRLSPVSVMDFLSQEEDDDGDEDENGNGNGDDGVDGDDETASPTFQQSIANIRRASQELLQKIRQFEQLGDLDISDEDDATTATEDVSYNMAETESIAASDDASAQGILDLLEASSSGSTHCFQKLLVDFFRDDKTTRNLGRGKALLETAQAWLDGQNCSLRPIWTVVMTEIASIEQWRCFREDEKKLLAADVERDIISSLIGELVDELC >SECCE6Rv1G0444540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:829030779:829032363:1 gene:SECCE6Rv1G0444540 transcript:SECCE6Rv1G0444540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSRPRRSSTQEPDGTCDGADRISALPDELLLDVLARLPCAGAAARTRVLSRRWSGLWASLRQIVFRHVPFPSLEEALGRVPPPPPAVSLLEILVPRRGFPNPNEDSARVNSLLRAAARLAPVKLVFRLPAFLIGRYRLAVHLPRLDSATSIALEIPSPFSLHVPAGTEFPALETLSLSHGMVDFDTLLSCCSRLRTLHLSALFGNPDIRIHSPTLQELVVRCKSRMTQRVDIVAPMLKELTMSITSEEVSISVLAPMVQKVSWLCCYLGASIVFGLWSLNKLQLQSAEGQGELSSLRIYACPNPSFARDEADNFTQEIEKQMVAPFSVLELHLTTKGHAFGAFVIHLLGMDRVRCGTQRLKVILRKSAVKGGCPPLCPCEFRNWKSQIICLTALEELEFNGLEGGDHEFDLLKLILRCAPVLKRIILKLSEKASASNDGRAKIYNNFKACSSVECDVYDSSGECMFGMHY >SECCE2Rv1G0081540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:141172594:141173907:1 gene:SECCE2Rv1G0081540 transcript:SECCE2Rv1G0081540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLQALSLLLLASLASSATPPSSGYRSTLTHIDSKLGFTKAELMRRAMHRSRLRAATMLPGYSTSFSRPNTGPRLRSGQAEYLMELAIGTPPVPFVALADTGSDLTWTQCQPCKLCFPQDTPVYDPTTSSSFSPVPCSSATCLPTWSRNCTPTALCRYRYGYTDGAYSAGGMGTETLTFGSSAPGEAPAVSAGGIAFGCGTDNGGDSYNSTGTVGLGRGSLSLVAQLGVGKFSYCLTDFFNTSLGSPVLFGFLAELAASGGAAVQSTPLVQSPQGPSWYYVSLEGISLGDALLPIPNQAFALNADGTGGMIVDSGTIFTVLVESAFRVVANHVAEVLGQPAINATSLENPRFPAPAGERQLPAMPDMVLHFAGGADMTLHRDNYMSFDEEDSSFCLNIAGATSTSILGNFQQQNIQMLFDITVGQMSFVPTDCSKL >SECCE7Rv1G0512200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:808493394:808495643:1 gene:SECCE7Rv1G0512200 transcript:SECCE7Rv1G0512200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQFILRVPPSVAEQIERLMNESAAGSSSNPEDASLDLSFSDDGRSGTFMIGNQSFPASLLDLPTVVESYKTYDDSFLVKAADIGQMIMVREDVDPAPEEVEYKHGLTPPMRDARRRRYRREPDLNAELVHRVEKDLISIMHGVSVNQNASVTGGGEGGDRKKAAPAPAPKPDAQEPAANGEEAEPERSDSDDSEN >SECCE7Rv1G0525780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:892656953:892658237:-1 gene:SECCE7Rv1G0525780 transcript:SECCE7Rv1G0525780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASWSPKVSEIRCAQRAEGPAAVLAIGTANPANQVSQEEYPDYYFRVTKSEHLTDRKDTFKIICGLTGPENRFFYHSEELLTTHPELLDRTSPSLEARQDIVGKAAPQLATSAARKAIAKWGRPATDITHVVVSTNVVGAPSIDVCLVSLLGLRTNVRRTMLQLTGCSAGCTTLRLAKDLAENNRGARVLVACVELSIAGLCSPDEGESVDALITHALFGDGAGVVIVGADPIHPVEQALFELVSVSQTVVPRTEHMLTLRMGSYGNHGRVSTKLTQVVAENIEQCLMEAFCPIGLSVEWNDLFWAVHPGSRLMLDQIDETLKLAPTKLAASRTILQNYGNMFSATVIFVLDELRRRMEEGEPAEWGAMVGFGPGFTMETVVLHATGFLKNI >SECCE6Rv1G0405170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:528058705:528059229:-1 gene:SECCE6Rv1G0405170 transcript:SECCE6Rv1G0405170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTQPMPYYPATNPIMHARPAATSRGSFAPVFTVLGVISFLAVVACVAGRLCGRRLSKKKAYADQHYYGTNAVGGDLEKGFEVKYPPMKPMPSSRAVVHDMDDGFEIKFAPGKPAAWKNDAKADNRGRHQQHHPQVGMPKEYAGFRYPAAANGAVRQGQVRGGTFVSAKPGS >SECCE4Rv1G0270440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:755398813:755400463:-1 gene:SECCE4Rv1G0270440 transcript:SECCE4Rv1G0270440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVVLIVGAGPAGLATAACLSQFSIPYVIVERESCNASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKTLFVKYLDDYVEHFNIQPKYLISVESSTYDNEKKCWFIMAHDMAKSTIVKFTSKFLVVASGENSAENIPMIPGLQSFSGDVIHSSSYKSGKSYSGMNVLVVGSGNSGMEIAYDLATHGADTSIVIRSPIHVMTKELIRLGMTLAHRLPLNLVDNLLVMASNLIFGDLSRHGIRRPKMGPMILKSKTGRSAVIDVGTAGLIKKGIIKVQRSISNVMGDIVEFECSKRISFDAIVFATGYKRTANIWLKNGESMLNCNGLPIKEYLNHWKGENVLYCAGLARRGLAGIAADAKNIANDIKSVIGDMSG >SECCE6Rv1G0449070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:859900821:859904372:1 gene:SECCE6Rv1G0449070 transcript:SECCE6Rv1G0449070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGALFVVGLVVAALVGAVAASAGAVRRPVVPAMFVLGDSTLDVGNNNHLLGKDVPRANQPFYGVDFPGGAIATGRFSNGYNIADFIARYLGFEKSPLAYLVLKSRNYIIPSALTRGVSYASAGAGILDSTSAGNCIPLSKQVRYFAATRTEMEAAAGRGNVSRLLARSFFLLGVGSNDLFQSTAITQGDVIALYTTLISNYTAAISDLYGMGARKFGLISVSPLGCVPAVRVLNATGACNDAMNQIAMGFTATLKSALAGLAPKLPGLAYSLGDSFAALETTFSNPQAAGYVSVDSACCGSGRLGAEGGYCTRNSKLCADHDAYVYWDWIHSTQRAAQLGAQALFSEGPAQVTAPISFGQLARER >SECCE4Rv1G0224470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:80580492:80581989:1 gene:SECCE4Rv1G0224470 transcript:SECCE4Rv1G0224470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPESFVNYFEWKLSGTIELEAPNGNVYDVGITERRNKTVLRSGWETFVDANYIEESDSLMFRHRGGSRFKVVVFDSRGCEKVVSCSARIQSNINDQEPSTDSTDISTSSSDGNIHLLARRSDDCQSGSSGHRRKRAKKDAISSPSEDSSGEDSPYKHESSESEDVERIRLTPEHDDTDPYMMPLGTRLTQVQEKKVLKKIGAIASDLHIYVAVMNKTNVRRSLTFATRYSDTYLQKGSRGLVFQAEGKSQQWRGELHNTNRALGIRRGWTSFARYNNLREGDICLFELMKNEGQLKKKMMVYIIRRERC >SECCE2Rv1G0107360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:648194903:648195967:-1 gene:SECCE2Rv1G0107360 transcript:SECCE2Rv1G0107360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIPAIDLRLAGARPEESARLRDACERLGCFRVSGHGVPAALQAEMKAAVRALFDLPDEAKRRNADIIAGSGYVAPSPANPLYEAFGLLDAAAPADVDAFCARLDAPPRARETVKSYAEAMHELIVDVAGKVAASLGLEGHPFQDWPCQFRINRYNYTKDTVGSSGVQIHTDSGFLTVLQEDDCVGGLEVLDPATGEFVRVDPVPGSFLVNIGDVGTAWSNGRLHTVKHRVQCVAAVPRISIAMFLLAPKDDRVCAPEAFVDAEHPRRFKAFNYDDYRKLRLSTGERAGEALARLAA >SECCE2Rv1G0119840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:794556373:794561621:1 gene:SECCE2Rv1G0119840 transcript:SECCE2Rv1G0119840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MAAALATPTGLLKLPPSYAPPSSPSAPLSGTSYGRRSRTRPRLCRRGAPLLAVAAGEVSYTGPEEALLEALVGVQGRGRAVAPRQLQEVESAVQTLEALEGVPDPTSSSLIEGSWKLIFTTRPGTASPIQRTFVGVDSFSVFQEVYLRTDDPRVVNIVKFSETVGELAVQAEATINDGKRILFRFDRAAFAFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSRSGNIRISRGNKGTTFVLQKTADPRQMLLSAISAGTGVKEAIDGLTSNKKGIVVDMNTLAGEWQLLWASQSESGGGSWSSVASAGLKDFQTIKEDGQLKNLVNPFPGVSLSARGNICKTGNNNTFSVSMNEGVTQVGGMQFPLETGGEFVMEILYIDNKIRISTLNQHKLVHLRIVNKT >SECCE1Rv1G0023410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:304299056:304300506:-1 gene:SECCE1Rv1G0023410 transcript:SECCE1Rv1G0023410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTAFLPGVCGLALLLPLLPFNAAGVESRGPLGGYHWYTMFMFGDSFADTGNLPRTNCRSSLSRQWHYPYGTFNGSRSGDPLGRFSNHLVQPDIIARMLGRLVGPPAYKRMVKHYCDPSGMSFAVGGSGVYDVPENLTTLAQQIDNFERLIKDGSISKWHLADSVALVAISGNDYSHINSSDVGNMIALIKKVTTEITANVQRLQKLGVKKILVNQLHPVGCTPWLTRPTNYTVCDSRANMGVDLHNGHLGINLRKSKNVHILDLATAFSNIINPAPGKGSRLAKRFEHKLTPCCESFDPDGYCGQLSKNSERLYIVCRNPNQYFYWDSVHPTQAGWEAVMKQLQKPMLKFLTKEH >SECCE2Rv1G0113200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:720180243:720184436:1 gene:SECCE2Rv1G0113200 transcript:SECCE2Rv1G0113200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSMICAALQPVCGFINNTGAPAATARRFSSFVCIKRNRRALTKAAEDLQAVEKVVQEQVSLETNQLNECDHRVELWLSRVDEVLVLVDAIKQECDQLMQYSCFCSSSLSLGKRYRLGKRVLETLEYLRGLIEEGNQFKVFGRKPLPDLVEDRPRIEAFGIKPVLKNFWEFFNSSNVGIIGIWGPGGVGKTTLLNTFNNELKECGSDYQVVITIEVSNSGTLNIAAIQRMITDRLGLPWNDTEAEQTRARFLAKALSRKKFIILLDDVRKKFKLEDVGIPTPDSEIKSKLILTSRDEDVCYQMGAHQSLIKMEYLEKESAWELFQNNLSTNAIAAIECPAPNVVREHAEAIVQSCGGLPLALKVIGRAVAGLTEPKDWSLAVQATKDDIKDLHGVPEMFHKLKYSYDKLIKQQQRCFLYCTLFPEYGSIRKDKLVEYWMADGLIPQDPKKGHRIIRSLLSACLLESCKSDSSEVKMHHIIRHLGISLAVQENIIVKAGMSLERAPSEREWQAARRISLMFNDIRNLCISHECRNLVTLLVQHNPNLERLSPTIFRFMPSLRVLDLSHTSITTLPPCETLSKLKYLNLSHTCIERLPEEFWVLKELTHLDLSVTKALKETFDNCSKLHKLRVLNLFRSNYGIRDVNDLNIDSLKELEFLGITIYAEDVLKKLTKTHPLAKSTQRLSLKHCDQMQSIQISDFTHMVQLGELYVESCPDLKELIADSDKRRSSYLQVLTLAELPALQTVVIGSSPHHFRNLLEITISHCQKLHDVTWVLKLEALEKLSICHCHELEQVVQETTDEVESGIEQGSIQSCRRKNGFSEEQEIHGMVDAAWNEYAKYYQNMTKSGRVNGLQHHVDFPKLRSLVLTDLPKLRRVCIPRDFPCLESIRVEDCPNLKTIPLGQTYDCQRLNRICGSYAWWERLEWDGKDIMESKYFIPIQDKD >SECCE3Rv1G0156760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:75408807:75411537:-1 gene:SECCE3Rv1G0156760 transcript:SECCE3Rv1G0156760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKPSRAEGHGQSPKRPARETAMDTGLEISGEVQYGGDPVLVPPRRPTPRHALYLSNLDDQRFLRFSIKYLYVFAAGAAVPADALRSALAEALVDYYPLAGRLWPGDGEEEGKLAVDCNAEGALFAEGSLPGLAAADFLRGGGATPHKSWRKLLYRVDTHGFVGVPPLVVQVTHLGCGGMVLCTAINHCLCDGIGTAQFLHAWARAARSDIAGGGDHPVVHDRRALRPRCPPRVEFAHPEYCQDAVAHDKPTLLEHLLGQPLSPVSLTFTGAHLGHLKKQLLLSAPALKCCTSFEALAATVWRAWVRALDPPAALRVKLLFSVNARRHLKPELPQGYYGNGFVLGCAESTAGQVAAAAAPATVIRLVQEAKKRVDDDYVRSMVDLLEERRVGAGGGAKPDLSASLVISAWTRLGLEDLDFGAGTPAHMGPLTSEIYCVFVPVAGDSGGVTVLVSVPQAAADKFEHYCCNPAEQPSGVGVEETDGGAIAGMLERDEHHQPCHGHEIKIDY >SECCE7Rv1G0484110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:340409387:340417572:1 gene:SECCE7Rv1G0484110 transcript:SECCE7Rv1G0484110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGVDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTEKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLTIRALLEVVESGGKNIEIAVMTHKDGLRQLEEEEIDEYVAEIEAEKAAAEAAKKGGPKDT >SECCEUnv1G0528910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6178402:6179742:-1 gene:SECCEUnv1G0528910 transcript:SECCEUnv1G0528910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGVRVLERLRVAPAPPCPSAELPITFFDAAWLFTGPVQRLFFYRHAHPAAALPLLAASLPHALARFFPLAGTISPAARRLSYSSGHDALHLVLAESTEDFDRLVAAGPRDLSLMRPLVPALPPPGNDGAFALAALQATVFPGRGVCVGVSVHHAACDDASATLFVRTWAAACRLGGPLDGADHSQAVPPAPVLDRSLVADPDDLLGKTLAGMSRLASSGPPPPPPQAQGPPPPSPVIASFVLTRDQVDGIKAAAAAQVSSFVAASALAWVCLLRCRSAGVEGAARSHMLFSAECRSRLSPPLPAEYFGNCLRPCFVDAATEDLMASGGVAAAAAAIGSAIREMERGVLEGAEGWLAQVMSVLPQRPMSVGGSPRHGVYAGADFGWGKPCRVDMVSIEKTPGTVSLAESPDGCGGVEVGVVLPPDAMEAFASCFRELLDNKKSI >SECCE3Rv1G0160620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:106319160:106321422:-1 gene:SECCE3Rv1G0160620 transcript:SECCE3Rv1G0160620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAATMAPPTRHRQFRVRAAWDMNPGATTVAVPKPSKAKPKPALGQSPATATARAPPPTHAELFARSSEDQAKKSTYMGFEKWWLPPAPEVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINEYNKRVMDVVKCESQDLLLNKLLGEDFLTEEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLVGYLYLTNFKRLEQMMFQLGFTSGASSRNIADELRSSFQTTTSTPVQSQQPAKR >SECCE7Rv1G0492560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:553207791:553209392:1 gene:SECCE7Rv1G0492560 transcript:SECCE7Rv1G0492560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAASMSSAERSTKSQQTVHGTAATVENGQEPAGDEEQETCRRHANVEGLQLGYSVVPSEKRFLVLHAFLKRKQSSKKVMVLFSSRSSVKFHAQLLNFLQIECADIHEKQEQHDRTATFSAFCMAEKGILLCTNVAARGLDLPHVDYIVQYDPPADEPEDYIHHVGGTAPADKGKATVLLFLLPQELEFLISLRAANIHLTEHQFNNKNVPNLQAHFEKIVGENYSLHQSAQQAYRSYILAYNSHAMKDIFNVHSLSLKDVAASFCFRNPPKVDIGLEGRAMRKVGYDRGVDSRERRKRRRISAADS >SECCE5Rv1G0323550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:437441915:437455339:-1 gene:SECCE5Rv1G0323550 transcript:SECCE5Rv1G0323550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVIVNGLISGVIRSLADAGIAMVVKVACVKKDVDILASRLESIRAVIGDAEGHRILAQSEAADHRLKKLREIAYEADTIIDLFRIEVGTSRSQEWDAASICKCVKEVHIGCQIGNDIQELNKKLDTIIPAIQQLGLAAEGQSGIDSEVAPHYNESNTIGRGVGSDCNDLVNLLRRGGEGAHVLFAIVGTIGVGKSTLARKIYHEMRGRFRIRLWVNISNDSRHITIWSGYKFTGRAGMPEQREVLRHFLNGSTRNVLLVIDNVQKADDWNQLLAANEEFRRCGCRVIVTTRDENVARTMGVTHFHRVKCLNGDDGWLLLHKTANLGGTVTTGNIQDVGRSIVQKCSGVPMAIRTIGWNLRGRSREDEWESIHSQDFISSYPGIRDTIDTTYMELKYRVKRCFLYCSLYPEQFVIKQQCAMQQWIAEGFFEGSPNLEVAAERCYQELIERGLLLPEHEANGVVGAKMPTLLRSFALYRSGDENCVDNPSRISSTSKPWRLCIRDEEAIEAIPVYVTRLRTLFVSASPLRRSSLDLIIERFPNLRVLDLRDTQVESIPKTLGRLLQLRYLNLSNTEIRKLPQSIGNLMMLQFLILQNCPYLTQMTSHVGRLENLRGLDFSGAPELNDVCFRLVKLTELNSLHGFFPANHGWTLKELHALRNLTSLQILRLGRASSIEDAHQSRLQEMDNLRELELCCSSVDRPPVDIQEHMKDVFSALRPPQGLVSLKLDGYYGNEFPAWFSVSHLTVLQRLTLDGCVHCQRLPALGEMMNLKFLAIIGFSVLTEINYELRGAPATGVAFPLLEQLLLGRMESMVSWSGLVDTDMPLLERLHLDSCPMMISVPSWLQHCTTLKSLKIEHADALQNIENLPALKELQVHRSSNLKRIFNLRRLEELEIVNCAHLDTVQDVPLLRILHLDLGEHTAQLPEWLQKKQSFTLRRLEIVGSEDLLDRCSSSTARYGRLIKDAADHVYAKLPKLHGGFLYFSYTKSTGMFNRSRRCRDRYSMQGAAILAVPVAPRRVHGGWEIWIKYTLSAIFLIVSQFFVQWAVSSR >SECCE6Rv1G0433590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:760421002:760422417:1 gene:SECCE6Rv1G0433590 transcript:SECCE6Rv1G0433590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRATIVGVVALVACLLAGDGARAQQQRFDYPAARAPTTWANTDAGLPHHVVYTDGSVARVALLRLNPAGFGPSYAFGFFCTSHGAAPCADFLLGVAVVYCNSGALITSTTTGVPQVVWSANRGSPVGEGAAADVTDEGDLVLRSANGSAVWSAGTKGRSVAEVTIGSDGNLVLLDGRNATVWQSFDHPTDALLVGQSLRHGVRLTANASSANWRDGRFFLAVEDDALSAYVLATPLQRYYHLGFGETTAGAYATYTNGSLMVSARPGAPSLATIQLPTVGAGTVQYMRLEHDGHLRLYEWRSGSGWAPVFDVLRLFPDGGCAYPTVCGAYGVCTDDTQCSCPDVANFRAVDFRRPNRGCVPTNPPPTSCGSSSPGRSRAQHRLVSLPGTGYFNDHATSMRAVERVSEEACKKACLDDCACVAAQFYYGPDAGDGFCYLQSEVLSMQMVRPEVVHYNSTMHIKVQVKSAMI >SECCE4Rv1G0252990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:620521733:620525709:-1 gene:SECCE4Rv1G0252990 transcript:SECCE4Rv1G0252990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSAASAYRSRFGDTTQTKVFVGGLAWETPSEGLRQHFEQYGDILEAVVITDRLTGRSKGYGFVTFREAEAARRAVQDPNPMITGRRANCNIASLGPPRPAQPRGRPSPGAYWQQGPPAPMPPQGPHYYIPSPRAPQQQQQQMGLMPSPGPAIYHHPASQPYGGYWCPPDYQYQQAMMTPQVLQNYYAQLYAGLASPTGPPPYHQYVGYMQAPTPRAAVLSPVAQQIAAGQQPYVPHPAAAQMQGFSMQVPSLPHNFALQLPSHAVSMLPPNAPDMQQSAGGQASSAAAATNANNTHQGA >SECCE6Rv1G0382460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:42993422:42999671:-1 gene:SECCE6Rv1G0382460 transcript:SECCE6Rv1G0382460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCVTVLLFYMFQLIHGQPDYLGFISIDCGILVNSTYQDTISNMIYVSDYGFITSGENRGISSDYVKPSLVKRYLNLRFFPRGARNCYTLRSLVMGNKYLVRAAFYYGNYDGLGKPPVFDLYLGTNYWHEVSFSDAGAFKWMDIILVAPDDYLQVCLVNKGMGNPFISGLDLRPLKTTLYPEVNATQSLVLVNSNRFHMGPTDGSVIRYPSDSHDRIWTTYNAIPNSTEISSTSVIQNNLRDVYDVPSSVMQNAATVNSSRIDFSWNPSDPSVNISSKYFFIFYFAELQNVRSNAVRQFDIIINNKTWNKQPYIPTFQVTDYFSGILQGMENYNVSLVATKNATLPPILNGMEMYLVKPITVVATDPGDARAMMAIQDDFGVIENWMGDPCTPKDFIWRGLNCSYPPAGASNIMALNLSSFGLVGAISTNFRDLKALQYLDLSHNNLSGPIPNFLGQLSSLMFLDLSSNDLSGPIPYNLVQRSQNGSLLLRVGDNENLCGNGTACRSGQKKINGTLLIVIVVPIAAAVALFVTFLLLCQVLKGKAKRNTTGHEDESALLENREFSYKELKHITNNFSQEIGKGGFGPVFLGYLENGNPVAVKVRSESSSQGGKEFLAEARHLTRIHHKNLVSLVGYCKDRNHLALVYEYMPEGNLQDHLRATSTCKPLSWEQRLQIALDAAQGLEYLHIACKPALIHRDVKSRNILLATDLGAKIADFGLTKAFSDSETHITTEPAGTMGYLDPEYFRSYHISEKSDLYSFGVVLLELITGHAPVISISDSMSIHIGEWVHQSLDHGNIESIVDAKMGGDYDINSVWKAADLALHCKQDASRERPTMAEVVAQLKECLELENRRDGRRRSLGSNGDDSTCPGEGSALEIEEEEEEDNQQDGEIQAAAGPAMR >SECCE2Rv1G0101610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:567342020:567345175:-1 gene:SECCE2Rv1G0101610 transcript:SECCE2Rv1G0101610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQVEDPRSTETPAPLKRHDSLFGDAEKVSDSKHHGSQVSWMRTLSLAFQSVGIIYGDIGTSPLYVYSSTFPDGIKDRDDLLGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRLIPDQQVEDAAVSNYHIEAPNSQLKRAQWLKQKLESSKAAKIVLFTLTILGTSMVIGDGTLTPAISVLSAVSGIREKAPSLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPVISVWFLLIAGIGMYNLVVHDIGVLRAFNPMYIVQYFIRNGKSGWVSLGGIILCVTGTEGMFADLGHFNIRAVQLSFNGILFPSVALCYIGQAAYLRKFPENVANTFYRSIPAPMFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCMPRVRVIHTSHKYEGQVYIPEVNFLMGLASIVVTVAFRTTTSIGHAYGICVVTTFAITTHLMTVVMLLIWKKHVIFIMVFYVVFGSIELIYLSSILSKFIEGGYLPICFALVVMSLMAAWHYVQVKRYWYELDHIVPISEMTMLLEKNEVRRIPGVGLLYTELVQGIPPVFPRLIQKIPSVHNVFMFMSIKHLPISRVVPTERFIFRQVGPREHRMFRCVARYGYSDTLEEPKEFAAFLVDRLKMFIQEESAFALAQGEEEGGDAQARPRRSTVHSEEAVVQGQTRVSSHSASGRMSLHTSQAVEEEKQLIDREVERGMVYLMGEANVTAEAKSSILKKIVVNHVYTFLRKNLTEGHKVLAIPKDQLLKVGITYEI >SECCE6Rv1G0408000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:567147664:567151968:-1 gene:SECCE6Rv1G0408000 transcript:SECCE6Rv1G0408000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPLRLLRSAAAGSLRSPAPSRGGCPIPRAPELSAEAAAAPSELARWLPRRGYSRFASGFTPLEPKKLGSILDVERAKGLSAEHLVAAWDDYHLGRGHIGVSMKAKLYRLLEQRSATCPYFVIPLWRGSGYTTMFMQVQLPHMIFTGLEDYKARGTQASPYYTVTHFTEFAETKDTVLVRGDVVFTSKLTDAEAKCLLETAHSFYLNDVRYKLVERFNKQTHDFEFKDVLQALEMPSM >SECCE6Rv1G0394770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:305317076:305318769:-1 gene:SECCE6Rv1G0394770 transcript:SECCE6Rv1G0394770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSKMALGIKRASRSHTYHRRGLWAIKAKHGGAFPKAEKPAAVAEPKFYPADDVKPRTASTRKPKPTKLRSTITPGTVLILLAGRYMGKRVVFLKQLKSGLLLISGPFKINGVPVRRVNQAYVIATSTKVDISGVKVEKFDDKYFARDKKSRAKKTEGELFETEKETTKNLPVFKKEDQKAIDAELIKAIEAVPDLKNYLGARFSLRDGDKPHEMTF >SECCE2Rv1G0067500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19173220:19174832:1 gene:SECCE2Rv1G0067500 transcript:SECCE2Rv1G0067500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAVTTVHGAGGRVLLLPFPGMQGHANPMLQLGRRLAYHGLRPTLVLTRHVLSTTPTHPTNCPFPVSAISDGFDAGRIASCADTAEYLRRMEAAGSDTLASLLLRLADDDEEPVRVLVYDSHLPWARRVAREAGVAAAAFFTQMCAVDVVYGEVSAGRVPLPLVDGSALRGRLSVELGPDDVPPFVAAPAWYPAFTESALSQFDGLDQADHVLVNSFRDLEPMEADYMESKWGAKTVGPTLPSFYLDDDRLPSNKTYGFNLVSNTAPCMTLAWLDKQAPCSVLLASYGTVANLETTQLEELGHGLCNSGQPFLWVLRSSEADKLPQKLHDKCNMKGLIVSFCPQLEVLAHRATGCFLTHCGWNSTTEAIANGVPMIAIPQWADQPTAAKYVESAWGIGLRARRDEKGLVRREEVERCINEVMGGEEYKRNSSKWMEKAKEAMQEGGSSDKNIADFAAKYLSN >SECCE7Rv1G0472330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:141124021:141127323:1 gene:SECCE7Rv1G0472330 transcript:SECCE7Rv1G0472330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVAGAAAAAASISLFAYYLLFGRSGSKFPWTRITGADDRTTRRKGLVEAVGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALKSGDLVCGGVVTEGSAGSTAISLATVAPAYGCRCHVVIPDDAAVEKSQIIEALGATVERVRPVSITHRDHFVNIARRRALEANIASAQRDSNDIQTNGSAYLDTKTLNSKQTNGSAHVSSEVPDTGKCYPNSDSKGGFFADQFENMANYRAHYEWTGPEIWKQTRGTVHAFVAAAGTGGTIAGVSRYLKEMNRNIRCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTANFMMAELDGAYRGTDREAVEMSRFLLRRDGLFVGSSSAMNCVGAVRVARDLGPGHTIVTILCDSGMRHLSKFFNDEYLANHGLTPTATGLEFLDQ >SECCE7Rv1G0479630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:256515227:256519192:1 gene:SECCE7Rv1G0479630 transcript:SECCE7Rv1G0479630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSTPVDASGEPIPTSSVLMAASKHIAVRCRPENMAFLNCKKKDPNPEKCLEKGRQVTRCVFNLLKELHQKCPKEMDAYAGCMYYYTNEFDFCRKEQEAFEGACPISE >SECCE1Rv1G0050270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:645712309:645712587:-1 gene:SECCE1Rv1G0050270 transcript:SECCE1Rv1G0050270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRARQNAIRSGIVVLGAAAFAYLSYRVGFKPYLDRAQEAMDSQIQSSDHAAAAAAAASASASWEDQTRHPDGDGGLAPSRDPAAVLRD >SECCEUnv1G0550710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:222297101:222299553:1 gene:SECCEUnv1G0550710 transcript:SECCEUnv1G0550710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPLDDDDLLSEILLRLPPQPSSLPRASRVCRRWRRLLSDPAFHRRFRIHHRRGTPPLLGLFRANRGTITFRPALPAPDRLPRGHFSFQLNDDYMPLGWRHGLALFYLPLPHQVFVWDPLAGDQHRLDIPEGFVSDPDEEDPINGAVLRAAGDVDHFQVVLVTSDGRRPALACVYSSETGLWGDFISAQLQSATMVHWGEPSVLAGGCIYWLISVTSILEFDLDAQSLAVILVPSGMRTCRSYHSAIIRAEGGGMGFLNVADFTAQLWRRETDCDGVGSWMLGGTVELNRLLPPGSDNEPLRVFGYAEENNVLFFSTVVGVFMFNLQSLEPTRLSETSISGYHYDPFETVYTPGIGGVQEQEGPEALVVDKRRSWWKRWRQHIRGLFSCARGGNDGDNT >SECCEUnv1G0529820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9216506:9217375:-1 gene:SECCEUnv1G0529820 transcript:SECCEUnv1G0529820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEGKVSPDAIDNEVTSNGSTKDYLDPAPAPLVDAAELGKWSLYRAVIAEFTATLLFVYVAVATVVGHKRQTDAQPCSGAGVLGIAWAFGGMIAVLVYCTAGISGGHINPAVTFGLLLARKVSLPRAFLYMVAQCVGAICGAALVRAVHGGHHYALYGGGANVLAPGYSRTAGLIAEIAGTFVLVYTVFSATDPKRIARDPHVPVLAPLLIGFAVLMVHLATIPVTGTGINPARSFGAAVVYNGGKAWDDQWIFWVGPFIGAAVAMVYHQYVLRNGAAFRSNIAAAV >SECCE7Rv1G0516220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844087168:844089286:1 gene:SECCE7Rv1G0516220 transcript:SECCE7Rv1G0516220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSFLTRSAAAPPLDDDDLLSEILLRLPPQPSSLPRASLVCRRWRSLLSDPAFRRRFRIHHRRSPPLLGFIDANQGITFQPALDAPDRLPRGHFSLNLDDRYMTLGWRHGLALFFLPISLQVVVWDPVAGVQHRLAVPPGFGFHPLENPINGTVLRAAGEIDHFQVVLVSSDGKQPRRALARVYSSETGVWGDSISCPVPLVSMVHIGEPAVLWGDCIYWLVSASNILEFDLARQTLAVTLLPAQLLTSSNRHVGVMRAEGGGMGLVVVSGFIAQLWKRETDWHGDVSWSTGRTIELDKLLPPNSEKEPPSMIGYAEENNVAFFWTVVGVFMVHLKSLQLKKLSVTGIAYRYYPFEIVYTPGIGGGHEGAKVVRHLWWTRWRQYIRQLFS >SECCE1Rv1G0052320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:660685910:660687337:1 gene:SECCE1Rv1G0052320 transcript:SECCE1Rv1G0052320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKQWTRVRTLGRGASGAEVFLAADCASGDLFAVKSASAACAAALRREQRVMAGLSSPHVVSCIGGRGARDGSYQLFLEFAPGGSLAEQVASNGGLDERAVRGYTADVAAALAYLHGAGMVHGDVKARNVVIGADGRAKLADFGCAREAGADVPIIGGTPAFMAPEVARGEEQGPAADVWALGCMVVEMATGRAPWSGMDGDALAALHRIGYTAAVPELPQWLSAEAKDFLAKCLVRQANGRCTAAQLLEHPFLAAAVVDAKLQVVESKWVSPKSTLDAAFWESECDTDEADDERSHGAAESRIRALACPASALPDWDSDEGWIDVLSGPTEAPVAAPAKVTTGIAVDEESIDAESGARNITVDVEHGSVLNGGQEAKDDDSVVGHSRHQPLEILLSHQLLSCKLFPVLLCCNRISNAIDSVHAKPLCFASAPPLFLSLLSPTPHWDTITSTETQRQFTSSVAATPRSEASTA >SECCE1Rv1G0001000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:3212806:3214275:1 gene:SECCE1Rv1G0001000 transcript:SECCE1Rv1G0001000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAWSSDDRISFQTSLPSHSDSVQLPMVEYAAPAIATTTPDNDCSDPTIQVFKEVVQAFHTDYLDSMERKMHKIPPSLICVGTWYIQPVTVAIGPYHHGLRPGVLEAERVKHVAATQCIMDSGCPLQEMYDAVFAVSADARGLYYPDELARFRDDDFLPMMFLDGCFLVQFMRCYGKIDDMDGALNSYFCANFERIYTDIMMLENQIPWVVVKAILKFMPEPSLWEDFVAAMRAGMKNLMGSTEVPPIDVDPTYEPPHLLGLVRFYIVGNNDDIKVDRPTAPGGDKPMSSSISVAELASVGITIVPETEKSGLVHMTLQKERLVHRGLCGKKFGFLFGDLRVPPLFPTDANATWLVNMAAFELCKTPDFDEVNDEESAVCSYLHLFAMLLDQKEHVHDLRKSKVIEGGGLTSQETLDLFTGIGKNMRLGKCYLDIITEIENFKGRRSIWLKLYLFFIKHWTKIMAVVTVVGAVIGILSSLQALKPS >SECCE7Rv1G0502720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:700067701:700068735:-1 gene:SECCE7Rv1G0502720 transcript:SECCE7Rv1G0502720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQCDVCGLEPAAVLCCADEAALCAPCNRRVHHANKLAGKHRRLTLLQPSPPTGDAAAPLCDVCKERRGLVFCVEDRAILCADCDEPIHSANDLTAKHSRFLLVGAKLSADPVDQEIPSPDGSSDEQDNSSASAAEEPPAVHNAIHAGGGGGGGGSSISDYLTNICPGWQVDELLFDDAAFVAKQKGRDEQVPFLDADLFDVVAAERPGKRGAWAPHVPHTPAPAWGMEEVPASMVAPAAAKAKQGHVREWYQSDSDSDVFAVPEITLQPAKRARPSSFWCL >SECCE6Rv1G0380050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:19084313:19084885:-1 gene:SECCE6Rv1G0380050 transcript:SECCE6Rv1G0380050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSIFQYSWEILPKKWVHKMKRSEHGNRSYTNTDYPFPLLCFLKWHTYTRVQVSIDICGVDHPSRKRRFEVVHNLLSTRYNSRIRVQTSADEVTRISPIVSLFPSAGRWEREVWDMSGVSSINHPDLRRISTDYGFEGHPLRKDFPLSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWEQRSDG >SECCE5Rv1G0320240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:383820310:383820522:1 gene:SECCE5Rv1G0320240 transcript:SECCE5Rv1G0320240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWRIINMTIAFQLAVFALIATSSVLVISVPLVFASPDGWSNNKNVVFSGTSLWIGLVFLVAILNSLIS >SECCE3Rv1G0192930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:763744948:763746791:-1 gene:SECCE3Rv1G0192930 transcript:SECCE3Rv1G0192930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWWSDSQRSHGTSACSPAPLMDTGHAATCGWTSPAAESTSSITFQDPHRSSSTIAHQPSSDAASSLGDAHMDWTHAFLSGRSDASFQAVLQDDMPASMTTRPLFRAHQPAVDEAVMSNPFRDMSHSFSFLDQVPAASSPYGTAPVQGMFDNAGASHNVSVLGECQSSTSYDGTAGMQLNRAATQMLGGGAQLQYLSGSGSYMPFGGAPLSSQLLLQALQPKTSYISSSNTLMAKSAEHACAPPARRSEPDSPAAAKRPRIEAPSPMPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEANEYIKFLHDQVASLTYPYLKNVSPLQQFQQKGSENAKDDGGEPKKDLRSRGLCLVPVATTYTVASETVPEFWYPTFGGTFR >SECCE7Rv1G0467510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:88464445:88465611:-1 gene:SECCE7Rv1G0467510 transcript:SECCE7Rv1G0467510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPHSRRTLELPDDVMEEIFLRLPAGNPASLVRVAGGCRSWRAMVSDPNFAPTYRRLRDAPPMLGFLYNYRSTDEGPPYWTSHFRAAAALPSLVPRDRKHWRALDSRHGLVLFDTPENDGHLVVSDLVTGEHWNLGAHIAFPDACNAAVLCAKDGCDHRDCHGGPFLVALVDSVVAENQRIASAMFYSSVTGKWSDATFVEQPNAIDARGHSAVLGNKVYVPCVKHGSVVEYDTRENKLSVIKVPFEVQDQKQPQIDLMGVEDGMLLFASVVKPRLYLWSMEVGPRGAAGRVQSRFIELGPLLPRRGPLLPRRVLVNNTEVSAVGFAEGVGVIFIKTRSGLYTIELKSEQSDQVHRGYINKVIPYMSFYIGGTDNCFQTCTLSHEL >SECCE7Rv1G0511940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:805849454:805849762:-1 gene:SECCE7Rv1G0511940 transcript:SECCE7Rv1G0511940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHDGINIPDGKFYLGYAGYACRPGVLPPFRKTRYHLNDFAGRNYHRNPRELFNLRHSSLKVTIERAFGALKNRFKILDQKPFHPYPTEVKLVLACCIIHN >SECCE7Rv1G0498340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:638404490:638405807:-1 gene:SECCE7Rv1G0498340 transcript:SECCE7Rv1G0498340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSGARVSEGGDASSSSSGHHGDGTALPTFDPQSAAGRREAARTRALGRAVHCIPLLLLLCALVLWLSAASTSPVHLV >SECCE7Rv1G0468870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104056037:104056324:-1 gene:SECCE7Rv1G0468870 transcript:SECCE7Rv1G0468870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFIAVPTEFRLRNNTGYSWKVTVKLMNGRVTLDQGWATYAAVHQIKIGYMVTFKLLTPDTLKVIIFDDDGIEVINKCGKHDEAFATKV >SECCE3Rv1G0180890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:597337239:597346176:-1 gene:SECCE3Rv1G0180890 transcript:SECCE3Rv1G0180890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HUA1 [Source:Projected from Arabidopsis thaliana (AT3G12680) UniProtKB/TrEMBL;Acc:A0A178VA41] MSGYPFYPYGRGGVGDGASAMSDYPFYPYGRGGAGDDAAARYSSYEIDLIAARYAGDPSPYPYPPAPGGFNPHVGARRPADVLYHQSIMGSHSTIGQSDAFYSPNTMVKRPRLESSLPIYPQRPGEKECAFYMRTRTCKYEGTCKFDHPQWVPEGGIPNWKEGQKAEESYPERPGEPDCPFFVKTGECKFGSKCKFNHPKKKDNGTVAGPGNEESLLSGNSTLPVKPSEPCPHYAKGKCKLGTNCKFSHAKDMEAPSSGGNESENTATAEAAGHNGAVHDSVSAKLAPVTLEHNSKGMPIRPGEVDCSFYIKTGCCRYGRTCQFNHPERHIQASVVPGEMLNPVFRAAHVPIEPEPITYPQRPGETVCDFYKKTGFCKFSERCKFHHPVDRSAPDSIAKWKPSQQPVTRTVAGFPRREDAEACAYYMKTGACKFGVQCKFDHPPLGEAIAKVGKQGEGKEGGKKKVVGLSYVLEN >SECCE6Rv1G0406560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:546673425:546674261:-1 gene:SECCE6Rv1G0406560 transcript:SECCE6Rv1G0406560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAMIALLVVLGCAAAASGATFTVGEGQGWTTGADYATWASGKTFAVGDKLVFNYASQAHTVTEVTKSEYDACSSNTNGENSGTTTKTLKAGANYYICTIGTHCAAGMKLAVTAGDSSPGTPAAGTPPTAPSGSGGSHMEAGLVLAATASVLLKLALS >SECCE3Rv1G0194090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:776837477:776839686:-1 gene:SECCE3Rv1G0194090 transcript:SECCE3Rv1G0194090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L34, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29070) UniProtKB/Swiss-Prot;Acc:Q9LP37] MALALASTMASLSLHSGRISAVAIGGGLRPHKAGPMGASASPFLRSSFISSSSTSSASTSSLSAAVSASLAFTSASSFGGSSLGIEFSYNRLTTRRPRGLQIRAGKAALCLTKRSRSRKSLARVHGFRRRMRTTAGRKVLKRRRDRGRKILCTKSNSPTGTKY >SECCE2Rv1G0099980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:532089290:532092966:1 gene:SECCE2Rv1G0099980 transcript:SECCE2Rv1G0099980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIQDWTEEGIKEEEEPGLRRRGRTARAQSPSADHGPLKIIGARSRSPSPPPGAGSRSPSPPHGAGSRPVPHSVRTRRSARVTVVPAAADGAGSGGAGGPGGSFDWDLSAMEETVSSTRDQSARPRLVPPPDTSTSSASITGGRAQEVAVEREFSFPPLEAPPKVVDAAEVLGQFAAQFLEATMGATAGPRTEKIKKELLLDRKVVDLAGLERWLRKMEAVAELAWFTDLCSHDEKEVPPLELFECAFRALEAARSDELHLCSADARRLWIGPVAVPEFFLCPFSKKFMEKPVVITSGKTVDQSELEKWWKKNKRMCPVTGEVLTHSTFIPDALIALYISRWRAANRISELTAPTDPPAISPEEEALFKQVTLLANSPKSSKQDYEAILGLLVDQQRCSFLHLLGRSPGTITKLACVLPETCLEPHPELDDVVLEILARAASYSPNKEVFGDDRYAIPVLIARALLGPVPTRAKCARILGLLADNYYNKIKIGELGGFAPLMELLLVGDVDVKKTVAVALASLCQAQENWSRFVREGVADAAISLMRNHRLVDEAHSILLQARGFHLAMQDIIDKLESFRDDDGDQMCKEMVDRLWRSFIGSSTPSGRTRRADNFPPEASASTPSSSDNDVEAIVSWLQRRSYNPRTYRCRDYH >SECCE2Rv1G0072420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:55224685:55229833:-1 gene:SECCE2Rv1G0072420 transcript:SECCE2Rv1G0072420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDADALKSGRHGAGDVCQICADGLGTTVDGEVFTACDVCRFPVCRPCYEHERKEGTQACLQCKTKYKRHRGSPAIRGEEGDDTDADDGSDFNYPASGTEDQKQKIADRMRSWRMNTGGSGNVGHPKYDSGEIGLSKYDSGEIPRGYVPSVTNSQMSGEIPGASPDHHMMSPTGNISRRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKMKQDKGAIPMTNGTSIAPSEGRAATDIDASTEYNMEDALLNDETRQPLSRKVPIASSKINPYRMVIVLRLVVLSIFLHYRLTNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPIVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFDALAETSEFARKWVPFVKKYDIEPRAPEFYFCQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRINGLVSKALKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKAVREAMCFLMDPNLGPQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTAIYGYEPPIKAKKPGFLASLCGGKKKASKSKKRSSDKKKSNKHVDSSVPVFNLEDIEEGVEGAGFDDEKSVLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSSTPESLLKEAIHVISCGYEDKSEWGSEIGWIYGSVTEDILTGFKMHARGWRSVYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKFLERFAYINTTIYPLTSLPLLVYCILPAICLLTGKFIMPEISNLASIWFIALFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKANDEEGDFAELYMFKWTTLLIPPTTILIINMVGVVAGTSYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVIVWAVLLASIFSLLWVRVDPFTTRLAGPNIQTCGINC >SECCE5Rv1G0327420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:492303349:492305302:1 gene:SECCE5Rv1G0327420 transcript:SECCE5Rv1G0327420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMAIRVPKSMRAKRELLKHAPKLVENGKKMLILHGTKTSAVLNSVLADLFHLKRDHAVKYTKKNDSIRPFESGGETSLEFFSLKSDCSLLVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENYKSIESYAYDKKLAPKLGTKPFFAFIGEHFESVEGLKHLKEMLLDHFKGEVVENLNLAGVDRIFVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVLRRHRQAAESLQKEAMKAPGHAKKVKNVTNNPVEGKQGRIYIPDQEVSKLTVTSNIKGLKRERRDAKKNKEHSKKQKVAENPE >SECCE1Rv1G0037420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:523258508:523259431:1 gene:SECCE1Rv1G0037420 transcript:SECCE1Rv1G0037420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEEPSWFARFDEDLPAPDELMPLSQSLITRDLAAAFDIPTHGGGGPLSGADGAGGAGGQEMNGGASSAAGSSGGNGGGNGEEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLGNGGGGGGGSHSSGSGTDAATEHLFATGPSPFLPPGRGPAVADPYTPYAAMPAAHHHHHHPQQIGHFHHPAARPLGHYGAGPGGGFDHGFLSRAVASGAPVGPPGMHHRMVGGGAAMGMMAPSSFAEELELGSRGGGGGGGRRELTLFPTSGEH >SECCE5Rv1G0352960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:712791316:712792800:-1 gene:SECCE5Rv1G0352960 transcript:SECCE5Rv1G0352960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMDLAPQDVLAEILRRLAPRSLAACRCVCKGWRAVVDANRLLRADLLPLTVDGIFYETCPYNIPMLFSSPATGRRVTGKLDYMDWPLNDVFPIMDCCNGLLLMCDHVVNPATRQWVRVPPLPPSCTVTGCTRCSSDNRYLVYDPALSPHYEVFLIPNIPYKLPTGHICMHICDDDESVSAMEWPPSPYIVHVFSSKTGCWKERSLLREGEAAGTVADVKKVYDTVSFLLYAAYWKDALYVRCEEEFLMRINLLHDTYRVIKFPDGNKGYTLPRIGKSEKGVYCAFRVDDRNTFQIWFLDESHGQMEWALRNVINLQRVVEIYPANHVDGPYWVVQSEDQMDLVLKNDINLQPADDNDKAMTESDFDWDSDNEYVVSTNDWDDKGGYRDFFYCLGFHPFKEVVFFHGDSRTVAYNFDSCKVLYLGEIRYGCEELQESFSYASCWMRNLPGSN >SECCE6Rv1G0438280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:788800357:788806169:-1 gene:SECCE6Rv1G0438280 transcript:SECCE6Rv1G0438280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEMATRGEPWRRPEGERGLEEEMELFRSGSAPPTVEGSAGALHGAGVFLDDDLRADPAYHSYYYSSGSVNPRLPPPLLSREDWRSSQRLRPGPGPGPGLGGIGDGRRPGGGGGAGTGRPGDGLIGMPGLEIGRQSSFSGLFQDDSYQHNTNRQGANRNSTDLSGSSRNRYGLDHESGAIGGLQYDSKAPHLPGNQNNESTHTYASIIGSSLSRSASPDPELVRRVPSPCLPPIGVKLGATNNQNNGGSSSFNRNSPGIGGSDDLVSALSGMNLSSSRPVNGHADQSKLHQDVDSVRKFLYDQYMDHKHGNGQHSYMKQSEQGHVKGPQEYSGASMNSSIMRNQINAGGFTTFDNSSLGSGFSSPRIGSRSPGGNLSSRHNLANLANYSGIGSPTATSGHQLPVDPLYAQFLRAAEIAAFAANCEDPLMERGNLGSSYMDLFGHQNDYLGPLLQSQKQYDYYGNLGSGLGYAGNSLTNPVFPTSPGGPGSPLRHVDRSMRFQSSMRNFGGSYGSWNSDFGGKMNANLVPSLLEEFKSNKSRSYELCEIAGHVVEFSADQYGSRFIQQKLETASVEEKDMVFTEIMPQALTLMTDVFGNYVVQKFFEHGSAAQIKELADQLIGRVLALSLQMYGCRVIQKAIEVVDLDQQTKMVAELDGHVMRCVRDQNGNHVIQKCIECIPQDVIEFIVSTFYGQVVVLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEVLQSVCLLATDQYGNYVVQHVMEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLSFGNPVERQILIGEMLGSTEESEHLEVMMKDQFANYVVQKVLETCDDQQREAILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPSRVLSED >SECCE6Rv1G0407090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:554443370:554445031:-1 gene:SECCE6Rv1G0407090 transcript:SECCE6Rv1G0407090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVEMVMGWRTSGANSVASAASLSPAVHYDGEDRISALPDDLLRSVVSRLPVKDAARTASLASRWRHLWRSTPLVLRDTDLPLPRSIVARVLADHPGPFRAIHITRCSFASHERELAEWPRLFAANGVQDLVLVNNADVPENPTDTVRLPTDILRCASLHRLFLGFFTFPDTAGLSRRADVLPRLQKLSMCTTSISGRDLDYMLACSPVLEKLTFALSSTPDFVQLRSRSLRCVVLWIFTAEEIAVVDAPLLQRLFLLEAPRGGDESTMMIKIAHAPNLQALGFLEPGFHQLKIGNKVIELGTIPSPRTVLPSVKKLAFKVNFGVLKEVKMMATYLGCFPNIDTLHIESLTEPSGRSHAKFWKELPTIECIKSHVKKMVIHEYRGNKAELEFLKFISTRAQELQTLYVLLNRESLTSVAKAEKMTSKLVALSGVPWSCDCKMMVLGPKFQNDWSIQKASDLTVDDPFHW >SECCE4Rv1G0248370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:571174254:571174864:1 gene:SECCE4Rv1G0248370 transcript:SECCE4Rv1G0248370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMAMSSFAGAAVVPRGSAGRLGARSLPALGRRALVVRAQTDGPSAPPPNKPKASTSIWDALAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYTVVVLSVASLVPLLQGESAEGRAGAIMNANAELWNGRFAMLGLVALAATEIITGTPFINV >SECCE5Rv1G0333990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:563195519:563198210:-1 gene:SECCE5Rv1G0333990 transcript:SECCE5Rv1G0333990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLALNRLVSVRQDRQTRSRRGTSTAPVAKRKRSPCQQEDGTTRQASKRRRHSVAELPKDIWDHILALLPLRDAARAGCVSRALLSSWTRLPNLTFTEETLGLAGTCRKGELARTFQNRVYRVLKKRSGVGVKTFKLHHCGSGFNVRDLNRWLQIAVTPGIEEVVLSVPMHRRKYKCGSYNGYECVSYNFPCSVFSNGSGNSIRHLHLASCAFHPVAGLARLTRLHLFKVDITGDELGCFLSNSFAMEELNLTKCGNVIHLKIPCLLHRLNCLAVLQCGALKVIENKAPNLWIVRIDSQPEKVPVGDVLQVKDLQMQDCYQSNLVHYARAKLPSIMPNLETLSLGSTGEMFNTPILPVKFLYLKNLWISLCDKGMKGFSPGYDYFSLVSFLDACPVLENFTLAVLQTGVRHELISGYPHLRQMPEHRHGNIKNVRIMGFCAAKSMVELTCHILENATSLECLTLDTICDSGSEDLDRTFDDGIAGCYTVLDWRMLEEGYRGLRAIERYIVGKVPSTVKLHVNKLSSRPYAIKSYEKSYGDYLFW >SECCE4Rv1G0283750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836424478:836425605:1 gene:SECCE4Rv1G0283750 transcript:SECCE4Rv1G0283750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRLFRRSRCPVATLLPIVLNDQGIVDSLTENNQRSMWASRRIGEDEQLYLVHIQGIAGIGLPTTLVVKKFQNSDGIVDGDLENRCKSEMILLASIRHDNIVNVLHFIQRENAIMLVYTYQVNGSLHQWLHRRGEGDLPLSWPQRKAIAVGVAQGLCHVHHGCNRPIVHHNITSINILLDQNFKAVIASFGAAQMNMAGLNQPLPIAGTLFGNFGYAAPEYGRAASQLTEKVDTYSFGVVLLELVTGRVANGVDGQLAIWARDNCSKLMAKKLEWFKIAVDKGIPDQARYMEEMASVFRLGVDCTVDDPQQRPSMQMALKRLHHGCGRSRFGGLLTCML >SECCE7Rv1G0511290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:802530565:802531403:-1 gene:SECCE7Rv1G0511290 transcript:SECCE7Rv1G0511290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNLVLTGPGRAISADGPIAFSIYLHDNSQDISVEEIWNKSVHLHLDETATLDRPLLATANTPYGPVDVIYAILSQGVECKVAMRLIHRDVKDPISLFGRIVARSELFDIGCVLFYNEDVKGISARSGELIPLARHQLAVPLYKVLVIELDLHSDCGDEIMRGTLEFQPLPEGDQTARLISKSGTQIKDKGAC >SECCE2Rv1G0105900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:626525725:626527233:-1 gene:SECCE2Rv1G0105900 transcript:SECCE2Rv1G0105900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRALPLPHFTLPPLAGEDLAFVTALRSHLSSSPELAPSSLSRFLPHLNPLRLSHLLLSPPRVPHDLLGSLLPSPPPPLPFALLLHALTPRRSSELLASLLPSVSHHAFPELPHHLILTARLAAGSRGTGAAVPAMDVLFSACARRNKLSQATLTFRAMREHGLLPRVESCNVFISAALRLKRPEIAVSFFREMRRCCISPNMYTANMVLRAFCALGRVADAAMVLDEMPDWGVDRTVVSFNTLIAAYCREHGGLEHALELKKRMELEGLAPSEVTYNTILHVLCKEGRMKQANRLLSEMKVKRVVPNTVTYNTLIYGYVMHGDNGTASRVHEGMVKNGVELDIVTYNALILGLCGDGKIKKAEHLFQQLDRSKLEPNASTFSALILGHCKMQNSERALQLLNVMKKSGFHPNYDTYKIVISTFCKNKDFEGAVDVMKELLKMCMAPDKAILHEFFEALSKAKKLHLAEDLQSADKGGKFIPSIYYTGDYRNNGEEKTAC >SECCEUnv1G0554490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:286989845:286995365:-1 gene:SECCEUnv1G0554490 transcript:SECCEUnv1G0554490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERANLVFHNKEIDGTGMKRLISRLIDHFGMGYTSHILDQLKTLGFYQATTTSISLGIEDLLTIPSKGWLVQDAEQQSFLLEKHYYYGAVHAVEKLRQSVEIWYATSEYLKQEMNSNFRITDPSNPVYLMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTADAGYLTRRLVEVVQHIIVRRRDCGTIRGISVSPQNGMTEKLFVQTLIGRVLADDIYIGSRCIAARNQDIGIGLVNRFITAFRAQPFRAQPIYIRTPFTCRSTSWICQLCYGRSPTHSDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTADLVRSPSNGKIQFNENLVHPTRTRHGQPAFLCYIDLHVTIQSQDILYSVNIPSKSLILVQNDQYVKSEQVIAEIRAGTSTLHFKERVQKHIYSESDGEMHWSTDVYHAPEYQYGNLRRLPKTSHLWILSVSMCRSSIASFSLHKDQDQMNTYGKKDREILDYSTSDRIMSNGHWNLIYPSIFQDNSDLLAKKRRNRFVIPLQYHQEQEKELISCFGISIEIPFMGVLRRNTIFAYFDDPRYRKDKKGSGIVKFRYRTLEEEYRTRAEDSEEEYETLEHEYRTREDEYETLEESKYGILEDEYEYETLENEYGSPENKYGNPENEYRTLEKDSEEEYGNPESKYRTQEDEYGTLEEDSEDEYGSPGESGEEKYGTLEEDSEEDSEDEYESPEEDSILKKEGLIEHRGTKEFSLKYQKEVDRFFFILQELHILPRSSSLKILDNSIIGVDTQLTKNTRSGLGGLEDSEDEYGSPGESAEEKYGTLEEDSEEDSEDEYESPEEDSILKKEGLIEHRGTKEFSLKYQKEVDRFFFILQELHILPRSSSLKILDNSIIGVDTQLTKNTRSGLGGLVRVKRKKSHTELKIFSGDIHFPEEADKILGGCLIPPERQKKDSKESKKKKNWVYVQRKKILKSKEKYFVSVRPTVAYEMDEGRNLATLFPQDLLQEENNLQIRLVNFIYHENSKLTQRIYHTNSQFVRTCLVVNWEQEEKEKAGASLVEVRANDLIRDFLRIELVKSTISYTRKRYDRTSGGPTPHNRLDRANSNSFYSKAKIESLSQHQEAIGTLLNRNKEYQSLMILSASNCSRIGLFKNSKHPNAIKEWNPRIPILEIFGPLGAIVASISHFSSSYYLLTHNKILLKKYLFVDNLKQTFQVLQELKYSLIDENKRISNFDSNIMLDPFLLNCHFVHHDSWEETLAIIHLGQFICENVCLFKSHIKKSGQIFSVNMDSFVIRAAKPYLATTGATVNGHYGEILYKGDRLVTFIYEKSRSSDITQGLPKVEQIFEARSIDSLSPNLERRIEDWNERIPRILGVPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVQIHNRHIEIIIRQVTSKVRVSEDGMSNVFSPGELIGLLRAERAGRALDESIYYRAILLGITRASLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGIIPVGTGFQKFVHRSPQDKNLYFEIKKKNLFASEMRDFLFLHTELVSSDSDVTNNFYET >SECCE3Rv1G0178860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:516682672:516683357:-1 gene:SECCE3Rv1G0178860 transcript:SECCE3Rv1G0178860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISAAISGCCSSSCLSQSQRGLPSSASRRHFKVTAMAPKNKVNKYDDGWSKQWFGAGIFAEGSEEASVDVFKKLEKRKVLSSVEKAGLLSKADELGVTLSSLEKLGLLSKAEDLGLLSLVESAATVSPAVLASLSLPLLVASIATVVFVPDDSTLLVTVQTVVATLFAAVAAGLFVGSVVLDGLQDD >SECCE1Rv1G0008390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:46898925:46900873:-1 gene:SECCE1Rv1G0008390 transcript:SECCE1Rv1G0008390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLLLVLLLPAAPEATPSALLGINYGRVGNNLPPTASALQLLAGLGVGRVRLYDADPATLRSFANTGVELVVGVPDECLAAVSTPSGASAWVRSVIQPALPATKIAVLTVGNEVLTGANSSALSPSLLPAMQCIHGALAQLGLDRQVAVTTAHNLGVLATSYPPSSAYFRKDLLPVLCPILDFHARTGSPFLVNAYPYFAYAEDPAGVELDYALLEPGHGGVPDPSSGLRYPNMLVAQVDAVYHAIAAATRGAAARAVEVRVSETGWPSAGDGNETGATPRNAARYNGNVMRLVGEGKGTPLRPDGALRVYMFALFNENMKPGPSSERNYGLFKPDGTPVYELAYRLPKDNATTSSGTGSIAGGGGGGGPQNNGYYSISASSAKANVGWWTWTQACEAVLLMMIAF >SECCE5Rv1G0359180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:760038487:760040974:-1 gene:SECCE5Rv1G0359180 transcript:SECCE5Rv1G0359180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARPLVSVKALDGDMATDAAGIPMPHVMKAPIRPDVITFVHRLVSCNSRQPYAVSRKAGHQTSAESWGTGRAVSRIPRVGGGGTHRAGQGAFGNMCRGGRMFAPTRIWRKWHRRVNVRLRRVAVASALAATAVPAIVTARGHRIESVPEFPLVVSDSAEGIEKTAQAIKVLKQLGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLDEVYGSFEASSSKKKGFVLPRPKMTNADLGRLINSDEVQSVVKPINKEVKRREARKNPLKNAAAVLKLNPYFGTARRMAVLAEAARVKARKDKINSKRTKLSAEEASKIKAAGKAWYQTMISDSDYTEFDVFSKWLGVSQ >SECCE1Rv1G0005710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:27727992:27732199:-1 gene:SECCE1Rv1G0005710 transcript:SECCE1Rv1G0005710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFVVRPLVSMLTNKASSYLLEQYKVMNGMEEQRETLKRKLLAILDVIQDAEEKGASRPGVSAWLEALKKASYEANDVFDEFKYEALRRDAKKKGHYKKLGFDIVSLFPAHNPIVFRYRMGKKLCRIVQKIEGLVREMNDFGFNQTQQAPPSNQWRNTDSIIIDSEKDIVSRSRNEEKKKIVHILIDQAGDRDLIVLPIVGMGGLGKTTFAQLVYNDPVIKEHFKLQRWCCVSDDFNVMKIANNICETNEIHREKALKNLQKEVSGKRYLIVLDDVWNEDADKWEKLKTCLKHGGKGSAILTTTRNVQVARNMKMCIADSHNLGNLDKVFLKEIFENRAFCLQKPKAAELSDVVDKIMDRCGGSPLAAKAFGSMLSNKTTMKEWIDILARSKTCNEGTQTFLVLKLSYDDLPSHLKQCFAFCAVFPKDYEIDVETLIQLWMAHDFIPLKEGDNLEKVGREIFDELTWRSFFQDVKRIPRREWRGELRPRTMCKIHDLMHDIALSVMGKDCLTIVNRPNEKELVSTGPTRYLFSSYYYIGTLLDDYLKKHSPTLQTLLYPHSFTNGSAPPLSKCNNLRALQLFELKKLPLRARHLQYLRYLDLSANLSIQELPKEMSILYNLQTLKLCGCRRLGRLPEDMKCMVNLRHLYTNGCSSLKCMPPCLGQLTSLRTLTYFVVSSSHGCSTIRELQDLNLGGDLELSQLQYATEVDAKACSLGNKEKLTHLSLKWSDNSTDELGQHRNVLAALKPHAALEFLRIHSYSGNGFPAWVVSFNFLQHLTELQLDGCTMCEEFPQFGQFKSLEVLVLKRLNKLQSLCNHNSSAIFPALKDLRLKKLEIFERWVATEGEELSFPQLENVKIKDCPKLVILPEAPKLKFITLKEEKAQLSLSIFKSRYMSSLYGVRLSVSDDTEAAPITELDQDCEVSLSNLLLDGCNFLFCSTPLQPTVGVWKWFGQLVHLTIKSCDMLIYWPEEEFRCLVSLNSLSINSCSKLVGHTQGKGCRTQVRDQLLPNLKKLTIVHCGSLTELFVLPPSLTSIDMLDCSSLESILVQDDTKLESIPHFDTTSSSEHFNDLTSTCLLEQSPSPRINPLPCLDFLRILSCKKLRFMPVQLDALQFLNIHDCNGLESLDCLGDLPLLETLFLEGCVHLASVPVSLGSYSALQKLSIEYCPALNMKPLYGHLQQRLDSLELKNLSNAGSSYPNEGPKLWEPKSWKYMISSLRKRESE >SECCE1Rv1G0032070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:450400152:450401395:-1 gene:SECCE1Rv1G0032070 transcript:SECCE1Rv1G0032070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSANAVALVALVSVLLNLTYGCCAQSPLNYTGSLAKASKASWSWLPAKATWYGAPTGAGPDDNGGACGYKHTNQYPFMSMTSCGNEPLFKDGMGCGACYRIRCVNNKACSGKPETVMITDMNYYPVAKYHFDLSGTAFGAMAKPGQNDKLRHAGIIDIQFQRVPCNHPGLNVNFHVERGSNPNYLAVLVEFANREGTVVQMDIMESRNGRPTGYWTAMRHSWGAIWRMDSRRRLQGPFSLRIRSESGKTLVAKQVIPANWKPDTNYRSNVQFR >SECCE1Rv1G0017520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:156370445:156371083:-1 gene:SECCE1Rv1G0017520 transcript:SECCE1Rv1G0017520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRTAMSVCFLVAVVVAAASVPAATAQAPCDSVCRLKAATEAFAAAPPTEKAAAVEILSNKTEGAVSSAESANQAAGLATRCLDDCNKLCGAGKKDVGCSTRCETICRVEVESLSFAADVYAKSSASEKTAVIQAIDKQAAQPSDKIGAIAATCIGECGKFCSEGKKDPACATICDDGCRGRAVSVAFALATPDKKETIKKDIAAVAGPK >SECCE6Rv1G0383300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:51889826:51891991:-1 gene:SECCE6Rv1G0383300 transcript:SECCE6Rv1G0383300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFTVPPVVLQLFVLLILASPATACTEQEKRDLLGFLAGLSQDGGLATSWRDNGTDCCEWEGITCNGDGAVTEVSLASKGLEGRILPSLADLASLQRVNLSYNSFSGGLPLELMSSGSIIVLDVSFNRLNGPLPELPSLITADRPLQVLNISSNQFSSEFPSAIWKMTKNLIVLNASNNSFTGHIPSSLCLGSPSLALLDLCYNRLSGDIPTALGDCSKLNVLKVGHNNLSGTLPVETFRATSLEYLSFPNNGLQGELDGAHMVKLSNLLTLDLGGNKFSGNIPESIGQLRRLEELHLGCNNMSGELPSTLGNCVNLKTIDLKTNNFSGDLGKVNFATLQNLKSLDLMKNNLSGIVPESIYSCSNLIVLRLSVNHFHGEISTRIGNLKHLSFLSLAKNSFMNIRKTLHAIKSCRNISILLIGKNFVNEAMPPDETIDGFQNLQYLSVHHCLLTGRIPNWLSKLTNLKILDLFNNRLTGPMPSWINSLNHLFCLDVSNNSLTGEIPVTLMEMEMLKSDKPAIRWYPSLLDLGLLVYAADPSTLQYRIVSAWAKVLNLGNNKFTGVIPQEIGQLKALLYLNLSSNNFHGDIPQSISNLTNLLELDLSNNHLTGAIPAALENLHFLSQFNISNNDLDGPIPTTGQLSTFQTSSFNGNPKLCGPMLANDCDSVEAPPISIISAKQCSNKVIFAIAFGLFFGVGVLYDQLVLFRFLGQVAKPTSL >SECCE1Rv1G0053530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:670188871:670189657:1 gene:SECCE1Rv1G0053530 transcript:SECCE1Rv1G0053530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVVRLSRSATSVVAKAASGFHLLRIDGYSQAKTVLPGEKISSTGFTVGSESWRMDYYPNGRDAAARSNYASVYIQLTGDRTRRPVQAWYKFSLLDHAGNTAYELPAETGSFTGIPEPELEHLIRDDLLVVRCDVGLRQMTCSRLAADEIDNWEDDEGDEADEGYSGAPTYYPPHPARRGRRRRQPDDTEYVKWCLAQRPGEPRIRQGRMVGGQYA >SECCE4Rv1G0223800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:73744201:73749543:-1 gene:SECCE4Rv1G0223800 transcript:SECCE4Rv1G0223800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPTSSPPPSADGGGGARCISPTPSRRKVSPNRSGGSSRKSPGSREFGNSLLKSVNKSTSQFKKSVIRRSGPSIDWFPRKKTESYLKRKIKRLQETDGMTASLHDTLENSNLHYTRMAREKIAASEAAKKAMEARKTAMVEASWCRILQTARIQNKEAEELMEKAKLHATEAFEYARVIGIMMYDKPDCPSQQYEVESSAKTGGRPTHKVTASFQTAFEVDMEVAAAVKKAFVKLANSPDLSNQEEFKELLRKISQNPDATDSDENSEAEQQLGDCNNEETNNLESNNNTSRRSIFPSDFNTADLEQSTDLVNIMLERIKALHEDDLASLAVIVATSGLNAALQRDKGKYHQMKPASYTTAGSHRPQSRRYSTAASFIDIQGPKKEVSSELPSLDKFLVKHHSKLEREVQEAKEACRKVIPVKPVVQDVQGELAGANTTALESASDLGSILVKNMSRLEKEILEAKKSNQCTNSSEGSCKDVTDDVQASTEESESLKGQSEVLCDSNVKSNSDIRGLGEESNCVQACTDSSQDKENRILSSHKLPPLGAKGNQGGKRLTRIEAAKLEALRSFCTKDSSELDVGLDKVFVKPTNRLEMEKRKALEQGQTDVQKQKDPQKCCDNTAASLDEILVKRVSRLEKEKMEYEKRNASGEGQMIVSRDQRKYGNVATASESLDQVLVKRVGRLEREKMEYEKRNASGGARTGVQTGNDDKASDSLDQILVKHVSRLETEMEQEKKGDSGTLLLEKSDTQCADGAAGGLADIFVKRPTKLEQAKQAAAAAAAEGNSIRVLNPAEERRRAREKELLDAWGGEGLGSSVKPHVSKIESNKAACRKTEGELKQERRRAREKELLDAWGGVGLGNSMKPHLSKIEKDKAAWRKLEEEQKQICVGGTGEQQKQICAGGTGEL >SECCE2Rv1G0129800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:870302121:870304124:-1 gene:SECCE2Rv1G0129800 transcript:SECCE2Rv1G0129800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPENMVTQLIQQEHRSKWTACSNHNLKCFTEHEIRRFTNNYKSVIGKGAFGEVYEGVLEDKSMIAVKRFICNVKENFAKELIVHREINHKNVVRLVGYCVDENALMVITEYIPKGNLSNILHQDSTPIALDTRLRIAIECAEALGYMHSQMYTQVIHGDIKPANILLDDELRAKISDFGISRLVNTENTLYTLNVIGSIGYMDPLFAQNGRLVAKSDVYSFGVVLLELITRKKARTDDGEIGLVQSFSQSLSKGIRRVREMFDPEIVTSSDMKTIEEIAKLAGRCLKMELAKRPEMLEVAERLRKLKKAPHQVQERLALFSWVRKNKLAPAETPLPEISSSTQNVGTSIVRPTVIGQLFELDDLLRSAAEVLGKGTVGTTYKVTLDSGYELVVKRMKFVEVTELDFEQHFALIGAFQTKHIVPLRLHSYTADEKLLVYNFIPMGSLAKVLHGDEDSRPAPLNWDQRSAISLAAARGVAAIHLAGPSSCHGNIKSSNILLTGTHDACVSEHGLITLGMYMNASGYRAPEVTSNRWVSQKADVYSFGILLLELLTRKAPANSINNEQGVDLSRWVYSVMRAEWTAEVFDVELRGREQKDGEQECMVRLLQLAIHCCSQDSNSRPTMSDVVQQIEEIRQS >SECCE3Rv1G0161820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:123036586:123037257:1 gene:SECCE3Rv1G0161820 transcript:SECCE3Rv1G0161820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLGLTTSHEACFSAHPAASSLYNFQADDHFLAAADGAAALSFPELVDAARASNYPPLPAFGAAGGEAMNMYERSVVFPMTSSYYCDGAGMFDGDASARARGGGIGAMAGRPSGRIGFRTRSEVEVMDDGFRWRKYGKKAVKSSPNLRNYYRCSAEGCGVKKRVERDRDDPLYVLTTYDGVHNHVTPGSTSSRAAPAYSAPAAPAWTWSELHAAAHSSESY >SECCE2Rv1G0065780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10334704:10335690:1 gene:SECCE2Rv1G0065780 transcript:SECCE2Rv1G0065780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGTLRSLSPHGHGILILFAHLLIFMSSNTVAKSITNGSEVDRQALLSFKRDLTSDPQGVLVSWHTSIGFCSWRGVACGTALPPRVVSLNLSSANLAGQLSPSLANLTSLELVDLSDNDFSGVIPEELGTLQHLRYLILGRNGLVGIIPRSLGTSTSLRYVDLADNILVGTIPDFHKMSSLRILDLSSNNLSGSIPSSLGNLSSLIEIRLDTNSLSGAIPETLSLVQNLSALSLANNSFSGHLSAKLCNISSLIYLGLAQNNLTGLIPPSIGNTLPNLEQLSLSSNKFAGLIPSSLANAAYLRLIDLVHNSLVGPVPSLGSLSDLV >SECCEUnv1G0532420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:20195390:20196671:1 gene:SECCEUnv1G0532420 transcript:SECCEUnv1G0532420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFQITPRAAIVESNELNFRGLYLFHTPLGSNQNQSGIIDSNATTGLGATVVNNWPICDGPSPGATVVARAQGLHIYAGNWQNTFSITFEAERFKGSTLQVMGISVEEGEWAIVGGTGQFAMANGVIYKKFHEQRSDGNIIELTVHGFCPVLKSQSLPTKVGPWGGNGGSDKDIVETPRRLESIIVSSGTIIDSIKFSFVDQTGQKRTAGPWGGSGGNQNTFILCTSEFVKEVSGTFGLYGRDNHNIITSLKFITNVKTYGPFGEAKGTPFTIPVQKNSIIVGFFGRSGIYLDALGVYVHPL >SECCE7Rv1G0515110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:838534470:838537158:1 gene:SECCE7Rv1G0515110 transcript:SECCE7Rv1G0515110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMSLAAKGLLPFGALPSSGVAQRPVSVTASLEHKPSDSKRRLLKLALGGVGLPALLSANKALADDQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHNQQEDSGNLLFNLIGNLAFPLILIGGLFLLSRRGGSGGMGGPGGPGFPLGFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVVAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDADVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRTGISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDPVQKVTLVPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSDIGPWSLMDAAQSGDVIMRMMARNSMSEKLALDIDSAVKQLSDQAYQIALQQVRENRVAMDKIVEVLLEKETLSGDEFRAILSEFTEIPVENRVPPTPQAAVAV >SECCE4Rv1G0218080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:19809165:19812779:-1 gene:SECCE4Rv1G0218080 transcript:SECCE4Rv1G0218080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSKELDGLRSEAEARAAECRAKSALVDGLRREAGEQAARLREARAEVERQAGEIAAKGQEAASAREACEGLRARLAEKEQAFRHLCAAHDGLKTSLRERGEGWDAERSGLVAALEESEVKRLEQDAAMRSCNEEMSRLKRLLSEKEKRCSEAEQRALAQREVMMRDDTLAKLEEEKAAIQGKLKWKAEQFRHLEEALKKVRDEFRDAERQWGSDRSTLADQIGALESKLDSKTRVAEEFRSRLEMCSQALAHEEGRRKLIEAEMSDLRHMYGNVVSEYEEARSTIELLTSKRDGEIASLRSSLAEKSTLLNEMEYCKARLDQENEDLRSSLKEYQEAQIGGADAAGMLKGLQEKFRALEQTHRGCTEKLSRKETEWRTKMGKLESDLDGCLSQLESKDMLIRQLQNELLSSYSALELQTVENLEASIARLAVESKFYDSCSCIDTLKLNIQQRCDFFEQNVAAAKSQLEEKDLVIAQSQAEEAHQLEVMATLRGRVEQLECMEQEHEKMQRQLAAYKEMLDNASRNVHCLKEETSEKEKSLQEQLGKALSDLDQAHRDLDEQKSQLRQFEVNLHQQKQAVEHLEKLKVDLETELKGYMDDNHVLKRDLDVALNAKIGAEVSLREEKEKLLGALNEANCALSESKSKICENEITLHQQKQEVEHLEELRVDMETKLKGYVDENDVLKRDLDVATIAKLKADESEEKLLFALNEANFAVSEMKEELDQLRINIHQQKQALESLEKLKVDMQTELKGYVDENNVLKKDLDVATIAKLKAEEIHTEENEKLSFALNEANSTVSELKEELDQLKINIHQQKQALECLEKIKVDMQTNLKGYKDENCALKRDLVLSLVAKFEVEGTLREEKDKLSSMVDERDRNIEELQQYISVLEEDNLGQKLDMASLIKSEVKKSILEVNNKYSEIVEVFDKKLLELETRLGIFEQKYTRRENEIMEIFDQEEADWYTLIAEKEDAIADIQQIVESVQLNIKHLLDAAASKLSEVQLQVQQLYGFAENLNSLNLIQEHDSYFKDMLIEESQRELVALQMKLVLEKEQSSNLKHVLEKLKADTTAEILEKAKEHLEVVNKLKHLEESKEMLEEHLEELKSRTKDICDVAVQERKQLVDELNGITCNIGAAIHADEDLKASLARIMQKANIEEPLLISSSKGMPSLEKSNVRNHSPLTRNKSAALPDRRLPLKENNY >SECCE5Rv1G0333850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:562422555:562423124:1 gene:SECCE5Rv1G0333850 transcript:SECCE5Rv1G0333850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSYTQEHVYLHPWHRVTAAAWRKFTDPDALSHILDVQTLSRDVDPRAGRLHAVRAIAGRPPPLPLLLRGLAATAGVGDVVVCVERTSVDAAARAMRVVSRNATFRRLVDVEERCSYAPHPERPDEWTVFTQETSIRCAPLAAVSATVAEMVERRCAESFAQNAARGQEVVERICDGLALAEAEGKEH >SECCE4Rv1G0253360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:625779478:625781280:1 gene:SECCE4Rv1G0253360 transcript:SECCE4Rv1G0253360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLCISTPAAVALPHLPHRRAPPCDVALTASVPARLSLRRRGLPTTGLRCSGMEVGVTEAIRLAAASPFGHNLVAVDNVGDEEAANKLGFKEMATYVIYGTGVFFAGWVLSAVVSAIDSIPMLPRILEMVGLGYTVWFSSRYLLFKENREELFAKAYDLKMRIVGSGDA >SECCE2Rv1G0140320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931177434:931177820:-1 gene:SECCE2Rv1G0140320 transcript:SECCE2Rv1G0140320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTSILLVVVVAVMYATSASATRCGDSMGHQLWHTTVENGWEPIMNINDEHIQDLGGWAVLEFNKHVKCTIKFNKVLSGRQQLVSGMNYELIIDVTHFGGEDGNYKAELYEQELTKKRQLLSFTKVK >SECCE3Rv1G0210680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944549870:944550366:1 gene:SECCE3Rv1G0210680 transcript:SECCE3Rv1G0210680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNRAQLLAAFVLGLFIVSYSVVASHPCTSQSKLFRGPCKSSKKCAVTCHREHFVGGYCSHKAVDHHNEDDVDMYKYPKFICLCKCNYRKEKIPPPPPETMPPPPQPKVMPTRKVYGGMDN >SECCE4Rv1G0268670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744323027:744324840:-1 gene:SECCE4Rv1G0268670 transcript:SECCE4Rv1G0268670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLTSLLLSLPQQWQPVLLALLSAISLLLWTRSSSRKGLKLPPGPSRVPILGNLHQLGPQPHRTLRDLARVHGPVMQLQLGKAPTVVLSSAEAAWEALKAHDLDCCTRPVSAGTKRLTYDLKNVAFAPYGAYWREVRKLLTVELLSARRVKAAWYARHEQVEKLMSTLALAEGKPVALDEHILSLSDGIIGTVAFGNIYGSDKFSQNNSFQDALDDVMEMLSSSGSSAQDLLPTVVGRLVDHLTGFIARRERIFKQLDSFFEMVIEHHLDPKRVLPANGGDLIDVLIDLWKKPRGTFSFTKEHVKAVIFSTFVAGIDTSAATIVWAMSELVRKPRVLKKVQGHIRTVVGGNKRVQADDMPKLSYLRMVVKETLRLHPAAPLLLPRETMRDIQIGGYDVPTKTRIYVNAWAIGRDPVSWPNDPDEFNPDRFEVNDIDFKGEHPELMPFGTGRRICPGISMAIATIEFTLANLLFSFDWALPEGTTTDDVNMEEEGRLILHRKVPLVLVPTAYHNDL >SECCE7Rv1G0504740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:726526577:726527635:-1 gene:SECCE7Rv1G0504740 transcript:SECCE7Rv1G0504740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSAACCPWDVLPAHLQERILSRLPLTALVPVAAVSRALRRLLRSPAFHALLSQHRLDAFFLLSPRLAFHPLSRRLLQVPPSPPLDPSSPPFISSASPSFLVTVDSLLRLPALPDRSYLIAVIVPPSPSASSSSSRDYTLVAVTNGAAVRSYSLDSADPSPRWVPGGELPLPFAILGNAAVASDRARLFVLGRGPDALLVLDLETRKWAAPPVVMPHGLTTAHLFVLDDRLFLVGGVERLGVLERVVVWQLDSNEAVGWVEVATMPSEVFNELVAGRHGSFWHFQAADRMGTLCLYNAVDGRLVMFDVVDCVWTMMPGVSGLDADESRMWFGHVLEPGVDLLLGGRSPCS >SECCE7Rv1G0513970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:828168650:828171936:1 gene:SECCE7Rv1G0513970 transcript:SECCE7Rv1G0513970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHKKRYNCKGLVDNKVKVKEEKDDNIIMKEKMKQNEAEGDKKAEENQNSSKRKMAKNKEEKEKKMRVVDQNDDEEEREDQRKRKENGKKENLHKEKKKESKKEEQGQRQIEKEKEEEQKEINEQKGRTANGKEEEYSKDQNAKKNCPHFFRALISNSFMEHVTIPVGFHKYLEDCKGMVSLRGPSGNKWPVELANISGELCFARGWKEFLSDHHVGYGYLLVFRYDGKSQFSVTVFLPSSCEAPYASLAQPQHKDIDVAREEDKGLTSTNADGTAPQEEDTRTGTSVDGATQNESSEEEDSLEDEGAEEVEDSSSETLGNEEDCEHRMCSDNALEPAQQQQDDRRKTDDGFLVGKRARFRKVDDIMAEVDRSKKFRAAKGKNTEAPFGDPASGGAASSDSLAESKHRPPMMSKAEDIQHASDSTFKSATSSENSTEVASHPSKDSKVEGKISATPLIVYTMGAASASKRVPLKTASEKTTSSDNLAVHAGVFALESVCTDLTTWHKSFGKRLSKQNQFPMFNKSNGENQPGRVLIKVMRRPGLKSQRRPVTQREKECTMERAERFKSDRPFTVKAMKHNDVYASYFMIIPNMFVKTYLPEESRNMTLWDPQAKPWKVWYEYTGGESPRAAFSSGWGMLAMHNNLEKWDVCVFELLDQEYNIKMHVHRVVLEITPCVIAPKRRTCE >SECCE1Rv1G0054500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:675200309:675204303:1 gene:SECCE1Rv1G0054500 transcript:SECCE1Rv1G0054500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRAPLLPRRQEEAAKSGGWRCGAAAAAEARKVAHVALPMAAVSVAQYAVQVASNMMVGHLPGGVLALSASAIATSLASVSGFSLLIGMSNGLETLCGQAYGAEQYGRLGVQTYRAMVTLTAVSIPISLLWVFMGKLLTLIGQDPVISHEAGRYIMWLIPALFAYAVSQPLTKFLQSQSLIIPMLWSSIATLLLHIPVCWLLVFKTSLGYIGAALAISVSYWLNVFMLVAYIGYSNSCKETFSPPTLDAFSGVGVFMRLALPSALMLCFEWWSFEVIILLSGLLPNPELQTSVLSTCMTTITLMYTIAYGIGAAGSTRVSNELGAGNPEGARLAVRVVMCIAVTEAVLVTGALLASQHILGYAYSSDKEVVDYVNAMVPFICISVAADSLQGVLSGIARGCGSQHLGAYVNLGSFYLFGIPMSLLLGFVLKMGGKGLWMGISCGSIVQFLLLSGIVFFSNWQKMSDNARERVFGGTLAEKEPLMSDVTGAA >SECCE1Rv1G0040070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:556731422:556732938:1 gene:SECCE1Rv1G0040070 transcript:SECCE1Rv1G0040070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MAETIDLTGNGGVLKTVLRKAKDDAISPSDSLPLVDVHYEGTLAENGEVFDTTHEDNSIFSFEVGQGAVIKAWDLALRTMKVGEIAKITCKPEYAYGSPGSPPEIPANATLIFEVELVACKPRKGSSLGSVSDEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >SECCE2Rv1G0068860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25506815:25508194:1 gene:SECCE2Rv1G0068860 transcript:SECCE2Rv1G0068860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSMHVVMFPFLAFGHISPFVQLARKLVAAGGVRVTLLSAAANVPRVEAMLGPAAGAVAVAPLRLQRVPGLPEGAESTAEVSADGAELLKVAVDGTRPQVAALLEELRPDALLFDFATPWVTELAAPLGIKALQFSVFSAVSGAYLMNPARRRGGQLPTADDLTSAPAGFPPSSNITTVPAYQAADFSYVFTSFHGEPCVYDRVLAGLQSSDALVIKTCDEMEGPYINYLAAQIGKPVLLTGPVVPEPPQGELEERWATWLSSFPDNAVVFASFGSETFLPAAAATELLLGLESTKRPFFVVLNFPKGADTEAELAKCRPPGFAERTKGKGVVHTGWVQQQHILRHRSVGCFVNHAGLSSVVEGLVAGCRLVLLPMKGDQYLNAALFARDLRVGAEVARRDSDGWFGRGDVSDAVDTAMADGWEGQGSKWRDFLMDDAVQKRLADDFVRDFRNFVMA >SECCE1Rv1G0034030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:474802290:474802859:-1 gene:SECCE1Rv1G0034030 transcript:SECCE1Rv1G0034030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQQSGGASPATTATAAAVETSKHWAPHGPMLTACLVSINVLMILLIFFYFWRFFSGKRGPSSPGGADEESSSTDSSPATSPRASRRLRDPDQPDIPSSLPVSVFDSSSEAAGMAAADCAVCIVEFRDGDLARLLPRCGHRFHAACVDAWLHLHSTCPLCRASVVAPAPDAAEPKNDPKDDGAECPV >SECCE5Rv1G0346710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:663223002:663223313:-1 gene:SECCE5Rv1G0346710 transcript:SECCE5Rv1G0346710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE7Rv1G0468500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:99095795:99096928:1 gene:SECCE7Rv1G0468500 transcript:SECCE7Rv1G0468500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPGERATEFTTLDDDVVREILLRLPSASLLRSRAVCKAWHRITTDPSFLAAHADRRPAELLVGSRGEPCFLDTIPLSLQLDDLTRRRSQLHCPKHCEIGALLGCCDGLLLFQKSDESLFRSDFFVCNPVTKQGARLDLKPPSCPDVARLCGFYRHGPSGEHRLLVLANEPKPGLPAHYVYSLAAAVTASSQLPRRLGPVADEVVVDEFAYFIHHYAHHRGKIHWTIHPLALSTEKILAFDTVSEDFRLISRPPWPRDHYRNEDLCLLEFDGTLAVTAPEFDGDTTELWVLEDYDDDQSWSHRFRIVLSPGFSPHWWTGTGAPNVVFVASYLDNFKALYNLTEKRIIKQIEFVNGAPVYCYLFKDSLVPHAFLNPY >SECCE5Rv1G0337060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:588557525:588559079:-1 gene:SECCE5Rv1G0337060 transcript:SECCE5Rv1G0337060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAARTACVSHSFLCSWRSHPNLTLTNETMCPKKNLRTVEPNVIRDHNNKIGRVLMNHSGAGMKTFRLEYFVPCDAESYHRLDSWLQIAVTPMIEELDLAVWPVDATFSSQKRKEGATFNFPCTLLSDMCRDSIWNLRLVNCALHPSFQLGLRSLKRLDLRNVHITGDELGSVLSSSFALEWLKLVYCDDIVRLEIPCLLQRFRFLEVFACSGLEVIENKAPNMSRFWFTGKEVQIALGESLKVKNLKLDHNCSISYAINTLPSSVPNLEKLTIFSSREVVSAPMVSIKFLHLKVLSINFLGSYFHRDYDYLSFVSFFDASPSLETFRLFVGRQDTYDSFEGDSSSLRRITERCHGKLKSVKITGFCPQKSMLELTCHILENAVSLRRLTLDASPVDYRCFGNVSRGKCFPMETAYIREAHKSILAVRTYIEGRVPSKVKFNVLEPCSRCHAL >SECCE6Rv1G0379840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:17207528:17210215:-1 gene:SECCE6Rv1G0379840 transcript:SECCE6Rv1G0379840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEVEAGMERALGGFSLRLSDRDDSDESGGGRGGGGHDEADGGGAVKERIARALRLYKESSSGGEGGALVQVWAPARDGERRRVLATRGQPFVLPSRCRRLLQYRAVSLTHVFAVGDGERATWEERGLPGRVFEARAPEWTPNVQFYGTGEYARMSYALIYDIQASLALPILDPADPRRCLAVLELVFTTATAARFAAEAHNLCKALQAVSLRGSEICHPVPPTEICNSEATQAAMSEVSGLLAAVREAHELPLAQAWVRCKRCGITDDDDDEEHFYLTTASAPFRLGAHYGGFRDACAEHHLRRGRGLVGEAAEAGGPRFCADVARRSKDAYPLAHYARMHGLAGCLAVPLRLPLSAMDVGDDGQVEEECVVLELFLPPDCRSAGEQKAMVDAVAATIRKECSGNHLEATSDLQDLFLETVLADADTAHELNDRGDYDTNDSDEEGGDQAGGVHGADQSGAEDCLPPPETKKKTGRKAGRAVSLKELQGYFSGSLKDAARSLGVCPTTMKRICRQHGISRWPFRKISKVNRALGKIRRAAIESGNRSPKPTTASSSSSRRASAPHLPCLSSALGEDTSSQGSSQDPPPLTKTALPKSLLQRSNGVAGEVVTIKASYRGDIIRFRVSCSAGVAAVKGEVAKRLGLDTGAFDVKYLDDDHEWVLLSCDADFQECLDVAPGLPASALVAVAGSASPIVRLMVQEIADNLRSSCGSSD >SECCE7Rv1G0526910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:899406900:899409791:-1 gene:SECCE7Rv1G0526910 transcript:SECCE7Rv1G0526910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTAHKIIYIDGWQGLAASVVLRAIAEDPPPSLQKKFDKIIHIDCSRWKSRRALQRTIAQKLKLPHRVIDIFDRQDEEDDFRGVVESSRAEIGGVIMREIHKALQEHICLVVFHNGSNSMVDLTNFGIPQPLAFDVKILWSFRGRLRLNPRISEKVDHSQIFLYDELSTRGWNYLLHNEARDIARYTDNLGEEVEECCLYLLSLNSQGGNIMDYNWATHASTYWVCDGIIQGGQGDEAWKVAAALHHNINIEDYSSNALTSFGHALRTPSKRWIFSRESSLVHPESTSYFLAAVASRPDPPLRPLPNDMFHQSDKLHVLKLCHCSFNFSSPPFCCCRHLKFLGLDGCKDQQVEEDEKKDKPTMEFFQSLWVLDICHTDWELDLSADIIEMMAANLREVHIKKGRIWSHCFAWRELHNLHKLRVIEPTSPWQTGKMDEFTDMVKLEFLDFAGDSTIQVLPSMSGAISLKILVLDGCVGLEHVGPEGLPPSLESFSLDAPRARGDHEKEAKISRISLAGCARLVNFRLCGSLPNLEELDLSGTLVKTLDLKEVQAPNLQQIIFLGCMQLHAILWPEEGLPTLSMLHIDTSVCPVQTKLHEVYVTIVDLRFFQSLVLHSSARLCWKSNSFHLNLCVPCTANVKGQIMGPSQPKSLSSNTCSTYIDISVCNIIIYHDYTNGMKFQPSSCHVEIGKGISKSSVESLQASKAIIFAMNKAKSLHVHDNSSITTIIPEHMMSIESKVLTWQNLKSCQVVRCPKMHTLFNIVWGHYKFEGLVEFWAADLLSAHCIWSKQRVRDLEDDASFAKLKSIHMFSCPRLAFVLQWSRLYILSSLETLHITFCGDLRQVFPVEPEILARIATSYHKGVVEFPNLKHIYLHQLFKLQHICEAKMFAPKLETIRVRGCWGLRRLPGVCRGNRPVVDCEKDWWERLEWDGLQAGHDPTLFEPRHSAYYKKPMPRGSVLW >SECCE7Rv1G0455150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5405022:5414175:-1 gene:SECCE7Rv1G0455150 transcript:SECCE7Rv1G0455150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQVVHILQNSQNLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDVIVQLSSNEVSFRQERLYVNKLNIILVQVLKHEWPARWTSFIPDLVAAAKSSETICENCMAILKLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQSSELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPMAAYRNLTLQCLTEVAALQFGDFYNMQYVKMYTFFMLQLQAILPPGTIPNAYANGSNEEQAFIQNLALFFTAFFKNHIRILEASAENRAALLVGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAIPAAQMIPGVDGTGTAVHQRRQLYASPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLTKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLTNLATTILDLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQAGQSIDILKNQDVIRSVLNILQTNTSVATSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPYASKSSFVKLLRSIKRETLKLIETFVDKAEDLPHLGKQFVPPMMDPILGDYARNVPDARESEVLSLFATIINKYKAEMLDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFKALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASEFTNQFYKTYFLNIEQEIFAVLTDSFHKPGFKLHVLVLQHLFCVVDGLTEPLWDASTVSYPSNAMFVRDYTIKLLGASFPNMTAAEVTKFVDGLLGSRHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQREKERQRMLAIPGLIAPSELQDEMVDS >SECCE6Rv1G0405220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:528687794:528691831:1 gene:SECCE6Rv1G0405220 transcript:SECCE6Rv1G0405220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVVLGGGVAAGYAALEFARRGGYSRGELCIISEEAVAPYERPALSKGYLLPEDPSRLPKFHTCVGANDELLTTKWYKEQGIELVLGTRVISADVRRKTLLTATGETISYKTLIIATGARALKLEEFGISGSDAANICYLRNIEDADKLVNAMSSCSGGNAVVIGGGYIGMECAAALVTNKIKVTMVFPEKHCMGRLFTEKIAEYYENYYTSKGVTFTKGTVLTSFEKDSTGKVTAVILKDGNHLPADMVVVGIGIRANTSLFEGQLLMEKGGIKVNGQMQTSDSSVYAVGDVAAFPIKLFDGDIRRLEHVDSARRTARHAVAAILEPSKTRDVDYLPFFYSRVFTLSWQFYGDNVGEVIHYGDFTSNSPRFGAYWISKGQITGAFLEGGNRDDYEALSVVVRRKTKVSDTSELERQGLAFAIQESKKEVPDSGVTLGEKPTFIWYATAGVVAAVSISAFGYWYGRKRRRW >SECCEUnv1G0535340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:55907844:55908917:1 gene:SECCEUnv1G0535340 transcript:SECCEUnv1G0535340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKRLRRGLWSPEEDEKLMNHIAMYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIHLHCMIGNKWSQIAAQLPGRTDNEVKNFWNSYIKKKLRERGIDPATHKPLAEATTSPTACRPVFSDAGLVPTTTAALAQDQVEKMLDGLKMPLDWPVGAVAGNEVPESYQVPSLQEDHMLQQHCGTFPSVSTSSTLTATDAGATTLPWLELGPTDTISGHVDQYAGALGELRWSDYFDGALQGQCVYDSGMVDDDAVQFDDVHGLSNWC >SECCE3Rv1G0211580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:951244284:951247200:-1 gene:SECCE3Rv1G0211580 transcript:SECCE3Rv1G0211580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILDAFFGTGGGGGGGGFRAAKCKTLLKLSIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELVAVRLPVIEAQKECPIDLKEAISSICFAAPRCSDLPELMQVQMMFATKYGKEFVGAAAELMPDSGVNRQIIELLSIRPPPVDVKMKLLKEIAEEHEIDWDPSETETEYLKPHEDLLNGPTYFSGSTLPLPKEKHEEPVSASAADEPGEDYQSDGDFDSLDLPEVPKAAIRPASGTQSTPDIGPHVQSSQSAAHDFSNPADLEENPTADAAFYNYMKSSEPPVSPQFAQPRMPALPDEKKQFVPFVSPPPFASASSVERSDSIPLNSPPVKPTEQEFFTRSVDEVTAPQTPKDVNMFSKRPEQVHSISPIESGGNIDMDDVVSAAQTAADSAERAASAARAAANLAKLCIADLRKNTRVYESHSDDSQKESHHQTEVTQKPVFDHQDSFASDFEGYASSHVPQRSTSLEDDPFSYPNLFSSKP >SECCE3Rv1G0199330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:843924413:843933878:-1 gene:SECCE3Rv1G0199330 transcript:SECCE3Rv1G0199330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPETTGAPSLPEGEGNAGVEVCCFEQSAEDFSRAVRAISELAAGDPEPGFPDAEAERLASSMTFLREWKHFNYEPKGVSFTYGTGSTSSRDHTHEITLPQFSSASVPQVTHLEDGRDNNTYSSDFILFAGGNVWSLDWCPRLCDKPSSPANCEYLAVAAHPPGSSYHKIGMPLIGRGIIQVWCIVAPFEEAHTNQSMLAYSNSNRRGRPRKIPNGNNSIESSSVPQKPIGRPRKIKLTTGDDRTEPSSLKKPRDHAEPSLKKPRGRPRKYPLSVAKAVDSTKNSRSPGFVDPLVTSGVIPGDLALSCAIPSVKSVESAPKKGRGRPRKIPIDKIECSPDTEQTEDISKALICCTKPKKKRGRPRKYPLPSSSKHASGTDTELERETGYTEFNSNLSLVTVDAEQPVPSSSLTICGKRSRGRKGRGRPKKETNPCALSSSVVSGVQSQSTETISNDPAHSVENHLPYGHSNLTSELCSISMPRCDGNVHTGPTLEDSLLPMHIAAKSNGEESSGSRRRGRPRRKTLSNTNSCLFASGTESSKAATTITNSDNPMALTKSDGEAIASDLASIGSLRCHIGKCNVNMSAASSDATSPTHGVCNANPKEKLSAQHRKKPVSVECSSSTAFSGKEQNNQTTPQPNDNVASVQNCKKELIVYTRRRVRGPTKKPASNETCPLALSGDAQKMERSSTYIMPNNNLSSVENPKLLVSSISEDMANEAGLVGCKSALANHEVVEMNDSEAANKVVPVPSENSAQIIVVEDTEVVPSKEPSKNDIITCAENSNFSAIPKGIHLPRVVLCLAHNGKVAWDIKWKPPLPNQSEQKSHLGFLAVLLGNGSLEVWEVPSPSMIQQIYSSSSVKGTDPRFLKLQPVFRCAKVKCGNIQSIPLTVDWSPYPHDMILAGCHDGTVALWKFSTDLPSQDSKPFMCVTAESVPIRALSWSPYVSEENTNIFVTAGEDGLKFWDLRDPYRPLWELTTAPRAVLSLHWLKEARGIVISLEDGTLKFLSLPRIANDVPVTGRPFAGTKTQGVSTYQLSEYLIWNVHVSEITGYAAYCVADGTAVRFQLTSRFWEKEPGRNRVPYFLCGSLAEEGTTIKIGGTLQSSPLSNVPLVAKKGPKPCQKVPKAHDTQKEQVLTLTNSEHVDPEPREGRLDGPGEGQETNALVLADSLMLENGGTGTCNVPVDEDTKDFELFPPKAVALHRLRWNMNKGSEKWLCYGGAAGIIRCQRI >SECCEUnv1G0528590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:5071412:5074433:-1 gene:SECCEUnv1G0528590 transcript:SECCEUnv1G0528590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHACFSFSAFVPLLHSALPVARNGGRIGRNSGLFRPSPVVYPGREPVSHELSDDFDFQESLLNVQVLLHQHPRSSKGMLRTVDHLKRLCIDHYFQDEIDNIVNSSADLLHSDDLLDATLSLRLMREVGYYVSADDVLQKFTNDNGDFSLRHSKDLRGLLSLQDMSHLNMGEASLYKAKEFSSKHLKFATKYLEPNLARYVMNSLEHPYHVSLRQYKARHHLCYLQNLPTRHTAIEKLALAEFQIKKLQHQSEMQEVKRWWMDLGLAQQIPAARDQVLKWYMWPMTILEGFSFSRYRVEATKVISMVYIVDDIFDLVATQEELFLFNEAIKMWDLAAAESLPSYMISCYKALYTITNDIADMVRKEHGFNPINHLKQAWATLFDGFMIEGRWLSTNQVPTSEDYLRNGVITSGAPLLFMHLLFMLGHDLTEDNNDHILRVISCPAKIMRLWDDMGSAKDEFQEGLDGSYKDLYQRENPHADAEKHMLDMIAGEWEDLNRECFSRTKSTLPPSFIGASLNFARMVSVMYGYDDEQRLPVLEDYTRMLLF >SECCE2Rv1G0120230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:797868784:797870184:-1 gene:SECCE2Rv1G0120230 transcript:SECCE2Rv1G0120230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVVDLTQDGSDAAVDDSGPAENPASVVDPGQDATESADDLSGPAENPCLMTQLRQRWLLAFVRGQRGGAFNALVHQASEQFCLEHIELLVKKGLWHDAVEYLNSFLPACPRSLGAQVFHNFLLMHHYIARLVDGDQDALDTIVADKWMSHVAYAKRTADQPVARVMAPYVLFMDIVRKHMNWVAVRRHAALCLYRLARQTPELNGRLALPGCPKMQHDVLPAVLGLFPRRHMKKQGPKLTTAAVARVIKGRRRYVRRLKLSGLGTFDKAKEWLSTIIGKLPSALDLTCVC >SECCEUnv1G0527810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:2270057:2271877:-1 gene:SECCEUnv1G0527810 transcript:SECCEUnv1G0527810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLNVGGQSISPKDETGYYRSWDDDSPYIYGAGYGVTYSKDPNVTIMYTPAVPNYKAPLDVYDTARSMGPDPQINLNYNLTWIFPVDAGFVYLLRFHFCEIQSTITLENQRTFYIYINNQTACDAMDVIFFSGGIGRPIYKDYVIVASGSGQVDMWIALHPYISSRSQYYDAILNGLEVFKLQGHGSNNLAELTPPIPQKPYVDANRLSIGLRKSRGDILAAIGGGFTLMLIVLFSMCVIWRRKKVVQSSCQTSYAHRNGPTCHLVHHFLFEEIQLATKDFDEAHIIGRGGFGIVYSGELDGGTKVAIKRLNQESQQGIHEFQTELEMLCHFRHGHLVSLIGYCRDKNEMILVYDYMPHGTLREHLYGTRNPSLSWKQRLNICIGVARGLHYLHTGTEQGIIHRDVKTTNILLDDSLMAKVSDFGLSRACTNIDNAHVSTVVKGSFGYFDPEYFLLRRLTKKSDVYSFGVVLFEILCARPVINTELPDEQVSLRDWALSCQEKCVLEKIIDPFVKEEITPKCLKIFAELAEKCVAHRSIDRPSMGDVLQNLEVALRVQDCNNYARGPSSLQIISLVNSYKSSTHSIIDIAAQGDIFSDILHPEGR >SECCE1Rv1G0011960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:84651220:84651594:1 gene:SECCE1Rv1G0011960 transcript:SECCE1Rv1G0011960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSKPKQKTTYPIRQPACQSAAMFRRAKKTAIATPPSDGEVRVHKVEKIELVRNLVTKPSMYSGAIPMAASTTRRGAAGHGTATTTGKATGASRPGVVSIEDINKRSEAYIRERKRLFQGLK >SECCE5Rv1G0331580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:539910200:539911174:-1 gene:SECCE5Rv1G0331580 transcript:SECCE5Rv1G0331580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGASDDEVVLEIEHCICVFKSGRVERYFGSDPVPASTDAGTGVASKDRTISPDVAVRLYLPPLATEGDDGKKLPVLVYFHGGGFLLHTAFNAVFHGYLSSLAARARAIVVSVDYRLAPEHPLPAAYDDSWRALRWVASHAPSGAGEEPWLTDHGDFSRLSLGGESAGANIAHHLAMRAGVEGLPTGATISGGIVLVHPYFLGHGKVPSEDSDPVMAENVVKMWRVVCPQTTGVDDPWINPLAAGAPTMRGLACRRALMCLAETDVVRDRGRAYCDGLKASGWAGEVELLEVAGQGHCFHLVDFTCDDAVRQDDAIARFLGM >SECCE3Rv1G0200510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:854037606:854039752:-1 gene:SECCE3Rv1G0200510 transcript:SECCE3Rv1G0200510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor, Abiotic stress response, ABA signaling, Suppressor of floral transition upon drought stres [Source: Projected from Oryza sativa (Os01g0867300)] MASSRVMASSSSKPSHTASDLARFAQAAGRPGGGGGSGLGSMNVEELLRGIYGDAPTPAPDRPASPPVPLPAAPRQATARRTADEVWKEITGGSGGEEEAAAPATAGGAGEMTLEDFLAREDGAVVRGPGPSAAPEEQAAMPTMALLGGAEGARGGGRGRKRQLMDPMDRAAMQRQKRMIKNRESAARSRERKQAYIAELESLVTHLEEENAHLSKEQEEANQRRLKELKEKVTPVITVKTSSHDLRRTNSMEW >SECCE3Rv1G0194260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:778875664:778879731:-1 gene:SECCE3Rv1G0194260 transcript:SECCE3Rv1G0194260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEDAGGGRSTARKLVPWSSWAEWRFVRDAIFSPYPDPGAALRRIAAWRSRGCLPIPVEVTAALFEIRLRDPFFRNGVASDDKLESDEMLALLYSMAIIRLVNGFMESPHKETGRSISDLAETVGVPRILVDIRHESSHRGIPSLRLLHLAAIKAFDWLKCNYWDSQTRAIPDVRLELSSVLHDIAQYLKGKDSENTKSGSKRKRSQKHILSAIKYVRRLYCACPSEAVSVLLEFFQLDAPEISENNDVHQADSLDVNHSSDVQIQNQISNGDMKTIISKLSEKEPGVLLGLLKSVIEMIEARNDFEHKGGSYACLPAESSTMKNLCSLVLWIVTSIKELKDSGRIGLVHEIGVLSSDRNAVPRFCLAKLLQKFLSLPVIGERCIADAALLLIEMAGNNNVKEKLRKLPLLSLRRSPKDCTFLESRILSNGEESVESATQKLEAFKLQLRKPDNASSAENGTEGTLNTNMPEKRNRWSIAKSWTPCPLGMIPCSYSSTAVLPVLDVIDDELKHGTPEHGNFEPDGQIEIFDSYSYPEQQLDGEGILEISRSPPEHGISDMPELASGLRGTLLVGGVWKKVTEEELLSIKSSMKILL >SECCE7Rv1G0474700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:175649517:175660942:1 gene:SECCE7Rv1G0474700 transcript:SECCE7Rv1G0474700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSWGTLFGLGCFSSHRNHASDDSRPPRPAPLPNNDGLKTGSGVTLSPEDLLVSLAGSGVEAFTVDELRTVTRDFSTSNFVGEGGFGPVYKGYVDERLKPGVRAQAVAVKLLDLEGSQGHKEWLAEVVLLGQLRHPHLVKLIGYCYEDEYRLLIYEFMARGSLEKHLYKRYTTSLSWSTRLKIAIGAAKGLAFLHDNAKPIIYRDFKTSNILLDSDYQAKLSDFGLAKDGPNGDETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSFGVVLLELLTGRKVVDKNRPTREQSLVEWARPYFLHDSPRRLDRAIDRNLDGQYSALAAQKAAAMAYRCLSVSPKSRPQMSAVVEALQPLLAMDDGVVEPFVYTAPPENK >SECCE4Rv1G0257200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:664874731:664878183:-1 gene:SECCE4Rv1G0257200 transcript:SECCE4Rv1G0257200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLWHSLFLFFSLVSSSCSLNSDGRALLALSKNLILPSSIKSSWNASDTTPCNWTGISCDKRNNVFSLDLSSSGVSGSLGVHIGLLKYIKVINLLSNNISGPIPQELGNCSMVEQLDLSGNFLSGEIPESLGNLKKLSYLSLYNNSLSGEIPEGLFKNQFLQDVYLNQNKLSGSIPSSVGEMTSLRSFWLTQNALSGGLPDSIGNCTKLEELYLLDNRLSGSLPKTLRYVKGLKVLDATGNSFTGEIDFSFENCKLEIFILSFNQMTGGIPPWLGNCSSLSELGLVNNSFSGQIPPSLGLLSNLTKLMLSQNSLSGPIPPEIGNCRLLVWLELDHNMLDRTVPKELANLRHLQKLFLFENRLTGEFPEGIWSIRYLKSVLIYSNGFTGKLPLQLAELKLLENITLFDNFFTGVIPPGLGVNSPLKQIDFTNNSFTGGIPPYICSRKRLRVLILGFNLLNGSIPSNVADCPGLERIILKNNDLTGPIPHFRNCATLGYMDFSHNSLSGDIPASLGKCINTTMINWSANKLVGPIPPEIGNLVNLGVLNLSQNSLQGALPAQVSSCSKLLILDLSFNSLLGPALTTISNLKILTQLRLQENKFGGGLPDSLSQLVMLLELQLGGNILGGSIPSSLGKLIKLVALNVSSNGLVGDLPTPLGNLVELQSLDLSVNNLAGGLGALGSLHSLHAMNLSYNRFSGPVPEYLLKFLNSTPSSFNGNSGLCISCRDSDSSCKRSNVLKPCGGSGGKGLKRRFKVALIILGSLFIGAVVVLILCCILLKNRDSKTKSEETISNLLEGSSSKLNEIIEKTENFDDKYVIGAGAHGTVYKAILNSGEVFAIKKLAISARSSSYKSMIRELKTLGKVRHRNLIKLKEFWVRGDSGFILYDFMEHGSLYDVLHRIRTPSLDWSMRYNIALGTAHGLAYLHHDSVPAIIHRDIKPSNILLNKDMVPRIADFGIAKIMDQCSAAPQSTGVVGTTGYMAPELAFSTRNSIKTDVYSYGVVLLELITGKTAVDPSFPENMDIVGWVPHALNGAEQIGPVCDPALLDEVYSTVEMEEVRKVLRLALRCTAKEPSQRPSMDDVVKELTDARFAGIPSSSKQGKPGSSSSGGGSS >SECCE1Rv1G0039650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:551376793:551378408:1 gene:SECCE1Rv1G0039650 transcript:SECCE1Rv1G0039650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSAYVMESAGLGVRYFEWLRPRSPRASSPSSMPSSLSSPSSDCLVPAEDHSSTMLCLPLLGRVGAGEETTSGGTPVGAQTSPVKEELSGITEDAGVDLNIGLPVSGGYSSEEEVLPMDEDEEDEEEVETEEEEEGKPRYERCKVETGVHVEHSEMLAESDHVSVGGEESSGCRHRRFWIPTPAQILIGAVQFVCHVCSKTFNRYNNMQMHMWGHGREYRKGPESLKGAAGQSPHAAALALLRLPCYCCAAGCRNNVAHPRARPLKDFRTLQTHYRRKHGAKPFACRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGHHPVAPADAPAPTQQRRERIIRFDQQCHGRA >SECCE6Rv1G0403170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:497498362:497502884:-1 gene:SECCE6Rv1G0403170 transcript:SECCE6Rv1G0403170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGAWRRSGLVRQYTRSKVPRMRWTAELEGGFVRAVDRLGGRDSAKVTPKRILELMDVPGLTISHVKSHLQMYRCTPHGNGKKETQPQLGLENRSFVTDEQGSEGLVFPPMKRAKAGAEGAASHKSMQGSSDMSVMIPGNRCCIDDYVQLQAMSSMDRTRSVNEGLRRQSAAAAAATASSLHELGSWVQRPEASKDGKPEAVRHLNRMARQLSSMESYESGCFRFSSATSGECEPAANLMAKECLPSPWFAVEQTAVKDASPWPSESSCVLSPSSRSFSDCSGPPDWSSAGQKINLELSLSIPGLY >SECCE2Rv1G0117580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:774029290:774030183:1 gene:SECCE2Rv1G0117580 transcript:SECCE2Rv1G0117580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPASEAMVLDSIRQHLMEDTAAAAPAAEARRQRPAYCRSASFGSLVADQWSESLPFRADDSDDMVVYGALRDAFSCGWLPDGSFAAVKPEPLLPSPDSAYACGGPSCFGFLEPETAPATPQGEGEEEEEAAAFMGEAAAAVARGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYDTAEDAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAHAAAAAAGDKRPSPEPATSDTSSSSSGSPKRRKRGEAAAASMAMALVPPPSLLSRPAQAWYPAAPVEQVAMAPRAQQLVS >SECCE2Rv1G0099060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:506831647:506833397:-1 gene:SECCE2Rv1G0099060 transcript:SECCE2Rv1G0099060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 1 [Source:Projected from Arabidopsis thaliana (AT1G62940) UniProtKB/Swiss-Prot;Acc:Q9LQ12] MGDAAIAVMEEEGEQEHIFRSRFPPVAVPDGVTVPDFVLAGAEAYADKVALVEAAPGGRSYTYGEVARDVARFARALRSVGIRKGHVVVVALPNLAVYPVVSLGVMAAGAVFSGVNPRSLAAEIRKQVEDSEARLVVANEVAYDKVKDVGVPVIGIGEQRLMAGAISWDELLAAADRTGPPVVPLEPVQQSDLCALPYSSGTTGVSKGVMLSHCNLVSNLCSSMFAVGTQLLGQVVTLGLMPFFHIYGITGICCATLRHKGTVVVMDRFDLRTFLGALVAHRVMFAPVVPPVMLAMVKNPIADEFDLSGLALKSVMTAAAPLAPDLLAAFQRKFPGVQVEEAYGLTEHSCITLTHAGDDPEKGHIAKRNSVGFILPNLEVKFVDPDTGRSLAKNTPGELCVRSQCVMQGYYRKKEETERTIDAKGWLHTGDIGYIDDDGDVFIVDRIKELIKYKGFQVAPAELEAILLSHPSVEDAAVFGLPDEEAGEIPVSCVVRRSGAAESEADIMSYVASRVASYKKLRMLHLVDAIPKSVSGKILRRQLRDEFINMIKPAAA >SECCE1Rv1G0006910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:36979100:36981365:-1 gene:SECCE1Rv1G0006910 transcript:SECCE1Rv1G0006910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLTPRQPAKAYGGEGGAYYEWSPAELPMLGVASIGAAKLSLAAGGLSLPSYSDSAKVAYVLQGKGTVGIVLPEATKEKVVAVKEGDALALPFGVVTWWHNTPESATELVVLFLGDTSKGHRPGQFTNFQLTGASGIFTGFSTEFVGRAWDLKEDDAAKLVSSQPASGIVKLTAGQKLPVPVDADRKDMALNCLEAKLDVDIPNGGRVVVLNTVNLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGPDGKRVLETRIEGGSLFIVPRFHVVSKIADASGMEWFSIITTPNPIFSHLAGKTSVWKAISPEVLEASFNTTPEMEKLFRSKRLDSEIFFAPN >SECCE4Rv1G0257670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:671752796:671756169:1 gene:SECCE4Rv1G0257670 transcript:SECCE4Rv1G0257670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin domain containing protein [Source: Projected from Oryza sativa (Os08g0258200)] MGLLGMMGDSFGCSATGERLVSAARDGDIQEARALLELNPRLARYSTFGIRNTPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGATAIHFAALKGHTRCIRLIAADYVPSLPDFWSIMRGTATGETNKESFDAANLQRLVNAKSDGGVTPLHLAALHGHAESLQLLLDLGASVSEVTVNDGSTIDLIGSGSTALHYAACGGSAVCCQLLIAAGAHMGAENANGLSPLMVARSWHKTGAEGVLSKQPEGRLLILPSPYLSLPLMSIVKIARECGWRKTSASSSSCHDPCVICLETECTVAAEGCGHEFCTKCALYLCSTTSSSTCTRGVPGSISCPLCRHTIVSFMKLTGTTFLKELPWTTSSLALCAAGASTGSLRRQSDIRRLRSTSVHLGCSSFRSTGSGRLSSIKLNCGRLDETLPCLVSCIRPDVRRSSSYRERVTSRYSEFS >SECCE7Rv1G0476930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:212710844:212714873:-1 gene:SECCE7Rv1G0476930 transcript:SECCE7Rv1G0476930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic enolase 3 [Source:Projected from Arabidopsis thaliana (AT2G29560) UniProtKB/Swiss-Prot;Acc:Q9ZW34] MSVQEYLEKHLLPRKIEEAVNAAVRAKAADPVLFISTHMRRAAPAVITRVCARQILDSRGAPAVEVDLHTNKAVHRASAAGPGAPEGAAVDATRDVEKRRLLAKAVADSVRLINGKVSEALVGMDPQQQAQIDQAIMDLDKAHHRTEVGANAMLAVSIAACKAGAAEKEVPLYKHIADLVGKSATTLPVPAITVINGGTHAGNNLPIQEIMILPIGAKNFEEAMQMGSETYHHLKDIIWEKYGSESCNIGDDGGFAPNISSITEGLDLVIAAIDRAGYNGRIKLAIDAAATDFCVGKKYDLEFKSAKKSGQNFKTGDDMIEIYSQLCSEYPLVSIEQPFDKDDWEHSKKFTTLELCQVVGDDLLMSDPERIKRAVNEYTCDALTLKANQVGTVTEAIEAVKQAKDAHWGVMVSHRSGDTDDSFIADLAVGAAAGQIKAGAPCRGECLTKYNQLLRIEEELGSEGVYAGENWRTIAS >SECCE2Rv1G0093020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:370338418:370342822:1 gene:SECCE2Rv1G0093020 transcript:SECCE2Rv1G0093020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H-type thioredoxin, Regulation of the apoplastic reactive oxygen species, Stress respons [Source: Projected from Oryza sativa (Os07g0186000)] MAAEEGAVIACHTKQEFDTHMANGKDTGKLVIIDFTASWCGPCRVIAPVFAEYAKKFPAAIFLKVDVDELKDVAEAYNVEAMPTFLFIKGGEKVDTVVGGRKDDIHTKIVTHMGSASA >SECCE2Rv1G0110310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:688588983:688589321:-1 gene:SECCE2Rv1G0110310 transcript:SECCE2Rv1G0110310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIATTAAKVPAKTKKGQCICSPTDHPGSFRCRLHRAGGMPRSVSCQQFGDPHTASSPLLCSGHMPRSASQQQFTPPSDSGILRSASQQQLPRSAGLPRSASWQDFAHKN >SECCE1Rv1G0051520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:654594339:654595547:-1 gene:SECCE1Rv1G0051520 transcript:SECCE1Rv1G0051520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGATTAALGAWEAVQGFFTPATLFLTVNLVIGTILLTSRSHQRRRQGGHGHDGDQHDQQQQPLHHVEPQQQQQDDLYYRDQQHEQQQYVPPPPAPAPLARTSSVLDRLRSIGLYRFRSGDFPPEYGAGADSRDVTVSPEPAPTAGDAHHARSRSEPPARDENRRAPPSRMKRSSSEARRAEVAPAPAARVVQPAVLEEEENDDNDDLVEHARADSEFTENYNSFTTPPPQQEPEEEQYYCEEYVPPYRPAPLARAPSVLERLRSFSLYRFNSGSLSPEVSASQDNAVASVAAADEKKTTTQYSRSRSEPAREQSNKGKKQQQGQQQQKQGGEAKMSKSSSEARMLPPPPPPPPVEEAVEEGGVDARADDFINSFRKQLQLQRLNSLLNYKEVLNRGGGGGK >SECCE4Rv1G0232730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:211138567:211144055:1 gene:SECCE4Rv1G0232730 transcript:SECCE4Rv1G0232730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIEGLLARDFGVRPQGKAAPMAAARGGGSAWPNPRSTPTPSYDGLFGAPVHAASASASGPPLDSIFDSFKVPSSSAAAAAGTKPMLDDDFFEPVRGPRASNSTYEIDGVFGTGAAAAPAYDVFTSSNRSAPPSYDDFLGGFGGKPQAEEIRTSVVVEDDDDLLGGFGMKLAGEKKSVVDKDHQGNGFDDLIPGFASSSPPKSRNTNDDNKKKPAVPASKSTANFVDDPFVVLERVSVPGSDPSPVGFTVPLEDLDKSANTKGNNVDSAAAADSLFEDPSAFDQVLKSDHLFTSEINGETKDSHLQSKARESSSVQSSVKRNPASRSSLEDFGNGMPKSQSARYSDVHVDDSSERYSGNGMDDQSPISTESEDDIWLTVSEIPLFTPPTSAPPPSRSPPLLKQKPLGAKANGEDDEYVLQSSQKHNHYKDRPEQADDSSVDESEGVAMGKHQMPAYYDKNAFDDDHEFDSNSSAREERESQERLEHAREMRIREEQRRLEKERVLEQQREKRAVERATKEARERAAAEARAKAERDARQRSQRAAVQRAHQEARERAAAEAKGRVARAAAEERERAAAEERERAAAEARERERTAARERAAAEKAAAERVQQEARKRAERAAVERAAAEARQRQAAAAAAAAKEKQSTLNDVESFFRMDAQDTGAAKQRAPTVDSMFDSQPQGRGTVNGSQRTASTSTSTRKANPASATNIFGNGLSDLFEFESTRSSSDVFQDVEGESEERRRARLERHQRTCERAAKALAEKNERDMQVQREQAERDRIGDSLDFEIKRWAAGKEGNLRALLSTMQYILWPECGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGATLQQKYIAEKVFDMLKEAWNKFNSEELF >SECCE5Rv1G0366650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815249198:815252190:1 gene:SECCE5Rv1G0366650 transcript:SECCE5Rv1G0366650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingoid long-chain bases kinase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46090) UniProtKB/Swiss-Prot;Acc:O82359] MASPATPRPALIRPRASRSRSQLGAVSLASDHAAATASSGRRRDFVFVVNPSGANGRTGKQWKQLLPFLHTRLADQCNICECITSGPSHAIDVTREAIKDGADAVIAVGGDGTLHEVVNGFFWKGSPVRALDRGPDHLTALGLIPLGTGSDFARTFGWSNDPRQAIDRIVRGVKSKLDIGMMEGPNGDPHFFINVADIHLSAKAGYFASMYKRFGNLCYVLGALRGFWGHSNRDLRIKVNGGEWRTVDKVTALCVGNAKYFGGGMKITPTVDPFSSDLQVVIIQDFKWYSFLLKLHRLYGGTHLTVNGVSSIRVQSIEVAEVVPNGDVFVQSDGEHFGFLPTKFSVLPGAVDFFS >SECCE6Rv1G0415950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:645632051:645635335:-1 gene:SECCE6Rv1G0415950 transcript:SECCE6Rv1G0415950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEEDEAAEIELQLEQHLEEQRSSLAAVDEALAADASNADLLEVHGELLSAIKDAEEGLLHLKRSRLVKQIDEIFPSQESASLSTEAAIEPLDPDDIEPEPLEPQEFSVGSKCRFRHNDGRWYNGCIIGLEGSSNARVSFLTPTSENMSMCKFFLQQRCRFANNCRLSHGVLIPTSSLKRFTPTAWRQSLAGSRILAASGHHSGLWRRAELESWDDELKRGQVVFQDDGSTATLPGDSLSIPEYADVSNEDDERGSSDDESELSEDGDQEDESIHQGLGRMETTNLTGVQSETVIFAKWEQHTRGIASKMMAKMGYREGMGLGVSGQGMLDPIPVKVLPPKQSLDHAVTTTTGEDGRSIHREKDKKRSRGGQRKRDRKFAELARAAKAEEAERSVFSFMNSQLVSQDTSEGSSAAKARKESPSGQANGHPKKEDNRRSLLAYDDEVKELRSQVGRLKEMVQRNRKDKAVYDAASRKLEQTRKALADAEATHASATNAVARKEKEKKWLKF >SECCE6Rv1G0452080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874996060:874996455:-1 gene:SECCE6Rv1G0452080 transcript:SECCE6Rv1G0452080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAAHGAAASPDLSLSLAPMRPPPAPPSTSFWAEGDAAAGHEGEARSRRLFSCLFCDKKFLKSQALGGHQNVHKKERAGSWNPHLYLQPDHGDRPAAAAAKAPARRPDARLDDDGQKQLQQQQLDLNLKL >SECCE3Rv1G0157880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:84018123:84022079:-1 gene:SECCE3Rv1G0157880 transcript:SECCE3Rv1G0157880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKIAIVIGSGIVGTLVTGGDGSKLPDLRDVLSFSFKFMKQDKKEGSSNASPHNDLLLSQVNYLRDQLQALSREAQCPQIINVNGGPGAGAYGLTAIAVGAIGYLYIRWKGWKISDMMFVTKRGLADACNVVGKQLDQVSDSVHASKKHLAGRIDRVDCSLDECHEIIEATGKEVTVIHGDLSAFQEEIQSVHHVVRTLETKLGRLAYTQDHTTRGIHELCEFTKRLDRSPKADTLKVTASTPLPAIESSEGITRTASMPSGSEPVSPVAQSPRADPPKVLRSSTAISATGLSMLAGTTSIPKRAVINRATSMKEGVPTPEAPKDTSSTAATLKRPVSSSSRFGFLRGFAS >SECCE5Rv1G0342030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:630422762:630429209:1 gene:SECCE5Rv1G0342030 transcript:SECCE5Rv1G0342030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAAVQPQVRLLGQLTAEPRDRPFLHLHSRSRPGLRGWILNSTSLDHEAETHKLPVDGCRKHKDDLGEMPHMIESIRGALRSMSGGEVSVSPYDTAMVSLVKNLDGGDGPQFPSTIDWIVQNQLPDGSWGDDAFFMVRDRIINTLACVVALTSWNIYTDNCKRGLLFIRQNMSRLAAEEDDWMLVGFEIAFPSLLDMAKDLDLDIPYDDPALQAIYAKRNLKLAKIPTDVLHATPTTLLHSIEGMVNLDWQKLLKLRCLDGSFHSSPSATAFALEQTGDKKCLEFLDGIIEKFAGAVPCIYPLDVYEHLWAVDRLMRLGISRHFVSEIENCLEFVHRHWTQEGLAHTKNCPVKDIDDTAMGFRLLRLHGYHVKPCVFNNFEKDGKFFCLRGEANPSSVTPMYNTYRASQFAFPSDDAVIGRAEAYCRGFLQERRASNKLKDKWAIAKDIRGEVEYALDYPWKASLPRVETRMYLEQYGGSADVWIGKVLHRMTLFCNELYLKMAKVDFSNFQVLCRLELHGMKEWYSWNHLQKYGGPPTKSVLTAYFLASTNIFEPERAAERLGWSRTMVLADAVSSYFRRIGGAKNSRENLDRLIDLVAFGNSNSDSLRKAWKQWLMACDGKDSFVSWDGDTALLLVRTIEIISGRHGQIAENLNLSDYSPLEQLTSSICNKLSARVLVQNGNSMENIQDLDHQVDLEMQELTQRVLQGSNGINKLSRQTFLHVVKSFCYVTHCSPETIDNHINKVIFQDVI >SECCE2Rv1G0100080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:534164445:534166795:1 gene:SECCE2Rv1G0100080 transcript:SECCE2Rv1G0100080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKGAAAPLLAREDERRRGGGGGATCAQTLGNVVVSIVGTGVLGLPYAFRAAGWVAGSLGVAAAGFATLYCMLLLVDCRYKLQEEETDEPQNYTYGDLGEKCFGTIGRCLTEILILISQAGGSVAYLVFIGENLHSVFSQLMSPAGFIFGVLLPVQIALSFILSLSSLSPFSIFADVCNVLAVAMVIRRDLQLIDHPFANRSAFNGVLAIPFAFGVAVFCFEGFSMTLALESSMAERRKFRWVLSQAVVGIIVVYACFGVCGYLAYGEATKDIITLNLPNSWSSAAVKVGLCIALAFTFPVMMHPIHEIVEARLRSSACFQKLSHGVSGAEWLGLHSSRIIMVTILTVMASFIPAFGSFVSFVGCTVCALLSFVLPTFFHLNIVGSSMSIWRRVLDYGFLLFGLGFAGYGIFTALSSH >SECCE7Rv1G0469220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:107024307:107025255:-1 gene:SECCE7Rv1G0469220 transcript:SECCE7Rv1G0469220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRCRPWSFMLLAVLIAAASRVVPVAAEGWSKGSATFYGGSDASGTMGGACGYGNLYWTGYGTGTAALSQTLFGDGASCGQCYQVTCDHEAESQWCVPGKSVTVTATNLCPPNYALAGGDGGWCNPPRAHFDMAQPAWLHIGIYKGGVVPVLYQRVACVKRGGVRFTMGGFEHFQLVLISNVAGTGDIKAVWVKGTGTERMPMSRNWGANWQSLAALAGQALTFGVTDTGGQTVVFPNVVPAWWRFGQAFTSNLQFSD >SECCE3Rv1G0170690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:256374416:256378923:1 gene:SECCE3Rv1G0170690 transcript:SECCE3Rv1G0170690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06960) UniProtKB/Swiss-Prot;Acc:Q9M903] MMRQMRWLTDREGRWELDVESPATMEGTARPVPGDPLPLGLSRGPRVTRTKQLDFFHRFMASPLVPSFSASRAGLSLHHAHLLHLAHNWSFTILEQLHVQKLVAAVKEKLSNRQEGVPWSNDLKRHLHDVISLGVGTELLITPDTALLLELYNINKGDRGKAIIHHKLPQQNLTLAASWPGLFVDKQGVYWDVPLSLSADLASVGSSSGLSYHLLLQQNSGEPKCFGGDETNDVPLALLPGLCAKAAISIKKSIDAWRKKEDKLKMVQPYDAFLSDPHVSFTGIVGAVASGSLGDCSKRILVPDETRKSNAFRVFHERNKFAAFADLFASVTFTAQHGNFQRLFLDLTRVSARLDISSGSLFLRGASRLAQDFFFSRRPDLETFCDVCPDVIVSLQQQIVGPFSFRVESSVAIDPRSQDHFVRVDDSVFAIDWALKVLGSAKATAWYSPKHQEAMVELRFFEV >SECCE7Rv1G0521340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873451578:873453275:-1 gene:SECCE7Rv1G0521340 transcript:SECCE7Rv1G0521340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMPPADERPLVLLGQPLFPEFAAALAGRFRFVLVADSDAATLAQGRALLIGLNPVTDDDLAALPALGLVAGISVGINHVDLAACRRRGIAVTNAGAAFAVDTADYGVGLVVAVLRRLAAAEAHVRTGRWAAVGDYPLATKVSGKRVGIVGLGNIGSRIARRLAAFGCAVSYNSRSPKPSAPYAFVPTVRELAAGSDVLVLSCALTEETRHVVNREVMEALGKDGVLVNVGRGGLVDEPELVRCLQEGVIGGAGLDVFESEPDVPPELFSMDNVVLSAHRAVATPESIRGTIELVAGNLDAFFAGKPLLSPVQL >SECCE5Rv1G0362830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:789319745:789320523:1 gene:SECCE5Rv1G0362830 transcript:SECCE5Rv1G0362830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACLSSFQQAAPTPTSGGASPRPRPRAVTCHASEPSGTGRRSACLRLGIGLVAATMPVLHTAPGRAATADADEDPEPANNGWWLTEFPLPVPKIRNKEINNGESGTRSFVKNGIYMADIGPSFAAHAYRIRSSAFDLLALEDLLGKEASNYVNKYLRLKATFIYYDFDKLLTAADADARPPLLGLANRLFDSFERLQAAVTTKDDADIGSCYADTKLILQEVMTRMA >SECCE1Rv1G0023860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:314911339:314912923:-1 gene:SECCE1Rv1G0023860 transcript:SECCE1Rv1G0023860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >SECCE5Rv1G0311980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:213610822:213612808:1 gene:SECCE5Rv1G0311980 transcript:SECCE5Rv1G0311980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRVNGNFIDKTSSIVANILLRIIPATSGEKKAFTYYRDGNYAEALQNYYEAKRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRAILQGDSEIAEAWFDQTAEYWKQAIALTPGSYIEAHNWLKITKCFKFE >SECCE7Rv1G0458460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:21864531:21865426:-1 gene:SECCE7Rv1G0458460 transcript:SECCE7Rv1G0458460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMRLSFLQLFAAVLAFCSVPAKSGYWLPAHATFYGGADGSDTMGGACGYENLYNAGYGINNAALSTALFNNGLSCGQCYLITCDTSKSNMCKPGTSITVSATNFCPPNWALPSDNGGWCNPPRVHFDMSQPSWENLAIYRAGIVPVLYQQVACQRQGGLRFTINGFNYFELVLVTNIAMSGSIKSMSVKGTNTAWIPMSQNWGANWQCLAGLTGQALSFAITSSGGQYKVFQDVVPAWWLFGQTFSTWQQFDY >SECCE6Rv1G0440870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:807599547:807599780:1 gene:SECCE6Rv1G0440870 transcript:SECCE6Rv1G0440870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIQAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE6Rv1G0426490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712872096:712873466:-1 gene:SECCE6Rv1G0426490 transcript:SECCE6Rv1G0426490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFRDWTDLSPELLRRVTDGLDDLRFYTSVRGTCTTWRHELAPPAPSLLALLYDGATWRRHAAVVSLPAHRSFGINTIPSGSSCPSASAPTRGSFELTATSPIRSCLGCRGGWLALSVCLYDGQSLLTLFHPVTTAEILLPPLIYDTRLLSKIVFTPDPARDDFAAATICDIDRLAYVTAGARRWTVLDRIRLETEDQLVDVVYHQNGQNGMVYCLSRFGNVYVLRLPQRRRREPVIIEDHTSAEDLVMRNMRIIQMHNAGPDLNAPASVQPLLSSSVGSMAPMYVTVSAFMSAKNLVFCNGNLYQIWRNASCAVTLQLPGGGRRRIEEDEIFVLRYDPRHRPCWYAVAELGGYSVFVGRSNAVSVHAEGVPGLKGNCVYWIGGRGRDQGMVFDMGTERSTPCLPPVVGVVPGPPQCTFYWYFLREVLNNYNTNEFGVYGTQARVRAQRAQDMSQ >SECCE2Rv1G0107020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:641951847:641952614:-1 gene:SECCE2Rv1G0107020 transcript:SECCE2Rv1G0107020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKAAVLVSTLLCLALSRGALSQRARAPIVETPAPAPAPRHVELAELLSLAGPYGTFLDYLTKTDVIKTFQSQANDTKQGITVFAPQDSAFAALNETVLSNLTTDQLRSLMLHHAMPRYYQLSAFSALAAASPVSMFAYKVNVTYAAGTIGVVSGWATAKLASSVYSTSPIAVYALNRVLLPKDIFPAAPEMAPVPAPAPAPGRGSKAMADAPSASERAASDYADAKSSSGRVVGAGSLALGYVVLLVSGLLMV >SECCE4Rv1G0253580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:627897411:627900283:1 gene:SECCE4Rv1G0253580 transcript:SECCE4Rv1G0253580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKEPIPLLTPYRMGQFELSHRVVLAPLTRCRSYGNVPQPHAALHYSQRATRGGLLIAEATGVSATAQGFPDTPGIWTQQQVDSWKPIVDAVHGKGALFFCQIWHAGRVSSNEFQPDGHAPISSTDKQLKPDVESGMVYSKPRRLDTDEVPLIVDDFRRAARNVMEAGFDGVEIHGAHGFLLEQFMKDSSNDRTDRYGGSLENRCRFAMEVIDAIVHEIGADHVGIRLSPFADYMDCFDSNPHVLGIYMVQQLNKHKGFLYCHMVEPHMTIVDGRMQIPHRLLPFSSA >SECCE5Rv1G0351900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:705239482:705239811:-1 gene:SECCE5Rv1G0351900 transcript:SECCE5Rv1G0351900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQEESAMAQHLLVAADRLERLKLICEDKLCNCIDTSSVATILALAEQHHCHELKAACLVFLSSANNLDAAIDSEGFEFLTKSCPGVIKDLLKSKVAPSLLGKRKSRA >SECCE2Rv1G0093500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:379599042:379630298:1 gene:SECCE2Rv1G0093500 transcript:SECCE2Rv1G0093500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGPSHLLSSSSACLRRLNPLLFFAHRRPAWIPRRAARRFCAAVASERDVFTSPEVAKSFDFTSEERIYKWWESQGFFKPNFDRGGDPFVIPMPPANVTGSLHMGHAMFVTLEDIMIRYFRMKGRPALWIPGTDHAGIATQLVVEKMLAAEGIKRADLTREEFTKRVWEWKERYGSTITNQIKRLGASCDWTRECFTLDDQLSHAVVEAFIRLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGYLYYMRYRVAGGSRDDFMTIATTRPETLFGDVAIAVNPEDERYAKYVGKLAIVPLTFGRHVPIIADRYVDPEFGTGVLKISPGHDQNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEAREKLWSDLVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMEPLAERALHAVEKGQLTILPERFEKIYNNWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVARNAEEALAKAQEKYGKSVEIYQDPDVLDTWFSSALWPFSTLGWPDVSREDFKHFYPATVLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSEGRKMSKSLGNVIDPLDTMNEYGTDALRFTLCMGTAGQDINLSTERLTSNKAFTNKLWNAGKFLLQNLPERSDVTAWDLLLANKFDTEAALQQLALPEGWVVTGLHELIDKVSISYEKFYFGDAAREIYDFFWSHFADWYIESSKTRLYHSGDGSATITAQSVLLYVFENILKLLHPFMPFVTEELWQALPYRKDALIVAPWPSTELPKNLLSIKRFQNLQSLIRGIRNVRAEYSVEPAKRISASVVATADVLEYISKEKQVLALLSKLDAQNINLTESLPGDANQCVHIVADEGLEAYLPLADMVDVSAEVERLSKRLSKLQTEYDTLLARLSSPSFVEKAPKNIVRGVREKASEAEEKISLTKNRLTFLQSTVST >SECCE5Rv1G0374250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:862211474:862211773:1 gene:SECCE5Rv1G0374250 transcript:SECCE5Rv1G0374250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSELKMAAICAVLVVILMSAAGRPAMAYVDGQPLACPSAETACRAACVPGCNAFVGKMCSVLCAHTPGMGPTCVNQLFTTCMFNCKNMCEPLPGQAP >SECCE5Rv1G0375920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:870230679:870231632:1 gene:SECCE5Rv1G0375920 transcript:SECCE5Rv1G0375920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQLALALLLFLVVASTSPLALAHGDLDYGGAVKKSEAVGGYTAGAEKKPEAVSVNAEKKPEVVSASAETKPEVIAGYAGAKKKPDVAPNNVDVEKKPVSASGYAGMEKKPEGATKAADEKKSEVTSEKKSKRKSEPSQPSTIEKKPKTKNEKKSKGKNDASGYTGAEKNPKEKVDTPRKEKPKKEEVRNIIPNTYAKPKEEPKKEEPKKEEIAASSRDAYTEPKKEEPKKEELVAAEPKKEESKKKEPEVPEVDTTANYAAPKKAQPETTATVTSDSYTAPKNAQPETSAASTADTYTGPKTAHTAAASTTGGYA >SECCE6Rv1G0428370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:726306502:726307308:1 gene:SECCE6Rv1G0428370 transcript:SECCE6Rv1G0428370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNGNGAAASVTAPLMLHGRVAIVTGGAGCIGSVVSKHLASLGARVAVGYVGDPKPAKELVGGINAAHGDGRAIAVEADVSDATQVRALFDAAAAAFGGKLHVLVTMAAVLDCSNPMLAEMSEASYDATFGTNSRGTFLCCREAANRLARDGRGRIVTFSSSAVGSLRPGFTAYAASKAAVEAMTRILARELRGTGITANAVAPGPMDSPLFYNGKTPEEAERYIAEAPMGRIGLPEDIAPLVGFLASDAGGWVNAQVLRCNGGSI >SECCE2Rv1G0096360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:438449343:438453262:1 gene:SECCE2Rv1G0096360 transcript:SECCE2Rv1G0096360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSFVTSLLTSFVIFAVLVLVFTWLSRRPGNAPVYYPSVLLRGLDPWEGRGRGTRSPVGWIRQAFAASEADVVAASGVDAAVYLVFLSSVLAILAFSGIVLLPVLLPVAGTDHALEDSTGRVPRNVTHFERLALGNVKDGSARLWAFIFAVYWVSFVTYFILWRSYKHVSNLRAAARSTSDVKPEEFAMLVRDVPVPPPNQTIKDSVDSYFRALHPDTFYKAMVVTDITKADKIFQEIEGHKHKIAHAETVYAESKTANRPEGTRPTHRTGFLGLIGKKVDTLEYCNEKIKELLPKLEDEQKSTLSEKQQRAAFVFFNNRAAAASASQTLHAQMFDEWTVTEAPEPRAVIWTNLPRKIYDRQTRQTVVYLIVFVTVVFYMIPITAISAVTTLEKLREKLPFLKVVVDQPLLKTVLQAYLPQIALIVFLALLPTLLVFLSKSEGIPSQSHVVRASSGKYFYFIVFNVFIGYAIGSSLFSALQKVIENPTGIVTTLGSRLPGNATFFLTFVALKFFVGYGLELSRLVPLIIFHLKRKYLCKTEDEVRAAWAPGDLGYNTRVPNDLLIVTLVMCYSVIAPLILPFGVAYFALGWLIAKNQVLRVYVPSYESNGRMWPHMHTRIIAALMIYQATMLGIIGLKQFYYSAILTPLLAISLIFAYTCHTRFYPAFAKTPLEVASQQLKETPNMSTIYTAYIPPCLKPEKLEDVEVFEDAQSRTTSRAPSF >SECCE5Rv1G0370900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:844430908:844431282:-1 gene:SECCE5Rv1G0370900 transcript:SECCE5Rv1G0370900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAKESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE1Rv1G0002720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:10024956:10025231:1 gene:SECCE1Rv1G0002720 transcript:SECCE1Rv1G0002720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKKALIGVVAALVVLQLFMAAAPMAMARSLEEPEEMAWTAKPNIPMEHIILPNGGGDPGCGWETCYTGVCIQSHCRCSNYPYCRNKNW >SECCE6Rv1G0408330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:571181796:571182293:1 gene:SECCE6Rv1G0408330 transcript:SECCE6Rv1G0408330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLNKVDGAGCRRHRRQGSPGVCPLCLRERLSRLSPSATLPSVVALEASSCCSDSEASSTEASTCASTASSSGSASPMFHREIKRAARPSLLMRHERVVAVDGDEVVLVMRKRRERPTTSFWTKLLRAATGGKKAVDGCSLAHSRTIEAADGSSAAATKWIIF >SECCE2Rv1G0124370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:832205523:832207611:-1 gene:SECCE2Rv1G0124370 transcript:SECCE2Rv1G0124370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGEGKPGRSPKRQLNAGYVVGGLLILLTYLVAQHFAVSSPNVVITEAPRIVDDVKAPVEAAAVSTEAPHIVDSIKETAAVSAEAPQIVDDLKAPRETENGKVVCNMEGRSDTCEVDGDVRTNGTALSVTLVPAAGWPERREWNITPYSRRFASVRKVTVTQLQDRAAAPPCTVTHDMPAVLFAVGGYAANYWHAYADILVPLFVASRRYHGEVTFLVSNTQFRPLWLVKYRAFLQGLSKYDLVDMDGDAQVRCFPHVTVGLRLDKELSIVPELVPGGRLSMADFTGFLRETYALPRGAAASLTREPEKKPRLLLIHRGHYRRILNEEEVARAAEAAGFEAVVTELRGGGDTPEAEVEQARVVNSFDVVLGLHGAGLTNAMFLPPGGVLIQVVPYGNMEDIARAEFSEPATDMGLKYMDYSVSAEESSLMETLGPEHPAIKDPASVHRSGWDKVFELYLAKQNVRINATRFAPTLAQALDHLRRQ >SECCE3Rv1G0166700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:190902707:190909977:1 gene:SECCE3Rv1G0166700 transcript:SECCE3Rv1G0166700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMMADLSCGASGVTVNDHQLAAPAPEDSAVAGSEKMGRGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIERYKKANSDTSNSGTVAEVNAQYYQQESSKLRQQISSLQNSNRSLVRDSVSTMTLRDLKQLEGRLEKGIAKIRARKNELMYAEVEYMQKREMELHNDNIYLRSKVSENERGQQPMNMMASGSTSSEYDHMVPPYDSRNFLVNMQQQQQQQQHYSQQLQPTALQLGHQYFN >SECCE6Rv1G0384570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:64948286:64949707:1 gene:SECCE6Rv1G0384570 transcript:SECCE6Rv1G0384570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSSPPLPAWAAANALFRRHSRLLPLLLPPASLCALLPVLSHCLVSGLAGNPFVASRLILASSRLSLTFSFLLLSHLPASSLSPFSFNSLIRASPPSLALRLFEQMRRRGVPTDNYTLPFLIHACSGSDTPLGQSLHGKSVRLGYSFHVFTQTALMNMYFGCGLAVPAGRVFDEMQARDLVAWTGMVSGYVDSGMHLRAAEVFKEMRGSEEVARPNVATLVSVASAGAGLGSLEYAKGLHGYVEKVGLRGDLIVTNALMDMYGKCGSIESARALFDFMHEKDLLSWTTMISGLASHGHGNEAVALFLSMREVGVLPDSTTFIVVLSACSHAGLVDEGISIFNSMASEYKVTPDIKHYGCMVDLFSRAGLLSRAYELIDSMPFEPNLAILGALLSACSVNNELEIGELVLKKIDSVCSYKGGASVLLSNIYANQHLWHEVDSIRTKIRSGTVPRKPPGQSSVASEVPFTSL >SECCE5Rv1G0331080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:536241537:536244128:1 gene:SECCE5Rv1G0331080 transcript:SECCE5Rv1G0331080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELNVEGVQQVEELNVKGVQQEEELNVEGVQQVEELNVKGVQQEEELNVEGVQQVEELNVKGVQQEEELNVKGVHQVAVVPNTADSLENLTDSKPLVSVGITENSPDGHNRHLSEDLSSLTINNVRVNGEEICHNQSKGDGISLHGHNRNFSEDIGSLTINELRANKIEENCHNQLEEKEQQQISRHNSAERNIFKAAEIAERFIQALDNRVLVETSAPIESVKDAVSKFGGILDWKERRKNVQLKLDKVREEGPEYQRRFIAEEVEKSKVLQELCSTRRIIEGLKLSLEKAQTEALQAQQDAELAEIRYKEIQQGIARKESAAVKAEIDLAKERHVTALADLQSVKEELEQLEKEHTSLIAHRENAEIRARESTAVSQEIEKIVDVLTLELISLKESLTSSHATHIIAEERRINVALAYEQEKLDSQNELKQAEEEIQKVNDEISANKDLESKLEAASALLANLQSGFTAYMEGILSEKESEVGEEMRSMVSVQMKLTKTRKELEDMRINIEAAKDEVKGLWNTAAALRADIEKEKADLTSLKDKVYHATVSASSLQEELRKTTRELIVVQERTEAAKMPIELQQATRETERAKAKSRLACDEVTKATEEADRAKAEVNLVQLRLEAVSREILVVKTSEEIAMASVNALQGYKEEGEIEPQADRRSDKSMMVPLEEYDALSKRAKEAEDLAKKQVSQVIEKIKEAKEGEVRSLDRLYQLTRQIDEKRVALREAQEKAIAAQDNKLTMENELRKKRVKHGQHYTAGDADLTIPEVCLLNSACSFDAAGSSASHMQGGGLARADTIAAEPKARKSYFPRSIVTMFMTRKKTHSK >SECCE7Rv1G0492540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:552184402:552187240:-1 gene:SECCE7Rv1G0492540 transcript:SECCE7Rv1G0492540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTEYMTYMFKYDTVHGPWKHSDIKLKDDKTLLFGEKPVTVFGVRNPEEIPWGEAGADYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEDKYTSDVNIVSNASCTTNCLAPLAKIINDNFGIIEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRTEKAASYDDIKKAIKAASEGKLKGIMGYVEEDLVSTDFVGDSRSSIFDAKAGIALNDHFVKLVSWYDNEWGYSNRVVDLIRHMAKTQ >SECCE4Rv1G0221120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:46147763:46149559:1 gene:SECCE4Rv1G0221120 transcript:SECCE4Rv1G0221120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLPAALRRRRLSTDAAPDHGLASSAELAHRLLRRHGADPQRLASALSASGLDPASPRLLDAVLRRCGAASSLALGYFHWCSPSLPSAPLPSSLALLAKCFSRASAAPCPSLLAPLPSHLLSASILSPVLRRLPPPRVLPFALSLLSSRPDHDHPSLFLSLLESLSKTGHAAAAERLVEELQPRLPLSLRHYTALLYGWCRLGKLDEAKNVLARMKAAGVAPDVVVFNTLLAGFVADGRFEDAFELTREMERRDCPPNAVSYTTLMQGLGSKGRVDEVMRVFVEMRRKGCTPDSVTYGTLVTAFCKAGRLSQGYEFLDSMTRDALRVDPAVYLGFFVAHEKKEQLEECLELMERMRECRCPPDLSIYNVVIRLSCKLGETKQAMTLWNEMENSGLSPGVDTFAIMVTGLVGQGSLVDACNYFKDMVGRGLFVAPQYGVLKDLLNALVRDEKLELAKDVWGCIASRGCELNVSAWTIWIHALYAKKHVKEACSYCLDMLEAGLMPQPDTFAKLMRGLKKLYNRQFAAEITEKVRLMAEERHVSFKMYKRRGVKDLEEKPKSKRRKGQKRSRGRQTVQDQPREHADLSDSVDDEEFPS >SECCE3Rv1G0191200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747372202:747374840:1 gene:SECCE3Rv1G0191200 transcript:SECCE3Rv1G0191200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLARQVGPPIRPGCANQGRRDRSGSVRCRAEAAPPVSVARTGPFTGRDPEVKKPAWLRQRAAHGEKYARMRESLGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPMEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSGHFAQTVRALKELKPGILVECLTSDFRGDLEAVSSLAESNLDVFAHNIETVRSLQRIVRDPRAGYEQSLAVLKHAKICKEGMITKSSIMLGLGETDEEVKQAMIDLRAVGVDILTLGQYLQPTEKHLTVREYVTPEKFQFWKEYGESLGFCYVASGPLVRSSYRAGELFVENLVRNKKTKPASASS >SECCE5Rv1G0336280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583554867:583555169:1 gene:SECCE5Rv1G0336280 transcript:SECCE5Rv1G0336280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQKGPAGVSAIMVGLIIVIMATAAMSCSEKVATTNNNKKTSWPEVVGLSVEEAKEIILKDKPDADIVVLPVNSPVTRDYRPERVRIFVDTVAETPHAG >SECCE6Rv1G0398570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:422971115:422972172:-1 gene:SECCE6Rv1G0398570 transcript:SECCE6Rv1G0398570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFGWGREEGGWRKGPWTAQEDKLLLDYVRQQGEGRWNSVAKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVILELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSSKNIERARARFLKQRREIMQGHHEHQRDVQDDDAVTSADNTGGGAATHHADEATTRPAPPPAQDDDLAMMRDMAGMDDFLQYDHHPMSMSAYFLNDGGDGATSDAGSSEEINAWGSLWNLDDVDVVDDVDGGGACGWGSFALLQDHGLAFY >SECCE6Rv1G0410420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:597963675:597968902:1 gene:SECCE6Rv1G0410420 transcript:SECCE6Rv1G0410420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSAAPLLAAVACAVLVFLAPAVSGDAATLESVPDLVKAMYLNIESFPCVRLLNLSGEIGCSNPGHGLVIAPIVRLKNSNDQLAQPSTVLLPLDQMPAFFLRVSNDPELYHKVAGVLVESNGGKLLELSPDRKFPQEDFAPYSNVSHDWNPAGSAIMWNKYDFPVFLLSKESTQTLRKIADKNEKSSNGYQANVAEFDLVMQTTKAETHGSESCLKEQSCLPLGGQSVWASLPPMSIEHQKPIIMVVASQDSASFFRDRSLGADSPVSGLIALLTAVDALSHLHDLGKLKKQLVFAAFDGEAWGYLGSRKFLQELDEGDDSVNGINSSVIEQVLEIGSVGKGISQGDTLFYAHASRNSSISKKILDGLQSGSDSLGSDNVKVKPAASSNPGVPPSSLMSFMRKNTSTSGVVLEDFDSQFSNRFYHSHLDNPANINSSSIAAAAALVARSLYILATADMTVDLMTLNTIKVNVTLVEELIGCLLTCDPGLSCGIAKSFISASNSCPSHYVGVFQDSASSTQFPAYADDTSRFIWNFLADRTSTLAGNVSSCTGKCSNESEVCVGGEVEGAGRCVVSTTRYVPAYSTRLKFEDNAWHVLPANSSDPMGAADPVWTESYWNTIGLRVYAVQSTTSDRLILLAGLAITAASYLGVVAGRAYISKITKRD >SECCE1Rv1G0005890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28566967:28568633:1 gene:SECCE1Rv1G0005890 transcript:SECCE1Rv1G0005890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPDLNCTPPAEDEMDDDDPGVQMDEDAGVQMDDDAGVQHAGVEHSVKKRDGLDDKQKYAAYVALHTLCMSRGGKFERDDRKKVASFFGVGVWNVQRVWKKAMKQIAQGLEVDLSSQRKGNCGRKPKDINLDQIPTIPLNRRSTIRSLAWQLGCSPTTLHRKFMLKLIKRHTNCLKPALNEKNKKDRIKFCLSMLDETTTQTERPKFKTMHNIIHIDEKWFYMTKRKRNYYLLYGEEEPTRTLQNGSCIGKVMFLTAVARPRWDNEGNVTFSGKIGIWPFVKEVPAQRRSDNRPRGTLETKSIKVNRQVMREFMIENLLPAIQASWPENDAGQTIYIQQDNAKPHILPNDPEFVAAVERTGLDIRIIQQPANSPDLNGLDLGFFNSLQSLTDCLSPKTLQDLIKGVLDEFENYEVYKLNRVFLSLQACMVEILNHAGGNGYKIPHANKERLENLGMLPPRLTCPQEVYANALHNLGIMERVAC >SECCE7Rv1G0516160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844025976:844027046:-1 gene:SECCE7Rv1G0516160 transcript:SECCE7Rv1G0516160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVALPDDALAEVLRHLPPHVLAEARRVCKAWRDAVDDRLRSSLLSRSVRGIFINFSGQFFSEFFSRPSTGPAIYGGFNFLPCMSVEVKDHCDMGVKVKDHCNGLLLCRESSYRALPCPREYVVNPATPRWARLPKRPPPLMPGFHHTAHLAFEPAVSPHYQVFLVPRAPWRLPSDGESRDHDDNPLLELEWPPASYLVDVFSSVTQRWDTTIFLREGEAAGIVADMQLDWRYDPYPSLYHAVYWQSALYIHCQYGYLTRMSLSDHSYRVIKLPGAGELILYSNHVSGDHRKWCIVQYLMAQYLMARNDFRFGILVNRAVE >SECCE5Rv1G0358970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:758598972:758602069:-1 gene:SECCE5Rv1G0358970 transcript:SECCE5Rv1G0358970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYRKAPDLDLEAGGSTALYPGMTEDVELRWAFIRKIYVILSVQLAMTAAVAGFVVKVPAVSEFFVSSNAGLGLYIFLLVLPLIVLCPLRYYHQKHPVNLILLGVFTVSISFAVGMTCAFTSGKIILEAAILTAVVVISLTAYTFWAAKRGHDFNFLGPFLFGALMVLMVFSLIQIFFPLGKISTMIYGAVASIIFCGYIIFDTDNIIKRYSYDEYIWAAVSLYLDIINLFLNLMQILRAADS >SECCE1Rv1G0008050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:43830393:43831505:-1 gene:SECCE1Rv1G0008050 transcript:SECCE1Rv1G0008050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNDGHGYPPGGQGGYPPAGYPPQQGGYPPQQGAYPPAPGAYPPAPGAYPPAPGAYPPAPGAGGPDGEKGLLSNIMHGVAGAHGGGHGYPSGGHGYPSGGHGYPPQQGYPPQHGYPPQQHGYPPQQHGYPPAGYPGSSGHSGSHGGGGMGMGGMLAGGAAAAAAAYGAHKISHGGHGGGGHMMGGMMGGHGGYGGGYGHHGGKFKHGKHGHGKFKHGKHGKHGMFGGGGKFKKWK >SECCE2Rv1G0100540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:543119950:543120186:-1 gene:SECCE2Rv1G0100540 transcript:SECCE2Rv1G0100540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVTAVIAIAAVVLAWITIEMACKPCLETGRRAMDRALDPSYDPDDSPAANSTSPPTSEPLLTDLSASAAAPAKAI >SECCE2Rv1G0069210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27419685:27419981:-1 gene:SECCE2Rv1G0069210 transcript:SECCE2Rv1G0069210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTDRESPLRGLSPSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE2Rv1G0121310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:807180329:807182032:1 gene:SECCE2Rv1G0121310 transcript:SECCE2Rv1G0121310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSKGSERPADLTSVLPEDLLLEILERLGSARAAAGTSLLSRRWRGLWTQLPSLTLNIHDATFRSIQAELRRAAARRRSGAYIYHLNIRFAGEASTIGAEMFSSLLWLAASLSPVELRLTLPRNQQVSCMDVTLPTFRRATSIDLRARALHLIVFAKPYAVSNGSYVPFRSLERLSLSGCHIDLATFIPFCPRLRVLRLNTTGVIDMGNIAVHSASLEVLVVEHGNRWTGRTHISVDSPVLKQLTASFHACGNLGVSILAPMVEKVWWRCSYAKPIYGLGLWGLSEVGLNAQETHGETDSGRDACVYLPTVHVLSLHMSPVQDSVSFPDAELSFGAEIEKHMVTNFSGLDLHLSTKGHVFGAFVLHLLGMHRIRTALQNLKIVLLRSEVKDACPVNCLCDEPEDWRAETITLSDLENMEIEGVGGEDHEFDFLKVVFRCAPMLKTVTVRLSDGVTPSVDWCTKVNNIFMAYPSVECNADL >SECCE5Rv1G0353680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718635063:718637132:-1 gene:SECCE5Rv1G0353680 transcript:SECCE5Rv1G0353680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGDRPEGVGGGGDWPPFAGDAFAEYSSSVFAELGGWSDGLGAGAGELPGLDLPPASASGPVPLARPDEIMPAASGEPAGAASSCSSGDCAAAAAENADKPQEGADAASVKPTAVVKKGQKRARQQRFAFVTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPSVVITTYEGQHCHHQTSFQRGGGMHFHGGATVALAEQMSFVSTQQLYNLPPLRRQQMNPMPSSSESVVSSLPASLQQLNGGDQLGRSTSYSPTAQTASSSLVPPDVSFDMGLLGDIVPPGVRNG >SECCE4Rv1G0292820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883906984:883908765:-1 gene:SECCE4Rv1G0292820 transcript:SECCE4Rv1G0292820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVPSDRLSDLPDDLLIHILSFAPPHEAARTTALARRWRRSLWRETAAANLDYRSCSGVYDKALFCAYSRGRVIKKMNISMRGRDITDADLSPVGDAGVEELRLECQDGGCPRYTYAGPSPESLWFDINLSLESLPWASLRVLDLTGCNIPPFPPAEVPDWEYLRYSYMPWHEEPEQQQDIAYDSPPAPAPAPPRIAFPCLEALRLRRCAMYLDTLQTMIEGAPRLTNLCLESLSVLQHCMGQLQICFHLRCPAVAVLVMANLHVHHGMAMSGIHGCSVKLDAPCLRTLRYTQSMCSDTALSFESPMPYLERVHIAMHSAGSAPLRRSLLTPNASHIRFLKLTAYSIADLAADDGGSPMILPNLERLEIEELTPCVCPASCHAAAAALMSLLHCCPVLSELWLKFSWLDYLHKTVQDPVIQAAVVSDFTPCGSVNSDNNEDCCEGLDLLPELNYCCRLDCLQSSLRRVVLEFDTEELTCFQIQLLKFLACYAVVAEEVVINGSKGYDSSSIGQKVARWRRDSHNRRLAGKEDDHSVCYHCCEVAGMLSSSSPPSSLSLSATAPSLWTPSMCEFPHVTMHANSGQYGE >SECCE3Rv1G0165090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:169566117:169568061:1 gene:SECCE3Rv1G0165090 transcript:SECCE3Rv1G0165090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADEHHEEASPLLAPPADEKLPPAQDPVKGCADGVPVVMGEPVAASAGAAPRESWDSGILSCLGRNDEFCSSDLEVCLIGSVAPCVLYGSNVERLAAAPGTFANSCLPYTGLYMLGNSLFGWNCLAPWFSHPTRTAIRRRYNLEGSFEAFTRQCGCCGGLTEDEERREHLEVACDLATHYFCHPCALCQEGRELRRRVPHPGFYGRSVVVMMPPTEQTMGRAM >SECCE2Rv1G0087570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:228093544:228113434:1 gene:SECCE2Rv1G0087570 transcript:SECCE2Rv1G0087570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSHSHQARRRSGLGPQFCAVAAALLLLLSLAVLHSRLSSSSPFPLSSSSRSSATDSAATNSTALLADDDDDPVAAALDPDLTLAAVTTAAATEGAAATNPDDDRIDELDVLDEDDSSAVTDAADDVPASATAASFLWDHAAGVARLPFRLPPAGDAPPAGFPHLDSPRWIAAAAFGSDDEPVDLELRVEISSISGIEDALLLKPASGRSETRLRSGWARWLEGKADYLRRDRMLRSNLEFLNPRNHPLLQDPDSPGLTSLTRGDRMVQRMLISEIEKDSSKNFARRSLKSSDNEHGIGAIVKEEPEKVRRWGHFPGIDPHLGFSEFMERFLEHGKCSMRVFMVWNSPPWAYGVRHQRGLESLLVQHPDACVVVLSETLELETFHEFVKEGYKVAVAVPNLDELLEGTPTHIFASVWYEWRKTINYPLHYSELVRLAALYRYGGIYLDSDVIVLKPLKSLRNSIGTVKEVSRGSSFSGAVLAFEKQSPFLLECLKEWYSTYDDTLMQWNGAELMTRVIRNRSDSDPNREHLEIQLEPSFTFYPINSMDINRYFSEPDSTAERAHHDALFSRILNYSTTFHLWNSITSSLVPESNSLVERILNHYCLHCLDVL >SECCE4Rv1G0258840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679816397:679819345:1 gene:SECCE4Rv1G0258840 transcript:SECCE4Rv1G0258840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIDENSTISDVERDHMEVIEKLVPDLASLRATLCPSYMDEDAFWKIYFKLLESSLSEHTSEEDTQNVQVSVHRINEIESPPHVCEIESEKGTQEGYQSSGSHALTKTRSEQSMDQWVFAKSKSEQSMDQWSEIPSDVESLREGHKRYFSSEAEEMSDVDNSNVLVMDKYMDSLLPDRRSLPYASSSVRSDSVRRKPAASSPEYSRRPPQPTPPASLSKKESWDLIQDSEFEILDS >SECCE5Rv1G0359240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:760739801:760740301:1 gene:SECCE5Rv1G0359240 transcript:SECCE5Rv1G0359240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLAALLLLALASAMVATAQNSPQDFVDPHNAARANVGVGPVTWDDNVAAYAQNYAEQRRGDCQLVHSGGQYGENIYGGRGGGADWTAADAVQAWVSEKQYYDHGSNSCSAPADKSCGHYTQVVWRDSAAIGCARVVCDGGDGLFIICSYNPPGNYVGQSPY >SECCE5Rv1G0318270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:359058964:359063604:1 gene:SECCE5Rv1G0318270 transcript:SECCE5Rv1G0318270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTNTLKTLMESVIARLDEQKLDNDKRSEAQTAFNAQVSQDLRALSKQLDLIQADVDETRRALESSPSPRGSGSATLINPSPPPPPPPPQRNPSAHQQQQGPPPSPRLADHRPPLLQVPLQQSSEPYHHHHDSYIKPPKHDFPRFDGTAPYLWLDRCRAYFDLYRVPSSSWVTTATLYIEGQAAHWLQAFRQTHGGLGWEAFRAAIIEEFGVDEFELEMHKLLQLRQTGTVSEYRQVFDSHMYHLLALDPSLSTKFFITQFLLGLKDELRAAVRLQAPTSITRASILARIQEEELNTPRARPRPQPQGRPPPAPPARQGVAPRQQPDDYARERQLKDFRRANGLCFKCGDRYSREHRCNPQAQLLTIQVGAYGEVLTDDAVRALDAPDAPDPPVPPPECCTISAHALDGSEAPSTIRLRALVGNQVMLLLLDSGSTHNFINKSFVERVGATTKEIAALDVQVANGDRLICSRQVPELKWWMQGHTFSTPMYELDTGAYDGILGMDWLAKHSPMTCHWQDKFISFIHDGEVVTLQGVRPKPTTTLAAVQSAELCKLIAGNDVWAMAMVEMQPPPPRSSKPSLTPISDLLDEFADVFATPTGLPPHRQYDHAVTLEEGAQPTNARPYRYSPLQKDEIERQVKEMLDAGVITHSVSPYAAPVLLVKKKDGTWRFCVDYRRLNDVTVKNKFPLPIVDELLDELAGAAFFSKLDLRAGYHQIRMREEDEHKTAFKTHHGHFQFRVMPFGLTNAPATFQCLMNAIFAKYTRKFVIIFLDDILVFSETMEEHLEHLRLVLSLLREHQLFAKLSKCSFAQDHIDYLGHVISKQGVATDSEKTDAMAQWPTPTNVTELRAFLGLTGYYRKFVPHYGIIAKPLTQQLTKKGFAWPESAQNAFDTLKTAMVTTPVLALPDFDKPFCIETDACDTGIGAVLMQEGHPIAYYSKALGVKNQKLSTYEKEFLAVMMAVDKWRSYLQRGPFTIITDHKSLCTLGDQQLVTDVQRRAMSKLVGLQFKFQYKRGIDNGAADALSRVGSHFNANALSLCQPAWIQEVANSYATDPDAQERLQQLALHSPDEEEFELYRGLIRRQGRLWIGANTALRTKLISAMHDSAVGGHSGATATYHRVKKAFEWRGMKQDVDDFVRQCTVCQQAKHELHKPAGKLAPLPIPTAPWQDLTMDFVEGLPKSEGYDAIMVIVDRFTKFAHFVPLRHPFTATQVARVFWDNVVKLHGIPASIVSDRDKVFTSALWRELLAAAGTKLLYSTAYHPQTDGQTERVNQCMEMYLRCAVHDTPRQWRRWLPSAEFWYNSSFHSSLGCSPFKALYGVEANVGSMATWPSSQPTLPDGDHWDWAQHQENLRAHLARAQSKHKRFADRNRTERVFQVGDQVLLKLQPYVQKSVASRPCPKLAFKFFGPFSVIAKIGPSAYKLDLPEDSRIHPVFHVSQLKPFTPNYSPVFSELPVTTDLSTEETTPVEIVDRRMMKKGNAPVVQLQVAWDTTPPSVTWEDYDVLRKRYPQATIWEHGDMEAGAPSQGGENVTTVVHDTTA >SECCE1Rv1G0016320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:137034150:137037144:-1 gene:SECCE1Rv1G0016320 transcript:SECCE1Rv1G0016320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSFRTATSPSPFPSAPSSSSSPRAPCPSLRFARARNGRQMRMRRMASGFDAFPPLPGKVFVEETIGAEYGEGFETFRMDGPLNIDVDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVIADTDSLKLDAWRQLALEEGKDIPTAAHIQKSIIHGAADHVLRKVLYWAKEDDQMERLKARLIELYYESLFKLDTPVEGLREWLDAVRTAGIPCAVASSLDRRCMVEALDRMALSKYFKAIVTDEDDMESIAHRFLSAAVKLDRKPSKCIVFEDDPRGVTAAHNCTMMAVSLIGAHPAYELEQADLAVARYSELSVINLRRMFAHKGLSFMDMQKQIIERSPPKRKLTVDTIF >SECCE2Rv1G0141060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934207912:934209060:-1 gene:SECCE2Rv1G0141060 transcript:SECCE2Rv1G0141060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVAAAVLVLAALICAAHSSAPAEGLSRSFHAASCPELEDIAGTLVAETFRRDVGVAPALIRILFHDCFPQGCDASVLLTGNNSELNAVPNQTLRPVALDLIERIRAAVHAACGPTVSCADITVLATRDALVFAGGPHFDVAFGRRDALAPASQDLVDTLPAPSFDVPTLISSFGNRGLDVADLVALSGAHTFGVAHCPTFADRFTPNIDFSPLIDPLFARRLRAKCAKDVPQGTVNQTLDVRTPDVFDNKYYLDLIVRQGLFKSDQGLIDHPATTLLASRFALIQSAFFRQFANSMVKMSNMDLLTGTQGEIRQNCAVPNKRVEGIETANDEGHTAAM >SECCE1Rv1G0000900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2737972:2741018:-1 gene:SECCE1Rv1G0000900 transcript:SECCE1Rv1G0000900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAILLAVSKIGAVILNQAVTDVVQRVEAARELPAKVKRINVELTTMNNIIHDLGTRHLSNKVIKGWIGNVRDLAYRVEDIIDNYSYEALKLKDQGFLQRYIFFGGSRHIKVFSKIVEEIDEIEKDIIQIKDLPSYWRDTVQPTNNDHAKIDKTRSGSCFPGLFSDEDLVGIDENRSKLTEWLSIDDKESSVITVSGMGGLGKTTLVKNVYDREKVNFPDAHAWIVVSQAYDVVDLLGTLLTKLRLTQGTQSPLSMDAKANVYDLSEAIRKTLQDRKCLIVLDDVWDKDAYTQMCNAFQGLKGSRVMITTRKEDVAALASSRRRLVIQPLGSAESFKLFCSRAFHNSPNHECPPELKTVALDVVKKCHGLPLAIISSGSLLSTKQPTEHVWTQMFEQLRDEFKGDNNVQAILNLSYHDLPGDLRNCFLYCSLFPEDYAMSRESLVRLWVAEGFVTRKGNNTPEEVAELNLMELIGRNMLEVVEWDELFRVSKCKMHDIVRDLALAVAKEERFGSANDPGEMISMEKEVRRLSTSSGWTADPRVEFPRLRTIMSLAAASSSTNMLSSVFSGSSYLTVLELQDSAITEVPASIGNLFNLRYIGLRRTNVQSLPDTIEKLLNLETLDIKQTRVEKLPPGIVKVEKLRHLFADRFADEKQTEFRYFVGIEAPHLISNFQELQTLETVHASKDMSMELKKMRKLQTVWVDNINASNFVHALIFCLHGILSTCTRWSIGRGLIVRGGWADGTLKCPIFQGHGRNLKYLALSWCNLGKEDPLQLLASHLPALTYLSLNRVRSAAILVLSAGSFSKLKTLVLKSMPNVQQLDIEEGAIPHIDGIYIVSLAELSIVPRGIESLGTLKKLWMMGLHKDFKAEWNLNQMHNKMKHVPELRS >SECCE4Rv1G0224910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:86467268:86470416:1 gene:SECCE4Rv1G0224910 transcript:SECCE4Rv1G0224910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEWQPQNGQVHDEIGLGIPIDDKDFIWSNTGTIDDDEDVATADEDVANADEDITGARSASNTRKRGRPAKRHCKEHILELEAMFQECPNPDETLRIALSKRVGMDPIRVKFWFQNRRNAKKNQNERQQNMVLRTENMMLEEENRAMKTAMLKMTCPTCKGPMVFIRQHTPELRRLQMENAKLKVELLRRAAYLHAVSGGTAASSRILCDLNVDPVVPLPPRQDALMADTMGHCAPGSCASTASAEEHAALERHVLAAFHELMMLMKQGEPMWRPAALGGEVLDHQLYRATTLPGLLELPPPGFTANGTRDTGMVMCTGADLVRIFMEENRWSETFPDIVASVSASDIGRGCICQGGVILMNAGLRMLSPGVSSCNVKFVRQCQMIEQGVWALVDVSLDANGTSDELRAWNTGLPGACRVLPSGCLIKDMNNGYCKVTMIVNAEYDKGIVPQPLHPLLSSGHAFSARRWLTSLQRRCEFLAQRLSPAFGLSRAAGGGAITPEGRNNALDLARRMTESFYVAISAPRGEAWRKVADWRGVGGESFQLAMHVGTPLAAPGEQAAGPVLCATATVWLPEIPPQRVFDYICDGEHRGEWDMLLAEGARVQEDASLATAQFPLSGVSVLRPIGRGRGRSSCNRKLILQQACGDAPCMVVAYAPIDAGSLKDVMHGGSHASISLLPSGFAILPDGVGDMQTDPLDTNPSAVDPIKHQGERGSLVSVLCQTHLIRGNLTAQTMDNVGNIVSGSIMKIKDAVHAKRVMTV >SECCE4Rv1G0220540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:40557467:40559635:1 gene:SECCE4Rv1G0220540 transcript:SECCE4Rv1G0220540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAANGDKPSDEQDQFDPSRMIGIIKRKALIKDLAAAYHAECVTCCKELLELQRKWEEEQCVEAKMPEVPKPSVTKPSKRRKR >SECCE6Rv1G0434290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764638747:764640332:1 gene:SECCE6Rv1G0434290 transcript:SECCE6Rv1G0434290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQEPERPSKKARGSSPPPAMGSDGLTAFALRLAKKLAEGEDTRGSNIAFSPLSLYTTLGLVAAGARCRTLDQLLALLGAASSDELAGFVRGLAADPSGSGGPVITYAYGVFHQERMELTPAYLQTAAESYNAEIRAVDFAEGDRKKIRKEINKWAAAATNNLISEILPEGSLSELSRFVLTNAIYFKGVWETRFPEKLTVNREFHRLGEAHPVHVPFMTLPGECKLFASHGKGFKVLKLPYKAGDSSASPAAMSSRYSMCVFLPNDDDGLHAMVSTLAKVGSSLLDHVPKHRSSVRKLMLPKFKMSFFCRLAKVLQGLGLRDAFSENADLSGLARKMDCDVRLDEVFHKAVVEVNEEGTVAAACTALTGRVKQTARPRRPLEFIADHPFAFYIVEEVSGAVVFAGHVLDPSSSQ >SECCE7Rv1G0454710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:3907672:3908463:-1 gene:SECCE7Rv1G0454710 transcript:SECCE7Rv1G0454710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPPLSRMPPVAAAATECRLSGEGSIKRDIIINPSKGHRCRVLLQGNLLARYRLQAVGGPRTPTLLHEDAPRKFNQAFELSDPDAFFRSYSACRDSIHQMLAQTPLLSGIDLAADNWDCYLPHYLATFVADGFRRDTDEHDGVTIRYNMEMSLTIWVEVIYREPKALLLACDERATVTRCLFAATPTECPICMEDFANDDSYTSDDSDTTVRVRLPCSHSFHLGCILPWFYKVAKCPKCRHDLGKYLVAATDTPMGKFPGRA >SECCE6Rv1G0405610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:533734533:533742627:1 gene:SECCE6Rv1G0405610 transcript:SECCE6Rv1G0405610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGRERKAAGPPWAPTRSTVFRSYAAAVVGDRAGAPSARGNGVAARSSNLRAVRKRPIVARLTRDIVQTFEKCNPEFNYVDSLNPKHFLTSPAVPVHNDGLDNANSDLILYVNLELVNKKSDRRYIVEEMLGQGTFGQVAKCWDAETNSYVAVKVIKNQPAFYQQAIMEVSLLSMLNDKFDPDDQHHIVRMLDFFPWKNHLCITFEMLGHNLYELLKKNNLRGLQLQFVRTFSRQILDALMVMKGAGIIHCDLKPENILITPKVNSAAGVKVIDFGSACMEGKTIYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELYIGLPLFPGASEYDVLSRMIEIVGGQPPDDLLREAKNTRKFFKHVGSIYPGNEAHDGLRSSYRILTEDEVEARDSKKPKIGKWYFPRGRLDRLIFAYPWKNLSEGNLPETEKEDCLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEAFTGPYEPFRETPVIPVGRAATVDHNPGGGHWLAAGLSPQVGSINRSLPFNNNFPPKMPFSYGSSCGSFGSRGSFNDNVGPASSYGSYDVNNVNMYHSPLGPSGFNLQSQAGGTFLGFSPDIRRRPHLSHGVGIRLSPGGPGPMSLGASPSQFTPPNSQTQMPTSASAKYVATSPARGSHGSSLGKAAVVGQYNRRRNPGHPPMSMPPHEYTSQLIQGPHGDGVSSAFARGHSGYSYGALPNSGHYNWRPQIGVSTGLSTNSSSNHGYVQPSGYNDFRPLHSSNVPADTSTSTSSVPDPADWDPNYSDESLLQEDNSLSAELGSLHLRDASGRTIQSGRLPNIQSHDIAGSNQRNDFLFHAPSLRESGHSTGPINYDSYNHANYSQRNFPGRHGQPFQRYNHMNSTSIRPTGNLQNVQAAWSKYGMPDSTSPPRMVEGMPWGTSGLPPSFGRKDFGRIF >SECCE5Rv1G0367530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:822026202:822026696:1 gene:SECCE5Rv1G0367530 transcript:SECCE5Rv1G0367530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKEMAASKGKPFPFKHAWAILQTFDKWKLRDQETAPKRSAMLRMDDSEDEDGERNLGKPEGTKKGKVRVKMEEEASSLREKMEQMMKAREELTKKTLETKLLITEKKKEVKLAQVKAKREEAKRKADLEERMIKVKEAKVWKELMVEEKEHMMMSKKDMDQE >SECCE3Rv1G0152280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:46065956:46067371:1 gene:SECCE3Rv1G0152280 transcript:SECCE3Rv1G0152280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTANTSTISARKPRVMLYSSPLMGHLIPMIELAKLFAARGLAITVVLMDPPYDTGATGPFLAGVSAANPSISFHRLPQVKLLESDHSVMPALAFARLSNPHLRDFLAGASPDFLVVDFFCSAAMDVAAELDISAYFFCTSGAQILAFFMHLTVLHGKSTRSFREMGEELVHVPGITPFPATHSIEPLMDRDSASYHVLLNVSLNLFRSQGIFVNTFRSLEPRAMDTIVAGLSAPAGLSTPPVYCIGPLIKSEEVGMKRGHECLAWLDAQPKASVVFLCFGSLGRFSAKQTREVATGLEASGQRFLWVVRSPPSDGTTEEPDLDVLLPKGFLDRTKGRGLVVKSWAPQSDVLAHHAVGSFVTHCGWNSVLESTMAGVPMLAWPLYAEQKMNAVFLEKEMKLAVVMEGYDKEVVEAKEIAKKVRWMMDSEGGRVLRERTLAVMRQANEALLEGGESEATLTGFVDAWVKA >SECCE3Rv1G0198030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:825545292:825550112:-1 gene:SECCE3Rv1G0198030 transcript:SECCE3Rv1G0198030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSLHRRGLLVQEQEMGDVLRAHDVAATADDEEEAGVWPGELDEQLIRELLSDDSLLGSMAPPPDGLERHRPCDTGSAPAAAPCSTAAEHEPLPPASASSIALCSSYSGPTIRDIEKALWSRPYTSSQRYGSLYFRRYGAPGTAPESKHTTKVRSCGGGKTPMDGYRWRKYGQKFIKNNPHPRSYYKCTSARCSAKKHVEKSTDDPEMLIVTYEGSHLHGPQTTTFRRLQPPDATADLPGAAIGCGVRPSYGTSSGNDARREGNEPLQGRHEGRRAHGAVQRVAPTDSLASSLPHSAAAVDATVLSSSSLDSPWSLEALLPVERI >SECCE3Rv1G0186600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:687288727:687289971:-1 gene:SECCE3Rv1G0186600 transcript:SECCE3Rv1G0186600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCERNGSESAKKSKTSPAPPVAALGDDLLGEIFPRLPDMASLASAALACKSWGQVACVPAIYRRFQSLRRPPLVGFILTDRSDMPVPHHCPKLCFVPAESRNPDVVSAAVDGDFFFEDLPGIDSDEWRLRGCDGGLLLLSRGRDGADLAVYDPLARTAIFFRPPHAWRYPSHSVRYAISADDADASFRVIGMQGWAGSNTAVFSSGTREWDMIDTSHTNHAVYNFIRCWSDGMPAGRYVYWRSDTKKSHSQYCKDDETILVLDMEAIAWSVIKPPFPPGESYCVADMAEHGGLCIVSSKEQCVQLWVRDSNAEWMLKKEVSLLNEFGYLKKLRLEEWMKRVRILAMKAGYVYMEFWSIRKPHSYLLVLNLNTTKLEFFCNKSTEPHRGPAFPFFMRLPPLPAPDDDKKFQGA >SECCE2Rv1G0085060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:185013959:185015338:-1 gene:SECCE2Rv1G0085060 transcript:SECCE2Rv1G0085060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFTHAAVTAPPLYPNGHHGLGLGLFLDVGAPRARPWPAAGSLFPTLPPSSKISLGNLNSAGCMEQLLVHCANAIEANDATLTQQILWVLNNIAPADGDSNQRLTAAFLCALVARASRTGACKAVTAAVAAAVESAALHVHRFTAVELASFVDLTPWHRFGYMAANHAILEAVEGFPVVHVVDLSTTHCMQIPTLIDMLASRAEGPPILRLTVADVGCSGPPPALDMSYDELGAKLVNFARSRNVTMDFRMVPTSPADAFTSLVDQLRVQQLVSDGTEALVVNCHMLLHTVPDETAGSVSLTQSVSLRTMLLKSIRTLDPTLVVVAEEDADFTAGDVVGRLRAAFNFLWIPYDAVDTFLPKGSEQRRWYEAEVGWKVENVLAQEGVDRVERQEDRARWGQRMRGAGFRPVAFGEEAAGEIRTMLNEHAAGWGMKREDDDLMLTWKGHNVVFASAWAPS >SECCE1Rv1G0008210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:45563731:45565057:-1 gene:SECCE1Rv1G0008210 transcript:SECCE1Rv1G0008210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALAIAAAAAAAGSYYRDWAGLPEEILLMFMRELEIPDLVRSGAVCSSWHPVYLAVRRHRFPLPISRKQLPCLLYACRDSAADGAVVCCPFTGDSVRVPLPQPPLTRHSTVGSAHGWLVTADEASNLHLLNPITGAHAALPPITTLHHVDSCTDAEGRLMYNVFDRGDPEPTPLDAREARDIMYHRVTLSCSPSAGSACIVLLVHMPVGELSYARLGDERWTWISPDDHQVMSISWGFMDSFYNEDEGLFYVLRSHRSVFTLNFNGPSLVVKKIMRRVRKGDDPSSMYILQAPWGDILQIWRWRSYTDSSTPVELPRDLQGHNEDDIDPYIELRTTEIEVYKVDLENQALVKMTSLADHALFLGYNSTMCFATIDFPTLKPNCHNWREIGVWDMENKSLQTFDTDLLTKSWMNWPSPVWITPSLV >SECCE5Rv1G0367210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819828002:819832337:-1 gene:SECCE5Rv1G0367210 transcript:SECCE5Rv1G0367210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLRLAAPLLLLLLVGVFASAARADLVISRADRKVDLTSHIVRVLTSLKVENAGSEPVSKVLLAFPNIQAKNLAAIRAFGTEGKVKGLSSILPIEVVEPSGVPPELTFFSASLHKPLTKGKILHLDVLTVFTHFLQPFPEEITQADSQLVVFQDSSHYLSPYPVKVQTLSIRLPGGRVESYTKYGNTKLVESELKYGPFEDVPPFSYNPIIVHFENNNPFAVAKELIREIEISHWGNVQITEHYNIVHGGARLKGEFSRLDYQSRPYARGVSSFRNLIARLPPRAHSIYYRDEIGNISTSHLWSDSKKTQLEIEPRFPLFGGWQTTFTIGYGLPLQDFVFSSDGKRFLNITFGSPMEEILIEKLIVKVVLPEGSKDIDVSAPFPTNQWQEVKYSHLDIAGRPVLVLEKPDVIPEHNLHFQVYYKFNNISLLIEPMMLITGFFLLFVACIAYMHTDMSISKNSPSYLAKLQWDEVQATVQQIQGIFGQCLAVHDKLEASLHDLSRTGDAKSCKAARKAADAQFKELAKELRPLLLSVQSSPQSYQISPKLEDLVAKEKDMQDKLMARHATVVDSFEKKQRGQDIENRISSQQQKIAALRQEVESLLEYLSEI >SECCE6Rv1G0381620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:34337938:34339386:-1 gene:SECCE6Rv1G0381620 transcript:SECCE6Rv1G0381620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGESDNRGAARAPHVALLSSPGMGHVVPVAELARRLHAEHGFTATVVTYASSDSAAQRAFLASLPPAVGSASLPAVPLDDHVAAGAAIETLLSVEAQRSVPALAALLSGLGKDGRLVAFVADLFGADTLRAAREAGVPAYLFFPSNLLMLSVMLHLPRLDAELDGEFRDQPEHVKLPGCVPVPGADILQPLQDRTSDAYRWMVHHGERYRDADGILVNTFDAIEPNAAAILRQPETGRPPVYPVGPVIRQPDDGDDDATGCIRWLDTQPDKSVLFVSFGSGGALPAAQMGELARGLEFSGQRFLWVVRSPTDSGADPGANYYDGSKSKDYPLNFLPSAFLERTKEVGLVVPSWAPQVRVLGHRATRAMLTHCGWNSVLESVMHGVPMIAWPLYAEQRENAVMLHDETKVALRPKIQGADGMILAEDISKVVNDMMDSEDGDATRMKVTGLQKAARSGLTANGMSHKMLTDVVRKWKERTIA >SECCE6Rv1G0409810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:591941039:591942744:-1 gene:SECCE6Rv1G0409810 transcript:SECCE6Rv1G0409810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (carboxymethyluridine(34)-5-O)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G36310) UniProtKB/Swiss-Prot;Acc:Q94A09] MIQIFSRIATRSPCRVTSASNPSSSKLHRGQFSCCSPAYRGVTTVSTSNPMRPGDGNLEGQDAALVVAEGKDHSCSPGVQSTPDIEKKYVHRVYDAIAPHFSSTRFAKWPKVAGFLNSLKPGSVVLDAGCGNGKYLGFNPECFYIGCDISPPLIEICAGRGHEVFVADAVNLPYRENVADAAISIAVLHHLSTEDRRRKAIQELIRVVKKGGLVLITVWAVEQEDKSLLNKWTPLCDKYNEEWVDPSSPMVRNKSATTLDSIEETDEDTRAVKQTDDQLKNSYDGLEEKTLIMDEHDKTQQEYFVPWHLPFHRAEIGGASAAALQNGLAKKDDKKGTVVYNRYYHIFVEGELQRLVAGMKNAAIADQFYDKSNWCIVLEKL >SECCE6Rv1G0392130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:250615510:250615743:1 gene:SECCE6Rv1G0392130 transcript:SECCE6Rv1G0392130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDVSTHGRLRDDLVEHHWQLDGQRIGP >SECCE3Rv1G0168760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:225879714:225880013:-1 gene:SECCE3Rv1G0168760 transcript:SECCE3Rv1G0168760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILARVLFFFSRSYLGLCLTGMVSELLLVSKEDRDPLWKSRKVIRYRRLTLHALQPLFLLLLCNYERNFLASNATYPFGVDVTNYASLPMKPTEILSEY >SECCE7Rv1G0492030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:542735273:542738800:-1 gene:SECCE7Rv1G0492030 transcript:SECCE7Rv1G0492030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAIRNPSPAAAVAAPLPSRAVLRMVTPSARRSASVVASASMRPAKAVAAEAPSPVAERLNGAEVAGAGIARPDALGRFGKFGGKYVPETLMHALTELEAAFHALADDEDFQEELDGILKDYVGRESPLYFAERLTEHYKRADGTGPLIYLKREDLNHTGAHKINNAVAQALLAKKLGKQRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMKLLGAEVRPVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHKVIGKETRRQAMDKWGGKPDVLVACVGGGSNAMGLFHEFVDDQDVRLIGVEAAGHGVDTDKHAATLTKGEVGVLHGSLSYVLQDADGQVIEPHSISAGLDYPGVGPEHSFLRDIGRAEYDSVTDQEALDAFKRTSRLEGIIPALETSHALAYLEKLCPTLPDGVRVVLNCSGRGDKDVHTASKYLEV >SECCE7Rv1G0470430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:120282813:120283951:1 gene:SECCE7Rv1G0470430 transcript:SECCE7Rv1G0470430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEFFRVDKFHQELRAKRMEMQPELSLGPTRPALGFAPASEKNTKQSSSSSESDGSSRKKRKHYNTWEEPVSHPHLELHLSDPLPLDWEQCLDLQSGKMYYLNRKTLKRSWNRPKEQGVNLELNMSTTPTRQVVVADDGNTAPTNLSQAAATKRGSTAGGNMIAVPCTNCHLLVMLCKSYPTCPNCKFVQSLAPAPATPQAAAHRMLDAAVKPLRTLSLLH >SECCE2Rv1G0134150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900493308:900497163:1 gene:SECCE2Rv1G0134150 transcript:SECCE2Rv1G0134150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) UniProtKB/Swiss-Prot;Acc:Q9FKT0] MASMLLRKVWGSVLARAGPRDPDASSSSSSPRRRGAPAAAAEYGSLGALDAVPIDVLAQILRLLGPADAARSVAVCRTWRLLASDNALWAFFLSLGPDPWDLVVFAETHLAAGPAEPRSVYFGTVRVPRQLSFKHIYGQRALVPGSIIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFFSTIYNRMHVKPSARPIVVALPLCHSDDTEAARASRKQYKETLYSVLFDMNVPAVCAVDQALLALYAARRTSGIVVNIGFNVTSIVPVFQGRVMREIGVETVGQGALKLTGFLKELLQRRNISFESLYTVRTIKEKICYVAADYEAELCKNTQASCEVDGEGWFTLSEERFKMAEILFQPQMGGVRAMALHKAVSLCMDHCYDAEVLRDHSWFKTVVLAGGSSCLPGLPERLEKELHKLLPPYISEGIRVLPPPFGTDTAWFGAKMIGTVSTFSDTWCINKKQFRQKSRHSGSSLANAWR >SECCE7Rv1G0516180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844062561:844064647:1 gene:SECCE7Rv1G0516180 transcript:SECCE7Rv1G0516180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSFLTRSAAAPPLDDDDLLSEILLRLPPQPSSLPRASLVCRRWRSLLSDPAFRRRFRIHHRRSPPLLGFIDANQGITFQPALDAPDRLPRGHFSLNLDDRYMTLGWRHGLALFFLPISLQVVVWDPVAGVQHRLAVPPGFGFHPLENPINGTVLRAAGEIDHFQVVLVSSDGKQPRRALARVYSSETGVWGDSISCPVPLVSMVHIGEPAVLWADCIYWLVSASNILEFDLARQTLAVTLLPAQLLTSSNRHVGVMRAEGGGMGLVVVSGFIAQLWKRETDWHGDVSWSTGRTIELDKLLPPNSEKEPPSMIGYAEENNVAFFWTVVGVFMVHLKSLQLKKLSVTGIAYRYYPFEIVYTPGIGGGHEGAKVVRHLWWTRWRQYIRQLFS >SECCE5Rv1G0332060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:544311198:544314644:1 gene:SECCE5Rv1G0332060 transcript:SECCE5Rv1G0332060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylaminoimidazole-succinocarboxamide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21110) UniProtKB/Swiss-Prot;Acc:P38025] MSPSAPPATLRLASPPKALLPALSPSPTRSPRLSMSTPSRPRATPLAAAGGGGAAPSLLTADPGHRDSVILAARGAMTNCLGETHLDLLVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNRTSHITPNAVVSCPDKNVTIAKKCTVFPVEFVVRGFVTGSTDTSLWTVYNKGVRNYCGNVIPDGMVKNQKLPANILTPTTKAADHDVPITPDEIVKSGLMSKDDFDEARSKALGLFEYGQQVALKNGVILVDTKYEFGKTADGAIVLIDEVHTPDSSRYWIANSYEERFKSGIEPENVDKEFLRLWFKNNCNPYEDKVLPEAPEELVSELAWRYIFLFETITNTKFEIPETQEPIHERISRNVAQALRNL >SECCE4Rv1G0242600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:484427405:484429108:1 gene:SECCE4Rv1G0242600 transcript:SECCE4Rv1G0242600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRCWSCGEGSVSPDPGSGALVCTSCGLQHDAGASEFYNPTAFTEDGQLDFRAASLVRHLSQSPYRELKLAAASNVITSLAVQLGLSPTRAEEILTMAKSATAGNLATPGTTFLPALAAACSFLVARSHRLPLSLAEAAEAASCTTVALGDLVSRIAFHLSLPPLPSFDYQAALERAVLGSYKLTTAAGDEKTRAILSQARFLLRCSSKWSLTTGRHPLPLLAGLIAFAAELNGVAEVSVEDIAQEMSAVVHTSRIRYKELVKALVHVAQKLLPWGADVNAKNLLLNAPMLLRLMEMRSQSDPSELFLESFAPDIAGIVQVYSSVDEDESKYLQIVPLDVDDLDFENSGKEGKESGDLIISEDCLSDAYQNVLKRLSKLKELEKVGKVASRRKQRRIGLELEPWMDSLDDDRTKRMPLEEVADIDIGYDAPPPSFTAGLEHQKRRRARIEAAKCRIDAIRKAPAARTANAIVSPVVHGNEDACPPQKNRRKKLGRKRRDGEHPAEMLDAPDSGKKKRKTDPCDGIDWEDCVIELLLLHGANEAEIEQGQYRRLLELHVFSAISGDR >SECCE4Rv1G0244200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:512446087:512447457:-1 gene:SECCE4Rv1G0244200 transcript:SECCE4Rv1G0244200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTTASLCPGLGKPRRGHAKPPRTTVCHCLPARRTEEGVNRRDALLGVLLSATAASSAPLLVPAEAFAETAEAQAGFTAYEDEANKFTLVIPQGWQVGAGERSGFKNVTAFFPEQNPNSSVSVVITGIGPDFTSLKSFGNVDEFAENLVTGLDRSWQRPAGLAAKLIDSKASNGLYYIEYTLQNPGEKRRHIVSAIGMAFNGWYNRLYTVTGQYIDDDEESAIYKPEIEKSVKSFKFT >SECCE4Rv1G0228140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:131762612:131763190:-1 gene:SECCE4Rv1G0228140 transcript:SECCE4Rv1G0228140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSTDMKDRHMLFAAIPSICASSPKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNEVIPEESNEQQRLLRISLRICSTVVESLPTARCAPKCEKTAQALLCRNLNVKSATLLNATSSRRIRLQDDIVTGFHFSVSERFVSGSTFKASTIDLIREGLIVLRKVRVGGSI >SECCE7Rv1G0508300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:770989458:770994329:-1 gene:SECCE7Rv1G0508300 transcript:SECCE7Rv1G0508300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNRDDMLSNLPDDILVNILDRLDVTYTVRTSILSRRWSQLSTKLSRLTISVLDFLPHNGPIFEIDEVVRSNAAVVEATTSILAHRDSARKTIHLLSMTFFLKDSDHISIGHAVAKTMATHKVEMAEFNIMTERDAIQCDDDNLASYGKKFILFFDAFPDAFGGLTRLHLENLRFGESDITNVLIVCNRLKDLRLMNCDSVETTTLQIDHSQLSDLAIGDCPFAEVLLNSVPKLTRLAFEGWISFHYPLTVGDAPLLEAVKLNNVALSWHKMVELSKFLCGTSVCDLKLGFKCEKIWVQPECLTKSLASVFRQLRFVNLDEIPEGYDLTWTLFILKAAPLLKELYITVWDHLCKMVTDEEKRKERLFSEEKGVEWESSASYFNHENLVTLVLFGFQSEEYMVSYIRRVMEAAVNLEEVFLYHRLACRKCLDNSRKQPFKFPWTKRQRLSVKKRITDGIDSFAIIHFPTTAGIRSDHLAKKKYP >SECCE5Rv1G0327000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:488590467:488590946:-1 gene:SECCE5Rv1G0327000 transcript:SECCE5Rv1G0327000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVSQCATGGAERAGPLVVLPDGSQFRLEEHAGVAELMIEAPGHVVVIARDAAKEQRLRALAADEFLRAGEVYLLVPAGRAGARLGGQEVDAIRQLVSGKKGGKGKGSRSGRRIFPEGEAASTEGAEESAGCAGKQALVCRIRPRQWRPALDTIFEA >SECCE2Rv1G0114630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:737188151:737188462:1 gene:SECCE2Rv1G0114630 transcript:SECCE2Rv1G0114630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE7Rv1G0497030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:620022674:620044049:-1 gene:SECCE7Rv1G0497030 transcript:SECCE7Rv1G0497030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVKIVEFDSVAPPPEDLLLRLSALEAPWIVLPVIQRVFLFDDAGGQHLPFASLVGSLRASLAATLARLPPLAGRIVFLPSTGDAAIDCSASEGSGVRFIVAESDDADAGRLAGDADHDVDAFKAFVPKLKVDALPAEVLAVQVTRLKGGVAVGVAMHHAVVDGRSVWRFFQAWAAACRGDDAAAEAVVAGLTFDRAVIALPGGEELTRSTLRKYAPNLPLDIKLLPSAPIDLPRRTFTVTAEHIHRLKQRISGHTAPAQAGSAPAPSSFVAVVALAWVSFVRAKHPAVISPDHDVYVFFFIDCRGRRGIDPPVSENYFGTCITGCLAKATARDLLAAEGFEAATAAVQLEVRRAAEDPLALWDWMDLLSWMPMDRLVNISGSPRYPAYEVADFGWGAPSRTELITMNNCGQVVLLAAKAGGGGVQASVCMHPDHMDAFESHFLNSLSRSLPYQVDSCVCRLL >SECCE3Rv1G0195320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:795972583:795973656:1 gene:SECCE3Rv1G0195320 transcript:SECCE3Rv1G0195320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFVNLLVSKSGDCRPAFNLHRINPASLFCPTRSLKPTDPAATKKNTLSRLPPAAISFELPCPWKKFGWMDFMARKNDIIAVDHEGHTLLYNGTIGALCAMNRMVEPCHSSISVVVGDDLYAMAINHGLLPKVDYFKALTYGRSFGHCQPEDWHWRPLQPPPLEYDHEKDQRYNPSAALVTHPCAIGAYTVVRDSQIWISTTGAGTYSFDTTSGVWRKIGEWALPFKGHAKYVPEHGLWFGFSDSDGQLCAADLEQMPPVQQKACEDLDLLVLEGWNPTGSHLLPLGSGKLCVVSFFQRIKRVRPRPSTCYRSFKIENTAVLAGVEVVAAGPEILHMVKHKSKCYRFGDDNVKLL >SECCE3Rv1G0184980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:669539150:669539446:1 gene:SECCE3Rv1G0184980 transcript:SECCE3Rv1G0184980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGMVWEDKQQLSASGKETAAPARMQRSGSSGGHGGYRAGHVQPALDPPSPRVAACGFCSLFGKQAPQTQHRAGGGSAKGKRR >SECCE5Rv1G0369670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:837198713:837200356:-1 gene:SECCE5Rv1G0369670 transcript:SECCE5Rv1G0369670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRRIYLGVLLLLAVAASTTSGAAAFGLPRGLRPGHCASVFRTYDTVSPFMTGNTTAIHLGNTKSCVAGYGGRLDPHTAYQFCIPSWVAFTDNGTLVGEAAMNHAAVRPGTAISGFKRLLGIRVYNEMVKREAELVPYHFTEQLGRCGIEMEIEEGNVKRFLPEDVASILVAELKRMAEAHLGREIRYAVVTVPGHFNGGQRSSLVMEAAQWRGGFRTAKLVDEQVAAAAAYRLHEKRGDRKVILVFHLGGRTCHAAKFRFKDGSGHLLDEHHDPYLGGDDFTGRVVDYFVELIQEKHHRDIRGDEAALRKLRAECERAKKLLSDRQGVLVNIGSVLGESADIYEELTRAKFEELNRGLMDRAMGIVETVVMGGAPSSQTQSRKDAINEVILVGGSVRIPMVGQLLEDYFNDRGLIRDEDAVIRGAALRARPESARYVEECYNGGVSGPLWLAK >SECCE4Rv1G0243860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:507255100:507255852:-1 gene:SECCE4Rv1G0243860 transcript:SECCE4Rv1G0243860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRESYLDLVLIPVGLLFPIVYHMWLWRAVRRCPLRSTIGVNAAARRLWVLSMMKDNEKKAVLVVQSLRNVIMGSTLVATTSVLFCTGVAAVLSSTYAVKKPISDAVFGAHGEYMMALKYIALLTTFLLSFLCHTLAICTFNQATFLVNALSHLFALPDGGRHLPVNKEYVLEVLERGFLLNFVGNRLFFGGVPLVLWIFGPVLACLCSMVMIPVLYNIDMVYIQRGKGGEVSDKVEMTDADSDDGMQV >SECCE2Rv1G0104110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:601035044:601041716:-1 gene:SECCE2Rv1G0104110 transcript:SECCE2Rv1G0104110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAAAAVAAQPQGQAPAGRQGGGLGQSLAGIVRMAVFWYFASKFFGPKRAPTEPGLLMSNLFQKGEPMDMWMYLSENDKFSDFGNEDALIWHETNIPYAVWAPTSTRTHTLTYYPTEALKHNGSLYAHVYFSRSGYPVDPTDPEYEEKSAFGRTHPIVAYLRKSKAGHKKSLLGDSDESEEKLPPKENKESEDKEEGPVEYISYWKPNVTINLVDDFTRYPQNNIPPNVAPYLNVDPTTNSYYPTVFFNEFWLLRDKLTALNETVKELTLNLEVSPISMTKWQLFLQIEQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLGLTMIVSLFHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFICQLIIFLYLLDNDTSWMILASSGVGVCIEFWKIGKAMHVEIDRSGKIPMLRFRDRESYAQNKTKEYDALAMKYLTYVLLVLMAGFAMYSLKYDKHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPWLHRLSVFRDDVIFLIYLYQRWVYPVDKKRVNEFGFGGEDEPAAPQTLEGATAAQQIEAEAETSTEDKKTK >SECCE2Rv1G0097380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:458245424:458246859:1 gene:SECCE2Rv1G0097380 transcript:SECCE2Rv1G0097380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNAQKSKMARERNLEKLKGGKGSQLEANKKAMNIQCKICMQTFICTTSEAKCKEHAEAKHPKSDLVQCFPHLKQ >SECCE7Rv1G0513940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:827654416:827658270:-1 gene:SECCE7Rv1G0513940 transcript:SECCE7Rv1G0513940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRADGPPPRSGDRAAQDQDDTAQSAQGQNSRRPNLSLQIPTRTLDNHMPTSTRINISASPSSTRAGLPPRPNSARPKSSIKQQSFRLRSSAQEGDRTILLLPGTASEGSQDNPTQPGSFSFRKVINSLSAKRTYSLPVTPVGTSDKASPPGIQIVDHPTTSKQEVQAQIRRSLSVPGNRKNRSLRRADSLGVIRVIPATPRPVPADTVAPTDVIEETVDGPEDGGEDIPEEEAVCRICFIELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCRQEVKNLPVTLLRIPTQTVTRRLANGAQQRAAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLQSRALAISLPFSCVLGLLSSMIASTMVTKSYLWAYASFQFAIVILFAHIFYNVLRVNAVLAVLLSSFTGFGIAISANSLLVECMRWRSRRRSQRLAQTQPQPANEGQHPGSGNDAMSANSGDRQQQGLPPQSGVHIV >SECCE5Rv1G0374890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:865183478:865185259:-1 gene:SECCE5Rv1G0374890 transcript:SECCE5Rv1G0374890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGRGPAPATMVPCRQCSASIAAPLGARAVQCAQCCCVTRVGGAGRQLSVMRPIPNFGGGRGKKRAVLVGIKYTNTRACELRGPINDVKCMRYILTERFGFANDCVLILTDEERDPCRQPTKANIRMAMHWLVQGCSSGDSLVFQFSGAGAQVPDCDGDERDGMDEAICPVDSFQQGPILDDEINQAIVRPLVHGVKLHAIVDACHEISPTSAPSPSSQYGCLRWMDERPLNGACKGTSGGQAVLISGSSNGKTQMSVLPEPNSTIGALTHSFIKAVECEPRTTYGRLLTSMRTTMLAGAGNCSLQGPVGCSIRKVANFSGVEEPQMSSACKFDINREPFCM >SECCE1Rv1G0054350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:674624926:674625569:-1 gene:SECCE1Rv1G0054350 transcript:SECCE1Rv1G0054350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSKLPHLKNLQISLLKPCLSLNCDVFSLVSFLDASPALESFILRVQENAMVHDPVVGDDTEYRRKNLDFRNDSLRKVMITGFCSATSLVELTIHILETTHSLERFTLDTTDGYDRMTCNIGKFPTARMIGQCRSLNKRALEEAQRAVETADRYIKGRVPSSVQFEVLGPCPRCHIGSR >SECCE6Rv1G0428060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:723768139:723770052:-1 gene:SECCE6Rv1G0428060 transcript:SECCE6Rv1G0428060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAELLLADLGIEEDEPILEDYDDDDEDDTEDEDEKDDDDLQGGYAGGRSRQSRSEKKSRKAMEKLGMKVITGVSRVTIKKSKTVMYVLSKPDVFKSLQSDTYVMFGELKVEDPSTQLQTQAAEQFKVPGPSSVISKDEPSVATAQDDEEVDDTDVDQKDVELVMMQTSVSRSRAVKVLKAVDGDIVSAIMELTN >SECCE1Rv1G0014180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:110916575:110917079:-1 gene:SECCE1Rv1G0014180 transcript:SECCE1Rv1G0014180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYTDCPRTAPLKRLTTKEEKNGNFGREFVKCESKPEGHIVKKCYHFEWMDDYIQRLQGLGLLDSRGNAIGEFNLPHDSAAPAAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE5Rv1G0364450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:801475782:801476969:-1 gene:SECCE5Rv1G0364450 transcript:SECCE5Rv1G0364450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLTELPGGLLTEILIRLPAPEDLARASAACPAFRRVATDSAFLRRFRRLHAPRLLAFIDLDGFQPALPPHPSAPAARALARAADFSFSFLPSHCRWIPMDVRDGRVLLGRDHGQDARPPICRELAVCDPLHRRYVLLPPVPDSLVASAERPPPVRRRPFDEPLLLPPGENDEGAAFTVISMVHCETKLIPFVFSSTTGQWRAAAPLLWSAMPVPPMDHTYLRRHHAYGCFYWESTLIKRRELLVLNIQRMEFSIAELPSSGWGTLGVAIVEAGEGRLGLFGIRDGMAGAKPDLCYTVRRNKGKKSGQWQMAKTFSLGSDGLSYIKAATERYVLLICSEAPRFVGLEMPDLEYISVDVKKLQLERVCLKPFGNSLSRTRIYAHFPPSLSSPTI >SECCE4Rv1G0257750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:672694408:672696641:-1 gene:SECCE4Rv1G0257750 transcript:SECCE4Rv1G0257750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSHSTHPSSPLPPRPRLLQSLGSCSRRAVASATSSPSPSPSPSPPGWPRPLRYAVLGAGFAGLSVAWHLLKQSPKDSRVSVDVYDENGVGGGASGVSGGLLHPYSPKAKLLWRGGEFWKESMGLLGSAEKADETARPDGAGRDDEALIWRRGILRPPTTEKAADILLENAQSCLQSCSLQVLDSDAAQRLVPGLRVPLNLAVYMPLALNINPKKYLQALFSACQNVAKEASTSSSEQKEFNLYNEHIDNLHQFSGDYDAVIICLGGKASSLPELTNKLPLRTCRGVIAEFQLPSDTVEKYGSQSPSILSDAWLAFQGPCTVSVGSTWQWKSDNHDPSASDEEARTAMEELLPKASAVYPGIRKWDYVRARAGIRAMPPLTANGSLPLLGCLNDVIGERSNCAFWLVGGLGARGLLYHGLAGKLTANAVISSDENMIPSEFTCWKAIKASR >SECCE2Rv1G0118670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:784916727:784919100:-1 gene:SECCE2Rv1G0118670 transcript:SECCE2Rv1G0118670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALHGHGKRSRLAAALWLVVVATASVASARFVVEKNSIKVLSPHSLRGRHEAAIANYGVPDYGGTLTGVVLYPADATQATGCKPFGATAFKSRSGRPVVLLVDRGGCYFALKTWHAQQAGAAAVLVADSVDEPLLTMDTPEEETPDMAFLANITAPSALVSKPFGDALRAAASNSGDSSAEVVVRLDWRESMPNPDARVEYEFWTNSNDECGPRCDEQAAFVAAFRGHAQLLEKAGDALFTPHYITWFCPDEYRGTRQCASQCINRGRYCAPDPEGDLRAGYQGRDVVLENLRQLCVHRVANARNASWAWWDFVADYRVRCSMREKKYSRACAEEVVASLGLPAEMIEKCMGDPEADADNDVLRTEQIVQVGQGNRGDVTILPTLVINNVQYRGKLESTAVLKAICAGFKETTEPRVCMTQDMETDECLHNNGGCWRDDKTNITACKDTYRGRVCECPAVDGVQYEGDGYKECKPVGPGRCAANNGGCWRETRHGKTFSACKGSGSLTGCECPPGFRGDGLTCEDVDECSEKAACTCPGCSCRNTWGGYHCRCGGGGNQVYIQAEDTCVGKSGAAMGWLVTALVLSCLAGAGLAGFAFYKYRLRRYMDSEVAAIMSQYMPLEGQSSSGENRPLREEAVEAA >SECCE3Rv1G0194050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:776816882:776818647:-1 gene:SECCE3Rv1G0194050 transcript:SECCE3Rv1G0194050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYGDLFEKAKPYIAMICLQFGYAGMNVITKVSLNGGMSHYVLVVYRHAFATVSIAPFALILERKVRPKMTSSSFLQIFVLALLGPVIDQNFYYVGLKYTGPTFACAMSNILPAMTFVMAFIFRMEKVDLRKVRCQAKVAGTVVTVAGAMLMTLYKGPLMRMAWTSHGQPHGGEAPAVDPTGREWFLGSLFIIIATLAWASLFILQAHTLKQYSAPLSLTTLICFVGTLQAIVVTLAMEHRPSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIVVAVMGSFILSEKIYLGGVLGSVLIVIGLYSVLWGKHKETQAESAALREALPMAMASSSSKVTGDAASAEHDPECEKANGAAKPSSNGHGASAV >SECCE3Rv1G0166900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:194529595:194530378:-1 gene:SECCE3Rv1G0166900 transcript:SECCE3Rv1G0166900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPILCTLFVVVTAVVIGTAHGGGSGAGDPLTAGFTPVRLKESQFVVQRPYDVPLEARYEFAGGVRRMWVFSTDKPISATHPGGPRTETKIDEVYRSGVWQFEGEVYVPAGTSGACIMQIFGAAPERQATTLMLHVYDGRLTFYHDLQRVLADGVYDRWLRLNVVHDVGARKVTVFVDGAGMLRSRSHGAPGAAHYFKFGVYKQSHHHPSDRMESRWRNVRVFTKP >SECCEUnv1G0545850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:147272949:147277692:-1 gene:SECCEUnv1G0545850 transcript:SECCEUnv1G0545850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAGGAVRSLLGVIRDEAKLLGGVGGDVQFIKEEMESMNSFLMHLARKTPRNGEHDEQVRTWMKQVRDLAHDCSNCIDVYLRRGDPAVYRARGILLAYVWWVPWFVKKTVAQHLAATQLSDLKARARDVPAKVDSNEEMKARSSSSPSFQVDASGVVADGGHDMDEDYYWATSDDPRREQAFSEPRIHFLQNGPFQAIAVAAPDEEDGMEIIHEALSHDSVKEKFDHIFHVCKDMFIFEASTPTAGDNMSSSCLKEPWDFVGNILEQLELEGESDESVEEFGEEEINEKIGKIEEKIEGYMEKAGNIGYRVEPLGVICGILRVLLQDTTPGEDPNQTQGNILDKTAQNMKKYLESAAEMGSCRIGVEHTQYVTILRELLPKANTTTKADTSKLAGEDHIVKKIKDITLKIQVHITPELLPVSVHQQAKSVEESGQDQLSPRQGEEDCKEKIEQVLESIKEHMLIQEIVGSVRKHLQGTRTLVVFQNASGYKWDKTAEALRDFGCSSMAVVVTTKYMQGANEFCYGTEPIVYSSIEYYRETALQLTNRRVNDDEKYSAEIFHEILEKCRVDEFCIKMFIHALFANPMRSREELEKLSNNLEFGGSVETNGSRMIKFSYNDLPREYKTCLLYLAIFSKDEKINRTRLIGRWVAEGLITRQDWPSSVSQAEHCLEVLADLWLVCPCDVGAAGKVKSITLHPLVYNFITKMARKEHILDTRLSRHLARHFSVLSNVRLRPSDSILNFLKQPSRASSQLNLVKVLDLEGCVSLSDNQRWLKNVCTTLILLKYLSLRNTDVTQLPKEINMLQQLEVLDIRHTCINASAIKQLMLLKLKRLLAGHTGTGDGGGDACILSTVQMPRKVKKMIDLEVLSHVHASKHHATELREIGQLWQLRVLGVVIYDWKPQLENLLQGISDLNECLRSLSIEIRPPLAIQAATPPDVHATDAITSHCKNTPKLLESLSISGVTVYGRLLPLFFATGCPKLAKVTLHNTSLDQDDMESLANLPNLRGLRLRHVNLHTEDKLIIQTNGFQNLKYLVVEGGGITNIDFEPGEAPKLEKIVWLIYGVESLLGINNLPKLKEMVFNHGVRLPDQVKETIEAHQNFIDNNGICNGNSRSPSCCLEMLAR >SECCE7Rv1G0478250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:235244616:235246190:-1 gene:SECCE7Rv1G0478250 transcript:SECCE7Rv1G0478250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNCSAPPSLLLPFSDDYVKCESSSSAPGSASFDGIRLAADYGHLCHHMSSLQSLQAPAVFAARSSESYFGMGDGSAYGGDGRPAAFMHFGYTQTQPPTAATHPVRWTAAGGETVVCDGSSFSGSKRRKTTTTTMAQGPHSRLQGSSAKPRNTTAAAKAPWKRSQKLGDKITALQQLVSPYGKTDTASVLHEAAACIRNLHAQIQILAAPYPGESSSPPSWSRDAGEEPATGLRRRGLCVAPLSPAVVSLVSGAARERDQGRAHADVGGAWFAAL >SECCE2Rv1G0130130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:873771534:873773139:-1 gene:SECCE2Rv1G0130130 transcript:SECCE2Rv1G0130130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPAISVVCILLFFHAAAASVESSQPFWFNMFVFGDSFADVGNVPENLGPLSRVWRYPYGLSFAEYNGRTHSYSTGRFSNYMVQPDFIAKILGIHEAPHAYNRSFLQLPRYGMTFATGGAGVLAAPKEVATLRKQVANFEKLLRRKLISPIRLLDSVFLIAISGNDYMPTVSLLDNSDGKVTPSLSKIAAKVTDGIVANVKRLRKLGARKILVNNMHPLGCTPRLARSSNYTSCDDHGNMIASIHNSNLEQKLTDSDTVRILDLNTAFTNIINGTSESSPLSEQFKNKLKPCCEANDPKGFCGQIGAPSELLYKLDGHNVKGYFYWDDMHPTHAGWKAVMAQLEGTIKQFLVMD >SECCE5Rv1G0303690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:50219336:50221465:1 gene:SECCE5Rv1G0303690 transcript:SECCE5Rv1G0303690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSVRLLMLLLLLAAVAALCHARPLFDGQTVGSEMTQALQPSVISCSTAGNYSDGSQYHVSLDRLLSSIPMAAANAGGFFNGMLGAAGDEVFGLFMCYAGDTDEECQDCLTRAPKGIMKLCPNSRTVHAVYKACTLRYSSESFFSVADLSVADLSVAPYVADRTWYDPLIPLEGYVVDTAGMSRMRFELIHRLTAKAGQADERIAEGTQQFTDTQWVRAVVQCTRDLLASECTRCLSYYTDQLPRLFPNNSGGAIKGYSCYLGYAILADRPSTVRLDRYRFSESYEKEMKAQELERRRKRRKVAIIACLIIGAVVLVLFMIGLLVRFLFNWWRRRMAAAGVAVTSEPLKEYFRGKSIYQGDLDEGTGPRRFTYDELVAATDGFSGRNKLGEGGFGAVYRGFLHDTNLPIAVKKVSKTSRQGWKEFVSEVKIISRLRHRNLVPLVGWFYGGDDDDLLLVYELMPNGSLDAHLYKPDNLLPWAVRYGVALGLGSGLLYLHEDMEQRVVHRDVKPSNIMLDASFNAKLGDFGLARFICDGRGSFTTGAAGTLGYMDPKCVFVGTASVESDVYSFGVVLLEMACGRSPAVVRDDDAGAVVHLLQWVWESYGRGAILEAADARLNGKFDEKEMERVMVVGLWCGHPDPGLRPSIRQAVNVLRLEAPLPSLPAKMPVATYMMRPEADESSGSSVVSGSVNSGMTHSTRGKVE >SECCE2Rv1G0126050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844461869:844464198:1 gene:SECCE2Rv1G0126050 transcript:SECCE2Rv1G0126050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVGRKTACVTGGSGYIASALIKVLLEKGYAVKTTVRDPDDMEKNSHLKDMQTLGPLEIIHAQLDEEGSFDNAVSGCDYVFLVAAPTNLGSADPERDLIEAGIQGTLNALRSCVRAGTVKRVILTSSDAAVSRRPLHGGGHVLDEGSWSDVEYLRSNKPPTWGYAVSKVLLEKAANEFAEENGISLVTMLPVYTLGAAPDSKARTSVPITLSLLSGDKTQLDILLGLQAVTDDSMAICHVEDLCRAKLFVAENESSAGRYICCSNNTTILQLARLLAEKYPQYSVKPERFDGYPEEPRVCLSSEKLIGEGFAFKYNDLSEIFDDLVEYGRTTGILPY >SECCE3Rv1G0197200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:816808213:816809923:1 gene:SECCE3Rv1G0197200 transcript:SECCE3Rv1G0197200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQCSDDVKYEEVGPYCCSTPKSKHGFDFHHRCSRLLTLKSELNLYEFIVNSRDTKLFTCAWMPRNSEPKALIFICHGISAECSVSMRDTASRLVRAGYAVYGIDHEGHGRSSGRRCYVPNFSDVVADCSSHFMSVCDKPENRGKKRFLYAISMGGSIALLLHRKEPAYWDGAVLLAPMCKISDDMRPHAVVVSALKMVCAVAPGWRIIPIPDIIDKVCKDPEMRKQVRSNPYIYRGKLPLKTCHELLMVSQDIEKNLHEVSLPFLVLHGGGDVVTDPSASKLLFEEASSVDKALKLYPGMWHALMAEFPQDAERVYSDIISWLDQRANSAANVSVDAGTQSA >SECCE4Rv1G0241750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:460685897:460688586:1 gene:SECCE4Rv1G0241750 transcript:SECCE4Rv1G0241750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKRTKRTAPKSDDVYLKLLVKLYRFLVRRTKSQLNAVILKRLFMSKTIRPPLSLCRLVKFMDSKDNQIAVVVGTITDDKRVYEVPAIKVAALRFTETARARIVNAGGECLTFDQLALRSPLGQNTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >SECCE5Rv1G0362640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:787462669:787463125:1 gene:SECCE5Rv1G0362640 transcript:SECCE5Rv1G0362640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTIVPTLLVLLLAICCATTIVHGKEWTVGDNKGWSFGVSGWESGKRIQSGDVLVFKYNPSMHNVVQVGEADYHSCRVSGPSRTYRSGNDHIQLARGGKAFFLCSLPGHCQQGMKIAVTA >SECCE7Rv1G0467000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:82974629:82977834:1 gene:SECCE7Rv1G0467000 transcript:SECCE7Rv1G0467000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVVSRSPPSHRRRRSPSPRYGSRRRSPSPRYGSRRIRRDRSRSPYSSRRKSRSPSPRWDRSQSPIPRRRKSPPSPWRHRRRRSRSSSSSLVNNSSPSHGSEQNSLIEKQRKEEEKKRRQKEAELKLLEEELARRVEEAVRKNVEERLNSEEVKDEIKHRVEEGIKKLFDEVDAQLQKEKAAALHEARQKVEQERREREELDRMIEENRRKVEESQRREAQEQHQKEMERYLELERIQKQREEALRRKKIEEEEERAKQMRY >SECCE3Rv1G0206070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:904743406:904746437:-1 gene:SECCE3Rv1G0206070 transcript:SECCE3Rv1G0206070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFWGVEVKPGQTVYCDPDEGRAVHMSQVALGETEKGSVDVLVSAKIGDQRVVIGTLSAENHPQISCDLIFEKKFELSHSSKTASVFACGYKVTIPDCESDSSDSDSSEFDSSEDELETVNVGNLARPPTTKDDKKVADNDFSSSDSDDYSNDDGYNSLDSDSEEDATNSEEMDTDTSSEEEEKDIPEPEDGKKAVAETASETSNDTSSKEGSSDEEDKNTPKPEDGNKAVAETSLETPASDDTSNKEDTSDEEDKNTPEPEVGKKRAAETELKTPASDKKAKIETPSGQDTDDKKTVHVATPHPAKQADKAPGKSKQNRKSNYVGGAHACKSCSRTFGSVSALQSHEKAKHA >SECCE7Rv1G0517730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856053913:856055314:-1 gene:SECCE7Rv1G0517730 transcript:SECCE7Rv1G0517730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAVSKCPPVIVRPSMEPALAKTTGTQVVQLSSWDRSYVGFQVAALLIFDGPVHQLVEAIRKGLSRALVHYHTVAGRLAVADHDDDDSVLHVACTGEGVPLVAASAGCALLLDRLAVAYPGTEGCRRTDPLLLMQVTEFACGGFTVGVTWNHTLADGDGMAQFLQAVGELARAEADDVLVPSVAPARDGRAVSLPLLSPPVVAAKQWLILDRGGMGLLINRIKSEYKAAHAGDGGYCTTFEAAVAVLWRCRTRAIISDDPDYDMSTPATLAFFVNVRKHVGVAAGYYGNCTVAQLAFATTGEVAGAGDDSMNGVVDLIKRAKDGVPELLRNMGGGGGAVPEMAREEMAVAFGYNALMVTSWRNIGFDRADFGGGPPARVVGRWQQSTVPGCMAFLSCRATAGDGERLLTQCVREDHAAALLTEMEQLAHAHAPSYASALSN >SECCE6Rv1G0406340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:543241437:543244087:1 gene:SECCE6Rv1G0406340 transcript:SECCE6Rv1G0406340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSSPYPLPPPPTCSSRLPQLRCFVGLRWSPPRVQVRRQLDAVAGTGSGTRGCGARFRAPASSASQPCYSSIVIRNDNSQNADFPRNYSKREKKPFPIPVLELRRRARQRMKEAEGKPKGPPPPPKNGMLVRRLIPEAYRVYNARILLINNLKKLMKVVPVKGCKYCSEIHVGSVGHPFRTCRGMSSDKRKGEHDWGNTFVEAVFLPVEAYHLEDRLGPRIPHDQRFEVPRIPALVELCIQAGLDLPEYPTKRRRKPIIKIGRKEFVDANEDDLPDPEPDKFKEPILEEIPDDEVIPPSSPEETAALAEETLQVWETLRNGALRLMKRYSVRVCGYCPEVHIGSSGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHMPESGELQKELKSFYGQAPAVVEMCIQGGAEVPEKYKATMRLDIGIPSSLKEAEMVV >SECCE7Rv1G0506970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:757182032:757182400:1 gene:SECCE7Rv1G0506970 transcript:SECCE7Rv1G0506970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMGYFRAPRIGGRRKEKKSKAGAAPQAEEAGLREALLEPAGGVLPKGYFAVYVGEEARRFVVPTGYLREPAFRDLMERAADEFGFAQADGLRVPCAEEDFEELLRRLHRKNGSGSGKGKN >SECCE4Rv1G0244760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:520270953:520279784:-1 gene:SECCE4Rv1G0244760 transcript:SECCE4Rv1G0244760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQELARYVESFVRQAAALPGAAAAGISPDAVVRQLQAQLGVDLAPRAPLIRDILIALLSPAPAPRKDPFAPASSPHTQPHFSTTTTASASAPAGVPHFFSQQPTPQQLQSYYAASQQYQHHHQQQQQQQQQRASPPASSPYDAPGSFRYAQPGEAQLQRLFQLQQYQQQQQHHQQQQQMVAAANAATAGASPRGPVAESPRGAASARTKKESASTGVKRRGGPGGLNKICGVSPELQAIVGEPTMARTEIVKQLWAYIRRNDLQDPNNKRKIICNDELRLVFETDCTDMFKMNKLLAKHIRPLEPTKDSNRDMKKLKPVEDVPVPPAEDVATNQPSVNVSDALASFFGTGEREMPQSEVVKRIWDHIKSNNLEDPSNPTMILCDSKLKDLFGCESVTAPGVSELLSDHLFKQPNQI >SECCE6Rv1G0444290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827433191:827433901:1 gene:SECCE6Rv1G0444290 transcript:SECCE6Rv1G0444290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRYWNINLKEMIEAGVHFGHGITKWNPKMAPYISAKRKGTHIINLARTARFLSEACDLVFDAASQGKSFLIVGTKKRATDLVASAAIRARCHYVNKKWFSGMLTNWSITKTRLSQFRDLRAEEKKGKFHHLPKRDVAILKRKLSTLQRYLGEIKYMTRLPDIVIVLDQQKEYIALRECAILGIPTISLVDTNCDPDLANISIPANDDTMTSIRLILNKLVFSICEGRSLYIRNR >SECCE2Rv1G0111680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:702869042:702869712:-1 gene:SECCE2Rv1G0111680 transcript:SECCE2Rv1G0111680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKCSCKLFESKGIMCRHVIRVLRGAKINELPTFYVLKRWERMCKRDVVYDDEGNALEDNPIDCVDMDTRRKILDARNKLEDIIRCAKKSSGGLDLLNTGLCNLESAILQSAPVASQTTEEGQESFVGSSIPTQVDILTPTNVNARGTCSRIKGHRDNDKKAGPKKIKLGVTVRGPRTCSSCREVVLHDARTCPKKK >SECCEUnv1G0540320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78814405:78817114:-1 gene:SECCEUnv1G0540320 transcript:SECCEUnv1G0540320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSPVRRAAAAMYNSNARHDIIINNVTLCATPAATLVASFTVSADMRTTERLMVTTTVLMTLLGTALFLLGVSGRLSGRGRGHSTATRIFFRASFALFLPFMSYMFSQAKSKPPDIGNQPRAQLILLWMILVELLRKKVYAMVAPATDAFARGVGRYSFFDAVEEAARMAWIGYLIYTYVHGFGVKSFFIILWIFSVVKMCKRSMCIYLAKRSFDLAKNAALISGYMVQLVNEDRQLLSDDEHAAGAGIMRACNYAVMGERRLKREVTPHGFKIQEEEMNKILLGDGSSNGKEEAKKSKLVRVCNIWDLANSDPIFRYNLSRKHKLENICLAVALFKLLRRKMEHFHMAEADTRQARDLVLRGLLALEGSDLEGHEVANAKRAFEVVELELRFLDEYYQAIIPLALSKPGLFIANFIISIVFIFLYCITVLLVTGNGHIFKVIGSLFRGLIGLSIDMVVQYKCFVHQVNFLFGMVCSSSDLIVTFLLTLTLLAVETYEFLQYVISDWFIVSVMYKYAQMPMLRRQGHPGRVAKSTLWGKHRSHAVIKVHQVTMLKVHHLHPRWVWMLVSRLLKRRLVGLPDAIVTGDTKVAIVKALKDVLTPSCGRHFSNGMATLERQRLLNLKWACNPKMSNATTIVVWHIATALFETRDKQKHPLPPHGQAALTLSRYCAYLVAYEPGLLPDDEAWTEKVYKDIKGELNSFFQSCCNTGDRRERLVKFGQGEEDEEKSAMVKGVKLGKQLEATSSSGGDLLEDERVWGMLLEFWAELLVFVARKPSAGPEAHALALSNGGEFITNIWAMLTHAGVHVPKNHQDDQVPLCNGNPV >SECCE4Rv1G0254310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:634659355:634662741:-1 gene:SECCE4Rv1G0254310 transcript:SECCE4Rv1G0254310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysin motif-containing protein, Pattern recognition receptor, Peptidoglycan and chitin perception in innate immunit [Source: Projected from Oryza sativa (Os06g0208800)] MSRPAAAAAAALLVILAAAGGAAAKTTIEPCSSADSCAALLGYSLYADMKVSEVAALFGADPAALLAANALDFASPGAANRILPAGLLLRVPTRCACADGVRKSVSVRYTARPADTLATVADVVFAGLASADQIRNANGLAEADPDALLDAGQILVVPFPCVCLNSSDNNLPAVYLSYVVRVGDTVESIAASHATTVTDLSNVNAMGSPVVAPGDILAVPLSACASTFPNFASDYGLLVANGTYALTAGNCVECSCGPGDLNLYCTPASLGTSCSSMQCSNSSLMLGNVTTQPTSGGCGVSSCNYAGFVNGSIATSLSSGLQPTCPGPHQVPPLMEPSTAANHDSYLAPSPSPLPGEAGGAVPGTSDPGASTHSLAGVLSPSVYQLHQMILILSLVFYLHM >SECCE4Rv1G0279310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:813787466:813791535:-1 gene:SECCE4Rv1G0279310 transcript:SECCE4Rv1G0279310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLVNYQHPDDANQAWFPKLVVVLGITVAVLSILMLPADVANRQACKRAVYNGACALTLPMKTLWLVVYIVDAVLVFLVIPFAMFYYEGDQDKSVGKRLKSALIWVVASAVVCGLILGILYAIIGKVDFTVRHLSSSVQPFPSPNQFSAFTSGQPCIAPLTRQCSANTAPANSQTTWTMRATFPEYVVALATIVGSVLFTIFGGVGIACLPLSLIFSFVRRPKAVITRSQYIKEATELGKKAKELKKAAEALHQEERSGNKGRKWRKNVKAVEKELLLLENDMNALEEMYPQGEKAEATWAFTVLAYIGKLIFGIVGLIVSIAWVAHIIIYLLVDPPLSSFLNEIFIKLDSVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYALFGWRKRKPTGRFQLSN >SECCE3Rv1G0213620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961594140:961609980:-1 gene:SECCE3Rv1G0213620 transcript:SECCE3Rv1G0213620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFYQQYFNKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQQIEVDQAILETHNQVEEKKKLYLPYNILPLNPDSANQAIMRYPEIQAAFHALRNTRGLPWPKDHEKKDDADLLEWLQAMFGFQKDNVSNQREHLILLLANVHIRETSKPEQHAKLDDRALDKVMRKLFKNYKRWCEYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGEEEVFLKKIVTPIYKVIEMEAEKSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRTNADFFTPNPAVAPHDQTNGENRPAGNDHWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMVIIAWNGGTPGDIFDAGVFKQVSSIFITAAVMKVCQAILDIVLSWKARRSMSLAVKLRYILKLLSGAAWVVILPVTYAYTSDNPTGLNRTIKSWFGDGRNQPSLYILAVVIYLSPNMLAATLFIFPFLRRFLEKSNLKVVALIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVILLATKLVVSFYVEIKPLVQPTKDIMKVPITTFQWHEFFPHAKNNIGVVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNDHLIPNDSKRRGIRSAFSSKPFSSKPSKKPEDVKEEDKIAARFAQIWNLIITSFREEDLIDNREKDLLLVPYCKDREIDMIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMNSDPYFTYAIKECYASFKNIIYALVVGPRERDVIQKIFKVVDDLVADDTLIKDLHMSNLPTLSKKFIELLDILQKNDKNYRGQVVILFQDMLEVVTRDIMEDLRQLSGLLETVHGGNNRKQLFTKAIEFPVKASDAWKEKIKRLHLLLTVKESAMDVPTNLDARSRISFFANSLFMDMPRAPKVRQMLSFSVLTPYYTEDVLFSSHALEEENEDGVSILFYLQKIYPDEWKKFLERVKCKNEEELRETEQNEDELRLWASYRGQTLTRTVRGMMYYRQALVFQSCLDMAKEDVLMEGFRAADILSEESQLLTQCKAVADMKFTYVVSCQSYGIQKRSADQRAQDILRLMTTYPSLRVAYIDEVEETSKEGETSKDRSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNITHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGRRFIHNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRIALSDFVLMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRGTGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVFEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPEKSWESWWEKEHEPLKYSGKRGTVLEIVLAVRFFIYQYGLVYHLNITKHTKSVLVYCLSWVVIFFILLVMKAVSVGRRNFSAEFQLVFRLIKGLIFIVFISTIVILIVIPHMTIQDIFVCILAFMPTGWGLLLVAQALKPAIMSVGLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARSKDDR >SECCE2Rv1G0089230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:254989206:254993147:1 gene:SECCE2Rv1G0089230 transcript:SECCE2Rv1G0089230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHKTMVKTDKDLLFQSRSHCRVPRSNLIRPTKATTNFPRSTIRSSMGMAHHRVLSVAVVAVALLASPAAGVYPWTICGRSTYTAKSQYLANINRIGATLPRNASRSPDLFATALVGAVPQQVWALALCRGDANASYCLTCLDQAFRDLPNACPYSRDSTIYYNSCVLHYSNIPSRPDDDTTYNPTVPLRNNVNATAEPARFRRVVAALLNATVNYAVTNSTRLYASGEADFDRELPKVYAWAQCTPDMTPARCRDCLAVNIKTSAPVFTDAIGARILGMRCSYRYETTPFFNGPVMVRLAGTSASSGAPASAPAVVPNVLTPEAAAAGEGRKYSVPGMVLIVLLPTAAAINLVICFLLWRRRRPLAEANHSYGGYSAEAKDIESVDSMLIDISTLRAATGDFAEANKLGEGGFGAVYKGTLPDGEEIAVKRLSKSSAQGVEELKNELALVAKLKHKNLVRLVGVCLEQQERLLVYEFVTNRSLDKILFDTEKREQLDWGKRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDTNMNPKISDFGLARLFGRDQTQAITSRVVGTYGYMAPEYVMRGNYSVKSDAFSFGVMVLEIVTGRKNNDCYNSQQSEDLLTTIWEHWTAGTVLATMDPSIGSSFSESDVRRCVHVGLLCVQGNPADRPVMSSVVMMLGGETVSLSAPSKPAFYARNAGTDHSLISPTVSTVSVQDGPG >SECCEUnv1G0557980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:328889939:328893137:-1 gene:SECCEUnv1G0557980 transcript:SECCEUnv1G0557980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPLENAVAEGAPVVTTAAAGGGSYALLQCGEDSAYVRDAYGGYFEVFRALLAEDGERWRVYRAVRGELPADDEAAGLDGFVISGSCSDAHGDEPWILALVDLIRRQLAAGKRILGVCFGHQILCRALGGKTGRSCKGWDIGVSCIHPTAAAARLFAPLKMPVHLPIIEFHQDEVWELPPHAEVLARSDKTGVEMFRLGDRAMGVQGHPEYSKDILMSIADRLLRQNLLLDCQVDVAKASFDVRQPDKEFWKKVCRGFLKGRLQPQQQQKQQQQQQHKVQL >SECCE1Rv1G0033440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:467648703:467651306:-1 gene:SECCE1Rv1G0033440 transcript:SECCE1Rv1G0033440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVERPVAEEEGEDSAAAAAAGEEEDTGAQVAPIVRLEEVAVTTGEEDEDSLLDMKAKLYRFDKDGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICANHLVISTTKMQEHAGSDKSCVWHAADFADGELKDEMFAIRFGTVENCKKFKDLVDEIAESLAKNEGGESEEGSSAAGLLEKLSVSESKPEESAAKEESTDASKETETKAATAPSE >SECCE5Rv1G0368480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:829686770:829687180:1 gene:SECCE5Rv1G0368480 transcript:SECCE5Rv1G0368480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPATAYGCAACGADLNLSAAHLYPAGTYFEAGNKGTLSLSWVDESRLRFAAEDRIRPFFETLDYWGIHRKRTRISCDACGKLLGHIYDDGPPLMDGAGQLGMGPSQVVPRRPRYRIKVKAVTPTGSSSAAAPP >SECCE4Rv1G0248690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:574092548:574095342:-1 gene:SECCE4Rv1G0248690 transcript:SECCE4Rv1G0248690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHAHLLLCVLVLLASHSLANVNGDTDVSSSGGTTTTYIVFMDPAAMPAAHPTPAHWHAAHLQSLSIDPARHLLYSYSVAAHGFAAALLPHHLSLLRDSPGVLQVVPDAVFQLHTTRTPEFLGLLSPAYQPAIHNLDAASHDVVIGVLDTGVWPESPSFAGGDLPPPPAHWKGVCEAGVDFPLSSCGRKLVGARSFSRGFRAANGGRGGMGAGRRSARDRDGHGTHTATTAAGAAVTNASLFGYATGTARGMAPGARVAAYKVCWPEGCLGSDILAGIDSAVADGVGVLSLSLGGGAAPYFRDTVAVGAFGAAAAGVFVACSAGNSGPSGATVANSAPWVATVGAGTLDRDFPAYVTLPSGARLAGVSLYAHSGRPVMLPLVYGDSRDNASKLCLSGTLNPASVRGKIVMCDRGVNARVEKGAVVKAAGGAGMVLANTAASGEELVADSHLLPAVAVGKSTGDKIRDYAQGGGRPMAMLSFGGTALGIRPSPVVAAFSSRGPNTVVPDILKPDMIGPGVNILAGWSGVKGPTGLAKDGRRTPFNIISGTSMSCPHISGLAALLKAAHPTWSPAAIKSALMTTTYTMDNTNSSLRDAAGSSPATPFAFGAGHVDPQKALSPGLVYDISTNDYVAFLCSLDYSATHIRVITKMANVSCPPRSRPGDLNYPSFSVVFRKKARHAVRYRRELTNVGPAMSVYDVKVSGPASVGVTVTPAKLVFKKVGQKQRYYVTFESKAAGAGRAKPDFGWISWASDEHVVRSPVAYTWKM >SECCE4Rv1G0228070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:131719121:131719531:-1 gene:SECCE4Rv1G0228070 transcript:SECCE4Rv1G0228070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPFQNWLGMGATIPARIQPGSIDHVPFPTVVSCLGASFFGFTIKPDAAPFRIIIYRPFFPPVPSRMKIVVCMFDFGCRCNRIGGSILWQDQSPGQTKTLLQEELCYAPPPDIPIERKSCLVIPRLQHVRSLTPR >SECCE2Rv1G0088570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:246481169:246494825:-1 gene:SECCE2Rv1G0088570 transcript:SECCE2Rv1G0088570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAANGAGHASNGDGVPDQALPLENGTGNGHKAGVDDRATPVLQANGGSKVAKKISPKDKYWVAADEGEMAAAIADGGEDGRRPLLYRTFKVKGILLHPYRLLSLIRLVTIVLFFVWRVRHPYADGMWLWWISMVGDLWFGVTWLLNQVAKLNPVKRVPNLALLKQQFDLPDGNSNLPCLDVFINTVDPINEPMIYTMNSIISILAADYPVDKHACYLSDDGGSIIHYDGLLETAKFAALWVPFCRKHSIEPRAPESYFSLNTRPYTGNAPQDFVNDRRHMCREYDEFKGRLDALFTLIPKRSDEYNHAGSKEGAKATWLADGTQWPGTWIDPAENHKKGQHDGIVKVMLKHPSYEPELGLGASTNSPLDFSAVDVRLPMLVYISREKSPSCDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSKAFRAGICFMLDRREGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRVALYGVDPPRWRPDNIKIVDSSTKFGSSASFISSILPAADQERSIMSPPALEETVMADLAHVMTCAYEDGTEWGSEVGWVYNIATEDVVTGFRLHRNGWRSMYCRMEPDAFAGTAPINLTERLYQILRWSGGSLEMFFSRNCPLLAGRRLHPMQRIAYANMTAYPVSSVFLVFYLLFPVIWIFRGQFYIQKPFPTYVLYLVIVIALTELIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPTAVFHIVLKLFGLKGVSFKLTAKQVASSTSDKFAELYAVQWAPMLIPTMVVIAVNVCAIGASIGKAIVGGWSLMQIADAGLGLVFNAWILVLIYPFALGMIGRWSKRPYILFILFVIAFILIALVDIAIQAMRSGFVRFHFKSSGGATFPTSWGL >SECCE2Rv1G0083890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172136757:172137131:1 gene:SECCE2Rv1G0083890 transcript:SECCE2Rv1G0083890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNTTVFLVGLLLSCVAMSNGARILEEEIAPSKGEEHPPELPTLPKVELPPFPEVHLPPKPELPKVELPSFPEVHLPPKPELPTFLEVHLPAKLELPKVELPPKPEMPTIPEFHFPEPEAKP >SECCE5Rv1G0331470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538980435:538981370:1 gene:SECCE5Rv1G0331470 transcript:SECCE5Rv1G0331470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAADEVVFDCDAYRIYRSGKMDRLCRPVRMPTGLDTATGVTSKDVALDSDTGVSVRLFLPTSPDPSNSKKLPILVFFHGGGFLVESAVSPQYHNYVASLAAAAGVVAVSVEYRLAPEHPVPAAYDDAWAALSWAASAQDEWLAEHGDSARLFLAGDSAGGNIVHNVLIRASFQPAPRIEGAVLLHPWFGGNMVVEGEAEATAKDMTMIWEFACPGAVGGADDPRMNPMAPGAPGLENLRCERMLVCAGEKDWLVPRDRAYYAAVTTSAWRGGVAWIESEGEGHVFFLQKPDCAKAKELMARVVAFIAGA >SECCE5Rv1G0353160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:714099164:714099819:-1 gene:SECCE5Rv1G0353160 transcript:SECCE5Rv1G0353160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPAEEVAKPPTRPPYPDMILAAIAALNDKNGSNKSAISKHIEGKYGDLPKEHAALLTAHLVRMKESGQLLFLKNNYFRTDTPDAPPKRGRGRPRKPVDPNAPPKPASPRPRGRPAKPKDPEAEAANPPKKAKTTPVPAVGGSTPGKRGRGRPPKARPAETAAA >SECCEUnv1G0557210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:321275503:321276374:-1 gene:SECCEUnv1G0557210 transcript:SECCEUnv1G0557210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGGKKFGRNYLTWTDEMNTALLEVLLEHHNNGDHAQNGWKSHVYSAVIGNVREKCFVTITKENISSRCKTFEKHYEAIRKMHSQSGFGWDWINNKLSIDSEDVWLKYVAANKKVGFYKNKVIKNWDAITTIYSKDHANGEGLVTGAETIVEPTTEPNEASPEVPHKKQRTGDAIMCLHGDMKGSFNDALKSLKPLPLPQVTPPVEILATLEMIPDLARGDILRSYGKLILSERLYQALLELPMNFREEWLLMLK >SECCE3Rv1G0202600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:875409338:875412708:-1 gene:SECCE3Rv1G0202600 transcript:SECCE3Rv1G0202600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAAAPAGVDPRSGFCAATRTFHSVRSSSAGALPPESLPVTAAAYAFSLLASPLPDRPALVDAATGIAVSYPSFIASVRSLAGGLWSSLGLRPGDVAFVVAPSRLDVPVLHFALMSIGAVVSPANPASTPEEYAHQVTLSRPVVAFAAPEVTDKLPAHVNCVVLGSDAYERLASAGAEAAPPPVVVKQSDTAAVLYSSGTTGRVKAVAITHSNLIALVCTHAENRKRVAAEATEAGEEPPPPAVTLLPLPLFHVFGFMMRYRVTLLPAAPPVLVAMIKSEEARRHDLSSLLVIAVGGAPLGREVAERFAAIFPSVQIVQGYGLTESSGSLAATIGPEESMAYGSVDKLASGLQAKIVVPATGEALGPGQRGELWIRGPLVMKGYVGDDKATAETVDSEGWLKTGDLCYFNQDGFLYIVDRLKELIKYKGYQVPPAELEHILQSHPGIADAAVIGYPDEDAGQLPMAFIVRQPGSNLTGQQVMDYVAKHVAPYKKVRRVAFVDAIPKSPAGKILRRELVQQALSMGASKL >SECCE4Rv1G0217870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18841920:18842594:1 gene:SECCE4Rv1G0217870 transcript:SECCE4Rv1G0217870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTKKLAAGLFAMLFLAPSVLATDPDPLQDFCVADLDGKAVSVNGHTCKPMSEAGDDFLFSSRLAKAGNTSTPNGSAVTELDVAEWPGTNTLGVSMNRVDFAPGGTNPPHIHPRATEIGIVMKGELLVGILGSLDSGNKLYSRVVHAGETFLIPRGLMHFQFNIGKTEASMVVSFNSQNPGIVFVPLTLFGSNPPIPTSVLTKALRVEAGVVELLKSKFAAGF >SECCEUnv1G0564800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:386940975:386942270:-1 gene:SECCEUnv1G0564800 transcript:SECCEUnv1G0564800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVMVSASTGAVNSLLGKVTTIMGEEFTKLKNLRKEVKFIRDELGSMKDALKRLAYVDELDPQTKSWKNTLRELSYDIEDIIDDFMQNIGGKDKNSGFVRKTIRRLKTLRARHQISGHIGEIKKLVLEASDGNKRYALHKIIPPSSNVVSIDPRVKALYEKAVNLVGMEGPKNELVGWLIDEEKQLKVVSIVGFGGLGKTTLANEVYRRLKGEFDIGAFVPVSQKPNIPHLLHSLLSQLGVEPSIHARESHLIDKLREVLKSKSLAGT >SECCE3Rv1G0212710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956264282:956265669:1 gene:SECCE3Rv1G0212710 transcript:SECCE3Rv1G0212710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISDVPDDELSDSEQVLINNISAVSLFRDVLFTRLFRTPRNTSILTGAQKTIEFLEGHPVRFYEQFRLEKHTFYLLPDALCERKLLKDTNRMTVDEQLLMFLHTIGHNVRNRVIQDRYQHSGEPISRHINKVLDAINGLRDVCITDSSNQVPSKILGDARFYPYFKNCLGAIDGTHIEAKVRLDKQTPYRNRHGYPSQNVMAVVSFDMTFSYVAAGWEGSASDQAVLRWAVTSGGLVVPEGKFYLVDSGYANTPRFIAPYRGDHYHIASFRGSNRRYTSEKDLFNHLHAQLRNVVERTFGVLKARFPILSRKGGIPYPCRTQVKIVMACCIIHNFIRKVNHPDELFELYEHGETEQNTDHGDQQVHGQAREDDRVAGERVRAAIARELWTKHQQRSAQPEDD >SECCE6Rv1G0424980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:703405842:703407511:1 gene:SECCE6Rv1G0424980 transcript:SECCE6Rv1G0424980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGSIDDRLSALPDDILVNILDRLNVPEAARTSILARRWGQLCAKLSRLIISVQDFLPEGVLHTNVSVDELIRTNAAVVQATESILARRNPGEHTIRLLSTTFYLGDDAPLSIGHAVGHAMATHLVEVAKFSVRTGKDDIAEIDDDELVTCGRKLMVFFDACSNAFGGLTSLELQNLRFGELDISSILVTCKRLKHLHLYNCDSGEDSTLQVEHAHLNELCIVQCLLEHVKLSWLPQLTLLVFDGWIDYDDPLFIGHVPLLKSVSLTNVALSSNKMFKLSEFLSSTSVQNLKLGFRSEKIWVQPECPTQSLASVFCQLRFVNLVNLPEGYDLTWTMFILEAAPLLKDLYITVWDHACSMEMDEEKRKKGLYSEDKGVEWDSAAADFQHRTLVTLVIFCFESEDYFVNYVRRVMAAAVNLEHVFLYSRLECDNCEDEKKIRFPWTKRQRISLKKRITVGIESFAIIHSGNMLRDDHQAKILYPECSRFDTSC >SECCE5Rv1G0370090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:839916856:839920358:-1 gene:SECCE5Rv1G0370090 transcript:SECCE5Rv1G0370090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQRPPSPADANSKPPTPSSTPASRHASGTAPTSSAAPPRGPASSSSASSAPATPVSRTVYSDRFIPSRTGSNLALFDLGPAGAPSSSGSAAPPSPYCALLRAALFGPDTPDRLASSAAASSSSPVGSPAGGNIFRFKAEVPRNAKRALFAGGDDQDLLFPGIFTPKGSGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNVLSVGLGNCVYLWNACSSKVTKLCDLGADDTVCSVSWAQRGTHLAVGTNQGTVQIWDATRCKRMRTMESHRMRVGALAWNSSLLSSGSRDKNILHHDLRAPEDYVSKLTGHKSEVCGLKWSYDNRQLASGGNDNKLFVWNQHSVQPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSSMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTFRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSSIGATSFVRSYIR >SECCE2Rv1G0131000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:881528276:881529502:-1 gene:SECCE2Rv1G0131000 transcript:SECCE2Rv1G0131000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEESVIDKITEKFHRGSSSSSSSSDDEGRSGSQSPSSVKAKMYRLFCREKPVHSVLGGGKPADLFIWRNKKISGGVLAGATAIWLLFEVLEYHLLTLLSHGFIVTLGILFLWSNASAFINKCPPNIPEVKIPEDLAVNVARSLRYEINRGFASLRAIGQGRDLKKFLIVR >SECCE3Rv1G0202310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:873057265:873059849:-1 gene:SECCE3Rv1G0202310 transcript:SECCE3Rv1G0202310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTPDQFPSSSWQTHQDASICTSQELDYDHPQYLGIEDVALDAVELELGPRAPKATRVDYLSSPYHASWPPAQADFESSRVRKTKQFRDVLETCKQKVDAMEALEHSPPVAGGGFEEQAGEAVVALGDVGGGGGGSGADGMRLVQLLVACAEAVACRDRAQAAALLRELQVGAPVHGTAFQRVASCFVQGLADRLALAHPPALGPASMAFSVPRSSCLDGARGEALAVAYELCPYLRFAHFVANASILEAFDGESNVHVVDLGMTMGLKALNRGHQWRALLDGLATRAGGKPARVRVTGVGARLDTMRAVGREIEAYAEELGMCLEFRVVDRTLESLHVDDLCIDAHEAVAINSVLELHCVVKESRGALNSVLQTIRKLSPKAFVLVEQDAGHNGPFFLGRFMEALHYYAALFDALDAALPRYDARRARVEQFHYGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQPLVPSTLTREWLEENAGGSGYTVAEEKGCLVLGWKGKPVIAASCWKC >SECCEUnv1G0534860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:47106610:47110387:-1 gene:SECCEUnv1G0534860 transcript:SECCEUnv1G0534860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSTPVDASGEPIPTSSVLMAASKHIAVRCRPENVAFLNCKKKDPNPEKCLEKGRQVTRCVFNLLKELHQKCPKEMDAYAGCMYYYTNEFDFCRKEQEAFEGACPISE >SECCE3Rv1G0154840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62472987:62474474:1 gene:SECCE3Rv1G0154840 transcript:SECCE3Rv1G0154840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRRVTAVSKKDKLVLPSMNKVEAKVRTFFTVHGPRSIDDSLFKRFLLLRVLVLNYPLVQSIPDYIGRLIHLRLLDLDYTGISCLPESIGSIQNLEILSLNYCDALQNLPSAISQLYSLRCLSLLDTKINQVQKGIGKLKFLTDLRGFPVGARIENADVQDGWKLEELSSLSLLRYLTLVKLERAACYSTNTLLANKNHLKGLVLEWTSYSEDVSTEEVFEQLIPPSNLETLHIIGFLGRQYPNWFGNTCLSSLAHVTLRNLRCVDLPPIGQLPNLKFLRIDGAYAVTKVGPEFVGCVKGDPLCNELVAFPKLEWLVLKHLPNWEEWSFFEEKEEAEDVDDEGGANGVAEIRKDDAQSARLRLFLRLVRLKLEGCLKLRALPRQLGEDTVSLRKLELIRTNNLKAVEDFPHLTELLHIEKCEGLEKVSNLPSVTDLQVRGCLNLSRVEGLGSLQQLGLGEDTQEISSHWVLGLQNQHQRLHGEDLDVYSLSTI >SECCE5Rv1G0339370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:607220037:607221905:1 gene:SECCE5Rv1G0339370 transcript:SECCE5Rv1G0339370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAAEVSNKRVILKRHVTGLPTADDMELIPATARLAVPPGSAAVVVKNLYLSCDPYLRSRMSGHGEPSHVTDFVQGEVLTTLGVSKVVESGHQDYKPGDLVWGLTGCEEYTLITDLQSHFKINHPELPLSYYTGVLGMPGLTAYVGFFDVAKPKKGEYVFVSAAAGAVGQLVGQLAKIAGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKKEKDLNATLKRCFPEGIDIYFENVGGAMLDAVLLNMRLHGRVSVCGMISQYNLEQPEGVRNLFCIITKRIRVEGFIVLDHYGTYRKFEEEMAGYLKEGKITYVEDVAEGIESFPTALIGLFHGRNIGKQLVAVARE >SECCE1Rv1G0034460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:482366325:482369281:-1 gene:SECCE1Rv1G0034460 transcript:SECCE1Rv1G0034460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSPATARPAMSHSSAFLLPSSATPAGSADAYAVVVLNQRLPRFAPLLWDRAQVRVCADGGANRVFDGMPELLPGHAPDDVRSRYKPDVIKGDMDSVRPEVKEFYSNLGTNIVDQSHDQETTDLHKCVAFITDNSPGPDKSNLCILVLGALGGRFDHEMGNINVLHLFPNIKIVLLSDDCLIFLLPRTHTHNIHIERSVEGPHCGLIPIGAPSTSTTTTGLRWNLDNTHMSFGELISTSNIVDEDRVMVTSDSDLIWTISLRH >SECCE2Rv1G0089850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:263334856:263335335:-1 gene:SECCE2Rv1G0089850 transcript:SECCE2Rv1G0089850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAAEPVNGAGGAIKVGTTGTIGSLMTRELEAIKVTPQGPATPRLRRQSSPVSVPCGASPRKIIPRKSSSCVSSSSNGRADRVSAEEACKTRRSSQRNKSSSPMLHSDGVLVDRSPNFEKGKKKGNVHGVEVVDVRCGNPMSSRLRKLGFSKLSETFA >SECCE1Rv1G0002610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9808300:9810646:1 gene:SECCE1Rv1G0002610 transcript:SECCE1Rv1G0002610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKSQDPPPSIQDATDRIYKRGDTVDDKIKKLDAELARYKDQIKKTRPGPAQEAVKARAMRILKQRKMYEGQRDMLYNQTYNLDQVAFASEGIKDAQQTMTAMKAANKELKGMMKTVRIEDIDSMQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESNSVPSYLQPDKETDLDSELNLPAAPSGHVPANRQQEDELGLPTVPHASIRT >SECCE7Rv1G0480580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:271735109:271739751:1 gene:SECCE7Rv1G0480580 transcript:SECCE7Rv1G0480580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRPQLPLLLAAAWSLGLLCLAAAEGGGGSCELSVERGGALYNFSLAAPTPAHRHGVLSEDGFYKVAMNDSVIWFQLCDQMIFNFDPPVCLHCEDCGGPLRCGTQCSALASNNIRGYDVCTTIGRASGSHISLIDDGNPQKGVIVKMFSSKCSISVFVFCDSAVAQLLDKFTQSGSCDYVTILRHPSGCARSVSDAGNGWGWLGTSFITILCLLGGYILIGAIYRYYFLGIHSVEAIPNLEFWISLPQRIKSMFVRSRRNGRSQSRDTRGPYTSVNH >SECCE5Rv1G0368310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:827781907:827784150:1 gene:SECCE5Rv1G0368310 transcript:SECCE5Rv1G0368310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPGTTGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFEAFDELLLMRRGGQIIYSGSLGPLSENMIKYFQAIPGVPIIKEGQNPAAWVLDITSQSTEYEIGVDYAQIYRSSSLYINNLLLINELGRPAPNTEDLYFPSGYWQDFREQCMVCLWKQRYAYWKNPEHNLVRFLNTFVLSIMFGIVFWKIGSTIKQQQDIFNILGVVYGSSLFLGFMNCTILQPVVSMERVVLYREKAAGMYSTLAYVIAQMAIEMPYMLVQVVMFASIVYPMIGFQMTVCKFCWFVMYMALSLMYYTLFGMMTVALTPNLEIAAGLSFLIFIFWNVFSGFIIGRELIPIWWRWAYWANPAAWTMYGLMFSQLGDRTEMILMPGQEEQTVKEFLEGYLGLESRYFDLVTCLHLTIIALFAFLFFIFIKQLKFQRR >SECCE6Rv1G0436970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:780376698:780378604:1 gene:SECCE6Rv1G0436970 transcript:SECCE6Rv1G0436970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNPTTSRPGVSTIEEKSTGRIDQIIGPVLDVTFPPGKLPYIYNALVVQSRDTADKQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDSSATFPIHRSAPAFIELDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIEESKLALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERIASTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKETLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVALAETIRGFQLILSGELDGLPEQAFYLVVKEIILSTNSGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQKALEIAEANLSKAEGTKDLVEAKLALRRDRIRIEAVNWIPPSN >SECCEUnv1G0545460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:141718853:141719104:-1 gene:SECCEUnv1G0545460 transcript:SECCEUnv1G0545460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQVIPLITDRFDSLEQLDEFSRSF >SECCE2Rv1G0066270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:13502057:13506226:1 gene:SECCE2Rv1G0066270 transcript:SECCE2Rv1G0066270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAAAAAVPLRRPLLLFLRPARLLSFVAPRSSSVRAYTRALRPLAPLPSDDEDPDDGDGAPSRNEKKREARRAVKWGMELAKFSPPQIKRIVRAASLEREVVDALMLVKKFGPDVREGRRRQYNYIGSLLRGAQPELMEDLIHSLKNGDESRIQALLSDVADESMPIEDEEGGDLPHEEEGEENQEYMEIADRWFEGLVHQDIPVTNEVYAIHDVEFDRQELRKLVRIVQEVQKGNKDSREGSDKNLSRAKKPLMMFLRSLAKKTLE >SECCE6Rv1G0417580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659129489:659131644:-1 gene:SECCE6Rv1G0417580 transcript:SECCE6Rv1G0417580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSVPSGFGTRPWLVQGTRGERQTFVDSSDGSSHSTIVPEMQGKTCLGCIHNGDWLLMLDESTAECFLLRLTSPDDDLTKKIPLPPLHKPMESIKTFLLHCRPGVSQVWLRLETPVSLTSFMIGHRGKIYSFGESDTLIVIGDQGDGTVQARLMGSVYGSKEEHDRTVMYNILESCGDLFEVATQHFGDFSHDGTLTSVTVSRLDLESMSWSRVDSIGSERAFLISGRYGFSVPVRPGEGGLVQQGNCVYIVCSSCDCERLYKFCLDDNTISFRQILPQPTKPWCRAFWAVPPWAAMVEEVKQLLSNGLVPKGPFLKLEEEEEEEATSCNNTPPWNDLPLELLELIVSRLSLVDRLRFLAVCESWSLVTNPVEEANVWPWLLHCSGRDGECMLFDPLRGQKYKMTVETFDDVKNEHDEHIFRFSKDGWVAVSTSSDIDVMYIINPFTGDMVDMADLDWKYRYMGLSWSSADPTSPECAFFAINSRHDGKVVGIFTWRHGEAEWTERHLECYEGEPFPVAYNNPVFFHDKFYCLGRKGNLGTFDPRSDIWTILEKPKPIHPEMDLYGDDHEGREFCYLVELGGKLVSVFMRNADEPPRVFKLDEEDMAWREVEEIGDAALFLDFRVSYSVVSPEAGKGNRIYFPRYSEDGKQAAFYNMETKTYHPSFYGLKQPLQCVWVVPNLRPTSK >SECCE7Rv1G0494010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:578237258:578238389:1 gene:SECCE7Rv1G0494010 transcript:SECCE7Rv1G0494010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHTLLSTFQQTHTHTHKLESATEPAMNGFYSSIAHGLDALHATLASSPDAAFMSAPFLQQAAALLRSLHSQLVHLVQRLHLPPGESWLDEYMDETSRLWDACQVVKAGASALDTYCASAARIDAALDDWLCNPNPHTARQVMRAINAPRRQAVGLEQENRALAETRIDPASLLLDDRSPVEFKLNAFNGFRGVLYALRNASSFLLMLLVSGTVSCLPDLACCAHPFRTSGAGYVSSMGRLRQRVAEEMEAVASEHSGSGVMMYEFRQARAGIESLKTEFDRVVTTGYGDPGEVAERVEIIKGWVGMLRSGAEGVVGELDDFFDEIVEGRKMLSDLCSHR >SECCE7Rv1G0517180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852446207:852446611:-1 gene:SECCE7Rv1G0517180 transcript:SECCE7Rv1G0517180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLALFLALNLVLLAAAQGCGPYCPPVVVPTPPIRPPPVVPSTGGGSCPINTLKLGVCADVLNLVKLRVGVPENEQCCPLLGGLADLDAAVCLCTAIRANILGIKLNVPIDLTLLLNQCGKKCPSDFTCPI >SECCE6Rv1G0382890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:48298372:48301548:-1 gene:SECCE6Rv1G0382890 transcript:SECCE6Rv1G0382890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLHFSNKIGHIPSLGLALVLLICWASPTSSCTEQEKGSLLQFLSGLSQDGGLAVSWKNSSDCCQWEGITCSTDGIVTDVLLASRSLQGHITPSLGNLTGLLRLNLSNNLLSGGLPQELVSSSSILIVDVSFNRLGGELHELSSTHAQPLKVLNISSNLFTGHFPSSTWQGMKNLVALNASNNSFTGQLPTHFCASSPSLAVLELCYNQFRGRIPPQLGSCSMLRVLKVGHNNLSGTIPDELFNATLLEFLSFPGNSLQGRLQGSHFAKLGNLGSLNLGDNIIGGKIPESIGHLKRLEELRLNNNNMYGELPPSLANCTNLITIDFKSNNFSGELTKVNFSNLPNLQTLDLMHNSFSGEIPESIYSCSNLTALRLSSNKFFGQLSEKIGNLKSLSFLSLANNNLTNITSALHILKRCRNLTTLLIANNFRGEIMPEDDMIDGFENLQVFSVGGCKLFGQIPHWISKLANLQILILSDNQLTGSIPAWIRTLSNLFYLGISNNNLTGGIPTVLMDMPMLKSDKSEAHLDPRVFELPVYDSLSRQYRVPIALRNVLDLSHNKFTGEIPLEVGQLKALLSLNFSFNHLTGQIPQSICNLTNLQLLDLSSNNLTGGIPGALNNLHFLSAFNISNNGLEGSIPSGGQFNTFQNSSFIGNPKLCGPTLTHKCGADSIPPPSRKKQDKKAVFAIAFGVFFGGIAILLLLARLLISIKKKGYVAKHRRESNGDVEQTSFYSNSEETLVVMRMPQGKGEENKLKFTDILKATKNFDKANVIGCGGYGLVYKAELPDGSKLAIKKLNGEICLMEREFSAEVDALSRAQHENLVPLWGYCVQGNSRFLVYSYMENGSLDDWLHNMDDDARSFLDWPTRLKIAQGASLGLSYIHEICNPQIVHRDIKSSNILLDKEFKAYVADFGLARLILPNQTHVTTELVGTMGYIPPEYGQAWVATLRGDMYSFGVVLLELLTGMRPVSILSTSKELVPWVLEMRSEGKQVEVLDPTLRDMGCEDQMLKVLETACKCVNHNQFMRPTIMEVVSCLASIDADPQMSAKISQDTMDHT >SECCE3Rv1G0161480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:118202939:118205828:1 gene:SECCE3Rv1G0161480 transcript:SECCE3Rv1G0161480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNARTCPARSGGGVRLFGVHLTSPPAAAMKKSASMSCIASSLGGGGSGGSSPAAGPGGGEGAPGYVSDDPMHASCSTNGRAERKKGTPWTEEEHRLFLLGLQKLGKGDWRGISRSFVVSRTPTQVASHAQKYFIRQTNFSRRKRRSSLFDMVPEMPMDESPDGAEEFTLCSTQDETSSSNKLSLFHLGRGRQNEAECDQGLPTLQLRQHEESEYAGPSLEAPDLEMNNGVSFKAASVSTVPAFYPALLPVPLTLWPANVSNVEAANVTHEVLKPTPVNLKEAIKADEVVSMSKLSIGGGSSSGSMEPSALSLQLTGPTNTRQSAFHVSPPMTRTDLSQGNNSPIHAV >SECCE5Rv1G0305870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:77742102:77742383:1 gene:SECCE5Rv1G0305870 transcript:SECCE5Rv1G0305870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMSKSPPSSPPPAAEHDEGDGDAAAAVRVTSRLYLHRPGPGAGPLEKDAVLRRIRHRRRANRLRDTLRSLLVLQQQQPTAPEPEDAFTSP >SECCE2Rv1G0142500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:940963386:940963826:1 gene:SECCE2Rv1G0142500 transcript:SECCE2Rv1G0142500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S17, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G79850) UniProtKB/Swiss-Prot;Acc:P16180] MLLGSSFASPFTQLHLSPSPNAGAAARPAAAGMPLRIEAAKQMTGRVVTTKANKTVGVEVARLKQHPKYHRREKIKKKYQAHDPENQFKVGDVVELVRSRPISKTKHFLAVPVPPRDTRRKAQLLPPLESQSGDDPAATAAEETAA >SECCE7Rv1G0507170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:759121641:759125096:1 gene:SECCE7Rv1G0507170 transcript:SECCE7Rv1G0507170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNRTSSRRPLWVIVLIAFVCAIVTGAYLYKPQHYTGCYLSNSCGSQPPLEPVRVYTDDEIAARAVMRDIVLSPPVQSKNPKIAFMFLTPSSLPFEKLWEKFFMGHEDRYTIYVHASREKTVHASPIFAGRDIRSEKVVWGTVTMIDAERRLLANALQDADNQHFVLLSESCVPLHNFDYVYSYLMETNISFVDSFDDPGPHGAGRYSEHMLPEIVKRDWRKGAQWFTVKRQHAVLILVDTLYYGKFKRYCKPGNEYHNCYSDEHYLPTLFNMVDPTGVANWSVTRVDWSEGKWHPKVYRAVDTSFELLKSIASIDESIHVTSNAKHEMQRKPCMWNGMKRPCYLFARKFYPEALDTLMNIFSNFTVI >SECCE1Rv1G0049020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:638363841:638367027:-1 gene:SECCE1Rv1G0049020 transcript:SECCE1Rv1G0049020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQFKRAPRHEDAALLAKETTFSLDEVKALYELFKKTSYSIFKDGLIHKEEFQLALFRNSNQKNLFADRIFDLFDLKRNGVIEFDEFVRSLQNFHPDTPMADKIAFAFRLYDLRGTGSIEREELKEMVLAILNESDLLLSDDAVEQMVDQTFTEADLNGDGRIDPDEWKAFTSANPALLNYMTLPYLKDMTMSSFPSFVLYSVTGDEEL >SECCE6Rv1G0383490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:53119509:53120558:-1 gene:SECCE6Rv1G0383490 transcript:SECCE6Rv1G0383490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALEDRSCVILATNDYSSHTYTLHHIDVAPFFSHPEPDDDAMDDIPLPPASARFDKPPFPRNCFVDFHLLRGGGIYGGGDVKVVSTDGERRTVIYDVARRAVRGGPVMRARKGSPISDSVGDGLFVLELVPDKGNGCFEALRYDRLREDWFWHSLPRPPYVREPGSTCSAVTAHTAAAGGRIWTYTKNAGTYSFDTRRRSWRKEGDWALPFVGKAEHVPVCGSAGLSFGFASISGPLCAVDLATATAESPPEVRGVWEEFRLPGDWLGGTSSLVHLGSGKMCIFRFFGTIPSPPIDRSGKKPDRFIVITPVEVGPGDDDGREIKMVKHRSKRIKLDRLNGHMTWVL >SECCE6Rv1G0406050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:539594526:539597048:-1 gene:SECCE6Rv1G0406050 transcript:SECCE6Rv1G0406050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMEKVNALGERLKITGSEVGKQMQAGMSSMSFKMKELFQAQTPADKIVEDATAEGLEGPDWAANLEICDLVNTERVNSVDLIRGIKKRIMLKEARVQFLALFLLETIVKNCEKAFSEVAAERILDEMVRLIDDPQTVVNNRNKALTLIEAWGESGDELRYLPVYEQTYKSLKSRGIRFPGRDNESLAPIFTPPRSVAEAEVAANFSQQTFEDVHVHTYTAEETKEAFDVARNSMELLSTVLSSSPQQDALQDDLTTTLVQQCYQSQHTIQRFIETAGDNEALLFEALSVNDEVQKVLSKYDEMKKPMASARTEQPVVIPIATEHEDSGAVGNEDALVRKPAAARAMSGGDDDILDDLDEMIFGKKGGSSSQEASKRQDLKKDDLINF >SECCE4Rv1G0230610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:170024913:170031552:1 gene:SECCE4Rv1G0230610 transcript:SECCE4Rv1G0230610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICLLWRNVLSRHHMRGCLTFSTVQPKVAAVGIDFGCKNSRVAIVDSLVPEVVHSETGSSMPSYVTTIQPKFSGQRYGWALQQLDGLGKCVAVGELAKRRMSRQPSQVIFNIKKLVRKQFDDHNVQEMRKRVHFSIVEGDKGEALVEICGMQFSPVELASVIFARLKDIVLMHQYHHELKVVISVPIFFDEQQREDIILAGRKAGLKILQLIDEPIAAALSSTTIKEGTIVVFGMGAGSYNVSILDVSGTNIEIKTQFGNPCVGGDQFDDILLDYFVTKIRKSYSVDIRGDKYALMLLAEDVEQAKVELSSQHKVTVTLPYIISSVQCDGDPSISISRAEFENLGVNLAEQIQEKCQTLLETANISSTDIDEVILTGGMTRVPMIQKIIHEVFGKHQDTRVNNEEAVVIGSAIQAALIVEDEREMSKDITPLSIGIESEGFFVCVIPRHTTIPTTQTVKFPAWCAYGECLPVKIYLGEHFMVHHNVFLGEVELINNQGSCQGSVYFELTFEVDKDYVVKVSGRNVHDQPEAVYDVGKALKVFPVREIMMCKHNVEKAVKNALLDWRMHATEIHARLICLARYILNSLSDVLAAMKDEVPVDLSDHAEKAMADLLKALDGDAHVLKDKMLVAELAKETILNWRPSSESHGDYSDYED >SECCE7Rv1G0482300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:303275062:303276095:1 gene:SECCE7Rv1G0482300 transcript:SECCE7Rv1G0482300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDILLQEWQSIGLDQTLVAKKFAGCDLYVTCEPCIMCASALSILGIREVYFGCPNDKFGGCGSVMSLHESLSSDDLIGRQDTRSRGFKCTGGIMAEEAVALFRNFYEQGNPNAPKPHRPVRVDQQ >SECCE1Rv1G0053290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:668371290:668375200:-1 gene:SECCE1Rv1G0053290 transcript:SECCE1Rv1G0053290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVARSLRQLRRLARHHAEGRAPINRLTRQQNALILRSSASRSLCTVRHNDEHGRFLSPAVESLRNMFSTAVADSIKDVPRGGPMVEYEKRIASGDLVDGDSFQVDTIQQLQRLYEDLVENEEACQLDRYQSSEKSGRRRWLWSRLIAQPSTESPVKGLYLYGGVGTGKTMLMDLFYEQLPANWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDMVAAEISDEAIILCLDEFMVTDVADAMILNRLFRHLFSKGVILVSTSNRAPDQLYEGGLQRNLFLPFIDTLKERCIAHPIGSAVDYRQLGSAGEGFYFIGNECSTVLKQKFQSLIGDEEPTPQTVEVVMGRHLQVPLGANGCAYFQFEDLCDRPIGAADYFGLFKKFHTLAVEGVPKFGYHNRTAAYRFVTLVDVMYENKGRLLCTAEAEPIELFENVVTVAEAQKSSPRSSRSRKSDDPDLCVDNELGFAKDRTISRLTELNSREYLEDFEAKWQQPLHAVDNGGDVVLA >SECCE7Rv1G0462080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:42503785:42504345:-1 gene:SECCE7Rv1G0462080 transcript:SECCE7Rv1G0462080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVQIEESNEMGTEVLPTVDNKGKLLVHPMPGVVVPPPAEVEPKTPEAGDDERMEEPPSNKRHNYDHYHEEDGPTHFCKVILAPKLECIPLLLDFTKHFIVVPTEFRLRNNNGYSSKVTVKLMNGRVTLDQGWATYASVHQIKIDYMVTFKLLTPDTLKVIIFDDDGIEVFNKCEKHDEAFATKD >SECCE7Rv1G0516820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:848435669:848436280:1 gene:SECCE7Rv1G0516820 transcript:SECCE7Rv1G0516820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKMHLSMPLPAGLENFPFTSFQEAFTVKLRAEQEAPILKAKVKKAKLKVEKAKVKPAAKAKAKVKEAKSKPEVKAKVKEVKAKVKEAEAKGKVELKTMTTEEEEALLKFVALLEKEEDFLDAKAEAEAEADKCGSKRKPELERDEEGAAFLAWIEATKPPTDQEEYSDGDVADGYDSQDDDIYERHVQANNARWPFLVGA >SECCE1Rv1G0021990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:277425577:277428416:1 gene:SECCE1Rv1G0021990 transcript:SECCE1Rv1G0021990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPPMVNITLLAGGITSWGFLFPFLETKGGQWYHTSSPTSLAGSNGYKVFITVTLIVVDGIYNFIILLITAFIDFRQKQQETDSVVGNYLRKHPSLNYDDRRRIEVFLENRIPFSIPATAYVVCAIICGIVIPRMFYQITFFNVLTIYIIIPVLALTNTYATGLTDWNVAYTYAKFTIFIVSAWVAEPGAIVAGLVACGLVVSALHVSSQATQDVKTGYMTLTSQRALVMMQILGIVTGSILTPCIFRAFQNTAKADIPIGAPDSEYPCPYAGVYRAIAVVGTGGVKELPDHCLKFCFVAACFAIVVNTLSIVSQRKGWKLMNYIPNVTVFALPFFIGSSGPIDMCIGTIVMFIWTKINSQSAALLSSAVAAGLMCGDGLFALPSSMLTLFSVEPPMCMKFIQSGKHLDLADSFIKNISTPTKP >SECCE5Rv1G0363300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:792304293:792306325:1 gene:SECCE5Rv1G0363300 transcript:SECCE5Rv1G0363300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVKAKPPSSAPHIMLLLLAASFAVSQAWVQCGPSAASPSAAPAPPPTSSTSTANGSAFRVNVLALLDALPDAAASTGFASLSRGGGGDRAFVRGLCRGDLAESVCLADLQEAVRDLSGRCASSRSVGAWYREVYITYADSNDSAIYEGSINQIIYDAGRVADAGSYDRAYYALMSRLVARAAAGGNRSARTSLFATGEAVYARDDPIGTMYGLVQCMRDRSDAECQQCLQTLVPQLPRCCGGHQGGVALGFNCHLRVQVYTYYDLALDAPPPPSPPDASSPPSAGENPRKGRPSKRAVLAGAISTGTLLLVLLVVLVCVYMKRRRTGPNKRPRVTDGGTEDSSNTYVSPEQFTLPLLRTATGNFAPENKLGEGGFGQVFKGKMPNGQAIAVKRLSQGSSQGFHELKNELVLAAKLRHRNLVQLLGVCLEEKEKLIVYEYLPNRSLDTLLFDSGRRRRHGLDWRKRHTIICGIARGLLYLHEESRLRVIHRDLKPSNVLLDEHMNPKISDFGLARAFRGDQSKDVTKRAAGTL >SECCE3Rv1G0209050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936113990:936116916:-1 gene:SECCE3Rv1G0209050 transcript:SECCE3Rv1G0209050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASAGGEGPLVYQAWKGNNVFFLRGRFIFGPDARSLFVTMFLIIAPVTVFCVFVAKELMNSFSYGLGLPVMVVAAVFTAYDLSLLFLTSGRDPGIIPRNAHPPEPEGFEGNAEVGANQTPPLRLPRVKDVVVNGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFSWVYIIKIRDAEQITIWKAMAKTPASIALVVYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKEIFFTPIPASRNNFGARVPQEQGLRPRSTNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAAEIGDLGGLGNLLEDKDGRFRNASPDLSRDALVVGGLDEQGSSVMNPPRTSWGVEAGR >SECCE7Rv1G0463520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:54523871:54524428:-1 gene:SECCE7Rv1G0463520 transcript:SECCE7Rv1G0463520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHLVMYLTIKSIMLLRKYLLVTEFQVSKCGSHIVKIRRDVLYPKRMKYSKYFKCRYSRGREPDGTQLGFGRYGTKSCRAGRLSYQGIEAARRATIGKFHRAMSGQFRRNCKIWVRVLADLPITRKPVEVRMERGKGNLTGWIARVSTRQIPFKMDGVSLSNARQAARLAAHKPCSSTKFVQWS >SECCE3Rv1G0166480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:187169612:187171195:-1 gene:SECCE3Rv1G0166480 transcript:SECCE3Rv1G0166480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHMAAARPPTHHHHNNHQQQQQQKAANLARTFTKLLRRKRSDSAAAAKPGAGPKEADAPPSVTADDYDSSMEATTPTAAAMPSLSKLKLSGNLAAAYSLDAFFRNAAEKKAAGAQQQQPSPAGAAADAAADSLLANLFAGVSAVKAAYAQLQLAQFPYDAEAIQSADAAVVAELTRLSDTKRRFLKDPAGAARDAAAAGNTALSAHAEEQRHLLKTYQITARKLEAELRAKDAEVDRAKGSLDAELRAERAMEVRLHPGRTLASLDELHVSGLNPTHFLTALRHAVKSIRSFSKSMLSSMQAAGWDLAAAAAAVHPGVPLRRAGDAKFVFESYVAMKMFANFHRRDFNFSFLDEREFYERRRFFEEFTELKAAPASVFLDVRNPRWSGFGKFLRAKYLSLVHARMETAFFGRQEQRGIVSAGPGFPESSWFAEFAEMARRVWLLHCLFYAFDGGDEEDGASIFQVRTGARFAEVYMESVNDGRTEDAFCTAGEDRTVGFTVVPGFRVGRTVIQCRVYLARPGRRP >SECCE7Rv1G0482830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:313239141:313245472:-1 gene:SECCE7Rv1G0482830 transcript:SECCE7Rv1G0482830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRAVLLSRLSPLPAAASRFLVRPLAAAASLLPAATSPVPAAARGAVRCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMEPPAGDAANPDVTRDEIIDSYIKTLAQVVGSEQEARQKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFIGGEAVPYDPKYHEEWVRNNARANDRSRRNDRPRNFDRSRNFERRRENMQNFQNRDAPPGQQGFNGPPPGQNPGSMPPPPPPSQNGGNVPPPYTPGGPSNYQPQMPNPQAAYTPGGAPQMSNPQAGYTPGGAPQMPNPQTGYTAGRTPHMPNQQAGYMPSGASNYQQGGQAGYQDGPAGHQGGNQVYPGGNLPVGPGPAHPGSSPGYQGANAPPREGYGYPGGYNSSAPGGYNQH >SECCE5Rv1G0347880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:671085238:671086140:-1 gene:SECCE5Rv1G0347880 transcript:SECCE5Rv1G0347880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDFVGRGYAPRGLALAGGEQQLAFHEAAAAGLSSLELLVSALSPRADCAPPPPLGEIADQALSGFRRVIDILGRTGHARFRRGPVGGGAASLSPPPVSSPPRTPARPPAPAASQQLAPQKSLTLDFTKPLKAPAAAAVASVTSTSFFSSVTAGGEGSVSKGPSQLVSSGKPPLAAGTKRKQQQQQTPCASAAHSDATAAAGGRCHCSKKRKHRVKYTTRVPAVSSRTADIPGDDYSWRKYGQKPIKGSPYPRCYYRCSTAKGCPARKHVERATDDPAMLIVTYEGEHRHDTSPPAAAN >SECCE2Rv1G0105840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:625930787:625932925:-1 gene:SECCE2Rv1G0105840 transcript:SECCE2Rv1G0105840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAASLIRRTTSSPYRRLLLPTFSHLHRPAPQPPSPWLPPQNRFLSSDATADPDRKLPPLDPKQLWRELSTTEPATGTSRLPKATWDDVVALTRRFAKDPAIADQVLALYIPSSEFPTYARHFRHFIPARLSQESAERLLSLPGEDAHALLLPAFAEYCLNYHADQLRQHKSVMAAADLTAPHTWYPFARAMRRRVVYHCGPTNSGKTHNALARFSAANSGVYCSPLRLLAMEVFDKVNALGVYCTLRTGQEVKEVPFSNHVACTIEMLSTEELYEVAVVDEIQMMADPVRGYAWTRAVLGIKADEIHLCGDPSVLKIVRKVCADTGDDLEVHQYERFKPLVVEAKSLLGDLKNVRAGDCVVAFSRREIFEVKLAIEKFTRHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYSLSKYNGDKMVPVAASQVKQIAGRAGRRGSVYPDGLTTTFLLDDLDYLIQCLQQPFEEAQKIGLFPCFEQVEMFASQFPNLTFTDLLDKFRDNCRIDKTYFMCQQDGIKKVANMLERVQGLSLKDRYNFCFAPVNIRDPKAMYHLLRFATHYSQSRRVSIAMGMPKGSARNDTELLDLETKHQVLSMYLWLSHHFEEDNFPHVQKAEEMATNIADLLGKSLAKASWKPESRQQAKQRREKQEESDSDAEQASDDDAKNVSKVCYERTRSLPKTFPR >SECCE1Rv1G0052290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:660529523:660530914:-1 gene:SECCE1Rv1G0052290 transcript:SECCE1Rv1G0052290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKQWTRVRTLGRGASGAEVFLATDNASGDLFAVKSAVGAACAAALRREQMVMAGLSSPRVVSCIGGRAARDGSYQLFLEFAPGGSLADKVESNGGLGERAVRGYAADVAAALAYLHGAGMVHGDVKARNVVIGADGRAKLADFGCSRKAGADVPIIGGTPAFMAPEVARGEEQGPAADVWALGCMVVEMATGRAPWSGMDGDALAALHRIGYKEAVPELPQWLSAEAKDFLAKCLVRQANGRCTAAQLLEHPFLAAAAVVNAKPEAVESKWVSPKSTLDLAFWESDSDTDDDEVDDERWHGAAESRIRALSCPASALPDWDSDEGWIDVLSGPTEAPVAAPAKVTTGIIVDEESIDAESGALDITVDIVNAGQEAHDDDDSVVGHSRHQPSEILFSHQLVSCKLLPVLLCCNRISNAIDSVHAKPLCFASAAPLFLSLLAAAPHCDTIDREIWRKNIGCH >SECCE2Rv1G0124880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:835086626:835091254:1 gene:SECCE2Rv1G0124880 transcript:SECCE2Rv1G0124880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKLAAGDGSYDFHLRSLSAASRDSAAAADPASDPNLLQSVRMVFEMCKEAKGANDEMVARAFPVMNKLFQRCAAAPTLSTASTGVLLLTILQFFLDFGEAVLHDADGSLKTFFRSCLSREFSDPIVAERTLEFLIANKTKILNCFPTLVPQFFPLLLKLIASNGDRLDKKFSEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSLATIQKSAAPEMLLALMDEAYTGSSIEDQSGNSGSDDSGRLDLADPMFLDLLKDENDGIAAKHWTSPTISSTLQAALNSPQSDRLKQSLKMAPRFLTVYFATALRDVNNSLLCALIPVAMSRYAAMFPDKDFSFEVRKKLSDFLLAAFQRSPDIIALLKKPITDRLGEAHGNPAKMELALHLCWAIGEHGAGGIKHKDVARELFENLELLLYENLATSRLGLSQDPGFDSMGASSRKSSQARLLCFVVTAIAKLATCHNELLPRARVSLAKVARSRTSDRRVWQRACDYLGLMNEPAICLSVLGPSTAQGNGPGIVNWSEGGTKMVAHIPFYLLAEQKGPPSHDFSYVDLLPAE >SECCE6Rv1G0384840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:69230219:69231283:-1 gene:SECCE6Rv1G0384840 transcript:SECCE6Rv1G0384840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRRQGEEGRQANCHDRSGNKHEKSLYLVLDDWHRGFTIRKLDADSPDISNPPVVRLVSPARNLPMDFAALGGNIIATSNQYTATMVFDTETDALAMGNPLPDSLLDAANYFLTAGDALFAFAYYFMRRPHSFQVLTTTTKDDMNTLCPSTDWSWKSMPAPFAKDEKIESYALHPDGHTIFVSSYINDINRGTFSFDTKTREWRRHGEWMFPFLLEGYFDADLDAWVGLHPDGYICSCQVPSLSNSSSTLRQPNWKMAKEHRMWNPYHQLARGRGPTLTYMGNSRFFLVDCVAADGLEFQDAFGDSRGCVLNMTTFRLRYDSEGNLRIKDRNTTSCRVSKQLSSFSPVAFWM >SECCE4Rv1G0254590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:639567839:639568060:-1 gene:SECCE4Rv1G0254590 transcript:SECCE4Rv1G0254590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADPTRTSWPEVVGIPATPAVMKINHDRSDVAIEVLPDGVKVPKGFNAKRVRVFFDAKESQGLVVRTPVVG >SECCE2Rv1G0086070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:202245691:202246774:1 gene:SECCE2Rv1G0086070 transcript:SECCE2Rv1G0086070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, Brassinosteroid (BR)-regulated growth response, Feedback inhibition of BR biosynthesi [Source: Projected from Oryza sativa (Os07g0580500)] MTSGAARAAAAAEADAGLGRTPTWKERENNKRRERRRRAIAAKIFTGLRALGNYKLPKHCDNNEVLKELCREAGWVVEDDGTTYRKGYKPPSSGPFGGVSSAGMSPCSSSQLLSAPSSSFPSPVPSYHASPASSSFPSPTRMDNPTPACLLPFLRGLPNLPPLRVSNSAPVTPPLSSPTASRPPKILKPDWEVDPFRHPFFALSAPASPTRGRRHEHPDTIPECDESDVSTVDSGRWISFQMATTAPTSPAYNLVNLGASSSNSMEMEGMAGERGRSGPEFEFDKGRVTPWEGERIHEVAAEELELTLGVGSK >SECCEUnv1G0537620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69443368:69447186:-1 gene:SECCEUnv1G0537620 transcript:SECCEUnv1G0537620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLASASLIPTTHLGHTSHVGPNSKNLWLRSAASSHKSKGKYCNLTVRSQADSQPEEPIGLVYTKLKPWQIPRRDWFSPEFIFGASTSAYQIEGAWDQDGKEPSTWDHFCHNYPERISDGTNGDVAADSYHLYEEDVKALKKMGMDAYRFSISWSRILPRGRGEVNQAGIDYYNKLIDSLLCHGIVPYVTIWHWDTPQALEVEYGGFLDRRIINDYKNFADVCFKNFGDRVKNWFTFNEPHTYCCLSYGTGELAPGRCSSGMDCAVPEGDSLKEPYTAGHHILLAHAEAVEMFKTHYNRHEDAKIGMALNAMSYEPYQDSFLDQQARERSMDFNLGWFLEPVIRGDYPFSMRSLIGDRLPMFTELEQAKLASSYDIMGLNYYTSRYSKHIDMSSSIMPKLNSEDAYSSSEIAGCDGNAIGPNTGTYWIYMYPKGLRDLLLIIKEKYGNPPIFITENGIADVDGDETMPDPLDDWKRLDYLQRHISAVKDAIDQGADVRGHFTWSLIDNFEWGSGYTSRFGLVYIDKKTLTRKLKKSAEWFGKFNGPKRLLGTTKPTGQATL >SECCE5Rv1G0366590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:814467827:814469297:-1 gene:SECCE5Rv1G0366590 transcript:SECCE5Rv1G0366590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLVAAPFPLAAARARVRGPPFAPLPLPRRAAAPALRVASDGNGGRLVPARPGLEAEDAATALVPARGAVSERAARKESERRTYLVAALMSSLGITSMAAAAVYYRFAWQMEGGEIPVTEMLGTFALSVGAAVGMEFWARWAHRALWHASLWDMHESHHLPRDGPFELNDVFAIVNAVPAMALLAFGFFNRGLLPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIENVPYFRRVAAAHQIHHMDKFDSVPYGLFLGPKELEEVGGTEELEKEVQRRIKRRQKSDASQ >SECCE4Rv1G0255610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:648760419:648760829:-1 gene:SECCE4Rv1G0255610 transcript:SECCE4Rv1G0255610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE3Rv1G0203390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883143993:883145983:1 gene:SECCE3Rv1G0203390 transcript:SECCE3Rv1G0203390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATFPKLQLGPRCHGFSQLQATDPGHIHMKIGDSCKCPMPSQWLLVSTGRSARHTFLPVLAARSGRGASVAEAEKTGLSLDSFKTTVVKRDDENIHLRIELPGKETQKVFDAALTSLAKDAPPVPGFRRSKGGKTSNIPSSILLSMLGKSRVTKFILQEILSVTVGDFVKKENLKVNPEIATTQSEGDLESSFAPGSSFGFNVILELEKEADTDDAIDVELSDSKDAPDVKQSDPEEPSEEEEEPPSSTT >SECCE6Rv1G0415680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:643546845:643547246:1 gene:SECCE6Rv1G0415680 transcript:SECCE6Rv1G0415680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLLLLIMVLATAGALVPAMAGTSASPLPPARRSRFLLAGGTIHPPLPAYDCSKKSAEACLATGTACCSGQCVDTVASDQHCGGCNKACKHGRTCCGGRCVDLLSDKKNCGTCSNKCNKKCSYGFCDYAQ >SECCE7Rv1G0460880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:33948575:33949846:-1 gene:SECCE7Rv1G0460880 transcript:SECCE7Rv1G0460880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPAILDDLFEEILLRLPPDDPGCLIRASLVCKAWGCLVSRPHFRRRLHELRRAPPVLGLLHDLEDERIPRFIPTTASSFSLVAPDSRSWRALDCRHGRALFLSNSESPETQELLVWEPVTGAQQRMPVPAALESNFPMAAVFCAAADGCDHRDCLGGPFRVLFIFSVVVGQITDDDYYLTSACAYSSETGTWGDLASLHRRWPMIFTFYSSVLVGRSLLYFMSDDAFILECDLARGRLAVFNPPNHEPACGQHTIMLAEDGGLGVCQMMDQQLKLWSREVSDSADARWVLSRVSYLKNLLPVSALVDAENMVQVLGFAEGANTIFLTTVDGIFMIELHSERVRRVCDDHLFCYLIPVVSFYTPAPRGEHRDPALKHSEDSGGDEQGGGEKTDVQQLINKMSHMLPRGTFSTPSNASTTP >SECCE4Rv1G0286910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:852124970:852126359:1 gene:SECCE4Rv1G0286910 transcript:SECCE4Rv1G0286910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKLQWSSRLVLLFATFFLSWTASASHQRVRDDLHPVVLLPGHSCSQLEARLTEAYDPPSPLCGLHKGDGRWFRLWMNTTALHHLPNVACFADQLRLVYDPAVGDYRNVPGVETRVLSFGSTAGFVSDDKGHCMGRLVEVLERTGYRDGENLFGAPYDFRHAVATPGLPSREFSMFRRNLTTLVEHASRTNGGKPVVLVSHSQGGQFALEFLSRSPLAWRRRLVKHFLMASTGAGGIVVSMQGLASKDGGDVMSTRRVRRSFASAFAVLPSPTVFGDETPLVVTPARDYTARDMPEFLSAIGLPASAVSLYLSRALPVTLNLIAPLVPTTCINGVGVATPEKLLYWDGDLDKDPDEVVYGDGDGAVNLASILALDTVIGEDPGQRGCYRSIKMANTTHAGVVSDGLAVERLIDEILEANRVTEACVLPTADHSTI >SECCEUnv1G0559160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:336233155:336234825:-1 gene:SECCEUnv1G0559160 transcript:SECCEUnv1G0559160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGSSSSPSSSPLHVVICPWLAFGHLLPCLDIAERLASRGHRVSFVSTPRNIARLPPVRPAVAPLVDFVALPLPRVDGLPEGAESTNDVPHDQFELLRKAFDGLAAPFSEFLRAACSDGTSSRPDWLIVDTFHHWAAAAAVENKVPCVMLLLGAATVIAAWASGASEHAAAAVEKERSAAEAPSFETERRRLMITQNASGMTVAERYFLTLMRSNLVAIRSCAEWEPESVAALTTLAGKPVVTLGLLPPSPEGGRGVSQEDAAVRWLYSQPAKSVVYVALGSEVPLHTEQDRTRGRGLVVSGWVPQISVLAHGAVAAFLTHCGWNSTIEGLLFGHPLIMLPISSDQGPNARLMEGRKVGMQVPRNESDGSFSRDNVAAAVRAVAMEENGWSVFVANARKMQEIVADGACHERCIDEFIQQLRSYKA >SECCE4Rv1G0254900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:642769872:642771209:-1 gene:SECCE4Rv1G0254900 transcript:SECCE4Rv1G0254900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPQPAGRVRLNVGGQVFETTADTLTGAGEGTMLSTMMEPCWNAGGAAATAGGVPEYFIDRDPACFASLLDMLRTGELHVPAGVPERVLFREANYYGLLDRVRAARIGELDLERVRLAASVPPGRVPVDYPIVRAAPDGGCCITHTYPYGTTARVYNWMLEERRPVCLTPAVLSVRDAVYLGPSTLLVGGLGMAAFSALTGDLSHHFRLANLGESVDADTVALASDQETKMFASCRGRSRYGHSYGIGVWDCITGEQAGSFLYPKPENTNKLQWLPNTKALMAASASRPSFSMALVDSRDMSVVWSWSYDSAREGNKENIVDAAVMEDERSVCLIGEHHDLGLLDIRIQGGNSGNVQWLYQRKMSSTSKSNIPKLAVHGGLLLASKDDTISVFGGPKYDLRLALRGSQGGGAINDFSVGGDRLFAVHKEDNVLDVWETPPPPVI >SECCE3Rv1G0185620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:676343916:676344881:1 gene:SECCE3Rv1G0185620 transcript:SECCE3Rv1G0185620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIMAARGQGQGQGHQVQQDFDFFLVVDFEATCEKDARIYPQEIIEFPAVLVDGATGLIESAFRRYVRPRHRPVLTQFCRDLTGIRQEDVDGGVDLGEALWLHDAWLKAATAGAGNKRCVRLAVVTWGDWDCRTMLEFECRFKGIEKPSYFDRWINLRIPFQAALGGGGRVNLQEAVRAAGLDWEGRLHCGLDDAHNTARLLVEIMRRGVKITITGSLAPPPPPPRSIPFGGSSAPAPPSIQLQPPHTRPCGGSSAPPLLPPIQQQQLPQRHICTCGGSYATCLCYCGVATKGGVVPVPGPGPMQVNCFLGGGNWMPAMV >SECCE5Rv1G0311130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:187376917:187379821:-1 gene:SECCE5Rv1G0311130 transcript:SECCE5Rv1G0311130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEQQRHDELEAPLLPGDGGKAAAAAGSAYALVCSLVASAISVIYGYNRGVMSGAQKFVQDDLGISDAQIEVLIGATSIYSLVGSLAAGWACDCAGRRRTMALSAAMFFAGSAITSVAGGYAVLMAGQLVAGVACGFGLVVAPVYIAEMAPAASRGFLSSIPEIAGNSGILLSYIADFALAGFPNNVNWRLMIGVGAVPPLFLAVATLLAMPETPRWLVLHGHHDEARRVLARTTGDAALADRRLKEIVSSAQESSKQAVPGRSDGKTRSTSVWRDILIRPTRSVRRVLIAILGLQFFQQASGVAALVLYAPRVFNHAGVTSQPAVLGATILLGVVKTVSIVIPLFLADRLGRRPMLLTSAGGMALSLLVLGFSLRASPTAHAGANAAWWAAATSVTAAAAFMATFSLGFGPVIWMYGSEILPLRLRAQGTGIGTAVNRVMSAVVGMTFISLYEAVGMAGSFYIFAGCAAASWVFIYALLPETKGRSLEEMEALFDDSAKSPSLTTQ >SECCE3Rv1G0146230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:10676145:10678252:1 gene:SECCE3Rv1G0146230 transcript:SECCE3Rv1G0146230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSTDWANGNGLALTDRLVLDVGDHKYLPRIAPHYLQWRALYGEPFLYWHGPQARICIFDYELARQILSSKSGHFVKNDAHPTLLALVGKGLGFMEGEDWVRHRRIINPVFTIDKLKMMTKTMLDFAGGMAKELEDQASQNENGETKVDINKLFSDLTVDNISYATFGSSYKLGNEVFQAQTDMLGITMETFLDVPIPGFKYLPTERNRRKWMLERKLKSLLTQIIQPQLATGEYGNDLLGVMLDSCIETKQGGKQVHLSLSMEEIIHECKLFFFAGHENTSLLLTWSVYLLCIYPEWQERLRKEVLREFGRESPNPNMLNKLKEMTMVLFETLRLYSPALFMQRKTVTDMTVGSIKLPKGIAIVIPIPIMHRDKEVWGDNADEFNPLRFRNGITGAAKVPRGLLAFSMGPRSCIGQNFSMLEAKSTLALMLQKFSFTLSPHYVHAPVDLFTLKPKFGLPVLLRPLDKCN >SECCE5Rv1G0301350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:30163401:30169041:1 gene:SECCE5Rv1G0301350 transcript:SECCE5Rv1G0301350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLRRNLKRQTSRARSLSPLSVASPADGQENLHPNLAAAPPSPAGKPSPTGLSKPVPGAAAAAVPAPQPAAAPAQQRPVDDEPAVKVVVRVRPAVSLPVDGKDLFFVRKTSPDSIAVGDRAFAVDGFLDDRASQEDVFHQVGVPMIHSALAGFNTSLVCYGQSGTGKTYTMWGPLAAMFDNRSDRADRGIVPRFFQNLFSQIQGNEESSPEKHTSYQCRCSFLEVFNEQINDLLDPSQRNLQIRETTGNGIHVENLTEEYVSTVEDVNQILMKGLTKRKIGIDSMNLKNSRSHVIFTCVIEAWSKDLSSNGFSSSKTSKITFVDLAGVDIDESDGAGKNITREERHIKKSLSSLGKLVNILSEEPKTQEDELPYSQSRLTHVLKDTLGGNSRATFLCSISSEHRYRSETLSTLRFGERAKLMPNKAVVNEISEDDVNGLSDQIRQLKDELVRTKSGEHATSETGYVNAQIARASLHTLRVSLNRSIILPPIEVEEEDEMDVDEDDVHELRDQISKIHSSSEDTLDDFMDAESGEDSPRLEGNPISWEYDDQVVIDDNEGPLQEEPQKMHTNANADHDQVSDRKSVLSISASEQLSPIQDATFCSSPKIHKARKSITSPGFSPSKLSESSPGEADFETYRKSAVRSSLQSSKLSPTDSLAASLQRGLHIIEYHQQNPPAPRKSFVGLSFDHFALNPRQCAKASSALEALPEGGASLASTICSSCKKAMGTNEDQSEDINSEKQIVTATAVTSNDLANASLQGGGDISSTAGSKRVAELEALCEEQAAKIKELSNLIEQQKKVSDDAKPTAEDKIAEQCEDSKMLLDVNEREALEGEIRRLKDQVELLTDGSTANDSLLDQIRNGSTDQEYELEKERQKWTESESKWISLTEELRVELESSRIHAEKTEAELREEKKCTEELDDALQRAIYGHARIIEHYVELQELYNDLLERHRGVMGGIAEVKRAAARAGKKGCGTAFAAALAAELSTVRIDREKERAQLREQNRRLRVQLRDTAEAVHAAGELLVRLREAEEASTQEKERSSAMVQENQKLKKQLEKMRKKHEVEMETMKHYLAESRLPESALEGFYRGEDAPREYSNIHAPRESSTAGQDDDQSWRSAFKSEFE >SECCE1Rv1G0027790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:389015143:389018699:1 gene:SECCE1Rv1G0027790 transcript:SECCE1Rv1G0027790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVAAAVALLRLPLARLSSHRRSLPSPPVTSARLRLSAPHRPLCSLLTGGHGLAVAAVSEAVASADEEEFEAKEEEQQEEAPPSFVLPRLPRPKLDVKERKELASYAHGLGKKLKSQQVGKGGVTPNLVTAFTDNLESNELLKLKIHGNCPGELPDVIRQLEESTGSIAVDQIGRSVILYRPSSSKMKKREEVARNREEYARNNPRFGKSENAFEERPRNNSAGRRFVKSGSTFRTQQKRRPVASKGSSYSRG >SECCE1Rv1G0050370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:646262399:646263928:-1 gene:SECCE1Rv1G0050370 transcript:SECCE1Rv1G0050370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFISAVLGDIVSRAISVVVDKCREQTTTEEDLQRLRQLLLRISVVVEEAEGRLVTNRGMIRQVCVMREQMFRGYYLLDAFRCREKNTGEDEVSRRSFARSAFSPAKRFRRISSSTQSESMVIACRESSSKELKQVVLGLETMVADMKEFAVFLMSYPRMHRQPRSVYLFMDKCMFGRQMEMEQAINFLLQSDHQTLGNGDPGVLPIVGSALIGKSTLVEHVCNDERVRKHFSSILFYTGDSLTTDETATTFRDNCTIKHQNINIASVEERSLLVVELSEDFDEDTWRGLLRSSMRSLKHGSKIIVTSRSEKVASLGTIEPVRLERLPEEAYWYFFRTLAFGSTDPEDHPELTSIGMELAVEMRGSFMNALISADLLLGLGADRLRARTWHRVLAHFRDYRQKNTLLLGGEYPLDLQSRYVWSMAQAPRLGRLKLFLLRDSYQRGPDDRTELPRVRAVDLLSGSAAPRGRSEMLFWRSLVPPYYRYMYVCECEFVRHKNKNTTIEMEP >SECCE3Rv1G0204390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:893762858:893764375:1 gene:SECCE3Rv1G0204390 transcript:SECCE3Rv1G0204390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGAPFDALMSLDPETFARESRAVINFLAGYYRDVEKYPVQPEAMPGCLRTLLPDAPPENGEQMDVILEEVWRHIVPALTHWQSPKFFGYFQANASTAGFAGEMLSIGLNVVPFTRAASPAAAELESVVMDWMGKLAGLPDRFLFSGGGGGVLHGSTCEAVVCTLAAARDRALSRLGHEGILRLVVYASDQSHSTFQKGARIVGVPRSNFRVIRTSAASSYGLTAASVRDAVEADVASGLVPLYLCATVGTTGLGAVDPVRDLGELAREHGIWLHVDAAYAGSALICPEFHEYIDGAELADSVSMNPHKWLLTNMDCCCLWVASPAALTSALSTNPEYLSNVAEESAAGAGVVDYKDWQIALSRPFRAMKLWVVLRRYGGAGMRAYIRRHVEMARWFERALEADERFEVVAPTRFSLVTFRIRQWHEGDPGDDAVDALNRKLLVAVNASGRAFMTHFVVDGKFVIRMAVGGAMTGMRHVKDTWELVREKAKEVGALTNDSGHY >SECCE5Rv1G0338550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:598859496:598860919:-1 gene:SECCE5Rv1G0338550 transcript:SECCE5Rv1G0338550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDVATVFLEMSLGTRLAVSFPASSTTVADLKRRVRHEHAACFPHLGQIAVQSIKIEHGGSWFHLADSMAVRDALGFQGINERWHLQVDASLQVDQGANSANHSEPEPGGGHGYEGSSSRRRSNSETPPGQVEAEVEAKGERSSNEAEKDREQGAWGRRLRPRTQVGETPIDLSSSSSSSSSSESEEEEMDTNTVDAPTPTPTPTPQFVVELKNCHFAKRNGQYLNVPLEFGIVHRYTEKKKVLLRMGGESWAVNLKHGLTPIGKPRTSLRYGWQQFRVDNRLRVGETCFFRALPGDGGGDHHVLKVEVRRLDGSYAT >SECCE4Rv1G0267020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734243531:734244625:-1 gene:SECCE4Rv1G0267020 transcript:SECCE4Rv1G0267020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTQKLHVLVACALLLLLAVGCQASPLQIGFYHDSCPQAEAIVKGVMQEAISKNPGNGAAMIRMLFHDCFVEGCDASVLLDPTPFSPTPEKLSPPNNPSLRGFELIDAIKDALEAACPDFVSCADIIAFAARDASCILSAGKVDFEVPSGRRDGTFSNASEPLKFLVPPTSNLSDLVDSFVVKGLDAEDLVILSGAHTIGRSHCSAFVPDRLNAPSDINGGLAAFLRDQCPSDAAPGGNDPTVMQDVVTPNDLDMQYYNNVLSHTVLFTSDAALLTSEETARMVADNANIPGWWEDRFEKAMVKMAGIEVKTGNQGQIRKNCRAINYY >SECCE3Rv1G0200420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:853493448:853494650:1 gene:SECCE3Rv1G0200420 transcript:SECCE3Rv1G0200420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIRRRPSSPSTVTPPPLEDDDLLHEILLRLPPQPPYILRASLVSKRWRRLATDPKFLRRFVVRHRRPPLLGVFSDYEGSISFRSTLDRPYHIPPERFSLPLDGREPWAMLDCRHGRVLFVEQKRHQFIVWDPITDHHCFVADPPLFKIGVLGGAVLCAAADQGHVHGDCRSSPFKVVVLGYAKHDDQEAGCVASVYYSETGIWGDLISTTLPWRPVACEYSTFVGNAVYWMLMSSGGLSEGILQFDLDQQRLAVINTPPDAHYEFHSVQVIQTEDGGLGFATLLGPHYHPRFQMWEGKVNSYGVARWVLRKTVELQKILGLGFRIEKGMSSIVHYTEDAHAIFFWVHSFVYMVQLESMHPKKLFRSNRFCCTHRPFTSFYTEGILSVPGCWNNLLYF >SECCE2Rv1G0100590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:543946006:543951317:1 gene:SECCE2Rv1G0100590 transcript:SECCE2Rv1G0100590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein-sorting-associated protein 11 homolog [Source:Projected from Arabidopsis thaliana (AT2G05170) UniProtKB/Swiss-Prot;Acc:Q9SJ40] MYQWRKFEFFEEKGAGRGGGGGAPAVPAEIAGQVTCSSGGRGRVAIGCDDGTVGLLDRGFRLSYGFQAYASSVLFLQQLKQRNVLVTVGDDDQASSQSSAVCLKVFDLDKVQEEGSSTASPFCVQILRVFTNQFPEAKITSFLVLEEAPPILLIAIGLDNGCVYCIKGDIARERITRFTLQVEPVSEGTSSRITGLGFRVEGQAHQLFAITPSSITLFSLHHQPPRRQTLDQIGCETNAVAMSDRMDLIVGRPEAVYFYEVDGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRSRKNTLNVYDLKNRLIAHSMPVGDVSHLVTEWGYIILIMSDKRILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNHFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLARYDEALQYISGLEANQAGLTVKEYGKILVDHRPAETVKILLKLCTDGGDPTTRRGSNSMRLLMIPSPMDFINIFVHSPQYLMEFLENYIKAVKDSPAQTEIHNTLLELYISKDLSFPSMSQENGFDDHNSKERKGKEITNGYKSGTREKAKLGKEENKTAKDIADRQRKGLALLKSAWTPEMEEPLYSVDLALIICNANAFKDGLLFLYEKLKLYKEVISCYKQAHDHEGLIACCKKLGDSTQGGDPSLWGDLLKYFGELGEDCSKEVKEVLTYIEKGDVLPPIVVLQTLSKNPCLTLSVVKDYIARKLEQESKLIEDDRKSVDKYQEETELMKREIEDLKTNAKVFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLELNARDHDLFFRQLRGSKDGFSVVADYFSKGVVSKTTIPSENAP >SECCE1Rv1G0035940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:503264779:503267331:1 gene:SECCE1Rv1G0035940 transcript:SECCE1Rv1G0035940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNCNPKDFGAAAYWDARYSSPSTGGKGGGGGGFFDWYQSYSALRPLLRACVPASSRVLMLGCGNSLLSEDMVKDGYQDIVNIDISSVVIEQMKEKHMDIPQLTYMQLDVRDMSFFGDGSFDCIIDKGTLDAMMCADDAPHGAYIMLAEVARLMRPGGIYMLITYGAPKERLTLLNQIRCHWDVELYIMPTPEYQLKWSNGAAHAMMEKVTLTVDGQLPPDYVLKDPESHFIYVCYKSDIVTEDNSMVAGQDDAVTSF >SECCE6Rv1G0446810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846299104:846300439:1 gene:SECCE6Rv1G0446810 transcript:SECCE6Rv1G0446810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAGDTPPPPASTEEESRWLASLSEPELDLLIRVKMLAVKRAETAGRPHLADAFDLRTLRALGVVLLEDFKQRLREETSLDATGLDRLALSRDPVTDVSVGSSSSSDSEVFRRRGRDQPIKPSGVKRKRKQTHDRRHGEAVQRNKKRRKTIERR >SECCE5Rv1G0325380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:465203806:465209312:1 gene:SECCE5Rv1G0325380 transcript:SECCE5Rv1G0325380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAPQRGTKRPLPATAAGDDDDDRSALGDRKVRFPKGKKAKYRDPAAAGSSGEGGAAAEDIDELMNPELAAVKRARRRHRREGDDTQGTANVKGFEMRYKDDANFIDDGIEIEPFNLEQEREEGYFDENGNFVEFARGNDMKDAWLDNVEVDTKFAGKAQKKKDKEEEFQDLSSDDIGKIKRRIANMLEPAETIIQALKRLKSTSTDNRGRMTEGTKRIFDELTEAAMKLMENGEYNVYSDDRETFVREAEGYERLARARLGIPEVEEDIFADATESGPTTTSLLEMDSAPSAANTSATTAIANDDDDNFDMFGENDDNDVNPDSDAKTLDSGCNPEPVPQDASGTSGAEKVGNGSADSDYVYDPSSGYYYSSSTGYYYDAASGCYCAASTGTWYSYDEQSGTYTEMHGEQTGMHKEIEGEEVKE >SECCE2Rv1G0140480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931442505:931444558:1 gene:SECCE2Rv1G0140480 transcript:SECCE2Rv1G0140480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGEYSDLNDPIPSPARTATADGGGEDVVDGSPPPFKIRKLGAPGMSSIAAEDQSEAMAARDAKIGERMEVEEEKRSIQLMAARSGHVDTEMTEFKRGDVADDELHKDKMKGGKSNQQIKNKNKTTPEEMDLGKSNSIISEQEIKELCTQMDQMVAFMNYRIIPSAPLICSSKETPKDEQDKAPQSGIDGILQRLADMRRDMSKLKSELAPFWHVYPYEKKSELTPEEEREKRIKSWQEYQKLDNKEKASEEMEFDVDDFEGYCQGMTSVVEHFRLTTLVSPMHFTHYTPRQIPLDLTSNKITLQIFSFKIASIDLDLQWPLLEWPLKVYGLVAARDSVDRRRNFLFLRKRDNFQEITQEKPFLCLTGPSRAILAEDPVGLEVQLKLKGPSESEDTVLITKRCQHSYYHADNDLYTLTLENRLCTTELSLQQLYRGSVQATFLRVGGFVKGSTTPFIHGGRVACSSPPHGGQGTAPPTQVVLLDSCYCDGGKMPIGEEDGYLDLSRHVVSVELRKVSDDSEELEETLNVFIEAYSDSPPKVSAKADFLVKPQYCGISEHECVLHGSTVKIAIAWSSILQTNKVIL >SECCE1Rv1G0052150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:659421812:659423341:-1 gene:SECCE1Rv1G0052150 transcript:SECCE1Rv1G0052150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAWPPLLLLITLSLSLAASLLFLLSSRHGVEKKATGKELPPGPPALLFLAKFLALRQSIFHLEPLLRELHERYGPVISIRLFRALVFVSDRRLAHRVLVQGGAIFADRPRLSEPGLLFSSGSRNINAAPYGPYWRLVRRNLASEALHPACVSQFAPARRLMRDTLVRDLRARGAGGDAVEVRTPFRNAMFDLLVYMSLGARLAPEVLDEMQEMQLWVVRTITSFPIFSVFPALTKRLFRKRWEAHLAVRRRQDEILLPLIEARRSVSVPRGADDPPCYADSLLALRVADEGGRPLTDSELVSLCSEFLSGGTDSTVTSLEWIMGELVNHPDMQAKVYEEVRSKPELSEGDLQGMPYLKAVVLEGLRLHPPAHFLLPHGVHSDTEIGGYRVPKGAEVNFLVAEFGRDETVWAAAREFRPERFLDGGEGCGVDITGSREIKMMPFGAGRRMCPGYTLAMLHLEFFVGSLVRELEWLPAADGEEVDMTEVLDFTTLMKNPLRVRAIPRT >SECCE6Rv1G0445760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:841011681:841014962:-1 gene:SECCE6Rv1G0445760 transcript:SECCE6Rv1G0445760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDSYVKRCAAALEEFAGQEACGALGIRDNVRGLLGTLARVDAVVTHEERRRVLSSRVDAWVVLLKDVMYEVDDVLDVCAAEGAKILADDHPPTPKVRCAFMFSCFRYSAPQKFHHEIGFTIRDIDIRLREIEDEMPPLPAAAAGPSALSGARRGWFSGEMSRSCLDDAAKPRAAVGAQVQKSVGGLVPRLLREGKKKVDVFAIVGAVGIGKTTLAREIFTDERMTENFPICVWVKMSKDLSKAAFLKKIIAGAGVNAGDTENKEELLALLSSALSKRFLIVLDDLDSPGIWDDLLKDPLGDGVARGRILVTTRDEEVATGLKAVIHRVDKMDAENSWALLREQVFLESDSEEVQALEDVGVKIAEKCEGHPLAIKVIAGVLRSRGTSKDEWEMVLKSDSWSTRPLLQQVPQALYLSYVDLPSELKECFLHCSLYPEDCPIRRFDLVRHWIAEGLVKARGNKELEESAEEYYLELIGRNLLQLDPDNLDQCWMTHDLLRSLARFLITDESILIDGQERSSMGSLSSLSKPRHLALCNMENSLEEPISVKQQMSLRSLMLFNSPNVRVIDDLLLQSATCLRVLDLSKTAIEALPKSVGTLRHLRYLNLDGTQVSDLPSSVGFLVNLQTLSLQGCQRLQKLPWSISELQELRCLCLEGTSLRYVPKGVGELKHLNHLSGLLIGQENNDPEGCDLIHLRAMSELRYLQIERLDRATSGASALANKPFLKVLHLSEQATLIEEEENEEEQENQEGAEKEEKDKHEVNNAQWIRDDSAKVSEKIWNELTPPQSVEKLVIKNYQGRKFPNWMAGPKLSTSFPALAFLDLDNCMSCTTLPALGRLNQLKSLQISNADSIVAIGSEFLGTTVLSQAISFPKLEVLKLRNMKNLEDWSLSVEESQTLLPCLKSLHIQFCPKLKALPEGLKDAALCDLRVEGAHCLTEIKDLPKLSDELYLKDNRALLRISNLPALKSLTIDDCSKLKHVSGLDTLQHLRLVFPPSTETYYFEELVIFWSIAFPRWLELLIRLQANGLRRFELQCALPLLRSCLEGGKNWHVVQQIPEVRITSTDGKKYIRYNKARRIYETNAQCEEKST >SECCE4Rv1G0241960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:465901338:465904375:-1 gene:SECCE4Rv1G0241960 transcript:SECCE4Rv1G0241960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLLLLVHLVFLAEAKGGGRAGLAAALNDDVLGLIVFKADVVDPEGRLATWSEDDERACAWAGITCDPRTGRVSGLNLAGFGLSGKLGRGLLRLESLQSLSLSANNFSGDIPPDLARLPDLQSLDLSSNAFSGGIPEGFFGKCHALRDVSLANNAFTGDIPDVGACGTLASLNLSSNRLAGMLPSGIWSLNALRTLDLSGNAITGELPVGISKMFNLRALNLRRNRLTGSLPDDIGDCPLLRSVDLSSNSLSGNLPESLRRLSTCTDLDLSSNELTGNIPTWVGEMVSLETLDLSGNKFSGEIPGSIGGLMSLRELRLSGNGFTGGFPESIGGCRSLVHVDVSWNSLTGSLPTWVFASGVQWVSVSYNTFSGEVMVPVNASSVIQGVDLSSNSFSGRIPSELSQLLTLQSLNMSWNSLSGSVPASIVEMKSLELLDLSANRLNGSIPSTIGGKSFKVLSLAKNSLTGEIPPQIGDCSALTSLDLSHNGLTGAIPAAIANLTNLETADLSRNKLTGGLPKELSNLAHLIRFNISHNQLSGDLPPGSFFDTISFSSVSNNPGLCGAKLNSSCPGVLPKPIVLNPDSSSNPLAQKEPVPGGLHHKKTILSISALVAIGAAVLIAVGIITITVLNLQVRAPGSHSAAAAAAALELSDGYLSQSPTTDVNAGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQDEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSHANYLSWKERFDIVLGMARSLAHLHRHDIIHYNLKSSNIMLDDSGEAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFTCRTVKITEKCDVYGFGVLVLEVMTGRTPVEYMEDDVIVLCDVVRAALDEGKVEECVDEKLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPEAELG >SECCE3Rv1G0188990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:720412284:720413195:-1 gene:SECCE3Rv1G0188990 transcript:SECCE3Rv1G0188990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGQPLRADPQQRRHSPPALHPAVVPSYPPPESDNDESWVWSQIKAEARRDADAEPALASFLYATVLSHPSLERSLSFHLANKLCSSTLLSTLLYDLFVGSLAAHPTIRAAAVADLLAVRSRDPACAGFSHCLLNYKGFLAVQAHRVAHVLWAQNRRALALALQSRVAEVFAVDIHPAAAIGKGILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVLIGAGAKIGAGSVVLIDVPPRSTAVGNPARLIGGKKGDDMPGESMDHTSFIQQWSDYTI >SECCE6Rv1G0438040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786938756:786938989:-1 gene:SECCE6Rv1G0438040 transcript:SECCE6Rv1G0438040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE7Rv1G0526590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:896099148:896100992:-1 gene:SECCE7Rv1G0526590 transcript:SECCE7Rv1G0526590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTHLIVLLGVLLLAGTSSSQPTSGDQATLLAIKKQWGNPTQLASWDPVANADHCDWTGVICDGGDGRAVIGISLPKLNITGKVPESLCGLPSLTRLDLSYNNLTGGFPGAPLYACTHLRFLDLSNNAFHGVLPDDISRLSPVMEHLNLSTNHFRGVVPAAVAGLPALRSLVLDTNQFTGAYPAAEISKLAELEQLTLASNPFAPAPAPPEFAKLTNLSYLWMSDMNLIGEIPKAYSSLENLMLLAIYDSNLTGEIPAWVWQHEMLEFLYLFSNGLTGKLPRKVTSVNLVELDVSSNNLTGEIPDDFGRLKNLRLLFMYSNQLTGTIPASLTRLPNLRDIRLFENNLSGKIPTELGKHSPLGNLEICKNNLSGLLPDSLCANGMLYDIVVFDNSFSGELPENLGDCVLLNNIMLYNNRFSGEFSPKIWSLPKLTTVMIQNNGFTGTLPDKIGENISRIEMGNNKFFGSLPTSATGLAVFKAENNLISGELPPDMNGFPNLGQLSVSRNRLTGSIPISIILLQSLNNLSLSDNNLSGAIPFGSLASLPSLKFIDLSRNKFTGGIPTSIISFQMLSNLYLSNNKLSGTIPFDSLALLPSLTHLDLSNNEFTGGLS >SECCE3Rv1G0184310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:662003367:662033222:1 gene:SECCE3Rv1G0184310 transcript:SECCE3Rv1G0184310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLNIVIGSHVWVADKDLAWIDGEVFKIDGQNAHVRTTKGKMVTANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYAKNIIYTYTGNILIAINPFQRLPNLVDVQTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDKSGKISGAAIRTYLLERSRVCQTSSPERNYHCFYFLCSAPSEDIKKYKLGDPSSFHYLNQSSCIRVDGINDAEEYLATRNAMDMVGITEEEQEAIFRVVAAVLHLGNINFAKGTEADSSVIKDAKSRFHLNTAGELLMCDCEKLENALIKREINTPEGVITTTVGPNSATISRDGFAKQIYSRLFDWLVNRINASIGQDPSSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETLSQKLYEKFKNHKRFAKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSVLFPPAPEENTKSSKSSSIATRFKMQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEILKEKNDEKTTCQKVLDKIGLEGYQIGRTKVFLRAGQMAELDARRTEVRNIAARGVQSQLRTHVAREQFLILRNASVCLQSFVRARLACKLHGFLRQQAAALKIQKNIRRYFARRTYSQLCLSAITLQTGLRTMAARNEFNSRNQNKASIHIQSRWRRHRDNLSYIKLKRAALIYQCAWRGRVARRELRQLKMAARDTQALKVAKEKLEERVEELMSRLSLEKKQRTDLEKSKATEISKLQSALHDMEQRVEEAAAMKENESAKKAVEEALAQEREKISSLTSEIEGLKVLLVAEREENDVTKKAHANAQERNEELNRKVQDADEMIKQLNDIVKRLEETVREGEALLLTEKQQMEEASAALAESQLRDQACMIKIEEAEKQITLLQENVERFEYSMSDLQSSLTIEKQQHEASVVELAEAQGKIEELLREVGDANEKSTLLQTTVQRLEERLTENDALSTTERQESEATKKLLNEVQGKNEELLKKLEDTGKNIVHYQDTTQRLEENLVAVEISLKAERQQNDVIMKQLADAQVEIVELQRNLEGADKRNSLLQDSLQRLDEESTARDALLVAEKQEKEVTKKTLTEALDRIEELVKELECANNSMHQLQDSIQRLEQSASAREAVLLTEHQEKDAKSKALAEAEARIDGLLADISSANINIDLLQKTMKRLEEGATTTDALYLAEKHAHDQTKKVFSEAQEVNQELLRKVEEADKNIGHLLENVERLEKDAMTRESLLLKTKQSYDDTITELFEAQERNQQLTSKIEDSDNKIGLLEVSVKRLEESTSVVDSQLAIERHENSKLRSELSDALLRIDELLNEAQDNHASLAERDDMIKRLEENVSTKETLLLTEREQNASTSKLLAEEQLKIAELIKNIEEAHRKSDSLQSTIERLEEDGTAKDVLLLTEKQAHEATRKTLVEAQERNEELLQKIHDDDKNILQLQFTIQRLEENTATKENLLLREREQNDATTKAQIESQERSEELLKKFVDVDRKIDLLQDSIERLGESSTTKDALLLSERQEKDAMKKELAEAGERNGELLMKIEDTNEKIEHLQNTIIKLEEDIAAKDVSLEAARQENDSIRKSLTEAQERNEELLRKISDNEYRIHLLQDTVQKIQVDAISRLSSFVMEKQDSDVAKRALTEAQARNEDLLKRNEDLLNRNDDLIKKIEESGKVITHLQESLQRIEGKAANLEAENHVLRQQATATPPSTAKSQPSRSKITRIHRSPENGHVLNGELRQAEMRPSAGMSEATPPVGNAPDSSNQKDFEHGEKLQRVLNHKHQSPQPQQPQDDQQWLLTCIPQYLGFSGSKPVATLLIYQCLLHWRSFEAMKTGVFDRILQAINSAIEAEHDVRTLAYWLSNLSALTVLLQRSFKTTRTALSTPQRRRFSSERIFHTSQTSNAGLAYLGGQSVVGATGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSNLAKSNTNGLGQQNQLAHWLSIVKVLANYLDVLKANHVPSILVHKLFVQIFSLIDVQLFNRLLLRRECCSFSNGEYVKAGLAELKHWSDNATREFAGSAWEALKHIRQAVDFLVISLKPMRTLREIRADVCQALTIQQLERIVGMYLDDVNGTNTISAEFASSLKAAAREEANTVTTFSILLDDDSSIPFSLDDITKTMPIIEMADDDLLPFVHENPGFAFLLQRGE >SECCE4Rv1G0245630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:534440371:534450588:1 gene:SECCE4Rv1G0245630 transcript:SECCE4Rv1G0245630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDWIELSDDEEVTVKERLPAEKVKEELPPTKVKEEFPPTIVKEELDTHVHGTAQLEVEIVDLTTEEADVEEDRNAHGKGDAAQEATTSHRQQFVAPHGQGEAAQTVVPRQEFLAAANGVEEFLVIGDVAQEAMQSGNQDFAAAVDCAEEAMQSENADEAGPSLFTEQGAAAGVCGEEAMQPGNQDFAAAVDCAEEAMQSENAAEAGLSSFTEQGVVAGDCSEEAMQPGNQDFSAAVDCAEDAMQSENAAESGPSLLTEQGVVAGYCSEEAMQCGNQDFVGAVDCAEEAMQSDNAAEAGPLLFSGQGVAPGDCGEEAMQSGKQDFVAAVNCAEEAMQSENAVKAAPSPLIEGVAAGDFAEEAMRYNHENQAAQCSPMTGQAAASSLSMTEQGVTTPSLRTVQQSHNRDALLGSPPISTAAPFPRQFWKAGEYKVAARASINNGQNCLRINPKFLHSNATSHKWVFGAIAELLDNAVDEVQNGATYVKIDKMKYSPVGEYSLMVQDDGGGMSPEYLRHCLSFGFSNKCTNSSIGQYGNGFKTSTMRLGADAIIFTCRKANSRLTRSVGLLSYTFLKGTGCDDILVPVVDYEFDPSSRNFKRIMDRGEKHFSSNLSTLLRWSQFSTEDDLLNQFEDMGCHGTKIVVSKLWLNDADEMELDFTTDDEDIMMSGAPKIPEERAKVKRLNHMHIANRFRYSLRVYASILYLRLPEHFKVILCGQTVEPHQIIKDLIYCECIKYRPQVGISVQVDVITSIGFLKGAPNLDIYGFNVYHRNRLILPFWAAGSERGRGRGIAGVLEANFIRPTHDKQDFEKTELFQRLEMRLKDMAMEYWKHHAHLVGYRPLTKSLRPAYYASIAATNYNSSVAQATATAYARNSRAKASGVLNSHFNGGNSLNPLHIGALRNMRTSVYMPSTPQQTEPCKRRNSGSMIETRAPKRHNPNGDADHLGSVDVVEVGEARSSLLICQNMLKAECFELEAAGQNLRSKADRLRSELREWQRKQRSLTDELEFYNGLSAIQRRIPIASTSRGVGWI >SECCE3Rv1G0156880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:77295411:77296580:-1 gene:SECCE3Rv1G0156880 transcript:SECCE3Rv1G0156880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPLQVQQQQQLELPPGFRFHPTDVEIITAYLVPKVLKKPFDTRAVGEVDLNKHEPWELPEMANMGEKEWYFFSQKDRKYPTGIRTNRATTAGYWKATGKDKEIVHPPTMSLIGMKKTLVFYKGRAPKGQKTNWIMHEYRLESGKQPTTNLPTDITNATTINASSKEEYVVSRIFHKSIGLKKVVISSDTMPMPMPMVAEWQQGFLESITLPPLTDYNTSSSLAPPLSLPATSLYQLHGLAASSSMMEGALLPIMNDHHHHHQMMVAPPLPSIPFFYHQEQEKQQYQMVPMDMSADHGFMVGVEPGSEPSSIVLQHDVLTGLSNNDLGNDTETTSDEIMSMNMGMDGMWKY >SECCE6Rv1G0439900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:800141361:800148928:-1 gene:SECCE6Rv1G0439900 transcript:SECCE6Rv1G0439900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGADFNGVGEDPRIFRNICRDRILKDMLKPDKDKENKSSWKVLIMDKFTVRIMGYACKMAEITDAGVSLVEDLFKRREPMPSMDVIYFVQPLKENVIMLLSDMSGRCPLYRKAYIFFSSPIPKELVTYIKNDSSVIPRIGALREMNLEFFAIDMQGFVTDHDTALTDLYGANDHNSKKFNDTITTMACRIATTFASLKEFPTVRYRAPKSADPSTAPKFDMVPKWLATATWEIVSKYKSTIPEFPQKETCELLVLDRPIDQIAPVIHEWTYDAMCHDLLEMDGNKYIYEVSKGDSEPEKKEALLEDQDPLWIELRHIHIADASERLYEKMNTFVSKNKAAQLHSRDGGEISTTDLQKIVQALPQYGEQVDKLTLHIDIAGKINRCIRDYGLRDLGQLEQDLVFGDAGAKEVINMLRSKQNLSEENKLRLMIIYAIVCPDKFEGDKGDKLMQLAKLPSDDMKAINSLRYLVSSDAKKAARAGGFSLKFDAQKKKNTGVRTERQDGEEGWALSRFFPLIEELVEKLSKGELPLNEYPSLSEPSSTSQGTAEGASAPKPAQNPQPMSRRSRRTPQWAKGRNSDDSQSSDSSVLRHASGDFKRLGNRIFVFMVGGATRSELRVAHKLTMKLKREIVLGSSSIDNPPQFISKLKALGPS >SECCE5Rv1G0306180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:86230973:86237303:-1 gene:SECCE5Rv1G0306180 transcript:SECCE5Rv1G0306180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKSRGGAAAREDADELSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIDYTLAWLETEGVEEVFVFCCAHAQQVKEHLEEAGWTGKPAALEMAVMAVESHDAISAGDALRVMYGRGLINGDFVLISGDTISNMSLKEVLQEHKDRRKKDPLAVMTMIIKHSKPSILTHQTRLGNDEIVMALASETKELLYYEDRADSSHLCVAIDKDILANNPTLQLHNNMEDCYIDICSPDVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIHSSYAARIDNFRSYDAVSKDIIQRWTYPMVPDVLSFGNCHEMKLHRQGIYKASDVTLAHSAQIGANSVIGNATSIGEQCKISNSVIGEGCSIGKNVLIHGSYIWDNVIIEDGCKVSNSLVCDDVHLRAGAIVEPGCILSFKIKVGKNVVVPAYSKVSLLNKPSNEDSDEELEYADTNSGVTDSAPFSSTRSNADHPTIVSEDYDLGASETGTSGVLGYIWASGDTGIQEEWRQSIAPIPKEKLQELQHAVSVDGDVGSEEDFNNRPSEADRDNDSEISVIEDDDYTKFEKEVEETFQRAVDGVHQDNLILEINALRLSYSLQHADCAGAVFYSIMRAALVAAQSTNDSLLKSTADALTKWKDLLRNYTKTVDEEMEILLKFEEMCQEITKEFSPLFSKILPYLYDKEIVSEDAILRWAEEKENADEPDKVFVKQSDVFIQWLKEAEEEDEDDEEEE >SECCE3Rv1G0200160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:851717750:851718454:-1 gene:SECCE3Rv1G0200160 transcript:SECCE3Rv1G0200160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPLQEIHAYGYSAGATPAHGAGVVPAAADASPRAHQPRPPPARRRLSPFRIFILAFVGACALAGVVALLVWLIYRPSRVQVAVHAATLSRFLVNSTTAPPVLSFNLTAGLIITNPNRRVAVHYDLLHAEGVYRGQSFDRVALPMSFQAANCADRVRAVLEGTSVVAVGVDALGDGDDRTATAFPVDLWLDGVVRYRYGGVMTTSASTLSVKCPLVLQLMMSSRRVECTVNL >SECCE1Rv1G0000240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:991597:991854:-1 gene:SECCE1Rv1G0000240 transcript:SECCE1Rv1G0000240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSARLNSINIVRLLLVVAILCAVNIGVVQGGRRFWRRPPSPQGHPAAHGVCPRCGGYMFTTASTDVDERKNSASTTPFSDLRH >SECCE3Rv1G0184520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:663899292:663899885:-1 gene:SECCE3Rv1G0184520 transcript:SECCE3Rv1G0184520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDHGGGAPRDDGSDDCGCRSWTIVLLLYTSFWLVMMYLPPMDSLPGIRELDGSPPCNDDKPATYSVELAGARGLEPALAPGAASPAFDLVVHVDNGHVYELCHGGGDVVVSYTGVPLARGRTPSFRLAAKDTGRWAVNVTGAGLGIPADLSRLMTAERRWGVAPLEIDMGLARQSFTCDVLVDGQPGVSACRLA >SECCE3Rv1G0166500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:187430875:187432955:-1 gene:SECCE3Rv1G0166500 transcript:SECCE3Rv1G0166500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHATPYAVILAILLGASLASAKTLLQQRDVLRTFVESRAKTLATGAANPDTWADPDSSFKHLPRSGQGAPPGAREADKIAALPGQPPRVNFGQYSGYVTVSEQRGRALFYYLAEAAYEASSKPLVLWLNGGPGCSSLGAGAMQELGPFRVNPDGKTLSRNRHAWNNVANVIFLESPAGVGFSYSNTSWKRDSGDTRTAVDAYIFLLNWLERFPEYKGRDFYIAGESYGGHYVPQLATVIVALRKLGATSINLKGIFVGNPYLDGYKNTRGRYEFLWNHGVMSDEVWGNISEHCSFGRLDGKACGQAKASFRAGDIDRYNIYAPACIRSRDGSLHSSSYLAGYDPCMQYYVDAYLNNPEVHKAMHARTNTDWSECANLLWTDAPISMVPTIDWLVTNGLRVWLYSGDMDDVCPITATRYSVKDLNLAITNPWRPWYTPDSEVGGYAQQYKGGFTFASVRGAGHLVPSYQPTRSLVLFYSFLKGVLPPAVSQLNA >SECCEUnv1G0531330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16956080:16957240:1 gene:SECCEUnv1G0531330 transcript:SECCEUnv1G0531330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSCRYLRDGVEDYIAWQFEPKGVHTVKSAYKLHVELQKMEKNGGAGSSANLAGNLNHSEDDSWKRLWKLPCPRNIQMFVWRIKHESLALRPNLARRGVPVQDSKCLFCGRADEEGAHLFVKCKAVKEVWREMAIEKERMELERLSSVHAMLDFLWGLEEQKRVRILTSWWFWWANRNKLREGELPDGAAVVARRAKSCTLEYLQVFKPTASVKSWEKWKPPPGERIKINVDGSHIPGGTHSGWGAVARDSTGAIICARAGQQANVMDAFAAEVYAMAEAVSLAADLGLLRVTFETDSQLLAEALDVRKVDSSAFSAVIEDIKLQLKLWFSSHEIMYCSRRANSVADELAKLGRLCDVNHSLEWGSDVPATVADRARGDLLKHK >SECCE4Rv1G0270770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:757053908:757054430:-1 gene:SECCE4Rv1G0270770 transcript:SECCE4Rv1G0270770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVHVPAAVEALLGHPVVALSERSAPRLTPLLVNVTVERSLWPVHVLLGADATVADLVRAAIDAYAAEGRRPPLPADDGATDTAARFELHLSKYALDALDPETKVLDLDSRNFFLCANRSTQRSDHRLLLRSALPCLDV >SECCE5Rv1G0355040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:728526438:728526998:-1 gene:SECCE5Rv1G0355040 transcript:SECCE5Rv1G0355040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQEAIGLDMDAMTSGFGFTPWAPDTCPTLEELMAASSTSSPSSSGGAVDQAAGLVEDEEERRRRQRRKVSNRLSAQRSRARKQQRLEELRETVARFRAEKRELAAKLHAVARHELAVRRQNARLRAEAAVLARRLREARRLFALQRLMQQLRSRRPQPGAGSGPGGAAFPPAAEPGLASLMTY >SECCE4Rv1G0256090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:654016413:654017714:-1 gene:SECCE4Rv1G0256090 transcript:SECCE4Rv1G0256090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVLVSKSLEANFVSLVGGQFEGMLYDRPRRLSIHGIEQDSTSKKRASAGGKRNNMEGMDLEHVRSLSNFDLQGQKLLGQLREFTLLRVLDLEDCKGLENQHVKDICRLYLLKFLSMKGTGISVIPKKVGKLEHLEVLDVRETKIREKIPDTVTNLQKLVRMDLCHEVDWWAMWHFPKGLSKMKALCEVERAMVSDVEVAKQFGDLGQLCRIYLFVDASKDPNVLKEVALSLSKLCSLRYLSIGRMEGDGNKMDFILQLERPPQLLRYFYIDGQISKLPDWMINLSYLTYFGGLFLKLRDEQLYGVLGKLPNLQTISLWSNCYIDQELVARREHQFPALRSLALCSIHCSNPEVIEFKEGSMNLLEELTVRFCECNSRRSIVGIQHLKNLKEVQLRGTRYDNFLSLALKELKTESDSRPRGHQFKIGVKYDY >SECCE6Rv1G0384420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:63388841:63389568:1 gene:SECCE6Rv1G0384420 transcript:SECCE6Rv1G0384420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHKSCGLVVVLACVALMAGAAVADAAQYNVGGDNGWGVPGAGAESYNTWAEKTTFQVGDKLVFVYPKDKDSVLLVAPADYNACNTNTYDKQFSDGNTVFDLDRAGAFFFISGVDANCHANEKLIVMVAAAAKGQGSPAATTPPSAPTSGTPNSPASPNAPAGAAGNSTPANGTPPGAASGAGLTVAGLAGSFVAWFGYAVLAF >SECCE2Rv1G0133940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898896104:898896741:1 gene:SECCE2Rv1G0133940 transcript:SECCE2Rv1G0133940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVVKLELHCDRQKQKAIKTLSTLCGIDEIIVDTKDGKMTVVGTVDPVDVVRKLRKRFCSVQMASVGPAKEDQVPAGPAKEQKENKNTNTLVPAYLPWYPPPHHSHPCYYVYTEEHPYGCVIC >SECCE2Rv1G0125620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:842238508:842247042:1 gene:SECCE2Rv1G0125620 transcript:SECCE2Rv1G0125620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAMAAAARKHVERIRRDRYYIGRGEQNPLAEDMHQAVNYLSQELYSRDVHFLMELVQNAEDNEYPSGVAPSLEFLVTSNDVTGSGASSTLLIFNNEKGFSPSNVESICRVGKSTKKGNRDKGYIGEKGIGFKSVFLISSQPHIFSNGYQIKFNEKPCAECNIGYIVPEWVESKQILPDIKKIYGRSKVLPTTTIILPLKDEKVSAVKQQLSSLHPEMLLFLSKIRRLSVQEANFNPKGSTVSEIAISSEKNYQEKKNMHAESYTVHLSAQENGKEEECAYYMWRQKFPVKPENRVDKRAEIDEWVITLTFPHGERLSRGKQMSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILDCIPSAFLNAFVALVKSSADAPAMSLVSMFNFLPANPSIPVLEPVRSGIKNKILVEDIMPCESHSSQKIFCKPGEVGRLKPAFWSILSKARESGVDLKNLSTHGSYILSSHFDKSTYNTVLSFLGVKSVSTEWYAKCIEGSNLVKEVNEQIYLEVLSFVADNWQNFFGTNMMSIPLLKYVDRNNALSFWSISRATQLSDRLCIASERKFIPWLISWNREFPSSNRVFVPSSTQEALQNFAQRTAVTQWLQSYAKVEAVSVYSYGLAVLNSLNYDSRPVIAFAHFLYHSSQKGHIESYHLAELCRAMPVIDSYGSVVKKRSSLLVPAKGSKWVGLMGTNPWRNQNYIELSADYKSVGSYAGIYAPEDQLLAFLKTQLQASDIPFIHPPDASFPTVSSPLTVDNAILLLQWIQNLKSRRVHLPARFLDCVKQGSWLRTSVGYKPPNESFLSSSEWGVLLQNGSSFVDIPMINQKFYQNKLHLYTEELKAIGVRFEFQEASAYIGSRLMSMAVSNTLTRENVYSLLRLIRFLREKLLSPSELINSVKDGQWMKSSLGYRSPVGCIIYDSDWAVASCISSQPFLDVKFYGEDVLTYKPELQLLGVLVGFEDSYKLVIENFKFSSAAVTPEATVLILKCIRHVKSCDAFIRKLKDLKWVKTNVGFRAPNESFLVDPRWECLLKVFDGVPVVDFRFYGSKISPYKEELEKTGLITRLEAASKAIANLFNQMVLNSSLQKVSVLALLACHRQLKTQGALPVELLSCMLNEKWLCTSLGFRSPKEAILFSTEWQPLSSVANLPFIDDGDSHHGLSKEIHDYKDELKLLGVTTEVKSGTRFVINGINIPRDPLRMSAATVLSLLVSIQSWLASSSNFPKGFLEKIKGCSWLRTKVGFRCPDESILFDPKNSSIRIEDGPFIDEAFYGSEIASFKDALAAIGVSVDVRHGHELVARHLKSHKNRATISRIYMYLEECNWEPVNKTSDWIWIPNKKRSGEWVSPLGCVLHDKDNLFSLQLHVLEKYYDRKLLDFFSHVFGVRNGPSAEDHCKLWSTWESSVDALSVADCSAFWQFIAKNWSKNTEKLLSACVKVPVCTDGTIVLSKKEDVFIPDDLLLKDLFDKLPNRSLFIWYPSSSLPSMSRAKLNNIYGSIGVQAISKAVGKNDSLTLENVSPTKAARGKVINVGMIKLVLAFLADPALDISAEERHKIVSCLLNVTVLETSEPITVGYSVKLSSGSVLDVKATRKLRWERESSKLYMQKSKRAPGYKDKLEFATNFADEISQGLLFEKADQIPLLAELIKIGSLMDFHAAAVEYLLKSKNLQLFSEDEEFLNTASLGRSRNR >SECCE4Rv1G0230290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:161285024:161285473:-1 gene:SECCE4Rv1G0230290 transcript:SECCE4Rv1G0230290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTRPTPGTVQCFGRKKTAVAVAYCKPGRGLIKVNGAPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYSIRQAIAKSLVAYYQKYVDEAAKKEVKEIFGRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >SECCE7Rv1G0476410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:203903207:203906234:1 gene:SECCE7Rv1G0476410 transcript:SECCE7Rv1G0476410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYM [Source:Projected from Arabidopsis thaliana (AT1G11400) UniProtKB/TrEMBL;Acc:A0A178W3L1] MDAATDGEQRRLLSIPKEGERVIAPTRRPDGTLRKEIRIRAGYVPQDEVAIYQSKGALMKKSGPDVTPGYDPALDAKPKTKAAKRNERRKEKRNQGGSTNDKGKSLDIEEADAGEPDKVHSSKTKQRDTVDSITEQISGIAISESPATATPSTNAANNLQTESSVTEIDKKIRALKKKIRLAEAQVQGDPEKLKPEQLEKTKKIEGWREELKLLESTRGNAAS >SECCE2Rv1G0082470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:151769076:151776782:-1 gene:SECCE2Rv1G0082470 transcript:SECCE2Rv1G0082470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAPLDYALFQLSPRRSRCELVVSGSGRTEKIASGSVKPFVTHLRAAEEQAAAQPPQPAIRLQLDRRAAWFSKGTLERFVRFVSTPEVLEMANTFDAEMSQLEGARKIYAAQGTAVGAASGAAAEASAAAADITKKELLRAIDVRLSALKQDLVTSCARASSAGFNHDSVSELVHFADHFGASRLSEACNKYMSLCQRRPDINPQASPVPSSHWKSFEDGDLRDSCSSDMSIDEPQADHGGSSNRSTSWGSVPHTDRLSNNQHSVDVPSGSSAEQQSKPTIQQTVDKQENETDAPPAPAKELSRRLSVQDRISMFENKQKEQTSTSGNSNSAGTAKVVPVKGEHRRVPSVASMDKLVRRWSSVSDMSIDLGNNDNSGCNDRSENGTPAGTPTSANLEASSKVRSDKDASGVKNPDTSQSWSRQKDGDKPKDSTTTNASSSSTFNTTSPPSLSAIVTEAPEKQTRSCSGDDMAIASSTESELSFDKEQGVNQGQGDTRLSEHVASNASTQNRQKTSSRPAEEAFPKHYDTLTSPSSEDHVQIDKEITPVAHEVPVTSEQIGRKDSRGSRLRSKEMHAGADAVVKKDRSFRTVGKTSSGVDLKSKATSNSRTNVRGSSSRDEAGSTESEVHDASSRRKSLPRKVEDVRRKVAVGSEVLPQSDYSSRQGSNLSRQSSNAEQELSLLGGKVKSVNDANAIPLEQTRVTKPTKGNQDRHDELQMKANELEKLFAAHKLTTSRRGKSTDAQVDDTPRLSEVKPTQVLPEKICMKPTVMASNNFDANELLKMADNEGYNNSTPEKIGMLSLEESRGKFYDQYMQKRDAKLKEDWKLQKEEKEAILKAMHESLERSKAEMRAKFSRSALQSVSRNKDQGADSFLIEDEMNSDYLSGDCSSRSADSRKHFPNKVAYTQKKSIAPVHSHKHSSRAVRSGYANRRNPPENPLAQSVPNFSDFRKENTKPSAGHSRATARAQPKGFSRSKSIIEESKSILNQDQSRGSQSMRKNLNASELRDASSVNKDIYNWAPSGISSNTHKSGAPKSFVRKDNGTHPVVGITGFRQPMFASVLQDEDDDFPDQQEDSPDDAKDEEYESIEENLRESDFPADSDSETPKLSQDFGNSDDPGSENGDVSFPREASSTKFNAFAGNMHDLPGELPAPWSSRHPHLLPYANDASDGDAFVDSPTGSPSPWNSHSLDQITDADVSRMRKKWGSAQMPFGGANASQQPRKDVPKGFKKLLKFGRKNRGDGLINDWVSASTASECDDDMEDGRDLAIGSSDDFRKSRMGYLSSYDGFVENEVLTEQEQSLRSSIPNPPANFRLREDQLTGSSIKAPRSFFSLSTFRSKGGDARLR >SECCE1Rv1G0025340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:354789653:354797261:1 gene:SECCE1Rv1G0025340 transcript:SECCE1Rv1G0025340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPPSPVNWDSLDALVLDFVRSDRLIAPPASPSPPSSPSSSTTTATSSSPSTSTSSSYCSRILIRRARRALEVGDVDAALALLRAHAPAALLDHRLLFHLHKQRFVELVRRGTEADREAALDCLRTALAPCALDAYPEAYEEFKHILLVLIYDQDDQSSPVINEWSIKRRFELAGLLSSILRAHLQAYDPILSMSLRYLISIHKVFCSRQGISSPISDLTERLLFEDRDPPAVTQECSVQAPPFDEVDVQALAHAVELTRQGAIDSLKFAKGDLYQAFQNELCRMKMDLSLLDKLVHEYCIYRGIVEGCSQALSGAADLRCSQNIDVSFINNEGANNEAQVDCEAAGKQDGGCSTSDITHHDSWSKRLRRVRSSTSGQGRRKRWRGRADDLNYACETSVDANRDTLSPAFDMDGDVVIGGHDFVLDTRNMQDQKYEVILEMRDLTRKGMASKVVDEINSIDPDFFSQNPVLLFQLKQVEFLKLVATGDHVAALKVASAHLGPLAASNQALLKPLKETLVTLIQLNEDVLTKAVSLPVLASSLQLAISRRLGIEEPQLMKIVRTTLHTHTEWFKLQMCKDRFDHFLKIDSLKEIDPPVNHTMSKVLTDECGNGSSQITTCSSGKVLDEGSSPQESSEVVCDESAILKVMEFLALPRADAIQLLIQYSGNAETVIQQIFS >SECCE1Rv1G0033520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:468310070:468310436:1 gene:SECCE1Rv1G0033520 transcript:SECCE1Rv1G0033520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQERSQLDRKAREGETVVPGGTGGTNLQAQENLAEGRSRGGQTRKEQMGEEGYREMGRKGGLSTNDESGGERAAREGIDIDESKFKTKS >SECCE4Rv1G0282630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:829662575:829664170:1 gene:SECCE4Rv1G0282630 transcript:SECCE4Rv1G0282630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRDMKLFNQALLAKQAWRLIENPRSLCAQVLKAKYYPNGFLVDTVFTGNASSTWQAIEYGLELLKKGIIWRIGDGAKEHFYQPDIDWILQIKASKRLEADFIAWHPDKMGCFSVRSAYYLGLQESMAGHDSGASSVRPDGHRPAWDLVWKNDAPAKVRIFAWKAAREALATESTQKQRHLATDNTCTLCGTAEESAHHALIICPHAAALWDAMRETLELPTPEDLHDAEPEWLFRLLDKISETQKLSTLMIMWRIWYARNEITHKKPPVPVEASRRFLSSYINSLLAIKQYLDADPMKGKQVIVATDYMPQTTHELTPKPRWDVPDAAHAKLNVDGAFVKEDGSAGAGMILCDHEGAVIFAATRVLFNSADALEAEMAAMDEGLRLVLHWSHLPLVVETDCAELMQLIQLRDVDRSRYAYRVSEIRMILAHERNISLAKISRHANAASHALACMGRVQQRTACWLRNFPNEIASIVKSERKHLS >SECCE3Rv1G0164490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:163975519:163976934:-1 gene:SECCE3Rv1G0164490 transcript:SECCE3Rv1G0164490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASEIAAVFFLLNVVLRGSLVQSQGLQRGFYDSSCPDAEDIVRSTVEKYYNNDATIAPGLLRLHFHDCFVQGCDASVLISGASSERSAPQNFGLRGFEVIDDAKSQLESTCPGVVSCADILALAARDSVDLTGGPSWSVPLGRRDGRISSAADARALPSPADPVSVQRQKFADQGLSDHDLVTLVGAHTIGQTDCAFVRYRLYNFTATGNADPSISPAFLPQLRALCPPNGDPARRVALDRDGAGSFDAAFFKNVRDGNAVLESDQRLWGDDATQGVVQKYAGNIRGLFGLRFTYEFPKAMVSLGGVAVKTGRQGEIRRKCSRFN >SECCE3Rv1G0190740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:743108057:743108653:-1 gene:SECCE3Rv1G0190740 transcript:SECCE3Rv1G0190740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPNLPLLLVAFLLLAAAPAPSAAEKFVVGGKQNWAPNVNYTTWPDQYHFHVGDWLQFKYAKDMYDVVQVADAAAYERCDPTNALVSFSRGRGFVFELNHTGRYYFICSRGYCWSGMKVTVLVEDSPAQPPHAAAPSPNAAAHRGVVPWAVAAAAAALCAVVLGLPFAL >SECCE6Rv1G0431270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746090848:746091549:-1 gene:SECCE6Rv1G0431270 transcript:SECCE6Rv1G0431270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSTSLPSRPHVSETEVEQELHSLEASISSSNSISTMCAGLRTLASIYDGLDEIISLPSHQVCSSQQRKMLDGEMECSVELLDLCNNMQETFAEMMIIIQELQVALRKGDDAAAQAKIQSFTRLAKKTRKHFKKTTKKPASDKMVMLLTNAREISISLLESTLHLLSKQVEMPKQSLVCKAFYKKKAVVCKEEQLQELECSMEDLQNGAGNLFRKLVQNRVSLLNILSS >SECCE4Rv1G0221760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:52325891:52329410:-1 gene:SECCE4Rv1G0221760 transcript:SECCE4Rv1G0221760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEPAPAADGAARRRRRRRWAAAVGALLVALLAVAVSSRSFPSISSLSRGGGCGCPGARKYTGMVEDCCCDYETVDAINEEVLNPILQDLVALPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPDNEFPEPFKKPYSGLSPENMICQEGKPEATVDRTLDTKVFNGWVETDNPWTSDDETDNAEMTYVNLQLNPERYTGYTGDSARRIWDAIYKENCPKYPSEDMCQEKKALYKLISGLHSSISVHIAYDYLLDESANLWGHNLSLLHDRVLKYPERVENLYFTYLFVLRAVTKAADYLEQAEYNTGNPEEDLKTHSLVRQLLYNHKLRSACPLPFDEAKLWQGENGPELKQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGENNLNQQFQLQRNEVIALVNLLNRLSESVKFVHETGSSSQEIIKQQSFSTLQKGAS >SECCE1Rv1G0011300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:75305966:75312926:-1 gene:SECCE1Rv1G0011300 transcript:SECCE1Rv1G0011300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAVTGESEATRQKKKRPKLKHVEMGVAPMQSPHASEPLPATRFLQTSSQSPAPGTPILEEGAAMRKERKIRKEQKTAALPLPPNPNLEEEEEAVMATLKNRNEPKYVENMLLPTSASAAKTPIFEQEEKVTKKRKQRMDSALPLHPGHVSKPLLAAPLLQPPAPEILMLKEVAAMMRKTKMPKEQKVDAPPFAQTPALKEEHGEEAMRTMKKKEPKHVEQILRSLSTSVAVGNTIQDQEVKVIKKQKQRRGQEPSAKSQKPGNSPSPLHSGHASNPLLAVPLLPPPAPEILTLKEAVAMMMKRKMPKEQKVDVLPSAQNATLEEEQEEDAMMTMMKEPKRVEQILLPLSISAAVGNPIQEQEVKVIKKQKQRRGQEPSGKSQKPDNSPLPLHSGHASKPLLAAPPLQSSAPKILTLAEAAAMMRKRKMCKEQKVATPSFPQNPTLEEEQGEEAMTATTKERKHVELILSSPSTSVAAETPIEEQEVKVAKKQKERRGQEPSGKSPLPLDPGQTCCVQLQRVKAPPEQEGENDGCKGIKKSNGKKPRVCILSKRELIQEARKQQRPLPEGFVPFSYFVASCMEQNPDHSSPYSAFFDQFRYEPVCRDRKAPFPRTPDRLARLPPRGYSSFESSQLAANETSRASKSNTTLEFKTKLQDSGSGSQEKVDVKVKEHPENKTWEKKQRKPSSKFDPSQTCCVQLQGTKAPPVQDQETDAPKINNIEESNSKKACARAPIKRKLFKEVMKGQVLPEGFLVPRDFVPNCTEQSPNYSSPSGASFDQFCYRSASQDLHPQPPRTTDCLSKLPPRHYPSFESSELTVSETYKAFKANNSVASKSNKKDSGPGSTSGSQKKLNVREKTNPEKKTTTTKQRKPPPVFTTAEKRSDKYRRVPLDQMVPPPRSPHNLLQENYASDPWKVIIICMFLNVTQGVQVKKILEGFFECYPDAQSAFNADPDKMADYLQPLGLQHEKTRRIQKFSRQYVEKEWTYITELCGVGKYAADAYAIFCAGRATEVVPDDHKLVDYWHYVCSELPMMQMD >SECCE1Rv1G0031600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:444301025:444302872:1 gene:SECCE1Rv1G0031600 transcript:SECCE1Rv1G0031600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVAVEEEENNRRKVFVVVPAEPRAGRSTLSWALGHLCGGATATTVVVTHVHVPPQMIPVMGAKFHASKLSSEQVSSFRRMERDKADKMLDYYVHQCRKTKVRCEKLVIEKEDVASGLVELISLRGINELVVSAAADRQYSTKLDRPVCRTAAAIMQRADPSCKIWFVCKEQLICTREAKVEIAPSADTAPLLPNPGHEVRHLSTLQEEEDDGDIEVELGFYDELREACRAAEDLVNRALNESRRRQKADEEVASSLQKAKEHEELYLAEVKKREELEAALARAEKEISELREAILRATTEEETEEATATIPALGLQSTSKEEPEAVLWHYRCECQSKPAASSPSSVIIPWSPRADEDGFTCEAGVVGCCWLDGMPSPEGVAVAGVALPLARPSFALRAAVQEYMRRQQQQGPFP >SECCE3Rv1G0149930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:29760379:29761146:-1 gene:SECCE3Rv1G0149930 transcript:SECCE3Rv1G0149930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSGSLPSSPSLPGTGAEQEFSSVDPHGLFLPSSPSPASLYFDHDPSFQGFFPTSSPTRGTATPPHPTAPSKPPKKRPRASRRPPTTVLTTDTSNFRAMVQEFTGFPTPPFAAGPSPFVRPRLLGGASAYGPPFLVRPCPPKYQQQNPALLPSIGTGTTTGGGASSLMHALALLARTNAMPSAPADVTTARGSDAADQYGGHHGHGMGDFNYNPFDDFETETAAAEGDKAANGGHAGFFSSIGSAGDKYDRH >SECCE4Rv1G0243640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:504721892:504727732:1 gene:SECCE4Rv1G0243640 transcript:SECCE4Rv1G0243640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSAVRLIGGGGAGGWTKDFGAFDSPLGNLPGEGLGFVENGSGIYGGWGESVPNRSGSAPPSMEGSLAALGNLIGQRSGNFDASLGNLDNVTGSSTAEEQLRADPAYFDYYGSKVNLNPRLPPPLISRESRRFMNRVGKVKEWRVVSQDDSNKGSLFIPRSTLSTHKEEPEDDKSPRLDSSSADDEQMNLVDFVPESFQQNPDSLYSSSHLSSSSIGDGVAVHPNIDSSRNLSHDVVKPSGLNSWTPVPLASVLRSTLSNNLSSTSVPSSSCSDNNPSIQLSEQQQEKQSIDMKPGNDVPVSGAVVTELDVVDSSMKNLKLSLDSQTTPQVKQQWQGNFLQQYGFSPLVQGEHMPMTPHGAHLPHVPFVDNVSHTQLKLPTGDMQQLLPQLGMPTPFYAPNSFGSPYYQNLHPASVLANPYVTAGYALGGSGFPPVMTSYSPQSSATTPLDSPMTPSFSGRPSGFPSAGNLTAGTEFVQPYKMYGQLGVPMQPPIPDPNFFQFFQHPSFPQYASGNQYNTLAPRGSVFGNVADNFDPRKLFPQAQYPSDQRLQLPKTGISSSPTTLRGGTVPNYHGISPYVGAPLTYPTSPVFQGQPFSGMSPSGRNDSARFQSASRSMTAVSGFQGQREREKFDDPKACTFLEELKSNRARRVELSDITGRIVDFSADQHGSRFIQQKLENCTAEEKAAVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLAAKLAGHVVPLSLQMYGCRVIQKALEVMELDQKIDLVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSRGQCIIDEILQSACILAQDQYGNYVTQHVVEKGKSHERAQIISKLAGQVVTMSQNKFASNVIEKCFQHGDIAERDLLIREIVEQTDGNDTLLAMMKDQYANYVVQKILETCNDEQRELLVSRVKGHLQALRKYTYGKHIASRVEQLCGEGDVECDS >SECCE6Rv1G0416610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649391439:649392773:-1 gene:SECCE6Rv1G0416610 transcript:SECCE6Rv1G0416610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERSTAQALPLPPRLSASPPSSPASVGALLTNAAGASRIRRECRAPRSLLSRILGTGGGGFGCRLRIPSYCSSGAGAAAKEDAVEEEVAAPKVVVASKLETEVRESPRSSMQGMKAAAEVSAASLGLGAGLVLLLSRGAAELSRMAELRAQMERLVMDVREARGSTRSDLSDGGHVDDGASVVKERIVFADAGGEDASLSRGSCDAASACGDAGDGNAAAAMDQMEAELEAELTRLQVDSDHDDEEECVRPRRDRQLKSDATSDISSESGSPACVDIDGVRDDVAIECKEHQDSEEEGEEDTDEEDQESKRCHGGVPARVLERRLHELLQSRHEQRIADLETELQRAQRKLREKEREVSRWRDTAKLVSSHKHESLLLR >SECCE1Rv1G0029600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:416741215:416741859:1 gene:SECCE1Rv1G0029600 transcript:SECCE1Rv1G0029600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPVAAAVAAAEPEVPAGLGLTATEYAQLLPTVEAYHRYAVGPGQCSSLVAQRIEAPPAAVWAIVRRFDCPQVYKHFIRSCALRPDPEAGDELRPGRLREVSVISGLPASTSTERLDLLDDARRAFGFTITGGEHRLRNYRSVTTVSELTPAAPAEICTVVLESYVVDVPDGNSEEDTRLFADTVVRLNLQKLKSVAEANAAAAAAAAPPAE >SECCE3Rv1G0167590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:205026949:205030224:1 gene:SECCE3Rv1G0167590 transcript:SECCE3Rv1G0167590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPFSKSLLTFYRLLRRRLGNPLGQYHHLQSSSGISTYPKIIQTCLRVPQDDEHLRNKTLVLDVEGGLLRSTSTFPYFMLIAIEAGSLLRGFILLCLYPLLCCLTQEVETKIMVMVCFVGLREEKVVRVARATLPKYFLEDVGREGLEVVREVKRVAGVCRLIPRVMAEAFLKEYTGFEVVVGREVKMIRGRYVGLLGKESEARLGQAEFDQNKMIGFGSSSSYFDYDHHQLFSRCKEVYLVTPEEKRKWSPLSRDQYPRPLIFHDGRLAFRPTPQATLAMFMWLPLALPLTVLRTVIFVKLPYSISVAIGAVIGVTTRVINSPVHTGQVGCDEPHAQPSPQGHLYVCNHRTLLDPVYISAMLNKQVSTVTYSVSRVSELLSPIGTVRLTRNRDEDRRRMEQSLRQGDLVVCPEGTTCREPYLLRFSPLFVELVDEVYPVALVNWSSMFHGTSTGKFKYLDHFYYFMNPRPAYDVQFMDKMPTRMVIQGKRCESKEVANLVQGEIGRILGFRSTTLTRKEKYLKLAGNEGFADTKQ >SECCE4Rv1G0288350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:859790122:859791288:-1 gene:SECCE4Rv1G0288350 transcript:SECCE4Rv1G0288350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRKRVLSRLLSAAPHPSTSTLLSLHRLLSAAAAPRISPNPSFAVDDYLVSTCGLTRAQALKASAKLSHLKSPANPDAVLAFLAGIGLSGADVAALVAKDPQFLCAKVETTLAPVVAGLTGLGLSNAETARLVSVAPGKFRHRSVVSKLEYYLPLLGSIDNLLRPLKHGAGFLASDLERDVKPNVKLLAECGLCACDIAKLFVQIPRILTASPERVLEMVASAERIGVPRGSGMFRQALHAVAYLSEEEIAAKVEQLKKTLRWSDAEVRIAVPKLPAVLRSSMDVLQLKSDFLISKVGLEPACIAHRPVMLGLSLEGRLKPRYYVMRFLKENGLLNHDRDYYNMVAASEKVFVDKFICPHEQAAPHIAEDYAAACIGELPARFRFT >SECCE6Rv1G0409630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:590221802:590222305:-1 gene:SECCE6Rv1G0409630 transcript:SECCE6Rv1G0409630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIMKKCYHFKWMDDYIQRLQGLGLLELRGNAIGEFNLPHDSAAPAAAARLEYPTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMDISR >SECCE2Rv1G0091580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:326112150:326135774:-1 gene:SECCE2Rv1G0091580 transcript:SECCE2Rv1G0091580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP54 [Source:Projected from Arabidopsis thaliana (AT1G24310) UniProtKB/Swiss-Prot;Acc:Q8GYF7] MFGTPNSPSLFGTPSSTPSFGTPSTTPAFGTPSSTPAFGAPSSTPAFGVPSSTQAFGAPSSTPAFWTPTSSPTFGTPSSTPAFGAPPSSSPSPFGFQPQVTPSPSPFGFGGGSGGQITTQMAPVAPLPLSPSDRDIQAMVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSCRLKLQDEVLISDAERLSMTHSNVKKLQRHFQADTYPWIQRLKHQELVIERRLLRIMRIVEALENRGFRVPLMKEEADLYERLVAIIKQIKGTGGDLSKRAYNLLSTSRLLASAGCASGPIYIPSSTKVDKQNVTELLEALQQQTEAVAKLGNVLKRDTRDVEIVLSEDTDMEEDSSGRRAFKM >SECCE6Rv1G0390650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:188707776:188709659:-1 gene:SECCE6Rv1G0390650 transcript:SECCE6Rv1G0390650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLSTATVAPWKRLCKLVSNGHYQQALLAYSRAHVSNLRPDAFTFPCLLKSCAALQDAPAVLQVHGHLAKSGFSSCPYTATALTSAYVRLLRLGEACKVFDEMWERNVPCFNALIAGFSQCGKVDEAMGVFRLLGKEGILPDSVTVASVLPACRAMEQGKQLHGLVVKTGDCLDRYVATSLITMYLDCGDSDAARRILEFMVDKGVESYNAMASGLLRNGEDFIALCTVREMIFGSSEKPNETTLLVVLSACTSVLALSLGKEVHCYVLKHVMDCSIKIRTALIDMYSKCGSLECAYQVFSAMDERNLVTWNTMISGFLIHGKLANALGLFQQLRLKGFSPDSITWNLMINGLAHHQKFAEVFSFFNKMRFEGVSGASLETMTSMLSACSAMSDIKHGKEIYCHVIRTMQHFEDDVFQTTVIDMFMSCGCDSYAGRVFEKDRRKSNDPALWNAMISGYGRCGKSSLALQTFNEMLEQQVHPNSATFLCALSACGHAGLVQKALHIYQMMESAYSINPTFEHSSVMVDLFCRAGKLSDAYGLLLKHTDPPASMWYSFLGACRNYSNAELGKIAAKKLYDLDPSSTIPWVILSNIYAEQYRWGEVETLRKMMSDKHLIKAPARTELV >SECCE4Rv1G0296380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:902876164:902885154:-1 gene:SECCE4Rv1G0296380 transcript:SECCE4Rv1G0296380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPTTVRRNPPRRGRPALTPGPTPTPAPAPTPATATATTTLRSRRRKAPVISRLVDDEPAAAAAAATPAAAATPLPPPPPTPPDAAISPPHPHPPAPPAATALSSSTSSVAEERLKVYLRIRPLPAPERERGGRPAAGRARAKEQPPPPPPPPPKKPGVCLVATGPGSVALTVPQAKLVDHRRGRTATEVFDGFSAVLPPDSSQNDIFTQVMNPLVDEFLGGKSGLLVAMGPTGSGKTHTVFGTARNPGLVPLTLQKIFTAADESEGGDQSPRSFYLSMFEILCEGKGERILDLLSDAAELVLQQSATIKGLKEVAISSAMDAESLVSRGMLKRSTAATDANSESSRSQCIITIRAVHKNIDQQSEHPISGSVLTIADLAGAERKKNTGNKGSRLLESNFINNTSMVFGLCLRALLDHQKNQKKTLEKHFKNSMLTRYLKDYLEGRKKMTLILNVKPGEDDYADTSYLLRQASPYMKIRYTSLDESSDLVSQKRSNVSLVCQENKKKRRVNKPEPEALTAEEKDSILKCDDNKLSAQECSELQRVSRSEQIMKNLFNALWAVCKQKLLESEVAAKSMRELLKEKDIEILELKKELDDLRSRCSHEKFPIAEDTSVKQNDAASIALSSVSQTDLASDAALDNFHLSTELVQKVSEDSTYSDPEMPSAYCDMTEKSVDCDTSVANLIDEQELSSTYLKKDESCTPDVTEPKCDLKRENTEVLGQVGNTEADGSESCSEQTSAPDGGVTHAPSHLDDQSELSFSELHIVPCNLSAQFTDIEVNEKAPMEQSEVEELSSNTEVESIQHDVDIKEMKQHEYQSSSEQLNSDAGDNQPSLELQGVLSTELAQSEEEELSSNTEVEGIQNDVDIKEMKQHDYQSSSQQPNSDVRDVSSNQPFLEVQGMAPTKDCQAEGTADDQKENIRQEQANEDICASKPRENTKKPSRRRLLPVASMMLKEFTGPAIDAADTKRATDGGGTKASSGEQAAAAFAGRSDALIRLLKAKSPPMRHRRG >SECCE7Rv1G0459760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:27051997:27055809:-1 gene:SECCE7Rv1G0459760 transcript:SECCE7Rv1G0459760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKSGLPQRRPRPRRPSATTAAPPLPRPAIRTGPTARRVDPARWSLPAAGGESARAMRSGGALLATAGLASQAAKNLVAVTAAPSAPAFRAFAANTPFGAGSMLRNLQLRYWSSFVGQRARGVHSAAAAFLSKEIHRSGPERVMQNFKALNRGISSAAKEEGRVLGTGSAPHYTVEKDLLKKQLLRTISALTVTGFAIYGVKVMIDSAIDTKVSEFDGLKEVLATDLSTRFSDVKGVDEAKAELEDIVHYLRDPDSFKRLGGKLPKGVLLVGQPGTGKTMLARSVAGEAGVPFFSCSGSDFEEIYVGVGARRVRELFSAARKRSPCIVFIDEIDAVGGRRDTEGLTSQRPALNQLLVEMDGFKQNDGIIVIAATTLPQSLDSALVRPGRFDCQVHVSVPDVEGRRQILEAYMSKVSKSKDVDAMTIARGTPGFSGAALANLVNTAALKASRDGANAVGMDHLEYAMDRIIMGRERKSMVISDLSKKRTAYHEAGHALVAILTDGANTVHKATIVPMGNALGKVTQLPGEDSHLTRKQMLARLDVAMGGRVADELIFGEAGITTGASSDLSKATRYAKDMVARYGMSKRVGLVSYGNDTNAARGKAMVMSGRTIGLVDEEVKALLDNAYRNAKKILTEHNKEFHALANALLEHETLTGDQIKKLVLTGRQGLSRSGSKENQLTPSLTGDQIKKLVSTGRQGDGRSNSQQNQGTPSLTGDQMKKLVSTGRRGDGRSSSQQNQVTPPLAGDQITELASTDQQVDGHNNSDQGTSLSGDESTKSMSTEGQGDGPNSSKHNQGAPSITGEEATKLASTEQQADSYSGSQQDQETPSLPRNESASQ >SECCE7Rv1G0524280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885660959:885662818:1 gene:SECCE7Rv1G0524280 transcript:SECCE7Rv1G0524280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRPPPPPTGCGRRRLRGRRRRRSKRRNWAELPLDVLLHVLHKLDHVELMFGGPAMVCRSWHDAVCEPELWRRVDMRGRCPLFRETISLSRMARLSIWFSAGQCQEFLGQGDVDDDLLLFLADRAPLLKSLHLTECCGVTSKAFTEAIKKFPLLEELELLESDINDTGVFEVVATACPRLKHFRHVNDREYPSYRWSVNNREAMAIARMHELRSLKLLRGGLDNKGLKAILDGCCHLEYLDIRYCSNVIVDSSLRAKCASVKTKMRYTYVWEHYHPEIRPDLNDYLDLCVPNGYSTSAYNRHRDAGDEHDCRLFFVEEVETSDSEDSDHSRYFSGAEEIEFEYWHCVGA >SECCEUnv1G0562420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:365828220:365829860:1 gene:SECCEUnv1G0562420 transcript:SECCEUnv1G0562420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPISLVGPTPADHESSSQLEILLREAGLYETSDELAAREDVLSDLQSIVDRWVKRVTAQRGFPDGMVEQATALLIPFGSYRLGVHGRGSDIDALVVGPSYISRDHDFFAVLGGVLAETEAVTELQPVPRAHVPVIKMRFRGVQVDLLYASVCLPVVPRDLDLRDRSVFRGMDLASARSLNGVRVADELLRLVPDAGAFRTTLRCIKHWAKARGVYSNVMGFLGGVGWAILVARVCQLYPNAAPSMLVPRFFKIFSQWKWPNPVLLRDIEHDGGGELALRLPVWDPRRNPRDKSHIMPVITPAYPCMNSCYNVSHATLRTITEQLQIGNGVCQEILKAGGAGGWDALFQPFQFFKAYKSYLQVDVKVAGGENDLREWKGWVESRLRQLVTRVEMATAGMLLCHPNPKAYAAKPHDLHCTSTFFVGLSKPQPEQQQQQPQVPFDLRATTDGFKQEVYTYEFWRPGMELEVSHTRRKDLPSYVLDQILPAGHLKRKRAAESGSSPPLSSASGEVKRVATAGGTGSAPERKRQCCPSNILSGASVLGVV >SECCE2Rv1G0107570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:650601660:650605238:-1 gene:SECCE2Rv1G0107570 transcript:SECCE2Rv1G0107570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLIVTDKIITMMIRNTTHPSPGQIEELFAEALLECPNCKYHIDNSGVISQWPGLPTGVKFDPTDLELLGHLEGKIGRAVSHVLIDDFIPTIEMPEGICYTHPENLPGVKLDGIASHFFHKICNAYDVGTRKRRKISNSDYNVCDEHLRWHKTGKSRSISDNNGDIKGWKKIMVLRKGGYKAEKTNWTMHQYHLGVDENEKDGELVVCKVFFQLPSEKAGESLMFTVDEESDSFAGKIDPTTPMTYPPPPCRPNGSPLETEQNQEEEEFRLSAVRGAAEWLAGTSSHGVDDAALPALDEHPSRGGTPDAAYPEKQHLPLVDTDALQGFPDLAMSPHFYSLSDLQFGSLDSFNSWLNGM >SECCE4Rv1G0266430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:731653678:731658296:-1 gene:SECCE4Rv1G0266430 transcript:SECCE4Rv1G0266430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAANHLQPTAADHPHAAPAPSFINPKSAVAGLRVRETTLPNGDVYSGTLSSSRQVPEGTGRYVWAGSCCVYEGGWRRGARHGHGRTLWPSGAVYEGEYSAGFMDGQGTYLAGPCCTTSSSSYNYKGQWKLDRKHGHGLQTYANGDTFEGSWVQGHMDGHGRYTWANGNSYTGTMRNGSMFGKGVLTWSATGDSFQGNWLDGAMHGYGLYTWEDGGCYLGTWTRGFKDGKGTFYPKNCRVPAAHQLYIDDLRNRGVLPDLSGNALQHCPSSAFDMTPGTDPKLSLRNLSFERPPAKKTSLQRRWSVGVAIGKIIGGEPPPSGAGSETQTQGLPILEREYAQGVLISEVVLNKSCLDSSKKLGRRQSRAAKDVKRPGEMIIKGHRSYDLMLCLQLGIRYTVGKITPIHRREVQASDFGPKASFWMNFPTKGTRLTPAHRAVDFKWKDYCPVVFRNLREMFKLDTADYMISISGSDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVQVLLRMLQDYYRHVHTYDNTLVTKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHQRFDLKGSSQGRSTDKVKIDENTTLKDLDLNYSFYLEPSWRDALLKQIEIDSEFLKNRGIMDYSLLLGFHYRARQSLIRGGSLPESILPENRLAVLSEQDAMEDDPGYNYREGLVLVQRGGNQDGRVAVGPHIRGSRLRSSSACFEEVDLLLPGTARLQIQLGVNMPARAEKEEKQEEDGGRPLRQEYDVVLYIGIIDILQEYSMRKKVEHAYKSVKYNPLSISVVEPGFYSERFLNFIRTVFPENAPSAPKTPGLILNNDIRHQVPEPASKE >SECCE6Rv1G0432640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:753469231:753470509:-1 gene:SECCE6Rv1G0432640 transcript:SECCE6Rv1G0432640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHRLRLLFPAAVLAGLASSASPSLSVSDGVSQASTGSTSTGRRSLLQTKRDCPMDFESQNYTIITSRCKAPQYPPTECCDAFKKFACPFASYINNQSTNCADTMLTYINVRGSYPAALFADECQKGKQGVSCEGIPEIGTGVPSGGRRAQGSSRHPLVALLCGIGALLFH >SECCE7Rv1G0506680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:752023159:752024475:1 gene:SECCE7Rv1G0506680 transcript:SECCE7Rv1G0506680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase 48 kDa subunit [Source:Projected from Arabidopsis thaliana (AT5G66680) UniProtKB/Swiss-Prot;Acc:Q944K2] MAAPRVLLLLAAAALLAAASLGDASGEGPRGRKLLVLVDDLAVRSSHSAFFGSLQARGLDLEFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRFGGSVDQNAVLEFIDAGHDMILAADHSASDLIRGIATECGVDFDEDPEAMVIDHINYASSEVEGDHTLIAGDDLIQSDVILGSKKIEAPVLFRGIGHAANPSNSLVLKVLSASPSAYSANPEAKLASVPSLTGSAISLVSVMQARNNARVLISGSLDLFSNRFLKSGVQKAGSKISHDKAGNEQFVTETSKWVFHERGHLKAVNVKHHKVGETNEPSMYRINDDLEYSVEIYEWSGTSWKPYVADDVQIQFFMMSPYVLKNMSTDKTSVYSASFKVPDVYGVFQFKVEYQRLGYTGLSLAKQIPVRPYRHDEYERFITSAFPYYAASFSTMGAFFIFSVAYLYHK >SECCE7Rv1G0498910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:648008640:648013165:1 gene:SECCE7Rv1G0498910 transcript:SECCE7Rv1G0498910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT INITIATION DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G49180) UniProtKB/Swiss-Prot;Acc:Q9M3B4] MAPPSQLVLAASSTDAGVAAWDLRTGAEAIRHRPCASRPRAIAAVAGRFLAAAQVAAGNSAPIHYYHWDKPQVAVKSFPAEPIRALIADPEGNYLIGGGVSGDIFFWEVASGELLVRWHAHYRDVRCLALYDMLLVSGSEDGSIKVWDLLTMLDEQSRFEAKTPYLYNFNQHTLPVTDIACFHGAIAVSSSEDRTCKIWSLSEGRMLRSISFPAIIDSLEIDPRSHIFYAGGRDGKIYVTAMGVDVSSQGRDDSSILGILDDHSKAVTSLTSSTDGLLLVSGSEDGNVRVWDTRSQQVTRKFKHSQGPVTNVLIVTPKRLNLPPLQPLRKVCAASGEVEPRAVILPNPENDVHIAGNCSSNLLEQLLDAHQHGSSRLFDSGVSTLNGVPNQQGTEWRSKYLELQDLFVHEVLGDMPS >SECCE7Rv1G0524020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884312970:884314418:1 gene:SECCE7Rv1G0524020 transcript:SECCE7Rv1G0524020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVISVVTGEVVSRFISFLKNKYNSSVSHAQTEKMEERLQHLLTRVSIVVEEADVRYVTNSAMLLQLKMLSRAMYKGYRVLDTLRFQNFDEVSINDSSTNNWYLSIPFKRSRTRTEKDGKAMCLESDSALESLEILVANMTEFVVLLGGCERMSRRPYDVYLYTNNFMFSRHAEKQKLLSFLLEHNDFHAPAVLPIIGGVGVGKKTLVAHVCANERVRSQFSTTLHLNEDNLLTVLDHGGTMFGMMLVVIEFSYDIGDDDWKKFHLFLVRRNRGSKIIIISKLQRLARFGSVQPIFLSVLSYDELRYLFKTLAFGSVDPAEQPRLVQLADEFAKQLHNKEGSLVAINTFSHVLRMNLNIQFWRCIFDKMIRHVKRNLFVHGAHPSKLMEQGLPVDITDFALHPLTMTSYTSNVPIKEESPSVTFAELLADPSIRPEGDFTLIRDESRIPPHKSFVHLVTSRPQDTHEGNTFPGRKRRGVPI >SECCE2Rv1G0108950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:670801772:670804706:-1 gene:SECCE2Rv1G0108950 transcript:SECCE2Rv1G0108950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLLLLRQATRRWRLQPSPPPPPCPSSGSVDATAPSHGTMRLSAPPPPYTFHKVFYQDDKFFSWPRSYNLQTCRSVHTSRPVNSQNQDMATGTQNTGAIVSVDDSGKPKAKRKKLKGRRAVTRFLKSLRWKKEREFQRMTAEEKILKKLKLARNKEERLLAALKKIEPNDPSEPTHDPEVLTPEEHFYFLKMGQKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPDEVKEIASELAILSGGIVLSIEEGNTIIMYRGKNYAQPPPEIMSPKVALPRKKALDKSKYRDRLRALRRYIPRLEQELEDLHAQMKLAGGHIGQSAVKDIAFVSDSTNSVSVNKDSSSSVHKRTVSELLSENIERPEKLEDENSEVDDDSTSESATYSESEDLSDMFETDSEEQAEDSNERPLYLDRLDKFLPEKNDNEADDFEEHLRKIASLSGKADSPAKELKISELDEIDKIFLRASSLLKKR >SECCE2Rv1G0084220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:173369305:173370216:1 gene:SECCE2Rv1G0084220 transcript:SECCE2Rv1G0084220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSTMSSSLIFLVALLLSCSSMSSAARHLEEAVPKKEYPPHPITPELPKPELPPHPAMPELPKPELPHPLVPEVPHPVVPETPKEPEVPHPMVPEVPKHELPPHPAMPELPKPEPHSAVPRTPKEPEVPHPVVPEESTHEPLPHPAMPELPKPELPHPAVPQTPKEPEVPHPMVPEVPKHELPSHPSMPELPKPELPHPVVPEVPKKPEVPHLIVPEVPKEHELPHPAMPELPKPEMTHHAVPEVPEEPHVPHPEVPEVPKMPELPHPAPPEVPKHEMPSLPKAELPPKPEFHFPEPEAKP >SECCE6Rv1G0415180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:639644646:639652693:1 gene:SECCE6Rv1G0415180 transcript:SECCE6Rv1G0415180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEAEVGMASSGDAAVHTPHSAPPTAPSARVSIWESVRACGVWGRDVDKAGLRRRVVMPLYARRAVAAAVAEKDEAAGVAAAAAARDEAGGGEEDEEEDGLEVVTPMVVFVNSKSGGRHGPELKVRLHELISKEQVFDLSAVKPSDFVRYGLGCLERLADQGDNCAKEIRANLRIMVAGGDGTVGWVLGCLQELNKSKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLNKAVSASVVHLDSWQAVIRMPEGEITELPHALKKAEPADQLEFSKASGSELTEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLRGLRNILRLYIKRANCSEWEQIQMPSSVRSIVVLNLDNYASGKHPWGDLKPDYLEKRGFVEAHSDDGLIEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGEWDRAYVQMDGEPWKQPLIQDQSTIVEINKVPYHSRMINGDS >SECCE2Rv1G0073200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:65866832:65868133:1 gene:SECCE2Rv1G0073200 transcript:SECCE2Rv1G0073200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASSAVPSAADEPQSLAAPKVLLGRYELGGLLGRGASAKVYQARDLLTGRDVAIKSFPNPRAGGREGEGSAGSAAIEREAAILARLRHRHVVRLHEILGTRKKVHFVLDLAAGGELFSLVDSDGRMTEDLARHYFRQLVSAVRYCHSRGVFHRDIKPENLLLDGDGDLKVADFGLGAVADESLHHTLCGTPAYVAPEILSKKGYHPAKVDIWSCGVVLFVLAAGYLPFNDGSLINMYRKIYNGRFRCPNWFSPALRHLLRRILDPNPATRIDTDGIMEHPWFCHGASNDGELEKLMRGHEEEAWFKTEFKEDMARDMTAFDILAFSPGSDLSGLFGAGPGTERVFVGEPAAAVLARVEDAGKKQGHRVRREGKGRVGPVYVEAEAGGIVAKVTVFRIADAVSVVEVVKGHGAEAAAFWSDWLEPAVKPQAV >SECCE2Rv1G0097480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:460969883:460971320:-1 gene:SECCE2Rv1G0097480 transcript:SECCE2Rv1G0097480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTDEHHFESKADSGASKTYPQQAGAIRKGGHIVIKARPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVDRQDYQLIDITDDGYVSLLTESGNTKDDLKLPTDDVLLGQIKTGFADGKDLILSVMSAMGEEQICAVKEIGGGK >SECCE5Rv1G0313130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:254369325:254374317:-1 gene:SECCE5Rv1G0313130 transcript:SECCE5Rv1G0313130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPKRSQLRVRLRVTARRRGGDGADRIGPGGGAGGEGKRRMDAPLINSAAKLQRREIGGRQLAARGGGAAAAVPERFRNMQLQEEFDTYDHDAHLFVKLQFLKKRSKIIEIVAAKDIIFALAHSGLCAAFSRVTNKRLSFLNLSPDEVIRSLFYNKNNDSLITVSVYASDHFSTLKCRTTPIEYIRRDQLDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDTNVQEIKISPGIMLLIYDRTPSYVPLKILSIEDGKPLKLFKHLLHRGKKIDFIEQFNEKLLVKQEDENLQILDVRSSELIEISIEKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHQDCSTNNIYITTDQDLIISYCKSDAATDDSTASSIGSINMSDIMTGKCIAKIAANDPALSIAPRKNGSPSIWSSIPESLEDVTALFYDEDRNEIYTGNSQGLVHVWSS >SECCE1Rv1G0029740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:419135026:419136514:1 gene:SECCE1Rv1G0029740 transcript:SECCE1Rv1G0029740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIVEPGGKDLIGALPDDLLRRVLSFLTSRESVCTCVLARRWRHLWKSVPVVRVMAPVSFDREVEGELWFVNALLLLRDRAPLHEVDIRTYLDDPSAPLSVELWLRYAASCHVRVLRVWVRRIYMLHFAGMEFEECTLNFSSCPMLEVLEMRDCKISAETILCKSLRHLTMEMCCFDLYDRTRISCPSLTALKLANNLGWTPSLESMPLLVTALVRFDGEWYDREAWYDHCPNGGYYGDCDDVFCPSCLCQGDDCVLLKGLCDARNLKLTSAPEAIICTRDFKWRHTFSKLKTLFLNEWCLAADFGGLVYFLQHSPILERLTLRLRFHEVLYIF >SECCE2Rv1G0117260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:771001217:771003723:-1 gene:SECCE2Rv1G0117260 transcript:SECCE2Rv1G0117260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MAICAIATMHAVVHHPRIHVPPPRQLVRAAVRGTAGAATAAAPEPDTLSTAFWDYNLLFRSQRAETSAPVQLRVTEGAIPPDFPAGTYYLAGPGMFSDDHGSIVHPLDGHGYLRSFRFHPDDGVHYAARYVETAAKTEEKGDGASWRFTHRGPFSVLQGGHRVGNVKVMKNVANTSVLWWGGRLLCLWEGGMPYELDPKTLETVGPFDLLALGDRADGAAPARRRLGHRRRPWLAEAGLDVATRLLRPVLSGVFSMPPKRLLAHYKVDPKRNRLLMVACNAEDMLLPRANFTFYEFDAGFGLVQRREFVLPAHLMIHDWAFTDSHYVVLGNRIRLDIPGSMLAMTGTHPMIAALALDPGRRTTPVYLLPRSTEAVASGRDWTVPVEAPSQMWSLHVGNAFEEDNARGGLDLHLHMSGCSYDWFHFHRMFGYNWKNKKLDPSFMNAVKTKEMLPRLVQVAIELDKRGGAYRRCTVKRLSDQWNRPADFPAINPSYANKRNRFIYAGAASGSRKLLPYFPFDSVVKVDVSNGSARRWSSEGRKFVGEPVFIPTGGGEEDHGYVLLVEYAVSENRCNLVVLDARKIGKRGALVAKLEVPKHLTFPMGFHGFWADE >SECCE3Rv1G0187090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:694466804:694467283:-1 gene:SECCE3Rv1G0187090 transcript:SECCE3Rv1G0187090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPTHATNADASGFKLFGQVIQPDAHRAASSSAPAPASTSISISAGQSAAAPPPPPPPPPSTTQQAAVGGEPLPCPRCGSRETKFCYFNNYNVRQPRHLCRACRRYWTAGGALRRVATASPGRRRPRPSARSAAAAASATASASEEAAAAESVDSRS >SECCE1Rv1G0059330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:705335503:705338679:-1 gene:SECCE1Rv1G0059330 transcript:SECCE1Rv1G0059330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSSAASTLAPFSHPKTLAAAAASSSSISSVAFSPAASHPACALARRRAVAAMVAAPAKVGAAMPSLDFDTAVFNKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGVKQIGVIGWGSQGPAQAQNLRDSLVEAKSDIVVKIGLRKGSKSFEEARGAGFTEENGTLGDIWETVSGSDLVLLLISDSAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSHGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMDEELAYKSTVEGITGIISKTISKKGMLEVYNSLSEEGKKEFNKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYDKEGLPAFPMGKIDQTRMWKVGEKVRSTRPDGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDEPINQDLISNFMSDPVHGAIEVCAELRPTVDISVTADADFVRPELRQSA >SECCE7Rv1G0520010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866731764:866732765:-1 gene:SECCE7Rv1G0520010 transcript:SECCE7Rv1G0520010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASADDDIAELVISSLPLETRWRPYPLRQHGGFWFSEPFLPGVAAARAQFEARPSDVLLASFPKSGTTWLKALAMATLNRADHPPRGLDHPLRRRNPHECVEFLEDAFTPFAADDSGSDVLAALPSPRLLATHLPYTHMPKSVTAEGARCKIVYVCRDPKDVLVSMWLFAKKKLAFAAVDDKPYTIGEMFDLFCDGRCICGPQWQHVLGYWEATRRWPEKVLFLRYEEMLQYPVDNVRKLAEFMGCAFSGEEEAAGVAEDVVELCSMDTLKNMEVNKSGTQKYVRNEAFFRKGVAGDWSNHMAPAMAARLDKIVGDALQGSGFAFGDAAEST >SECCE5Rv1G0306950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:103161017:103168853:1 gene:SECCE5Rv1G0306950 transcript:SECCE5Rv1G0306950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT3G18520) UniProtKB/TrEMBL;Acc:F4J8S1] MTSNIRPPNGKKGELPSHGRENCGVSDQAFHGKCQSCDVVGKPSHSRANGVSLKESGTCSFSCDHANASLVDGPKGSPARPGHIDNADCGQDSCLHAKNESCMPCNDLQQESDREQAGDTLDDLFFFNDEEDDDIDWEPSARLAENRWFCLNCTMPNLDEIKHCLNCHELKGSEVAAGYDVFKANIARAALVSADTASVLVSTAIGFDERMLLHSEMEIKPNPHPERPNRLRAIAASLAAAGIFPSKCALVPPREITKEELVMVHTSDHVESVEQTKNMLYSYFTSDTYANGHSACAAKLAAGLCADLASLIVSERVRNGFALVRPPGHHAGVKQAMGFCLHNNAAVAALAAQKAGAKKVLIVDWDVHHGNGTQEIFEGNKSVLYISLHRHEDGSFYPGTGAADEVGVLDGKGFSVNIPWSCGGVGDNDYIFAFQHVVLPIATEFAPDITIISAGFDAARGDPLGCCDVTPAGYSQMTSMLTACSEGKLLVILEGGYNLRSISSSATEVVKVLLGDGPSYGTDAAAPSKEGMQTVLHVLDIQQKYWPVLVPIFSSLQAQQAPTSSKYVNAENKLKRRMLMGGPGPVWWKWGSKRLLYEVLFEGRRPRKSRAKGK >SECCE2Rv1G0116300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:763064732:763065034:1 gene:SECCE2Rv1G0116300 transcript:SECCE2Rv1G0116300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAETARPPKPAATAKEAASSSFTSAAATAASLVAVGLGGAALLLWWALAFHPAHARLWMVPAGLVLLGTPVLAWLSLFASGPCRPADAPPAPGAHAAA >SECCE5Rv1G0326870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:487332145:487336070:1 gene:SECCE5Rv1G0326870 transcript:SECCE5Rv1G0326870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSAAAVVDEGNAKMAAREWVGWEEEVVLGDDDGEERKVCYYLRCAAPQDGSKSERDLAVVGKYWGPGNIAYSASLQFLLSLKTALDSGLASDRVETLVLEVAELRWKSRREIMDWLTSLVSDPPYGAFGLTCPENHNGGSAASKGILAVAGENKEGFTWICKMPNLDQRRKHYKSFWRGRLKISVHDFVFIKGGGRESHVAYVEDMYEDASGKKMVQARWFEEQDGEHGAVVPSDLYRREIFFGYGLQDLRVEFVEGMAAVLNPEHFEMFKKIHGGHSSWQPFVCRRQIEDDIVGPFDIAQQLQGYAKQEIVKAIVVASSSTVVHARPPNNKGKASVNTGLAAENHVGSSRTVIDDKPMQKRKLPPCSVTPSSSLIGDKTMEKQKPPPSPCSATPSSSVMDNKTMKKQKPPQCSATPPTSSSDKAMEKQKPPVYSATPSSTVIDGKTMEKQKPPPCSATPSSSVTGDKSMEKHKQKPPPCSATASSSVVDDKTMEEEKEKQKQQQKEIPPPCSATPQSATNGQTVESGVVPRSVVNCQTTTQNQPPPSGTGTGTGNEAGNGASTMVNCQTTVQNRPPPSGTGTGTGNAAGNGASTMVNSEKLFQPNSRLEALSQDSSVRGCWFKCVVLERNEQIDKIWVRYQDLQQPEGKGQLREWLKVARIAEPDHLGIRLAKRTMLRPQLPPRYRKIESPVDVGDIVDARLNGGWWEGIVLQQETDGLVQVYLQGEGRLVEFKVGDLRKSFEWREEQWMPLDARKDVAAKITLDLKKKKAASPAGLLQLQPREDKGGDGSVSFVPPRKRILEMYNSFEDGNKSKGPADGPAKTVPEKASLQQRREEGDGGGMSRGGGSADAKRPRVDPASSDLNCAEHKGKAGKSSSVKKMGSSEGSGSQGGTSGSASTGGAPPMKPVRMKEICVTNGEAPMVDMEESEVIDLTMDD >SECCE4Rv1G0270950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:758206774:758207891:-1 gene:SECCE4Rv1G0270950 transcript:SECCE4Rv1G0270950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPATQQWAPLPPAPDLPQPPPPGMISFPRKHLVFDPTLSPNYFEVLSVPDVPFKNNDECEEEVEWPPSTLILPVFSSMSESWEERTFGREGAAAGTLPGMVGPQRVFFKHQSAYWREALYICCSDCFVMRISPSNSTYRAIELPTEDAEFYLGKSVNGIYCASLFQEFQLQVWFLNDPCDHGQTEWVLKHDRDIFSILPNLNYDKQRDGPWILQEFRYCAKKDADDDSPIVYNNEATVEQKFVWYSDNDNVLEPGGRSNDCGIVFLGFHPYKEVVFLSDKFFRVLAYNWSSSKLHDLGKVFPKFYTDRESDFFHQYVEECFPYTPCWLRELPEKLNL >SECCE4Rv1G0274890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:788927538:788932479:1 gene:SECCE4Rv1G0274890 transcript:SECCE4Rv1G0274890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRAHWHLLKLFLLIITNLLLSAEAAQHTKESYIIYMGSPSPSGGGGMKLSEAMHAAHLEILASIVPEERRWLTHSYHHAFEGFAAELTEEEALVLSEHERVVSVFRDPVLQLHTTRSWDFLDVQSSFRADVRASQTSGDVIIGVIDTGIWPESTSFNDVGMGDVPSRWRGLCMQGPGFKKSSCNKKLIGARYYGDHPGSAVANASLARVALSTGSPRDDVGHGTQCASTAAGSIVEGANYYGLAWGVAKGGAPAARVASYKVCNEEENGCSGSALLKAIDDAVSDGVDVISISIGMTTTDAAPDLLTDPVALGAFHAHQRGVLVVCSAGNNGPDPSTVVNSAPWILTVAASTIDRAFHSSVVLGNGKVFKGVSINFSNQSLGGERYPLVFGAQAATRRTLASEASNCYPGSLHKRKVSGKILVCVRTDSTITRRIMKLVAEDSGARGLVLIDDKAMEAPIDTGSFPFSQVGSYAGTQILRYINSTKNPTAVILPTKDVKLFKPAPVVASFSARGPAALTEAILKPDLMAPGVRSPPMNMEDVPAGKKPSSFSIKSGTSMACPHVAGVGAFLKSAHPRWSPSIIRSALMTTATARNNLGQPVASSSGAAATGHDMGAGEIIPLRALSPGLVFDTTTRDYLNFLCYQGYKEKVIRKVSGDAQFACPRGTPSPDLIANSVNYPSISVPRLTARKPFTVSRTATNVGPSNATYAVAVEAPPGLLVKVSPKRLLFSRRWATAAYEVSFAHAGARKGYAYGAVTWSDGVHSVRTPFAVNVV >SECCE4Rv1G0234660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:295493244:295504778:1 gene:SECCE4Rv1G0234660 transcript:SECCE4Rv1G0234660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKWSECPSNSHRELSLHENENKDGNVYKRRKMDKDSNSLVADEEVKELTVQSCTTSEDLSSLLLPVINPSYPVFSNLTAGKIDPVLELEEPAGVSLEPNSGVNERCSVSSMPPSSVILDKKDASVWSSSNIRPTEPITELTSARDLCIATLRKDGLITESQTRIISEESTDCNANHLVTCNTCGSLDVPLKMLICDSCEAAFHLPCCIPCIKVVPAGEWYCQPCLKKKPKSLYGQLLEGKVRSSGNMDQKPHGMSHIEYMFKDVESYVSGVRLGGHFQAEVPEWSGPISSSDGYFTAPSEFDPAELTKLNFCSKNNHNKSSSSTGNWVQCREVLSTGDPNKPVICGRWRRAPLYVGQSDDWDCFCCLLWDPAHADCSVPQELDTDEVLKQLKYVNAVKNRLADCKHKPA >SECCEUnv1G0532070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:18953653:18954699:1 gene:SECCEUnv1G0532070 transcript:SECCEUnv1G0532070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKPALVLLLLAVSTAATVGKPLLTKITKGGASTALYTAPLSAGRPLVLDLSAPAITTPCSGQTTTVTLSANSTDGSNPLSPASFAATATCAAAPSGAVGVAGLARSSASFPAQVASTQKVANSFALCLPSAGVGAAIFGGGPFFLAPPADRPAITTLLSDGVPLRQPFTGNPGYFVTATNGIAIGGTRVAVSGSGALVVGLSTTIPYAQLRSDVYRPFITAFDRAMGSSAKVAAVAPFELCYDSSKLSPTRSGYSVPQVDLVLEGGTNFTVVGGNSMAQVNSGTACFAFVQAASTGGATPAVVIGGFQMESKLVVLDNDKKTLSFTPYLPAMGFSCSNFNFTKAG >SECCE2Rv1G0111040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:697507806:697509304:-1 gene:SECCE2Rv1G0111040 transcript:SECCE2Rv1G0111040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPFLRSFPTSSSSPTPPSSLQLRRAFSDSHLPSLNPSSGDDNNRTTGLHTELSFSIYNTFSKSNKLEPFQEQEMEEDQEQQAAAAQPELPELPLFLARGMGIDRLASGLFTAGMGSQVALARLASGVDQKAVLALDAQYKEMVDEQPGNALFLRNYAQFLHEVKCDARRAEEYYSRAMLADPTDGEIMSQYAKLVWAVHRDHERSLTYFQKSVQAAPRDSHVLAAYASFLWEQDDEDDDEGGEQGAQERAAQPRQLASASV >SECCE5Rv1G0329130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:512707197:512708717:1 gene:SECCE5Rv1G0329130 transcript:SECCE5Rv1G0329130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDLLRRRRACDNDHLSALPDDLLLLILRRLDSRTAHRAAALSKRWAHLPRELTVLDLKVTDTLPPRYRRCLLRRSEARRSLRLAPFARKLADIAGRYQRRAMRSMVASVRSLLASGPRRRVNRLSLEIFEDSTSACINSLIVEAVDSWGVQDLAVVATPTERTVNTPPAYDFPHGRISRKPGESRLQCLKLANCLPPPLEGFTALTTLVLQDLPRSTPAAVYRRVIAACPQLRILHLICCRYESKAFALILNAPMSGIRELLVEGSFMLVEIRSLPKLQSLTVLHATLLLSSAAPPCLEHVSFVYSVDQREGSVPYNSKLETISVILMRFFQGAVGMTDLALRIAGPGMWIALKNPVCQMANVRRLLIADVPSSWEVSGSGPHLLIDAAPLLESIHIHVPESEVEPYQETPRLTSTARRHRHLKELVVVGFQGTERHLHLVRYAVEASTALSRVALFKHGHVKEKGPCGWEMVTLRSKWSYEEKRAVQDRICCPTAQIEVVLG >SECCE1Rv1G0001960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6828365:6831822:1 gene:SECCE1Rv1G0001960 transcript:SECCE1Rv1G0001960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAADALSARSKVQSFLEAACSGDLEALRKFGSALDEEGKGAAAVAAGVRDANKRTALHFAAREGQTEVCRFLVEQLRLPVDPKDDDGETPLIHAARQGRQETVEYLLGRGADPSVASNMGATALHHAAGIGHIEIMKILLGKGVDVESESESGTPLIWAAGHGQQDAVKLLLEHNAKPDTETADGITSLLSAVAAGSLPCLEVLIQAGANPNVSAGGATPLHIAADSGNLEMIKCLLQAGGDPNTSDDDGFKPIQVAALRDNLEVVEHLLPLTSPIPGVSSWTVDEIVKYTASKMEEEKAQENESASLQRRQPVEVSPEAKKRSLEAKSRGDDAFRRKDFLVAVDAYTQAIEFDPNDPALLSNRSLCWLRAGQGEHALEDARACRALKPDWAKACFREGAALRLLQRFEEAANAFYEGVQLEPENKELVKAFREAVEDGRKFHGTNKPTTNGTKPE >SECCE5Rv1G0305800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:77302687:77306612:1 gene:SECCE5Rv1G0305800 transcript:SECCE5Rv1G0305800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVVLLLAVLLPHETSCSAAAASGGGIQCSRRCGSTTVPYPFGFSVGCPIPLSCDANTSTPILPYIGDNGTTYRVIVFHPNTSTVLVGLPPSCSRSVPEARRALSGGNYGLSSRTGLFLRGGCHSNDNTSVCSVPAPIMAKLLRTAQCGEKGNDTSAPATVACVASAGQNTTTTTTPANQFLRWDKVEKPKCDDVLTSAVYMETSEGTTSLEFSVAELGWWLNGTCTRGGEPCAANATCSDVITPSGEMGHRCECVAGMEGDGFSAGDGCYLGAAKRVPKRNVAFIVAGVAVGVAAAAGVLLLICWAQRRRSGGKGRQGSSRLAAMRLLSEAATSSGVPVYSYNEVARATNSFSHTHRLGTGAYGTVYVGRLPANSTALVAIKRLRHRHDDHDDDGGRAVALLLNEIRLISSLSHPNLVRLLGCCLDRGEQILVYELVPNGTLSQHLHGDGEGGSSTLPWRARLGVAAGTAAAIAYLHAARPPIFHRDVKSGNILLGADLRAKLADFGLSRAARGAEDASRSHVSTAPQGTPGYVDPEYHQSFHLSDKSDVYSFGVVLLELITAMKVVDFGRPANEVNLACLALDRIGKGRVDEIVDPALLRHGEEWVMESVRHVSELAFQCLAFDKDVRPSMSEVASELCRIRDAAPDTGMTDLVDDVGLDGPDTTAAKKARSPVSVQEVWVSDRSSQSTNGSMPRFS >SECCE6Rv1G0433550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:760179154:760180692:-1 gene:SECCE6Rv1G0433550 transcript:SECCE6Rv1G0433550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAFLELSLSFVFVVVLYYFYMRSRRKNPAIPLDWPLVRMLPSVLGSLPRLHDSATSLLSASDLNFRLIGPPHSGMQLFLTSDPANVRHVFTSNVTNYPKGPDFAEIMDILGGGIFNADGDSWRRQRAKAQLLMSGPRFRAFVSRCSRLKVERDLVPLLAHVVTTGAGECDLMDIFLRLTFDMTTMLVFGVDPGCLAIGFPEVRFARAMDDAMDVLLIRNILPLSWWKLVRWLGVGYERKMAVARREIDRFIGDAIVKRREGVKARGENEDSETTDLLSAYIDDDTAGTTVDVFLRDTTMNLMLAGRDTTGSALSWFFYLLTQNPHVECKILTELNTIKATTTTLDGMVTYDDPDELGRLVYLHAALCEALRLYPPVPFEHKGVVAAETLPSGHEVRPGDKIIVSLYAMGRMEAVWGKDCRDFRPERWIGEDGKPRYVPSYKFASFNTGPRTCLGKDMAFVQLKAVAAAVVRNFMVEAVPGHVVEPNVSIILHMKNGFKAKIKRRQVMNN >SECCE4Rv1G0240690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448127538:448130656:1 gene:SECCE4Rv1G0240690 transcript:SECCE4Rv1G0240690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHLLLFNGSFIFPECILIFGLILLLMIDLTSDQKDRPWFCFISSTSLVISITALLFRWREEPIISFSGNFQTNNFNEIFQFLNLLCSTLCIPLSVEYIECTKMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGCCSSILVHGFSWLYGSSRGEIELQEILNGLINTQMYNSPGISIALISITVGLGLSFPQPHFINGLLTSTKECGSFDKFLPLYLSLRFIAFLSITSKVAASASATRILDIPFYFSSNEWHRLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGHVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTNNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLASVLSIYYYLKIIKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNPILAIAQDTLF >SECCE7Rv1G0484400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343837009:343837722:1 gene:SECCE7Rv1G0484400 transcript:SECCE7Rv1G0484400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLRSASTPSSPRSNKTEAEQQLQSLSTTISSPSATIDTMYDGLRRLGDIYSCIEKMMCTPSSQVSLCQTLQRAAVEAELGWSLVVLDLCNGMRDSFVELKLTVHELLLALRRGEGVSSQVKAYVRLANKVQKQFNKISKKTASDKNDSKVVMLMAEAREITISLLKSTSSILSKQVEMPKWSLASKTFQRSKVVCQEEQLQALEKSIGDLESGMELLYRRLIQNRVSLLNVLSS >SECCE5Rv1G0373120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856281657:856282178:-1 gene:SECCE5Rv1G0373120 transcript:SECCE5Rv1G0373120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCKLTLFLALSLVLVGTSHGCGSCGTTPSVPVPTPPIAVPPPAVPVPTPPIAVPPPAVPVPTPPIAVPPPAVPVPTPPMTPGGGGSTCSINTLKLGACANVLNLLKLNLGVPASEQCCPLLSGLANLDAAVCLCTAIKANVLGIKLNVPVDLVLLLNQCGKTCPADFTCPS >SECCE3Rv1G0184660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:664937577:664939483:1 gene:SECCE3Rv1G0184660 transcript:SECCE3Rv1G0184660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNPTTSRPGVSTIEEKSTGRIDQIIGPVLDVTFPPGKLPYIYNALVVQSRDTADKQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDSSATFPIHRSAPAFIELDTKLSIFETGIKVIDLLAPYRRGGKIGLFGGAGVGKTVPIMELINNIAKAHGGVSVFGGVGERTREGNDLCMEMKESGVINEKNIEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERIASTKKGSITLIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKETLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVALAETIRGFQLILSGELDGLPEQAFYLVVKEIILSTNSGQIGVLPNHAPINTAVDMGPLRIGLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQKALEIAEANLSKAEGTKDLVEAKLALRRARIRIEAVNWIPPSN >SECCEUnv1G0556570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:312240570:312242987:-1 gene:SECCEUnv1G0556570 transcript:SECCEUnv1G0556570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQGVAFMFPLALLLGAFASIPQSVESIGVCYGMSANNLPAPSTVVGMFKSNGIKSMRLYAPDQAALQAVGGTGINVVVGAPNDVLSNLAASPAAATSWVRSNIQAYPKVSFRYVSVGNEVAGGATRNLVPAMMNVHGALASAGLGHIKVTTSVSQAILGVYSPPSAGSFNAEAAAFMGPVVQFLARTRAPLMANIYPYLAWAYNPSAMDLSYALFTASGTVVQDGGFGYQNLFDTTVDAFYTAMAKHGGSNVKLVVSESGWPSGGGTAATPANAKFYNQHLINHVGRGTPRHPGAIETYIFSMFNENQKQSGVEQNWGLFYPNMQHVYPINF >SECCE6Rv1G0401590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:470034344:470035800:-1 gene:SECCE6Rv1G0401590 transcript:SECCE6Rv1G0401590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDADSKVACETVTKTNMVMVLGEITTKATVDYEKIVRDTCRNIGFISDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEVGAGDQGIMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWVRPDGKTQVTVEYLNEDGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPGKYLDENTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIIASGLARRCIVQISYAIGVPEPLSVFVDSYGTGKIPDREILKLVKENFDFRPGMISINLDLKKGGNRFIKTAAYGHFGRDDADFTWEVVKPLKFDKFSS >SECCE2Rv1G0104990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:613584623:613588840:1 gene:SECCE2Rv1G0104990 transcript:SECCE2Rv1G0104990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAATTTEPQVAVPPHHPHAHPHPHPPPHHPHPHHHMPQPRWVVLPYPPPSMVAAPPPPPPQFAKHYAPGPPPPPAAAGRRTPTPPAAGSGGNGCEENKTIWVGDLQYWMDENYLHNCFGPSGEVVTIKVIRNRQTGQSEGYGFVEFFSHASADKALQNFTGHVMPNTDRAFKLNWASYSMGEKRSEVVSDHSIFVGDLAADVTDEMLMELFASKYRSVKGAKVIIDANTGRSRGYGFVRFGDDTDKAHAMTEMNGVYCSTRPIRVGPATPRRTSGDSGSSTPGHSDGDSNNRTVYVGGLDPNVSEDELRKSFAKYGDVASVKIPLGKQCGFVQYVNRPDAEEALQGLNGAVIGKQAVRLSWGRSPSHKQSRGDSGNRRNNNNMYYGTPFYGGYGYASPVPHPNMYAPAYGAYPFYGNQQLVS >SECCE1Rv1G0053930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:672195579:672195809:1 gene:SECCE1Rv1G0053930 transcript:SECCE1Rv1G0053930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGGSLQVASRKMMEEKRQSHGGSWRQAPAPVRQLFWRVRRAMLRPKRRAVSFGYDLKSYSHNFDDGLVPAHRL >SECCE4Rv1G0287480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:855357502:855358089:-1 gene:SECCE4Rv1G0287480 transcript:SECCE4Rv1G0287480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSRASRDLARAARQVSSAARRAAPATMPRTLPSDPRNRRLSVHSGGATAASIPGHTNPPTEEPVEFVASDKDLESDEALWALYERWCEFHDEERDHDEMVRRFGTFKEAAFMVDRVNKANLPYTLKLSQFADGKLAEAVRNRCIGQEPRPDPAAYKEGEVLIYDDGGDDVPNYPSMKFHFSETGGSIIPLE >SECCE2Rv1G0071510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:45348981:45352089:-1 gene:SECCE2Rv1G0071510 transcript:SECCE2Rv1G0071510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide-binding protein-like NSN1 [Source:Projected from Arabidopsis thaliana (AT3G07050) UniProtKB/Swiss-Prot;Acc:Q9M8Z5] MPKKSKKSKSKRVTLKAKHKVLRKVKEHHRKKRKEAKKDGRSGKSKVEKDPGIPNEWPFKEQELKALEVRRAMAIKELEDKKEARRERARKRKLGIPDDEDMANDNEAAEETAALAVPKTNDHSERAFYKELVKVIEASDVILEVLDARDPLGTRCVDMEKMVRKADPTKRIVLLLNKIDLVPKESVEKWLSYLREELPTVAFKCNTQEQRTKLGWKSSKLDKTSNIPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLRSASSGVSVALRNCKRVEKMEDVITPVKEILSLCPQEKLLSLYKVPSFTSVDDFLQKLATLRGKLKKGGIVDVEAAAKIVIHDWNEGKVPYFTLPPKRDVVEDANAVIITEDGSEFNVDEIYKAESSYISGLKSMEEFSHIEIPSNAPPEIDEAMLEDPPAQSAPVKDESMSEVNDREGSKAAASGGTQHDKLYTAEGILDPRKKKAEKKRRKGNKFSVLNDMDADYDFKVDYQMKDASPAGDDEDGDGSKGEGEGEEPKGEDDKNDAPAAECEPMTS >SECCE5Rv1G0367440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:821301473:821309969:1 gene:SECCE5Rv1G0367440 transcript:SECCE5Rv1G0367440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARRNESGKKLASRAGARAIVWLLVPILVFVLLNADYMPQVPRLSGTSVTEAAEYFRQSTHKVSSSGIEDTIWQQQQRLLHDVAKFRAEHDSVVEAPPSPESSHEMAGKVVGANRGTESAIWRQQKQLLREVAELRAAHKELLLEVAKLRAAHDLVVRALPSPASSDETAGEAVDAPPSPPSSDERAGEAVHAQPSPPSSDETAGEAVHAQPSPASSDETPGEAVEAPPSPTSNEETLGKANDAPPSPASNDETPGGAVDAQPSPPSSDETHGEAVEAPPSPTSSDKNPGDVVDAKRDVPAPRSKSTCDFSSKRMDICAMEGDVRMHGKAATVYVVSASHDSYRPENGMVTIHPYPRKSETETMQTIREVTIRWNDPPGPDAPRCTVTHDVPALVFSTGGYINNFFHAMTDGIIPLFNTVREYNGRVQLVVTDYNHEWLDKFRDILAALSNYPVINFDADDEVRCFPSVHVGTESHKELGIIPALSRKGYTMTDFQDFLRSVYSLKREWSTPVNRASGDRPRLAMILRRNSRAFANEAEAIAAATEVGFEVVPAGPEAMSDTARFAEVMNSCDVMVGVHGAGLANLVFLPRNATVLQVVPWGDLSWASFSAFGAPTADMGLRYVEYEAGAEETTLKYVYPRDHAVFTDIPSINRQGYSVTWGIFLNGQNITLDIDRFRGVLQQIYQNTIMADPSFNSEAVVEALPPPDQMPGEVVLVDANRDLKNEEKGLQAMNGGVDGSLTKPDVVAPRSKSSCDFRNERMDICAMEGDVRMHGKAATVYVVSASDDSYRPENGTFMIHPYTRKWEPQTMQTVREVIIRWSDPPGPDAPPCTVTHDVPAVVFSSGGYLANFFHAMTDGIIPLFNTAREYNGRVQLVATDYDPKWIGKFRNILGALSNYPVIDFDADDEVRCFPSVHVGTESHKELGIIPALSRKGYTMTDFREFLRSAYSLKREWSTPVNRASGAKPRLVMVLRRRSRELANEAEAIAAATEVGFEVVPAGPEAVSDMAQFAEVVNSCDVIMGVHGAGLTNLVFLPRNGTVMQIVPWGDMRWASFSAFGAPTADMGLRYVEYEATAEETTLKYVYPRDHAVFTDPRSIQKQGFGVAWGTFFNGQNVTLDINRFRGVLQQIYHDSVLADPSLDSAPVVEAPPSPASNDVVDANRDLGNGKGLQAMNGAVDTKSDVAAPRSKSSCDFRSERMDTCAMEGDVRMHGKAASVYVVSASDDSYRPESGTVTIHPYTRKWEPQTMQTVREVTIRWSAPPGPDPPGCTVTHDVPAVVFSSGGYLANFFHAMTDGIIPLFNTAREYEGRVQLVATDYNSKWLGKFKDILAALSIYPVIDFDNDDEVRCFPSVRVGTESHKELGIIPALSRKGYTMTDFRDFLRSAYSLKREWAIPVNITSGNRPRIVMVLRRRSREIANEVEAIGAATEVGFEVVSAGPEAVSDMARFAEVVNSCDVIMGVHGAGLTNLVFLPRNGTVMQIVPWGDMRWASFSAFGAPTADMGLRYVEYEATAEETTLKYIYPRDHTVFTDPRSIQKQGFGVAWGTFFNGQNVTLDINRFRGVLQQIYQSVTIT >SECCE3Rv1G0157410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:81633002:81634019:-1 gene:SECCE3Rv1G0157410 transcript:SECCE3Rv1G0157410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLASSSSSIATAAATAPSSAPPATTRRALLLSTSPLTLAAVVPAVAQAAANPFSTPYSQSRTLQLGLDTNGKIRTCPSTNPGCVCTNPTVGASSSVASPLIIPESTTADAAAQLLRQAILKTQKNVSFNVDQQTPHGQYIQAEVDGGFGRDVMEFLVKKDAGVVAYRCVATKVTFVYPFTTAIGDSRGQEQRVAAVVQELGWYAPDIRSSVDDVAT >SECCE4Rv1G0265460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725950133:725951545:-1 gene:SECCE4Rv1G0265460 transcript:SECCE4Rv1G0265460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVATETSIILPPHFVLVPLIGQGHTIPMSDLACLLAGRGARVSLITTPVNASRLEGVAERARRAMLPLEIVELPFPPADDGLPPGRTASIDGFLRLFLDLYRLAGPLEAYVRAMPRLPSCIISDACNPWTAGVARSVGVPRLFFHVPSIFYSLCDLNVAAYGKDDHDALYVVPGMPVRVEMTKETWSSSFYTTPEWEAFTKEAREGMRTADGAVMNTFLGLEQQFVTSYEAALGKPVWALGPFCLGNRPEEEAVKQSPVTAWLDKMDRNTVIYVNFGSLVRMPPKQLYEVGHGLEDSGKPFLWVVQESETALPEAQEWLQALEARTAGQGLILRCWAPQLAIMSHRTVGGFMTHCGWNSLLESIAHGVPVVTWPHFSDQFLNERLVVEVLGVGVPLMPFGNDRAVMRGHIARAVSELMGDGAVAEERRRKCKDYGERAHAAVAKGGSSHENLTRLLQSFMPSGSKEL >SECCE2Rv1G0097140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:453312870:453323480:1 gene:SECCE2Rv1G0097140 transcript:SECCE2Rv1G0097140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRPRWQERQQLARLCDLVADSLLPHFEPEPLATRRPQLTREEERRILIALSRVNKAIRGWDDDEVDDGEQGCAPDQIVSCSEEAHSCCLPPGHHFDDGFSCLANIISIVVGLLHLCSDYIKHSAGNILMAISNSLMKFEAVWIQFVELVWAAIHTVSTCAHNHSTINATKGSVGCSSAAESISHDTLTKSASITSFIVVLKLRCVNTSRQIMTCLFRVLHIILKLLKLNDSELKDDFICLSIHHIQKLHWDPGYPLNAGKVVSIVTDYRHSLSKDSAQFGIVSGSLLQLLCSLVEQSDTEDTDRRDIFVKLADVIPRLATFLQEQQDIPKSLSQYSKHKILMLMIRLKPHIQQNCSHIVCLLKLLRRHFQSPLHVPTFQHSTKLENCLEGSPFLLSGVGLGELQDKSTRHLQRQAMYLFLSCSIVLACSGNDSRLKCSCKRDQCCHKGQGCTDNCNYFGLSEISVWFQRCCLDKILDSKSSTDIVLCFLQLYMEEDDMLFIILLQLLDAPLISLAIDKMETKWTSELIGAKLFSIVFDPVHIFHQWLSLLHYDHLVLVDYLISKDVGVNCAQYLLRCLRLVSGCWDAFVDDSVYEAQIQKFNCKRQRTLTNINSFTGSSMEGTKLGSSHDKENKSKEQLFLSAKVCLLSLKRTLEDLHKKDLFPYNPKPLLKSLSRFEELCAQY >SECCE2Rv1G0109660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:679463716:679464003:-1 gene:SECCE2Rv1G0109660 transcript:SECCE2Rv1G0109660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFAAVPTEFKLRNNIGCSWRVTVKLMNDEVTLDQGWATFAAVHQIKIGYMMTFKLVTPDTLKVIIFDDDGIEVINMCGKHDETFAARD >SECCE5Rv1G0325840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:470038845:470041274:1 gene:SECCE5Rv1G0325840 transcript:SECCE5Rv1G0325840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAASFLARPLPWPRRIGRSAYGAVAVHGGVSPLPPRLRAVRCSMSLSIGAGSSDIGDSGFGYQYAPVFRRYRERDPYKLLGVDRDASEEEIRSAKDFLVQQYAGHEASEEAIEGAYEKIIMKSYQHRKKTKINLKTKLLKRVEESPSWVKAFLGYFEVPPMDIISKRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLLRASTTGFGVLVGGWIIGSMLVPLIPTFIIPPTWSLELLTSLVAYVFLFLGSTYLK >SECCE5Rv1G0347000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:664938516:664948754:1 gene:SECCE5Rv1G0347000 transcript:SECCE5Rv1G0347000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKPMVATDGDDVIKLKDLLNQEDATAMVVVTATSKKPPEEDQPPAGNIINPLLLASARAGSWEALNLLLEREDAKKPPMMVPTRKFLQLIARGSSAQGRIPVSAAGDVEEGVDHQPVSSLATGALLKGVTPQGDTALHMATIGGQNYLKYAGIICDRDKRILFAKNHKGDTPLHCAVRAGQPRMVSYLIHLAAREGADTKLRLLRTENNHHETALHQAVRFQYDTHLRSKEKASIGESRGDAEATPEERNIVKLLMGADAELANYPADGVSPLYLAILLWRSTTALILYDMSAGNLSYSGPDGQNALHVAIIRDRVMVEFLVHWNRSLTTQVDKHGSTPLHFAASLYIGWELRFKLLCTPPWCHVEWFSSGSKALNVVFDANPMALYQADKDGYFPIHVAASVGIKDAIQLFHNEYPDSAGLCDAKGRTFLHVAVNKNNLHIVSYVCGTKSLAWILNMQDNDGNTALHLAIEARDFKIFCALFGCPWVNLNLVNNQGETLRDLAGSKVSRGINYTENPEYKIHDVIWRVAGSRGALRGDKLNERYMNTVNLGDEDKESQMLGNSAQALIIASVLIATVAFAATFSLPGGYRADDHINGGTPTHAGSYVFDAFMMATTLAFICSIGATIGFAFAAVPLVSLISRRINLATSTIFMFSSVTCLSIAFALGVYVVLAPVILSTAIAVCVITPAILLSANIIEAVMKFVTLARALCIRKGLFVGMVQVLRMYLQIVVIALWPFIVIFGWAALASIHRRHKS >SECCE6Rv1G0423710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697232778:697234307:1 gene:SECCE6Rv1G0423710 transcript:SECCE6Rv1G0423710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMEAPLLAKRPAVVAEEDAAAPRSYAEAREALVREAERLWAIAAPITFNILCLYGVNSATQLFAGRLGNLQLSAAAVGLSVVSNFSFGFLLGMGSALETLCGQAYGAGQLGALGVYMQRSWIILAVSAALLSPLYVFATPILRALGQDDAIAGAAGDFTLRILPQMFSLALTFPTQKFLQAQSKVMVLAWISLAALAAHVAMLYLFVSRLGWGLAGAAAAYDITSWGIAVAQVVYVVRWCGDGGGWDGLSWKAFEGLWAFAKLSLASAVMLCLEVWYMMVLVVLTGHLDDAEIAVGSVSICMNLNGWEAMLFIGLNAAISVRVSNELGSGRPRAAKQAVASVILQSLLIGVLAMALILAYRNSFAALFTSDRAMQAAVGRVAYLLAVTMVLNSVQPVISGVAIGGGWQALVAYINLGCYYAFGLPLGFCLGYLLRLGPQGIWAGMLCGTALQTAVLLAVIWNTDWEDEAAQASERISAWAGESKQAQVQGGGGAGADDGDLKEAFRV >SECCE7Rv1G0507300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:760559435:760564602:-1 gene:SECCE7Rv1G0507300 transcript:SECCE7Rv1G0507300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGLACLLLALVPQVVLGTHDVYIVTMEGDPVVSYTGGVEGFPATAADLDEEMDVTSEAVTSYSLHLRRHHEKLLDSLFVAGTYEKLYSYHHLINGFAVHMSSLQADFLRKAPGVKYVERDRKIHKLTTHTPQFLGLTTAVWPTGGGFDRAGEDVVIGFVDSGIYPEHPSFSTHGTDPYGPVPRYKGKCEIDPVTQRSFCNGKIVGAQHFAKAAIAAGAFNPDVEFASPLDGDGHGSHIAAIAAGNNGIPVRMHGYQFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLILGNGKRIAGLGVSPATHGNKSFGLISATDALLGSSSTKYSALDCQRPELLSKRKVQGKILLCGYSFNYISGTASIKKVSQTARSLGAAGFVVAVEDSYPGTKFDPVPVNIPGILITDVSKTKDLIDYYNSSTTRDWAGRATVFQATVGIADGLAPTLFNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWSAWAPNGTDEANYAGEGFAMMSGTSMAAPHIAGIAALIKQKYPKWSPSAIKSALMTTANTIDKGSHPLRAQQYSTSEMMTLTRATPFDYGSGAVNPKAALDPGLVLDATHQDYITFLCSIPDVDPSEVSNITGSRCGSGPKGQRPYDLNIPSITVSQLVGIQTVKRTVTNVADEAETYTIMTRMSPEIALDVSPPALTVLPGSSREITVTLTTRSVTGTYSFGEITMKGDRRHLVRIPVVAMGFK >SECCEUnv1G0553950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:280778749:280779348:1 gene:SECCEUnv1G0553950 transcript:SECCEUnv1G0553950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCWAFAAVAAIEGMNKIRTGELVSLSEQVLVDCDTRSSGCSGGHSDSAMALVATGGGITSEERYPYAGFQGKCDVDKLLFDHQASVKGFKAVPPNNEGQLAIAVAMQPVTVYIDASGFEFQFYSGGIYRGPCSANVNHAVTIVGYCEGPGEGNKYWIAKNSWSNDWGEQGYVYLAKDVPSSTGTCGLATSPFYPTA >SECCE7Rv1G0480330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:268694188:268696434:-1 gene:SECCE7Rv1G0480330 transcript:SECCE7Rv1G0480330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTADASSAADAATKQPDANKDYSSYNGASTAGVGGGARRGGGGGVVESVVARWKREDMLDKCPLALHAAAAAFAFVALVLVASNQHGDWMQFDRYQEYMYLLATAALAFAYSLAQALRHAHRMRGGADPISAPSARLFDFIADQVVAYLLMSALSAAIPITNRMRTAVINNFTNTTAAAISMAFLAFVALALSATVSGYRLSRQMYM >SECCE1Rv1G0037880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:531818393:531820225:-1 gene:SECCE1Rv1G0037880 transcript:SECCE1Rv1G0037880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14050, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G14050) UniProtKB/Swiss-Prot;Acc:O23266] MLSPAAAVVAAVRAAGGSPTAVRRAHARLLKDGLALHPPAPSMLVSAYAKCRLLPDARRVFDESPRRDLHLYSSLLAAVSNSDAPYLVLPIIRRMLCTDALQPDHFVLASIASASARLRSLSLGKQLHGHFIASPYSRDDVVKSSLIDMYCKCGFPDDARKVFDSMSARNSVVRTALVSGYASNGYIDEALELFRSMPGRGLFAWTALVSGFVRAGESVSALELFLEMRRDAITIDDAFVLSSVTGGAADLAALVLGRQLHGLSMRLGFLCSMMVGNALVDMYSKCSDIHSAREVFEEITVRDIISWTTMIVGEAQHGRAGEAFALYDRMILAGVKPNEVTFVGLIYACSHAGLVQKGRQLFDSVKREYDINPGLQLYTCYLDLLSRSGHLSEAEELITTMPYEPDEAAWGALLSACKKHNNAEMCVRVADNLLELGPKYPSTCVLLSNVYAVNGKWGSVSTVRKLMANMKINKEPGYSWVEVGRESRLFHAGEVPVDMREEIIVFLEELVSEMRRRGYVPDTSSVMHDLEEHEKEHHLFLHSERLAVAFGILKSLPGSVIRVVKNLRVCGDCHTVMKLITEIFQRKIIVRDATRFHHFEGGKCSCGEFW >SECCE2Rv1G0126160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844903058:844906391:-1 gene:SECCE2Rv1G0126160 transcript:SECCE2Rv1G0126160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCVFYHRLLDYRRPEVQSLAELFGGPGAGDAVEWRMPENHHEDSPFHLVRLPGDERLAAQIANRSLLVKGIFELWGQGATYDELEKAIREYPDERKLPYLTPESSFKIVVDSFGKVISFEEQNEIIKGFTYIPFEGRVNLKKPEHKFFVLETDDYGSQNGLPPVVQKTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDCEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCVLRADNNLPPWRPGLKEIFDAIICDPPYGVRAGGRKSGGRKLLKGIIPPYTVPDEKRENHIPSTAPYSLAECVHDLLHLAARMLVVGGRLVFFYPMLREDDAAGVAKFPEHPCFKLVSSCEQILSLRYSRVLLTMVKVGPYTEEVERMGEERHQEFRENHQKWMEEGNLHSAVFSPAEHDKKPESDRGSKPKYRGKYV >SECCE6Rv1G0444270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827404208:827411377:-1 gene:SECCE6Rv1G0444270 transcript:SECCE6Rv1G0444270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITTGGVPFSLQRLGTETFVTVSVGKAFHVYNCAKLSLVLAGPQLPKKIRALASFKEYTFAAYGSDIAVFKRTDLVVTFTKHEEKVNMLYLFGEYILSADTKGDIFIWAFRGAKPSSEPVGNISLGDKFTPTCIMHPDTYLNKVIIGSEEGPLQLWNISTKKKVYDFKGWDSSVRCCVSSPALDMVAVGCSDGSIHVHNIRYDEELMSFNHEIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDGSIVSLHFFANEPILMSSAADNSIKMWIFDNNEGDARLLRFRSGHSAPPRCIRFYGNGKFILSAGQDRAFRLFSVVQDQQSRELSQRHVAKRARKLRLKEEEIKLKPVIAFDCAEIRARDWCNVVTCHMDTSKAYVWRLQNFVIGEHILTPSSETESPIKACTISACGNFTILGTEGGWIEKFNLQSGISRGSYIDGSLSLQCAHEGEVVGLACDATNGSLISAGYRGDIKVWDFKSCKLKSKFNVGKSVTKIAYHRANGLLATVADDMVLVLFDTVSMKMVRRFEGHTDRITDLCFSEDGKWLISSSMDGTLRIWDISLARQIDAMRVDVSITSVSMSPNMDVLATTHVDQNGVYLWVNQALFSPSTNVDSYASGKHVRNVLLPTVSSAERSEEEEPIKKSQDSNQSNIKPFVIMDHQIPNMITLSLLPRSQWQSLTNLDIIKVRNKPIEPPKKPEQAPFFLPSVPSLSGDILFEPPSSKETDGSNTEDTGHKKMADLSSHFSRLLQSSGELESYSAFTDYLKGLSPSSLDMELRMLQIIDDDEVEESEEPRPELQSISLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETIRRHSTLQEKVRKLLDVQSKVWQKIDKMFQSSRCMVTFLSNSQF >SECCE1Rv1G0049590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642210254:642213355:1 gene:SECCE1Rv1G0049590 transcript:SECCE1Rv1G0049590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHFRAGDERCPSTGATATSANSETAATRVHVGLVGDDGVGYHGESSPPSESDPNDLRRREAKERIRERILSEEAEAMALEAEVRRELMEERASLLAMLAGGSEHRTAPPVASLKTATLHFHESVQAESKVEVSAVVPGKRKNHDVHDTSVVLAATGSKKSKPNLSCMVCDITATSEVSLQEHLRGKSHGRKAAKLAQPLPGTGQPMEDAVCSKPAKRKNSDVVPAASTLSAGPSSKNQKQDHLKGKAHMKMAASFAPAEAEEEAEVQGGYTPWKFKMLTDSGTLCEVVQLNGSILCEVCDKQTLDRVTMMAHLQGTKHISKQAKQKQCQAVEPSAAVAAGGLGRVDGGSLLCELCNVKVASECAMQSHLSGRKHTNKAKVAALGVGASGNGSGSENVPMEANGVRRLSGGILLCQLCDVKAPSEFVMRTHLSGRKHTNKQKSTADTSAGQEVKKTAAVATIGSSSKEATSIVVNGSDESVKKPGAGEMEVAVSSATPQVDVARVSSVAPMEVDEGAEAGDGAAKADEQERADAEEDGAAKAEEEEKADAEEEGAVEVDGGRAVTGEEYYIKVQGKLFVTLRQADDSLSCGLCGVHGCDKRGMINHILTSRDHWRRARLAEEKKRAAQEAVSKDSDGVPVTGGEVHVDN >SECCE6Rv1G0407430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:557481423:557481844:1 gene:SECCE6Rv1G0407430 transcript:SECCE6Rv1G0407430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSKKRGGAKGAGREGNGENPASDGSSALPTANIVPLTRRVPPSNVKITESAKQLSHDPAVEYFFSRRARPPAPPAVTAAVSPEAPITFTEEEMEFLQSIIPPPPGGY >SECCE3Rv1G0148490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19160379:19161355:1 gene:SECCE3Rv1G0148490 transcript:SECCE3Rv1G0148490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARVIALVLLAVGSGLLSVAAETRIAPSPQPFVWQKAHATFYGGADASDTMGGACGYGNLFSEGYGTRTAALSTVLFNDGAACGQCYKIACDRKRADPLFCKPGVTVTVTATNFCPPNDALPNDNGGWCNTPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCVKRGGVRFKINGHDYFNLVLVSNVAAAGSIMSMDVKTNDSEDWMPMARNWGANWHSLAKLTGNMLSFRLTNTDGHKLVFNDIVPKGWTFGQSFVSKLQF >SECCE7Rv1G0462110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:42752990:42756805:1 gene:SECCE7Rv1G0462110 transcript:SECCE7Rv1G0462110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEETAAAAEAEMDLEFSRGADVPSFEFAFNSEKFSDKVLQVEVVAGDHVGGGPLPDSVRHSKEQAMSGTQILTVKALHINSAILAARSPFFLKLFSNGMKESGQMHPRIRIANSEENALTELLSYMYSGKLTTNNPTLLLDILMAADKFEVISCMRRCSQLLTSLPMTTESALLYIDHPCSTSMAAEIQHVIGVAKEFLANKYKDFNKFRCELMKISLAGIEAIFSSSDILVNCEDILFFFHARERRKILSCRLLPLLRFSHMTCTALQKILTCTDDDIDHEQVTSRITEVLLRKAYPEQMESALEAGVTQFPQRAYHFKPVKLVAFDRPCPYVIVYLDLTRQECSRLFPSEDICSQIFRLAGWNFLLMASCEMDEQSKSYSFGLWLGVKSVKGSTCLTVNCEFAARTRSSVNFVSKFKGKKNFTSDYTVGCEDLFGVAWSTFIADDNLFIDDVLHLRADLTVLEQTELHT >SECCE3Rv1G0181930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:619989520:619997378:1 gene:SECCE3Rv1G0181930 transcript:SECCE3Rv1G0181930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSPRLPHASLHATHSRSRFSPPASSSSYLGIPLLPAPFFRTNSNLPLPTARRTFLSLRHAMAMQPPPSRDEAREADALLPRSDSAGRRRSSPVQSASPRPPGSGSGSAGPRRQSSFRDDVGHAASETYLVTRLTFTLLQYLGLGYRWMRQLLALAVYAILLMPGFLQVGYYYFFSSQVHRSIVYGEQPRNRLDFYIPKDNRRPCPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGRISDMVSDASQGISFVCNNIASYGGDPNKIYLMGQSAGAHISACALMEQAVKESSGQPISWSVTQIKAYFGLSGGYNLHNLVDHFHQRGLNRSIFLSIMDGEESLSRYSPEIVVKESSAQTIALLPRIFLMHGTDDYSIPSSASQTFVDVLQQVGAQAKLLLYEGKTHTDIFIQDPLRGGRDPLVEDVFSIIYADDATRRNTASAPTPRRLVFEWQLQLARWISPF >SECCE1Rv1G0060170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:709285610:709288625:-1 gene:SECCE1Rv1G0060170 transcript:SECCE1Rv1G0060170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVAAATAEGHASESTAEDRLSGLPDGVLGDIVSLLPTRDGARTQVLSSRWRHLWRSAPLNLDHRSLCDHPDDFNSVVSRVLATHPGPGRRFSAPVYHLWGHRATTADAWLRSPALDNLHELVLCSYDYTLLYPPVTPQPPPKAAFRFSDSLCVATIGECHLPHSTIQGLYFPKLQKLALERVTISESTLHAMIASCRALECLLIQNCSGFRCLRINSISLRGVGVRAYNYHKELKIGELVIENAPCLENFLHAGFHESLHISGLRVHSLTKTVCTVKILAIDMSAPSLDVVINLMRCFPCLEKLYIESIGSGKTNSWRRKHQTLIRSLDIRLKTIAWTYYEGIKSHVNFATFFLLNARMLELMTLKVSPQDYNEEFFAQQRKMLQLDNKASGGACLHFTPDRSHRSIQDFGAHDLDLADPFVRMHPYQFHTLS >SECCE1Rv1G0036500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:510331988:510339956:1 gene:SECCE1Rv1G0036500 transcript:SECCE1Rv1G0036500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCVNPPTLNPAGGDGRVVDSFGGIAAYVAGSTESKAAVILIADIFGFEAQNLRKIADKVASSGYLVVVPDFLHGEPYSHENADRPFPAWIKDHAPEKEFEEAKRIIAALKEQGASSVGAAGYCWGAKLVAELAKANEVQAVAMSHPSFVSVDDIKEMKCPIAILGAETDYMSPPELVKQFEQILSGSGIRHFVKIYPGVSHGWTVRYNSDDATALKWAEQAMVDMTSWFNQNLK >SECCE7Rv1G0454720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:4017065:4017709:1 gene:SECCE7Rv1G0454720 transcript:SECCE7Rv1G0454720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRYLTFFQGAVLNPSTPTHHPIVIPGNGNVAANFDDEPPLLEELDIDTGLIWRKAVSILHPLRSVDPSLHAEADLSGPVMILLSLALFQLLAGKLHFGIVLGWSTAASVFLYFISSMLLLPGRAGRGDLSLYRCASLLGYCMLSMTIFSAVSLFLPRGGGLIFGVGMGFVLWSTRVCSRLLVASSGHGDEHRGLIAYPCFLVFMLFSMLIIF >SECCE6Rv1G0431770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:747393255:747397490:-1 gene:SECCE6Rv1G0431770 transcript:SECCE6Rv1G0431770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGEHSEALKNYYLGKILGVGTFAKVIIAEHKHTRHKVAIKVLNRRQMGAPEMEEKAKREIKILRLFIDLIHPHIIRVYEVIVTPKDIFVVMEYCQNGDLLDYILEKRRLQEDEARRTFQQIISAVEYCHRNKVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSLNYAAPEVISGKLYAGPEIDVWSCGVILYALLCGAVPFDDDNIPNLFKKIKGGTYILPIYLSDLARDLILRMLIVDPMKRITIGEIRKHSWFQNRLPRYLAVPPPDMMQQAKMIDEDTLRDIVKLGYDKDHVCESLCNRLQNEETVAYYLLLDNRFRATSGYLGAHYQQPMESARPSTRSYLPGSNDSQGSGLPPYYRVERKWALGLQSRAPPCAIMIEVLKALKELNVCWKKNGDCYNMKCRWCPGFPRVSDMLLDANHSFVDDCAIKDNGDANSRLPAVIKFEIQLYKTKDDKYLLDMQRVTGPQVLFLEFCAAFLTNLRVL >SECCE2Rv1G0130480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:876616555:876619173:-1 gene:SECCE2Rv1G0130480 transcript:SECCE2Rv1G0130480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPAAEPPPLSPSVDDSGASSSSAAAVVEIPDDADDDSAVVTRQFFPPAAPGEAAAGHGRAAWLRLAGAPAPAVAAAAGGAGAGAGGPAASAAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGMEADINFSLEDYDDIKQMGNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARSYDRAAIKCNGKDAVTNFDPSTYAEELEPTASTGDAEQNLDLSLGSSGGSNKRGSLDGGGGGDDDGAAGSDQRVPMAFELDWQTAAAARSTKAKFDQSSTHHQMPPPALQASHMPFSPRHHHQFMGNGDPGTAGGLSLTAGAGGGGWPPHHQYQPPPPQQQQQQQRLQGWGNVVPGTSWQPPPPQHQAGPPPNNAAAASSRFPPYIAAQAQSWLQKNGFHSLARPT >SECCEUnv1G0543300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:112509210:112510057:1 gene:SECCEUnv1G0543300 transcript:SECCEUnv1G0543300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGEAERREQEETMAQGKKRGREEEQEAGAGRVVEGKGEVAVVEEGGFLSSMASKIGVAMSGANGSSGAGDTGEGNGNGSRNALAVSDGDEEKAAGNGIFHRLLSSSSPSPPATEEEKRGGKDEGEGGGNSNGEQAGILSAMASKIGMAMSGNGGHGGSGEDVDHSKGEGEDKERGHDEPSSNGGGIVEQIMSNLPTSDTRGPDAEEASLLISIIDD >SECCE6Rv1G0447080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:848668977:848670533:-1 gene:SECCE6Rv1G0447080 transcript:SECCE6Rv1G0447080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSTANPAVVSDFAVTADDKATFQPLNPEDVRAYLHKAVDFISDYYTNIESMPVLPNCNVEPGYLQRELMASPPTYSTPFDVTMKEVRASVVPGMTHWASPNFFAFFPATNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLMRLPATFMNHTSTGDRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSIGVSALPRLAVYAADQTHTTFFKACRLAGFDPANIRSIPTGPENDYGLDPAKLLKAMQADVDAGLVPTYVCATVGTTSSNAVDPVAAVADVAALFGAWVHVDAAYAGSACICPEFRHHLDGVERVDSISVSPHKWLLTCLDCTCLYVRDARRLSDSMETNPEYLKNDATDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGTAKLQEHIRSDVAMAKMFEGLVRADHRFEVVVPRNFAMVCFKIKASGTMTEEDADEANRMLMKNLNKTGKAYLAHTVIGGRIVLRFAVGSSLQEERHVRSAWELIKNTTNEMMMD >SECCE1Rv1G0038700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:542190590:542191794:1 gene:SECCE1Rv1G0038700 transcript:SECCE1Rv1G0038700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWNDEETSSKEECEVVSMDMGLMEEPNTTVEPLFCGQIELARPKCILHQMRPIKRVAFEGPLTGRRFYGCPVQENGVNCGVVEWVDGPWPTVLQRCLCKLWEMFHEQNLGRVHDKEKFEKELARLKSEHEREFAKLRTENDKLCIEYTKLVDDVSKMFDWQDGRVDKKVYQKQVEEEELEKKKKELEEKAMLEVQMKKLKLAKEHRCILQSQADIIKNTRKAMEDVEVSRDVLTKEKAKLELVDAELLKEGYGSKEKLEQIKAILHS >SECCE4Rv1G0229810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:153870912:153874843:-1 gene:SECCE4Rv1G0229810 transcript:SECCE4Rv1G0229810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSTAQRLCFLSLPLLLLAAAASATADAAAAEEFTEELLLRPLPDRKALAHFHFRSSAPPASAAGRHHHLFPKAISQLVQKFHISELELSFTQGRWNYEQWGGYDPMSTNNAKPPGVELWAVFDLPLAEIDATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSVYKGYYHSQKLKLKSSQSLGIILDQTLTVVLQPNTVSGKQLHPTGGQLQPSWSMEHLFNRKLSGKCLVSKSSRVFVEIEKGIVDKAGSEVSWNNDLFVLSTAPDRSLKELDNLEVQSSSLYEYDVNNYNNDKPLNVGITWKLPLIWSCTRAPYHASRFLMGSGNERGSIAMSFLSTGLDKQLVDSSNECSIKAVVFQVVPWYVKVYYHSLEVFIDGNSKPISEVVDKIHVTPSEDKLLPGTMEMLLKFPCSMQLATLTLDFDKGFLHIDEYPPDANQGFDIPSALVSFPEFNSSRSYPEADPLFVSPLLENFKEDGVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERVLKKADKRRGLIPLLIAKLRGQKVDPSESESTSPASLPRSKLLLKVVFVAVVAVVFHYLSNS >SECCE6Rv1G0438890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:793229674:793230008:1 gene:SECCE6Rv1G0438890 transcript:SECCE6Rv1G0438890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVAIVCFLTVLALVDTPISAENCTVIDDGGEFFCTKPLCKATCEVFARDRKGSLKDYHCEKKNAIKAVCYCNIC >SECCE4Rv1G0249050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:578291985:578293286:1 gene:SECCE4Rv1G0249050 transcript:SECCE4Rv1G0249050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDADDVFRYFRLNPTELQAVTYYLPRLLSGETLHCADKLIHRVEISGCEPKDLAARYAPVPLAVSSGDRFFFTTCKSKNGSKVQSVRGAGGGTWVIQKTTEISHAGAKVGEVKNLSFKTNGKSTGWVMEEYRCLLPEATVSDGVKVFCKMHLAQHAPDAARQESAAYNRQQAQPEAVTPSTHAQKRPAPAAAADPHPPRPKKRMRGAVPVPVPASATPSFLMYDEATNFPVQDAQASCESTTTSSRSDVAQAPEICSQSDVLESTQSEEAGSSSARSTSQENVFEPLEPISNLPEWEEDGFDLEELMRMMEDDPIEVEPVTGANTGVEMGQQEPLYLDAVDQSVLEGMLQSDYPAFHDADKEKRYNAASDLDAPSLQGQDHLIKPRPCFFDPFEAAWNDEEALENEKRCNPAANLHAGGHNSFFSPASVY >SECCE5Rv1G0347720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:669513165:669515718:-1 gene:SECCE5Rv1G0347720 transcript:SECCE5Rv1G0347720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGRHRTQFIDGSKKKFVRLADDASASGMSYEASMGGVGGGGGGGERRRSAFHIGYGGVVGASRRRLAQPELLARDVITHGSAQLRTLGRSIRTGAAMAAVFQEDLKNTSQKIFDPQDRILVRLNRSFLISCIISIAIDPMFFYGPRVREEQLPGETNTNLCIGIDPGLAISTAVVRTLFDIFFVARIALQFRTAFIAPSSRVFGRGELVIDTVEIAKRYCRRFFIADVFSVLPLPQLVIWKFLYREDKTAVLETKDRLLSIIIAQYVPRLVRIYPLSTELKRTSGVFAETALAGAAYYLLWYMLASHIVGAFWYLLSIERVTDCWRFSCNEFPGCNQIYMYCGKTQSNEEYTEWTTVIRQVITENCQPTDDGEMPFDYGMYSSAVTSDVTTSKDMTTKLLFCLWWGLANLSTLGQGLKTTIYTGESLFAITLATFGLILMAMLIGNIQTYLQSLTVRLEEMRVKRRDSEQWMHHRLLPMELRDRVRRYDQYKWINTRGVDEEALVQNLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLKPALYTERTHIIREGDPVDQMLFIIRGSLESITTDGGRSGFFNRSMLQESDFCGEELLTWALDPKSGVSLPSSTRTVMALSEVESFALHAEELKFVAGQFRRMHSKQVQHTFRFYSQQWRTWAATYIQAAWRRHLKRKAAELRRKEEEEEGRSSSFKTTILVSRFAANALRGVHRQRSSKRDQGEIMIHVPVPKPREPDFGDDD >SECCE1Rv1G0054910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:677293198:677293440:-1 gene:SECCE1Rv1G0054910 transcript:SECCE1Rv1G0054910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRQPHCSILPQWRIWRQRQQGMGGEQLPTGEEAHLTGGGLAAMEEERPDVVWPWWGRREPAVRQIRQKIGMGNEREKE >SECCE7Rv1G0463340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:52177186:52177863:1 gene:SECCE7Rv1G0463340 transcript:SECCE7Rv1G0463340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTTFSGEVWAELRLADACDVPHIHSLIHQMAEFELLTDLFAATPELLASTLFPTPRPAPFASFTALILDLSPSPAPSSGDTVGSRRLDLAASPLADPEAGAFPSPRGGGRVTAGFVICFPNYSTFLARPGLYVEDIFVRAPWRRRGLGRMMLSAVAGRAAEIGMGRVEWCVLDWNQNAIDFYEGMGAEVFTKWRICRLTGPALDQYKGAGGQEEQDAAGKAE >SECCE1Rv1G0002520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9312060:9315089:1 gene:SECCE1Rv1G0002520 transcript:SECCE1Rv1G0002520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDYVVILVILCFLCITFHLIFAESIDVDVNLQTNEKNTTLLRPKPFHFPWRANIMGEGSGIISHYAMWHTEPGQFYGLRADMSIWASPNQETSQESGASLQIYCQDGGNYNLIQVGFHISPSLYHNRDIRFFTYWTKDLKSKGCYNLQCPGFVSASRANLVPGQAMAPPSIYGEQDHYVRLSINKDPNSGDWVVYRHDLQKPSFLGHFPNKLCPGTRRIQALTGFVNYLKNAHGPPMGSGHFPDYDDKKSAYFKHIQNYNPNGHSSDLLGIPMIKLVDRPDCYTANNLFLEYKKGYMFNYGGPSGCVG >SECCE7Rv1G0471050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:126186370:126190089:1 gene:SECCE7Rv1G0471050 transcript:SECCE7Rv1G0471050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGSEVRIVETELVSDITVRLGNTKFYLHKFPLLSKCARFQKLIPTTGDENIDIHIHDIPGGPKAFEICAKFCYGMVVTLNAYNVVAARCAAEYLEMNETVDKGNLIYKIDVFLSSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASVDTSKVEWSYTYNRKKLSTENDLDMQWNGVKKQPSVPKDWWVEDLTDLDIDSYKQVISAIKTMGMVPKDAVGEAIKAYTYKKLPSLSKVSMIHGDGKVRAMLVTITCLLPSEKGSVSCSFLLKLLRATNLLKCGEMCRKELVKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEYVRQDSKNAQKHNAEVNGHVQTPSASMITVAKVVDGYLTEVAKDPNTPVLKFINLAEAISGNSRPFHDGLYRAIDMYMKEHPSLSKSDKKKLCCLMDCKKLSPEACTHAVQNERLPLRTVVQVLYHEQTRASAAATVRADSICIGSYESSRSGATTNTEDEWDGVIAVEDLSLSSKTTKLEKCHSAEKSHGSSKSTTNGKAKGGATPKKVLGKMLSSKALTGERSSSDSSDSAILRSQDHPKRTPSRSTKPAAA >SECCE1Rv1G0031670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:444901696:444905284:1 gene:SECCE1Rv1G0031670 transcript:SECCE1Rv1G0031670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSNRRSAAQDDTNVGCVWGLMRMVYFGRDPRFLMDAKQARGRHKLREITDGRDSGKRPRDFEEIEKDDNIENGPLQQPTVKKLMEDELGRVNLLRTVPNNEVQRRSADLGNDLSLDGNSEHTNNTTGTLNHGTDISVSRLSGSVDSEGSKSLNHAEYDLESVLASFLGEIYRCHNECPHDDCKSNSELCPSLKSLIHKKLNDLSNLQSNIDCEQPQESKGEKLLDGNAVSSSRAVQSKEFKDALEILGSNKELFLKVLQKPNSHMLDNIRNHQSSRLTTKLEPNKNLGETNFLEETRGSNHDLSAPAQAKESKHMFFWRKDKSKIKQTLPEGINGSQPVSKIVILKPNPGKGIDQTVTSSARYLHQQPSTSQTPEYSGRESSKFSIKEVRRRFRIVTGETRRERNAAPADDLQRVSRWHSVIATKKGSGHHIQGSLPDKSASNFKNDIARPSTSSKQKQQNVSETEISGRIVAPQDASIFYKEAKKHLTDMLKDNNKSGNHPTAQSSKSLEGMLLLPHCDVSSPRSGPSGKCDTDRSPEETDSCLVPRVDSEESTPEMSQSWDDSGSSTAHCTNVAVDDQVVAEDKCSMKEEPQEGQRDATDVVDTISIEGIGKIDCSETVCIPEELCRDSPRPDMLEEALQGKEPVQILLSYPESIVELEQQEPGTPEPRASTKFISDCSPELSHDKQEQPSPISVLDSFYEDVADPECENIKQCDLHEELRGALYFPDNESDLKVFWEDKSVRLDYIKLVLELSELCAEQNLEVWYLEDELISPCLLEELPNQGDQIDDLKILFDCICEALTEIQERYFRLSSWLSFLKHDIRAPPMGEDLVAEVDKYVDDYLRHSFPSTLDHTIKKDFEVRTWMDIRSKTEGIIVEIWEFVLDELIDEAVFDLWI >SECCE6Rv1G0452550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:877731124:877732338:1 gene:SECCE6Rv1G0452550 transcript:SECCE6Rv1G0452550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWKHRQKQHTCGTTEDDRGHVLLPTDVLLEIVARSHLLTIVRCAALCKHLRRDILSPPFIHRIAKLAAPCILADISTNAKELLALVHPDTAAAASFCHNQLSPFIGRNADTDFRLPGSLVTSRRGLVVLHCLRIQSERFYQLCVYDPMTGRRSFLSGPRDNQRNHYSVRVYVLLIAADGIDDTFMLLVADLGRHSIQVQTTTSSSGGTWEDVFHMSHLDFQWPSVNTRNNPAVLHGGVIHWLSYESNQILIYNAVKRTTGLMKFPPTNRNTNPLYLATSPDGNLLKLLSIDGFIISIWLQLSIVPGGAGDAGGGWALATVIDIEDKLQSLYPQISADVLVGFKKSGSGNRSGDVVLLMLSIGFGRCVVLDLETMEMHKQERTSILLEIDLQSHLQTMKLFPS >SECCE3Rv1G0144800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5421021:5421521:1 gene:SECCE3Rv1G0144800 transcript:SECCE3Rv1G0144800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLFAADHAAAASGAAALVLVVLVVLVVAAVVVLLCTSSTHEKLWGQQRGSSSSAPLAKADSSVGASNRKHLLSATLSGIGGKAARMVSWNRRSPSGSSDDDEEAVAAVGQEDDEAVWRKAIIMGDRCRPLQFSGHIAFDSDGNQLPPPAAAKKAGPDVHAEN >SECCE6Rv1G0419990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:674599564:674599943:-1 gene:SECCE6Rv1G0419990 transcript:SECCE6Rv1G0419990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTTLMALVLLVLVAGDLVHGHTIPPPLGSARRSLGWMQGMKGGPPSGMQPSDTAARRRAISWVQKGEESSRAGGGEERKFIAPVPGFKLPPLPPNAA >SECCE2Rv1G0087920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:235550569:235559858:-1 gene:SECCE2Rv1G0087920 transcript:SECCE2Rv1G0087920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGPPNPIAPAHATVLTGTPMSVQPQPKPPPPPPQQPAGSAPPPPSQQPQPQHQQQQQHQQHGPPPASLQQPPRICFNAHCKDPKSEGPRRRGWRLRSGDYAELCDRCYGSFEHGTFCETFHSEVAGWRKCEACGKRLHCGCIVSVHAYALLDAGGVDCILCARKSYAAMAPSQMWSTPTVHMPQNVADRKDSYVKSWRPPTGQISSQWRQNHQLWNMSSIQMDLQQRLAYEFDRPSGSEKLPPGRTFIHAQEKKSDDMHDRPTTPAGMGQIIRDRYANGLGQQTSMDPTHSSTPYQREGPNPNSLHDPSHHVGETDPLSSRKGIISDGGSTVSTGFKLDSHHPSILKDDAVSTLPTMGGYTITNYPPVPGRSDHLRIIPNNPQQPPTTVPVSVVQKQFYSHSVIEPDYQAQFRNGKPRMESRMDAKARSQLLPRYWPRITDKELQHLSGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDASGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMHLQAGDTVSFSRIDPEGKLVMGFRKTKTQEQIFRQEEPTKPANAAPNLPDVNVNVTAPDSSPNSSLARPNKVNTENKDSSPVEQTAASKIDKGGVAQKEGTGTVRTSPGPVKRKATSIGPKIKRFRIDNEESMELKITWEEAQELLRPPLKAPSVVIVDGHEFEEYEEPPVLGRKTYFVTDQSGENHQWAQCEDCSKWRKLPVDALLPYKWTCSDNKWDPERMTCVSPQEASMEELAELIPIKAGAAKKAKLRMEADSIDVSDGLDTLANLAILGEGESLPSQPTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMLRREKRASEKESEEPPRKKEQGQSSESIPQDPLPASTSPTSTPQKVNGNGDDAEEAMEHSMASSPMKNQIDLNIQPEREDEQSPKSDAVGAMRLPRENAA >SECCE7Rv1G0466030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:76119880:76120355:1 gene:SECCE7Rv1G0466030 transcript:SECCE7Rv1G0466030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTFEYRHVVLPPEVAKLLPKNRLLAENEWRALGVQQSRGWVHYAVHRPEPHIMLFRRPLNYQQQQDAAAAAAAQMMPK >SECCE5Rv1G0360660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:774042546:774043046:-1 gene:SECCE5Rv1G0360660 transcript:SECCE5Rv1G0360660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLVWGEHMAAAIAGGDEAKMARLREICGRYRDAEEMHQESHQVVKEASEEEQGWISGKAPLEILYWAMMEAESNDPVRRARLYRYASHDWVSSHPVSDNVRVVTTMMRSPPRCPAMPVVTNDDVRVVPRKNVAQVVSQLPRRSPRFPRRSARIKALAQSTVNK >SECCE2Rv1G0103740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:594651867:594658231:-1 gene:SECCE2Rv1G0103740 transcript:SECCE2Rv1G0103740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLAAAATLRAAAPSGRFPAGRRAGPSSSAFFPSSSSSSPALRLVSLRAASSRPSQKAKSAGRGRSVRCMAAASDAAQLKAAREDIRELLNTTHCHPILVRLGWHDSGTYDKNINDWPQRGGANGSLRFDVELKHGANAGLVNALKLVQPIKDKYPSITYADLFQLASATAIEEAGGPKIPMKYGRVDVTGPEQCPPEGKLPDAGPSAPADHLRLVFYRMGLDDKEIVALSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPAFKVYAEKYAADEEAFFKDYAEAHAKLSSLGAKFDPAEGFSLDN >SECCE5Rv1G0373320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:857129490:857132061:-1 gene:SECCE5Rv1G0373320 transcript:SECCE5Rv1G0373320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRVAVIGAGAAGLVAARELRREGHTPVVFERAAGVGGTWLYDDEASADPFGAGGVHASLYASLRTNLPRECMGFLDFPFVADEDSVDPRRFPGHQEVLRYLEAFARRFDLLGLVRLETEVVGVRRCRVDGAGVAGWTVSYCSRKLAGVGSELEEEQEEVFDAVVVCNGHFTEPRLADIAGIDGWPGKQMHSHSYRVPDQFHGQVVVIIGYNPSGMDISRDIAGVAKEVHVAIRSAPSEMQSSTAIDNLWLHPMIECAEEDGSVVFQDGSRVKADAIVHCTGYKYMFPFLEEDAIVSVDDTRVGPLYKHVFPPHLAPHISFIGLPFKSILFPMFRLQSNWVAGALSGRIKLPSPEDMMLDVTAFYSDMEARGFPKKFTHNLGVEGWTFEYEDWLAEHCGLEKMEEWRRVIYTAARARVSVRLESYRDEWDDDQLLAQAHHDLSKYL >SECCE2Rv1G0080480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:130458762:130460022:1 gene:SECCE2Rv1G0080480 transcript:SECCE2Rv1G0080480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRLQQGCSSVLLLVFLLVANASGTLSEDQEEVEESSRRRSLATGLFCVAQQGADPTALQTGLNYACGPGHADCAPIQPGGKCYKANDLPALASYAYNDYYQRNAGSGASCNFSGTATTTPNDPSSGQCVFAGSSMAGGSNSTTPGASAPTSPFPPSTFTPGFGGGPSSSLTPLEDDADSVMAGARRALCVLLLLLPLFFL >SECCE3Rv1G0150090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:30459506:30460203:-1 gene:SECCE3Rv1G0150090 transcript:SECCE3Rv1G0150090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHIAPLLLVLSLAAGRLSAAVADGKLDTIRLPSHGLADELATASREIGGEKKRPWKCCDKRLCFRPGGKLICSCVDQVDHCEEACKDCQPVFGDPSKHICITPYAGDPGPKCTSVDGNSDMHEEKRPWKCCDEQQCRNTRHRTSSCICRDEVDQCDQACTRCEVSSTNPSKHICRNMYRGDPAPRCTNN >SECCE2Rv1G0105980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:627619819:627621201:-1 gene:SECCE2Rv1G0105980 transcript:SECCE2Rv1G0105980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMSVHPATTPALASRPRVSLPRPSTSPSSSSSSLAPLKSRRPPLRSLRILAAAAGAGAVEAGEPYVGLGDDEPLGGEGDAEVVAESEEYKVVVPEKQAPLLVLKFIWMEKNIGIALDQMVPGVGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST >SECCE5Rv1G0322870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:426785473:426787496:1 gene:SECCE5Rv1G0322870 transcript:SECCE5Rv1G0322870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVGARLSRSSTRYGPVGSSNASFSGPVRKWRKAWAPLAGGGAGSASAGMGPIGCPRGNKVVLLKWTPVSGAGDGNGKEVAAAATRRRYVPVSGVPQNPTRKGGSTELNLNLGLEDPGDDSDADLSPDEQRDTGSTPRSENRLKRKVF >SECCE7Rv1G0483690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:325299368:325304760:-1 gene:SECCE7Rv1G0483690 transcript:SECCE7Rv1G0483690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARKEWRAVPDAPLRTNGAEDAAERVKMAQSEGRAIYQDESGGLDDFCSITIDGTGGLSEDILQQRLQSIVRQREELQQVEIDLRAQAIAHPQIIEVQRRFGEATKEHVVAAEKLKEQLHEREKYILELEMKLDDTHRELDALKIDHQTVWANQDLLREQTKEIASFRRERDNSEAERAQHLKQIHDLQEHLREKESQYLALEEQHRVAQDNILYKDEQLRDAHAWITRVQEMDILQSQSLQAELRERTDQFGQCWATFQQQYVEMQQGFLHTIQRLQLELIELRDRTGEQEDVSQAAQEASGEASYGQSKGSNMSANGSALADGNQSTNDSFKGNNAHAVPVVPPLLGLSGFVPPGQMAGMHSYMLHPQGIPQSLASPNSGVPQFGTFQPTVQPTLHWPNQQEAQAASQTPDATNYHPSQSDQNALQPAASNNVELSSGQSQVTHPENLTAHGEQEQRSTSVVAESTHEPKVMESNVTEHFYNEEQKAQDSSSNASSTGKFERQEERSESKGEKVASGKQPVEHVPRKQNQASDSAGSTTQIHMNNMSPELKSNVVNQSDTVTSAGGGVGSLLPKDPVLLDERSLLACIVRAVPAGSDNRIRISSTLPNRLGKMLAPLHWHDYKKNYGKLDDFVASHNELFVIEGDFIHLREGAQQIISATTAAAKIAAAAAAASSASYSSLLPSVAVTPVAHTTRHKRGPVVDSRSSNSMPSGNGSTTAKYGNQHQANGFSDEVRAGQSSRHTAAVNGGRHERVGPSTHEKVPSARHGYGGKQQGRSAGTEFSSRR >SECCE5Rv1G0317440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:344498438:344501792:1 gene:SECCE5Rv1G0317440 transcript:SECCE5Rv1G0317440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRAUCO [Source:Projected from Arabidopsis thaliana (AT1G51450) UniProtKB/Swiss-Prot;Acc:Q9C8J7] MADAAPPSPAMLPPSDSDLLLPPTDAPTPEAAARTPNLPDTPASAADPETPFSDAATASDADVSAVAPLYAAVVDDAEDDGINDPSGAARKHMTLAPPAPPTKKSKKKGGNCVWTRPNSRKGKKKAKPPGHAVAGGAGASGGGRPRPSCGEDEFLLTPAPRLAAERNDDSPELPVLLSRVYKSEKIEVSEDRLTAGSTKGYRMIRATRGIASGAWYFEVKVMHLGSSGATRLGWATNKADIQTPVGCDSFGFSYRSVDGSKVYKAWRDKYADAGYGEGDVLGFYISLPQGELYEPKQPDLVKYKGMPFHAQGLKDEKKMPDPVPGSAIVYFKNGVCQGTAFEDIPGGRYYPAASMYSLPDEPNCEVRFNFGPDFTFFPEDFAGHPVPRPMSEVPYQAYELKNEGPAENGNAEKAG >SECCE3Rv1G0213970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963410001:963414612:1 gene:SECCE3Rv1G0213970 transcript:SECCE3Rv1G0213970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGATTSTRRGPGGGGRPMDDENLTFETSAGVEVVGSFDAMGIREDLLRGIYGYGFEKPSAIQQRAVIPIITGRDVIAQAQSGTGKTSMISLSVCQIIETNVREVQALILSPTRELATQTERVMQAVGNFMSVSVHACVGGKSIGEDIRKLESGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDEADEMLSRGFKDQIYDVYRYLPPELQVVLISATLPHDILEITSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRTNNFTVSAMHGDMPQKERDAIMNEFRGGTTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >SECCE7Rv1G0499170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:650455628:650457030:-1 gene:SECCE7Rv1G0499170 transcript:SECCE7Rv1G0499170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSMLPAMALLLLAMAVASSDAQPSPGYYPSSRFRPVAFNRGYSNKWGPQHQRVSGDHSAITIWLDRTCGSGFKSKHAYRNGYFATRIKLPAGYTAGTNTAFYLSNNEAHPGFHDEVDMEFLGTIPGEPYTLQTNVYVRGSGDGRIIGREMRFHLWFDPTAGFHNYAILWNPDAITFFVDDVPIRRYERKTELTFPDRPMWAYGSIWDASDWATDDGRHRADYRYQPFVARFDRFVIAGCGPGAPPSCRPARASPVGTRLTRQQYAAMRWAQQRHMVYYYCQDFRRDRSLTPEC >SECCE2Rv1G0107400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:648367640:648373487:-1 gene:SECCE2Rv1G0107400 transcript:SECCE2Rv1G0107400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQEKAALCCGGPARDAPRAAIAAPGKVSMSAAGGGGERVVSAAGAGAVMDDIAASAAQPTTAKASSKGIPIMTRAQRFHPLDPLSAAEIAVAVATVRAAGKSPEERDSMRFVEAVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRAKLVVYNRHSNETTIWIVELSEVHAATRGGHHRGKVISSEVVPDVQPAMDAMEYAECEATVKNYPPFIEAMKKRGVDDMELVMVDAWCAGYYSDADAPSRRLARPLIFCRTESDSPMENGYARPVEGIHVVVDMQNNIVIEFEDRKFVPLPPADHLRNYTPGETRGGVDRSDVKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPSEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGHVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEIKLTGILSLGALMPGESRKYGTTIAPSLYAPVHQHFFVARMDMAVDCKPNEAHNQVVEVNVKVESAGTNNVHNNAFYAEEKILKSELQAMRDCDPSSARHWIVRNTRAVNRTGQPTGFRLVPGSNCLPFALPEAKFLRRAGFLKHNLWVTPYKSDEKFPGGEFPNQNPRLHEGLATWVKQDRPLEETDIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPGTSDAADVKEAESPKAIQNGGLVSKL >SECCE6Rv1G0429570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736447705:736448169:-1 gene:SECCE6Rv1G0429570 transcript:SECCE6Rv1G0429570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSIPLLMAMVAILLTSTATTRAAGLAPAADDRNSRNMPTVYEMLGQYGFPPGILPEGVQGYELRPDGSFEVHLPSDCRIRITGQNIHYSSRIAGKIQNGLINGLEGVKVKIFIPFISVRDIRRNGNELRFHAGKIDKSFSAKDFSSSPRCN >SECCE1Rv1G0019670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:240566394:240568771:-1 gene:SECCE1Rv1G0019670 transcript:SECCE1Rv1G0019670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 40S ribosomal protein S3a (CYC07 protein) [Source: Projected from Oryza sativa (Os02g0287000)] MAVGKNKRISKGRKGSKKKTVDPFTKKDWYDIKAPTLFNTRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQGDEDQAFRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASTCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVYVRKVKILKAPKFDIGKLMEVHGDYNKEDVGVKLERPAEADEPEVEVAAATE >SECCE1Rv1G0014900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:118651490:118652167:-1 gene:SECCE1Rv1G0014900 transcript:SECCE1Rv1G0014900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTSDGDSELEAYGSDTYAVLLSGDIKVMQDGSSYKCPFCSVGNGDYNIHELLQHALSVGAAHDQEAKQKVDHRALAKHLKDEPAKSHSPLLRPIIMDPQPPQHKRDELFVWPWMGIIVNIPSEYVGKSANRLKEHFSCFHPVKTHHVYSKGFPTGNAIVEFGKDFGGFRTALIFENPFEKNGYGKMGWQEKEREGSEPFGWIARADDYNAPGAIGDFLKKMAI >SECCE6Rv1G0429480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736088110:736089962:-1 gene:SECCE6Rv1G0429480 transcript:SECCE6Rv1G0429480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMVKSAIVGEGVSWIFSSIAAASKTEEKPDLEATRCGGLERLEMARINMEAALQTSNKWQITDTSLLDWRKKLKYAAKDCNDAARRCRQLSREEDEAEQVVRKSSFPRRFAHAAKALISSRVGRNNDDCSVGSAAVERFERLADSAGEFMRYVQLGTPRQHLFFDPLIGHIFAGKSLLYHVLHPGGQLHIFGIRSMSFVDRGLEAMVTFVYQDSKAPKNNFRLGFMLRVSESTDIIGTTIMCLRRVTPHFKTTAEIAINEITQLPTQDFFCVPQPQVGILFMPHHSLENPESTSEGSTIEAIDGEKQHLTHVNVHPDQLDEILLPKAIDYLYHNTEVTNYQICWTSNHGSAHLYVENTRLAGSCIALKSTRKDIHNMLRLKQQDQQIKKLQLKQVARDYLKLWVVRSSERVRSLFSAWID >SECCE2Rv1G0112480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:710058434:710061627:1 gene:SECCE2Rv1G0112480 transcript:SECCE2Rv1G0112480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMQHTTGPNAAAADDVEMVEASELRRRNKPRGDGEGGGDAVAAAAEDEAPSVERAFMDQRVPSWREQLTVRAFVASFFLAVMFSVIVMKLNLTIGIIPSLNVSAGLLSFFFVRLWTAAMEKVGLLRQPFTRQENTVIQTCVVAAYDISFSGGFGNYLLAMSDRIASQQTEANNPQNIKNPHLGWIIGFLFLVSFIGLFGLVPLRKIMIIDYKLTYPSGTATAYLINGFHTPHGAKVAGKQVKKLGLFFILSFFWGFFQWFYTATDACGFQKFPSLGLQAYNNRFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIAKKRGIWFSADLADSSLHGMQGYRVFIAIALILGDGLYNFLKMLILTAYSLRSQLKKSSASTLPISDDEPSNGTAAISYDEERRNELFLKDQIPWYIAYGGYAAVAAISIGTVPQIFPQLKWYQILVAYIVAPILAFCNAYGTGLTDWSLVTTYGKLAIFAFGAWTGASNGGVLAGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFDDIGISGSEYPAPNAAIFRSMAILGVDGFSSLPKNCLTLCCIFFVGAIVVNLIRDLVPKKVSKFIPIPMAMAIPFYLGSYFAIDMFIGTVILFVWQRLDRAKSETFAPAVASGLICGDGLWVLPQSVLALAKVKPPICMKFLSRGVNEKVDAFITTLS >SECCE1Rv1G0059180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704260622:704261134:-1 gene:SECCE1Rv1G0059180 transcript:SECCE1Rv1G0059180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRVYDRSLVDPEGRRRAVHVVDGPAVANGGFIRAPRKPTNHSKSGGLRALGRDALAQQEEEDEEEPRLHPPLGAGYAGYYCTTTCQSPFKFEAVPYQWEYDAFVPEEVQAPRPPPPPARLGGRAACKGSRKFKHNEIKMYYADAAQDVQGHLDYLYDFDS >SECCE3Rv1G0199850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:849012991:849015455:-1 gene:SECCE3Rv1G0199850 transcript:SECCE3Rv1G0199850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASTGNAGVPRLVDRCIDAAARCPASVEAWRRQRRSLERLPGQLADALLSRLASRRLLFPSLLEVFQHSVQEIDLSGNIAVDAEWLAYLGSFRYLGTLKLADCKKVDHAAIWALSGMSMLKELDLSRCSRITDAGIKHIVSIDSLEKLHLSETGLTDNGVMLISALKGLNLLDLGGIHMTDKALRSLQVLTQLEHLDIWGSEITDEGASILKAFTRLSFLNVSWTHVTRLPPLPNMKYLNMSNCTIYSIRGGDSEVHIPLQKFTASAASFGDIDEVFSSIIASSFSFLDMSGCSLNNLYGLQKMKCLEHLDLSLSRVTDDAIEYVANIGMKLRYLSLKDTGITSQALCILAGTVPNLASLSLAYTKIDDSALVYISMMPSLRMIDLSHTSIKGFTRVEANSEKILSLPLLEHLIYLESLNLEDAPLSDEVIPPMASFGALKYLYLKSDFLSDPALHALSSASNLIHLGFCGSVLSNSGLLQFVPPAQLHVLDLSGCWILTGDAISTFHRHHPVIEVRHELMEELQPNRGGTSQVHKSRQLPRAKGKVVNSSADSSRHSGIFFVDQRIKYSREEMLGMQDLTQSISVLHDVQLPPELRKME >SECCE6Rv1G0428000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:723168191:723170932:1 gene:SECCE6Rv1G0428000 transcript:SECCE6Rv1G0428000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g19220, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G19220) UniProtKB/Swiss-Prot;Acc:O49680] MLRRAPRFLAAVNLTFPATANLARISHPGLHVPPFHHAPAEGEGGARHLLDGMPHGARASSIVRALRDDARHPADAVAALHCASLKSGAVLDPPVRTSLLTAYARRRGDACAALALLDEAARPDVILWNAALDALTRSCRLGEAVVLLRRMARVLGAFDSATLVIMLSAASRGRDMELGMALHAAAVKRRLDTDLNLLNALVDMYAKCGRFCASEAVFWRMPWWDTTSWNSVIGGSAFNGLFEVSACYFREMIRFTVQADEVTLSSVLSAASRADDLLSFGRSVHGCVVKLGYEDTTSCSLANCLITFYSEHGFTEDAGNVFAGILSKTLVSWNAMIKGLVENKKVSEALFVFQQMISGHQPDHATLVTLISGCADQGLLCEGKEIHGYIVRKGLLHEESSIGNSLLGLYMKCHDSFTAKLLFRTMPVRDLISWNTMLSGYSRDVSLAVEAQAMFKELLSEGLKCTLTTVLAVLPSCSCPEDLSFGKGVHSFIQKYGFVSAVSVVNALMHMYICCGDTLAAFALLERIMLFSDIISWNTAIVGCVQNGLHGDALEAFRFMHSSLPVNPDSITLVSVLSACGTLKLHSLGKSIHCMSLKHLLACNLRVKNALLTMYFRFADTESAESIFYSPGDINLCSWNCMISGFAQNNDGWRALQFYQKIEGFVPDEMCTVSIICACTQLGDLRYGKSIHGHVVRSDHQNNVFVSASLVDMYSKCGRLDVAVRVFESSAEKSIACWNSMISALGFHGHGLRSIELFCKMIQSGITATRSTFIALLSACSHSGLTDEGWEYYHLMSEKFGITPTAEHHVCIVDMLGRAGRLQEAHKFVESLPSKEAHGVWGALLSACNNKAEVRMGESIAKHLLCLEPENSGYYVTISNLYANQDMWDGAVKVRNILQDKGLMKPHGHSIVG >SECCE7Rv1G0509590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:782571009:782571753:1 gene:SECCE7Rv1G0509590 transcript:SECCE7Rv1G0509590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLLLVLAVVALVSGHVEAFDPNPLQDFCVADPTSKVHDNGVACKDPAAVVAEDFLFGGLDKPGGKTSKRFGFTAQQVQIPGLNTLGESHVRLDVAPGGVFPVHYHPRAAETALVLEGSVYFGFVSSYPDNKLYAKVLRKGDVFAVPQGLVHFLYNNGTAPATLYASLSSQNPGLVLLGNSLFAGALPDDLLAKTLLTDQHTVQTIKANFRRP >SECCE6Rv1G0402030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:477710097:477712787:-1 gene:SECCE6Rv1G0402030 transcript:SECCE6Rv1G0402030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDALCASSVDNAALVYNTFNAAGFLFDNAAGFFDGAGIAGGPAHELLQAADAAAPVEKQATSSPAPAPPRRKRRRRARSCKSREETECQRMTHIAVERNRRRQMNEYLVVLRSLMPESYVQRGDQASIVGGAIDFVKELEQQLQSLEAQKRALGHQQQHKARCDATPLRMPMPTHASTSGGNAAVESTSNCSSSVTEADGASDAPPFAGFFTYPQYVWRQSPRDATTLSADESRAGVADIEVNLVETHASLRVMSPRRPGQLLRMVAGLQALQLTVLHLNVTALDSLALYSLSVKVEEGCGLTTADDIAAAVHHVLCFIHAEAEAEAASQQLLAPAQ >SECCE2Rv1G0135200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905758249:905759658:1 gene:SECCE2Rv1G0135200 transcript:SECCE2Rv1G0135200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGAAAAAVALVFLVFLAASSSAAAAHVDGAAEHGVPRGLTRRTEADVRAMFDAWLARHGRSYNALAEYVRRFRAFRDNLDFVEAHNARAAQRGGFRLGMNRFADLTNAEFRAAYLGAGAAGRARNAVGDRYRYEVNDVLPESVDWREKGAVAPVKNQGHCGSCWAFSTVAAVEGVNKIVTGDLVKLSEQELVDCSRNGQNSGCNGGIMDDAFDFIVRNGGIDTEEDYPYTAKEGKCDLTKKARKVVSIDGFEDVPADDEASLMKAVAHQPVSVAIEAGGREFQLYESGVFTGRCGTELDHAVLAVGYGTDADGGKDFWLVRNSWGPGWGEGGYIRMERNVTARAGKCGIAMFASYPVKNGPNPKPAPPAPEEKCDRYSSCPAGSTCCCTYGVRNVCLAWGCCPAEGATCCKDRAACCPSEYPVCNAGNRTCAKSKGSPYTVDALPRAPAKRRRTAVSQLVDSIFSF >SECCE5Rv1G0365640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:808333812:808334333:-1 gene:SECCE5Rv1G0365640 transcript:SECCE5Rv1G0365640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDFQAVLERMLQLRLDCPPDGDDVATAPQHVPAQPDDDHAKVKQSAAEWTEVLVGEMASAATMDDARRLAAKILEAFGGAVCSHAAHVLADKERELAKIRRQNTILKKAVLLQHRQHLEDEEKGRELQGQVVQYREQVRQLEADKYVLSMHLRNAGGGASMPGNFNPEVF >SECCE5Rv1G0345660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:657761918:657763975:-1 gene:SECCE5Rv1G0345660 transcript:SECCE5Rv1G0345660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAASAFLRSVMGKLFQVLEKEYNKQKGLRQDTLSIQQDIRMIAAAMDDRLDALRRDERRTAVARLYSEEMLGLAHDAQDCIDRIVHRLTCRPRPSGGGKGAALVRRVAHELKKVQSRSGFADEIHKLKARLKLAHERVVGIPIPPAASCCHEFAAAAPSCRVARDPVGIGRPVEELLSLLDEVEGEREQLRVISVVGFGGLGKTTLARAVYEAPHAVEKFHCRAWVSAGRWSPEVAGDGVTEILRDVLQQVRSKDAMDVVVADDGQRIEALLKEYLKDSRYLIVIDDIGMQQWRTINSIFENNGKSSRILLTTTIQSTANICSHGNGYVYQLNTLGEEDSKEIALQGLWSPELERGSTTLLQKCGGLPLALVSVSDFLKCSDEPTGERCAELCRNLGSHLREKHGHDNFAELRKVLMHYYDSLSVYAMTCLLYLCVFPNNRPLKRKVVIRRWLAEGYARSDSLRSEEDIADETFKALVDRNIIQSIDTRNNAQVKTCMTHGIMHEFVLHKSVSQGFIATSSRDHPRPHAYVNNACHLSIHGGNVTDSQASDEDLSRVRSLTVFGKAGDAISYVRKCKLLRVLDLEECNDLEDSHLKHIGKL >SECCE2Rv1G0068980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25991654:25992310:-1 gene:SECCE2Rv1G0068980 transcript:SECCE2Rv1G0068980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASFSPLCALVILLAAAGSVSLASASVADAGGVPLNSSGFPPPLAPATPPPSAVLPRKVLRPAGVGADVPHRVGLGCAGADDIAIEQSPGTTLPSGVPSYTVDIINRCSGVGRAACAISGIRVRCGWFSSVTLVDPSKFRRVAPDDCLVNDGKPLLADDTISFEYANSFQYKLSVAKATCVHPAADTSD >SECCE7Rv1G0505520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:736246909:736249934:1 gene:SECCE7Rv1G0505520 transcript:SECCE7Rv1G0505520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP31 [Source:Projected from Arabidopsis thaliana (AT5G45360) UniProtKB/Swiss-Prot;Acc:Q9FHK0] MADGKDLGASAAAEPDPDEGQTDLEEEGELEAGVGVGGEEEEDVDVDGLASFLEFEILSGSSEEDPLDQLEEREEEKETGVDDEAKNGKRKQDSLSDGDGSGSEDEHQKRARKEKGKGKVLTEGPPQIDTGMFTNVPPELFLQIFKFLSSEDLISCALVCRFMNAAASDETLWRRLYCMRWGLSSNATSNAKLRECAWKSLYIQQDREDMVEFVRYTPTEFKEYYIQMQAAKRSQAPLPSEVNDDKIVLDKTVADQVSSWKSRRGLTDDAVKGHSCSGSTCYYKQIGDAYICEKTGRVHVCDDACREFVLDQTSGLLVCTISGHCFERFLCPDDEWDTCDTDQQQGGVTDEVEPFMGSGRFARAYQLGYSCADEKELEHALRFC >SECCE3Rv1G0148420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19079784:19080218:-1 gene:SECCE3Rv1G0148420 transcript:SECCE3Rv1G0148420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTLQIRPRARSLWLLVRRLLCRGSKLHRPPAGAGDQQGDGCGERRSLLGRSGSLEDLLEPDVAGAVRRSARKDVQVVQHALLPERQRQHHPDVSEAPPAEEQPLAASAAAVQQYRRFMFGGFRRRLMMRRQWRPMLVAIPE >SECCE3Rv1G0170980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:261447837:261454173:-1 gene:SECCE3Rv1G0170980 transcript:SECCE3Rv1G0170980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNPFGQSSASAFGQTSSNPFGAQSGFGQTSTTTNNPFGSPTTAFGAQTGTTSPFGATSSTAGAFGQPSAPAFGATSTPAFGATPSGGFGQPSTPAFGTPSSSPFGSSTPAFGASPAPAFGAGATSSGFGSGSLFGQKPSFGGFGSSPSQSSPFGSTFQQTQPTFGNSTFGATTTPAFGTTTTPSFGATTTPAFGSTSTSLFGASSTPAFGSTPFGSNTTPGFGSSGTTTFGASSAPAFGASSTPANAFSFGSSPSFGQTASATGSTPFGTAPSPFGAQTSPFGSQTAAPAFGQASFGNPSGGTRVQPYVQTPDPDSATSGTQPAAKLNSISAMPAYKEKSHEELRWEDYQRGDKGGPNSSVTPVANSFPTPSPSFQTNPPANPFAKPSTGGFGATPNPFSSPTVTPFGQTSSSAFSANTSPSLFANTTPSLFSTPSTTPNLFNNSLSISNNTQSAGLFQSSPAIAPFSQSFSQQSSTPAFSSGIFSTPNPGMTGGLFGNTSSPFLTSTFQQSAPVQQTPSLFSFQPQTQPASTGGFTGFSNTMNLAPFGQQTTSQSNMVMQPTLVSNPFGTLPAMPQMSIGNGGSAPSIQYGISSLPVAEKPLPSRSVSMVVPRHLSQRRIKLLPRKYNQTSDGKVPFFADDEESPATPKADAFFIPRENPRSLIIRPTDQWRSRSVVDRQSVLRDSTDLDKHSDALVGKERGKAAVSPTWSGSVENGTYRDDRHQALPEAHLNGSSVEKLMPKLSQADYFTEPSLEELAAKERAEPGYCSRVRDFVVGRQGYGSIKFLGETDVRGLDLESIVEFNNREVIVYKDDNEKPPVGEGLNKAAVVTLLNIKCVNRKTGEQYTEGPRVDKYKEMLVKKAEEQGVEFISFDAAKGEWKFKVKHFSSYGFAEAEVGLVDSV >SECCE6Rv1G0452320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:876471287:876472501:-1 gene:SECCE6Rv1G0452320 transcript:SECCE6Rv1G0452320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWKHRQKQHTCGTTEEDRGHVLLPTDVLLEIVARSDLLTIVRCAALCKHLRRDILSPPFIHRIAKLAAPCILADISTNAKELLALVHPDTAAAASFCHNQLSPFIGRNADTDFRLPGSLVTSRRGLVVLHCLRIQSERFYQLCVYDPMTGRRSFLSGPRDNQRNHYSVRVYVLLTAADGIDDTFMLLVADLGRHSIQVQTTTSSSGGTWEDVFHMSHLDFQWSSVNTRNNPAVLHGGVIHWLSYESNQILIYNAVKRTTGLMKFPPTNRNTNPLYLATSPDGNLLKLLSIDGFIISIWLQLSIVPGGAGDAGGGWALATVIDIEDKLQSLYPQISADVLVGFKKSGSGNRSGDVVLLMLSIGFGRCVVLDLETMEMHKQERTSILLEIDLQSHLQTMKLFPS >SECCE3Rv1G0193880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:775570248:775574312:1 gene:SECCE3Rv1G0193880 transcript:SECCE3Rv1G0193880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE277 [Source:Projected from Arabidopsis thaliana (AT2G26930) UniProtKB/TrEMBL;Acc:A0A178VZZ2] MACSAHLLSQSLYQSHRSCPAPSKHLRFQARPAAAASAGVSSSFITRSRRSPSVRVAASAEQGRKKIELTYDAQAKFNQLADQIDQDVGITRLNLFSPCKINVFLRITGKRPDGFHDLASLFHVVSLGDTIKFSLSPSKSKDRLSTNVAGVPVDGSNLIIRALDLYRKKTGTDKHFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGGIASEKDLQEWSGEIGSDIPFFFSRGAAYCTGRGEIVQDIPNLLPENLPMVLIKPPEACSTAEVYKRLRLDQTSQADPLTLLKEITQNGISQDVCVNDLEPPAFEVLRSLKKLKKRFIASNRGDYSAVFMSGSGSTIVGIGSPDPPAFVYDDDDYKDVFVSEARFLTRDENEWYREPMSSNATFSKEDSLSEAAPVVD >SECCE2Rv1G0114740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:738088727:738095819:1 gene:SECCE2Rv1G0114740 transcript:SECCE2Rv1G0114740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEELQSAEDKMETERKRGDARSYWASVKKDDKALSRFDLSDLEGKIFSWSVQDIFNNDLFRQQVKSIPDTFTSLPTYLNSFTCPLIEEVHADVFSSLDGYAQANFIEIIWVEKFDDEKSIFCFEVSEPSKDQKSRETYNPKEGDIIIVSLKKPQHVSDLRQNKGSYVLGSVLKSGDKEDGDFPPNFCIVRFSSDISVEVDPETEMPLVPSFAVFLINMTTYNRIWKCLHMEASGIVDLVWPYKRKVVRDTSSYSQISQSFTRKSIDGLGLEKFHLNDSQLNAVADCVSVMENHSPSLKLIWGPPGTGKTKTISTILWAMLIKGVKTLTCAPTNTAVLEVASRIVRLVRESSDGSVCFLNDIVLFGNKERMKIDDSHDLSTVFLDSRAKRLLPCFVPHSGWRHCLCALIDLLENPVTKYKLHIEHILTKREMEKHGDKPLLCKDGHCSPPCYPLGQLVAPFSLFCKTIHKRAEDEEEECHKEGWHDYDAMMVAFREVPFKDYLKDRYDKLSKDLRCCIEKLYDDHPRNSEAEQIFQCMLEGLELIKILRPLINCDRGNDDIWSEELLEGKVEEDCNPVSWPEKLTCVRTNTCSVSKFKLARSLCVQELRYLCTNLILPNCYSRRGVQLYLLQRTKCILCTVSSSFRLYNVTMDNSPSSSDTYLVKKPENLNLGLLIVDEAAQLKECETLIPLLLPGIKQAVFIGDEYQLPALVKSKISDNAKFGRSVFERLSMLGYSKHLLNVQYRMHPKISKFPVVTFYDGKISDGPNVTTESYQKRFLASKIFGPYSFINVDGGHETTEKYGRSLKNTIEAAAVSRIVQRLFKESFSTGIKISVGVVSPYNAQVRAISEKLGKSYNRCDGFSVKVKSVDGFQGAEEDIIIISTVRSNKAGSVGFLTNMQRTNVALTRAKHCLWIVGNGMTLSNSKSVWQKIVKDAQDRDCYFDADEDKDLSNAVIKAVIELDDADNLLKMDSLHITKPRFQKSRSKYC >SECCE4Rv1G0271210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:759863739:759864602:1 gene:SECCE4Rv1G0271210 transcript:SECCE4Rv1G0271210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLFCTTVFILIISSLYLLGLLADRRRKLPPGPCPLPFIGNLLSLGALPHRTLARLAERHGTIMAILLGTVTTIVASSPDAARDILQRHDTAFSGRSIPDGTHVFAHNTHSMGWLPASSPRWRALRKVCSGELFAPHRLDMHHTLRQEKVHQLVSHVMQLAREGTHVRVGGLGFTTALNLLSSTIFSTDLADLDDRHDKPWGFKAMLAELNVTVGLPNLSDFIPEVAWLDLQGLRRRIEGLFTRLHAMVGERIEHQILDRAAAAGEPTKKNFLDVLLDYRNTDDD >SECCEUnv1G0546870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:164143455:164147331:1 gene:SECCEUnv1G0546870 transcript:SECCEUnv1G0546870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPRPFLLQALTPLPFSRGPLAVRRTLSAHAAAAGAAPRGDAPAPARTRHKNSRKAEPPRVPADTALFFPPGVERDAAVAAEMLIPGSNIVVGPYAGDARVKEAEFIGCSAHARDCPKDDRPEFAVLGRSNVGKSSLINTLTRRKEVALTSKKPGKTQTINHHLINKSWYLVDLPGYGFAAASKTARTDWSSFTKGYFLNRDTLVGVLLLVDASVPTQQIDLDCANWLGRNNVGLTFVFTKCDKAKKGKGGRPDENIKEFLENIGSLYPKPPPWIMTSSTTGLGRDGLLLHMSQLRNYWDNEAT >SECCE3Rv1G0197010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:814400796:814410045:-1 gene:SECCE3Rv1G0197010 transcript:SECCE3Rv1G0197010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSLPFIAFEHKRDAYGFAVRPQHLQRYREYANIYQEEEEERSDRWKNFLDRQAEDDESSGEDAKIAPSIEDEGAIDDAGRTELPDEKTAKQQRPHKIQIWSEIRPSLGHIGEMMSLRVKKKKKQSSADEDNTEDIKSPEDSDDEFYDVEKVDPSEGPVADSTNADSRAASQEGYFPWKEELECLVRGGLPMALRGELWQAFVGIGARRVKGYYESLLGVVDGGGDSKGSDSPTKECGDGKPKASQDLSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMSEENAFWALTGIMDDYFEGYFSEEMIESQVDQLVLEELVREKFPKLVNHLDYLGVQVAWVAGPWFLSIYMNMLPWETVLRVWDVLLFDGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVDEARLQDLRNKHRPSVLSSMENRAKDLLAWRSTNGLASKLYNFKRDTEPLVSISTEQLNDSTDGDANQETSSGNIDDMYHGLTLNTEIDSMPDPTDQVIWLKVELCRLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSELRQSLSDKEEQEQAMLQVLMRVEQEQKVTEDARIFAEQDAAAQKFASHVLQEKYDEAMASLTQMESRAVMAETMLEATLQYQSSQQKAQLPSPSPSPRTPTRDASPGQVNQDSSQEFQPRKISLLAPFSLSWRDKNKGKQDESTNGKLNSNTDQSVETPKTDHGNQEATPKEGEQRVETPNRDEPRLETAKMDGDLPTVETTTDKMNGQEAHLEEIKLD >SECCE2Rv1G0078280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:106529158:106530288:-1 gene:SECCE2Rv1G0078280 transcript:SECCE2Rv1G0078280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLAENFSAYFGNKKLVMMFKKLCQQRRLNKFIKIWKELDELTAKYTADKEGGTVGEMQQELVEHDEADLVAQSPCNRPDSVDSEEEGDHANENEGKVTKFSDWIRLKPMEKWSLVHDTKGARYGIMGADMSNIYKNDPVLKGITCLPLSAIVEVTFLRLVEHFKNTSAAANEAIGNPSMNFPERVQDDMNSKMQKSKMHRVVCLDTKTRKVFQGKECRNFKVQSGQKNEVVHLKSESISKFGESTIQESATCSCNKPQLLHKPCTHVIAVCCQIGVSTATYMSPYYSLAYLGRVWSGNFDEYKISHVYRNITPFECNTTTWIPDKRLECGLPVFVTSDCLETVADESEQQCNTGNGSTEDNQGATTRTEEPNEI >SECCE1Rv1G0025410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:355456698:355457330:1 gene:SECCE1Rv1G0025410 transcript:SECCE1Rv1G0025410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQNFFFFITSMVVPRGTAAPVLLKWFVSRDVPTGASSSNGTIIPIPIPLFPFLVYLHLRKFIRSMDRAKSGVLVKASRPILLPDKMERSSSARNALFRFVPVLHFLIIESMGDLSYLESFCGLLCLQFFRTLFSLPRDRSAKRERALRSKGQTLRPKGNEQQNDKMRCPGHPHIERRVEGFGPVAFPAPPPLVEWCLFGGCATRKRA >SECCE4Rv1G0229850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:154438093:154438653:-1 gene:SECCE4Rv1G0229850 transcript:SECCE4Rv1G0229850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSDAGEATPLVTPAAAAAAGGTFASPAAAGSNANFDANMVIILAALLCVLIFALGLNSVIRCVLHCGRRLAPSSSLAASAAAARTTTSVHVQAGLKRKVLRKIPVEVYGGAKSSGGALPATVTECAICLGEFADGEKVRVLPRCHHGFHVRCIDMWLATHTSCPNCRASLADDGAAAANGGGR >SECCE1Rv1G0001690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:5939764:5965745:-1 gene:SECCE1Rv1G0001690 transcript:SECCE1Rv1G0001690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITTVLSKLGEFATKEAGLLLQVGDDIMLLRDRLEWLQAFIRDADRKRRVGADDLTRVWVRQTRDVAFEAEDALDDFFHKVDVANQNHRGWKILGYLSSFWTQITVRHGLSTRINRIKSRLDQISENQKEYKIDHSPSGLWTSSTTALAPWDGLRNVVERAEDANTVLGLLFHEGHLQIMFISILGESGVGKATLTTEICEVMAVRKEFHVVRYSIPPGSSIEYLLEELYKRAYTHILKKDEAPWVNGTDIVDKLRGLLTGRKYLLVLKGISSKTILNCVWASLPAGDKTGSRVVLVLEPECEEVARHANTLNEARYMIESTHRLSHLDEDASKKLFLWRVFGKIEKHNKDNTKKTTYEKKVFDLTKGYPLAIVVLAGLLRYKEEPVEWDAVLQELSPEMEEAEDAQDNPITGLLLLKEKPDEWKALQQQMTAARETKLSNRMVIERILSASFDDLPQDLKSCFLYLAAYPMNVYLSSGHIVRMWTAEGFIKPCKGMTLEELGHSYLKELVSRCLVRLIDKNAHGGIKTVVVHSRLVRFLQSEAREASFIEIHDRNEILAPASVRRLSIQDDSGNYATSSNKFPMLRSFICRVAAGNSQSSDESDDSKRERREFPCGPRLACVISSPATEGVEGGSSLKSHDLKFLRGSKFLRLISVQGLRLQALPDEIGDMIHLRYLRVDCPGLRKLPGSIRKLINLQTLDISNTEVKEIDAEFWKIKTLRHVLAEKLNLPTPPKKEIDVIELPDLQTLHGVVAGVENWVKGSSLLDKMSKLQSLELHGFNAEKHGSALKGAIRKMHFLGHVKLIGDKIPHCLFTEPNLRCLQAVHIKGTVEWANVTWDVLRKVRPNLVELKLNATGDMPNELIDEILGHKLICIVDGKYLRAKKVKG >SECCE7Rv1G0474860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:178316059:178319522:-1 gene:SECCE7Rv1G0474860 transcript:SECCE7Rv1G0474860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKQQSMYPEVPQSHPDHNTAFQYNPAASTGTGTGDSLYPTVDPHELAENLFPADAAEDAAPAPPTVEETLVDVPGAQLHLVDPDRSLDLGAGTLSVVRLRQGEHCVAVLARLTPDKAHKRRGVFGFLSSGRSSDAQEPVQWPLAGDVAVVKLDAGHYFFSLHVPHSDHPDDKEDDVEETDADREAALSYGLTVAGKGQEQVLEELDRVLKEYTTFSVKQVDEEAGGKSEVMDTRAVSEITPEEAAGDKKEEIEEQSAAFWTTIAPNVDDYSSSVARLIAKGSGQLVRGIIWCGDITASGLKCGEAVLKKGAGANGKPTQVKPSSLKRMKRARRVTKMSNRVANSILSGVLKVSGFVTSTVINSKPARKFFKLMPGEVILASLDGFGKIWDAVEVSGKNVMQTSSVVTTSVVTHRYGDQAGEITQDYLQATGNALGVAWAVFKIRKALDPKGHVKKSSLASSAAHAVAKQSISLQKKK >SECCE5Rv1G0306530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:95689796:95696402:-1 gene:SECCE5Rv1G0306530 transcript:SECCE5Rv1G0306530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MGEMNHQPMQPQVGVPPPMGMQPMMNAPVGAGAMHQPYEQFNQLNYCVHSNPSWVQVAGLAFLHYLVMLGSTVMLVSTIVPAMGGGPGEKARVIQAFLFVSGINTLLQTLVGTRLPTVMNASFAFVVPVLSIARQYNTNDFESNHERFVHTMRATQGALIVASILNMILGYSRAWGAFAKKFSPVIMTPVVCVVGLGLFQIGFPQVGKCVEIGLPMLILAIVVQQYAPHYFRHFHERTTFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSFLISSAPWIKISYPFHWGSPIFTAGHSFGMMGAVLVSSFESTGAHFATARLAGATPPPAHVLTRSIGLQGIGIFLAGLCGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGISFSQFANKNSMRNIYIIGLSLFLGISIPQYFAEYTASAGRGPARTNAGWFNDIINTVFASGPTVALIVASLLDNTLDPRANEADRGLSWFTPFLRRRKGYSDPRNEEFYSYPIRVHDLVPHRFL >SECCE6Rv1G0411830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611217803:611219281:1 gene:SECCE6Rv1G0411830 transcript:SECCE6Rv1G0411830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASPSLSPGACLSLTLPPPAQRATPKPRRRAPPGDVVSWTSAIARPAREGDLPATAAALSAMLSSPAAPAPNDVTLLTVISACAGAPSSPLARPLALSLHALAIKLFPGHLLLCTCLARFYLASRLPHLALQLFGSMPVRSVVTYNTMITGLMRNGLVAAAREVFDEMPDPDKVSWTALIDGCVKNGRHDEAIVCFHAMLLDGVEPDYVTLVAVISACAEVGALGLGMWVHRFVTRQRLEGNIRIANSLIDMYARCGQVEFARQVFDSMRKRTVVSWNSMIVGFAANGRCTNAIEHFEAMRRNGFKPDAVTFTGVLTACSHAGLTDEGLRYYEAMRAEHGIPPRMEHYGCVVDLLGRAGRLDEAMSVVASMPMRPNEVVLGALLAGCRMHGDVDMAEQLMQYRLEQDPGADSNYVLLSNIYAAVGKWDGAGKVRSLMKSRGVKKRPGRSAVEIDGDVHEFVCGDRSHPQAAEVFDMLGLLSHEMAGREV >SECCE6Rv1G0451140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871355892:871357070:1 gene:SECCE6Rv1G0451140 transcript:SECCE6Rv1G0451140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGPTPYPRCSATTISLMEIILRVGFPTTLVRAALVCRRWHRRASDPAFLLRFRNLHPPRLLGFYVHALSNQSNTKPPLFMPNLPLPPELSTVIRRANFRLDAYKGKNIYVTDCGHGNVFIHLYGSRTSTYTKVVHKPLCPTNREMHVFPPLPPHQLQDGNTCTWSDLVFKGEGDNLSYLYLWIESTRGSTKSTMHVSVLQDDAWCMHTSITSTHLPFLLWVPRPVVVDNKIYMASMNNIHVLDLSASSLSIVKLPHIVAKYGMRNAVMSRADDDSGVYLIHAKELQLGVWLHKKDKWLPVDTICLRKMLDNLRISHKENVHLNQVGNNAEFVLLQAGQSVLYLDIKCKTLRKVYELGRYDILHSIHPFMMIWPPAFPVLEDGPATFAY >SECCE3Rv1G0153290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:53034611:53036698:1 gene:SECCE3Rv1G0153290 transcript:SECCE3Rv1G0153290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVQKLMLETTPPWAWFLFMLPLLLVSLHHWFTRRTGSTGQRLPPSPPALPIIGHLHLVGALPHVSLRSLARKHGPDVMLLRLGAVPTLVVSSPRAAEEVLRTNDHVLASRPRSVVSDIIMYGSSDIAFAPYGEYWRQARKLVTTHMLSVKKVQSFRSAAMEEVSMAMAKIQEAATAGCTVDMSELLNTFSNDMACRIVSGKFFMKDGRSKLFRELIKDSSRLLGGFNLEEYFPALGRVGVLKRAVCAKAERVRNRWADLLDKVIDDRVSKHKSASDHKDGDFVDILLSVQQEYNLTREHMKALLTDVFFGATDTSANVVEFTLAELMRRPHFMRKLQDEVRSMVPRGQEIVSETELNNMVYLRAVIKESLRLYPIAPLLAPHLAMADCTIDGYMVPAGTRVVVNAWAIGRDSTSWEDAEEFIPERFTNEGSARNVNYKGNDFQFLPFGAGRRICPGINLGIANVELMLANIVNQFDWELPIGVETNDIDMTEVFGISIRRKEKLLLIPKLRM >SECCE6Rv1G0406710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:549320146:549321934:1 gene:SECCE6Rv1G0406710 transcript:SECCE6Rv1G0406710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQEARAEAGRRGGVIRSLLGVARLAEDGRAGAGAEDGGSKKEDDGAAERKAVVRVVAADMPPALQRRAFRCARDELAGMPHFPRRLEPKRLALALKKEFDTAYGAAWHCIVGTSFGSYVTHARGGFLYFSVDKVYILLFRTAVDPSPH >SECCE6Rv1G0425620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:708508612:708509757:1 gene:SECCE6Rv1G0425620 transcript:SECCE6Rv1G0425620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTMDDHEVVDNFRGADIRWNKIKVVPRVKTIITKGPARSEERRMYRLTFHRRHRGLVEDAYLPHVLTEGRDAISRNRQRSLHTNKPKDDWFGDYGWSSLKFEHPSTFSTLAMDPTKKQEILDDLEMFRDGKDYYASIGKTWKRGYLLFGPPGTGKSTMIAAMANFLNYDVYDLELTTVKNNTELKRLFIDTEGKSIIVIEDIDCSINLTGKRRKKGKKKDDDGDKDTETKVTLSGVLNFIDGLWSACGGERIIVFTTNHKENLDPALIRRGRMDMHIEMSYCCFESFKVLAKNYLGVEEHDMFSEIRQLLGEVNMSPADVAENLMPRSKKKDVDACLGRLVMALKEKASAGDKDEDKNDSSDEEEEEDNSDDKKEDEDQ >SECCE2Rv1G0118810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785819067:785827105:1 gene:SECCE2Rv1G0118810 transcript:SECCE2Rv1G0118810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSAAAGMRKAPSMEWRWVSAGEEEDDELEGRGAGGPAAVGAAGRGGSFESEDEEDNVDCEDEDEEQREARQRLIRTVPTVDWFDVEGNEVSGAQPLEDPEEFDFGRTVFLALQTLAVVFGDIGISPLYTFDVMFNKYPILGEEDVLGALSLVLYTLILMPLVKYVLVVLWANDDGEGGIFAMYSLICRNAKVSLIPNQVQAQAEKRMSSFRLKLPTDELERSIKVKEKLESSLLMKKLLLGLVLFGTAMFISNGVITPAMSVLSAVSGLKVGIPKASQDVVVMISIALLIVLYSLQRYATSKIGFIVGPCLLIWFCCLGGIGICNLSRYGPAAFKAFNPLYIIYYFGRNPFQAWLSLGGCLLCATGSEAIFSNLCHFPVRFVQSMFVLLVLPCLVLAYLGQAAFLIANQKTPEHIFYASIPRNAFWPVFLLANLAALIASRTMTIAIFQCLKQSISLGCFPRLKIVHTSRKFMAKIYIPVVNWFLLASCLGFILLFRSTYDVGNAYAIAELGVMIMATVYVTLIMLLIWETNIIKVMSFLITFLSLELIFFSSALSSVGDGGWALLVFASGLLMIMFIWNYGSKLKYDSELKQKLSKDLMRKLGPNLGTMRAPGLGLVYSEIVTGVPAIFGHFLTALPAIHSIIVFVCVRNVPVPAVPQSERFLFQRVCSRGYHMFRCIARYGYKDKKQEHHNTFERLLIEGLEKFIQREAVELSLQSEDDIDSDDEPSTPGQIITAPNGSLYSLDAPLLVDFTPSVDTIPETPSCSTPQDPALDYTQNLELELAFIKQAKETGAVYLIDNPIVKARKDSWFFKKLTINYFFAFLRNNCRRAIVSMSIPHSNLLQVRLTSYV >SECCE5Rv1G0332290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:546214031:546215020:1 gene:SECCE5Rv1G0332290 transcript:SECCE5Rv1G0332290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPGGGNPSSLHQMASPNDMDYGVVGMDADGDAEEEMMACGGGGGGCGGGEKKRRLSAEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYNALRHSYDALRLDHDALRRDKDALLAEIKDLKGKLGDEEAAASFTSVKEEPAASDGPPTAGMGSSDSDSSGVLNDMDATGATPTEEAPAPEMGALLGDGAAAGHGQAFLHGNFLKVEEDETGFLDDEEPCGGFFADEPPLAWWTEPTDPWK >SECCE5Rv1G0325580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:466836192:466838619:1 gene:SECCE5Rv1G0325580 transcript:SECCE5Rv1G0325580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSGDAAGSSARPPPMPQLDEFPFEGKKPVKNPFVPIGALVTAGVLTAGLVSFRYGNSRLGQKLMRARVVAQGVTVALMVGSTYYYGDQIKLFKKGSSP >SECCE5Rv1G0376060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871072877:871073836:-1 gene:SECCE5Rv1G0376060 transcript:SECCE5Rv1G0376060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQLALALLLFLVVASTSPLALAHGDLDYGGGVKKSEAVGGYTAGAEKKPEAVSVNAEKKPEVVSASAETKPEVIAGYAGAKKKPDVAPNNVDAEKKPVSASDYASIEKKPEGATKVSEEKKSEVASEKNSKTKSEPSQPSTIEKKPKTKNEKKSKGKNDASGYTGAEKKPKEKVDAPKKEKPKKEEVRNIIPNTYTKPKEEPKKEEPKKEVIAASSRDAYTEPKKEEPKKEELVAAEPKKEESKKKEPKVPEVDTTANYAAPKKAQLETTATVTSDSYTAPKNAQPETPATSTADTYAAPKTAQPDTAAASTTGGYA >SECCE6Rv1G0414100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:629807031:629807387:1 gene:SECCE6Rv1G0414100 transcript:SECCE6Rv1G0414100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFAPICIYLVISPLVSLIPLGVPFPFASNSSTYTEKLSAYECGFDPSGDAKSRFNIQFYPVPILFIIPDPEVTFSFPWAVNPNKIDLFGSWSMMAILLILTIGSLYEWKRGASDRE >SECCE3Rv1G0202340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:873201292:873202821:-1 gene:SECCE3Rv1G0202340 transcript:SECCE3Rv1G0202340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLPNSFTTSSSWQDASICTNQELDYDHPYHFGIEEVAVDADEPELGLRAHKATRVDYLSSPYHASWPPAQADLESSRVRKTKQFRDVLETCKQKVEAMEAMEQSSSPVGGGGFEEQGEAVDDVRAGGGGSGADGMRLVQLLVACAEAVACRDRAQAAALLRELQVGAPVHGTAFQRVASCFVQGLADRLALAHPPSLGPASMAFCVPRSSCLDGARGEALAVAYELCPYLRFAHFVANASILEAFEGESNVHVVDLGMTMGLNRGHQWRALLDSLATRATGKPARVRVTGVGARVDTMRAVGRELEAYAEELGMTLEFMAVDRTLESLHVDDLGVEAVAINSVLELHCVVKESRGALNSVLQTIRKLSPKAFVLVEQDAGHNGPFFLGRFMEALHYYAALFDALDAALPRYDARRARVEQFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSMPFKMAAKAREWLEENAGGSGYTVAEEKGCLVLGWKGKPIIAASCWKW >SECCE4Rv1G0281080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825077004:825078203:1 gene:SECCE4Rv1G0281080 transcript:SECCE4Rv1G0281080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEEGSMENKTNSRLGSHPGATAAGMFTEDLILEILSRLPSRSLHRFKCVSVLWRDLIADPANRKKLPQTLAGFLYTTYSNNASRHHFAGVSGDGAAPFDPCLPYLQRGEYKDIAQVDACNGLLLCRCCNNKVSPWAEDYFRFVVCNPATGRWVELPPQPQTQDPANRHNHVAGLAFDPADSSHFDVLCFEQNFRGLHITGLNIYSSQTGAWSHRSSGMVEKVALFLLSKCVFVRGMMYVIGNLNHVIIEHVLLRVDMEGKVWTIIRVPYSQRLGMIGLSQGCLHYAIPPADDNNRMLVSKRAIWWLKDHDSKELVLKHTTSINNLMSMTGQKYKVVGIHPDCDTIFLVSYGDNTLVSYDMRQQKVGRILNLEKENGQWFLPYVPLFSESLAVADGQ >SECCE5Rv1G0321540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:406256535:406257179:-1 gene:SECCE5Rv1G0321540 transcript:SECCE5Rv1G0321540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVMASSATSVAPFQGLKSTAGLPVSRRSNGASLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSTEALLKQVDYLIRSKWVPCLEFSKVGFIFREHNASPGYYDGRYWTMWKLPMFGCTDATQVINEVEEVKKEYPDAYVRIIGFDNMRQVQCVSFIAFKPPGCEESGKA >SECCE4Rv1G0275590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:794555825:794556565:1 gene:SECCE4Rv1G0275590 transcript:SECCE4Rv1G0275590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGVSQPILNGQVTEKLNRTNYMLWRTQVTPHLRGAGVFGYADGTAPEPARLDVTKDKDGKDTSAPNPLHPLWVRVDQQVLSYLQSTLSKEVLVAVTAITTARELLVALAGMFSSQSLSRVNNIRAALINVQKGNQSVASYFDSLRDLADEFAAAGKPIQDNELISYILHGLDVDYQPLVSALDAHVTPVTIDELYAMLSNFDQRMAQFHGSYGGFKSSANAASRGRGGGPRSRESPRDKGRS >SECCE7Rv1G0467460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:87814442:87815973:-1 gene:SECCE7Rv1G0467460 transcript:SECCE7Rv1G0467460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYWCRRPCESCSTRAMAGSVVGQPVAPGQRVTVLTIDGGGIRGLIPGTILAFLEAKLQELDGPSARLADYFDCIAGTSTGGLITAMITAPGQDGRPLFAAKDVNRFYLDNGPYIFPQRRCALAAVTASLRRPRYSGKYLHGKIRSMLGETRLCDALTDVVIPTFDVKLLQPIIFSTYDAKSMPLKNARLADVCIGTSAAPTYLPAHHFHTQDGNGKEREYNLIDGGVAANNPTMVAMTQITKKMMVKDREELYPVKPSDCGKFLVLSIGTGSTSDQGLYTAKQCSQWGIIRWLRNKGMAPIIDIFMAASSDLVDIHAAVLFQSLHSDGDYLRIQDNSLHGPAATVDAATPENMAELLRIGERMLAQRVSRVNVETGRYEEIKGAGSNAEALAGFARQLSDERRTRHGRRRGGAGRLKSRR >SECCE5Rv1G0319390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:374511605:374516912:-1 gene:SECCE5Rv1G0319390 transcript:SECCE5Rv1G0319390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPGGNHPAPAAAAAGKSKPSAQGAVVSSGHGHQPSSATPVTAKFKSSAMAGASGHCHHSSPSMPVVSKSKPSAHAVAPGQASTSHQPNSVGVADAAASKRKRSVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVDYVTDLVHKAENVASKRGKLLTEDFLYLIRKDMRKLHRSTELLSMNEELKQARKAFEVNEETLVVTNE >SECCE5Rv1G0363470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:793405118:793405649:-1 gene:SECCE5Rv1G0363470 transcript:SECCE5Rv1G0363470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVAPSPLLASGAAFATALTPKKRSAGLLLVSKRSRISAQLGGGGGGDGETKPDGKKFITREQEPEQYWQTAGERKGENPMMTPLPYIVIFGFSTPFIILAIAFANGWIKAPLIR >SECCE2Rv1G0102560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:579263741:579264637:-1 gene:SECCE2Rv1G0102560 transcript:SECCE2Rv1G0102560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATIEDLHADVLACALRRLNGRSLAAASCATAGLRALAADPDTWRALCLAEWPSLAAQHGLLSAVPPRRLFADASPFPCPDAGELGAGGLPARLVSAVDVYYRGAPVLSRVVETPASSPWFLSSPFRVEAVDCKKPAPVAAAFSPAEFELSWVVVDPARGRAVNVSSRRAVALGRHWYTGEMLVRFAVVLGGCNFETTVACSEEAGHVSEVSLAVENADGAAVSGEGALRLLAAAMEGRRRGGEGEREEAKARYEVFVKSKKGRKESKARREVLVDLCCSAASAVAVLAFFATVVLR >SECCE2Rv1G0125240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:839884393:839884941:-1 gene:SECCE2Rv1G0125240 transcript:SECCE2Rv1G0125240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSIAFTGVVIFFLNIPPFEARPLGLDHLRLSPLPRLGAAEEHGEDLVSDEPGRRSGDAEEKAAPATAADDELRLRRSAERSPPAQERNPAHYRQPAGRWGPVPGVGGSRKPSRPPAPGVPKPPHWRRSGDRPSPRPMPFDVFYVLGALSRMFV >SECCE7Rv1G0523080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:880164063:880165225:-1 gene:SECCE7Rv1G0523080 transcript:SECCE7Rv1G0523080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPRRVQAHPVAAQLGEEGHHGGRPLRERLRFSVGRGMARGGRALLRPLRRAVALGGRHLLRPLRLFLALGRRPLRLLWRGLELGGHALLLVARVRQQEAVYGGGDGEPRTPPRPRASGSAIFYDPRGRRPRPPSPPQPTSPPPSAALPPPPQPSPPPPVVVDGVDVPRHIVDLFVDYCRRTCPANSTVCHLCVFEMQRSRNFTVATWEMPGHCQRYHSEEGASVRCPVPSCHVRVRPGRDLALHSRFVHDFPRGWWRRYI >SECCE6Rv1G0427040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:717421479:717422305:1 gene:SECCE6Rv1G0427040 transcript:SECCE6Rv1G0427040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATGAMGSLLLKLADLLKEEYKLHKDLRKEVQSLSQELESLHGALHKVAQVPRHQLDEQVWIWARELRKASYAMEDIVDTFLVRVDGGHSHEAEANKTTLRRFKDKTRNFFNFKRLADRRSIAGKIQDIKNQLRDVALRRAAYKVDDIVAKPAVTTSTMDDPRLLDLYRVTKLFGIEEPREALIRMLSGGEDGHDEHQEMKIVSIVGYGGLGKTTIAKAVYEKLKVKFQCTAFVPVVSAYR >SECCE1Rv1G0029330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:412432116:412446518:1 gene:SECCE1Rv1G0029330 transcript:SECCE1Rv1G0029330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTKAAEEGASAAVDWSDEAVIYVNGVRRVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMVSCYDQTTKKSQHYAINACLAPLYSLEGMHIITVEGIGDRQRGLHPVQERLAEAHGSQCGFCTPGFVMSMYALLRSSKHPPTEEQIEDSLAGNLCRCTGYRPIIDAFRVFAKTDDSLYTASPSENANGQAICPSTGKPCSCRNETDVNANESSTLSSVKVYLPCSYNEIDGNSYSEKELIFPPELQLRKFMPLKLNGFNGIRWYRPLKLEQLLYLRSCYPDAKLIIGNSEVGVETKFKNAQYKVMISVTHVPELHTLKVEEHGLHIGSAVRLAQLQKFLKNVIAERGSHETSSCHAILRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGANFQIIDVNNKVRTTAAKDFFLGYRKVDLKADEILLSVILPWTRPYEYVKEFKQAHRREDDIALVNAGMRVHITETEGNWIVSDVSIVYGGVAAVPLTAAKTEKFLVGKKLDDGLLDETFNLLKEDIPLAENAPGGMVEFRSSLTLSFFFKFFLHVTHEMNIKGLWKVGLDAANMSAIQSYTRPVSIATQGYESVGQGTAVGQPMVHMSAMLQVTGEAEYVDDTPTPPNNLHAALVLSKKAHARIVSIDDSLAKCSPGFAGLFLSKDVPGSNHIGPIIHDEEVFASDIVTCVGQVIGIVVADTHDNAKAAANKVNIEYSELPAILSIAEAVKAGSFHPNTTRCLSNGDVEQCFSSNTCDKIIEGEIRVGGQEHFYMEPQCTFVWPVDSGNEIHMISSTQAPQKHQKYVANALGLPLSKVVCKTKRIGGGFGGKETRSAIFAAAASVASYCLRRPVKIVLDRDVDMMTTGQRHSFLGKYKVGFTNDGKIQALDLEIYNNGGNSLDLSLAVLERAVFHSENVYAIPNIRVSGKVCFTNFPSNTAFRGFGGPQGMLIAENWIHHMATELKRSPEEIKELNFHSEGAELYYGQLLQNCTMHSVWDELKASCNLLEARKAVNVFNSENRWRKRGIAMVPTKFGISFTAKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKIAQVAASSLDIPLSCVFISETSTDKVPNASPTAASASSDLYGAAVLDACQQIKARMEPIASRGNHKSFAELAQTCYMERVDLSAHGFYITPDIGFDWIAGKGSPFNYFTYGAAFAEVEIDTLTGDFHTRTADIVMDLGYSINPAIDIGQIEGAFIQGLGWAAMEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVPNPRAIHSSKAVGEPPFFLASAVLFAIKDAIAAARAEEGHLDWFPLDNPATPERIRMACVDSITKKFASVYYRPKLSV >SECCE5Rv1G0324460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:447233872:447237742:-1 gene:SECCE5Rv1G0324460 transcript:SECCE5Rv1G0324460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWNGIEQAATIAQLVGVDALGLISTIVQAAQTVQRNKETCQELVQDVQLINGLLRMLQNPEMMQREEIVNALNGLEGTLREAYSLFSSCQDCSTTYCIFMGWKHTDQFRRVKKKIAKHLRFYPMIFHADITCRLERISNGTLSTCSSQDAGGVLTSSTSHSNSEARTECSLRPVELERSQACRSAESIAVEERQLTSHQEIAEPLFARKRRIRWGHCIPWKTVETAPCIRELNGPGFSGFQFSPLSAATNNFAFECKIRSCGYADVYKGRLDSGLEVMIKRLHQNNSHSSLIFENEVYFHAKLLHKNVAKLIGCCSERGEALLVYESMPNGSLSDAVTGTRVLLNWSERFKIILGIARGVAYLHDCCGIQIMHGDLNPQRILLDSCMTPKITDFAFAKVCSPDGREIQKGSLLGTRGYMDPGYVINRIYSVKSDVYSFGITLLEIITAKRPDELFKDAGDLVRLLTMARSMEMYHMIDPALHGESKMAEIMRCIQIAVWCSKPNAGDRMWDVLLMLSCESATFVCRSHPRACAIHRSHVKGRYRIQI >SECCE2Rv1G0097110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:453233781:453237581:-1 gene:SECCE2Rv1G0097110 transcript:SECCE2Rv1G0097110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDNFVAPVEERQDPPLPNPNEEEAGGEEKEGGEEKTLERAEELFDKGSKAIEEGDFVVAVDCLSRALEIRVERYGELASECASTYYKYGCALLYKSQEETDPLGNVPKSAPEEPAKSTTNKDSGNSKASSSNVKDDDPSSDKGGVEEGQNSNEKDQEDVDGDSDKDGDEMGGEEDDSDLDLAWKMLDIARAIVEKNPDNTMEKVKIFSALAEVSMEREDIDNSLGDYFKALAILEHLVEPDHRRMVELNFRICLVYELASKIGDAIPYCAKAVSLCKSRLESLKTAKETLLADKGDSASTADGDSKKLSIEDEMEVVTGILPDLEKKLEDLEQAMATPSSEIEEIMKSIAAKAGFMQKGGNAVPPRAASLTSSQMGGVNNGFDSPTMSTAATSGSTGSTVTDLGVVGRGIKRANIKPISAEPCSKRLAADDSPSVKCDSSNNSDVHPMAQDGEGSVSK >SECCE5Rv1G0328600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509090798:509096006:1 gene:SECCE5Rv1G0328600 transcript:SECCE5Rv1G0328600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASQITFFLLLIIHSGVAQNATTSGADEFPVGVILDLDSLGGRMSRTSILMALEDFYAVHRNYTPKLVLHIRDSKSNNVQAASAALDLLENYSVQVIIGPQTSSQAAFVSDLGNKSQVPVISFTATSPSLYSGSLPYFVRATLSDSAQVNSIASLIKTYGWRQVVPIYEETDYGRGVIPYLIDALQEIDVRVPYRSVIPLSATTEQITKELYKLMTMQTRVFLVHMSPDLASILFTKAKEVGMMKKGFVWIMTDGLTNVIDSTNPSVMEAMNGVLGVKFYTPKSAELDNFTIRWNRRLQIDNPNDPPLKLSIFELWGYDTIWAVAQAVENLGIKNKTSFQKPAVARNMTSLGTSVYGPDLLKTILQYKFRGLSGHFDLSDRQLQASTFQIINVVGKGWKEIGFWTAENGISRRLYHGESMTHHSGLASDLNPVIWPGKSTEIPRGWEAPVNGKKLRVGVHVSVYPQFMTSGKDPITGATTASGLSIDVFEEAVKRLPYALPYEYVAFGTTNDKSSGGYDDFIYQVYLKKYDVAIGDITISHNRTSYVDFTLPYTESGVAMVVPAKSSRTNKAWIFIEPLSRGLWLGSIALLFYTWLIIWILEFLENNANIAAEVLKELGIMTFFSLFGDSEYIYQNISIP >SECCE1Rv1G0014190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:111020261:111029537:1 gene:SECCE1Rv1G0014190 transcript:SECCE1Rv1G0014190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAIRGSIWVLGKALSPLSGGLVEAWAATSGLGPNVEALKTELLYAQAMLDNARGREIRSHALAELLQKLRALAYGADDVLDELDYFRIQDELDGTFETVDHDDRGCVHNLVRDARHTAKAATKLLGCGSCSSAAAGDTYKPDESCMCVRRLASGTRTTVHDIGKRLLCSSFLPVREDDDSDDSDDSDDSKHAPRVPKLKFDRVDVSVRMKCITDELKSLCAKVSIVLGLELSGSVINELRLVRSSGIGNVASTSRPITTSQALEPTLYGREPQKNTIIEHIIKDEHIHKKLAVIPIVGPGGIGKTTLTQYIYNNKEVQDHFQIRVWICVSLDFSVHKLTQEIVSSIPKAEDEKEKADSEVHNLDQLQKLIEKRLKNKRFLLVLDDIWKYGNEDEWKRFLVPFQKEQGNGDTILVTTRFLEVAEMVKQRDKPVHLEGLEPKEYWTLFLACVFGETNQRNNDDNLIEIGEKIVEKLKGSPLAAKTVGRLLRNNISVDHWTRVLESKEWESQTSDHDIMPALKVSYDYLPFHLQQCFSPCALFPEDYKFDSEELIQFWIGLDIIHPDNRIKRIEDIGRNNLNDLVNYGFFKIETGDSGKHYVIHDLLHDLALKVSSQECLHISSSSIRAVEIAPSVHHLSISMSDPANNADGVVQENFMKELNKIRNILKTENLRTLMLFGDYNASFVRIFRDLFKDAKSLRVVYLSTMFYPVEFLLHNFSKLAHLRYLRLVSEDDSKKQVPKSIPRFYQLRVLDISDWEGVHSSHEELVNLVKLRHFLVPSYEFHSNISNVGKLQNLQELARFEVRQGFVDKQESNVFKLMELGKLEEIGGSLHICNLENAVVNEAHEAKLLCKNRLQKLTLSWNKGQSNMSPDAEDQLLESLRPHSNLHELCIDGHGGSTCPTWLGKNLSTKGLEALCLDNTDWEFLPPIGDLYLIRESGEEYFGCITGPCFRNMKRLELIGLPRFRRWVANEVCPWYFSLIEVLIVKDCPELTELPFSSYTGCHPLGTDSSVTWFPRLNELKIEDCLKLLSLPPIPYSHALCSVTLTHAGRGLKELHYSSKSYSLRIEGSDDLHSLDDTVLAFHNLTQLQELRIEKCPPLAEEHLQVLTSLKTLKIGSSNIFLPLARSDAIWQLPVARLELWSYNFSGKEVTRLLTHLPELSCLNIFGCDKITRLDVEAEQQQTAASLSLPVSSAGIELQDTHGTYEQRERVQEVEEEGVASLEVVVEQEEGDDGLLLLPAHLSRSLQELFLIWCGELILTANSHETGGGGGLQAMRSLKRIEIGNCPKFLSAYKASDLSSCCPFPSSLQRLLLRGRMEGMDTLLLLSNLTSLENLIITSFGENLRCEGLLHLLTQGQLTALEVRDTPEFFADWDPARELQGEQLLPFPKLQELETSDMAGVLTAPICRLLSSSLAKLSFQFNTEVECFTKEQEKALSLLTSLQNLEFKWCNKLRCLPAGLNKLTVHVEAERQAIRSLPKNGLPSSLQELVVDKCIKLRCLPAGLHKLTNLKTLKIMNCQVIRSLPKNGLPSSLQELDVSYLPNEKLTQQCRRLVGTIPLIRL >SECCE1Rv1G0000920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2817899:2820683:1 gene:SECCE1Rv1G0000920 transcript:SECCE1Rv1G0000920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMWTTLTTMLLLLVAAAATTESLTAPTPVCRRSCGGVDIPSPFGIGNGCFRKGFEIECTKGGPVLAGTALQVVRLSVDPDESEVMLPIGYHCYNASSPSSTEDFSYAETEMNKDGVYRISNTHNMLVVIGCNTMAYTASGWTEGGTASYAYYTGCMSFCNNSASAQDGLCAGVGCCHVDIPPGLTHNYYKFRSYDHSTMMDYSPCEYAFLVDRTNYSFLRSDLKMDTKRTSPVWLDWAIRGNSSTPGDTPSCKQAAKTSQYACVSNNSHCDDATNGPGYNCKCSDGYHGNAYVPGGCTDIDECADPDKYGCHGVCTDIQGGYECECPPGYQSRDPRTEPCTQKFPLAAQISVGAIGGILVLAFLAFFFILRKEKRKAEDFYRKNGGLTLEKARTIKIYTRANLKPVLKSSNVIGKGGFGEVYKGVVDGVIVAVKKPNGRSVLEKEQFPNEVTILSQVSHKNIVRLIGCCLEVDNPMLVYEFISKGSLEDNLHGADHKELLDLDVRLSILEDSAHGLAYMHSQTHNTILHGDVKPANILLDENFSPKIADFGISRLIAQGKDHTRNIIGDMSYMDPVYLQTGRLTDKSDVYSFGVVILELISRKRATHSDNNSLVRSFLECHQNGESMTELFDKEIATTRDLELLNKLVDIAVECLNLEADQRPSMTDVAGRLVTLHRSRNP >SECCE3Rv1G0194660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:784028759:784031008:1 gene:SECCE3Rv1G0194660 transcript:SECCE3Rv1G0194660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >SECCE1Rv1G0029460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:414550793:414552520:1 gene:SECCE1Rv1G0029460 transcript:SECCE1Rv1G0029460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQAYYQTAPVQCPLQRTELYMHLFLRQAAAGPNQVQPSGFGVTAASDWTIADGLEPSAKIVARAKGFHMQTGITNTSWYTSFNMVFEDERFSGSTLQVMGVTPSDGQWAISAGTGEFALAHGIIKQKVVQGNADENIKELHVHAFYTPMNASVVPGATDGKSWTLGA >SECCE5Rv1G0346380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660533481:660534563:-1 gene:SECCE5Rv1G0346380 transcript:SECCE5Rv1G0346380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAPTPTRRRRLLAGAAAATACYGLYRLYLHHRRRIAAALSLADALSQAGSDLADFLRSDSDQVPRSLLQLSKLAASDHVSSAASALSESLASGALRAFSSHRAARGPDPASPPLHDRILDRLLSPAGAGFASAVLGSFARNLVLACRDPEARPRAPGQPDWLAALCSDRGKEAAAELVRVFVSTAVAAYLDRTAAVRTSDQVLAGVADPKHDAKLKDLLVSVCNGAVETFVRTSRQVTKEASISRAEAAVAAREVCNSGPTCVMERVSTTLAMPGNRRFVLDVTGRVTAEMVRSFLEFSTQRVSAGARKSIVVARDEIAERGLVAVKYLSAKSMAIFTLCLTMCMHISVGMRFPLPA >SECCE7Rv1G0526980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:899557962:899560585:-1 gene:SECCE7Rv1G0526980 transcript:SECCE7Rv1G0526980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAASALAVLSKLRLLGFCTGLQIPDDAADPSEVFDTILAAFLREVYPGERDARPLPAALGDGRRVDLFRLFTAVRAAGGYAVASSPPAGAGVWAAAAGSAALAAPVKLLYAKYLGALERWIQRLPEAQPPPCDEMQELLCVCGANEEPSMDRNGALKRKREDIAGMLDWVRGLAQTVSEEGAITAGLADGYFPVALAAREAVARKRARRASVTNGALLQSQEIGCRSTTCARIGVQCSKKLQLVQHPGSDTNKLTRVVAVNGSSTAVEQESSVNGQLKHSKSQQRNKLLVGSNYQAEVPQWTGAPPENYGDPETLKWLGTKIWPPENEKKPYHDPIGKGREYACSCNIPRSVECVRFHIAERRVELRRELGSAFYQWGFERMGEEVGLSWTDEEEASFKAVMQTYAPCPARNLWSQLQSSLRWKPRKELVSYYFNCFLLRRRCYQNRIAPKKIDSDDEEETEFRFLGNRMRESATKYESTKHTVCVESTHCMDLDYE >SECCE7Rv1G0510610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:791134660:791134935:1 gene:SECCE7Rv1G0510610 transcript:SECCE7Rv1G0510610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCRSVPHEHAAAYYGCGGGYDYEDVSRGGAAVKSYSFNGPSAGEDPEAKRRRRVASYNVFASQARLKSSVRGSFKWLKSKLSDVRYGGL >SECCE6Rv1G0377410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:1354168:1358973:-1 gene:SECCE6Rv1G0377410 transcript:SECCE6Rv1G0377410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MEKSKHGRRNNRGDGDTGRGRPSGSSMKMNPGGMRNENALQPRNAPTPVMNSIRKTVDPETAKYFLEISNLFDNKEVDLEDRSTICANALEETKGKELELVTDGVISHTLQILVQGCELEQLCMFLRSCIQSFHIIAMDKFGSHVAEAALKALATHLEDDTSRVIVEEILNRICKVIAADATNVMCSCYGSHVLRTLLCLCKGVPLDSLQDFHTTKRSAVLAERLSGGSSRPGDQNLTSFEHGFPDMFKTFVRQMLQNAKNDISTLLTEKNSSLVLQTVLKLSAGDDDELNHIISILLDYDEDDTAQKKDYSEQKNKIVALLEDTAYSHLLEVIIDVAPEELRSNMLIGTLKGALFAISSHHCGNYVVQALISSAKTADQMKQIWEELGPKIKELLELGKSGVVASILAACQRLETNRLEISEALSAAITSDSESSDSIVAHILFLENFLREKSHWKWPLGVKMSVLGCLMLQSIFQYPHQYIRQYVASLLALENDQILQIAKDPGGSRVLEAFLCSSATTKRKFKVFAKLQGHYGEIAMNPSGSFLVEKCFTASNFSHKEAIVSELLAMQNELSRTRHAIHLLKKLDVDRYSRRPDQWRAAQTSKETTQREFQAEFGLNNSKPVGQSVEELLSPQSPAKKRKQKEKTDKTTTADAGTTKPESFQQNTKGQKSAKAMSEGESRSKKPVSVPFLNDSGKRKTPGFLSDKPVHKKQKHERPTDGRRFVGDGSSVGTPFVRNNVKQKQSIAELAALAGKDKLTAGEVRKLLKPEISAKGA >SECCE3Rv1G0177070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:455500540:455555216:-1 gene:SECCE3Rv1G0177070 transcript:SECCE3Rv1G0177070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) UniProtKB/Swiss-Prot;Acc:Q9STV4] MVGGGGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSSILKHKMVDQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLSEADARKYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGGALLRTTCGTPNYVAPEILSHKGYDGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAVFPSGAQSLIRRILDPSPDTRIRIEEIRKDEWFKKYYEPVREVQNEEVNLDDVNAAFDDLEEDNEHTFDDEAGPLTLNAFDLIILSQGLNLSALFDRRQDYGKLQNRFLSRKPTNVILSSMEVVAQSMGFKTHIRNYKMRVEGPNANKTSHLTVMLQIFEVAPSIFMVELERSAGDTSEYNKFVNNYCSKLDDIIWKVSAEKGKSRISRLSKR >SECCE1Rv1G0059270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704890344:704892051:-1 gene:SECCE1Rv1G0059270 transcript:SECCE1Rv1G0059270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAAEVEALRAPQRRTYVGVGVPPAGGCRAGGGEEQGTLDDLDLVSRLPDELLGTVVSLLPTKDGARTHALSRRWRPLWRSSNAPLNLVASRALSGDESRAALVSRILSDHPGPARRFSLHLVFSPDSLGDVHGWFRSGSLAGLQDLEVTNLQRRNHYLLPPHSLNRFAPTLRVLSLGGCRFPDLATPPSFPHLKQLILYDVGISEQSLQSMISGCAVLQSVSLHNMGFGRLCISSPTLRSIGFYAPRVKGIVAFQELVIDDAPCLERLLPIYPDSSPATIRVIQAPKLEILGFLSERISTLHLETTVFQKLIAVSLTTKIHSMKILVLDSIGPNLGVVIDFLKCFPCLEKLYVILNRHKDVNYVWKHGPLDPVGCLELHLKKVVLKNYTGDRRSFTNFAKFFILNARVLKEMKIGVFHIGNDKWVSCQQRQLQVENRASQDARIELQDDMDLKFTEHVHTHNLSIADPFDRSLCGCSKCVR >SECCE6Rv1G0419430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:669498239:669503819:-1 gene:SECCE6Rv1G0419430 transcript:SECCE6Rv1G0419430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGHLMLLSCVLLHALLAGPAEGLVRVALMKRPVDEDRPVVAGEDAQRLLAWRHGLVYNGDVPEKGAEGHVVELKNHLNAQYYGEVGVGTPPQNFTVIFDTGSADFWVPSSKCFLSIGCYLHPSYKASKSATYKKNGKRVALRYGTGAISGYLSQDNVQVGGVVVKNQDFIETTREPSITFMFRKFDGILGLGFKEIARGGVEPVWYNMVNQHLVGNPVFSFWFNRHAREGQGGEIVFGGIDPKHHKGEHEYVPVTKKGYWQFDMGDVLIGGKSTGLCTSRCAAIADSGTSLIVGPTAIITQINEKIGAPGIFSQECKAVSSQYGQRILDLLLNEIDPTKICPSIGLCTHGGTQGVSLGIRTVVDDETRSSNDAKCHVCEMAVMWSKNQLAQDQTRDLILKYINKLCDSIPSPMGESSVDCKRLASMPDVAFSIGGKKFALTPEQYILKIGEGDATKCISGFVAMDFPPSRGPIWILGDIFMGAYHTVFDYGKMKVGFAKAA >SECCEUnv1G0536510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:64606520:64607382:1 gene:SECCEUnv1G0536510 transcript:SECCEUnv1G0536510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGGPTVAVKLFIDKEKRRVLFAESDKEFVDVLFSFLTLPLGRIVRLFDKQSQVGCLDELYKSVESLGEGHFQAKACKAMLLSPLNAAAAHCHRLQVKVDDSNPVYRCKSEWCNYRPFSSVPDAVCRCGNVVQYLREWKTQNPRVVEDGVFGISGSKFIITDDLQVALASTRVMFSLMDQFRIPGNGNIEEKVLQLNSAKMTSLLGRALLTKQPLTGLYFDVAIAQNGTPSV >SECCE1Rv1G0023500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:305796691:305798343:-1 gene:SECCE1Rv1G0023500 transcript:SECCE1Rv1G0023500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHNSAALPPSTGGEMHEETTDKPDKSYKRPSRCSRLCSFLIIAAAFSMLARHCYDYAHGGVARVQHGRASSTRHGRPPFSDPAVPPRGDTGDRKISSDKEARELAAADERRRRELCSGQYIYVQELPSRFNTDMVWDCEDLSPSKDKCKYTANGGFGPRLSSGVLQETGWYDSDEHALDVIFHDRIKRYECLTNDSSLASAVYVPFYAGLDVARHLWGHKVSTRDAMALTMVDLVTARPEWRAMGGRDHFFVAGRTTWDFRRQGNVDAEWGNNLFRLPAVRNMTALVVEASPWDRNDAAIPYPTAFHPATDEAIFFWQDRVRGLERQWLFSFAGAHAEGSKSIASNLVEQCRSSAACSLMECRKEGPTNECDSPASVMKLFQSSTFCLQPRGDRYTRRLTFDAVLAGCIPVFFHPGTAYVQYTWHMPKNHTDYSVYISEEDVRRNVSVEERLRRIPPEAVERMRGAVVGLIPAVTYSDTSTKLESTVNDAFDMALWGFIRKVAKMRKRVAEGRAEDEKPETYSWKYPLLGEGQKAEDPHEWDPLFAFN >SECCEUnv1G0562950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:370163114:370163401:-1 gene:SECCEUnv1G0562950 transcript:SECCEUnv1G0562950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELIRRLSFSERVSDGNSGVPRGCVPVLVVGDRDGDEGCERFVVRVEALRHPSLAVLLEMAAQQLGYKQEGILRVPCAVRQFRQALTKKLVISN >SECCE4Rv1G0294840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:893053724:893054887:1 gene:SECCE4Rv1G0294840 transcript:SECCE4Rv1G0294840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCFSLVPPLDDEDLLQEILIRLPPLPSSLPRASTVCKRWYNILTDPRFLYRFRKHHRKPPLIGFFDKEHCQAPVFTPMLDPPNRIPVSFTLPENLPAWEPWVFFGCRHGIALFLDRPRHEAVLWDPYTNFQRRVAFPPGFDNRQASFIMSAAVLCSAGDEQHVHGDCHQSPFKLVLACHDTKCTKAFVCTYDSMSGIWEDSISIATTDMICRDRPSILVRNALCWLLHGGGILEFDFERLTLDVIEKPASVDVTNSFGIDWSFQIIRGEDNGIGLAFLPKPELSIQLWARRSDCDGVVSWVLQKTVQLDELFTRPLRSGMAKLVLMPGYDEDTNVIFLSSVSHDFMLQLESMKFKYIGRREYQSSRIYYPYGNFYTAGNISYLA >SECCE6Rv1G0425290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:705972194:705974224:-1 gene:SECCE6Rv1G0425290 transcript:SECCE6Rv1G0425290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPARKSPTRPGPTLLRGRDNEVDRLSKLPDDVLLNIVERLDITDVARTTILSRRWKQIPAVLSKIIITVASFEPKHGIRRTKLTSNDIARANATVLEATRSILESRTGSLYTIHLMSMQFYLGDDSIFIGQTVANTMVTQKVASVEFVILTEVRTGCYVDDLLRYGKRFMSFFDSCPNAFAGLARLWLENLRLGESDFPKIFSICKQLEFLRLWRCDTGDLSLLEVEHLQLRELVISDSNLERVDLKWVPKLTVLKFNGFLSRDDPFCLGYVPKLQTVSITNVGLSWHKMLKLSELLGKTAISNLHLNFRCEKIWVKPQGRKQLLPVFHKLSFVNLFNISEECDLTWTMFILQGAPTLKELCITVRDHLCEMTKGKWRYMHEFSEEKDKGLEWEPSAPDFKHHSLAELRIYGFRAEDKFVRYARNIMEAAVNLEAINLYKNPGCEKCKRRLSSWWTWTEMVLIRDQISKGMSSDVGIRFPS >SECCE4Rv1G0265080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724421466:724422470:1 gene:SECCE4Rv1G0265080 transcript:SECCE4Rv1G0265080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSAIAKVVQEIVKAAATARENRERCLDLADRARVVGDIVFLDSSKASTVSSASGYTVRMRKPSLERLKAALDKALELVESQRPGGFGAEVKKLVTSGMTADRFQTVEATITNSVADVGLAELFAASYRARHANTGTGLALSLPPEHHHQSLQFATPSSQQHRSFRCASSLPQHQSSQSATSLSQEHQSVREGRSLRLLPSQQQQQRRLRLGESSSQQQRNSQSTTPPLQQGRSLPEGRSLRLLPSQQQQQRRFRLGESSSQQQQNSQSTTPPLQQGRSLPEGRSLRLLPSQQQQQRRLRLGESSSQQQQNSQSTTPPLQQGRSLRRQHPES >SECCE5Rv1G0307710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:118761725:118762445:1 gene:SECCE5Rv1G0307710 transcript:SECCE5Rv1G0307710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVRQCDMEVMKMAMLKHEETFRQQVHELHRLYRIQTQLMGADLSTRRQPRRRGNKQPRRALNLQLPADEYIVGAADEDDDGCGIGAELELTLAVGGRRTGSGTARKNNVSKRGHAKHDGAGGFSSPFTSDCSGGTSLSSSPPSSAEYSESTFGVALHGGYPGVAAPPPPCQRAMTFDLGVAEAMKQHQSPWQLVQCQYLSLRMT >SECCE1Rv1G0062750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:721021612:721023278:-1 gene:SECCE1Rv1G0062750 transcript:SECCE1Rv1G0062750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGSAEEDTYGPPANQAAPPPNVNAPGNRGGPRGPGAPRAGGPPKVLTIDVPAIPLDELNKMTNNFSDKALIGEGSIGRVYSCTLSDGRPAVIKKLDPSASQESDSEFSAQLAMVSKLKNEYFVELLGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWAQRVKIAYGAARGIEYLHEKVQPSIVHRDIRSSNILIFDEFSSKIADFNLTNQGTESAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNSDYPPKAVAKLAAVAALCVQYESDFRPNMTIVVKAIQPLLNQKPAGPAAEAPRP >SECCE1Rv1G0058700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701734399:701734749:-1 gene:SECCE1Rv1G0058700 transcript:SECCE1Rv1G0058700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGEGTGIIIHARPLQDAASAGTGAGGGGSYTAVFVVLGVIAALIVISCLVGQVCTKRHLRARPRRDRVAYYDDDLEGGGYGHGHGVAKMEAAAPATVTAVVPAAASVEMRQVA >SECCE5Rv1G0346840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:664196160:664196966:-1 gene:SECCE5Rv1G0346840 transcript:SECCE5Rv1G0346840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 31 kDa protein [Source:Projected from Arabidopsis thaliana (AT3G10400) UniProtKB/Swiss-Prot;Acc:Q9CAE4] MSRRRRQGSDSDGEDDSFLYRYPMPSSSAGAPSSAPASVGHGGGGRGGGGSGSGGLAPSKSTVYVSNLDFALTNSDLHTLFSRFGKVARVTVLKDRESRRSKGVAFVLFVRREDAVAAAAEMHGKVLNGRTLAASIAEDNGRAAQFIRRREYRDKSHCYECGGEGHLSYECPRNQLGPRERPPPSKKSRRGGGAGGGRGGRGDGEGPAGQSDEDDDAVAAAFEDDRWASVVDTRGEEEKAAEKEGRAKAARKEKRKGYFSDESDEDDD >SECCE3Rv1G0197340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:817476696:817478864:1 gene:SECCE3Rv1G0197340 transcript:SECCE3Rv1G0197340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKNASSSTSAAATGRKNAPSSSSAAGAAGKKEKPLSVSAMLASMDGTAPKPKPAKAAPKPKPSKTPASSYMGGIDLPPSDDEEDEADVAAVAAKPKPARATVDLNALGPSDKDAKKKEKREMMAAAVAEAAKREALRDDRDAFSVVIGARVPGSAAEGDAADGNIKDIVLDNFSVSARGKELLKGASLRISHGRRYGLVGPNGMGKSTLLKLLSWRQVPVPKNIDVLLVEQEIVGDDRSATEAVVAANEELTALRAEQAKLEASNDPDDNDKLAEVYEKLNLCDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNDIIHLHDKSLHVYRGNFDDFESGYEQKRKEMNKKFEVYEKQMKAARKTGSKAAQDKVKDQAMSKAHKEVAKGKGKGKNVANDDDNVKPADLPQKWHDYKVEFHFPEPTLLTPPLLQLIDVGFGYPNRPDFKLSDVDVGIDMGTRVAIVGPNGAGKSTILNLLAGDLNPSEGEARRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQGGMSKAEVVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSNPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEEKSQIWVVEDGTVTKFDGSFEDYKDELMAEIKKEVEE >SECCE2Rv1G0102490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:577976521:577980036:1 gene:SECCE2Rv1G0102490 transcript:SECCE2Rv1G0102490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLGGRVAWACPVVLLLLLQLAGASHVVYETHLLESEAAAATVPPSILDPELSTGYHFRPIKNWINDPNAPMYYKGWYHFFYQYNPKGAVWGNIVWAHSVSRDLINWVALETAIQPSIKSDKYGCWSGSATILRDGTPAIMYTGIDRADINYEVQNIAFPKNKSDPLLREWVKPKSNPIIVPEGGINATQFRDPTTAWFADGHWRLLIGALSGASRGVAYVYRSRDFMRWTRVRKPLHSAPTGMWECPDLYPVTVDGRQNGLDTSVTSSPKVKHVLKNSLDLRRYDYYTIGTYDRKTERYVPDNPTGDEHHLRYDYGNFYASKTFYDPVKHRRILWGWANESDTAVDDVAKGWAGIQAIPRKVWLDPSGRQLMQWPVEELEALRAKKPVSLKDRVVKRGEHVEVTGLRSSQADVEVSFEVPSLEGAEALDPALANDAQKLCSVRGADVEGGVGPFGLWVLASSKLEEKTAVFFRVFKAARNINSTKPVVLMCSDPTTSSLNPNLYKPTFAGFVDIEIAKGKISLRSLIDRSVVESFGAGGRTCILSRVYPTLALGKNAHLHVFNNGKVDIKVSQLTAWEMKKPALMNGA >SECCE7Rv1G0471060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:126658049:126659542:-1 gene:SECCE7Rv1G0471060 transcript:SECCE7Rv1G0471060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEGKPGVIIREYSPSTDRAGADAVDRECEVGQPGGMSLHADLLGDPVARIRHSPAYLMLVAETSAQPGRIVGVIRGTVKSVATGRSCPGAPALASVGYILGLRVSPSHRRMGVALRLVGHLERWFALMGAEYAYMATDKSNEASVQLFTGRCGYSKFRTPSLLVHPVHAHRLRAPRRAAVLPLGARDAEQLYRRRYGHVELFPADIGAVLGNRLSLGTFLAVVDEGFEWRGVEHFLASPPASWAVASLWDCGGVFRLEMRGASRLRRAAAAASRALDRAAKWMRVPSVPNFFRPFAGWFAYGLGGEGDDAALAARALYVSFVNRARGRAAAVAVEVAALDPLRRRLPHWRCLSCAEDLWCMKRLGGGEPDADGWDWAKSAPGQSIFVDPREV >SECCE2Rv1G0080420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:129680900:129682669:1 gene:SECCE2Rv1G0080420 transcript:SECCE2Rv1G0080420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUB1 [Source:Projected from Arabidopsis thaliana (AT4G08810) UniProtKB/TrEMBL;Acc:A0A178UWI5] MSSSDQEDHGEDAPLFRDPSAPSSSSSRRPSASSSSSAAVGEVPVSQSVIKAASNVCFSFFVLAVLAVTVAAVTYQPPDPWFQSSAAITTSLARVLPNSSFLQPDDSLLPTGEDFASPSSAPGAAAAAQRDAADQAATAPGNNATAGTCDPDAPLNCTDPRVLAAVKAFNAKAFFRKSIVFLSYEAPVPGPKPGQCDVAWRFRNRREKSWRRYKDYRRFELTPGDGCALEITKVGKFRSGKNAARPPRPKGPKRPRVAPPTVDAEINDTIPVVGSEAEFRRGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNMCLSGAHTEDGKDVDGKDFRYYFDFEHLKESVSLVEEGDFLKDWRRWDKKKGPGRISVRKVPTYKVTPMQLKRDKSNIIWRQFDGHEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTEIAGRMDWDYDGLHVVRGWKAMNKKMYPNLDADTSPDAIVDKVTKLIKPWRNLYIATNEPFYNYFDKLRSHYHVHLLDDYKELWSNTSEWYNETTAINNGKDVPFDAYMKVIVDTEVFYRSKAKVETFNNLTRDCKDGINTCNL >SECCE5Rv1G0324580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:448119493:448120719:1 gene:SECCE5Rv1G0324580 transcript:SECCE5Rv1G0324580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAFPYGGELTRKHGLVTGHPFDAKIMSAGRKRAREMEILKQRFQSELVAVRALLVKAAAVSIPSAPRVKETPPRGFLAEEPPAKKRKASTPVPAINPKKPPTKTKMTASQREMLAEDLELFVAEIPDHIVELLKKHSCATRPGEMEIDIHALDDAAVVELQEQVDKFARDRERRSNPSPPKVMAAEEEEDDEEVDICGGVSPLAIVPQPLLQELHQDDPKAMVEEEEEEDVDICGGVSPLPIVPAPLPLVEDETASGSPSSSSSDSSDSDSDTDSSDSDSDTDSGSSDSDSDSDEIVDSPAPAITPPTCEQLARALERQRKEDTSRAREKARQELLHTERTAMPDDTLHREDIKTLGIDEYNTAKPNNLLRQIGLYLKPDDDDDDWKKQQQHQIFQEDLEEGEIRS >SECCE7Rv1G0511670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:804769927:804771550:1 gene:SECCE7Rv1G0511670 transcript:SECCE7Rv1G0511670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAPAAPVANQGESHGTAAKTARRGDCDESAADFISRVPDAVLCTIISLLPTKDGGRTQVFSRRWRPLWRSAPLNLEVRTPYHGTPVRTSSVAPDVVPKVISQHPGPARRFCFHGLRPGDLHDQAESWFRSRALANLEVLEVGYKVHGDKELEELILARISLPPSAFRSASSLLVAKIGHCDLPREMVPSMGFDLLEHLSLISVSISVDVFHGLLSACRALESLYISEVLGTRCLRVRSPTLRSICFRDTSGKVELVIEDAPRLVRLLMPFGCRDDCGTIRVICAPKLEILGPLLPVVSKLLVSQGISSAGLANSMHTLKILALRCSGYELDGVLNILRRFPCLEKLYVVFHKHKEMDKKIEPQYDRLHPIECLQTHLKTVVFETFIGHDKQLEFAKFFVLNAKVLNKIEFEGIYGAYNSVSLAYQHRLLQVENRASRDARFEFTNRHRNTVYHLQKHIHDLSVADPFEQP >SECCE7Rv1G0517940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856660060:856661727:-1 gene:SECCE7Rv1G0517940 transcript:SECCE7Rv1G0517940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVAIIGAGVSGLTACKHLLERGCRPAVFEADKLLGGVWARAPDCTSLQSERPMYQFSDFPWPESVTEVFPDRRQVADYLNAYARHFGVLDCIRFGHRVVGMEYVGVGEEEVVAWEEWAGCGEAFGSGEGEWRLTVADAEGNIETHVADFVVLCIGRFSGVPNIPTFPQGKGPEAFDGQVIHTMDYAKMGTKKATEMLKGKRVTVIGYLKSALDVAAECADVNGTEYPCTMVVRTKHWIIPSYYAWGFPISNLYLNRFSEFLIHKPGEGFLLWLLATILTPLVVILKVC >SECCE5Rv1G0375560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868673094:868676506:-1 gene:SECCE5Rv1G0375560 transcript:SECCE5Rv1G0375560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTSSTVWFAVALLSLSVVVTKIVSGRIITFDSRRLPPVVNGIALLGLVPIFIRNGRQATIQYLHTKFGSVFTVSLFGLKLTFLIGPEVADHFFQGLDSEVSYGNIYEFTVPMFGQAVGYGVDSTTQNEQMRFSSDSMTPLNLRRKVEPMLQEVKDYFSKWGEDGIVDLKHELKHLLMLISSRALLGKEVREEVFTEFCQLFSDIQGSANMINLLFPYVPIPTNRQRDRARIKLIEILSEIVRSRKLSGLVGDGDMLQKFMDSRYRDGRSTTEEEIAGLCIAIFFAANHTCSHAITWTAAYLLSHEDCLTTVVEEQKNIVRKNKDHIDYNILLDMHSLHRCIKEALRMHPPVPVFLRKAHMPFTVRTKQGDEYDIPKDHILASPTILNHNMSYIYKNPLQYDPDRFGPERKEDKIGGKFSYTSFGAGRHACFGEAYAYMKFKMIWSYMLRNFELKLISPFPIEDSRKFVPEPQGKMMVSYKRLPQT >SECCE1Rv1G0051390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:653555481:653559139:1 gene:SECCE1Rv1G0051390 transcript:SECCE1Rv1G0051390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHVATATRFYSPAPSPSSRLTAHGTSTKKAATQKPRPSQPEPNLHSKPPLARSLRSPHPHCIALPFPMAASPSLHAPRLLHLLPNPAPTLAGPRRGRRRRLATAPASAVPPSRNGSSAGTDWCPVPPEQRPVNEYEALAASLPFSWAAGDLRLYCSRLAFTGAAFALFVGLPVAAFGGRGGAGGDTLHLALGATSSGIIAVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVGLAVSLTLCILLYANTEKPREPLENPAGGRAIPGVYSDTAARSFEPDAFCGEPDLGDQSEL >SECCE5Rv1G0333070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:554359038:554362288:-1 gene:SECCE5Rv1G0333070 transcript:SECCE5Rv1G0333070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRAGKPAGGGGCGGKAVPGAKAAAGAEDAEGVPVIAVAGEGDASVLGVPVAAAAGDGGGEKAPLGAEVAAAVKSAEGAPVIAVGGEGEAPVQGDPEAPAADRKADAPAARGVADKDDEGVRWLKHYSSMHSILVVGDGDFSFSLALATAFGSGEHIVATSLDSYDTLKKKYGNAESNVTELKRLEATVLHGVDAKLMKLYPSLKMRRFDRIIFNFPHAGFKGKEDHPLVLNLHKQLVNGFFANARHLLRPFGEIHLSHKTGYPYDAWDIEQLANESCLIMFDQDIFCKEEYPGYNQKRGDGAKSDQPFALGPCYTFKFCIGDVKKLKKARGNIVGSISSLGGSKFYPDMLATDTRPFDPHQLAPAWPRPHFPPANRVDMPIMFDPYPFGGPPMERPGFPVNFYGRGQERAPYFHHQDMIQPLRRGPPLHVLPSQGVFHPPMGSLGHPEQPWHQERPPVVPPWRSDDYYSGEYQRSLQREYEIERQLMPGEASLGYSGFLENRFRESVQRRGRLEMLIQFYGGQ >SECCE3Rv1G0183370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:649526050:649530086:1 gene:SECCE3Rv1G0183370 transcript:SECCE3Rv1G0183370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEGQPPPQINSMPNSKLQDGGTKPNLVKEHVPGSELWTDGLICAFELVKGHRKLVHHKSLPKIDLTHMKNQAARNGHRVVSPAPNEGGVVEIPGQAELGIDPFAVKDRPSHAGDVHDHKWVPIGWSRIAELSQRVQSDASWENEHVPVSDSEDDYTVADVAAPYWLRPGGPTWWFHVTAGHPSVDAWLGSAHWMHPAIRTALRDESRLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNHEEEDIPIVLRSWQAQNFLLTAMHVKGPSTNVNVLGVTEVQELLISGGSQTPRSAHEVIAHLVSRLSRWDDRLFRKYVFGEADEIELKFVNRRNSEDLNLVSIILNQEIRRLATQVIRVKWSLHAREEIILELLRHLRGSATRIILERERKSAREMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRITHNLAIFGGGGIVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFLGIILVGVGLMYLGLTNPVTSEKVKLRKLELQQMVSMFQHEAEQHGKVREGLSRNGLSPSSAAASGDEGYILIS >SECCE6Rv1G0414560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:634145060:634156064:1 gene:SECCE6Rv1G0414560 transcript:SECCE6Rv1G0414560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MARGGGRAKEEEEELRGAKRGYREAVSEGNREEEARWANVIGDIHKRRGEYVEALRWLRLDYDVTVKYLPQRHLLESCQSLGEVHLRLGHFPQALTYQKKHLQLAKETDNLVEEQRACTQLGRTYHEILLSSENDHSAIRNAKKYFKAAMKLVKGLRENAQSGKSPYLKELIDAYNNLGMLELDLDNFEEAEQLLTQGLKICDDEEVNQYDDARTRLHHNLGNVYTELRNWNKAKVHIEKDITICRNIDHIQGEAKGFINLGELHSRIQKYDDAKLCYERALQITKGLEDEDALVDQIHQNIEIVTKAAEVLEELKKDEQKLKKLVRDTSNARGTSTERKLLCEQHAWLDTLIEKARMISAWSKHKEFSKAKKRVTNELREKEKQSDALLSIGESYQKLRIFSKARKWYMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGALQAFEEGYRIAVEGNLPNVQLSALENMHYSHMVRFDSVENAKKVHQKIDDLKQILNQHGARDTVSDYCSETESEGGDASDKMLDQEDYDGHAANTYSEEPDDHAVLASLVHRSRSSSNTKASKIHSIQKKVDRSCDTAERTREVLSKSCSNQSGKRRVRVFISDDEADESPEIDQSKKKRTNRTDSLSTSEDIANGANNRNQADPTEPRDVYSICTPCPVEESICSFKSSSPTGHGNDGLEIGASSGGKVSSSKPAASGSRICTPASHSQPHGQNAVGLLSTDADHQHLAFRIGQHLVYLDVNACICEAAFNIESLKVEVACVYYLQIPDEKTSKGLLPIIGELKCCGNVLDGAESIDYIGQLASEQKCIDAFVDDWVPKRLMKLYIDFCTKSSEAPNKKLLNKLYNLEVSEDEIILSDCGLQDLSISPLLEALRSHKTIAMLDLSHNMLGNETIESLQQIFASSSQTYGGLTLDLHCNRFGPTALFQICECAVMTERLEVLNLAGNRLTDGCSSYLFTILQKCKALYSLDVEQCSITSRTVQKMADALYEGSVLSHLSIGKNNPISGNTMLNLLSKLASLKRFSQLSLTGIKLNKLMVDKLCLLAQSSSLSGFLLGGTSIGPGGTIRLTEALSSASQELMRLDLSNCGLTTPEFSQICTNLSRINILDLNLGGNSINMEGCDAVGAILANPQCSLRSLTLDRCNLGLGGITRIIQALAGNDQLEELSVAENANLALERTLHFDEDMQDVSTGTEHKHGQNVEAGDNVAPGNVDLEKMVVADSEDEAANEDRRTVSGPARSCASSCQRNSYSGCQFVQELAEALASAKQLTVLDLSRNGLSEEVVQSLYSAWASGPRGDGVARKHVGKEVVHFSVDGAACCRLKPCCRRDLQM >SECCE7Rv1G0517420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:854192585:854194461:1 gene:SECCE7Rv1G0517420 transcript:SECCE7Rv1G0517420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSPHTLRPPLSLALPPTSNHRPPPRLFRPRPLPPSLARHHSSSVLLARPPRAGAGAGDGGMASHGAHPTLLEHMGRAGAPADLAVLVAHIQAACKRIAALVASPGNADLSRAKTAAGGAASAAGRDAPKPLDELSNEIILSSLQSSGKVAVVASEENDLPVWMCDDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYDRLVELDQLPVEEKAQLNSLQSGSRLVAAGYVLYSSATIFCISFGAGTHGFTLDRSTGEFVLTHPSMQIPPRGQIYSVNDARYFDWPEGLKKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTIIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKTRILSIQPVKLHQRLPLFLGSMDDMLELESYGDVQQKVNPGYDV >SECCE7Rv1G0459900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:27811360:27812730:1 gene:SECCE7Rv1G0459900 transcript:SECCE7Rv1G0459900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMKLAARVLLLPLVLALFLHPAVVIAVGDGTPGRGGGGFSLRLVPSPGWNRSSHVDDDGFVHLNEHATTALRPPMHTQVGGKYSVVTTVGTGAGRRTYVLALDMTTNLLWMQCKPVQEPFTQQPPPFEPAKSPSFRRVAGNNRFCLPPAHGHQPTVQDPCKFHSIGLHGTDARGVLSNETLAFAASGGQEVAGVVIGCTHNSEGFNFNSHGVLAGVLGLGRQAPSLIWTLGQHRHGVVPVHRFSYCLPSHGSPDHHTFLRFGDDIPHTQHMVSTKILYMSSTVSRDFSAYFVALASVSVGGRQLQHIGELFKRHNHGGRWTGGCVFDAGTATMVMITPAYEKLKASVLEHLKPLRVPTVSRVEYHLCFRATSQLWHYLPTVTLQFAETEARLVLTPQRLFVAIGQDICLAVVVSDHITSIGAMQQVDTRFVYDVRAGRIYFAPENACHADAGHQI >SECCE7Rv1G0456230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:10048539:10048913:1 gene:SECCE7Rv1G0456230 transcript:SECCE7Rv1G0456230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDASVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSFRNYSGKATTKVAVHVRELEQKLQRSELQAEVMQEELAAMKMKAEESEAARDKELELLCKKSQEQEEQLAHLMALFRAKVV >SECCE1Rv1G0013030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:100085179:100086648:1 gene:SECCE1Rv1G0013030 transcript:SECCE1Rv1G0013030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVEITQSTVLEPSRDSARGGGKKVPLTVFDRASTDGYIPAVFAWNAPAPTNDELKAGLVAAVARFPHLAGRFAADDHGRKCFHLNDAGVLVLEATADADLADALAHDVSAHINELYPKAEKERANEPIFQAQLTRYACGGLVIGTACHHQVADGQSMSVFYTAWASAVRTDSAVLTLPFVDRSATVVPRSPPTPVHDHRNIEFKGERSWSHSYGVLPMDRIKNLAVHFPDEFIANLKARVGTRCSTFQCLLAHAWKKITAARDLAPDGFTQVRVAVNCRGRAKPPVPMDFFGNMVLWAFPRMQVRDLLSSSYPAVVGTIRDAVALVDDEYIQSFIDFGEAERGVIEDGGEELASTAATPGTMFCPDLEVDSWLGFRFHDLDFGCGPPCAFLPPDLPIEGIMIFVPSCDAKGGVDLFMALDDEHVEAFKQICYSMD >SECCE5Rv1G0328400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:503449630:503450094:1 gene:SECCE5Rv1G0328400 transcript:SECCE5Rv1G0328400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNWEQDENELNLELTLRMTWAPEAEAEAEHGGFFLCVYCDRKFRSSQALGGHQNAHKHERSVAKRQREIAASTRANGASGAPAAAQDARPGGYAGDSDFISADGKARKTEGLKAAPPMLAGMARKRGRAPSEYGYGAFEHAAGEVDLSLRL >SECCE5Rv1G0304000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:53110546:53115597:1 gene:SECCE5Rv1G0304000 transcript:SECCE5Rv1G0304000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLTRAASAAFALRGGARLLPKETPLPPLLLLLLATAAGTLPAGRVGWARAAEVGCGASLSPSMARFGIAARFNATSSAVSEAAAAAASGAVHAVPRTEPVVSAEWLHANLRDPDVKVLDASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDRASNLPHMLPSEKAFSAAVSSLGIYNKDGIVVYDGKGLFSAARVWWMFRAYGHDKVWVLDGGLPQWRASGYDVESSASSDAILKASAASEAIEKVYQGQSVGPTTFEAKLQPHLLWNLGQVKENIEAQTHQLIDARGKPRFDGAVPEPRKGIRSGHVPGSKCVPFPQVLDSTQKLLPADELRKRFEQEGISLDQPIVTSCGTGVTACVLALGLHRLGKTDVAVYDGSWTEWGAHPDTPVATTAV >SECCE5Rv1G0300430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:26200316:26202453:1 gene:SECCE5Rv1G0300430 transcript:SECCE5Rv1G0300430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAASTTKKKKAMAPAAQKDWFMMYGIPKVADENEMSKKFPNASFTFNEAPLLSHLTVPSNVAAPAGGVDYPCIAATDDFGLLLLCGYTFLGLTYYICDPYFRRTLGILPPDGGFSSRHNVGLILHRRRSGLMVATLNTGLFNTQARVTLSCTRDLCEWVDKEADCGHIRETKQWWCCDGVLSHNGFLWWFDLSSCMLACDPFLDTPPVYQIMFPHVPHPLQAAWFPVQGDKYRCLKVSKGRLRYVQIHGDPAVVSMWTLSSNDPSDAACWELKLRVRFTDIWSHPTYKSSMLPEIVPTVGLVHPMNANKVYFFLGHNVFCVNLKKKKLRQCLELNNQELPSLSSLVVHSWNLPAGTTKIHLLSGSDESHIPGIHFLAQYDSMDGFFEKAFDVMSRSHPELFGMGLDELEEDEDESVTMEESDDGDDSEIE >SECCE3Rv1G0144080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3079100:3080309:1 gene:SECCE3Rv1G0144080 transcript:SECCE3Rv1G0144080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLNRSRGLAEGVVVMICPVLLALALNLVDLNGEVYGHGVPIPLITMAGFTLITGICPLLACCFFERFLGLGVSPIPVTTSLATLSSCCLVVLACLIAQFIVSKSIFVTVGVICGILVLVRTVCYYVHDTLNDDGREYTTDMHSVLDESHEFLTSVTGILFLGFEGLALNGHGQTGRKGEELVGYISFIICTFGVCLMFLEMTPPPCFAVHRHGSQEERSEGEQQIVCLTLVLDCIMAVGIFVLLLVVMLKLTPLEFALWVLLPPAVSFGQLPVLVALKGNTQDEYESRPASLELTKATFTGFLAVSVTAISNASPSKLTRFFLLLSSMAIGFGLSWRLLSQINIRSGLASCVSSAHVASAAKLASFCAHLCIVIATVLFVVMAGKASGK >SECCE2Rv1G0128140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:858137878:858149127:-1 gene:SECCE2Rv1G0128140 transcript:SECCE2Rv1G0128140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQHNPKSKTLGNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTNSHLHIILEYVENGSLANIIKPNKFGPFPESLAAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCSPPYYELQPMPALFRIVQDVQPPIPEGFSPEITDFLRQCFQKDSIQRPDAKTLLMHPWLQNSRRASPSPRQTNPRHIDMDDEVPSSDNHAGFSGPSGDTQAPVASDIEQEDGTKELVSVSAGQGKSDELHDGKPAESNISNNVELMKDNVILTKDPTLVFHEKLSLESSPGVTDLNGNIKHEPSQDVLPTKVARSSQESKNGDSKNLEPESKDPSSVEDDDAFSFQAGKQNVTFLEEAKPLVAEGANGLSRFSDTPGDASLEDLFPIDKRGDHGAEASTSTTAQELRDRMVAQKQKGNDNVPMNGGKLLELFEEFHDNIPGENLFPLQSVEYSKIVAQLKPGESEEVILSACQKLMLFFSHRPEQKQIYVSQNGFLPLMELLELPKNRIICSVLQLINYIVKDNTGFLENACLVGLIPVVMNFAVPDRAKEVRMQASFFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKFREMVHLAIDGIWQVFKLQHSTPRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVIQNGSTPRLKSGQLDVPMLESSKVRLDHYHSSGSMQSLQADADRHHNLLDPSASPRFNDISAAAHMERNDNDLVRPQRLSVSGGRSSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYARHMSGLERHESILPLLHASVERKTNGELDLLMAEFAEVSRQGRENGNLDSNMKASNRVPSMKYGPTASNEGTSTSGAASQTASGVLSGSGVLNARMPGSTTSSGLLAQMVSMSADVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIESPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLVFQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLDLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFEDCPEQYFVHILDAFLKIITKSSRINTAIATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >SECCE7Rv1G0472640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:145380886:145384102:1 gene:SECCE7Rv1G0472640 transcript:SECCE7Rv1G0472640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYFVLLLLLAAAQAIHCEDGAYIGVNIGTAMSSVPAATQITTLLRSQNIRHIRLYDADPGMLSALANTGIRVIISVPNEQLLAIGNSNATAAKWVARNVAAHYPSVNITAIAVGSEVLSTLPNAAPLIMPAMRYLQNALVAAALDKYIKISTPHSSSIILDSFPPSQAFFNRSLDPVLVPMLKFLQSTGAPLMLNVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVTETGWPHKGDATAEPDANNDNADTYNSNLIRHVMNVTGTPKHPGVAVPTFIYELYDEDTRPGTASEKYWGLFDMNGIPAYALHLTGSGVLLANDTTNQTYCVAREGADEKMLQAALDWACGPGKVDCSVLTQGKPCYDPDTVEDHATYAFNAYYHGMGMGSGTCYFSGVAVITTTDPSHGSCVYAGKNGSALLNGTSLAPSSNSTTDSGSRRAVGDVTSFVRIVVTALLLSVVALL >SECCE2Rv1G0097030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:451641000:451641566:-1 gene:SECCE2Rv1G0097030 transcript:SECCE2Rv1G0097030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCMSSGGAAAALREEGHPASTAMVLLPTGELREYPRPATAAEALEDSVAREAGWFLCDADAMGFEGPVAAVAGAEELRPGQIYFVLPAEARKNGLRREDLAALAVRASAALVSKASANASGSGGRRRRAGSVSPLVFAPPPEVDGTLAYKTVPGLAAKRRPVARVKSAGRMQPRFAPDLTAIPECE >SECCE2Rv1G0107750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:654291747:654292985:1 gene:SECCE2Rv1G0107750 transcript:SECCE2Rv1G0107750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIGKLVLCLTLFAAWRLRSTLFAEAPPASGVDSASRNASCVALAREAGIRAEGGTGRNFVISPLSIHAALAMVAAGARGDTLSELLRFLGSASLDKLHRAAAIELVGRLNGIAQTSFASGVWVDRRLALKPEFTATAASRYNATSESVDFVSGAEQARQRVNAFVADATNKHIRQVLPPGSVDSSTSVVLANALYFKGAWSQPFDVSTVPFHIPGGTTVPVPSITTSRPQHIAVYPGFRALKLPYKNDVQQQAQFYMLILLPDRDTVSLADLYDKAVSTPEFFKTHTPAGKVPVGRFMVPKFKFTSEIEASSDIQKLGVTKAFDGGDFSDMVSSRDTELAITSVHHKATVEVDEVGTVAAAATAVVMGLVSAGPGHGVDFVADRPFLFAVVEEGTDAVLFLGHVANPLAR >SECCE1Rv1G0026100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:365267864:365268787:1 gene:SECCE1Rv1G0026100 transcript:SECCE1Rv1G0026100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSFLRAAAVAAVVAVLMATAGAASFVSAAAPLPSVLLSSPPCFLWIAANVIVVWLFLSSYRRRGAATAAVLSSTASDVSAAMGGMLFPSSEPDLFAAAPDAVVAPVSTSRQRGAKAAKRPDDRPRVRKKPAGEGTVTVGGAAAEANPEGKKERSEEEKPRASAAAIEVTEAVGVDDVSMDTAWQSIVRSGAARPVAVRKSETWGGEALPRMRRAADKAVVARREMRKSATMVPPSPPHPAAASSPVAERQGWRTRDVLGMAQDELLRRAESFIRRQHEHLRMQLQESEQRQAMEHDRRRPAPIRV >SECCEUnv1G0531110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16126671:16133828:-1 gene:SECCEUnv1G0531110 transcript:SECCEUnv1G0531110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKITSATPSRTTSNGKVLCTTEDHSRGLSGTCEVEGDVRTNGTALSVSLVPASWSEHHEWMISPYTRIGQSLRAVTVTQLQHRVAAPPCTVTHTMPAILFGIGGYVGNYWHEYADILVPLFVAARRYHGEVTFLVSNIQQMPQWLVKYRALLQGLSKYVVVDMDRDAYVRCFPRISVGLRLDKDLSIVPELVPGGRLTMADFTRFVRETYALPRGAVTMEPYKKPRLLLIQRATSRQFLNEPEIARAAEAVGFEVVVTELRHDGSEVEQARVVNSFDVLLGVHGAGLTNAVHLPPGGVLVQVVPYGKMEPMARLDFSEPATDMGLKYLDYSVTAEESSLLEKLGPEHPAIKDPDSIHRSGWRALYEFYLMQNVRINSTRFAPTLEQAFNHLRKQ >SECCE7Rv1G0475450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:188196100:188197548:1 gene:SECCE7Rv1G0475450 transcript:SECCE7Rv1G0475450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLTGAPGSAAAAGGAAAVKPQFHHYHHHRLPPRHHHPPSLLSKLAFWSVCSLSLLLVFLLLAPSSAPAPRASPDSPRRSLHAHPDTAPAWGGAAWEKKVRASARARRPGGRGGLSVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDPALKRGRAALLARSGVYVVDGDIADAELLAKLFDVAPFTHVLHLAAQAGVRHALVDPMSYVRANVAGLVALLEAARAADPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHVYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESSGGGTHQTTISRDFTYIDDIVKGCIGALDTAGRSTGSGGKKRGPAPFRTYNLGNTSPVPVTQLVDLLEKMLKVKAVRRVVKMPRNGDVPYTHANISLAQRELGYRPSTDLQTGLKKFVRWYLEYYNPELAVKQKQHGSSNGKGSRGRNGSTSSAR >SECCE6Rv1G0403940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510937642:510937923:1 gene:SECCE6Rv1G0403940 transcript:SECCE6Rv1G0403940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRIGPILGHTMHYRRMIITLQPGYSIPLLDREKN >SECCE1Rv1G0021490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:269134672:269140059:-1 gene:SECCE1Rv1G0021490 transcript:SECCE1Rv1G0021490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLLPRPSVSMARLLSPLPIAATAAAAVSASRFRIPAVSVARHQALFGGRVGFRVPARLSTRGVSAGAEAGGPAARAATVISPEEVVEWVKKDRRRLLHVVYRVGDLDKTIKFYTECLGMKLLRRRDIPEERYTNAFLGYGPEDSHFVVELTYNYGAKSYDIGSGFGHFGIAVEDVEKTVELIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGHTPEPLCQVMLRVGDLDRAISFYEKAFGMELLRRKDNPQYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAIGTDDVYKTAEVVRQNGGQITREPGPLPGISTKITACTDPDGWKSVFVDNLDFLKELEE >SECCE2Rv1G0064760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5010935:5013988:-1 gene:SECCE2Rv1G0064760 transcript:SECCE2Rv1G0064760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome DASH, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G24850) UniProtKB/Swiss-Prot;Acc:Q84KJ5] MLHHHLLSSSASSFPPTAAQSLLLLPRQPAASLRALLSMAASTSSSSSIPFRPPRAVLAAAVPSLGPEETAAAADEAFRRHTSPALRRGSGSSGVAVVWFRSDLRVLDNEALARAWAASGAVLPVYCVDPRVLAGATHRFGLPKTGALRAQFLLECLADLKQNLQKRGLDLLVRHGKPEHILPSIAKAVSAHTVYAHKETCSEELLVERLVRRGLEQNNKPLSPKLQLVWGATMYHIDDLPFPVSNLPDVYTQFRKAVESKSSVRSCGKLPPSLGPAPGSGLDEIGGWGSIPTLESLGLSVTKSEKGMRFVGGESAALGRVHEYFWKNDQVKAYKETRNGMLGPDYSTKFSPWLASGSLSPRYVCEEVRRYEKHRVANDSTYWVLFELIWRDYFRFLSAKYGNSIFHLGGPRKVASEWSQDQALFESWKDGRTGYPLIDANMKELLATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPELRSLAKERRNFPGAAYIKQVVPLKFDGVHQRKDQQFNRQTRPKNIYRRQK >SECCE6Rv1G0416520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649153675:649159401:1 gene:SECCE6Rv1G0416520 transcript:SECCE6Rv1G0416520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQAAPAALGLHVPTRWEVDFTCAAATALAFVLVPVALYALLRPRRAPAAAADGLQLVDKCQDGAPSAYAVNLKLLAAKDLIAANLNGTSDPYALITCGQDKRFSSMVPGSRNPMWGEEFNFAVDCLPVKIKVEIYDWDIVWRSTTLGSVTVSVESEMQSGPVWHTLDGSSGRVCLHIKAIRVNESSSRALNNSAEADARKRISLDKEGPIVVHQKPGQLQTIFGLPPDEVVEHSYSCALERSFLYHGRMYVSLWHICFYSNIFSKQIKVVLPLRDIDEITKSQLAVINPAITIFLRTGAGGHGVPSLACPDGRVRYIFASFWNRNHTVRALEQAVKNFHTMVEAEKQEHARSALRALSGSRNNSLEIDVPEDCADLTGLLQPFVKEDVLVPVFDGAFPCTAEQFFNNLISDDSTYTTEYRTARQDKDINLGQWHLAEEYDGQVRELNCKSMCHSPMCPPYSAITEWQHTVLSANKTDLVFETVQQVHDVPFGSYFEIHCRWSVKTIDSSSCSVDISAGAHFKKWCIMQSKIKSGAVDELRKEVGEMLGFAESYMLKVSSPNQEDDGTTQQGSTAPGADDIPGDQ >SECCE5Rv1G0354300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722852084:722853176:-1 gene:SECCE5Rv1G0354300 transcript:SECCE5Rv1G0354300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTRPALLVVVLAVAVLGLATDGQAQLQTGFYTGKCRGNDVEAVVQGIVQARFASNSDIVAHLLRLLFHECGVNGCDGGLLVDGTSTEKTAKPNLSVKGYELIAAIKMELEKRCPGVVSCSDIEILATRDAVAASTGQRYTVRTGRKDSRRSVATDVNLPGPDDTVPKAAAFFRNLGLSSDDMVVLLGAHTVGVTHCSMIKTSRLYSYGGKAGATDPNMDPELASTYKTYVCPNTVSSDNNIVFLDDRSSASKLDNSFYKMLQRRRGTLMVDQNLYNDSSTRWMVDRLANTDHFTWLFPQALVKLGEVKVLTGTQGEVRRVCSKFN >SECCE3Rv1G0208720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:934771870:934772571:-1 gene:SECCE3Rv1G0208720 transcript:SECCE3Rv1G0208720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDVKLLGTVVSPFAVRVRMALHAKGVSYEYLEQDLFDKGELLLASNPVRKKVPVLIHAGKPVCESLAIVQYVDEVWADGASLLPADPYDRAVARFWAAYVDDKLFPAWIGILRAATEEDIAEKLDAALAVVAPMEDALVQCSGGKAFFAGDFIGYLDLALGCNLFWFEALREMFGVTVIDAARTPRLAAWAEGFVQTEASKKAAPPMKSMVDYAGKLRSMWAAAADAAK >SECCE3Rv1G0201010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:859185964:859188161:1 gene:SECCE3Rv1G0201010 transcript:SECCE3Rv1G0201010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGALLPPGEPSTTSKPGGRGGWPAALFLIGTRWSSWSASGSTACRGQGNLIMYLTGPLGLSTASAAAGVNAWAGTASMLPLLGALAADSWIGRYRAIVAAGVLYLVSFGVLTVSSMVPPHQPQPAASPAASPSRAAFFYATIYMVALAQGFHKPNAQALGADQFPRSSPDSIASRSSFFNWLHFSMSWGFIVAVVALSYVQDNVGWAAGFGASWAMMLVSLSVFLLGTDTYRVADQPHDRRALGRLKKTFAATARAWTDMVLRRRDAAMDDGLLTPKEQEGKGIMVKLLPIWMTSVLYAMVIAQVSTLFTKQGSTMDRRVGAATGLVVPPAALQSFVGLAIMASIPVYDRAFVPLARRVTKHPSGITMLQRIGAGMAIASVAMATAALVEAARLRAARDAGLVDSPGVAVPMSLWWMVPQYVLLGLANVFTIVGLEEFFYDQVPDALRSVGLALCMSIMGVGSYASGVLVSAIDWATRRTGESWFSDNLNRAHLDYFYWALAGVAALEVLVFLYFSKRYVYSLH >SECCE2Rv1G0100060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:533945322:533948500:1 gene:SECCE2Rv1G0100060 transcript:SECCE2Rv1G0100060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLAPEKRHAFVHNGQKVFEWDQSLEEVNMYIELPKNVPTKLIQCVIQAGHVEVGIRGHPPYLNHDLMHPVKTDSSFWTIEDGELHITLQKREKGKTWASPIKGQGSLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFSGTCPDPRTFMGGIHTD >SECCE7Rv1G0526260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894376427:894377971:-1 gene:SECCE7Rv1G0526260 transcript:SECCE7Rv1G0526260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILFSQELPICTTLMVLVLPLYYLYSKASCRSKNPAALPTNWPILHMFPSFMANLHNLNDYFSLVLAGSGHNFRAHGPPGTGMRFFVTCDPANVRHIFTTNYTNFPKGVEFAAIFDIMTGSIFTIDGEPARRQRSKIKSMLGSPRLIARMEAWCRDKVENNLLPLFMHRASTNTPFNMQELMSRFMFDMAATPLFDIDPSLLSWDMPPMDPAVAMDTVMEVAFFRAMMPASCWKLMRRLNIGPERKLKAAHKVLRGFVMKMMERRKINTYSDGNGKEQEGVDILSSLLNDPEYADDDLIHAMIVSYMLAARDTVGTTLTWIFYNLAQHPRIVSIIRKELSPIASHKVAASADAMVIFEPNETKSLVYLRAVLYETLRLHPAAPLERKVVVADDIMPSGHEVHAGDAIFISLYSMGRMEGIWGKDCLNYNPDRWLSEDGNKLRYVPSHKFLAFNSGPRICLGKDIAVMQMKTVIASTLWNFDVEVMEGQSIQPKPSCMLEMKKGLMVKLKKREM >SECCE3Rv1G0146840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12775867:12780856:1 gene:SECCE3Rv1G0146840 transcript:SECCE3Rv1G0146840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGALAAVAFVFVFAAVAGAAAEGDSLAGLAAGIDEAAPEVKELGPWAKGLLNGIPDAAAGPAAMGPVAKYPLVLAEDRTRRPDVLRHLRMYGGGWNITSKHYWASVSFTGVAGFLLAALWFISFGIAAASFCFCKSRVGKAKVSHADVARPVLLVVAVLALITGCIVLLYGQNEFREEATDTLDYVVNQSDFTIQTLRNVTDYLSFATTINVAALYLPSDVQAQINNLKVDLNKAADTISLKTTENYKRIRKVLHNVSVALICIAVLMPVLAFLGHVLELYGPKYTVYTFATICWNIVAALFILIGILLIVSSASKDTCQAMDEWAEHPRAETALSNILPCVDASTTNRTLYQSKQVVVQLVRLVNRAISALSNRKERHLHPGQLMPYLCSPYDDNLNDRQCLSKEVTFDNATTAWQDYTCNAPDADACSGPSTVTPEIYGQLVTAANVSYALHHYAPPMLNFQDCKFVRDTFSSIASQYCPPLERDLSLVSAGLALLASGLVLGLLLMLFADRPRRREEVSEQTSGFRVTPVDCSP >SECCE4Rv1G0290690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873668252:873668692:1 gene:SECCE4Rv1G0290690 transcript:SECCE4Rv1G0290690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPAAATAEKTTAGKKPKTAEKRAPASKEAGGEGKTRGRKKGSKAKKGVETYKIYIFKVLKQVHPDVGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE2Rv1G0064600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:4482434:4482850:1 gene:SECCE2Rv1G0064600 transcript:SECCE2Rv1G0064600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVAEKAEKTTAAKKTKAEKRPPASKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE3Rv1G0165530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:175528574:175530572:-1 gene:SECCE3Rv1G0165530 transcript:SECCE3Rv1G0165530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKAVVLILFLLSVFRRGTSWQSYDAIYNFGDSISDTGNLCTGGCPSWLTMGQPPYGTSYFDRPTGRCSDGRVVVDFLAQFFRLPLLQPSKTNGTDFRKGANMAIIGATAMNLDFFQSRGLGSSIWNNGPLDTQIQWFRQLMPSICGGAGDCKSHLSKSLFILGEFGGNDYNAPIIGGKSLDEVYTYVPHIINKITSGVETLIGLGAVDVVVPGVLPIGCFPLYLTLYGSSNQSDYDGDGCLRRFNDLSRYHNRLLRRGICSLRRKHAGVRLMYGDFYTQVAEMVRSPRSFGLEHGLNVCCGASGQGSYNYNNKARCGMSGSSVCKDPVNYLNWDGIHLTEDAYRSIAYGWLAGPYCVPAILH >SECCE7Rv1G0457660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18329073:18331269:1 gene:SECCE7Rv1G0457660 transcript:SECCE7Rv1G0457660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPIYIWNEWATQILVLLSFALQIFLFVFARTRRCGSSSLLRFLLWLVYLMADSTAIYTLGHLSINGILHEHELVVFWAPFLVVHLGSQDTITAYALEDNQLWPRHLLNLGVQAFGVAYILYKHIGHISSLLGLATCLMFVIGLIKYGERIWALKCATMDDIRSSIKKCGLLPTYYGDLPPPSRASAEERDEEELLLFAQAMLPLCKGGIINFPVVLISHPHNSPSNLSWCWNWNWKATFKVVEMELSLMYDILYTKAAIIHSWYGYCIRFFLPFGTVAAFVLFQLSGDKDGCSRIDVAITYILLVGAFLLDMSSMLSALVSTWTCGFFWTRNWRRLGCVIMSLRRYVKAATGNRGWSGSVGQFNLMHFCSRDKSKLSIRVSKMVRLEDLWNKRHYSKTLVISEDVEELVFKHVWQLVKKIQHPRAEDETRCKIGVEEPKAMMPSISERLGFRPELYDDTARRRKKFDDALSLSDELQEVILTWHAVKALSDYMMFLIVVRPDTIPGIELRSLYEATRDALEEIWRTNTYAIKEKNLASILQNSKHEDLGKASVIISHGTLYAKLLMDLVDTSNHDKSGHLMPDLESSCRVGVVFDMAKALALILDTWVRLLVLASVRSSGDAHARQINRGGELTTVVWLMEEHASVFFNPPTATGYERYRSEGRFQWYRCSDICRLKSGSNGDMEH >SECCE3Rv1G0145040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5662765:5663982:1 gene:SECCE3Rv1G0145040 transcript:SECCE3Rv1G0145040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEELLRCQIQEWYPAFRRHTVPTAIIPLPAAFLRYLAGRTAYPVPDGPDEDDQGPLPFVLPALTSGRAPFPPLQGHFPDPVSLLDRDNTDPLFGDSDSGSDDDGLLPPAFPELEAAVDAAIAGLGGAALPKLNWSAPKDAVFMAADGTVRCTCFAEVAMLLRASDCVAHDLVSARPSCQDFVRAKRVRRNAAEGAGARVLNDPGENCSGGGSDAPEEDAEQESSDDETWVDDGFQYYLALRKWYPGLRPESEFRCFVRGRKLVGVSQRDPSAYYPSLPGWSAEVQPKIEDFFEEFIEPQFGSENYTFDVYVRADGRVKLIDFNPWGGYTLPLLFTWEELEEEQRGEDELEFRVVMQQGAVRPGLMTAIPYDMLDWGEGSGWDVFLKKAGNELDRQMASLGVDS >SECCE2Rv1G0079160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:115718994:115719233:1 gene:SECCE2Rv1G0079160 transcript:SECCE2Rv1G0079160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITGKVKWFNESKGFGFITPDDGSKDVFVHFSAIADNGFKTLAEGQRVEFEIVNGAKGPSAANVKALELEDEKILSKL >SECCE7Rv1G0471080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:127224431:127225969:1 gene:SECCE7Rv1G0471080 transcript:SECCE7Rv1G0471080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGVDRLSDLTDCLLHSILSRLKARQVVQTCVLSSRWRRLWLAVPCLDIDVGDDQEGEDEERHKKLDDFVDNLLLRRSTCASSPLHTLRVSIASPRPPWRRSLHDRGSSICAAHTRWVSRGLESSPAVLEVRGIKLPSFASGTQRLTKLLLHDVVLHKDFEKHLFAWLTLLQDLEIRSTGMSHLSRIESNTLKNLTVEAGTILNFEVIAPRLASLHLAVQFRGLRAFSVTVHEAPDLVQASVRLLDNPLPARGHPFGFQQDLQQDATLLLPMLCRFLGSLCNVRSLELSGFRDMAQHVSPPPSPGVPWPAEYQFQLMVLGSDKSAPCPTLQAILDEEHNRLPMFRNLRTLVLEQCDIGDNIQTLSSFLHNTPALEKLTLKNCECQKVPSSSASSILEMGFMTSNLNLVQIQYDDRDDHEGQGTRQVDNVVSIVEKNMPVTAMIHVTKIRKN >SECCE6Rv1G0431070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745477971:745478864:-1 gene:SECCE6Rv1G0431070 transcript:SECCE6Rv1G0431070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTVREVMYMYSVARQAYDRFLSVCGSPEKAQNTVALLVWLDQGTISAIHHVPAMAPDAIAVVAEEANAVLKCLRHQVPALPPIPLISALCMQGGVHIEPGFFAFHQDLVVRGVAHFLDGAGKFVFDNRLHLLLRRSETGLMANPPELMAPYTSQPVAVPEDCRSMFITFSKGNALQREEIFEYFRQKWGDCVVRVLMEKTKGGHTPMYGRIIFKTEAIVKLVLNGERLIKISIGQREIWLRKYVPRPNNAAT >SECCE1Rv1G0000140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:715482:716678:1 gene:SECCE1Rv1G0000140 transcript:SECCE1Rv1G0000140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALSRLCPCCFGSPAAPAATTTECSTSTTSDKKQPERTKRHWVDEKGNSCFMLLPRGLSIAWVEDPSYWAWLPAPPGEGSAGDAAGEEVVELKNVWSLEVHGKLELSQLTPGATYEVAFEMMLKQGCAGWQVPVDLQLELPGARAQVRKESLEKKPKGRWLRLKVGDVEVEKGQQGGELVVTMSQDGGHWKSGLVVRGIRIAPKK >SECCE1Rv1G0029950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:421904752:421905792:-1 gene:SECCE1Rv1G0029950 transcript:SECCE1Rv1G0029950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEPSSADSRRYVVGYALAPKKQNSFIKPSLISRAAARGVDLVPVDDARPLADQGPFDLVIHKLYGHDWRAQLQAFSARYPSVPVVDPPHAIDRLHNRISMLQVVSELDVPPSDAASADRRDTFGIPSQVVVYDAAALADSGLLAALRFPLIAKPLVADGSAKSHKMSLVYHREGLRKLRPPLVLQEFVNHGGVIFKVYVVGGRVTCVKRRSLPDVSKEILEDAAAEGTISFSQVSNLPNQRTAEEYYEDMRLEDAVMPPTDFVNEIAGGLRRALGLQLFNFDMIRDVRAGDRYLVIDINYFPGYAKMPGYEIVLTDFFWEMVHKDDVALKEEEEQSNHAVVK >SECCE3Rv1G0186000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679968946:679970117:-1 gene:SECCE3Rv1G0186000 transcript:SECCE3Rv1G0186000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHFPSNPDHGPHVARPFHRHKSIHRILGGGKAADVLLWKNRKVSAGVLAGATLTWFLFDVVEYNVVPLLCQIAIFAMLAIFIASNAAPLFDRAPPRIPQVVISEHAFREMTLAIHHKLEYAVALLYDIACGKDLKKFLMVVGSLLVLSVIGGSCSFTSLLYIGFLCAHTLPVLYQQYETEVDHLVARGGQDIKKFYEKIDSNLLKKIPRGPVKTKFR >SECCE3Rv1G0184550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:664276223:664278263:-1 gene:SECCE3Rv1G0184550 transcript:SECCE3Rv1G0184550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVLFLIPALLAAARASSPSASPDAASLLAFKSACAGAGRGTAALDSWTESTDPCSGDWRGVTCQRSSSSTATSRVRRVVLEGLGLAGHAGAIAALADLPSLSFLSLKNNTFTGSLRDVDFSPLAPHLKLLYLSGNGFSGRFPESILRLRHLRRLDLSGNRLTGTIPPEIGHRLRALVTLHLARNSFVGRVPSSLESMPKLAELNVSGNNLSGQIPKHLAAAFPTSSFLGNPELCGAPLRRRCDGQQQRGDAGGDGKTPHGQGRRSSHDRWMVVMIMVAVGAAVATLIAAALCAVLCLKKKKSTRPRANSRTSSMSTSREETVRFDGCCEEFDVRALMMGAAEMLGKGAAATTYRVVMGGQHDVGDAGGEETNGEAVVVKRLRRREGATREDEGRRRELAREMGSWRHANIVDLRAFYASEEELLLVFDYIPNGSLHSLLHENRGPARAPLDWQTRLRLAQDAAQGLAYLHGVSGSRLAHRHLTSSNILIDAGGSARVSDFALLQLLLPAPSPEKALQKQDVRDFGVILLEILTGRSPEDGKVDIARWVRTVVREEWTSEVFDMELLRTRGAEDEMVALLQVALLCAADDPRERPRMAVVSKMIEDIRDRGSKRSKCSASPSHAGCSYDSSPCASEGTTKSTTASSS >SECCE7Rv1G0502550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:697548750:697551960:1 gene:SECCE7Rv1G0502550 transcript:SECCE7Rv1G0502550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLKNPSLCTRHLILLLLVSTATFSAAVPTRSYSSACPTPAPAPDRHTDADDALSLARSFQIYDGYFSGGEDSLFSPDEHLHGSYRSFSLFPERAKFRRNCKPCVHLTATLTLTGPRFGEYHRRHGNRGNYTVPASISFVLDGYYSSASLQLCMVGTGTEPAADGSVKQYADVALRLRVPSPSSLTDPFVNGSLDGSFGFGAIQLLAYAEGDDYEYGERATCSPQVQPARGSPRELDGGIFVCDDLKERLMTSYRQQDHHGGSPAKLPRMHVNRMQCTPDGAVRAYVVFSNDTGAEGRRLEFLVDEEAVVADGHWDSARDMLCLRACRVARSVSSTLAVRECGVELSFWLPAEWTILERSVVAGALWDPAHGRTGVMSAFSIHDHRRNLSDVRYSYNDTMLDVARKYYLKIEKEKIKGSFPVPSNSAYHDFKLHFFMANTGRRGDAHPVAIGSAMVDDDGWSADDSLPGSEVGDTEHDLLRISYNIHCDAPRDNLVRPTKNVSYSTRPEEERRISISAEGVYNPRRGILSMAGCQRRDGSTDCRILIAVQLASLEGLGGGGAISSLRDRSDRLFFETMNITLYGMYTEQVSEAVSRMDMESVALVASTTLSCVFAALQILHARKNPEAAAATSITMLTVLALGHLAPLVLSFEVIFMSRRSRYSLYSTSGWLEPNQMMVRVPALATFVLHLRLLHLALAGRLRPAAGRSEPAATTSVSERAVLKVCLPLYLLGGVMAATAHAINVRASGEGGSPAVRVNGGEAATLWDGMVSYAGLMLDGFLLPQVILNASLSGSRVRAISPWFYMGGTVIRVAPHVYDVVRRRVYVPSMSPSDIYASPRGDLFGVAWDVVVLCGAALLASLVFFQQRLGAGALSLPWQRRRSGGYEMVSRI >SECCE3Rv1G0166930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:194704555:194705253:-1 gene:SECCE3Rv1G0166930 transcript:SECCE3Rv1G0166930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQSKSTPPQSVTASRLPPKKEEQELRRAYSEMAGNMEKLVIVSSSSGSNPCPDDGADVSEVETVRCACCAVAEECTAAYVGGVRAAFCGDWLCGLCGEAVKERMVRDPSGGVEAALVSHEAECRDFNVTRLNPTLSLAGSMRGIARKSFDRRKTTTSTSCQDRHLRTAASRAVALARSVSCDPRFLADVINGPSGEQSR >SECCE5Rv1G0341460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:624588683:624589780:-1 gene:SECCE5Rv1G0341460 transcript:SECCE5Rv1G0341460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGTGGSGRDSSASPPGVHPSDASTFLYATRGGGFQLWGQPQDQQQLTHPFYAPNLIRFATDDLPAGAAHSLAGAASSSSSRGARAAALAGTGGGTSCQDCGNQAKKDCQHQRCRTCCKSRGFACSTHVKSTWVPASKRRERQQQLTALAASAAATTGGAGPSRDLTKRPRARLAATTPTTSSGDQQMVTVAERFPREVSSEAVFRCVRLGPVDQAEAELAYQTTVSIGGHVFKGILHDVGPSNAHAQLQAAAGGSGGGGGDYQFRLTGDVSPPSTGAEAGDAGGGNNHNIVVSSAVVMDPYPTPGLYGSFPATTPFFHGHPYQRQ >SECCE5Rv1G0326840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:486849840:486850556:1 gene:SECCE5Rv1G0326840 transcript:SECCE5Rv1G0326840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPADLKVKGEAPVVLEDAVEKKVAGVAVAETEPAADGSRRRSLSDLLKENDESDVEAPEAEKKAEEPAAATAGENGATEEQLAVEPSVATTEQNHTAEILEPETAQDPQTHAQVVEEEKRVDPDSVQVAVVVSAESAEESKVVPDASA >SECCE3Rv1G0198600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:833861915:833865530:-1 gene:SECCE3Rv1G0198600 transcript:SECCE3Rv1G0198600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASGSPDPSSSSSVAHRRRLADVERPDDGDGCGRHRGVRALFAARRSYGKRASSVVDQAWVRNAVASLLGLLVLLGLLGSHRAAGGDGDGGRLLRRVDGEALGWREENLTALARRSPDTPIPKIWTMPASEGYSKCIERPKNHHRTNNATAGYIMVDANGGLNQMRMGISDMVAVAKIMNATLVIPTLDHRSFWTDPSDFKDIFDVEHFKKTLEDDIVIVDSLPPAYRRSKLYTRAPSSWARASYYRAFARTLKKVKVVKFTHTDSRIVNNGLAPHIQQLRCRTNYEALKHKKEIEDLGNTLVDRLRNGSNHYIALHLRYEKDMLSFTGCSHNLTHQEAEELREMRLKVRHWKEKEINSKERRLQGGCPMTPREAALFLKAMGYPSTTNIYIVAGEIYGGHSMDELKAAYPNVYTHYNLATADELEPLKLYQNRLAAVDYNVALQSDVFVYTYDGNMAKAVQGHRRFEGFRTTINPDRQKLVELIDKLDEGTITWNDFQSKVKTHHEDRLGGPYQRLSGQSPRQEEYFYANPLPGCLCKKPQRI >SECCE4Rv1G0273640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:778491051:778492828:-1 gene:SECCE4Rv1G0273640 transcript:SECCE4Rv1G0273640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECNRCSTPTPRRLGTAVQNNLASLRPPVKPIILCFVAKTISTQSNREDRGIMQEAPMEACQIARLPEELLSVALSRTSPRDACRAAVVSPAFRAAADSDAVWACFLPPLDELPPLADGDGEALPPRRKKDLFLRLSGSHVLLPGGLMSMWLDRETGAKCYMVSARALSIAWGDTPRYWNWIPHSDSRFCETAVLRLVCWLDIPGKIDSKMLSKGSVYAAHIVYKLADTSYGLDSPVQEASISIGGTNLTRKVCLQPNPQRSMSENRRAEDVVLPRQRGDGWMELELGEFTCDGDEDGDVSFGVSETKALNGKSGLAVQGIEIRHKKSG >SECCE3Rv1G0205620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900787159:900788124:-1 gene:SECCE3Rv1G0205620 transcript:SECCE3Rv1G0205620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARYSLLLTAALVLALSYGTHGHASTGTGLSTSFYDESCPSARDIVRRVIQNARVAHARIPASLIRLHFHDCFVQGCDGSLLLDNDLPAIMTEKEVPANNRSARGFDVVDGIKHELENACPGIVSCADILALASEISVELAGGPRWSVPLGRRDGTSTNVESANNLPSPFDSLQTLQEKFRNVGLDDTDLVALQGAHTFGRVQCQFTQQNCSAGQDRETLVNLDTITPNVFDNKYYANLLHGRAPLPSDQVMLSDPVAAATTAPIVQRFSDSQKDFFNNFAASMVKMGNISPLTGRAGEIRNNCRRVNRKPY >SECCE3Rv1G0209710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:940082673:940084138:1 gene:SECCE3Rv1G0209710 transcript:SECCE3Rv1G0209710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKAAARERREERRREVTLLRAVPYEPHQRWWDGLAPARAVAVVTGASRGIGYEISRQLARHGLHVVLASRDAARGRDAAERILCEEGTSAEWRQLDVADAASVEAFAAWTARTHRGIHVLINNAGVNFNRGADNSVEFAEQVIQTNYFGTKRMIEAMLPLLKPSPYGCRIVNVSSRLGRANGRRNKIGDASLREQLLSDDCLSEVLIDGMVTKFLEQVKQNSWSCNEWPQMYTDYSVSKLAVNVYTRLMAKRLADRSEGEKIYINCFCPGWVKTAMTDWEGNISAEEGADTGVWLALLPHEQATIGKFYAERREISF >SECCE4Rv1G0289580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:866537323:866538402:1 gene:SECCE4Rv1G0289580 transcript:SECCE4Rv1G0289580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIAIILLMAVVLAAAMMVASSMDITDRDLTSEESLWALYERWCGHHNVRRDLGDKAMRFSVFKENARMIHKFNQGDAPYKLSLNLFGDMTDEEIDHMHGCCSDLVSDGGKRRQGRFTQGAVAARNDFPMFVDWRMTGYDQRPSAVTNVKIQRGCGACWAFAAAAAVEGINSIRTRSLKSLSVQQLIDCDKRSVGCRNGRVATAFKYIIDNGGIATEADYPYIAGEHGYCLVPKRKNPAVTIDGFKWVPDDDEVALMQAVAAQPVVVVVDSKSFRRYGGGVFVGPCGMNKTHAMTVVGYGTTDEHDPKKRIDYWIIKNSWGEQWGENGYIRMARDAGPTNKGLCGILVQALYPVKHK >SECCE3Rv1G0191910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:752352543:752353019:-1 gene:SECCE3Rv1G0191910 transcript:SECCE3Rv1G0191910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Photosystem II reaction center W protein (PSII 6.1 kDa protein) (Fragment) [Source: Projected from Oryza sativa (Os01g0773700)] MATISAAAATTVVARAAITRPQALGLPQLRTRSERVRCSYSKDAKEVTPAAAVRGAGASLLAAAGAVTASAGPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSGLDEDEESGGLSL >SECCE4Rv1G0250300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:590925316:590926647:-1 gene:SECCE4Rv1G0250300 transcript:SECCE4Rv1G0250300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPMSRATRLVPELPLLRRGRRPQVADDGELAVPAHFRCPISLELMKDPVTAPTGITYDRESVEGWLARGRGTCPVTGGPVRLADLVPNHATRRMIQDWCVANQAERVPTPKVPVAEADAAEVLAAVSAAARRGNAAGCGLLAARARAIGKESDRNRRCLAAAGAARQLSSAFQSLAGEPVDGTSAAVMSALGKILAALTVFFPLDDDARRCIASPASLKTLVSVLSHGDLAARASAAIVLRELASSADRHTVDIISRTPGVCSALVGLVRNPVSPQATKAALVTAYYLVSGSDRAAARFAELGAVPVVAELLVDADKGTSEKALAVLDGVLCADAGLESARAHALVVPVLVKKMFRVSDMATEFAVSALWRLCRAADAGAGACSAEALRVGAFQKLLLLLQVGCGGVTKDRASELLKLLNGFRGSVECIETVDFRGLKRPF >SECCE7Rv1G0508720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:773721529:773722383:-1 gene:SECCE7Rv1G0508720 transcript:SECCE7Rv1G0508720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTSSPLRRWKRFFGAFHTVDAAIEAADPDMCRDELRRARGDVFEGLCNTADDGKAAKLCGVLDGLMAESLETLRLTPVTPKVLATTDLAKAVRALQKHESERVRVLARGIVSGWRASAMDDAAGEALHQPDNFNAPQSKETVEQQHVWATTTERPSSIEIDQQHASADLDSKKKKTVEISSKASGLAVGINAEKPKEVAVHPHVNVSADPDAKAMEAAKRKLHERYQQASDAKRQRRVQLVEAPEMVKQRRERSLAGCASSMLKKTFSVGHQFTTRQLHRV >SECCE1Rv1G0037550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:526211450:526212906:-1 gene:SECCE1Rv1G0037550 transcript:SECCE1Rv1G0037550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSSPTKIRKSIVDEDFISVLPEPLKDKILCCLPIKEAVGTCLLSRSWRYTWASMTELMFRRADFASGNGSADDDTCRFLKFTDMFLFLHNGPILKFGLNTIANEMVSTGGHLYRWMLMLSRNKIKEIQLQTSMRDSYNIPSSFFSCDELENVYLRACVLTSLHLRPPSEGFKQLHTLRLEYVTVQGNSLGDLVASCPNLEKLNIRGLSSNSNINIRSTKLKMLTIKGQFTHLNLDAPYLTSVWIGLGLKSDTDGASTTRCDFNLSPFIASLSDVETIRFHGQILECVEHEFLILKQPKLFNRLTEISLEINLGNLKEANFALCLFQHAPNLRFVKLKLISRNSVVSTVHFWESIDRDVCLFQNVVVLGLFDFTGSFAELGFLKRLLEDAPVLRLVGIMDKGLDRDVLKNLLKLRRASRNAEILIL >SECCE2Rv1G0106850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:640096399:640100080:1 gene:SECCE2Rv1G0106850 transcript:SECCE2Rv1G0106850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGVGDLVLAAPAILLALLLTLVLSHFLPLLLNPKAPRGSFGWPLVGETLRFLTPHASNTVGSFLEDHCSRYGRVFKSHLFCTPTVVSCDQELNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIERIALHIVGSWHGKGSNITFCEEARKFAFSVIVKQVLGLSPEEPVTAMILEDFLTFMKGLISFPLRIPGTPYAKAVQARERISSTVKGIIEERRKADCCKRDDFLNVLLSTDELSDEEKVSFVLDSLLGGYETTSLMISMVVYFLGQSAQDLDLVKREHQGIRSTKAKEECLSSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPSLHGNAQQFQPCRWEGPSQGTSKKFTPFGGGTRLCPGSELAKVEAAFFLHHLVLNFRWKIDGDDIPMAYPYVEFPRGLPIEIEPICSES >SECCE2Rv1G0082680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:155139235:155146252:-1 gene:SECCE2Rv1G0082680 transcript:SECCE2Rv1G0082680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFARAVEDGLKLSKRLVLPGGMPPPRPPAGMERGPDAAAALLLPAAPMAYAVVSDPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEIDLAVDCSLDTAAVTLRARWWLHCITRSRECDVRLVVPMGEQGSILGAEVTVGRMSYNTQVTEVEDQTMENTMKGILKPHMFCLTIPQVEGGADIVATFRWSQKLHYDNGRFTVDIPFRFPYYVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHSLKEKARQGDKLSFLHEAIVESWSSKDFTFSYSVYSGDLSGGILVQPSTSQDYDDRDTFSIFILPGSGSRKVFKKAVVFVVDTSGSMKGKPLENVKNAVSTALSELVQGDYFNIITFNEELHSFSSCLEKVNEKAIASANDWMNANFVAEGGTDIMHPLNEAMALLSSAHDALPQIFLITDGSVDDEHDICQTVKNELLSRGSKSPRISTFGLGLYCNHYFLRMVASIGKGHFDAALETGSIESRILKWFRKASDTIVTNLSIDATKHLDDFEVDSEYIPDISAQCPLCVSGKYQGKFPETVVATGYLADMTEISIELKVLHIKDMPLDNVFAPQQIALLTAKAWLSADKQLERKVIKLSIENSVLSEYTSMVVLQTNLDAAQKVKQKPKGHKGASEPLRFQLHGLKLGFGDKAATRENLLTGFGDEKPLETLKIFKKAGGCCSRVADCLCCMCCIKACNRMNDQCAILMAQVCAALSCLGCYECCAEVCCGGSES >SECCE4Rv1G0277360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:803175149:803177453:-1 gene:SECCE4Rv1G0277360 transcript:SECCE4Rv1G0277360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAIIGVSALTTLGLSWTAWKLYEREMNSSSSSRTGILKTLAAGASDPVIGRDDEIDRIICILCRRTKNCAALVGAAGVGKTAIVEGLAQRIAAGTVPDALAGARVVELDVGAMVAGTQWRGMFEERLKNAIKKAEDAAGKVILFIDEMHMIVGAGDGDGAVDAANILKPALARGRIRCIGATTSKEYRKYIERDAALERRFQRVDVDEPSVKATVAILQGLKQRYQDHHGLIIQDDALVSAAQLADRYITGRQFPDKAIDLMDEACTSVKLHQSKKVKDKKTSTINAVEEVTVGPHHIAQVVGRWTKIPINTLGREEENFSHIVDRLHERVVGQYEAVNLVAQVVLRSRVGFDQSGQPIGSFLFLGPTGVGKTELAKALAEMLFDNEKTLVHFDMSEYAYSASVSRLVGGPGSYEQEGHLTEKVRSQPYSVVLFDEVDKAHPSIFRVLIQLLDDGTLIDGKGRNVSFKNTIIIMSSNLGAENLSAGMAGENIETARGLLMKQVEKRLKPEFINKLSETVIFEPLSHDELREVVKIQMKSVVAMAANKGISLLATDAALDVIWSESHDTVYGARPIKRWMKKNVTRVLVDMLANGEACQGSIICINATDDKKGLKYQVLK >SECCE6Rv1G0382250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:40188930:40189847:1 gene:SECCE6Rv1G0382250 transcript:SECCE6Rv1G0382250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE6Rv1G0377880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3854006:3856025:-1 gene:SECCE6Rv1G0377880 transcript:SECCE6Rv1G0377880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITAITAYFLGLRRTYRLALRGQRRLIGPNHPRLRDFVYRRTRSIFDVAVSVHKNIQERDLEVGRNVGNAVLRWLDRMKPSAQIRPHPPGPPGGGPEQLRHLSTMNKAAAAQKPASKTSTHDSSGKMLFSPLNIRPKSFPILPTMMQSTRISASNQCRRLSSSPFPSVTARRKDMMEGVFRKDIAQLMV >SECCE4Rv1G0242540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:481999423:482004574:-1 gene:SECCE4Rv1G0242540 transcript:SECCE4Rv1G0242540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHYGTLGVRRDATKAEVKAAFRRCALRDHPDRHAQSGDAGARAEAARRFRQASDAYHFLSDDRRRAEYDLRLRSSSYVRTSSSTWASSSGSHGYGYGHRESSWRRPPPGGGASVGYDWGLLLKAMTRRRFLLNLGFSSVLLSGAAFLDGSILELWNMNNSGKSFEEAMESIEKVKGGKGNR >SECCE5Rv1G0369190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:834082709:834084228:1 gene:SECCE5Rv1G0369190 transcript:SECCE5Rv1G0369190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNSSLAMKGLGASLGMVSILQVCLIIGAAAAGPSKMVRRVPAMYVFGDSTLDVGNNNYLPGPNVPRANTPLNGVDFPGGARATGRFSNGYHVADFIAMRLGLKESPPAYLSLAPRPTALVLSALSTGVSYASAGAGILDSTNAGNNIPLSKQVRYMESTKAAMEARVGKAAARVLLSRSFFLFSVGSNDLSVFAAAPGDVPALYANLISGYSAAITDLYGLGARKFGIINLGLLGCLPVVRAVSATGACNDGLNQLSAGFNGALRSLLAGLAARLPGLHYSLADNYKLSQVTFANPAASGYVNIDSACCGSGRMGAESDCLPNSTTCADHDSFVFWDRGHPSQRAGELSAAAYFDGAAGFTAPISFHRLARKI >SECCE1Rv1G0016650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:140340412:140343855:1 gene:SECCE1Rv1G0016650 transcript:SECCE1Rv1G0016650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKWWAAALVRCCALVMLCVGSAVVALDEQGAALLAWKATLHNGVGALADWKATDASPCRWTGVACNTDGGVTELSLEFVDLLGGVPANLAAAVGGTLTRLVLTGTNLTGPIPPELGALPVLAHLDLSNNALTGSIPAGLCQTGSKLETLYLNSNRLEGAIPDAIGNLTSLRELIVYDNQLGGRIPAAIGRMASLEVLRGGGNKNLHGALPTEIGNCARLTMVGLAETSITGPLPASLGRLKNLTTLAIYTALLSGPIPKELGRCSSLENIYLYENALSGSIPAELGALKKLRNLLLWQNQLVGIIPPELGSCSELAVIDLSLNGLTGHIPASLGKLLSLQELQLSGNKISGTVPPELARCSNLTDLELDNNQITGAIPAELGGLPALRMLYLWANQLTGNIPPELARCTSLESLDLSTNALSGPIPPSLFQLPRLSKLLLINNELSGQLPAEIGNCTSLDRFRASGNHIAGAIPPEIGMLGNLSFLDLGSNRLSGALPTELSGCRNLTFVDLHDNAITGVLPAGLFKELLSLQYLDLSYNAISGALPSDIGLLTSLTKLILSGNRLSGAMPPEIGSCSRLQLLDVGGNSLSGHIPGSIGKIPGLEIALNLSCNSFSGSMPAEFAGLVRLGVLDVSHNQLSGDLQALSALQNLVALNVSFNGFSGRLPETAFFAKLPTSDVEGNQALCLSRCSGDVGDRELEARRVARVAMAVLLTALVVLLVAAVLILFGWRRRGERASEDKGAEMSPPWDVTLYQKLDIGVADVARSLTPANVIGHGWSGAVYRANIPSSGVTVAVKKFQSCDEASVEAFACEISVLPRVRHRNIVRLLGWASNRRTRLLFYDYLPNGTLGGLLHGGATGAAVVEWEVRLAIAVGVAEGLAYLHHDCVPGIIHRDVKADNILLGDRYEACLADFGLARVADDGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEMITGRRTLDPAFGEGQSVVQWVRDHLCRKRDPAEIVDARLQGRPDTQVQEMLQALGIALLCASPRPEDRPTIKDVAALLRGIRHDDGTDTRKAESAERADAKKPISPTKLMAGLTRPVQTQAQVQARASSGSLGLLNNRDG >SECCE7Rv1G0522920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879567707:879567946:1 gene:SECCE7Rv1G0522920 transcript:SECCE7Rv1G0522920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPLFVLAMVMVNSCAVSSRTVPRDHVLHGVVKMAATGEGTAIDNHHAIPRTEYSSWSSPGNMPGSGHDIGSEEAKP >SECCE7Rv1G0481470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:284173270:284177532:-1 gene:SECCE7Rv1G0481470 transcript:SECCE7Rv1G0481470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MAMRLFSSSPPPPPLPGPATTKTSSAAALFFLRLRRGRPAAAAGGGPERDVEGPFDRRMEEIAKKVPLFEPAMGEPAATADRPLPINLELWLHRAKVHTRKYEFAEAEKLLNKCMLYWPEDGRPYVALGKLYSKQSRFDKARAAYERGCQATQGENPYIWQCWAVLESKGGSIRRARELFDAATVADAKHIAAWHGWAILEIKQGNIKKARNLLAKGLKYCGGNEYIYQTLALLEARAERFEQARTLFQQATQCNPKSCASWLSWAQVEMRAENNAIARKLFEKAVQASPKNRFSWHVWALFEANQGNTDKARKLLKIGHAVNPRDPVILQSLALLEYNCASPNVARVLFRKASQIDPRHQPVWIAWGWMEWKEGNERTARSLYQRALSVNSTNECAARCLQAWGVLEQRVGNYTAARRLLRSSLNINSQSEVTWLTWAALEEEQGDPVRAEEIRDLYFQQRIEVVDDASWVMGFLDIIDPALDSVKKLLNMDRPSGQVTQQGSTTTTMSTSAGVQPSASTTAEGSSTSDLDSGTAEGSSTPNLDSIVDRRAAYTREAESDFDVDGFIRRRLALDPAELDAVLEGSDPKGVISQRRTRRLTRKPLPLLPVP >SECCE7Rv1G0523070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:880161381:880162775:1 gene:SECCE7Rv1G0523070 transcript:SECCE7Rv1G0523070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSVARIMSLGKLAIQQTNMCSLIFRVLPKLLGFTPSSLKKFCKAKYVELQLTKTTLPELPPDILMGIFATLEIPDLVRAGSVCSSWRSAYTSLRSLGQYNLQQTPCLLYTSKSTGESVACLYSLAEKRSYKLTLPGPPIRTRCLIGSSHGWLVTVDDRSEMHLVNPITCEQIALPSVITIKQVNPIVDEYGALHKYEFSRHTGIRGGYSSPSIFALDKLRHELHYKAFVFPDTSTGSYIVVLIHNPVRRLSFARLGDDKWTWLPPYDDYSDCTYKDGLLYAACTYKGEVHTFDLSGPVVTRKTVISTPRKYDCEYMYIVQAPWGGLLVIWRIFEDHNVEPEPGSSVFWNTTQYRIYEFDAAGSKLKEINCLRDHVLFLGHNQSLCLGAEEYPSLRANHAYFTDDNSLWACGLKNNHRDMGVLNLDDNSKKDLVSPQLWSNFPAPMWITPDLRKMNLASEGD >SECCE6Rv1G0386640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:98796007:98808943:1 gene:SECCE6Rv1G0386640 transcript:SECCE6Rv1G0386640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGVGVQAPEASPGRYVRRVDEVPPDDDGCDDVLGVGGRGPGGGDDPFDIPAKRAPVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKQNGDRELPEILPRGFGIGEDQLTSMTRDHDYSALQEYGGVKGLTNLLKTNPEKGIHGDEADLSCRANAFGANRYPRKKGKNFWVFLWEACQDLTLVILIVAAAISLVLGIATEGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIQVSIFDIVVGDVVALKIGDQVPADGILISGHSLAIDESSMTGESKIVLKDQKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLVVAAMVLVVLFARYFTGHTTNPDGTVQFVKGRTGVKSTIFGVIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSIVGAIELQPQATIDKLSPTVTSLVLEAIAQNTSGSVFEPEDGSTVEVTGSPTEKAILSWGLELHMKFAVERSKSAIIHVSPFNSEKKRGGVAVIGRDSDVHVHWKGAAEIVLALCTNWLDVDGSTHEMTPDKANHFRKYIEDMAEQSLRCVAFAYRNLDPKDIPSEELIINWELPDNDLTLIGIVGMKDPCRPGVRDAVELCTNSGVKVRMVTGDNLQTARAIALECGILTDPQASAPVIIEGKVFRGYSDAEREAVADKISVMGRSSPNDKLLLVKALKKNGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRTPVGRKEPLVTNIMWRNLFIQAVYQVSVLLTLNFRGRDLLHLTQDTLEHSSKVKNSFIFNTFVLCQVFNEFNARKPEELNIFEGVSRNHLFLAVVSVTVVLQVIIIEFLGKFTSTVRLSWQLWLVSLAIAFVSWPLALVGKFIPVPQTPLKNLILKCCPKWKKQGDEGAAPPV >SECCE4Rv1G0222080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:54276625:54278532:1 gene:SECCE4Rv1G0222080 transcript:SECCE4Rv1G0222080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIEL1 [Source:Projected from Arabidopsis thaliana (AT5G18650) UniProtKB/TrEMBL;Acc:A0A178UJV2] MDMELDAARHGFAKMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESTKDGHELDRHTVESVICLVCDAEQPVAQVCNNCGVCMGEYFCRACKFFDDDVDKEQYHCKDCGICRVGGKDNFFHCHKCGSCYSVTLRDKHVCIEDAMKNNCPICYEYLFDSLREASVLRCGHTMHLHCFHEMLKHDKFTCPMCSVSIFDMEKFLRALDAEIEATFLHMGKGWIACNDCWDTTRVYPGMAGQRKCCHCQSYNTCRVAPSVLPA >SECCE4Rv1G0223480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:70409542:70410364:-1 gene:SECCE4Rv1G0223480 transcript:SECCE4Rv1G0223480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDNVNNQGCDGGLMDYAFQFIQKNGITTESSYPYQGQQGSCDQAKEKAHTVTIDGYEDVPANDESALQKAVAGQPVSVAIDASGNDFQFYSEGVFTGECSTDLDHGVAAVGYGTTRDGTKYWIVKNSWGEDWGEKGYIRMQRGVSQAEGQCGIAMQASYPTKSAPHTDEL >SECCE2Rv1G0064410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:3756033:3756641:-1 gene:SECCE2Rv1G0064410 transcript:SECCE2Rv1G0064410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVAEISELAALRPIHTAVSGARRATEVPAADDDAADTGCVTPKASGARLAAAGGADDDDDAAADTGCVTPRSSGCMAMLPIAPLQQDDGVDVGFATPLATGGEIGPRDGCGAGATAGDEGSFTTPTTADSALVPATVCPPAPRKSAPAPTRKRAPLQQRLFFYPVPHDLTTVFVAVPPCPPPAKKMRAHVVESSVPLGT >SECCE6Rv1G0447430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850579745:850581253:-1 gene:SECCE6Rv1G0447430 transcript:SECCE6Rv1G0447430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWDKQIKSLVDMGFPEDEANIALKRCGLDTPMHVLVDSIYASQHDHEVMDENRFSSCVGRKIARFTEDSKKRKRYGGGAHGSQPPWDGGHEESISLPKPMVGFGLPGDRSRSVSRWLPSRTRRAPFFYYENVAVAIKGVWAEISRSLYGIKPEFVDSKYFCAALRKRGYIHNLPTEGRSVLRPIPPKTIFEAFPHYEMWWPSWDQRRQLNCVQTWMPSAKLTEQIHHALANSSDPPTQAVQKYVLEECRKWNLIWIGKNKVAPLDSTEIEFLLGYPRDHTRGAIKKKRDKCLGNSFQVDTVAFHLSVLKDRFPCGMNVLSLFSGIGGAEVALHKLGIRMKTVVSVEICEESRNILRTWWDQTQNGSLIEFRDVQSLTQENIASLIRQIGGFDLVIGGSPYLAASNRHHCVTLENDPSMLFHDYVRILNSVKSIMANVG >SECCE3Rv1G0190220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:736166314:736169456:1 gene:SECCE3Rv1G0190220 transcript:SECCE3Rv1G0190220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKPEEISHPAMEQLQGFEYCIDSNPPWGEAIILGFQHYILALGTAVMIPAVLVPMMGGNDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVVPVMAIVQDSSLAAIPDDHERFLQSMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPLGMAPVVALLGFGLFERGFPVVGRCVEVGLPMLILFVVLSQYLKNVQIREIPILERFSLFICIALVWAYAQILTSGGAYNHSTEVTQINCRTDRANLISSAPWIKIPYPLQWGAPTFSAGQSFGMVSAVLVSLIESTASYSAAARLASATPPPAHILSRGIGWQGIGILLCGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQICAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYSMAAQRGPAHTKAGWFNDYINTIFSSPPTVGLMVAVFLDNTLEVKDAGRDRGMPWWVPFRSFKGDSRNEEFYSLPFNLNRFFPPS >SECCE7Rv1G0525060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:888373622:888378799:1 gene:SECCE7Rv1G0525060 transcript:SECCE7Rv1G0525060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRPEPCPVAADPSPPPPPTPEELVARGVAPVKPAFLRPAPVRDAPGEDGRASGAVPLEKKSKRQFRRDRKQEQESVLRLCVAVAKSGNVDACKFGASCRFTHDIDAYLAQKPADLEGTCPFTVLGQPCPYGLTCRFSGTHKDNHAPSESHEINTLSKDVQKLLWKTKYKFPRASEQIKHLGLKEVIKIKASALAEDQSADHDNPDVPCELNGNDKTESSCNTPVNVERDSSLCEELDKLGGEPLDGDSVARAAKKSKIEVVEINKEGAGTDDTKPEPEDPNLVNELEVPANIPSSCRVDLVATPHLRERKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLMQGQASEWALLRRHSSEDLFGVQICGAFPDTLARTIELVDNECSFDFVDINMGCPIDLVVNKGAGSSLLNKPMRIKSIVQASSVVTKRPLTVKVRTAFFEGRNRADSLVSDIYDWGASAITIHGRSRQQRYSKNADWDYINQCAQKAPDDLHVIGNGDIFSFTDWNRHVSGSSKISTCMIARGALVKPWIFTEIKEQRDWDITSGERLNILKDFTRFGLEHWGSDTKGVETTRHFLLEWLSYTCRYIPVGLLDVVPQQLNWRPPSYCGRDDLETLMASDSAADWVRISELLLGKVPEGFTFAPKHKSNSYDRAENG >SECCE1Rv1G0033940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:474099937:474101872:1 gene:SECCE1Rv1G0033940 transcript:SECCE1Rv1G0033940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQISSMLQGLARSMSLGKERKADEEPQGTVLRSSGTLWGEGSETFAAACSRRGEKGTNQDTSIVWEGYGCQDDTIFCGVFDGHGQWGHYVAKAVRESLPQSLLCRWQEAVALTSLIDGEKRLGDCQFDLLKQSYLAAAAAVDEELRRSRRLDAVNSGCTALSIVKQGDLMVVANVGDSRAVLATTSDDGDVMAVQLTVDFKPDLPQEKARIVQCKGRVHCHDDEPGVHRVWLPGREAPGLAMSRAFGDYCVKDYGVISAPEVTQRRITARDQFVILATDGVWDVLSNEEAVRIVAATPDREKAAKRLVECAVRGWRRKRRGIAVDDCSAVCLFFHSPAP >SECCE3Rv1G0145730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8240699:8244250:-1 gene:SECCE3Rv1G0145730 transcript:SECCE3Rv1G0145730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSSSRRLCVPSVLLVCLCMMLCRVQGGSSRKLYIVYLGDVKHSHPDHVVASHHDMLTSLLGSKEESWASVVYNYKHGFSGFAAMITREQAKQLAEFPEVISVERSKTHTATTTRSWDFLGVNYQTPASGLLHGSNYGEDCVQKNYGDDVIIGVVDTGIWPESRSFRDEGYGPIPSRWKGKCQLGPDWGINNCSRKIIGARFYSAGLTDEILKTESLSPRDHNGHGTHCASTAAGSAVEAASFHGLAKGVARGGAPRARIAVYKSLWGARMSGNTADVLAAIDDAIYDGVDVLSLSLTGGENSFGALHAVQKGITVVYAGGNDGPRPQTIRNTSPWVITVAASKIDRSFPTVITLGNKQQILGQSIYVKNSSRSNFTDLIYQIDCTAEGLNGTDVQGKILLCSPIQPGDQTAIAPKFIFAQAGQYVLNGGGSGLIFSQYTTDILPNCNGLACVMVDLDTGVKIFEYIYATSSPVAKIEPAHTVTGKEIPGAKVASFSSRGPSRDYADIIKPDIAAPGANILAAVGDSYKIMSGTSMAAPHVSGIVALLKAEHPDWSPAAIKSAIITTARVTDKRGMPILAEGVPRKTADPFDYGGGNINPIGAADPGLVYDIDPRDYNRFFGCTVVRRENVSCDATMLPAYHLNLPSLAVPELRRPVTVLRTVTNVGEADSVYHAEVQSPTGVMMEVEPMVLVFNATNKVNSFKVMLSPMWRLQGDYTFGSITWRKDQKTVRIPVAARMTIQDFYADVA >SECCE5Rv1G0359790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:765268527:765269231:-1 gene:SECCE5Rv1G0359790 transcript:SECCE5Rv1G0359790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTASWSRYGAVPAPTPPPPPPRPDANGDFEAPSSSSPASPPAATAAEAGVAFFSRAGASAAAAAAVGRPRAWREVLDHTAFSRPETCGEARARARRNLAYFRANYALAALVLVFLGLVYRPRSMLAFLALFVAWLALYFGRGGDAGPLVCLGRDVDDRVVLAVLSAATVLAVALTRAGLNLLVSLVLASALIGVHAAFRMNVYLDERDAFDADAAVSSFTGSTYGYSTLPR >SECCE6Rv1G0449780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:864060584:864061966:-1 gene:SECCE6Rv1G0449780 transcript:SECCE6Rv1G0449780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGWKTEGSSSSPELLKKFPFQLLEEITKNFSRIFCKDPFGTVYKGTLPDSDKEIAVKKLEQSAEIPPDDFENKVQSVMELKHENIVELIGCCNETLQNTTERLLCYDFDPNESLQQHLFGPKATDGSNSADPSTNWDTCFKIIKGVCQGLLYLHKLDNPITHMDLNLNNIWLDRTMVPKIANLGLPRIFSEDQIKDYTKELHRYMAPEYLNSTGKSVPIDIYSLGVMMIQITTREEKVNNPDNASRKYIDSIRKKWTAEHIASEYSSLDSERLHQVHICIKTGLECVQIDQKNRPSIDEIVDRLNTI >SECCE1Rv1G0005430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:25883845:25884357:-1 gene:SECCE1Rv1G0005430 transcript:SECCE1Rv1G0005430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSEEKVELVNPQVQKDTSPGSNGESAENPAGSKTEALVKKKKKKKVLIQVPDSDVKRVLSYKEKPINTEVDDFWVRNDPELAANWGIMLANLAMFQEHTKGRMLEEQRDYKHQLKTKGRVTYELEVDEDDPRFKDAESTTSAGAGRRRYRPGVMKKQDGHTRKLN >SECCE4Rv1G0274800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:787998715:787999817:1 gene:SECCE4Rv1G0274800 transcript:SECCE4Rv1G0274800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKKGGRNYVTSTDEMDEAIGDRAQNGWKPHVYTAVVNNVRAKCNVDITKENVISSGFGWDWTHNKLMVDSEDVWSNYVANKDAACYKHKVIKFWDSISLVFSKDHATGTGARTATESAAEMAAENVNNINIESAATSSTQTGEEQKRKRYRSDDSIASMLGEKLDNFTSAYKADIAQVVPPEKPSSPKEILDAINAIAGLDDDDLLAAYDILIADDCKFKALMALPERMKKKWILKQINQ >SECCE4Rv1G0244960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:523499933:523502519:1 gene:SECCE4Rv1G0244960 transcript:SECCE4Rv1G0244960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKFLNKKGWHTGSLRNVEKVWVAEQKEKEEQHKIEEYKKQLKEEREKAEFRAIQEQAGFKPRQERLEFLYESGLGVGKGSSDGFQALQQPGPAAASSSAPTTAGSSKETSLGALFEEKPQSANDTWRKLHSDPLLLIRQREQDAIARIKNNPIKMAEIKKSMEAEKMQKEEKKEKRKHKKHRHHKSKSKRHHSAENSDSDDVSDGKDEGRKKVPSAPEHKREVKRSRHQKKESRQESSDTEDDEPRKRRQEISEDDQPKRGRQDKSEDAERRRRQEMSPDDEPRRRRQDTPEDDEPRRRRRDTPEDDEPRRRRRDTPEDDEPRRRRRDMPEDDEPRRRRRDTPEDDEPRRRRRDMPEDDEPRRRRTEMSKHDEHPRRDRPDADDRRRHDSGSDRHHAYPKHDSSDSKQRGIGNGRNNGNSISEHRSHSEVASGDQRRQESRQGRELGSEDRGRQESQQGRNNGPTTNRRRGGVHHMSEEEREARLRQMQADAEVHEEQRWKRIKKAADDDAKEASTVAANQFRGKNFLEDEKKSIFGADKGGSATIEESIRRRAYYSQGGGDAHEANAFRR >SECCE5Rv1G0301060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29062825:29063508:1 gene:SECCE5Rv1G0301060 transcript:SECCE5Rv1G0301060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQEKAGSALDGKGETPHLLPVSVSVIVAKKATASRPTLFPDAVRLVSIWALFTPCFFFGISALASAIGYVLYHCGLQCVLDDDDWIHTMYCAAPQAAPAALALLLPCRHRRVRRVLACLALAVTTVGQYIILTGAVRTALALNPEAEPYLMYLFAMVSCTLGTIFFASGDLMSFLALLLAPGGED >SECCE2Rv1G0123930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828670001:828674373:1 gene:SECCE2Rv1G0123930 transcript:SECCE2Rv1G0123930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRVRDAHLGEGDLSPSPEAARVLREMFLRDLQEAEGPDLPEEQLRSNDQLQQDEILALEAIYGDNLDVFGEKSVPRSFQIHVHCEIPDGISVSTELQSVDDYPDNQFTFSVKHLAPISLTCLMPPSYPSHHPPYFSLAVQWLDSAKVSTLCHMLDSIWAQQSGQEVIFEWVQWLQSSTLSHLGFDGGIIIRNSDSTMAPVDARVVGEILSVEDVVQRLISYDEEQCQESFLRGLHVCTICFSEHPGIDFVELPCRHYYCRRCMDTYSKMHVKEGSVLKLVCPDDKCGCFIPPKLLKKLLGDAGFERWERLVFQKTLDSRADVAYCPRCETACLADEESAQCPNCLFTFCVRCRNRRHVGERCMTPEEKLISVQEREKAHNLSKGNAGRKVSASDIFSIKEILRSSVMCPHCGFAISRVSGCDQMLCRNCEKSFCYACGKASSQGHTSEQCKIDREKLRVKVEVNDVVINMQKELKLGLSRAHPCPGCRQPNFKVGNNNHIFCETCRVHYCALCHTVVRKSTEHYGPRGCKQHTVDPDFV >SECCEUnv1G0532560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:21342139:21355459:1 gene:SECCEUnv1G0532560 transcript:SECCEUnv1G0532560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLGAARRTPARASASEAGNDENAPGDGSDAALVGGAESDTAASRPPLLAIQPQASGLKRKPESPAPTPSKLPFRTPEKAAARSRFGWAPPRAEDLPPRTGATTMTTPRAHRGKAVPSASSEGGSTHSTPTKSVSKPAYSVALSGPRPVTSGGARGPGSGLGCSTAARGAPVSFGPPTVVSSVEVPQFELREDPSFWMDNNVQVVIRVRPLNNSEKTLHGYNRCLKQESAQTITWIGQPETRFTFDHVACEGVNQEVLFRVAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSPERGMTPRIFEFLFARIRAEEESRRDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLALREDIRNGVYVENLTELEVGCVNDIIKLLMQGSMNRKVAATNMNRESSRSHSVFTCIIESTWVKDSTTNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMSLVDLTNGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSLCSGNETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLKEELAVLKRHHVTRSLPFSTNICGRSRGDVDDVSDHMSVDEENNNDTQSIKSLEDLKISNKQMRSLEETLAGALRRESMAESTIKQLEAEIEQLNRLVSQREEDTRCAKMTLKFREDKINRMEALVHNKLPAESYLLEDNKTLSREIELLRAKVDKNPEVTRFALENIRLSNQLKRYQQFCNEGEREVLLDEVSNIRNQVVPMLEGMMLTEQQNKLSSKFEDTEHRSTLPSEPETLPKELKRACQELEACRSELQVCLESNKKLTREIADLQKELSTIKMTKREECQCEYVSNARAKMEDCCDEAFMDNTEDILNLQLELDILKTILAEERTVRGEVEERTTTLSDELKAANFRILQACKQSDAIESELNDARSVIEALESQQILLINELDELKKNNQKSFEILKKRGREISRLNTEIDNHRRQGLVASGEPKMQLLKCIENEDSPLQRKLKRMQASLEKANDLNTRHQRDQASDSSAEQEMDEVRRQVEVETTEVIMCLQEELMSLQQQLDASNKNELLAKQSLDELQLERKELNDRLFEVMKENESLSELTKEKEKKIQLLTSGQDSLQEDLISLQQQLDASNKNELLVKQSLAELQLERKQVNDRLLEVMEENERFAELIEGKEKKIQLLTNDWESLREELSSLQQQLDASNKYELLAKQSLDELQLERKELNDRLLEVMKENESFSQLIEEKENKIQLLTNDWESLQEEFLSLQQQFDASNKNELLANQSLDELQLERKQLNDRLLEVMKENESFSALTEEKEKEIQLLTHDWDRLAADIGSFLVEGNASLDEASDQVAFISESFSQRRWVEDQVQKMCQGISDREKLLEELQSRLKEADDIKCDLDLKLRSLRGAMEAINEMHQHERNDQEKAIALLRSQVSEQGQVNQRLELLLDESIGTFVHKEVLEQNYVSSLRGMEEEIHQLKTQLDQSKIHIAQSLSQIKDKEQTFEKLKNEENTILLRMSSDVLKAKGIIHEFGVGFNTLESSFSVDPEEVVCQNSDLNLEDRDELKTFGALEAGEQCNADALCQLSKEMESAVYKLQTLQSQMAKLLQEKENVKECLLQSRSTVQDLNSEVLQLKSQMIDQQTLYEARVEELEIKMQGKDNDAATSLVSWHKEIEALESELSETKVLAQQKSFEAFTLIAKFQDAQATIADADSTVKALVEANENAKLQAEKYKQKESSYIVERNDLLNEVSSLKMMLDVKGQNYLDMEKKFESSLLEANEVALELEDGIRCLKKLLSENLEFVSSDVKWMKSKLRQFTELARTWLEENWLEIIGKDCAVSVLHLCHMGILLERITGLHAENGFLQRGICESNSLISTLRQHNDKAKNELEMCSVLKGKLLLDINHSFGRVAKKEQEATELSSRLDSFGKKILHLQAQEEAMLARSDSIYNELSVLTKEIDATNRSSLAAESEEKEELHNQLDEALFLNGMLKDTMLEVLSLPEVNSAIPAKDMKGCNEFELCSWFVNYHHDSIMINAIASDIVFIVLASKVEQEKIQLQTQNLMFTEVLEGLKTEATMWKVDQDLGSVAIYALHEENSYTRIDLENLKRNKDEVMESLLATREENSKLQYVVDSLESNIKSLQTDLDGKAKALMELQCSHAVLCKELELKAEVIELGISRENALRSENDLLKHEMLDILCKEQRVFNLVSNIDMEKLSFSFQAYLDQINTEVQKHIDEQLTTVMKFSNDLNLVQLSVEELSTHNSFLESELARKDELAKGLSFDLSLLQESASVAKDQSDQLIELTEAITSLEHEVASKSHDLDNLVSGSQLLEAQVMKSNENILVLEEQLVSTVGELNAVSMENTELRSQLNHIEQISYSMKEELAHKSNATERMEEELIELRNLLDERNSFLQNLQNNFSKLSDEKQYCDSQVLLLREKLEMAQAVAEESEAIATEARQIADERKTYAEEKDEEVKLLERSIEELESTIFALENQVGNIKDEAERQRIQREELEVELQRVRHQMSSVPSSGKVKSFGEDGMVDSTDSFRHSRETHTELLSAQESIKILQKEVAEKESEIAQCKSHISELNLHAEAAAREYKQKFMELEAMAHQVNTDNPSTNACSMRPEKISLKPRGSGSPFKCIGLGFVQQVNSEKDEELSAAKQRIVELEGIAASRQREIFMLNAKLATTESMTHDVIRDMLGVKMNMTTWATLVDKQQKMSTKESVAYQTEESKESNELMKLKQQLDEFIEERQSWIDEINQRQSELGAARITVEQLRQKEHFMVAEVDLLKAENANYKTIIFNLEDEVKKLTRQQNLQLRINHHVKTKEENILLKKQNEELSAKLQQLGAIVTRTKEKLARYMVSDGKDPHEQIEEEELLRKKLEESEQDRSKLAENLSSLCSSVLKVAGVRNHESETSLLKAMEALNQLQCCISSLESEVEDLRIKCKLLREKARLTSLRSDSSSASSGANESSRSPSVCRSPSISSFR >SECCE5Rv1G0337200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:589837509:589840199:1 gene:SECCE5Rv1G0337200 transcript:SECCE5Rv1G0337200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMALNRLMSKQREQKQKRNRGRIEANNGWIASFSKRNRSPCRQDVNSHGGKKVRYPMPDLPEDIWRHIHFLLPMQDAARAACLSRSFQSSWKCYPNLTFTNETMCSKENSCKRTTGSNVIRDYNNKIDRVLRNHSGAGVKKLRLEYYVIPCDAEPYHHLTSWLQIAVTPGIEQLHLTLSPRDAMFNFPCMLLSERCQGSMQYLHLSNLDLHPTFQLGLRTLRTLSLCNVHITGDELGCLLTHSLALAHLRLQYCHDIVRLEIPCLLQRLRFLEVLECSLQVLEINAPNIFRLRLLDFSVELLLGKSWKMKKLMLDNSCVISYAIDNLPSCAPKVEILTIRSSYEIHNAPVASSKFRHLKILNIYLAGLHSHRDYDYLSLVSFFDASPLLEKFILLVSRVQSKYDWFEGDPSSLRQMSEHRHRNLKNVKISSFCPQKSMLELARHILENATSLECLTLDTTPISHRCSDDIFGRNCPPVDTACIRDAHKSNLAVRKYIEGKVNSTVKLNLLGPCSRCYAL >SECCE7Rv1G0465060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:66393641:66394816:-1 gene:SECCE7Rv1G0465060 transcript:SECCE7Rv1G0465060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITASASPAMQEAAKTPSTSPPRDMAAPTASPARYRPSLLVIFSACLVLIGAGGPLLLRVYFVHGGQRLWLSALLQISGWPLLLPPLCVSLFRGRRHGITNLLLPLRLVGAAAVLGSLYAVSCFVYAMGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVMLLIIGPAVLGVGPGSGKPAGEPSKTYWTGFCEGIAAAALAGLVLPLVEVAMERYGRRKGPAARTPPPYSTVMQMQAVMGAAGTMVCLLGMAIKSDFGALRSEAAAFGLGETNYYLVLVWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSEILAVLFLHEKFDGPKGIALVLSLWGFASYMYGERVQQKKAEAQRSELLQQQAARKTGDLELAAP >SECCE3Rv1G0149950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:29933143:29935460:1 gene:SECCE3Rv1G0149950 transcript:SECCE3Rv1G0149950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLSMVALLLVLLVLASSAFAQDGVLLGRKGRVIEEPAENAPGAPGKYTVIFDAGSTGTRVHVFRFDKKMDLVKIGDDIEVFARVQPGLSSYAGRPQEAAKSMLPLLGKAKSVVPGSLMKTTPLKLGATAGLRLIGDEQAEGILQAVRDVVHTESKFQYNPKWINVLEGSQEGSYIWVALNYLLDKLGGDYSKTVGVIDLGGGSVQMAYAVSGDAAANAPAVPDGKDPYITKEYLKGKDYNVYVHSYLYYGGLAARVEILKAKKGPFSSCMLRGFSGKYTYNGEDYDASASPEGAAYDKCKEEIDRALRLDAPCAAKNCTFGGVWNGGGGAGQDTIYVASFFYGKATQVGWVDKGAPSATSSPAAFRDAAEKVCPLSVREAKAAYPGLLDVPYACMDLVYEYTLLVDGFGLAPTKEITLVEKVKHGEYLIKAAWPLGEAIEAVAPKKRLARFLR >SECCE7Rv1G0526450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:895173755:895177929:-1 gene:SECCE7Rv1G0526450 transcript:SECCE7Rv1G0526450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDPMSPIGRVMEEMGVYIVLVMGLSTPLNLPIFRAGIQTELIPRFPRFRSIPVMDGSKTGKPQWVPTVVNVDDHIIVPRLNPAAVASAPEKAVEDYVASLSTLLMDRSRPPWEFHFLDFPTSEATSTMVLRMHHSIGDGMSIMTLLMASSRSTADSTRLPAMPPPPRRTGAVYQLQPQPPLLSLGDYLAWIWSYIVLAWHTLFDVALLNATVLFLRDPHTMFTHMPDNGKSPRHERLVHRSLSLDDVKLIKTAMDCTINDVLVGATSAALSQYYFRKSSDSNTKKIILRSIIPVNLRPLSSRQTYVTKVETGNQVGILICRLHISLHDDPLEYVRKAKRSMHRKKSSLAVIFALAVNKYLVKFLGAKVGAFFSRRFSTRTSILLSNVVGPAEHITLGGHPVAFMAMSAYGQPQALVMHYLNYGSTIKITLAVDDAQFPDCHQLLDDFAKSIRLIKNAAHLQILTTSIQKE >SECCE1Rv1G0013710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:107956801:107964130:1 gene:SECCE1Rv1G0013710 transcript:SECCE1Rv1G0013710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TT8 [Source:Projected from Arabidopsis thaliana (AT4G09820) UniProtKB/Swiss-Prot;Acc:Q9FT81] MASSGEVQRSLQAVAQGLRWTYALLWQLCPDQGALVWAEGHYNGAIKTRKTVQPAVAQAQAQAPAPMAEAADQARSRQLRELFDTLAREAAAGGGTGFRDVHGCAQEARRPSAALAPEDLTETEWFYLMSASYSFPPGVGLPGRAFARGGHVWLSRANEVDSKAFSRAILARSAGIKTVVCVPIIDGVLEIGTTEKVEEDIGLVQYAMAIFMDQQETHMIPGICHSNQTSHIDQQSFQTHTGQTKPEPNKFNPEYEDDEMEYDDDEIDAECASGSETNTGRDHCRHGPPNIASHDDHATHNAGSSELMQVEMPERVRDGCSSNLGDEIQMLMVCQNGTSDRSNLHGQDEPSWHFLYEELCSGYPQSSGIPAGEDEAMAENAHYAHTVSLILHRNNALRQADGRSTRSYLAVSRQSSFSRWDAGIHGRTVAEGTTRQKMLKSVLFFSAACNKPPGDLSCDDAGARREVDFGASHVMQERKRREKLNERFIVLRSLVPFVTKMDKASILGDTIEYVKQLTKRIQDLESSVARQRVHGELPMSRTGIEGHSSSSSGSSSSTPVATDVQVSIIESDALLELRCPDRRGLLVTIMQALQEQLRLEVTSVQASSDHGVLLAELRAKVREAHGRRSSISQVKRAIHLIISSR >SECCE4Rv1G0219770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33651729:33652154:1 gene:SECCE4Rv1G0219770 transcript:SECCE4Rv1G0219770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYSRAWLALLLLACALVQSSYGSRPYPGVPSPTMVHGGAEPRRLDARATQVRSSTQEGATGGGHRGANADDDAIATSALGGGGVLPEQRKEGSGSPVLQRALGTMLARRVLGGEAEDSAAGPSCRSNNAHITCAPPAQH >SECCE4Rv1G0267970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:738264678:738266526:1 gene:SECCE4Rv1G0267970 transcript:SECCE4Rv1G0267970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRDLRLAMLLLFSASVLLSAVPAARAQQETDHEEEFSYSLDAENGPAHWGDIKEEWSACGKGNMQSPIDLASPRVSLVRGLGYLKHSYVPANATIVNRGHDIMLQFEGDAGSVSIDGTPYFLRQLHWHSPTEHSVNGRRYDMELHMFHESAQGKAAVIGVFYQIGAHDAFLHKLEPYLEMITDRKDREEKMGLMDPRGARGKASVYYRYVGSLTTPPCAEGVIWTIVKRVRTVSRHQLELLREAVHDDIEKNARPRQEANSRDISMFRPFEQNRH >SECCE3Rv1G0177180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:459678914:459718309:1 gene:SECCE3Rv1G0177180 transcript:SECCE3Rv1G0177180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAPPCSSSPSCMFLTHSYCCSRSAPRVAIRSFAVAAVRQTLSSGWNLSGLSGARPAARKARLEELDTSSMLLGQRIIFLGSPVDDLNADLITSQLLLLDAKDHTKDIKLFINSPGGSITAGMGIYDAMKFCKADISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHRPSGGAGGKATEMGLQITEMLYEKIKINKIMSRITGKPEEQIDEDTKFDCFMSPSEAKDYGIIDSIIDEGKLGLVAPVSGAVPPPKSRVWYLWNASGPTRKIMKNLPSEEKLIRNGNGSVSGADGMMKEASST >SECCE2Rv1G0134340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901061307:901062670:-1 gene:SECCE2Rv1G0134340 transcript:SECCE2Rv1G0134340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSLLSKDPHNHYFDFCAARQVPETHAWEGLYEHPVVDGGAGAGEDAVPVVDMRDPRAAEAVARASEQWGAFLLEGHGIPSGLLARVEAGIAGMFALPTPEKMRAARQDGEPYGYGLPHIASYSSKATWSEGYTLSPASLRAELRRVWPDAGEDYRHFCDVMEEFHREMRAVADKLTVLFLAALGLAGEQVHAVEAERKIVETMSETVTMHLNWYPRCPDPKRALGLATHTDSGFFTFVMQSHVPGMQLFRRGPDRWVGVPPGALIVNIGDLFQILTNGRFRSMYHRAIVNRESTRVSVAYHIGPSAEAKVAPLRAAVGGGKPAYRTVTWREYILVRKEAFATGGSALDMVSLSPNDHNDDGDGADQICEISS >SECCE5Rv1G0361840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:781236669:781239073:-1 gene:SECCE5Rv1G0361840 transcript:SECCE5Rv1G0361840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPP1 [Source:Projected from Arabidopsis thaliana (AT3G58490) UniProtKB/TrEMBL;Acc:A0A178VD76] MEAVAAAGGAGLTGWQAAALSGAAGWVWAASYYDLTRRARALAQPWVTRRVHAETPSILTFQRLQHRLLDNFFSVLSCVVSVPFYTGFLPLLFWSGHGKLARQMTLLLAFCDYLGNAVKDLVSAPRPCSPPVRRVTATEDEKENAMEYGLPSSHALNTVCLMGYMLHYVLTYGPCDGFTIATGLSLALMLVTLIGIARVYLGMHSLTDVIAGISFGIVILAFWLVVHDHVDAFVVSGQNVTFFWASLSLVLCFAYPRPEFPTPSFEFHTAFNGVAFGIVYGVQQTYTRFHGPDAPLILSHELPLLGYAGRVLVGIPTILAVKSCSKALSKWLLPVMCSTLGIPIVSSCYVPALKPSDIGGGSGNKDEAKQAGGYLQRVFTLFPQKAYDVDTGIRFVQYAGLAWSVVDLVPVIFTHLNL >SECCE5Rv1G0339850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:611426233:611429872:1 gene:SECCE5Rv1G0339850 transcript:SECCE5Rv1G0339850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTTRRRRDDRRGALVASVCLCLFLLLLLPSAASSSSSSSSSSTSSFSSPQQQSKIITHLPGLEGPLPFQLQTGYVEVDKSNGVRLFYYFIRSERNPAKDPVMIWLTGGPGCSAFSGLVYEIGPLSLDRHADVNGFPKLFYKPDSWTKVSNIIFIDSPVGTGFSYSKTEQGYKSSDTKVVTQIVIFIRKWFDEHPEFLSNPFYVAGDSYCGITVPGITLGIAKGIEDGSGSAINLKGYLVGNPVTDYWYYDNPAKIPFAHGKGLISDEIYQVYKESCSAWEFSQECAKSHAAIDECLKDICPNHILEPLCAFASPRPFKLKLSSGPREVLQLQEDYTASVKAGLQLSEISPECRTAEYAMSMIWANNDTVREALGIHKRTVPSWLRCNFDIRYTNDIFSSVEHHLDVTTRGYRSLIYSGDHDMIVPSIGTQAWIRSLNFSVVDEWRPWYVDAQVAGYTRSYSNNLTFATVKGGGHTAPEYMPKQCLAMFARWLSGEPL >SECCE1Rv1G0045940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:613737618:613739198:1 gene:SECCE1Rv1G0045940 transcript:SECCE1Rv1G0045940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLALSSSSLLRLIKSLSPAAPGAHLSAAAIHCLLLKPGLLHAGAHLPTALLTAYAALGRPAHARDLFDEMPDRGLVARTAMARAHAASGQTAQALRVFRGMLSDGLVPDNVALAVVLAACHSRTVAAGRMVHAFVVVSGIEPDVFVSTQLVRVYGECGELAVSRRVFDDMPAKSAVAWNAMVHQYVRNRHVEAAYQLFLAMPRRDVVSWNTVIAGYCLVGRCREALGLFRQMVSPSSCPVHPNGPTMATVLGACAAAGCLETGIWVHAYIDKNRMNDNGTLDRCLIDMYAKCGSIDTALQVFEKAPGKRDLYSWTTVICGLAMHGRAADALRMFHVMQDGGMRPDDVTLVGVLNACAHGGLVDQGLDYFHSMQERYGIAPKIEHYGCMVDLLGRVGRLPEAYRMIKTMPMKPNMVIWGAFLSACKVHGSLELGEIAAAEVTRLDPDDPWARVMMSSMYAKAQNWSGLARERREMNSLQMKKTPGCSSVELDGEVHEFVAGGSQHPLHAEICTVLEFVEAQSHTG >SECCE3Rv1G0167360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:201335753:201339856:-1 gene:SECCE3Rv1G0167360 transcript:SECCE3Rv1G0167360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLNLPADDVPEEPMPTWGKCPQLYLSYGIATRNDRVPYLKDAIAAVNSFTVLSPPMGLDFFGVFDGILGARFVEHMEERLHVAVAKKIERDLRADTQRARFDVEGWWRMIMESAFRVVDNELVAGGRGGIDAPASVGSGALVVMVLQDYFVLANRGASRAVIYRGLEAVPLTPEHTPMPQNAGGDVVGSTSRVEDVMLPDAFSGSSRLRGTILEPEVLSVKREPGDKFLILATRGLWDFVTPGDACALIERRLSVFVPWDKKPTNSSGLPCAKILANELAAHAISKGTKHNVNIVVILLKNFWDQSLPSISKK >SECCE1Rv1G0008340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:46708248:46713167:-1 gene:SECCE1Rv1G0008340 transcript:SECCE1Rv1G0008340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid membrane protein TERC, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12130) UniProtKB/Swiss-Prot;Acc:F4JZG9] MAAMVAAAAPARLVPPRLSAPWLHAVQLRPAPGRLLTVVAASRRSPGDGGKRGRKKRGRRATEADQEDGLSVGSEMEKKSSTPRATVDDGSLKPALEASVTPKDSAIRRVALVVIAAVLFGVSIAFKDGVEKASEYFAGYLLEQSLSVDNLFVFILVFKYFKVPLEYQNRVLSYGIAGAVIFRAVLIILGVATIQSFEAVNLFFAAILLFSSYKLFAGDEEESDLSDNFIVKTCQQFIPVTDYYDGDRFLINQDGIWKATPLLLTLVVIELSDIAFAVDSIPAIFGVTRDPLIILSSNIFAISGLRSLYVLISESMSDLEYLQPAVGIVLGFIGAKMIFDFCGYHIPTEASLAVVTTCLSGGVILSLRKASSEERDK >SECCE5Rv1G0314180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:269189826:269191231:1 gene:SECCE5Rv1G0314180 transcript:SECCE5Rv1G0314180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSKNSGRAKWNHQMKAYLIELLRDHDVPKYRTQNAWSKEAWTSIVGKFNQRFDVSYMVVQVKQKEQDLKRDFKAVKDLISESGFGWDRDRKMVVAPDNVWAALEARKNKDALTWRGRSFPYYEDLFALYDGRYAQGRSCHGMDYYARKSAQLSQLPTSHSPQLQGPEPDLHTPTPTIHAPGDSSMQFDIEEDSENTNWFSSNNTLSPVEANFGQGNDLALHAPQDEAIPISSQHVGQTLHEIPQVVHRNPRPSSSAPEVTSTKRAKKQKTTSIDDFHERYLKLRREEIDRYAAIEEKKLKDPFNIKKCIRALERLEGLSMADMLKAADIFTANKENREVFLSFSSNELRLGWLTGKVRNT >SECCE3Rv1G0148330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19022720:19023569:1 gene:SECCE3Rv1G0148330 transcript:SECCE3Rv1G0148330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHEKAEHEKMCAGSSTAMEQQAVLLQQGPPGDCSYELILPKVLPSSRASVTVTITGDDVGKKKICGSGKSTMGSLVRMGPCGGIGGNVRETSMSDVNRIVQVIVWHGHAVDAISVMYERKGKEAWADRWGGEGGKPSTFSLQQDEYLTSVHGHYGEFNGFVVVRSLTFVSNLRSYGPYGKEDGVPFALHAGAGGMIMGFHVLSGQFLDSIGTYVKMDNY >SECCE5Rv1G0369690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:837210163:837210627:-1 gene:SECCE5Rv1G0369690 transcript:SECCE5Rv1G0369690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKDVATRKPILATIRLLVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHNMVTSLSLRHVYEIAKLKQTDPFCKHMSLEALCKSIIGTAKSMGIEIVKDL >SECCE1Rv1G0044010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:598580310:598582703:-1 gene:SECCE1Rv1G0044010 transcript:SECCE1Rv1G0044010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQMYRSLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIAPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEELYEDEDDADLQE >SECCE1Rv1G0037290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:520916324:520917859:-1 gene:SECCE1Rv1G0037290 transcript:SECCE1Rv1G0037290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIAGDVHGYGWAGVWSAVASLIFLWSMVQQHLPAQLEEYLAALSSRLQAAITPYVTISIQEHVPDSFGRSEAYLAVEAYLSATCASGARRLRADLAADSDRMSVAVDDHEEVVDEFRGAKLWWRKTKTLPRGNVISWSAHEEERRTYCLTFHRRHRGLVDALYLPHVLAEGRAATVRNRQRRLFTNNPSSDWSGYDARVWSHVKLEHPSTFATLGMDPAKKRDIIDDLDMFRDGKDYYASVGKAWKRGYLLFGPPGTGKSTMIAAMAKYLDYDVYDLELTSVKTNTELRRLFIETKGKSIIVVEDIDCSLDLTGKRKKKKKKASKKKSKSGDKKKKTPPAPGAGKDEENKVTLSGLLNFIDGLWSACGGERIIVFTTNHKEKLDPALIRRGRMDVHIEMSYCCFESFKVLAKNYLHVADHELFHEIQQLLGEVNMTPADVAENLMPKSKKKDVDTSLARLVKALKEAKEEALAKALAGAEHEEGTEDDDEEEDDTSSSEEEDNGKNKKE >SECCE7Rv1G0517210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:852508101:852510360:-1 gene:SECCE7Rv1G0517210 transcript:SECCE7Rv1G0517210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAAAAASSKKPGVSPSSVHDRAAAAACDSGLVLTTDPKPRLRWTVELHDRFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKEFGDHSVKDAMEMQRNAASSSSGMMGRSMNDRSTHMNESLRMQMEVQRRLHEQLEVQKHLQMRVEAQGKYMQSILEKAYQTLASSDCATWPAAAGYRSLGDSQAPALDLGGSMSFQDLTLYGGTSSHLDLQQHMEMRPTMAMDNFLAFNESCIGSATVRSCSTGKSPMMWGNGDDEQAKSGGSADELLQMAPSCMMEAGGGSGGSMDPIMSLSGDSLGSKGFDGPIISSKLEMRSSPQQVGSERNLSYG >SECCE2Rv1G0124620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833350499:833351593:1 gene:SECCE2Rv1G0124620 transcript:SECCE2Rv1G0124620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHREFPAFAPGHCLPKTSSMSVTDSVTAAHDFRVTGYSLLDGMGVGRFVSSSTFTVGGLDWAVRFYPDGSTANCIGNASAFLYYCSRDKDVRARFTLNLMENDGRLSQVTNSYMKHTFSPASDNWGFIKFIEKSKIQGSPFLENDCLTIRCLLTVTKESRTQDVETNSIVVPPSNLHQDFESMLRDGEGADVTFTVCGQLFHAHRCVLAFRSPVFRAELFGPLRENATECIKIDDMEPMIFEALLHFIYTDTLPDNCKDGKAAAMQHMLVAADRYGVDRLRLLCERKLSDAMDVETVSTTLALAEQHHCTQLRGACVRFMAAPDMLGPVMLTDGFKHLVASCPLILKDVLDEVSRIWRDKSS >SECCE4Rv1G0255470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:646618219:646621913:-1 gene:SECCE4Rv1G0255470 transcript:SECCE4Rv1G0255470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVLALAVALLLGASSRVDHGAAAAPVSEEGLQVTYGSTVKLMHEKTKVRLHSHDVAYGSGSGQQSVTGFPEIDDSNSYWVVRPTLDSSAKQGDAIETGSIIRLQHMRTRRWLHSHLHASPLSGNLEVSCFGGDGQSDTGDYWRLEIEGKDKVWKRDQKVRLRHVDTGGYLHSHNKKYNRLGGGQQEVCGVRDKRAENIWSTAEGVYLPVDESK >SECCE7Rv1G0501060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:678887618:678888481:1 gene:SECCE7Rv1G0501060 transcript:SECCE7Rv1G0501060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKMLLVTALLVGIASQSYATRSLDGNHLADQKYGGGGYGGGGGGSGGGGGYGGGGSGGGGGYGGGGGGGGYGGGGGGYTPTPTPSTPSHSGSCNYWKGHPEKIIDCIGSLGSILGSLGEVCHAFFGSKIHTLQDALCNTRTDCYGDLLREGAAAYINAIAAKKEKFAYTAYQVKECVAVGLTSEIAAAAQAAMLKKANYACHY >SECCE1Rv1G0046630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:619062927:619064057:-1 gene:SECCE1Rv1G0046630 transcript:SECCE1Rv1G0046630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHQGWADLPDVLLHSIVALLGSFSDLLAFAATCRSWCDALSSYPSKSTFSTLFPPLLLQPDVFARSPRVPPKFGGKYPCRVLDLASQRSRLCSQIPLVNLSGASNDPQSPQGSFCFVGASYGHLIFSSNRSCLIVDAFTGVSVSPPQLPGDEYTEVYYGALTAPLWSPNSHLLVTNGSHSFFWCVASHSWLRPCPCDGTVKQIVTFRGQIFGMDSAGMLFAVHLAPQICIQKMIVSWEEIMSTIRHLANVCLVACGDMLLMVGCRGSFPARGDTFEAFHLDQSTGHPKWVKVEELGNWAVFISTDKRSQPLSFMNPERWGGKSNRVYCYSHDSEHWAAFELGKPACDPDNFVSMSQGSMVQPMWVVPSMFSLCL >SECCE1Rv1G0050060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644390234:644394651:-1 gene:SECCE1Rv1G0050060 transcript:SECCE1Rv1G0050060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGRDANPLAGYRIGKTLGIGSFGKVKIAEHIITGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPLARDLIPRMLVVDPMKRITIREIREHSWFKARLPRYLAVPPPDTAQQVKKLDDETLNDVIKMGFDKIQLTESLQKRLQNEATVAYYLLLDNKLRTTSGYLGAEYQESMDSSFSQVSPETPSSASEARQYGSPGFGLRHHFAAERKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWSPGFVETMMHNNNGFGVESAIIEADGLSDKSTHVVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >SECCEUnv1G0538110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:71379358:71381265:1 gene:SECCEUnv1G0538110 transcript:SECCEUnv1G0538110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLVVWLLVFAAISFVLSIPLPSAMDEHPLLTCIVTIFLTVVVMTTPRDTLVSLAGSLAGHLPGIVYTLLVWLLVFAAISFVISIPLPSAMDEHPFLTFTITIILTVMVMTTPRNTFVSLAGYLPGIVYTLLVWLLVFAVISFVMSLLLPSXXXXXXXXXXXXXXXXXXXXXXXNTLVSLAGSHAGHLPGIVYTLLVWLLVFAAISFAISIPLSSAMDEHPFLTFTVTIILTVVVMKTLRNTLVSLAGYLPGIVYTLLVWLLVFAVISFVMSLLLPSAMDEDHWLPPTITIILTVVVMTTPRNTLVSLAGYLSGNVYPLLVWLLVFAAISVAINIVYPLLPLPSVTDKYPLLMFTVTIFLTVALVRTPWNTIVGLAGYLLETAYLLLLWFLVFLAISAVVSILVPSAIKEYPDLAIQFTIGLTVVLRIPALGGTILDIVCLLLVWFLLFYSVGAVVGRILVPSAAKKFPMFTFVFVVGLTLLMMRVLWGWGTTVQLPARISLAPTTLPLDELVLDDFVRFPESRQMDLILRDTEEMKVEHERDREKIIPGSSLLAEEINNDLKHDVLYQGATPRLVIYTVTILIITMGCMIKFFTENAYGELINRCVLKGNALAKDALGSFRRHILRYASRISK >SECCE6Rv1G0380260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:20357134:20360252:1 gene:SECCE6Rv1G0380260 transcript:SECCE6Rv1G0380260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEEGEGRKVSREDIQLVQNLIERCLQLYMNQKEVVETLSFQAKIEPSFTELVWQKLEEENPEFFKAYYVRLMLKNQISVFNKLLAHQCRLMNKDPSGALSITPTAPNGSDSSTLNQNTCFLQDTTSTAIPDSFLHNGNSSGVINGAPATDQFIYGGKVVHGLPSGMDASVSLLSAHNSTGGQFNGDNGTTIKAESSFSSNPEFAFCNENTYLEPCQSIGDASGGSFSSSELNGQPLGDTILDMDTSSYGFLSQIPRNFSFSDLTEDFSHSTEILENYGRSPFIPSETNNFSESTAGGHTEIGNIRLDSISEGVSYEDFGSD >SECCE4Rv1G0277710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:805393524:805394159:-1 gene:SECCE4Rv1G0277710 transcript:SECCE4Rv1G0277710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPSPSPGRWWSTKRRILAALALIVIIAGIASVVSFVLRPGNVRFSITHTSNATIKANSGLVQSMELNLTLVANNTSPRAGVDYLSSIVLLQYTSPSPDSSNFSIQAGFNELWSSQPPLSTTTMGVSALMSIDDWTSNFPNPARRGRRSIDGTASAPTLIRVLVVTGVRFKIGWVYTWAYSIRVLCDPVDYFFNFNGSLATTAINCWDA >SECCE4Rv1G0251360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:601592878:601595838:1 gene:SECCE4Rv1G0251360 transcript:SECCE4Rv1G0251360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSNNPGGAGGGGGMAPGTGAGGSDGRHDDEAVLTEFLSSLMDYNPTIPDELVEHYLGRSGFHCPDLRLTRLVAVAAQKFIADIASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALREHGVNLKHPEYFADSPSAGMAPSTREE >SECCE5Rv1G0372560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853579854:853585084:1 gene:SECCE5Rv1G0372560 transcript:SECCE5Rv1G0372560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil protein, Homologous chromosome pairing in meiosi [Source: Projected from Oryza sativa (Os03g0106300)] MKLKINKACDIASISVLPPRRTGGSSGGGGGMSAPAAASAAAAAAAQQRSQSMSQKSFSQGGGGGSFSQGVGASFSQAIGSGGGSFSQARGASFSQGSGSGGAAFSQVGGGGGAAFSQVGGGGGAAFSQGGGSGGAAFSQAGGGGGAAFSQAGGNGGGAFSQGGGSGGAAFSQGGVSGPLVHAQSQLSQASLDTNLLSLLSQAPSRDQRFALQQDSSKRTSSYPATSASCVRDESQLQLTKIPTNPIHRWSPSLPDSRCQINEEVERKFQHLASSVHKMGMILDSVQNDVMQLNRAMKEASLDSGSIQKNIVLLEDSLKQILKGQNDLKALLEGSTESNPDQLSILNSLASKQDEMSSLLSVLPKHVQRELGQLKGDISRIFSKEMEGMVRAVRSVDTRLDQMQMLANQSCTTNAKPLMNQTRVANGSPLVKQTPVAYGSPLMNQTTVADGAPLMNQGPVADGSPLTNQGAVPDGRPQKKQRPAANGRAQRKKQTPVANGRPQRKKQRPVANGRPQRNQRPVSNGRPQVKPTKTADGSSQMIQKPEANGKALMNQVLPVTQVASAPAPLVYQRKAEGVPPKAEQGMAKAGTGAQKLAGSGDSRPAPPKEQELAIQKDNAAAPINEATLTIVIDSDDEEEEGSASCRVLLKAGAGGGGGGGGVEEGEALEILRRARKRRRREEANAAGIDHC >SECCE4Rv1G0231960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193065254:193066945:-1 gene:SECCE4Rv1G0231960 transcript:SECCE4Rv1G0231960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDIVDKFIYQYPDPAALLQAQHPFAQILITSDTTTSSDMLTSALPIRHIQNPAFFLNGKGVADPNNSMDVISSMAFFRGMEEANRLLPRCSRTVEGSNMPHGGGGNGAEAGRSSKQMAMQVHPESKEESEMLDKFMLNSYDMCTTEARERGDEAGQQSISTKAPRGRRGARLAVVDDLETLLIRCAEAVATNDRRSSCELLWRVRRHSSPTGDATQRLAHYFAEGLEARLAGRGSQLYRSLMAKRTPVVEVLKAYKLFMSACCFMKVCFLFSNKTIYNAVMGGHKLHIVHYGVNDGFQWPDLLRWLAEREGGPPEVRITSITSLWPGFRPAEQTQDTGQRLHRCARQFGVPFEFRVIAAKAEDVRVEDLDINPDEVLVVNSLIHFRSLMDESVVIDRLNPRDMVLNTIRKMKPAVFVHAIVNASYNTTFFVTRFRQVLHNFAAHFDVMEATVPRDNDERLLVERDIIARCAMNIIACEGTDRVERPQNYREWQARNQRAGLRQLALDPGIVQFLKDIVKKQYHKHFVINEDHQWLLQGWKGRVLYAISTWVSDDASSTRVT >SECCE6Rv1G0417530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:658923045:658925195:1 gene:SECCE6Rv1G0417530 transcript:SECCE6Rv1G0417530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAAAAAASAGGDRLSNLPDSLLQRVLSSLRSRQMVHTSLLSRRWRHLWRAVPCVDVDHRDFLDDEPQPKAPGRGASDAAMGAFRQAQNERAAREKKQRRDMEDFADAVLPLNGAWPLDAYRLRVRDDLHLRKAMDRWIRRGLARGPAELRVAFDYDRHGDGGYNSCWKDPPLFGFSVFDGAVTGRLRRLSLSGLVLLQGFPDELRSQCPLLEDLQLLNCECTSQFLPGNRRSLIRIGSRHLKRLVIEGTSSLHHRLCVTAPALVSLNVDTPLAVEDEKRSLAVASVSCHAGALILLKSLRSATALELRRFTTWALVEEEPELFHEYHNLRTLVLTECEIGDGCQVVAHVLKSVPNLERLVLQDCMISGSCMMASRRGAALRGCCENLKSIRVKYEGHHVAHVPIAELRGKSKVTVKLHRRERPTSSVEWRHWLKRIALCSNHAIHLSQRYVASMTTGPESDEEHESTASKHRAGEKHARDSAIKARRRGRLGRF >SECCE2Rv1G0133200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895357162:895357431:-1 gene:SECCE2Rv1G0133200 transcript:SECCE2Rv1G0133200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRYMMSMSRVGARAAQAVRDSVARSAGKADRAQQQSMARAGRAPAESSARAKAPLARKAAEERRRRAAAQEESLRTVMFLSMWGPNA >SECCE6Rv1G0451980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874545633:874548929:1 gene:SECCE6Rv1G0451980 transcript:SECCE6Rv1G0451980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQGLLLEVRVTGCRKLRDTEFFSRQDPYVILEYANTKLRTRTCTDGGRNPSFDEKFHIPLIEGLRELSINVWNSNTINTDDFIGSCRVPLNKVLTSGYDDASWPLQTRHMKSAGEVKLIMHFDISAMKNKMAGQTTGQYAPSPYGASSASYPAPSAYAAAPPPHQPYGAPSHAPYPAPSAYSTPPPQQPYPQQGCPPTSHPPQPYGQPYPPQPYGQPYPPQPHGHQPYPPQPYGQPYPPPSAAQSPYPPAPYPGAYPPRPY >SECCE4Rv1G0249280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:581461187:581461722:-1 gene:SECCE4Rv1G0249280 transcript:SECCE4Rv1G0249280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGRGSAATTLVAGVVLLCVLLPITGAAAMSRQAPMTYVVGDDKGWGRDLNSWWPKDKTFYAGDVLVFKYDKELHDVTVLGGKGYQRCEVPRHSTKSWVMRTGNDQVTLRRGNNYFICGLPGHCDKNMKLAVKAW >SECCE4Rv1G0221960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:53090662:53091570:1 gene:SECCE4Rv1G0221960 transcript:SECCE4Rv1G0221960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKEHVLLSQPDKLVVLAEIPASGSRDSQPAVTLRLLVELCSDYGAGPADVDTMEDVACRVPLADLCRQGAAERAFKELVARIDNPVLRPEVAAETAAAAARVRARCGADRDGLRGVEFRLRVVFIDDASEEKEEEEDDESGNDMEFGEFDLSGARSLRGQQTDAGYDYEEDDEDEDGCGAQFTVRPYRGALARGGGGAPSSLLLLSGFEAGSDGPELTEEHEVTSYDIQRVVRMALGGRGSVVDDEAYQRALDGGAPVSPASRAAMAGRALQSARQQQQKQQQQGSKSPRPIFPMRTGF >SECCE2Rv1G0114170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:731872708:731873313:1 gene:SECCE2Rv1G0114170 transcript:SECCE2Rv1G0114170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRDRRASAVSPSLRFLGFIKQPDDAGAADQELEFDERDVVWSSSPSFSSASTASSPSPTPSPSGGAHRWPVSSRAFPSGGAGLSSLIADEDIHSPSAAIPAAARREKQPRSQPYHQSAPVAVPAWSKATADRRRREAEQEAAEEEDEDDDELMVPPHEMAARRAAAAASVMEGAGRTLKGRDLRRMRNAVWRTTGFLDL >SECCE4Rv1G0224400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:79927536:79928776:1 gene:SECCE4Rv1G0224400 transcript:SECCE4Rv1G0224400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSCQGCKRYWNHLHGKVTRFVRRMSKSSRHCMVIPERFANHFAGKISRTVKLEGPNGILYDVGVTEHRNRKVLQSGWEVFVDANDIVEKDSLMFRYRGSSHFKVAVFDSSGCEKTVSCFGILSTMSDQEQITNSTEMSGSSSDRNTHSSMGQQSYGCQSESSGHCRKLAWIDAASSPSEDLRRQSL >SECCE4Rv1G0272870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:772721636:772722616:-1 gene:SECCE4Rv1G0272870 transcript:SECCE4Rv1G0272870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPDSNTLLADDSILEYVLETTVYPREHERLRELRLITQQHAKSFMVSSPDQMQFFSVLLKMVGANNTIEVGVFTGYSLLTTALALPAGGKVVAIDANREYYELGRPVIDKAGVAHKVDFREGDGLAELDGILSEDGGGRAGTFDFAYADADKLQYAGYHERLLRLVRVGGAIAYDNTLWGGSVAMPRDKPGSSEYDRLVRDSLLDFNAAVAADDRVEACIVPIADGVTLCRRVK >SECCE4Rv1G0248080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:566240126:566243980:1 gene:SECCE4Rv1G0248080 transcript:SECCE4Rv1G0248080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGGSQMQPQLGNAHTANSEQPEPATIPMNRMAASGPGNGQMADEAAASGVNGVAASGPGNGQRADEAAASGMMEDIEADGNKNVQGPPNSSATASHESSIKDDFEFLWRLRKYLLLLAVLAVGVTYNAGLSPPGGFQMDRLDRHAGDPLLPLRFFRRYEAFFYCNATAFAASLVLIILLLSRGVASKHVWLRSMQFTMILDLFSLMGAYAAGSCRALRSSIYILVLVCVVFVYVVVHILLFMRVVPQRLKEQVQKTQKIILKKLKRMLKKVPSICPVSDPDGQRSNRDYAREIEEARKFILMLATFAATITYQAGISPPGGFWGANNPEHRPATFVLRKHNLRRFNFFTCCNATSFVASLVTVILLLSKELSTHGIRTQALFVCVGVDLLGLIGAYASGSCRDVATSLSVILIIAVVLICVLILVICLHCEAVTVWVDGALKPALEKMMSILSVGQDDSPRAHQQCTELVSQRTHQQDTELVSQRTHQQDTELDSQRSQQQDTELDSQKSQQQDTELDSQRVREQDTEFDSPRTHQQATEHVGRDTEVGVMSNLQCYPADSNLVSTEDVRYPSASDLDNMEDIMSTMRHQSHVQSDQAAIKAVCSSSTDALTTGEVPTDNMEIQSAGSRQDAIPMALPSSSDGGKPIQGILPLQGSVDQNAASGNLRIDSDDPATGCERDSHGYSTEQHAQPVSNNPTGADNGEVRLDIDSTTNDQAPQHQISIACSSRNPDDAFLKKSRTYLLLLAILAVSLTYQAGLNPPGGFWTSNATKHSAGDPTLGDSGRVWTSNSTKHSAGDPILEDNYHKRYLAFFYFNATAFAASLVMILLLLSRKMSNKVIKRRALQTAMITDLLALMGAFVVGSCREKTKSIYISVSIFIVVAYVPLHVLVFRHNGWLKVCLTQRMKFAQPKSPQTDNESRDAKEKDLARRRNLLFILAILAATVTYQAGLNPPGGIWPDENSKGGKPGNPVLQDSHPERYDVFYYSNAVSFVSSVAVIILLVNKESCEDGIKSYAIRVCLVAGLLGLLIAYSAGTCRKARPVIYLIVIASAVLTFLVIQVLVLQDALDGPLTWLRGLLRKILHLESDSETPLESSDEETKESNAQGSAPRTSEKKEKKRQKYLMLLSVLAASIAYQAGLNPPGGFWPDDTRSGYKAGNPVLKDIHSWRYMVFFVFNSISFMSSIAVVMLLLSKSVRQKKVPLQALHFIMILDLLALMTAYAAGSCRKFRTSIFILVVVCCVLVYLLFIIILSSGIARWLKKQKKKVASLLLEPSHAAATSA >SECCE2Rv1G0122980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:820639013:820639315:-1 gene:SECCE2Rv1G0122980 transcript:SECCE2Rv1G0122980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACAFFFDAEPVGEPGVHALDACALCTKPLARDSDIFMYKGDTPFCSDECRHERMQLDAACARQASRAAARRQKQFSSETNSGRARRESWEVSVAS >SECCE2Rv1G0117200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:770632808:770633783:1 gene:SECCE2Rv1G0117200 transcript:SECCE2Rv1G0117200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aquaporin TIP5-1 [Source:Projected from Arabidopsis thaliana (AT3G47440) UniProtKB/Swiss-Prot;Acc:Q9STX9] MASNLRVHLKHCFSAPSLRSYFAEFISTFLFVFTAVGSAISARMLTPDVTSNASSLVATAVAQSFGLFAAVFIASDVSGGHVNPAVTFAFAIGGHIGVPTAIFYWTCQLLGSTLACLVLHFLSAGQAVPTTRIAVEMTGFGASIVEGVLTFMLVYTVHVAGDPRVQGRKGLATTALGALVVGLMTGACVLAAGSLTGASMNPARSFGPAVVSGDFKNQAVYWAGPMIGAAVAALVHQNLVFPSAPEPLPHESRHGSVETVVV >SECCE3Rv1G0169590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:238237228:238238019:1 gene:SECCE3Rv1G0169590 transcript:SECCE3Rv1G0169590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVESKLRVRRAIRDAGIPHTIICSYWAIGLLFSTLDNSGENGPLSTGVDIFGDEKSRAIFVDEKDMSMLTIRAVEDPRTLDKILHVRPPANMLSFGQLIHLLESKTGRTLERHYVTEQELAKKIQEAPFPLNFQLAMVHSTLVHAGACERAIDPEVEVEATRLYPDVQFVTVEECLDGLLL >SECCE5Rv1G0302870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:42633803:42634148:-1 gene:SECCE5Rv1G0302870 transcript:SECCE5Rv1G0302870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKAKMPALCLLLLMVLLLFPGSEGKTCSEVSRTYTPLLCGEDTCVTHCYKEGFNGGKCVITSFDPPFSICLCKKPC >SECCE1Rv1G0040850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:566402174:566404414:-1 gene:SECCE1Rv1G0040850 transcript:SECCE1Rv1G0040850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSRLYLLAYNSLQAIGWSVALLRLLPCFAPPVSVRPAYAAAGDLICFLQTCAVLETVHAAVGLVPTSPFLAFLQWGGRTHFILALLRQIPEVQGSPSVFITFMAWSISEVIRYSHYALTTLKVCPAWMTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKERDIYSGFFAKFSMSYHSFLVGVLLCYPLLWLKLYLHVFKQRKSKLGKGERKKRA >SECCE1Rv1G0053920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:672176287:672176529:1 gene:SECCE1Rv1G0053920 transcript:SECCE1Rv1G0053920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGGSLRVTKSISSRRMTEEKSHGGSGLWRQAPAPVRQLFWRVRRAMLRPKRRAVSFGYDLKSYSHNFDDGLVPAHGL >SECCE7Rv1G0463200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:51547500:51548522:1 gene:SECCE7Rv1G0463200 transcript:SECCE7Rv1G0463200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRSAFKRAKISTTETPGSTMAPREEELETNNVFSHDMDTLECEICSLPFDSQIFMCKNGHAACGTCCIGMNRKCASCHERIGDVRCRAMEKVLAGMARPCRFSKHGCEETVKFTELRRHEEAACPYAPYTCPFDGCAYRGGLLYDHVRDEHGLAPAPAHDCDMAGGLLRGASVTLRRDDPVRALLHRDRVSVLLLLNGGDVLTGRSLSLVRICPHPKPGQEDEEVEYRMVVTRAEHGTGTGSGSPSLTASGKVEYVRRLEGYQPQHFLFVPDAFWGSSGSVVVTVTTTVTVHL >SECCE4Rv1G0268260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:740887813:740892303:1 gene:SECCE4Rv1G0268260 transcript:SECCE4Rv1G0268260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVKSTGGFCNAQQQWLHSKRELFLYGYSHSNAKECKSKKTKRPVSLRVKATSTKVELDFNDPSWKQKFQEDWDARFNLPRITDIYDLEPRPTTFSLKKQRTPTGDEDSTPMDMWNGYVNNDDRALMKVIKYSSPTSAGAECIDPDCTWVEQWVHRAGPRKDIYYEPAEVKAAIVTCGGLCPGLNDVIRQVVFTLETYGVKNIVGIPFGFRGFFEKGLKEMPLSRNLVENINLAGGSFLGVSRGGAKTSEIVDSLQARRIDMLFVLGGNGTHAGANAIHDECRKRKLKVSVVAVPKTIDNDIPLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLQHLEQLIKNKGFCVVCVAEAAGQELLQNSGATDASGNTILSDIGVHMQQKIKTHFKGIGVHADIKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPVTQVITAPKRVNHKGRMWHRCLTSTGQPDFR >SECCE6Rv1G0377160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:263222:264990:-1 gene:SECCE6Rv1G0377160 transcript:SECCE6Rv1G0377160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRRRRPRSPAAAPPLLDDNLLSEILLRLPPDPSSLPRASLVSKRWLGLVSDPGFSRRFRLHHHHNPPLLGFFESSHFQPTMDAPNRVPEGRFSYEHTDEDGYGCFIPFGCRHGLQLTFHQSGKQLHVRDPFNGDKHRLPVPPGFDGWETAISGAVFRAAGDIQHYQVVLVGTERNNQWHTRVIARVYSSETGIWGNLMSTPLPTKALSSMDGRPTKIRMWFTISVLVGHSLYWLLTDTSADTNKLDGILEFDLERQILDVIPVPMDIANNSIGRLQVMRAEGGGLGIFVLSKFSAQLWKMETYSDGVASWVLGRTVELDKLLSLNPEEKKSLTIGGFAEYNNVVFLRTPTDFFTIQLESLQFKKVSKSNNLTRYDPFESVYVAGTGIDGGPDGAEFLHNM >SECCE2Rv1G0077580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:100628718:100630233:-1 gene:SECCE2Rv1G0077580 transcript:SECCE2Rv1G0077580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASARRRARQLSGDHAVLWSACVLLSAASLLLAATLSSAFGAARLAGEVSVVVRTRAGAAVVTTDGDAVVDDGRGHCGDVGRDGMLTDGEWVREEAGLAPLYDSRDCPFVDVGFRCRENGRPDGGFARWRWRPRHCELPRFDAGKLLEVLRNRRLVFVGDSIGRNQWESMLCMLSSAVADAGASVREEHGSPITKHKGFLSFRFLHHNLTVEHYRSPYLVRRGGRPRRAPRHVRSTLQLGAMDSRAHLWKGADVLVFNSGHWWNQDRLQQLHCYFQEGKRLRLDMSVEAAYQRAMDTVHEWVQKEVDGSKTLAVFRTYSPAHNRGTNGGSCGKETLPELNMTRISLGRWPGMLQPAFKGPESAVVRGLRVMNVTLMTAHRRDGHPAVYNVEPSARIPVGQREDCSHWCLPGVPDAWNELLYAMVLARFS >SECCEUnv1G0558880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:334759102:334760247:-1 gene:SECCEUnv1G0558880 transcript:SECCEUnv1G0558880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRQRVLSAAPSPSTSPLLSLHRLLCAAAPVNPSFAVEEYLVSTCGLTRAQALKASAKLSHLKSPAKPDAVLAFLAGLGLSGADIAALVAKDARFLCAGVERTLSPIVAGLTGLGLSNAETARLVSLAPDKFRQRSIVSKLEYYLPLFGSIDNLVRSLKHGAGILGSDLERVVKPNVSLLAECGLGACDIAKLFVQIPRMLCAKPERVLEMVACAESIGVSRGSGMFWQALHTVAYVSVDNIAARVDYLKKTFRWSDIEVGIAVSKGPFLLRRSKDILKHRSEFLITEVGLQPAYIAHRPAMLTYSLEGRLRPRYYVVRFLKENGLLEHGRSYYTTLIRTEKVFMEKFIRPHKEAAPHLAEDYAAACKGQVPARFRFT >SECCE7Rv1G0469720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:112788417:112792218:1 gene:SECCE7Rv1G0469720 transcript:SECCE7Rv1G0469720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPAAAGALGSPPREVVDAPERASSPPRAAHELCPAAEDAVKEVHGGSVVSGAADTDKAAGLRSGAELESAEPGVSDARSEAPAARIHGQKLSRGSSGSDEAAAISHIPSPVEPSPSDASSNSDTNTTTGAIGGGSSPADGSLSLAEAADSRGREPEAAAAVASSELVGDSDGQDGLIQGDLVPRAGGGGGASRGEGAGTEPEAPDASTPQAKEGVDRMGTSLDDSEASDGSTTQEDSDTDVETASSSSSVEEQDTGYGVHVPLTEQVTCEVASENNVSETKSSDRMDSVAVSTLVLASGAAMLPHPSKVRTGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDSSKKIVMENQGAAGMKTEEVLAMAAVEARSPGSSTVLVAHFDGKVLHVSNIGDSGLLVIRNGQVYTQTKPMTYGFNFPLQIENGVDPSRLVQNYDIDLQEGDTIVTASDGLFDNVYDHEVADIVSKSLEADRKPTEIADLLAARAKEVGRSGSGRSPFSDAALAEGYLGYSGGKLDDVTVVVSIVRKSEL >SECCE3Rv1G0188720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:717978515:717984011:-1 gene:SECCE3Rv1G0188720 transcript:SECCE3Rv1G0188720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRGGAAAATGGGARRGEEGEGEVSLREWLDRPGRAVEAPECLHVFRQVAEAVADAHAQGVAVGSARPSCFVVSPPFSRVAFIESASGSDASGSDASEDADHDAEPPRRGHGAGRGGEERGEKGFPLKSVLAMELNWYTSPEEADDSGGGATFASDVYRLGVLLFELFCSFETLEEKMRAMANLRYRVLPPQLLLKWPKEASFCQLMMHPVPDTRPKMSEVLQSEFLNQSRTSLEEREAALRLREEIEEQELLLDFLLQLQKRKQDIADNLQDTVAFLSSDINEVLHRQSALGQCGNLSIELDKEVSSGTVEDQSDCGSRKRFRPELHAVDMEEHSRSLEECSRTVPSSVLIQESVLSKSSRLMKNFKKLETAYFLTRSKLARQVGNPPRTCDQVVKRTTGSAVGTEGSSIDDFALEGHSGRRQGGWMNSFLEGLCRYLSFSQLKVRAELKQCDLLNSSNLVCSVGFDRDNEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNKSKLSCISWNSYMKSHIASSDFDGLVQVWDVTRSQVFVEMREHERRVWSVDFSLADPTKLVSGSDDGTVKLWSMNQAGSVGTIRTRANVCSVQFQPDSARSIAIGSADHKIYCYDLRNIRAPYCTLVGHTKTVSYVKYVDASTIVSGSTDNSLKLWDLSANQARVINNPVQTFTGHTNTKNFVGLSISDGYIATGSETNEVFVYHKAFPMPVLAYKFNVTDPISGQEIDDQSQFISCVCWRGQSSTLLSANSSGNIKVLEMD >SECCE6Rv1G0448360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:855627710:855629062:-1 gene:SECCE6Rv1G0448360 transcript:SECCE6Rv1G0448360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAISVCFGGRTRRPSKGRRLVAAAAGQVARWARRLCFSLPKRPASAVIQQLDAHGDNDQDCWSGLPEDVLLTAMALMEVTDVVRAGAVCSSWRSAYAEFRRLRLPAKPNPPPCLMHATADGAAAIYSPSTGATFPCGGGGDGFIIAGSAHGWVLAADVATANPCLLNPLTGARAALPAVATLARVKGTFFDDDGTAVYDVDHAFGNATPDMQLVTARTVRNWMFRHIAISAAGVVLLVHMPHGEASYARPGDERWTSLSSHLEPDAIAVVHNDGDGLFYVLCKGGGILFAVVVDGPAAPTAWPMSYLFTIFEPRHTHYLVLRGGELLLVTRQLLLTRPREGEKVVSTTGICIDKVGLGRSKLVTLDGIGEDYALFLGYGSPVCLPVKEYPMLRRNCAYLADDSEQHFPPITRRDVGIWDFENKSMSRFEDLHPWLDNQPPIWITPSLY >SECCE1Rv1G0057130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692549737:692550165:1 gene:SECCE1Rv1G0057130 transcript:SECCE1Rv1G0057130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSAGRLPAWPRRSEQAEADGAAGPEGALADAAARGDGGEAVRRAVAESPVLVVGRRGCCLSHVVKRLLQGLGVNPAVHEVADEAELAAAVTGDEAVVALPAVFVGGRLLGGLDRLMAVHISGELVPILKDAGALWL >SECCE4Rv1G0245740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:535813466:535813720:1 gene:SECCE4Rv1G0245740 transcript:SECCE4Rv1G0245740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKALCPRYQGLSTYEKEYLAIIIAVDQWRPYQQHGEFAIFTDQRNLVHLEEQRLTTSWQQKAFTKPLGLRYCIKYKKGVENVG >SECCE4Rv1G0231100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:178882087:178890028:-1 gene:SECCE4Rv1G0231100 transcript:SECCE4Rv1G0231100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAEVSHRMLGGAPTPPADDAIQGSGVEIAQVGVSVAAGEEENPPAAAPLPGEGNEGGVLDRRQDGAAPALSEANMDVDAAPLASEGNEDGALDKQQDGAPPPLRGANMNVDEAPLASEGNEGGVLDKQQDGAVPLVSEANLDVDEAPFPSEGNEDGVLDKQRDGVAPAVSEANMDVDEASFAREDREHHAATESKTEVNECGVLERQQDVAASVMDKTKMVVDKNSAPQQDHTVAAAPSEGDSRVVDQHPNTSDGGCPVKKDEEGECLVGRYISRSVSDDGRICLGKVASYDGSIGLYNVVFEDGQGDELLLHQLRELLMSEESSASGMKMSCRKRKLDLLVSPGSAMETKAPWRTRQRVDPCKMPARSDALQETGCDSDISGNAASSSNSSVCTKELAAELCPPVQGPELPPSSADIAVPEESISHLFSVYNFLRSFNVQLFLSPFGLDDFVASINCTVQNTLLDAVHVSLLRALRRHLETKSSAGSELASNCLKHADWALLDVLTWPTFLLEYLYLMGYIKSLGGKSFGRAFLAIEYYKLPGAMKLRLLQILCDHVIESGELKAELEAREGYNEETEYETDSSILSEAGSRTVLTRASKASVSKKVESLQDLETALNVTNPEAILPNSSQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGLNKAFLPQGLWFCPECVVNKLGPASSRIERGARGAQMFGIDMCGRLFLGSCNYLLVIGTSTDAGSYARYYNEYDVVKVMRILAPSDAYTDICMRITEYWRHLLDIFQNERSKIGKEAGVRYTTESNTLSSVAPTEAAVGSVLITLKDAAGSKTVVTPQRNAQHETVVNQFTLCSAEHLEKQKCMMTSVGAPTEKNNEVCRQTPLAPNDIHNTPMNGAFRPARMSSISHENRSVVTGVSSVMPAQSSHGLVRPDFPSCSSGIDNGLSRQDTGDTISIKAGLSCPSYKSKYPLQLIAEIGSTSDGKSAKVPSFKPQAYMNLYNHGNVAASAAANLAILTSEEGKVSVSQLTTNQRKKMAADCALQMKAFSSPAAQFIWPSTERKIMEVPRDRCGWCIACKISAAGAKKACFLNVATTNAAKGSARILSAMHVIKSSESHFPSIAAYMVNMEESLRGLLVGPLQDMQQRQRWHKQLQEASNCKTIVPLLLELESNIRSVAFSPTWVKLVDDWPVEPPPASAGASRPAAYQKRGTGGRRGRKRSLVTESAAVADDDKSWKKVNWWSGGNISKRILQRGVHLSSAIRKAARQGGKKRMAGLSYHDCSILPRRTRQLAWRACVGLSQNSSQLALQVRYLDAHIRWKEFLSPDQIPSDGKISDADFSALRNAVVCDKKIVDNKIRYALKFPNQKHLPVRVTKNILEAEGNQDQNGKFWFSENHVPLYMVREFEQKPGVGSLPTPGIVHSFMNLYKRRVKASTGDVFSYLFHRGDIYPCSSCKKDVPFRDVIRCSDCQGYCHKECTVKSVGGKGGTAASSLICKLCLQKRNLILTNYSTNARYALPQKKSTGQLPVSAPKIIFKVGSSHSAEPAAKVQAQPVAKVKAQPATKVETWPVAKVEALSTTKVQTHPITELKAWPVANLATQNVAGLQALAKIEANRSKSEKPRRRRRTEEIKYFGLSWKKNKSDRSGSDFRANDVILKCKDGIGSAIKPTCCLCKKSYCPDFLYVRCEQCKAWFHGDALRLEEERILEVVQYRCCKCRRRAMPICPHSDDYKKPGPEFSEQTVAMSSQSSMLSSEETASVADQDPLLASYGIVEPIGKETFDADLSMNTVRFTSGTNQKLAIRRAQGKNSGCVDQAGVDEYSNQNQPMVDANADFSHMNGFSLSELEGVDPSELLGWDLSQGNEYTSPPDYAANCQLNGASCGSAAPGDFEPQTFFSFTDLLEADDTRFGQTFGMSSGLPDDGNCTGSFDQEIASFDDMAFMVEDVSSNMHFPANAPPPDDAPCKKCNSSQPPPDLKCLVCGLHIHRQCSPWDESDLPVESADWACGACREWR >SECCE3Rv1G0205310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899817849:899818739:1 gene:SECCE3Rv1G0205310 transcript:SECCE3Rv1G0205310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPPPPPPRFKFNHMFPVQVPVQSPPFVYHAAPLPPVQPQLPVQVRPVWAGSFNEEWGYLQSFAASARYVAVDVHYPGLVHAAGQDLSSLTVEHRYALMKANVDGLKPLQIGIAVCDHQGQQVAWEFNLRDFCRFTDPHDDKALDYLAARGLDLDTLRNHGVDAYMLGALLMGSGLIGAGHGRPSWITYAGAYHVAYLLKIVTGGAPLPHDVAGFLGAVQYYLGQQVYDVATMAAGCTGMPVGLDLIAASLHIHPPWGSPRLAGAAGVRALLAFRMLKHGEFGGNVERFRGLLQF >SECCE5Rv1G0341190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:622047579:622047824:-1 gene:SECCE5Rv1G0341190 transcript:SECCE5Rv1G0341190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRMAAAAFVRRLAPARPPALLAEAEAVTCGRGDKKTKRGKRFKGSYGNSRPKREKKIERIKDRVEVPRSTPWPLPFKLI >SECCE7Rv1G0472260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:140041732:140050658:-1 gene:SECCE7Rv1G0472260 transcript:SECCE7Rv1G0472260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVEMRRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCMYKTLERYRTCNSNSQEATPPLENEINYQEYLKLKTRVEFLQSSQRNILGEDLGPLSMKELDQIENQIDASLKHIRSKKNQVLLDQLFELKSKEQELQDENKDLRKKLQDTTSSCGENAVHMSWQDGGQGSSRVLQHPEHDTSMKIGYPQAYMDQLNNRDHVTSERPGGGSSAGWI >SECCE5Rv1G0349420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:683756338:683758244:-1 gene:SECCE5Rv1G0349420 transcript:SECCE5Rv1G0349420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGGHGPSGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMVGIFLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKEY >SECCE4Rv1G0266020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:728746910:728748067:-1 gene:SECCE4Rv1G0266020 transcript:SECCE4Rv1G0266020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAQFGPWSDLPPELLSLVLKRLDSLADRVRLRAVCHPWRSNSMLQNLPRPFPWLTLTDGTFFSIPGSEVHRMPLPDGARWHGSIDNRLFLMSCDGACSLLNPFSKSTLELPNLASVWQSQIDNHAGCADDPVSYKLVAPLPLDLSPKFLAAALIVGNCYSIDLCVVQPPAATYSFRGIRTAEWEPPTLVDLAFLDGRLYVLSGFFKLFVVDFGENLGTNPNMKCIIDFCGYCGTPPHLSKKVFYGLSQYLVECGGRLLMVQRFTQSEGFSNSHTVGFNVLEADLRTNPGQWRMVSDLGGHSIFLGKQSSKSLPAGECSGSQEDCIYFICDYPCPESSAEPLRDAGIYNVISGTIMPLYSGNPAVPQRQAGQWGLTWFFPSEAI >SECCE5Rv1G0369090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:833434331:833438839:-1 gene:SECCE5Rv1G0369090 transcript:SECCE5Rv1G0369090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANQSLAVEDGTGTGGGGGQEYTQDGSVDLRGNPVLRSKRGGWTACTFIVVYELFERMAYYGIAANLFIYLTEKMHQGTVEASNNVTNWSGTVFLTPLLGAYLADAYLGRYWTFVLGSAIYLMGMLLLTLSVSVGALKPPECVGKVCPRASALQVGVYFGGLYIIALGNGGTKPNISTIGADQFDDFDAREKSHKLSFFNWWMFTIFVGILFSSTVLVYLQDNVSWSVGYGIPTLGLVASIVIFLAGTPVYRHKLPQGSAFTRMGKVVGAALRKWRLPVPADAKELHELELEVYTKKHKFRMDSTNTMRFLNKAAVKDVGGSSSAKWSLCTVTEVEETKQIMKLIPLLVTMFVPCTLIAQTNTLFVKQGTTMNRHMGAGSFEIPPASLGAFVTLTMLVAIVVYDRVFVKAVRRYTKNPRGISLLTRMGIGMLVQVLTMGTASLIESQRLSYARRHGLDVTGGKLRLSIFVLLPQFVLMGLADAFLVVGKIEFFYDQAPESMKSLGTALSLTAYGVGNVLSSFILSLVTRVTRKRGSPWVADNLNAAHLDYYYAFLTLLAAANCVVFAVLARRYKYRAESTDTIDVDMDLQAQREAAKKIHSEPMA >SECCE7Rv1G0468170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95457739:95457969:-1 gene:SECCE7Rv1G0468170 transcript:SECCE7Rv1G0468170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALVVAVLLVHCCNVVLAARLLEGDGGWLHGGAGAAGELIMQILAGGHSPGAGAPNPCTHDPINNNPPGAVCHG >SECCE7Rv1G0457190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14595595:14597829:-1 gene:SECCE7Rv1G0457190 transcript:SECCE7Rv1G0457190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEAARGGGITEPYGTVEERLLRLEVVVLASALVLASLVLYGSTQRRSSDRVLRGVMWIAYSLSYVVVTYAVGIIQDGPFHGQTFVLWASALLLIQASAYAAPVHSRRDVDQRKKLLLQHALQTALVLWLILNAIGANASYRAAIWAFWSLSVLKTAAKIVEMVRSSLPDPSVKVVAEYMDVEQFLAADQLPANPTTMKGYKYLFHGEEIIQHQQQLRHQYHPSQDETLMRTTTSSVLTRTTSSILTATTSSGSPNVNVKGVVTIDQVYQWIDRHGCSDVERDMAKDFCLAFSLFKLLKRRFYGYIPAEAGSRKSLDLVLTGLIHEAFTGPDAAFRVVEAELAFLYDFFYTRNILPVGVRTYIFIAVVMLSLTMWTAFVGTLGPGYSRPHVGVKNLDRSVTVVLVVITAALEVYQALAAFSNNWRYVMTVYRCVRDDRPWTTQRHGHLWWWKQSITPPATTYWEQKIGQYELLHWYDHRPWNVRSWVTLYLVEPRRQGQKRGRRKHLPTQVRRAVLDSLKASRGKLTNGVATLQRHGLSQQLTWACEFPKFTDQILVWHVVTTRFDWISGGDGTDDGVGNHRLVARKLSNYCAYLVAFVPEMLPDPSYNAEQIFDTTVQQARDQLAGCLTTRDILAQLEEMQSKEESYLEGGRAGSSSIVEKAALLGGQLMASVPDEGRRWKVLAEFWAEFILFLAPSDNVDIHAEMLGAGGEFMTQLWVLLSHAGILERPAAATPASAART >SECCE5Rv1G0371950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:850575328:850578798:-1 gene:SECCE5Rv1G0371950 transcript:SECCE5Rv1G0371950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAASTLSSPWRLLLQRALDANAHLKHSTFFQLIGEIKEWPLGEICWYFTDSWEQFRISGIIDVIDGSSLDPAKLQQREKAWFASSVKSRSQYLGQSPGVPVANDDHVKDVHIDPSAGPVDAYCLLTLDPEKVDYVNLKSNQRFMFTRTQEGEEFSDWMSKKVSP >SECCE2Rv1G0064040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:1136669:1138168:-1 gene:SECCE2Rv1G0064040 transcript:SECCE2Rv1G0064040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLVLIVLVLCSSAAHLVTYSTAGFHMELTHVDGKGSYTAAERVQRAMASSGQSLASFVDVTAPVHWNTSQYIAEYLIATPPQRAEALIDTGSDLIWTQCSTCSQCVKQGLPLYNASKSDTFHPMSCNDPLCLANQEHSCRRDGSCAFGAFYGAGDARGTIETDVFAFQNGSARLTFGCVDLQNHGVDSVMITPGSLDGASGLIGLGRGPLLLVSQAGASKFSYLRSNATAGASSHLFIGASASLSGDSPVTSLSFVQGPKEYPFYYVPLIGISVGQTRLSIPPTVFALKENGTGGGVIVDSGTPTTGLELRRQLNGSLVPPPAGSEMDLCVAVAQEKTLPSMVFHFSGGADMVLPPENYWVPVDNSTSCMVMETSSGLSIIGNWNFQLQNMHMLYDLAKEELSFQTADCGSL >SECCE7Rv1G0494220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:580298259:580298492:1 gene:SECCE7Rv1G0494220 transcript:SECCE7Rv1G0494220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVTTSGVEGSMDSPASSCVSSDAEVEMPMVVAGCPQCLMYMMLPDSEEEKQPKCPRCKSPVLLHFHKYCDKKNKA >SECCE4Rv1G0293070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884542257:884543165:-1 gene:SECCE4Rv1G0293070 transcript:SECCE4Rv1G0293070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEKNVVAMLFLTTIVAVAAIRPAETYGAAEEKTLKTATPSRKGVDATLEATHVVAAEKSIKKKAETSTTPEEAAAAKQDGAKPASAASKYNAISHQATGKGSSTSPSRKEAAATLAAAHYADAEKSIKKAETATTPEKAAAAKRDAAKSIADALKYGAISRKAAGTSSSTSPNGKEATPDLAAMHIAAAKMFTKKAETATTPEEAAAAKRAAAKSSAAALKYDVRKEAGASSSTSPSRKEAVAATSADARIAAAEKSIKKAETAARPEEAASAKKDAAKSEADAMKYGAISQQAAEKNGA >SECCE2Rv1G0115990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:759653913:759656400:1 gene:SECCE2Rv1G0115990 transcript:SECCE2Rv1G0115990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPLWHVPSLMCATSVHAGSSGGLLEHGGIKTHGIPPAGHTRQEPSSGTTLGPTSSPSTCTLARTLIAMASDQPLARALVVICAILCCSPQAWAVNYTFARDATRAPAVSYYDYIIVGGGTAGCPLAATLSQRFRVLLLERGGSPYGDQRVENMTHFTATLADTSAGSPAQRFVSEDGVINSRPRVLGGGSCINAGFYTRASDEYVLDVGWDLEATKAAYRWVEDVVAFHPELGPWQGALQRGLMEVGVAPDNGFTFDHIDGTKVGGSIFDDEGRRHTAADLLRYARPEGIDLLLRARVAKILFNVGGRRARPVAHGVVFHDSRGRMHKAYLNTGRRNEIILSAGALGSPQLLMLSGVGPADHLRSFNITLVLNQPAVGQGMADNPMNAIFVPSPSPVEVSLIQVVGITQFGSYIEGASGSNWANPRHQGSGGNRRPPRNFGMFSPQTGQLATVPPKQRTPEAIARAAEAMSQLDDAVFRGGFILEKVLGPASTGHLELRNLNPDDNPAVTFNYFSHPEDLRRCVEGLTLIERVIQSKSFENFTYPYFSMEELLNITAEFPVNLLPRHDNDSKSLEQFCKDTVMTIWHYHGGCQVGRVVDAEYRVLGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIRGERVHAEGLSRRKL >SECCE3Rv1G0146980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:13018917:13020425:-1 gene:SECCE3Rv1G0146980 transcript:SECCE3Rv1G0146980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPARSNTVTPFGELPPFQPLNDDDLRSYLHKAVDFISDYYKSVESMPVLPSVKPGYLRDELGVSPPVHPAPFDVAMKELKASVLPGMTHWASPNFFAFFPATNSAAAIAGDLIASAMNTVGFTWQAAPAATEMEVLALDWLAQLLRLPRSFMNRTGATRGTGGSVLLGTTSEAMLVTLAAARDIAMRRSGARIPNLTVYASDQTHSTFFKACRLAGFDPANFRSIPTGPETDYGVEPVKLLTAMQADAMAGLVPTYVCATVGSTSSNAVDPIGDVTKVAAMFNVWVHVDVAYAGSACIYPEFRHHLNGVEGVDSISMSPHKWLLTCLDCTCLYVRDAHRLSQSLETNPEYLKNDASVSGDVTDLKDMQVGVGRRFRGLKLWMVMRTYGTANLQEHIRRDVAMAKMFEDLVHADDRFEIVVPRNFALVCFRIKTSGVRADDEVNRLLMVNVNKTGKAYLAHTVVGGRLVLCFAVGSSLQEERHILSAWELIGKTISEMMN >SECCE5Rv1G0328650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509352817:509353746:-1 gene:SECCE5Rv1G0328650 transcript:SECCE5Rv1G0328650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPVAVPRPRPILRLDRLHLAPIRAAAARTPVPQPLPDELQLVADVRSPHNHIRVADVSPRAAGHPLAGARLLLLDGPGNIHSVSFPRRPYCPLTSTYFDVFATLPPLLPLPSIAVLGFGAGSAARALLHFYPDISVHGWELDPSVIAVARDFFSLAELEKDHAGRLVIHVGDALEAEAVPGGFGGMLVDLFANGSVLPELQEADTWRQIGGMVTPGGRVMVNCGGGCVEAEEEGRDGEAVKDATLRAMAAAFGEGMVAVLSVDESWVAMTGPVAWAPEEAAAWKARLPPELGHYIDMWKPYNGNGDT >SECCE1Rv1G0047900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:630234799:630237315:1 gene:SECCE1Rv1G0047900 transcript:SECCE1Rv1G0047900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLELDSTLVLCLLFVVSCFTIVLRGFGYGRKGAQPPTPPGLPIIGNLHQLGRGRPHRTLEALARRYGPIIFLRLGSVRAVVVSSASLAEAVLRTQDNVFCSRPQQYTARGTLYGCRDVAFSAYSERWRQLRRIAVVHLLSVKRVDSFRALREEEVARFVERVRAASAAQENGGKGPGINVTELIITLTYSVISRAAFGHKLGGVEPAKVRDMMKELTELLGTIAVSDVFPRLGWLVDWATGLEARVRRTAAKLDSIMERAITEHEGEPGNDGEARDLLDDLLSIAKDGDQGFKLDRIDVKGLILDMFIAGTDTTYKTVEWTMAELVKNPREMAKVQAEVRQIAAGAHGGVLEEELEKMNLLQAAIKETMRLHPAVPLLIPRESIQDAQLHGYDIPAKTRVMVNTWAIGRDSESWENAEEFRPERFLGGTLDYTGKDPRFLPFGAGRRGCPGLAFGTRLAHLTLANMMYHFDWELPGGEDPESFEVVEASGVSPGLKSALILAVKPL >SECCE3Rv1G0168240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:216605832:216607916:1 gene:SECCE3Rv1G0168240 transcript:SECCE3Rv1G0168240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDESVQQWAAGARVPASRSAEEQSFRERHGDPMVASPGQDSPFDASALRVAAHPVSVSLPASPSRFHVARTEAEFPPRHAVADASRMLSLPPRPTPMVSVVFEHLEEVVFRSQPIPAAASELPDAQDSQSQVLRDDSMSGARMGRSKARRDTSYDSFKTWSGKLEKQLTTHLRVVRQPQQHEAEPEEEDDGAATSGRPYASMPRVHRFFAALEGPELDKLRSSEELVLPSDKTWPFLLRFPVSAFGMCLGMSSQAILWKNIAISASTRFLHITLRTNLVLWCVSVALMCFVSALYACKVIFYFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPEMVAETLPHWLWYVLMAPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGAIMGLREGPIFFFAVGLAHYIVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRIAYFIAMFLYASLAVRINMFRGFRFSLAWWAYTFPMTSAAIASIRYSSEVKNAFTQAMCIVLSVVATLTVTALLLTTLLHATVLHDLFPNDISIAITERRPKQSAIAELNELHGNSKDADAACRDLEAAYRP >SECCE7Rv1G0491430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:527319403:527321500:-1 gene:SECCE7Rv1G0491430 transcript:SECCE7Rv1G0491430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPASDAGGCLEVRLFYVRLSPRGPGAAALPRLSLAIHHAGGVASPSSLPLRLDRRDPVSGEATYVSTAAARLPPPAASFEVADHRGAALLRGSLRRCPDAKADSPAWAIDFVPADAAAASVSAFEVYVAGYCAGEPAVLTRALRLATPEEAAVGLVRRRSAPLTAMCDEDESDMNMGTRAYPEGWYSDDDDGQLTWFNAGVRVGVGIGLGVCVGVGIGVGLLMSSYQATARNLKRRFF >SECCE4Rv1G0243580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:504171289:504177632:1 gene:SECCE4Rv1G0243580 transcript:SECCE4Rv1G0243580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKLKKFGKARKGSKREGEALECDEDANAGNVASEGDILEENPEAAAGAGVANGGGAGVANGGGEVGEEEEDEEDDFITNEVKRRLKEMRKNTFMVLIPEEENAEVEEDGDDEEEEEGSSSREWMESDVGEGFPLCGFDSLYDKYSQRMVAFDKTITQIFKDSGSFNISKKSPRSASKLASTLRSLSFKRRDELQEDCESLQQQQSEDDPGQILETAYVAQVSLSWEAIHCTYMHLSLILAAQPENPTTYSCAAQAFQQFQVLLQRFVENEPFEQGSRFEIYARSRSSLSKLLQVPTFQVADGKDNAEDQTEPILAPDLMKLLEECILTFRVFLKKDKKKSSVLMGVHGHTGSSIQQVQSSLDKKEVKVKELFKKKKGWKSKTWPTTMEEVQLLFALTDIKVVSRVLRMAKLSKEQLLWCEEKMSKLDLSDNKLRRDGCPILFPC >SECCEUnv1G0556060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:307991692:307993944:1 gene:SECCEUnv1G0556060 transcript:SECCEUnv1G0556060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSPEPEVKIVVDRDPVKTSFEEWARPGHFSRTLAKGPDTTTWIWNLHADAHDFDSHTGDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQLWRASGITSELQLYCTAIGALIFAALMLFAGWFHYHKAAPELAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLTFRGGLDPVTGGLWLTDIAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHTDTMSALGRPQDMFSDTAIQLQPIFAQWVQNIHATAPGVTAPGATTSTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHFFLGLFWMYNAISVVIFHFSWKMQSDVWGTISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >SECCE2Rv1G0117380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:772238514:772238918:-1 gene:SECCE2Rv1G0117380 transcript:SECCE2Rv1G0117380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGGGGGKKSRAWPWWLGASAAQITGALVWFRRGKGGSDMTMPFRAFAVASLFVGAGATTVTAGVSAAGVGSVEEMKGLGARIRKWSRVPPRRVEGGE >SECCE6Rv1G0435760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772831120:772831880:1 gene:SECCE6Rv1G0435760 transcript:SECCE6Rv1G0435760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYNGGRPMSYSTTEESFDGGRTVYSTTTEECYDAGKLGHGTGYGHGQSHGNGGTNMYFNPTGADSRMYGHGGGGGGRTVYTTTTDECFDSVRPAHGQGYGYGQNNGGTMSYTTTTESFGGGEQGQGYYKKEVTQHKNRERVGEAGALAAGALALYEGYEAKTDPAHARKHQIEAGLAGAAAAGAGGYAYHEHLEQKQPSYGGKQVYRMPVHNSYCN >SECCE1Rv1G0022390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:284526987:284527328:1 gene:SECCE1Rv1G0022390 transcript:SECCE1Rv1G0022390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSISPKRILPSFHGPLTEEEMREDPGPEQVGLGYRAVSAVGVTEDVLVQFIVGYDILVDCWERPLYSKYAFLGALRSAAQMVPYEVSIGLILIVCLVSTFGSAKVITRIFP >SECCE4Rv1G0243900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:507966513:507971300:1 gene:SECCE4Rv1G0243900 transcript:SECCE4Rv1G0243900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQMDNRIQSAGKRARTDGSRREDDWICPSCNNVNFAFRTTCNMRNCDQSRPADHSKAMQTPPHYSVPGRYMPPGTPPSMYLTGAPPPYGSNLYNGHGHPMPRYGIPQLPPGSGYPYGYGGRVPMGSPYGPMHMAAPPQYSSGSMIGAGGMYSISMPMDRYGLGSPAGPGAMGTRAGSYSDEGSQKKSAGAGRDNDWKCPNCNNINFAFRTVCNMRKCNTPRPDTQGSKADSSRAPKPKTPEGSWKCEKCNNINYPFRTKCNRPSCGEEKPLQANSPDDLATDQDNQFLSCNMGKLLSKLQLSHDFEDSSLLIEKDLPGYAAGLPVPTSSHALRMAALSELQDSL >SECCE5Rv1G0339050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:604472928:604473239:-1 gene:SECCE5Rv1G0339050 transcript:SECCE5Rv1G0339050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE6Rv1G0416810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:650788533:650791149:1 gene:SECCE6Rv1G0416810 transcript:SECCE6Rv1G0416810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWQAFGVALLMSYLVLAIRGEDVRTTKNRENIDQIRQVDKTMVTDGGDVYDCTDVNLQPALNHPLLKDHKIQMEPSSLPNGLNIKSPFLNVESEAHPSVIECPIGTIPILRNNRREDVAGHNIDAVFTKFQQQGVAGIKYYDDVYGARAILNVYEPKVKKDSKDLSATGVQIDNGPNGIDGIIVGYSVAPNLVGDSFARFHIAWDAGASNKSCYDHTCPGFVQVNRNFGLGGRLQHVSVYNGKQYVLKILIFKDTKTKNWWVAYGEANIPIGYWPSSLFTYLRDKGNYTLWGGHVSGPTASSDSPQMGSGHFASEGRGKAAFIKSVQIIDENNKLVTPNENRVVTGTSDITKYTVDGYGIDKEGMHIYYGGPGNFV >SECCE7Rv1G0479300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:250314819:250318395:-1 gene:SECCE7Rv1G0479300 transcript:SECCE7Rv1G0479300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFNGGAGWPEPVVRVQAVSDTCGETIPERYVKLPLDRPSATTLQPAVSHGGGSLNIPVVDMSMPDSDETVRAVDAACREWGFFQAVNHGVSPELLRRARSSWRGFFRQPAEVRERYANSPATYEGYGSRLGTTKGGHLDWGDYYFLHLLPPSIKSHDKWPSLPSTLREATEEYGEEVVKLCRRVSRVLSKGLGLDGGRLQAAFGGEGGEGACMRVNFYPRCPQPELTLGVAAHSDPGGMTMLLVDDHVRGLQVKKDGQWITVDPVRDAFIVNVGDQIQVLSNAAYKSVEHRVTVSAEEERLSLAFFYNPRSDVPVAPMAELVAPGRPALYPEMTFDEYRAHIRQRGLSGKAQLQSLQNANSSVAS >SECCE6Rv1G0436760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778960666:778970002:-1 gene:SECCE6Rv1G0436760 transcript:SECCE6Rv1G0436760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQLLQLTEHGRNLFSSRRRTLAVVSGALLAGGTLAYAQSGRRRKHREENHCNDANVHTRSKESISQNGVDGKVVKPRKKKNLLKSLHFLAAILLKKIGPSGTNYLLGLMLTAVLRTAIGHRLAKVQGYLFKSAFLRRVPTFTRLIIENLLLCFLQSTVHQTSKYLTGSLSLRFKKILTDLIHADYFENMVYYKISHVDHRITNPEQRIASDIPKFCAGLSDLVQDDLIAVADGLIYIWRICSYASPKYVLWILAYVLGAGGTIRKFSPSFGKLKAMEQQLEGEYRQVHSRLRTHAESVAFYGGENREESHIMQRFQALVRHVNVVLHENWWFGMIQDFLLKYLGATVGVILIVEPFFAGDLKPDTSTLGRAEMLSNLRYHTSVIISLFQSLGTLSTSSRRLNLLSGYADRIHELLDVSRELSGVRDRSMSRNSSAKNYISEANYIEFSGVKVVTPSGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLSYGGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCNRVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWKVQDNRNGSFLPTESEFDALKSSETDRKSDALAVQRAFSANTKDNTSSGPREHSYSTQVIATSPNMEIESTEQPHLIPQLQCSPRPLPVRVAAMSKILVPKIVDKQGAQLLAVALLVLSRTWISDRIASLNGTSVKYVLEQDKAAFIRLIGTSVMQSAANSIVAPSLRHLTSKIALGWRIRMTNHLLAYYLKRNAFYKVFNMTGMEIDADQRITRDVEKLTNDLAGLVTGMVKPSVDILWFTWRMKLLSGRRGVAILYAYMLLGLGFLRAVSPDFGDLSNQEQELESSFRFMHSRLRTHAESIAFFGGGSRERAMVEAKFATLLNHSKILLRKRWLYGIFDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGVNRIFELEELLKASQSNAVMPSNVISVPSEETISFRNVDIVTPSQKLLASQLSCDVSQGKSLLVTGPNGSGKSSIFRVLQSLWPVASGRLTVPSEGIFHVPQRPYTCLGTLRDQIIYPLSREEAELKMVKTGDRSTSLDDHLRTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHSPKFGILDECTNATSVDVEEHLYRLATDMGITVVTSSQRPALIPFHSLELKLIDGEGKWELCSINH >SECCE6Rv1G0393080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:274090709:274094884:-1 gene:SECCE6Rv1G0393080 transcript:SECCE6Rv1G0393080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGAAGMRRSASHNSLSGSDDFDLTHLLNKPRINVERQRSFDDRSLSDVSYSGGHARGGGGFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTIAAFDHASEEVLNYDQVFVRDFVPSAMAFLMNGEPEIVKNFLLKTVLLQGWEKKVDRFKLGEGAMPASFKVLHDDKKGVDTLHADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAERPECQKAMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQSLFFMALRCALLMLKHDAEGKDFVERIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCEGGFFVGNVSPARMDFRWFALGNMIAIVSSLATPEQSMAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAASIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGKYVGKQARKFQTWSIAGYLVAKMLLEDPSHLGMIALEEDKAMKPVLRRSASWTN >SECCE2Rv1G0136390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:911372083:911376495:-1 gene:SECCE2Rv1G0136390 transcript:SECCE2Rv1G0136390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSSRRGPMDDEQLSFETSAGVEVVGSFDAMGIREDLLRGIYGYGFEKPSAIQQRAVVPIIAGRDVIAQAQSGTGKTSMVSLSVCQLVDTNIHEVQALILSPTRELATQTERVMQAVGNYMSVSVHACVGGKSIGEDIRKLEAGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDEADEMLTRGFKDQIYDVYRYLPPELQVVLISATLPHDILEITSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRTNNFTVSAMHGDMPQKERDAIMSEFRGGTTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >SECCE2Rv1G0113750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:725434436:725435128:-1 gene:SECCE2Rv1G0113750 transcript:SECCE2Rv1G0113750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHVGSSHVHADGERRAKEEDVVVVLAVDAEPAEADDHPGDDEGGVNYMARAQWLRAAVLGANDGLVSVASLMIGVSAVNDAGKTMLVSGLAGLVAGACSMAIGEFVSVYAQYDIEVAQIKRDGAKGKESLPSPTLAALASALAFAVGALLPLLGGGFVRPYGARVGAVCAATTVGLAGFGAAGGYLGGASVTRSGFRVLLGGWVAMAVTYGVLWLFAKVSHIHVSSLG >SECCE2Rv1G0070890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:38199188:38199439:1 gene:SECCE2Rv1G0070890 transcript:SECCE2Rv1G0070890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTYRPYAAEYGAVERKVPGARWVASAAAASSVAWFVGDQAEMKRRGRVAGYKAYAVEGKVKASLRRGLRWIKAKCSHIVHR >SECCE1Rv1G0039950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:555025838:555029050:-1 gene:SECCE1Rv1G0039950 transcript:SECCE1Rv1G0039950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAPEPRRLSRALSLDGSGVPEEALHLVLGYVDDPRDREAASLACRRWHHIDALTRKHVTVPFCYAVSPARLLARFPRLESLGVKGKPRAAMYGLIPDDWGAYARPWVAELAAPLECLKALHLRRMVVTDDDLAALVRARGHMLQELKLDKCSGFSTDALRLVARSCRSLRTLFLEECTITDNGTEWLHDLAANNPVLVTLNFYLTYLRVEPADLELLARNCKSLISLKISDCDLSDLIGFFQIATSLQEFAGAEISEQNYGNVKLPSKLCSFGLTFMGTNEMHIIFPFSAVLKKLDLQYSFLTTEDHCQLIAKCPNLLVLAVRNVIGDRGLGVVGDTCKKLQRLRVERGEDDPGMQEEGGVSQVGLTAIAVGCRELENIAAYVSDITNGALESIGTFCKNLHDFRLVLLDRQERITELPLDNGARALLRGCTKLRRFALYLRPGGLSDVGLGYIGQHSGTIQYMLLGNVGQTDGGLISFAAGCRNLRKLELRSCCFSERALALAVRQMPSLRYVWVQGYRASQTGRDLMLMARPFWNIEFTPPSTETAGRLMEDGEPCVDRQAQVLAYYSLSGKRSDYPQSVVPLYPA >SECCEUnv1G0538690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74003062:74004117:1 gene:SECCEUnv1G0538690 transcript:SECCEUnv1G0538690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFVKTLTGKTIVIEVASSDTIDNIKEKIHEKEGILPDQQRLIFNGRHLNAKWTVSDYNIQEQSTLHFFLRLGGPCTVCFHRYINVQMLAGKTVSIEVDPTDTIDTIREKICAPQRLFFAGKQLEDGRTIADYEFGNDITLHLDFGMQIFVKTTIGKTTTLLVEPSDTIDSIKEKIQGKQRITFDGKQLDGQGSLTDYNIKRGSTLYLRPCKHADMQILVKTQTSETIRVMFKLSDTIGAVKAMIQEKQRIMFEGRKLDDGQSTLADYDIQEESTLHLDFGMEIFVKTPTGKTITLEVDPSDTIDSVKEKIHGNQILLFGGKELESGQTLEDYNIQGGSTLHVEDRLTQG >SECCE1Rv1G0019060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:218678350:218680106:-1 gene:SECCE1Rv1G0019060 transcript:SECCE1Rv1G0019060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCYRPTATTSPEAPAPVPKVEAEAAATAARNPSSPSGPPSPEMEGTAEALTREEVLRRRRRRTARLVGVYRRLYWAMAEEVRARHRQYVWELGRSPLEAEQPPSAACSEAKPGPAAVPRRKKCGFTGCKVRAMPMAKYCHSHILSDPNQALYKGCGQIIKSGAQIGQITCSRPILKASVPSLCNVHLQRSQKNISQAYKKVGFNPPPTGQISPDFSVLVAECVRQIQGRRRES >SECCE4Rv1G0244950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:523493845:523497819:1 gene:SECCE4Rv1G0244950 transcript:SECCE4Rv1G0244950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKGKLKPTRRGNIRHQNPTTTIALAQRRAAVTAAPNSVLRRVAPLPLAAMGSCFSTQPGDEPAWPLRWRKRPHGEREGAAAAGAFFSGGGGGGGGKKLPGEGEMTEEELARVAGRTCANGASAAACLHTQQGRKGTNQDAMVVWESFNSSDSVFCGVFDGHGPYGHFVAKKVRDSLPVKLLAQWKTSANVGTSPHLNGSISGSLNSEETASAVDDEWGESADVEGSDMLPETFLPLKQSYLKAFKLMDKELKMHPTIDCFCSGSTAVTLVKQGWDLVVGNLGDSRAVMATRDATNNLTAVQLTVDLKPNLPKEAERIQQCRGRVFALQDEPEVSRVWLPNNDSPGLAMARAFGDFCLKDYGLISVPQISYRRLTEKDEFIILATDGVWDVLSNKEAIDIVAAAPSRATAARALVDCAVRSWRLKFPTSKSDDCAAVCLFLDHEESPNLVEESEAKNEKAEPAKDALISDAGDKINEDIADVNEHISREEHIPEPTLEHSSTLRNVDEIMPVDEPPVSKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRKLSGDKKSTSWKKRR >SECCE2Rv1G0108070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:658339683:658343229:1 gene:SECCE2Rv1G0108070 transcript:SECCE2Rv1G0108070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMRRHSVSVDVPLSRTLVQLKRVRSLRDPATNSMSKYASPSDSMIWETASSNGAMMEGSRSAHHHLIEEDVDLEAEATMGSERSFRAPNARTASYRKSSVVRIRGLNPPRNKQVHRVRGDGHRKSVDSNHSNHSSLRQLANNIVTNVLEEKEEEEVNSYERAHLALPEKSEEEVKTRSKFKGKCSAAMSRVGSPCMSASEARSVGSRRSTVGHDVVGSNFSGCGISYCWSGASKYRDLYSDSDGPEQPLLSPEGTEVPFQENVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKIAPMYLFHGPRGTGKTSTARIFAAALNCLSLEEQRPCGFCKECVILFSGRSRDVKEIDAAKMDRLDRVKALLKSASLVPYSSRFKVFIVDECHLLLEDAWSAIVKSLDEPYRHAVYIMITSDLDSLPRTSVTHCQKFHFPKIKVADIVHRLERICIDEGLEFDHDALHFIAAKSNGSLRDAEIMLDQLSLLGKRVTISLVHELVGLVSDDELIELLDLALSSDTTNTVRRARELMASSVDPLQLVSQLANLIMDILSGRCQSTVTEVSKSFLGRYALADVGIEKLRHALKILSETEKQLRTSRNKATWVTVALLQFGSTDSDIVAETNDMHARSATGYTDDWVSKVNSSSNFCDACNSNKSNCSERHCRRLKLENIWRRAIGKCQSRSARSFLKKEGILSSVHVTEEVAIAEVGFSHPDHLSRAEKMQSLIQGVLQHVLGFNVEIRFKLVPCAARKDARSKRHSFSLLGCSGRKQELSDSSVTDEDEPVRHGARETPLKGYSSSQQPSPFIVQRVDSKPTVHGSEDDARSTLTSNRSMTDDLTRTCRSETNYSKGASEQGRFDSIQEPDLQPNCFSRTLKLQKRFFSSDAAHTICFRIQPHNKMGFLPKKEFDTYFCTYGPYEQCPRSNSRATYGSRDEDLSIKTSSGFGSNLLCWKGPKQSI >SECCE7Rv1G0499770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:657005730:657009529:1 gene:SECCE7Rv1G0499770 transcript:SECCE7Rv1G0499770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLQSLVLSGNWLNGTVPSLSGLAFLQEVDLGRNRLDGAFPEVGKAVARLVLADNNFTGKIPAGVASLGQLRFLDVSRNRLEGWIPSAIFALPALRHINLSHNKLSGQLPASTACADTLEFVDVSANLLIGARPACMRSNSSARTVLDAGNCLRDAKLQRPSTYCSPGALAALLPPPQGSGAEQSGGKGGGVGMVLGIVGGVVAGALLIALVMVVVLRRARRQHPGVMALPKSPLITPAKKGDGAQATAKMSQKIATPADKRHASQAAMVNMLEVPAYRVYPAEELQEATDNFASSNLIKKSPLAQHYNGQLQDGTRVLVRCLRLKPKYSPQSLSQYMETISKFRHRHLVSIIGHCIVSDQENPAIASSVYLISECVTNGSLRSHLTEWRKREMLKWPQRVCASIGIARGIQFLHNLTVPDTVQNDLNIENILLDKTLTSKISGFSLPMISTSKNGKLFSENPFAVQEENDHGSAQPAGQGDRDDIYQFGQILLEVITGKPTVSQSELESLRAQLSEVLAEDPDMLKDMADPTIRGTFAVDSLSKVTEVALNCTAGDPSDRPSVDDVLWNLQYSMQVQDGWASSESLSLSVKSQA >SECCE3Rv1G0190530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:740532083:740533359:-1 gene:SECCE3Rv1G0190530 transcript:SECCE3Rv1G0190530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLKDELDIVIPTIRNLEFLEMWRPFFEPYHLIIVQDGDPTRTVMVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYVYTIDDDCFVAKDPTGKDIDALAKHIQNLLCPSTPLFFNTLYDPYQEGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPRERNSRYVDAVMTIPKGSLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQAAKLTKECDTVQKCYISLSQQVREKLGKIDPYFTKLADAMVTWIEAWDMINSKDSKDTKEADANGKLKGK >SECCE2Rv1G0125850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:843920724:843923666:1 gene:SECCE2Rv1G0125850 transcript:SECCE2Rv1G0125850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVASVVRAFGTLAAEEAAFLRGVHTDADLLRAELRRMQCFLRDADARRRAGGRDGARLTNWVVDVRAAAYDAENALEEADYLARRRRLRLGFSGALVWCADVAALHTFGVNIRRVRARIREISDGAVAYGIADLGETTAAPADLQEVIPYDLSWNSADSDLVVGFHEQRDNILKELMNHDICQICVVSIVGMAGSGKSTLARKIYNDPRAKQHFHSLSWISVSEKYRFLDLIKDIARRVMGITRKKVVKEEKKNQDGEGTSRDGGANIVGKLKEVDIEELQDMGEEDVKELLREFIAHRRFLVVLDDVWKSNSYSEINRILSVLPDVNNGSRIILTTRDMNVAKHVDRWSSIHEMNLLDESESWELLEKRSFPEYQIVSSADRSRLMPIGKKLAVKCKGLPLALVVLGGYLSRNLEYDIWSGLVDNLDWEARKNDEPVWNIIARSYNDLPNHQLKSCFLYVASFPEDHVIRVARLCKLLIAEGIIPHRPNRTMEDTAREYIKELAQRCMLQPVEMSKWHGSIKSVVLHDVLREWGIAEARREGLFNIWSNPTDSDACSDSITAYRIALHNVVGCTEINVAMPKLRTLLVFSKLPAVSVLCRLKCLRVLDLYGLKELKSLPSGVGNMIHLRYLGLEKCGSGSVGIPSSASNLLNLQTLDARGTRVKSLPPLFWNIPTLRHLFLSEVNDWVPPEVGCLVSLQTLYLCEACVFHDAESYALPKYRRTARDFNKSIARRNKEKWTALLRALEEMEQVVLLHLESWEVTKGFGRKKGRDRRTRGRFFSAELTSSISRHRHLQVLELVGKWKHGDSELSKLPHSLKKLKLIGSQLSEDPMPVLGVLPNLVVLVLEDVLEYDAFKRNSMGNAFKWSMTCHAGGFPRLRHLTFDGMKNVESWNVEAGAFPSLTHLNLISSFTGMSLPPLGLLHVTFFFLKRVLHVTSLRTLLLKRYSRNGSTISSSNVGKLEEMGCEVIIRPMD >SECCE5Rv1G0347820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:670518195:670522413:-1 gene:SECCE5Rv1G0347820 transcript:SECCE5Rv1G0347820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGKKVRGEGLGRALVRQRNKQALAAKERGQQLVISRRAQQALPLESIIEVSDIDAVLQRAAEEELLHGDDAEGAAALTAALGSGLIDLDGTGDTEEERRLLREEQEALHADSLRVPRRPPWTAQMTTEELDTNEKRAFLEWRRNLARLQENEELVLTPFEKNLDVWRQLWRVLERSDLLVMVVDSRNPLFYRCPDLEEYAQEIDEHKRTLLLVNKADLLPLSVRRKWADYFKQHDILYLFWSAKAATAVLEGKKLSSQTMEESDTDLDTKIYGREELLVRLQGEAEYIVSQKDTSAAGEEHESSSDSALVRPKRRVVVGFVGYPNVGKSSTINALVGEKKTGVTSTPGKTKHFQTLIISEELMLCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHRGAIQVVANRVPRDILEQVYKITLPKPKAYEEASRPPTAAELLMAYCTSRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPGEIGDETDEEDNSDLEGSSTAAADQSDDGASDEDDEEINIAEPNMGHALNDLASFDMHGQATKGSTKKQKKETSHKHHRKPQRKKDRSWRVGNDGADGSGVIRVFQKPAVNLAASSNGVVG >SECCE5Rv1G0319430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:375791117:375793465:-1 gene:SECCE5Rv1G0319430 transcript:SECCE5Rv1G0319430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASALLLQARGPSSTLFPGPNSPDPLALAHALSAASRSSRPPSHLHAHVLKLGMSGDTFTTNHLLISYSRSGLLGGALAVFDEMPHRNLVSWTAMVSASVRGGVPELGIGLFVSLLRSGLCPNEFSLASALRAACDRSAAHAKHQFGASLHGVAVKVGVGADPFLGSSLLLMYARHGRVAAAERAFADVRCKDLTCWNAMLEGYVSNGCGYGAMRAAALMHQCGLPADMFTYVSALKACSITGELDFGQRLHGCVIHNMFESDTSVMNALVDMYLRSGLMDIAMAVFGRIRQKDTISWNTLMSRFTHDEDDKAAACCFANMSLSGSKPNEVTFSIMLRLSGAKENASLGLQVFSLSYRHGYSDDVLVANAVINMLSRCGLLNCAHGFFCDLKFRNIVTWNEMIAGYGLYSCSEDAMRLFRGMVCFGERPDEFTYSAVLSAFRESHEARNHEQLHAIILKQGVASRQFVSTSLIKAKAVFGSVQDALKVIEDTGEMDFMSWGVIITSFLKHGLNNEVIFLFDLFRSDRMNKPDEFILATVLNACANAALIRQSRCIHSLVVRTGHWKHFCVASALVDAYAKCGDISAAESAFASVSSVSADAILYNTMLTAYANHGRINEALSLYQDMEQAQLVPTPATFVAIVSACSHFGLVEEGKVVFNLMMSEGQGMNPTRANFATLVDLLARKGLLHEAKGVIEVMPFQPWPAVWRSLMNGCRIHGNKELGVLAAEQIMRMAPSSDGAYVSLSNVFADVGEWHSAEEARTVMSAIRVWKVQGYSRIEV >SECCE4Rv1G0248000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:565464034:565466700:1 gene:SECCE4Rv1G0248000 transcript:SECCE4Rv1G0248000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTEKVESAAATKQEPSWEYHLRKYLMLLATLVATATYAAGLSPPGGVWQESGLDGEGRPREAGDPILYHSARYLAFFYFNATAFAASLVVNLLLLVLSETWTAWLAVLRFVMVLDLLGLMGAFATGSCEDLPTTVYVSTLVVALAAYVGIHILLATYAPPAPKEESPDEALKHKEQRKVLLLLATFATGISYAAGLNPPGGFLGETEGTHEAGDPMLKVHQSARLMAFFYCNSTAFVASLLIIVLLLGRRLQKYYANLPYGFILVVLLGLLGAYAAGSSRKPDTTAYVVVLVAAVLVYILLVTVVMVLFPDPPRSSRSWVRLESISARASHRLQEERECHPNETDSQSPSPARELPATPGGGRQGSHADAAITGNKNEGIEKAKSLILLLATLAATITYQAGMDPPGGVWQEADEQGRYKAGDPILLFKHAARYKAFFYCNSTAFVASLVVILMVQNKSLMSGHALEVAIILDLFGLIGAYAAGSCREVSTSIYVVALAGAVLVYVVIHVVFFTLDNEDLSDKEKTMIDKRRKRLLLLAILVATITYQAGLTPPGGFWTKDGQTKDGHSYYAGMPVLEDHDEYQRRYLAFFYCNSTSFMASMALIVMLVNPNLYRPGIRCYALYVCMVVALFGLMGAYAAGCARQLRTSIYVFVLVGAVVAFIVVQLLVFFKFFGTCSTANGEDGSSSGSRAAAGSSSKANTPSSSRPRPSSSPKPSPSTEATSSRRKYLMLLAILAASVTYQAGLKPPGGVSERWETAGNPLLRGSDLARFRAFFYCNSTSFVASVVVIVLLLQESLRDHGLLLYAMNTAIVLDLLGLLGAYAAGSSREWDTSGYVIALAAAVLAYVGIHLVLWMLGGRGVASIPQQLLPAVPKNTKRCFSSPC >SECCE5Rv1G0329900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:522523426:522524922:-1 gene:SECCE5Rv1G0329900 transcript:SECCE5Rv1G0329900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36730 [Source:Projected from Arabidopsis thaliana (AT2G36730) UniProtKB/Swiss-Prot;Acc:Q9ZQA1] MATSLAALIAGAATVQQIRQLHANLLTSGRLRSLGPILLRRLISLPSPHLHLAHRLLLSLPSPPLALFNLLLPPLASSPDPAAAARLFVHLRRSGVPPDAHTLPHVLKALARLAPGSLPLVASTHAEAVKDGLDCAVVYVRNALMAAYSACGQPARAMQVFDEMPCRTVVSWNTALTACVDNGCHDRCVGLFSAMVEAGFEPDQTTLVCMMSAAAELGNLPLCKWAHGQVVARRLDMTLQLGTAAVNMYGKCGLVSYAWRLFERMPARNVWTWSAMIQGFAQNGLAREALKLFERMKGASVAPNYVTFLGLLCACSHAGLVDEGRQFFHEMRHVYKIEPMMKHYSAMVDVLGRNGRLQEAYDFVMNMPLEADPVVWRTLLGACQMHSSKDCIDIVSKVQERLLELEPRRSGNYVMVANIYSDIGSWDEAAKARRVMREGGMKKMAGESCIEVGGLVHRFISGDDSCPEYDRACTIVHELNLNMRKCELIDGISLIDAE >SECCE3Rv1G0206530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:909446936:909447781:1 gene:SECCE3Rv1G0206530 transcript:SECCE3Rv1G0206530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSVSSPQSTASSPAISSCRPAACGRFLACVAASQKRSLMVVSGSDARAVTPVKSGGLETTTTGADEVEAPTAAVAVTGQVTEVCKDTFWPIVKAAGEKPVVLDMYTQWCGPCKVMAPKFQEMSENDHGVVFLKLDCNQDNRPLAKELGIRVVPTFKIFKDGKVAKEVTGAKIDELARAIEEVKSS >SECCE6Rv1G0379390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13657420:13663361:1 gene:SECCE6Rv1G0379390 transcript:SECCE6Rv1G0379390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFGGNPCVAGGHLRRHHARLCLRRASSVDVTTGNLPGGSSNKRKKKQAAEESRLEVLYDDGFGSVTMKDYWEAVRAMPKGDGGPPRWFCPVECGRPEVDKAPLLLFLPGTDGVGMELILHHQSLGKLFEVCCFHIPVNDRTPFEGLLQIVEEYVKYESALSPSRPIYIVGDSFGGCLAISVAARNPEIDLLLTLVNPATSSAKTSLLQAVLPLLETMPSNLPVVQPHLLRYLIGNPLNGAMVSVQNGISPQETLQEFSNSLASMLPLVSELGDIIQMGTLVWKLKLLKSGAKYANSQLDAVQAEVLLLASGIENLPPSGEADRLFKTLKNSKLRYFRNRGGRLLMDDGFNLLTVIKGVNMYRRGRQRDFVNDFLPPTLSEFKRTFGEDFKLFNQLLSPVMLSTLKNGNIVRGLAGVPDKGPVLFVGYHQLLAMEVPALVEGFLREKKTIVRAAAHQVFFVGNYEILRQELSLFDWFSAFGAVPVSPINTYKLFERNEFVLLYPGGVREALHRKGEAYKLFWPDQPEFVRMAARFGVTVIPFGCVGEDDFVQIVLDYNDQKNIPYLKDAIKSFNEDFTGVIRDTVKGDDGNQVLHLPVVLPKVPGRLYFLFGKPIEMKGMDNVLTDKKKANEVYLQIESEVENVVSYLKRKRSEDPYRSITRRALYRGPSTQVPTFEP >SECCE4Rv1G0283280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:834800112:834801632:-1 gene:SECCE4Rv1G0283280 transcript:SECCE4Rv1G0283280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEMVASNGNGTAGGRRTTTTKPHAVVIAYPYQGHVIPAAHLALRLAARGFAVTFVNTESVHEQTARALGVDRHRYDIFAGARASSSDNNKEPLDVRYELVGDGFPLGFDRSLNHDQFKEGELHVLAAHVEDLLRRVVVDPASTCLVADTFFVWPATLARKFGVPYVSFWTEPALIFNLYYHMDLLAEHGHFNSAKGPPRKDTITYVPGVPAIEPHELMSYLQDTDATSVVHRIIFKAFDEARRADYVLCNTVEELEPSTVAALRAEKPFYAVGPIGFPRGAAAGGGVATSMWAESDCSQWLDAQPPGSVLYISFGSYAHVTRQELHDIAAGVLASGARFLWAMRPDIVSSDDPDPLPEGFAAASAGRGLVVPWCCQVEVLAHAALAGFLTHCGWNSVLESVWAGVPMLCFPLLTDQFTNRRLVVREWRVGVPIGDRGKVFPDEVSARIQGVISGQEGQQLRQALKKVTAKLKAAAAPGGSSQRSFDDFVDELTHRCGGGRTLMS >SECCE7Rv1G0478350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:236231474:236239669:-1 gene:SECCE7Rv1G0478350 transcript:SECCE7Rv1G0478350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPSGDAGVGVREGEGADDEDVEEDEVEPPPSAVSFWRLFEFADGLDWALMAAGALAAAAHGAALVVYLHYFGRSLNLLDSERIQSALHGRSDELLNQFKQHALYIIYIAAGVFVAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLIVGLLNCWQIALLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQATSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLITRGIADGGQVVVALFAVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSTNLEGTTIPQVQGNIEFRNVYFSYLSRPEIPILSGFFLSVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQEPALLSLSIRENIAYGRFATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGMALTDEQKIKISIARAVLSSPSILLLDEVTGGLDFEAEKAVQGALDVLMLGRSTIIIARRLSLIKHADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRCEEATKLPKRMPTKNSRERKSLQFEDPPISQNFQESSSPKMAKSPSLQRTHGMLQFWRSDTTRNSHDSPKDRSPPPEQTIDNGIPLVATERAPSIKRHDSFEMELPNLPKVDIHPIQRQSSKNAGPDSPISPLLTSDPKNERSHSQTFSRPQSERDDTSSEQSEPDEPQHHKPPSFWRLAALSIAEWPYALLGTVGAAIFGSFNPLLAYTIALTVSAYYQIEVSDMHREVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAMLRNEAGWFDKEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVISAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGDKIMELYKLHLGKILKQSLVHGLAIGFGFGFSQFLLFACNALLLWYIATSVDQQRLTIATGLKQYILFSFASFALVEPFGLAPYILKRRKSLTSVFEIIDREPKIDPDDNTGLKPPNVYGSIEFKNVDFSYPVRPEIFVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPMSGQVLLDGRDLKSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTVLIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDLNGLYVRLMQPHFGKGLRQHRLG >SECCE4Rv1G0244310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:513750217:513752063:1 gene:SECCE4Rv1G0244310 transcript:SECCE4Rv1G0244310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHQHQPAADMGDALWELIGEEMAAAEAAAGEHGLPPGFRFHPTDEELVTFYLAAKVFNGACCGGVDIAEVDLNRCEPWDLPEAARMGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVLNAATGSLLGMKKTLVFYRGRAPRGEKTKWVLHEYRLDGDFGAARRSCKEEWVVCRIFHKAVDQYSKMMEMRNPYCYFPMSPHHPSFFQDAPPVPFPNPSQLIPFHHDLPNLQSSPLMHSQSQGQAKNTSGNNGGFPAAACVQEQPNSSCNPAYFPFPPFASIVNGKAGPPAQPRVNGGPQEPPPTWLDACLQHSAFMYEMGPPAATRGA >SECCE5Rv1G0370120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:840040363:840042546:-1 gene:SECCE5Rv1G0370120 transcript:SECCE5Rv1G0370120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGIARGRLAEERKAWRKNHPHGFVAKPETLGDGTVNLMVWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPTNFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPAEYKRRVRVQAKQYPALV >SECCE3Rv1G0149150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:22515858:22517435:-1 gene:SECCE3Rv1G0149150 transcript:SECCE3Rv1G0149150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDGEGNNSPSTYFPRVTWLRLASCNLMGFPSSLAHLNEMSYLDLSCNRISGAIPKWIWVTWTSSLTYLNLSHNMLSSVQLTSFVLPFSQLQALDLSSNQLQGQIPMPSPPADILDYSNNCFSSVLPNFTLYVGHEFRISKNKISGHIPNSVCDSRISVLDLSFNNFSGWIPSCLIEDGYMSALILRDNQFEGVLPNNIKDQCVLHTLDLNNNKIEGQLPKTLNKCLQLEFLDFGNNHMVGTFPSWLGILQSLRVLVMRSNRFYGPMGGDLHSVDKSGEYFSSLQILDLASNNFSGNLSPKWFEGLKSMMADSNTTGDIVQAFNGTHYQDTVAITYKSIYRSFDKILTTLTVIDLSNNSFEGTIPESLGRLVSLLALNMSGNAFTGDIPREFGRMSQLEALDLSQNQLSGDIPEALTDLTFLGFLNLSNNQLVGRIPRSGQFFGTFQSSSFEGNLGLCGPPSSKPCDIAPAPPSAAHGEKSSHVDVILFLFVGLGFGIGFAAAILIRWGRIGEWFVKSARVLRT >SECCE3Rv1G0189620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:727997765:727999306:-1 gene:SECCE3Rv1G0189620 transcript:SECCE3Rv1G0189620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQAASAILRPSASAPLREAAFLFTDNRNPSPARLCIRRRSAERTFAGPQIAASNFKRPRICHVKSGEADGYPKTEDMLADEETLQRNLDRAIREEDYARAAKIRDDLRVLHEDTEASVLAANTRFYNAFKNGDFTAMYSIWAKGDHVYVVHPGAGRISGYDVVLQSWEMVCNADYEFPLNIDLKNIEVHVRGDLGYVTCLELVKTKGKSWGKQIATNVFEKIDGTWYICIHHASHIEE >SECCE3Rv1G0156910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:77484295:77485766:-1 gene:SECCE3Rv1G0156910 transcript:SECCE3Rv1G0156910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIELQTRPSLPAPAGAPPRAGTGLPPGFRFYPTDEELIVHYLRRRAAAAPCPAAVIAEVDIYKLDPWELPSRAVFEGDNDEWYFFSPRDRKYPNGVRPNRAAGSGYWKATGTDKPITAGGVPGGEVVGVKKALVFYQGRPPKGLKTNWIMHEYRLADAHAAAAAHTYRPPTRFKASSSMRLDDWVLCRIYKKPNPQQLSPFYEPSPSPSSMDASLGLGYQHHHQQPQQQEDSSSSRMLPRNPSISDYLVDYSAVSELFDSMPPPQPETGSSAGQFFLSSTTGTGDGEASTGRKRQATVDSNNDGEMSSLHASKRWLGSDGAMTMMNGGFSIFGPDQPSSQQDRI >SECCE7Rv1G0463120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:51218681:51219031:1 gene:SECCE7Rv1G0463120 transcript:SECCE7Rv1G0463120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASSTVKVAAISMLVILCAGTQLTAVHGRGPVLDVADGGEDGVGTALLRLVKPASAALDELAERLRQGWLQCSESCQTCMFVCACQNCECDGPPSEENNKCERDCIQRRGCESL >SECCE4Rv1G0225880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:101304124:101306385:1 gene:SECCE4Rv1G0225880 transcript:SECCE4Rv1G0225880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSNRKAPGGKPQFLKVLFTDFMEKMPIPARFMRRHLAAEPGLRRATLMSPLGKFWHVDVVRDGHGSDSDVYFAGGWAEFVRANRLEEENFLVFKYEGNMVFTVKVFETSGCIKNYEDIIVAGTLLEQAAPRKRSSTGCGSQPTSKGHAGTHAQKTRKVSDRSLTGDGAIQAKKQYKPRRILMEDNDGEQGATTEKYAQADTHSGIATEAKESDYTGTLPFYAKTATPCNIRYSYMNIGKKFCVMNGIATNRLMILKDSGGRSWPVKLTLTSDQARMKAGWGHFSGHHGIKVGDLCVFHLVDEHTFNVSIRRAE >SECCE3Rv1G0182660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:634727979:634732450:-1 gene:SECCE3Rv1G0182660 transcript:SECCE3Rv1G0182660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRLPFGFISGGYSCSEQYDWQQLTLRQREQATREQPHSSANLRYPVHIPNRTERTSPSFDCVCGYAKGADGHIHDTLTLCKVCQFNGGSSTQNGPYQADQYLPSYFPVDHFSEIDEARHRAYMDSFYTDDNPDHTSASRLRHRDEQRKAYTKKPFKKLHTIKNSKKLRTIHPRQLELPLREDFHDRLGIRNCRNAYHGKRLKTKPARWSSQKNNSSVSCVGKHGRSSRPTRSGEQLFEQEAENSRHKRYGGQLTGENAKKRMIYEGYPKGLCSLREQDEHLHHEVHRSGSDTMRNDNWEKNAETNDHNGAEGNCHPMKNIRTAAATCCGSTKSNENSDVLCPKYSSKTIGSSNEPKGSSNMKLVSDKQPSVVGCTKRPRNTRTGDVSTRNLQNLSVTHMEKGVHTKQADNTSHSELLRECLDIWRRRRLRKESSAEAKKLDQTGTARHEWSASCSSESDDENVNASESASGNSESAAENDTELENSQKCRGATSLDGVQNCGEGRAMTNTEQPFRCLSGTNYNKSSAKQGLKCNLEVLQEPHPGEIMQQKEANKLPCCLPSTVHPNGMIQTSQNGCSDTSKKQTRRNNWADISKVDQAATYPDSSVYQNVSQHEAGNHLDDGRKYKLGIGCEIKRKAAQGDGARWFEQTPSLITGPTLLDQKSLALCSPDDGNVKVCGSECSNQCSKTTLELEKGKKSVNCSSGTDRRVIKNCSSGGDCRDIRQDTMNCRRKRQVSSSLADSENDKGTNEDYQPPGVLEVTSNRQISCLSDVGIKIPDVSRPADCTPRFTIPDLNCLPSMIADEEEFEASQEVINQVTGHGLEPHDACPSLSTFSGPAVQEEQFKQPEKNEFVGGICARTVANGSQISDSHSAKGAVNQAIGQDTSQSFSAFSGPAVQEEQFKQPEKNEFVGGICARTVANGSRISDSHSAKGAVNQATGQDTSHCFSAFSGTAVQEKQFKQPENEFVGGSCTRTVANGSRISDSHSAKEAINQATGEDTSQCFSAFSGTAVQEKQFKQSEKNEFSGGIYESEIANGSRICDSHSGPPKLSTDEESITSFKCALGEFIKNILRPLWEEGLLSREVHKIIARKAVDKVALTLGPKVPRTEPAIFRFFAEESQSVEQLVQGYLDIYLGKQVLKRTMPGSI >SECCE2Rv1G0070280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:34364825:34365349:-1 gene:SECCE2Rv1G0070280 transcript:SECCE2Rv1G0070280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFNCPRCRAGVDRRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEHEERLHMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLQKKVRKLKDQAQIAIPICNYFWAVVGMVLALL >SECCE7Rv1G0523110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:880362347:880363492:-1 gene:SECCE7Rv1G0523110 transcript:SECCE7Rv1G0523110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNKEVDALVQKITGLHAAIAKLPSLSPSPDVDALFTELVTACVPPSPVDVTNSPLDVTKLGPEAQEMREGLIHLYSEAEGKLEAHYSDVLAAFNNPLDHLGMFPYYNNYLNLSKLEYELLARYVPGGIARPASFVLAARHLPDTMFDNYDLCGAANDRASKLFRADKDLGARMSFHTADVADLAGELATYDVVFLAALVGMAADEKAKVIAHLGAHMADGAALVVRSAHGARGFLYPIVDPQDIAGGGFGVLAVCHPDDDVVNSVIIAQKSKEVHANGLRSGRRGQYAHGTVPVVSPPCRFGEMVANVT >SECCE5Rv1G0348170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:672814526:672818215:-1 gene:SECCE5Rv1G0348170 transcript:SECCE5Rv1G0348170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQGAIGVGVGEITAAAGGGITPGGGGGAAHTPAASPYQVPLEIEEQIYSPIYGNIAVPDSRGCCSGFTASIAKILFIVHLVAFIALTIFLGVQASSHPNPTYKPFAHFIPLASSVILSIIAACFWTILAVTNPAKAIKTSLWTAPVSALGCDVVILLVGDGEALGIGVLIVVIAVAAALYSCWATGPRLQHAAAVLSTSVNGAHLPFSASCLVVFVILAAFGYMAFWTVAISCIAAAEGHFMDFHIVYVAALLVSMSWTMQVLRYFVYVAVARLAHAALAYGVRMPGGAVEAFCGTMSGPAFGDICMGAVLVPVIAAVRSFARAINALSGGNDEFLFSCCQGCCLTVSEKLMGRVNRWGFVHVGARGKAFCVASRDVWSLFVLRGMAKLVDSDLTGSFCFLSAVTGGALASLVAGSWALAMDRDRKELALPISFYSFLIGYYMCRMMIAWPQACVAAYHVAYAENPQNPHLGTLIPDHLRELQALAAD >SECCE5Rv1G0348620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:674606183:674607369:-1 gene:SECCE5Rv1G0348620 transcript:SECCE5Rv1G0348620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLLGSMEPSVREQVENLQTTAEVWKEIGQQLSGKTNKMQVTRVLHEMRNLKQGERSITDYAGELKRLYRDLEFFRPFKSNDPKDLALLREWFQPILVQTFLEGLNKEFDLRRQMIYSAPDWPTLDEAVSSVLEEETRLSNQIMTPHSSADTLAALSSHAHTISSVASNNDQANTTKFEYRRKPKVVCDHCKQTGHIKKNCFDLIGYPPGWQQRNGRLKLGDARGKKQDRVHLTSSTSELSAAAAQALEEFKSKLLAASNEVPAGSVSSYHASQGTEDREGTWDWHRA >SECCE2Rv1G0083190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:163019975:163020649:1 gene:SECCE2Rv1G0083190 transcript:SECCE2Rv1G0083190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNDSGGPSNADFSSPKEQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYMEPLKLYLHKFRELEGEKAVGAGGGALPSPGGSSSQQRESTPRNNGGGGEAGGYGGMYGGAGAGGGGGGMFMMMGQPMYGSPPASGYQHPQHHHQMMTGGQGGYGYGDAGAGGGSSSSTGFGRQDRA >SECCE4Rv1G0238030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:367269333:367275680:1 gene:SECCE4Rv1G0238030 transcript:SECCE4Rv1G0238030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSARIIPSAPHLHRLRGRLLLPHARRGAGQRVVVVRAVSGDGGRGGGPSYLDMWKKAVDRERRSAELAYRLQASPPPAKAEPAPRADVERRTARFEEMLRVPREERDRVQRTQVIDRAAAALAAARAVLKEPPQSSPSPQPQKPAAATGVTGSGLDFGSRTAAKGADDQGSGQGSVPAASQSAKVKNSGDSYPSKQANSKLGTPGPDFWSWLPPVDSTSVARESDTVLKPSKKVDSFSSQPEMLMEKERSAEFLSLPFVTSFFEKKEDRSLPPFQSFAEPENLDFEAKPVADAEEAFETQFSNNAAEAARALSTSDDMSSHGIDPDGSKWWKETGVEQRPDGVVCKWTVIRGVSADGSVEFEDKYWEASDRFDHKELGSEKSGRDARGNVWREYWKESMWQDFTSGLMHMEKTADKWGKNGKGGQWQEKWWEQYDSSGKAEKSADKWCSLDPNTPLDVGHAHVWHERWGETYDGSGGSVKYTDKWAERSEGDGWSKWGDKWDEHFDPNGHGVKQGETWWEGKYGDRWNRTWGEGHNGSGWVHKYGRSSSGEHWDTHEPQETWYERYPHFGFHHCFENSVQLLSVPRQPPKNFKPGKRVDAS >SECCE6Rv1G0397400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:391937238:391942863:1 gene:SECCE6Rv1G0397400 transcript:SECCE6Rv1G0397400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLGAPTSPPPWRRHGLFRALAIFLCLWVTSEANEQHAELPPRGWNSYDSFSWTVDENAYLQNAKILAEKLLPHGYQYAVIDYLWYRRYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSKYDKGFSQIANKVHEMGLKFGIHLMKGISTQAVNANTPILDIETGKPYVENGRQWAARDIGLAHKTCAWMPHGFMSVNTDIGAGRAFLRSLYRQYADWGVDFVKVDCIFGTDYSPEEVITVSQLLQELDRPIVLSISPGTEVTVPLAENISEYVNMYRITGDDWDNWKDVSSHFTVSSAFAAANKIGATGLRGKSWPDLDMLPFGWLTDPGVNQGPHRPCNLTFDEQKAQMTLWSIAKSPLIYGGDLRHLDNSTFGIMTNPTLLKINYYSKNNMEFHYVYGEMTSNTRHSGHLSPRYPVNPTKHDGMVVGLTSCTNDQANGWFVFPQDGKSDHICRIYETENGKNVSFCLGKTKPLLASDDDIMEKEEDQTKFHLAVADINDSCLDASASRRRTASEVKLLMFSRCKWHAKQMWELNDKGNLVSSYSRLCATVESSKEAVGITGARAWIATGSKGEIYLAFFNLDSVRRKITARISDLEKVLGTAFVRKHSCSCSDVWSGWNLGLLEEEISAAVNPHGCVVFELMC >SECCE1Rv1G0035700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:500402642:500406441:1 gene:SECCE1Rv1G0035700 transcript:SECCE1Rv1G0035700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQKAEGERAAAAAAATQRWVIGVGFWVQGFRLFPWLGVNFFLKDAMGVPSSSLQILQASATLPMVAKPLLGLLSDAVPIRGCRRLPYVAIGALLQAVSWLGIALWPSLSLPVLTIFLLLSNFGASICEVANDAIVAEAGKQATSSSGGQLQSFACMFGASAGALGNLLGGIALSYFSPKLMFLFFVIVLVLQFFATVAIPESSLKLPKASTNTSVISSIRKQTKELSYALCMPEIFWSIIWFSVSYAAIPFLLGTMFFYQTEVLRLDSSIIGLSKVFGQVALLAWSMAYNKCFKTTSARKVLSALQFVTAVVMLSDVLFVQGVYRKVGIPDSLYTIVFSGLLEGLMFFKVLPFSVLIASLCPSGCEGSVMAFVMSALALSIIISGYLGVALAEFMGVSGDDFSALPVCLLIEAACTMLPLLCSSWIKEREGKEKKEE >SECCE2Rv1G0132510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:892296772:892301489:-1 gene:SECCE2Rv1G0132510 transcript:SECCE2Rv1G0132510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISVVSTIATLLSVLASVASSAAEPLIGLRGCQTTCGGVDVPYPFGIGAGCYYHKGFNLTCDITKGDPRLLLGDGATNLLQVSEISISRAIVRITHAGDIKVDGDGHGAFLSGLAGDGPYTLSAERNELVVTGCNVVATLRDTTSNLTVGSCSSSCPGEFVGMLPGSSSRICGIDGETITPLYRSLFTRKQCSGMLCCQAPLTAVHKVVAGKSVSRMSYDVQLTWFGQNVTEDEQMPRLRVFVAEVGWFDRFGGEWIHMAVGYPTKAAMDVPVVLDWEVLGWRHGAVGKNSSKEDCPVYAARSICKSKHSNCVAGYKGYLCKCKDGFDGSPYVTDGCQDINECELLDLDKVCFGECTNTYGSFQCRCPRGTVGDPSKSVGCFKAVNAGLVVGLSVAFSTSLILLFIGTVLIRHKIRIHGARRLRKKFFIQNRGQLLKQLVSHRSGIADRMIISLEEIHKATNNFDPTRKLGDGGHGIVYKGILSDLHVVAIKRSKIMIQKEIDDFINEVAILSHINHRNIVKLYGCCLETQVPMLAFEFISNGTLSDHLHRESPRSIPWEHRLRIASEVGKAISYLHFSVSSPIIHRDIKSSNILLDDAFTAKVSDFGTSRYIPISQTGIIPTAIQGTIGYLDPMYYYTGRMSEKSDVYSFGVLLVELLTRNTPIKYSSSEGEGLLVKFVELLAKGNLVEILDSQVVEEGGNEVEEVAVLAATCIRLSGDERPTMRQVEVALESIRSNKQRVLHFGAERNDESYIATSYPPIGGSTSLEELSRQHSQEKEFYLSARYPR >SECCE2Rv1G0078080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:104190815:104193499:-1 gene:SECCE2Rv1G0078080 transcript:SECCE2Rv1G0078080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPPPGAREPADGKGGEARRCSPAWLRRLIDTEEAWAQLQFAVPMVLTNMSYYGIPLVSVMFSGHLGDVRLAGATLGNSWATVTGYAFVTGMSGALETLCGQAYGAQLYRMLGLYLQSSLIMSAVVSVVIAVIWLFTEPLLLCLRQEPEVSRAAAVFIRYQIPGLFAFSFLQCLLRYLQTQSVVVPLVVCSVVPFALHVPLNHLLVNVLGFGLAGASAAISATFWFSCLMLLGYVMWSKKFSETWKGFSTDAFNYVLPTIKLAAPSAIMVCLEYWAFELLVLIAGLLPNSTVSTSLIAMCSSTEAIAYMITYGFSAAVSTRVSNEIGAANVDMAKNAVAVTLKLSVFLAFSFILLLGFGHGLWARLFSGSEVIAAEFAAITPLLMVSIVLDSAQGVLSGVARGCGWQHLAAITNLVAFYFIGMPLAILFAFKLNFYTKGLWSGLICGLTCQTVTLVVITARTKWAKIVDAMQQEKANYVA >SECCE3Rv1G0199090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:842684536:842685000:1 gene:SECCE3Rv1G0199090 transcript:SECCE3Rv1G0199090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLWREAMGTGAPSADADFMDCIEFWYQPECAGWLNMQGEHNKTWRRRWFVLKQGMLFWFKDSAVNPESVPCGAITVASCLAIKGTDDVLDRKFAFELSAPGETMYFVADTREQKGKWINSILLPLVAPQGTTWDDIFQFVDMLRAGFEQEV >SECCE2Rv1G0137180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:917050173:917055061:1 gene:SECCE2Rv1G0137180 transcript:SECCE2Rv1G0137180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSHLNGHSPLARRRPQLPAASPPASAGEPPAAAAALEAHDRVYFQSYSHIGIHESMIKDRVRTDAYREAIMLHQKFIEGKVVMDVGCGTGILSVFCARAGAKRVYAVDASEIATQASEIVKANNLADKIVVIHGRVEDVDVEEKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNSDRYEGSVDFWCDVYGINMSALVPLAKKFASEEPSIEIVGGENVISWPFVVKHIDCYTFTVEEFKSITTTYKVSSMMLAPIHGFGLWFEVEFNGPAESCSNLSSDSSPLDIIQKKRRRASDSTVVLSTAPEDEPTHWHQTILYFPDPIGVTQDQIIEGSVTITPSEENPRCLSIHLECSTEGQNLVKDFAMR >SECCE3Rv1G0187360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:698259693:698261210:-1 gene:SECCE3Rv1G0187360 transcript:SECCE3Rv1G0187360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEAMDGDMSLSNMVLSFLEEGETERWPENDGDDDDEEEGSSGGGSAESKAFWRAQHSQLCEALGKTSTAESRIRAVTEEAVEMMRAPAGAVCSCARRAAAGDCRSCMLRHVVERLRDAGYNGAICKSKWARSLDIPSGEHSYVDVVLQTRSGKAARVVVEPSFRAEFEVARAGAGYRALVAALPEAFVGRADRLRAVVKAMCAAAKQCMKENNMHLGPWRKHKYMQSKWLGTSQREVPPALDAVAAGSPEKQPKFRASMLSFDFGRGRAPVVVA >SECCE2Rv1G0129430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:868243647:868245924:1 gene:SECCE2Rv1G0129430 transcript:SECCE2Rv1G0129430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSPIGNRTNDNSLVFCLSSSPIRTMSGIEHPRNGRTAYHFQPAKFWQNDPNGPMYHNGVYHLFYQYNPHGATWGDGKLSWGHSVSGDLVNWADVGIALDPTSTFDTNGCCSGSATVLPGGRPAILYTGIDANRAQVQNVAFPKNPADPLLREWEKPDFNPVIPMPADVTRNNFRDPTEAWRGRDGLWRVGIGAEVGGVGSLLVYRSADFLRWERNAAPLHASSRDVPVLECPDLFPMAPPGVAEGLDVSANGAGVLHVLKLTDFAKEDHYMVGRYDDVEDVFVPAEPERGDDPGNWRRLDHGHLYASKSFYDTRKKRRVLWAWVDETDGGGVTRGWAGIQAFPRAIWLDADGKRLVQWPIEEIETLRRKRVGLQWATEVEAGCRKEITGIVSSQADVEAVFEIPNLEEAETLDPKWLQDPKGLSAEMGASAHGGVGPFGLLVLASGDLEEYTAVFFRVFKHDGKYKVLMCTDLTRSSTKEGINKPSYGAFLDVEVEKDRSISLRTLIDHTVVERFADGGRTCMTARVYPEHAAAEGSRLYAFNYGAGAVKVSKLEAWELATAAVNGGGDI >SECCE6Rv1G0430920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745068679:745069464:1 gene:SECCE6Rv1G0430920 transcript:SECCE6Rv1G0430920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEPVRLIGCFGSPVVHRAELALRLKGVPYQLIEEDLSNKSDLLLTHNPVHKTVPVLLQGDRSIPESLVIVEYVDEAFHGGSPLLPSEPLARANARFWARFLEEEFKKPLWIALWTDGEAQASAARETKANLTLLEAQLPEGKRFFGGDAIGFLDIAVGGALAHWMGVVEEMAGVRLLTEEDHPALCRWARAYRADETVRQCLPDRDRVLAALAERKDLYVSMAKAMAAEK >SECCE7Rv1G0525050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:888353565:888353930:-1 gene:SECCE7Rv1G0525050 transcript:SECCE7Rv1G0525050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPLAVIVLFLLIALLMVVGAQPAPKIDCPSACQARCAKNWKNKICNKDCNICCDKCNCVPSGTSQATRNECPCYANLKNSKNGKLKCP >SECCE7Rv1G0503010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:703198424:703200934:1 gene:SECCE7Rv1G0503010 transcript:SECCE7Rv1G0503010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPREQTALLSRQRHWRRAHDLFDRVRALPGYAPNPVHYSVLLRHLARARRWSELRRVWLMMSRDDAIRPSNPAYAALADALAKAGSAQESLLLLLHMRALGVAPDEISMNTFVRILKNSGRYSDALVLFNNWCIGRFDVEFLHLEYRTVDLHGPMQFLIDDVFHDKLDSAGALVIQEVPGKPKLVETYNTMIDLYGKAGRHKDAMDMLVDMLAYQVMPDICTFNTMIYGFGSHGSVKEAEALLANMVVRGVTPDIRTYNVMMTLFASMGDAEGVLKYYHQIGRMGLCADAVSYRIVLQVLCERKLVREAEDVIEEIMKSGTSIHEQSMPVVMKMYIDQGLLDKANAFFERHCRGGEVSSKNFAAIMDAFADRCLWEAAEHIFHCDRGIGGKREIVEYNVMVKAYGLARKYDRVISLLKNMKGSGISPDECTYNSLIQMFSAGGFPHRAKKLLHKMKDTGFKPLCETYSAVMRAYSRNSLASEAIDLYSEMKASGVEPNVVVYGLLINMFAETGQVEKALHYSNLMEESGITPNHVVLTSVIKAYSKNNCWKEAQDLFTRMRNMDGGPDIIASNTMLNLYAKLGMVIEAKAIFDNLKRNNQGDDVSYIIMMFLYKNMGLLNESIKIAHELDNSGLLSDCAAYNAVMACYVAKGNLRECAELVQKMVEDNIFPDASTFQMIFSAVNKINISSEEVLQLESAYSDGRSSAKHAILAFLFSMAGMHAAALNVCEQLSKPELTIDPCAYNVAFKVYASCGEVDKAFSLFMRMHALGLKPDTVTCIDLSTCCGISGMSEGMRRISGLLAYRNSEFSKSLHKALVSYRETGSNDLAAQFVNK >SECCE2Rv1G0071790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:47573993:47574319:1 gene:SECCE2Rv1G0071790 transcript:SECCE2Rv1G0071790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLASATRPDISFAVSKLSRFVSNPGDDHWRALERVMRYLKGTASYGIHYTGNPSVLEGYSDSNWISDADEIKDTSGYLFTLGGGAVSWKSCKQTILKRSTMEAELTA >SECCE2Rv1G0138000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920672997:920674596:-1 gene:SECCE2Rv1G0138000 transcript:SECCE2Rv1G0138000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVFIVGAGPAGLATAACLSQFAIPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKNLFVKYLDDYVERFNIQPQYLTSVESSTYDNHEKCWSIVANDMSKCTTVKFTAKFLVVASGENSAENIPMIPGLENFLGDAIHSSSYKSGKSYSGKNVLVVGSGNSGMEIAYDLATHGANTSIVIRSPIHVMTKELIHLGMSLAQYLPLNLVDKLLLMAADLIFGDLSRHGITRPKMGPMTLKSETGRSAVIDVGTVGLIKKGIIKVERSISKIKGNIVEFQCSKNISFDAIVFATGYKSTTNIWLKNGESMLNGNGLPIRKYPNHWKGENRLYCAGLARRGLAGIAADAKNIANDIKSVIYSMSS >SECCE7Rv1G0460470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:31955859:31957072:1 gene:SECCE7Rv1G0460470 transcript:SECCE7Rv1G0460470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQRLAPTTSSSSKTNNRKQEEPHLSGAYIRSLVKHLSSSSTTRSKDHHITMGTKPQQEEQQAPQTTPPSLQQQQQPHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKIHRASMREAKEQQQHQQLVQEFQHQQEVQVVQDHRLSCSAPSMSSYGSFSDYPFAHSTAANSSCSFYSSPLLPYHTPPAAPMVPMVDALDHLLPLPTQPLGLNLSFHGFVGVVAGEDAKNNTASNFFDPPPLLQQPSPASSYSVYSSPPATTMASQDVASATVENTSPSLHRVLDEEEMAAIYSVGERHDIEWSDTLNLATSAWWSTLLDDGAAAAHQTDSVDVPGMHLGDVYYGEDVSFPRMEIGEMGGWDEEWLS >SECCE1Rv1G0037840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:531279325:531280923:1 gene:SECCE1Rv1G0037840 transcript:SECCE1Rv1G0037840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQFKRAPPHEDAALLARETTFSVNEVEALYELFKKISYSIYKDGLIHKEEFQLALFRNSNRKNLFADRIFDLFDLKRNGVIEFEEFVRSLHIFHPDTPMADKIAFAFKLYDLRGTGSIEREELKEMVLAILNESDLLLSDDAVEQIVDQTFNQADLNSDGRIDPDEWKEFASKNPALLKNMTLPYLKDITMSFPSFVVYSGAGDEEL >SECCE2Rv1G0084530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:175906624:175907421:-1 gene:SECCE2Rv1G0084530 transcript:SECCE2Rv1G0084530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEARYTDEDIRAMVDDGIVDPHVENSLRAMQSEVSSALQERVEFPSIAQLDWVDYIEETAVALAGEMEEDGEDLTHGADVFATRVDEEGLVSELRSQAAWCDERRRQADALAADARGLRDRYLRAAAGITTEYQDGAELLRAATSEFRQHVAKEMDGGGVPETDAARAEAIEAAARAGQGVGARFTEMFVGLAERLRRRALDYGAGHEALREALTLRAAGVEELCADPEALVARMLASSSWRLWRAVNSHARPQGSITATPPL >SECCE2Rv1G0118440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:782423248:782425125:-1 gene:SECCE2Rv1G0118440 transcript:SECCE2Rv1G0118440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAATLLFLSFVSLMILVSLLTRKKTAISKGRKPPGPRGLPFIGSLLHLRTATPQVALRDLAKKHGPVMYLRLGQVDAVVISSPAAAQEVLRDSSLNFASRPTILAAEIVGYGSVDIAFAPYGAYWRTLRKLCMVELLSARKVRQFAPIRDSETMSLVRDVRAAAGVGGQPVNMRKLLVSCTNTITARATFGDGCDAELQEQFLAAMNVLLTLTGGFCVGDLFPSLWFVDVVTGLRRSLWGARRQFDAIFDKIIAICEARRAEKNTGDDELLSVMLRIKDEGQLEFPIGITNIKAITVDLFTAGTETTSSILEWIMSELMRNPEVMVKAQAEVRQTLDNKSLEDHEIHMDKLRYMKMVIKEGMRLYPAAPLLLPRVCRETCNVGGFEIVEGSRIMVNVWAIARNPEYWHDANEFKPERFEDITVDYNGTQFEYLPFGSGRRMCPGSTFGLATLELILARLLYFFDWSLPAGMRPDDLDMDMIVGATLRRRKQLHLVATPYNFPMKI >SECCE5Rv1G0327050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:489030097:489032288:1 gene:SECCE5Rv1G0327050 transcript:SECCE5Rv1G0327050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWHPNPGVTLNTQILSEACSCAESLGGTKDGRWKTSIIFYRPMTRDGAGGSQQNLPPDVPRELLGVALHERPGLYFSILRNQRLVLQADAAFSQVMEKLQSFKARVNLNFEGFQYQLGDFCLRIGKCVPNNTETLRGIMMEVEYYPLSSIEKSRAIMVDFFDIWQETLAKKTLPGRFIHVESNFAEYGLSDHYSFQHTAVQYATCLQQLMAAVRP >SECCE3Rv1G0170700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:256734472:256738355:1 gene:SECCE3Rv1G0170700 transcript:SECCE3Rv1G0170700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRGLLARLRHLSLAGPRLPPCRLFSAEPLVSHPDDDDAGAGGEGEGRIIEARPGVMGPDSRRTGVIGVKCGMSAMWDKWGAKVPITVLWVDGNVVCQVKTAEKEGFYALQLGAGQKKEKHLTKPEVGHFRSQGVPLKRKLKEFPVTEDALLPVGTTISVRHFVPGQFVDVIGITKGKGFAGGMKRHGFSGMPASHGASLSHRSIGSTGQRDSPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFLFVKDSIYKKPDRALLPFPTYFSQEGEPEDLEPLIADLGDVDPFMAAD >SECCE5Rv1G0337420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:591503184:591504779:-1 gene:SECCE5Rv1G0337420 transcript:SECCE5Rv1G0337420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLPQQWQLLLLASILLPVVSYLLVTKHRAKEGRLKLPPGPKQVPVLGNLHQLGPLPHRRLRDLARRHSPVMLLRLGAATTVVVSSATAARDVMRAHDADCCSRPASPGPARLSYGRKSVAFSPYGAYWRDMRSIFAAELLGARGVGAAWAARREQVDRLMVMAALGNTAGPVSLDEHVFRVADGVIGRVAYGSVYGAQAFAGKYKRFQHVLEEAMDMSASFSAEDFFPNAAGRLLDRLAGIVARRERIFRDLDGFFEAVLEQHLDPTRPKPESGGGDLVDALLRICDEHGFTRDHVKAVLLDAFLGGVDTSSVTILWAMSELIRKPRVLKKAQEEIRAVITGNGNSDQRVQPDDLPKLTYLKMVVKETLRLHPPVTLLLPRETLRQVEIGGYDVPAGTRVLVNVWAIGRDPASWGQDAEEFEPERFEAGGRHDKVDFRGAHLELMPFGAGRRICPGLAMGVANVEFTLANMLYGFEWELPEGTVAEKLSMEEAGKLTFHRKTPLVLVPTPYVPPESWLGAGPRCDKLW >SECCE5Rv1G0337950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:595033074:595033749:1 gene:SECCE5Rv1G0337950 transcript:SECCE5Rv1G0337950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAEVRAEMDRVLRWLVPAAESTRLYLGEWAMKGMECVDADEANWFEQDSRISVGSMLAHADAKVSKVETLYYADKETTEGYIAELVLALHLLASLPTDGK >SECCE3Rv1G0152710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:49881623:49885078:-1 gene:SECCE3Rv1G0152710 transcript:SECCE3Rv1G0152710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGERAIARRNNRESLTPSGSGRKRKVCSYTKELEKMVKIRKEVLALRAAQAIADAQLFSIEHPHQVISSIPFEEKEFGDSGSLDKAFQAIGSTNDITPDLSAIVVSLALFDEDRMLFACSGIAVPPGTTCLELTRFVTSRRLVEEFEKYRNVNDKLRIEVCLPDCTHIDGFLGLYDDNIAIVTSFDEFPHAVCPVDLDLEPPPLDTKAIVAARAFKSGRLMVTSGRLTGDGRANWKQISEAAVGGPVVNHNGKLLGVNLRIEDPCPWFIPLKDLRGRLKHFQILKTNTKDFRGYSLPEGVSSIIPSGFWRRIKWIESVGYPIPPPLVLEFNGELLETFEDEFGELRAWKEYRYPVSNPGSTEYVWALLPKDVVTNISRSVVRLASFDGSVRCFACTGLLIKWPGTKGMCPVVLTSASLVRSRGDHFKIDKNIMIDVFLPPRQHAKGTLVFYHLNTNIAIIRLKQAIHGIRPVYICSEEDLYKPVVAVARQIEEGFLMASKGKVCLDKWSQIDSMSRVSTCKISKAGIGGPLINFDGTFVGMNHYDGSQETTFLPRLKIVEILEGEVNQRKESGLSMRVLHGVGSGIRQRSWPVPKPYWYHGLLDMDMYDLPPRVGRQLQ >SECCE3Rv1G0194820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:785662612:785666105:-1 gene:SECCE3Rv1G0194820 transcript:SECCE3Rv1G0194820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLQGQSVETMVAVAVAVVAVAVGGALLLRRSKRPKGCLDPDNFKKFKLVEKTQISHNVAKFRFALPTPTSALGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGNFQLVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFKYQVGQVRAFGMLAGGSGITPMFQVARAILENPNDKTKVHLIYANVTSEDILLKEELDSMAEEYPDRFKIFYVLNQPPEAWNGGVGFVSQDMIKTHCPAPAEDIQILRCGPPPMNKAMAAHLEELGYTKEMQFQF >SECCE6Rv1G0383690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:55846954:55851014:-1 gene:SECCE6Rv1G0383690 transcript:SECCE6Rv1G0383690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHSTPKRGRRDEHPHPPREVSVVHSCCRCCSRQPPPPPPPTRGGRPWMEIARSAAAVGCSKEHQRIYADWFAIADPDGDGRVTGADATKFFAMSGLSRADLKQVWAIADSKRQGYLGFGEFAAAMQLVSLAQAGNEITQDSLKREDLSSLDPPVMKGLDELLARSMAIVNVVRPQENGTSQVQAPSTNSWFSSKSAKKIQTPLTAVTSVIDGLKRLYIEKLKPLEVAYRFNDFASPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKSNFPGAHIGPEPTTDRFVVVMSGSDERTVPGNTIAVQADMPFNGLTTFGGAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDVILLLFDPHKLDISDEFKRVISSLRGNEDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPVNESAVGPIGKELFEKEQEDLLADLKDIPKKACDRRVNEFVKRARAAKIHAYIIGQLKKEMPAMMGKAKAQQRLIDNLQDEFAKVQREYHLPAGDFPDVEHFKQVLAGYSIDKFEKIKPKMVQAVDDMLAHDIPDLLKNFSNPYQ >SECCE5Rv1G0352830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711834709:711837601:1 gene:SECCE5Rv1G0352830 transcript:SECCE5Rv1G0352830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRGTRTVRVRNISDLAGEREVREFFSFSGEIDHVDITPDGAGTGRTAYVTFKDAKALEIALLLSGATIVDRVVNITSAEDYIYIPVNEQQLAVNEVTSTAPTADLEQPTEANASPTSVYASKAHDVMTTVIARGSAIRQDAVNKAKSFDEKHQLRANASARISSFDRRVGLSEKLNTGISVVNEKVKTVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQIAVSNISAKGPAVVA >SECCE6Rv1G0432540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:752526602:752528027:-1 gene:SECCE6Rv1G0432540 transcript:SECCE6Rv1G0432540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGLAGRALLRPLASPGAQAQRRLLSTDKGPLQGLTDAGDPMSRLIMQARNQTDGGFPRHFAENGFTAGGGRMGGNGFTTGDGRMGGNGFTAGDGRMGGNVFTAGDGRIVGNGFTAGGGRMGGTGFTAGGGRSGRFNMEMLRPAGAPRVKRDVLHVTLKGKKTFVTLTDIKGNRKAGASAGCLEDRKGRSRLARYAGEATGEHMGRVASKIGLKSVVVKVKGYSFFRKKKKVIMGFADGFRGERVRTPSPIMYVHDMTQLAHNGCRLPKKVRK >SECCE6Rv1G0446610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:845275703:845275936:-1 gene:SECCE6Rv1G0446610 transcript:SECCE6Rv1G0446610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIENASTHGRLRDDLVEHHWQLDGRRTGP >SECCE4Rv1G0267390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:735915900:735916437:-1 gene:SECCE4Rv1G0267390 transcript:SECCE4Rv1G0267390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQRLAAAKRCSREAAMAGAKAAAVATVAAAVPTLASVRMLPWAKAHLNPTGQALIISTVAGMAYFIVADKTILSMARKHSFDDAPDHLKDTSFH >SECCE7Rv1G0520140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:867790503:867796532:-1 gene:SECCE7Rv1G0520140 transcript:SECCE7Rv1G0520140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTANALLPVPKSGSHHHQRNLLSLAFAHGSTEAFFPPSNRRPPSLHSICVRSRKISACTAYVEGRSLGEQNLNRQNMDRKARIRKQLLNPNFSPSPYDTAWVAMVPLPGSLQDPCFPQCVEWILQNQHDNGYWGFNEFDSSASRDVLLSTLACVVALKKWNAGPEEIRRGLRFIERHFSIIMDEQTTTPIGYNLTFSSLLILAIEMDLELPISHTNINVILHLREMEIKRLEAEKSSTREAYSAYVAEGLVNVLDLSEVMTFQRKNGSLFNSPSATAAALIRNHDQGAFEYLNLIVSEFGSAVPPMYPLMVHYQISMVDTLQKVGISRFFPSEINHILDMTYSLWLRRDDEIMSNVETCAMAFRILRMNGYDVSSDVLSHVAEAATLLDPPQEYVNDTKSLLELYKASKVNLSQNELVLEKIEKWSSNLLKEMICSDVIKRIPAFIEEVEYALKIPFYATVDPLDHKWSIEHFDAIDSQMMKAKYLSCGVNKDILALAVEDFCISQSIYQREVDHLDSWEKECRLGELQFARQKMKYCYLCAAASITPHELSEARVACAKATILTIVIDDFFDSGAGSPEALANLISLAERWEEPQEDDFHSEEVKILFYALYNTMNQIAAAAYPLQNRDVTKELVETWLALMRTEMTEVEWRNSKHLPTFEEYMKVAYVSFALGPIVHTPMYFLGVNFPEYVLRDEEYDELFTIMSSCCRLLNDIRGFERELSHGKLNSTLLLVRHSGGSMSIDEAKQEIQKSIASLTTNLLRLLLREDMVVPMPCKEIFWKFNQTGHLFYTRIDGFTSPEEMVGAVNAVVYDPLKLQGGTSPSLAAQ >SECCE7Rv1G0515470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:839674571:839675396:-1 gene:SECCE7Rv1G0515470 transcript:SECCE7Rv1G0515470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKELSMKLLVDTKAQKVCFAEADNKVIEFLSSLLCLPMSTVINLLSKERMVGSIGNVLDSLEMLDATYVFSSKGKEPYMSPTISPDVLSPLQQLLGDAQLNASSRFFTCEGRRCCYTSHTCGYFSVAKGSKCPSCSTGMNKAMPHAKTEGFVIGTATYTVKDDLSIIPASSVASVALLAQCGVKDLSMLQEKTVKIGKEEALDILLASLKSKTVLTDVFLTRKARYKKETLPSWLSTE >SECCE7Rv1G0523760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:883480027:883482114:-1 gene:SECCE7Rv1G0523760 transcript:SECCE7Rv1G0523760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIDFWNAWAVQSLVLASLTLQVLMLLLAGIRRRETSWRLFSLILWLAYQLADATAIYALGHLSFDGATRREHRLVAFWAPFLLLHLGGPDNITAYSLEDNRLWLRHLITLGLQVVGAVYVLYKHYIGTHDMFVLAVILMFIVGVLKYGERTAALKGSNMDSIRSSLKKEPRAKCHFYLDDRPPKGGFKAKIDEEEFLMRHAHSLFHICKHAAVDSSSGMGEDNRETKVLEHLTYEQRYVLMELEPSLMYDILYTKAAFVHNLFGYCVCIVSPAAATASLLLFQFSGKAGHSRVDVLITYILLGSALLLEMRSLLSALGSSWTLPFLCGTRWSWLQHEVLCGGGWDQLRRRIVSLHGLIKVMGLSTWLRPARRLSGTVGQYNMLHLCSRPSKRNGPLLGRFANMLGAQEWWDREHHSWTIKFPEELKQRLMLYIEKLVNDGKVSTQGIIRKKWGEEALKACNLDEDMFEDLKRKLGVEFQEGVIIWHIATDLFLLHENRKKATEEPTEDEAFYEESIMVMSSYMMFLLVERPYMLPGLSQSKLYRLTCENLVSIWSKEGQEHPAPSCGDLFRMYEDPNSRTSLRDRKKLADMVQEESPNPTDEVPRVSYAIAVARALVWNDKQVKRKNNSLQVLFSVWMDFLVHGANKCSRESHAKKLSSGGELTTILWLLTEHLHQLVKHNRQLKAEEAKQGI >SECCE2Rv1G0142590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:941484640:941484927:-1 gene:SECCE2Rv1G0142590 transcript:SECCE2Rv1G0142590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQANKPSSHAVITGQWSPSGADNAAGRVPGYGVITNIINGGLECGRGQDDRVANRIGFYKRYCDVLGVGYGNNLDCYNQRPFNSGLSVGLASE >SECCE2Rv1G0078550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:108801881:108803112:-1 gene:SECCE2Rv1G0078550 transcript:SECCE2Rv1G0078550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMAPAWPEFGGGHHHSAHARHHHHQRSPSMGTFLAAPVSTLPPPAPASEDAAQQQPSWVDEFLDFSAAKRGAHRRTVSDSVAFLDDSNAVVGVHEFDRLDDDQLMSMFSDELAPQRQVQAASVSSLSDHNSVNDEKQERGDTEEAQSDCNGNGAAPGQPSSPATVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNNHLKQRITALARDKIFKDAHQETLKKEIERLRQIYQQQSLGNAEAPAHDDDPLVRGENNGLIANEGTTGPS >SECCE5Rv1G0375240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867320532:867322781:-1 gene:SECCE5Rv1G0375240 transcript:SECCE5Rv1G0375240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHAAPFPFPLPRREEDAGDQDDDLLLGAGGRWGAAMAEAEAEAEQSPTPPPMSSCGRYILHRVCRFDTLAGVAIKYGVEVADVKRVNGLTADLQMFAHKTLRIPLPGRHPPARHSPPSSSPAAAAAREWTTRRPPKNASLDPFLKPPCSTVSPSMSLLQGYYGLAPPPKRDLADECAEMGTAVKGQHRKARSISTAFPVVNGDAGWETDDAEKQIRRRQKADLELTAMREDNGGGLLPRNGEGLALRPKSGSRSDMNSSQQDLVAAGLLPSYGDGLLAVRKSSSTPEFQDSDNSIASVWLRSKWNLKPDAFALPIPILLLDSLPKPLFDSLPKPIAAWRNKAARD >SECCE1Rv1G0051190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:651738348:651741458:1 gene:SECCE1Rv1G0051190 transcript:SECCE1Rv1G0051190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEKSPQSPVACGQRVLISNKLGEKLVGLLHEACSKELVILCHGFRATKDDSILVDLAAAVASAGVNAFRFDFAGNGESEGLFQYGNYRKEADDLRSVVSYFSEQKYDIIALVGHSKGGNAVLLYASMYHDVTVVVNISGRFALEQGIEGRLGKNFLQRIKKDGYIDVRNKKGKFEYRVTEESLRDRLSTDTLLSSRSISKDCRVLTVHGSEDETVPARDALMFAAHIPNHDLHIVVGANHRYTGHEQELTSLVLDFIKPRSRKSSSLRPKL >SECCE2Rv1G0116650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:766439534:766440937:1 gene:SECCE2Rv1G0116650 transcript:SECCE2Rv1G0116650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSMEPVAFVAVPFPAQGHLNQLMHLSLLAASRGLSVHYAAPAAHLRQARSRLHGWDPQALGSIQFHDLGVSAYESPAPDPTAPSPFPNHLMSMWTTFTAAARAPLAALLESLSATHRRVVVVHDRLNSFAAVEAARLSNGESFALQCVAISYNVGWLDPAHQLLRDNDLDFHPIDACMSKEFLEYVFQTEKEMQEQGGVPTAGMVMNTCRALEGDFMDAIAAHPVFKDQKLFAVGPLNPLLDASARTPAKARHECMDWLDKQPPASVLYVSFGTTSSFLGEQVAELAAALKGSKQRFIWVLRDADRADIFAEPGENQHGKMLSEFTKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILTWPMHSDQPWDAELLCKYLKTGLLVRPWEKRGEVVPAAAIQEVIEEAMLTDKGMAVRQRAKELGEAVRAAVADGGSSSKGLDDFVAYVTR >SECCE6Rv1G0448890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:858853631:858854566:-1 gene:SECCE6Rv1G0448890 transcript:SECCE6Rv1G0448890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIAFLLFLVLVSPVPVACYSASPSYRCNWCPRRSTASLLPPDAADATLTGAACGYGGPEAAAELADGGFHIAAVSAGLFRRGRACGACYQLRCRARSACAMDGVKVVVVASTETATSGTGTDGQFLLGKDAFAALTTAVAGGGGQLASLVDAAVDVDFRRIPCAYKGKNLAVRVEETSSRDMGLLAVRFLYQGGQTDIVAVEVAQAEIPTGATQSAAAPSPTTWQYMTRREGSPGVWRTSGAPAGPLRLRLVVTAGSGGKWLRTEGVVLPAEWHAGGVYDTGLRVTDVAANTCGGASCSASGDDDEEE >SECCE3Rv1G0166760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:192429500:192430132:-1 gene:SECCE3Rv1G0166760 transcript:SECCE3Rv1G0166760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTQERPAPPPPSPSSVQMQLPHAAADPSNPFPTTFVQADTTSFKQVVQILTGTPETAAAAAAGGAAGAPAAKPAPTPTGPKKPAFKLYERRGSMKSLKMLCPLLPAAFAAGGSGSCSGFSPRGFSPRGLEVLSPSMLDFPSLALGSPVTPLPPLPGSREAAAAEDRAIAEKGFYLHPSPRGNASGRGDLTPPPRLLPLFPLQSPTRH >SECCEUnv1G0559520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:338871152:338871685:1 gene:SECCEUnv1G0559520 transcript:SECCEUnv1G0559520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRFGMPIQANGVPRKLADPFDFGGGHMDPDRAVDPGLVYDLDAREYNKFLNCTLGLSDDCKSYNLNLNLPSITVPDLKDHVILRRTVTNVGPAEATYHLVVEAPAGIDVSVEPFVISFSQGSSRSATFMVTFTTRQRVQGGYTFGSLTWSDGSTHSVRIPVAVRTVIQDFVADTS >SECCE6Rv1G0439490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:796666736:796667091:1 gene:SECCE6Rv1G0439490 transcript:SECCE6Rv1G0439490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDLSKLVKEKRFWAASFLIAWAAALQGHMMWLKRQDAFKDKFGDPEAPNKVTEQPADDSTSLAGEQGELTADAEIR >SECCEUnv1G0531930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:18036553:18037008:1 gene:SECCEUnv1G0531930 transcript:SECCEUnv1G0531930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVKRSNVFDPFADLWADPFDTFRSIVPAISGGSNETAAFANARVDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVVSGERTKEKEDKNDKWHRVERSSGKFVRRFRLPEDAKVEEVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >SECCE1Rv1G0032200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:452133003:452135081:-1 gene:SECCE1Rv1G0032200 transcript:SECCE1Rv1G0032200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLAPPRWHHPPPPSTGTSPGRLLHLSRSAVPARRGQLGRASTVSPRAFFGRADLDGLLQRAWRGANAGAERLSFEARQAAQRLEGRYSISRRVAEAARAARERAAEIDAELGVGRRWRSFSVDFSRSWPRYRRELSDFLATPIGRALSTLTFVWLALSGWLFRIFIFSTFVLPFAAPLLLGTFANRVAIEGSCPACKRRFVGYRNQVIRCMNCQNIVWQPNSRSSGGGGGGGGGSRSSGSDIIDVEFEEK >SECCE3Rv1G0158890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:92156969:92159854:1 gene:SECCE3Rv1G0158890 transcript:SECCE3Rv1G0158890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLSKATDDLLGDVAVDGLKQSPRFTLKGKDLAVDGHPALLDVPANIRLTPASVLVSAAEVAAVADGSFLGFDAPAPDSRHVVPIGKLVDTRFMSIFRFKVWWTTHWVGTAGRDVENETQMMVLDRAADRPYVLLLPIVDGAFRASLQSGEDDHVALCLESGSSVVKGSVFRSAVYLHAGDDPFELVREAARVVRAHLGTFRLLEEKTPPPIVDKFGWCTWDAFYLKVHPEGVWEGVRGLAEGGCPPGLVLIDDGWQSICHDDDDPADGAEGMNRTAAGEQMPCRLIKFQENHKFRDYKGGLGLGGFVREMKAAFPTVEQVYVWHALCGYWGGLRPGTPGLPPNKVVAPKLSPGLKRTMEDLAVDKIVNNGVGLVDPEHARELYEGLHSHLQASGIDGVKVDVIHLLEMVCEEYGGRVELAKAYFRGLTESVRRHFGGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGSFIHPDWDMFQSTHPCAAFHAASRAVSGGPIYVSDSVGSHDFALLRRLALPDGTILRCDHHALPPRDLLFLDPLHDGKTMLKIWNLNRFSGVLGAFNCQGGGWSPEARRNKCWSQCSVPVTARAGPADVEWKQGTAHPVPVEGAAQFAVYFVEARKLELLLPEETVEITLEPFNYELLVVAPVRVVSPEKDIRFAPIGLANMLNTGGAVQAFESSVGGNGEVTVEVAVKGAGEMAAYSSAKPRLCKVEGEDAEFAYKDGVVTVAVPWSGSSSKLSRVEYVY >SECCE4Rv1G0283720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836199740:836201791:1 gene:SECCE4Rv1G0283720 transcript:SECCE4Rv1G0283720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVQVLDLSGNHNMGMMSSTSFKHLCSLKILDLSFCQIDGNIKDVIGRMPQCPLNKLQELHLGYNNISGIMPDKTAHLTSLVVLDISQNNITGGIPCGVGLLSSLSRLDLSSNYLGGYVPSEIGMLGNLTMMDLRGNNLIGDFTEEHFTSLTRLKGLYLSGNSLRITVDPGWTPPFSLEETQLDGCQLGPSFPSWLQFQVDIHWMDISSTGIVDRLPDWFNTTFAKVTYLDISNNEISGRLPANMEFMSLKWFFIGSNKLTGEITNLPRNITFLDMSENSLTGNLPSNIGTPDLISLVLIHNLIAGHIPESLCKAEALYALDLSNNLLEGQLPQCFGVKDISFLMLSNNRFSGNFPSFLKYWRKLAFLDLSRNSFSGRLPLWIGDLGELQFLRLNQNTFSGEIPPTISNLSHLHHLNLAGNGLSGAIPWDLSNVTAMTGKYVKDHMLDSEPYGGYSYMFYTYNFSSVVVKGRELDYGIGILDLVSIDLSFNQLTGGIPEEIAALDALINLNLSRNQLSGKIPIKLGALQALESLDLSRNMLSGGIPSSLSDLNYLSYLDLSDNNLTGRIPSGRQLDTLYTQQPFMYNGNSGLCGPPLPNSCPGKNSATHDDPKMNEHSFEPMTFSFGLALGSILGLWVVFCVLLFKRAWRISYFGLIDQTYDQMYVFAVLTWKSWARKEATN >SECCE1Rv1G0005500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:26058314:26059681:-1 gene:SECCE1Rv1G0005500 transcript:SECCE1Rv1G0005500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRKAAQLGPEEILSWLHGFRQVHLLMAIFLPFALATLYLMRHPRSVYLVDYACCRPKSNCRVSIGSSIENVRFSPYLDDGGVDFMTRMLKRSGLGDQTYLHPSFHYMPPRCCLSDGRDEAEQVIFAAIDDLFAKTGISPGAIDILVTNCSVFNPTPSLADIVMNKYKLRADIRSVHISGMGCSAGVISLEVTRNLLQAAPQGARALMVSTEGTTIINYTGKNRGMMLPYALFRMGAAAVLLSTSKSMARFRLMHIVRTLTAAHDKAYLCISMKEDDEGETGVYLSKDLVSVAGEALKANITAIGSLVLPPSEKLLFAIAFIARKVLRRKVKLYVPDFRTALQHFCIHSGGRAVIEAVQNSLGLSDRDVEASRMTLHRFGNTSSSSLWYEIAYIEAKRQMRKGDRVWMIGFGSGFKCNSAVWQCIRPASNTTVGTPWADSIHLYPLNNISEEVG >SECCE4Rv1G0240850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448154407:448154658:1 gene:SECCE4Rv1G0240850 transcript:SECCE4Rv1G0240850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGRLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >SECCE1Rv1G0059010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703568217:703573238:-1 gene:SECCE1Rv1G0059010 transcript:SECCE1Rv1G0059010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSAGTGAMGSLLGKLAALLSDEYKLLSRVRKEIEFLKRELERMQLLLESLADMEGRLDGLARGWRDSVRDLSYDMEDCIDRFMDRDAKPKLMKRTARRLKTLWARHDIATQIKELKARVMEESERRDRYKLDERYYSPTKTVEIDPRITTLHEEVKDLVAMDGRVKQVTALLMDESMELKVVPIVGSGGLGKTTLAMEVYRKIGLGEDFQCRASVSVSRTLDLHKLLKDILSQIDDKQCHSEGWNIDRLIRKTAQILTGKRYFIVIDDVWKKEHWKLIKSAFPENNNGSIIITTTRITGVANQCCSNSVSQPYQMEPLDDVDSRRLFFKRIFSSDGSCPAELEDVSTRILEKCGGLPLAIITFASLLANKTHKKDEWERLQESIGTGPSFDSDGNLKGMKDILLLSYWDLPHHLKTCLLYLSIYPEDYKIKCKELKWKWMAEGFLDRRWGRLDEVAENCINELVNRNLIQSVDVRYNGPVKHCRVHDMVLDLIISLSDEENFATVLNGRVCNSFPSKIRRLSVQASSGKHQGAVCAVTETKLHVRSLTMFRQVEQLPHLVDFHALRVLDLRDCEWLENKHVKHIGSSRQLRYLRIGRSNITELLPGEIGKLQHLETLDLRYCLSLLRLPSAVTQLRKLVRLFVGVCTQLPASGFRNLQALEELIFNITDDPVRFAEEVNEMGKCNLGYLSTRGEITEHLLCNPCSTYPCLQVLKIQTGIGMVPRGMTSLENLMKLRIRVTEFYKEGLQVLMGMPSLAHLELEITGEAIDEKLIIVSDGFKLLKVFNFRYTTLFRRSLPVQVKPTGGLQLTFAPGAMPALRCLRLELSPMIVASDFFADLSVEHLPGLAQLEVEIDCYRAAPCRVKALECSIEKATNLLPKCRIRVSRALEEYMFKDDQEVVAKE >SECCE4Rv1G0287350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:854281091:854281695:1 gene:SECCE4Rv1G0287350 transcript:SECCE4Rv1G0287350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRTGLGHAATLLSLLLLMCFAIHVHCRIMEDKVSKKINLPHGLCAHRGWNCRIAFHCDCCLLSNICYTSMDLCMEACK >SECCE4Rv1G0231380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:183078113:183078571:-1 gene:SECCE4Rv1G0231380 transcript:SECCE4Rv1G0231380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHSTMMVPSVFAAFDKDGDGKVSVSELRCCMAASLGEDISEEEAAVVLAAADADGDGLLNQEEFSRLATGAHEEEDVRRRCVREAFGMYASSPTEDTTTTMITPASLRRALSRLGSHELGVEECRAMICRFDLDGDGKLSFEEFRVMMMA >SECCE2Rv1G0072990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:63411913:63416505:-1 gene:SECCE2Rv1G0072990 transcript:SECCE2Rv1G0072990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLCRLPLLLLLLVLALSPEGTRWPGASTAAAAAAARRIAPLPTAALRRLYDTSNYGRLQLNNGLALSPQMGWNSWNFFACNITDTLIRETADALVSTGLAELGYNYVNIDDCWSYVKRGNKDQLLPDPKTFPSGIKSLADYVHGKGLKLGIYSDAGVFTCQVRPGSLHHENDDAALFASWGVDYLKYDNCYNLGIPPKERYPPMRDALNSTGRQIFYSLCEWGQDDPALWAGKFGNSWRTTDDIQDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTFAEYRAHFSIWALMKAPLLIGCDVRNMTSQTIEILSNKEVIQVNQDPLGVQGRRILGQGKGGCREVWAGPLSGNRLAVALWNRCSEMVNITMSLPAVGLDGSSAYSVRDLWKHETLSANVVGTFGAQVDVHDCKMYIFTPAVSFSASW >SECCE6Rv1G0443240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:821715450:821716532:-1 gene:SECCE6Rv1G0443240 transcript:SECCE6Rv1G0443240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHSSRTTTSTCAAETAHGTHLFRITGCSLYSGIGVGERIESATFAVGGYDWRLSYYLDGNNEEYTDWVSVFLDLKTENAEVRAFFDLKLVNQATQQAPRPFAGLDPSEITLFDSDAPSWGYRQLRKKTKLKKYILDDVLVIECNLTVINYKEALVAEAMMKYEDQVPRSDLLNNICNLLETQEVADVSFDVHGEVFPAHKVILAMRSPVFKAEFYGPMSNECKRSVTIEDMQPAVFKALLHFIYTDSLPPMDDLSDEEYEEMVKHILVAADRYAMERMKLMCESKLCDTLLAENVTTRLALADQHHCSMLKDACIEFISSSNRMVDVVASKGYERLKRTCPTICADILEKAAKMRKI >SECCE2Rv1G0137730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:919052879:919055223:1 gene:SECCE2Rv1G0137730 transcript:SECCE2Rv1G0137730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFADDGSAADNLPRTASHPFDDAEDDEVAADADGAAGGYASFADAGYSSFADAGPEEEEVVDEEIAVDSDGGGVPVRHVSGGYAPAAFFPDSDFGGGDGDYDGPVLPPPAEMGREEGALLREWRRQNALVLEEKERKEKELRAQIIAEAEEFKIAFNEKRIQTCETNKVHSREREKIFVESQEKFHASADKQYWKSISELIPHEIATIEKRGKKDKDKKPSIAVIQGPKPGKPTDLSRMRQVLVKLKHAPPPHMMQPPPDPAAKEGAKEGAKDGAPAPANGTKQPAESKEASANDPAAETEKEQPAAAAE >SECCE2Rv1G0112320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:708296723:708301059:1 gene:SECCE2Rv1G0112320 transcript:SECCE2Rv1G0112320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 35 [Source:Projected from Arabidopsis thaliana (AT5G08260) UniProtKB/Swiss-Prot;Acc:Q9LEY1] MAMALFLLATAASAAVAGASWSPRPEADLVTGLPGQPEVGFKHYAGYVDVGTGGDKALFYWFFEAEKEPEKKPLMLWLNGGPGCSSIAYGAAQELGPFLVRGYGANLTRNAYAWNKAVNLLFLEAPVGVGFSYTNKTADLSRLGDRVTAQDSYAFLLNWLAKFPEFKGRDFYIAGESYAGHYVPQLADLIYEGRKAAGRGGIINLKGFMIGNAVLNDETDQLGMVEYAWSHAIISDELHSAVTRECDSFKEEADGGRPGKGCSSAVRAFMGAFDDIDIYSIYTPTCLSPSTSPAPRPSRLVAAPRLFSQHEAWHMMRRAPAGYDPCTEAYVTRYFNRQDVQRALHANRTGLKYPYSPCSAVISKWNDSPATILPILKKLMAAGLRVWVYSGDTDGRVPVTSTRYSVNAMKLRARARSGWRAWFYRQQVAGWAVEYEEGLTLVTVRGAGHQVPLFAPDRSLALLHHFLRGQPLPASTPR >SECCE5Rv1G0376900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:874758465:874767221:-1 gene:SECCE5Rv1G0376900 transcript:SECCE5Rv1G0376900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQARRLLLLTTKPKPKPSTRDRDRDRVLPAAAAHLSSFRASTAAASGPPMEAPPAWPSALVREVFIAFFKSKAHTPWPSSPVVPVEDPTLLFANAGMNQFKPVFLGTAAPDSPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKDGAIAYAWELLTKVYKLPTDRIYATYFGGDDKAGLAPDTESKDIWLKYLPNERVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNRESDGTLKSLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPLFDAIHKLAGAGIQPYSGKVGSDDVGKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLMAKQGFFSSLVDVFVRVMGDVFPELKDNEKNIKAIIKEEEASFENTLAKGYERFRKAADAVKENGGAVLSGQDAFVLWDTYGYPIDLTEVMAVDFGLSVDMEGFNVSMEEARQKARNARNKAGVKSIVLDANATSHLRNQGLASTNDSPKFQHEVHSSVVKAIYTGSEFIATASGDEDFGLVLESTSFYAEQGGQIYDTGIIEGASGSFTVNNVQVFAGYVLHIGSFLEGTDSKALSVGDEVKCKVDYTRRTLIAPNHTCTHMLNFALREVLGDHIDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIEDIVKKQIEDELEVSAQEIKLADAKRINGLRAVFGEIYPDPVRVVSIGRKVEDLLANPESKEWLSISTELCGGTHISNTRDAAAFALISEEGIAKGVRRITAVTAECASQAMKLASSIETDINEASKLDGATLEKKIGSIKNTLDAAAIPAARKADLKGNVSKLEDQLREAKKKMGKENIQKAVKTATDAAEAAVSEGKPFCVTHADVGLDTTAVREAVVKAMDRFKGLPIMVFSTDEASNKAVIYAGVPPDAPNGFKVLDWLTPSIAPLKGKGGGGKNGLAQGQGSDGSRLKEAMELATQIASMKLS >SECCE2Rv1G0103820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:595491246:595493841:-1 gene:SECCE2Rv1G0103820 transcript:SECCE2Rv1G0103820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLALPFATLVVVLLLSSGPIAAEVDATAVLGEAVLTLDTGNFSEVVAKHEFIVVEFYAPWCGHCKELAPEYEKAASVLRKRDPRVVLAKVDAYDESNKELKDKYKVHGYPAIKIIRKGGSDVSTYGGPRDAEGIVEYLMRQVGPASLEIRSAVDASHSIGDKGVVLVGVFPEFAGIEYDNFMSVANKMRTDYDFFHTLDASILPRGDLTVKGPLLRLFKPFDELFVDSQDFDDDAIKKFIEVSGFPTVVTFDADPTNHKFIERYYSTPSAKAMLFLRFSDDRVETFKSHMHEAARQLSGNNISFLIGDVSTADRAFEYFGLKESDVPLLLVLASTGKYLNPTMEPDQLIPWMKQYIYGNLTPYVKSEPIPKLNDQPVKVVVADNIDEIVFNSGKNVLLEFYAPWCGHCRKLAPILEEVAVSLQDEEDVVIAKMDGTTNDIPTDFAVEGYPALYFYSSSGGDLLTYDGPRTAEEIISFIKKNRGAKATAVEVTQTDAVEEEVTSSTPSESVKDEL >SECCE6Rv1G0414390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:631767271:631782464:1 gene:SECCE6Rv1G0414390 transcript:SECCE6Rv1G0414390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAGGEPPAAEGSSNPAPTVRKRTPGRWRRIPRAHPFTRSETAEEAELSMLKTAEFIENMREEDCDLLTEQDRLDFHAFKKKMGPAMRLIAKSRLDNTFFNPIQLSDTKEEHLSAEDMEAQISARFPDRKPCQQAKDFAELALAHYNEKNKTSEFELATTLLSNCFSESSGDIYGHVNFTAVPQQLSVTEPASKTKRLFFAELMHTPSLEAYARAQPMRVLRVCAIDDDSCYGGCHEIFRKIEYKRSDDMDYERCHACSDRIKHPNGQLFDGGHNSSRMPYYSA >SECCE3Rv1G0167890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:210395127:210396828:1 gene:SECCE3Rv1G0167890 transcript:SECCE3Rv1G0167890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEATTPRPVCAQEALALLNCAAENPYDRDKCLALLDALRECIAQKKVTKFLLAEAGSASTTEAPKGK >SECCEUnv1G0558270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:331224441:331226470:-1 gene:SECCEUnv1G0558270 transcript:SECCEUnv1G0558270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPAGTMGPLSDVPATLDGDRYELVRSIGSGNFGVARLMRNRASGELVAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICTAGRFSVDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPENPKNFKMTIQKILGVQYSIPDYIHIPMDCRNLLSRIFVANPATRITIPEIKNHPWFLKNLPADLMDGPTVSNQYEEPDQPMQNMNDIMQIMAEATIPAAGALGINKFLPDGLDLDDDMDDLDSDLDIDMDSSGEIVYAM >SECCE4Rv1G0225500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:94583083:94588399:-1 gene:SECCE4Rv1G0225500 transcript:SECCE4Rv1G0225500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQVFSLRLIFLFCFFSALPRRCRFLAAEAAPTLVASLPGFDGPLPFRLETGYVTVDEQNGSELFYYFIESEGDPRSDPVVVWITGGDRCSVLSALLFEIGPLKLIGEPYNGTIPRLRYHPHAWTKVASVLFVDSPVGAGFSFSRDPRGYDVGEVSSSLQLKKFLTKWFTEHPDYLPNSFYVGGDSSGGKIVPFLAQKISEDIEAGVRPLINLKGYLVGNPATGENNIDYGSRVPYLHGMGIISDQLYETIVEHCQGEDYSNPKNGLCVQALDRFNQLSNEISHPHILYRKCVYASSRPNDGSTERKILKEEVGVLKHPPPRPPMGCQTYGNYLSYFWANNNITRKALGIKMGSKDEWVRCHERDLPYSLEIKSTIKYHRNMTLKGYRALVYSGDHDAIIPFLGTQSWVRSLNFPVEDEWRAWHLHGQSAGFTITYTNNLTFATVKNGGHTAPEFEPERCLAMFARWVSHESL >SECCE4Rv1G0260900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:699404255:699407557:-1 gene:SECCE4Rv1G0260900 transcript:SECCE4Rv1G0260900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTSFLRCATAAALALHHHHHQPAFLAVRLRFPRQRIPTSRAMSSSSPAAVAAAAAPAPHQAGPWYPVPDLSLRDHRFAVPLDHSSPSAPHITVFVREVVAAGKEEAPLPYLVYLQGGPGYESPRPTEASGWVKKACEEYRVLLLDQRGTGLSTPLTTSSLSQITSAAEQVEYLKHFRADNIVKDAEFIRRHLVPDAKPWTILGQSYGGFCAVTYLSFAPEGLKAVLLTGGLPPLGKPCTAETVYRACFKQVQQQNEKYYKRFPQDIQVVHDVVRYLSESEGGGVLLPSGGRLTPKMLQCLGLSGLGFTGGFERLHYLLERVWDPVLVPGAKKNISYYFLKEFDMWVGFDQNPLYALLHESIYCEGASSKWSANKVFNENGSLFDPVKATEEGRPVYLTGEMVFPCFFDEINALRPLKEAAHLLAEKEDWPPLYDISVLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWVTNEYPHSGLRDGGPHVFEHLMGLLKGKRPLF >SECCE7Rv1G0519830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865890970:865894974:1 gene:SECCE7Rv1G0519830 transcript:SECCE7Rv1G0519830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLSVAAMALVLAAAGAHGFQFQIEEATVASIQLGFNNGSLTSVDLVRFYLDRIRGLNPLLRAVIEVNPDALRQAARADAERRSSSSGKCVSVIAGGPLHGVPVLLKDNIATRDALNTTAGSFALLGSVARRDAGVVRRLRRAGAVVLGKANMDEWANFRSLAGTDGWSARGGQARNPYVLSASPCGSSTGSAIAAAASMATVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVVPITPRQDTVGPICRTVTDAVLVLDAIVGYDALDTAATSRASKYIPDGGYMKFLKKDGLNGKRIGIPNGFFSYANGTVQHTVYQQHIDTMRKQGAIVIEDLDIANLSVILDSLNNGQEIALAAEFKLSLNAYLSGLSYSPVRTLAEIIAFNDAHPVEENMKEIGQIIFMVAENTTGIGAVERAAISQLNKLSADGLEKLMRDHELDAVVTPNDSASSVLAMGGMPAITVPGGYGKLGVPFGVCFGGLKGYEPRLIEMAYAFEQVTMVRKTPTFLP >SECCE7Rv1G0466830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:81565965:81568483:-1 gene:SECCE7Rv1G0466830 transcript:SECCE7Rv1G0466830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGVLALQGSYNEHMSALRRIGVKGVEVRKPEQLQGIDSLIIPGGESTTMAKLANYHNLFPALREFVGAGKPVWGTCAGLIFLANKAVGQKLGGQELVGGLDCTVHRNFFGSQLQSFETELSVPMIAEKEGGSNTCRGVFIRAPAILEVGSDVEILAECPVPSGRPSITIPSSDGVEEEVYSKDRVIVAVRQGNILATAFHPELTSDCRWHRFFLDMDKESHPKAFSALSLSSSSRDSEGGSKTKPFDLPIFE >SECCE2Rv1G0108050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:657377986:657381367:-1 gene:SECCE2Rv1G0108050 transcript:SECCE2Rv1G0108050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGGSVPQKTTRAEASSASDPPVPRHIMPHEECVAGVRSALKDPTVRFLRERMEKAGCTVWPMLIRAATCPSAGGYASREGIEVCCNHMEYPDQITQTITHELIHAYDDCVGKNMDWTNCAHHACSEIRANHLSGNCHYKRELMKGFLKIRGHEPECVKRRSLESVKNNPYCSETAAKDAIEAVWNICYNDTRPFDRAP >SECCE3Rv1G0214020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963623939:963624442:-1 gene:SECCE3Rv1G0214020 transcript:SECCE3Rv1G0214020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRHCQALSCCLVVLLFFILVSSSSASTLDHMCKYVGETRKDIGYDYCIKFFQANETSATADNRGLGVIATQISRAAASDTLKRIDTLLASEKDKKIYARLSDCRVLYSAAVNLLRVAANLNAVVSDTYTCENGFRVLGVTSPLAAEDDKFLKDCSIALIITSTFW >SECCE5Rv1G0319620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:377367110:377371075:1 gene:SECCE5Rv1G0319620 transcript:SECCE5Rv1G0319620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETTEAGRKMEHLTETGKSGKSGRKRAGKRKASGKASGASNAVSFLMQLTIDSAKMVEEGRLKRAVARRNEENPGVAPIVPEALTEQERAALLQEEMAREKILSRERSLQMHAERLREKEEAPPGPNQEDESEQDYNNYRRIWDMKWSKHYGSFEDTTSIPAMCFTDNPMPRFTIHQTTMQIFSVKVTEITGGLQWPLDVFGMIAMRDDLDHNRNIIFNRSRDNCQTLTQQGQHLVLTGPVRAVVHEGHPSVYFEAILKVKGATCSEDKDLSLLISRCNIRQSLSKSLVGTRCYSSKLSTLELAYGIIVACAEATIGVEVVEGSWPEGFHGKLTASTASFPHMKVLLLDSGEKEAVAGDGGKMELSRRVVSVERSGQLVVCAVLSHGGEKVVEAETSFAPLEAGRSRGMLDVGSCKLRVTVAWSPILKGYPLRGFSLPSSEAVAS >SECCE3Rv1G0150930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:35074819:35075043:-1 gene:SECCE3Rv1G0150930 transcript:SECCE3Rv1G0150930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVSGERTKEKEDKNDKWHRVERSSGKFVRRFRLPEDAKVEEVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >SECCE4Rv1G0264560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:721292088:721296171:1 gene:SECCE4Rv1G0264560 transcript:SECCE4Rv1G0264560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESPAAAAATLMAADAGYVLEDVPHVSDYLPDLPTYPNPLQDNTAYSVVKQYFVDPDDTVCQKIVVHKDGPRGNHFRRAGPRQRVYFQPDEVHACIVTCGGLCPGLNTVIREIVCGLSDMYGVTKILGIQGGYKGFYARNTIDLTPKSVNDIHKRGGTILGSSRGGHDTMKIVDSIQYRGINQVYVIGGDGSQRGAGVIFEEVRKRGLKVAVAGIPKTIDNDIPVIDKSFGFDSAVEEAQRAINAAHVEAGSADNGIGLVKLMGRYSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLFKYIEKRLKENGHMVIVVAEGAGQKLIAENMKEMGQDASGNALLLDVGLWLSQKINEHFKKNKTTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTVGQVNGRHCYIPFYRITEKQNKVSITDRMWARLLSSTNQPSFLSKQDVDDAKVEDERTAKLLDGSPSNPKVEDKVASSNSNGAK >SECCE5Rv1G0371290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:846609684:846611402:1 gene:SECCE5Rv1G0371290 transcript:SECCE5Rv1G0371290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTTAGTLPNRALHARLLRSGALDADPSAAAPLAASAANSSLPYALSLLRAHPSTFSYNTTIRSLAHGPRPHLAVALYRSMLLGPLSNPNNYTYPPLLAACARLLPASSPTTAAAPGTAVHASLFRRGLDSRDRFIGASLLSFYAAAGDLRAARQVFDASPPGQRDLPLWNSLLHAHLSQGLYAHVLRLSRRMPAADEVTLLALVSACAHLGALDTGRWAHASYARTRRSTTRNLGTALLNMYMRCGDVESAWSVFRETLDKDVRTWSVMIAGLAINGLPRDALALFAEMKNTGVDPDSITMTAVLSACAHAGMVDEGKKFLDCMPVEYRVQPTIEHYGCVVDLLGRAGQLEEALALIKTVPFKADVVLWGALLVACRVHKNVDMGEMAAMEILKLDPQHAGACVFLSNVYADAGKWDLVQGVRSSMKEHKIYKPPGSSIVELNGVVYEFLSGDRSHPQSDRIYAMLDEICKTLSLKGYKPLTKEVTFDVDEEDKEVCISQHSEKLALALGLISTTRGDVIRIVKNLRICEDCHSVMKIVSEVYDRVIVVRDRNRFHHFKNGSCSCLDYW >SECCE1Rv1G0028900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:408163379:408165342:1 gene:SECCE1Rv1G0028900 transcript:SECCE1Rv1G0028900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPAQMALGHARSPALVLAAAVLGALCIVARSEDEQLENLRFVQHAQDAPLVSHYNYIVVGGGTSGCPLAATLSEHSRVLLLERGGLPYRNMSNQEHFTDALADTSLASPAQRFISTDGVVNARARVLGGGSCLNAGFYTRASNEYVRTAGWDAGLVNSSYRWVERALVFRPDVPPWQAALRDALLEAGVTPDNGFTFDHVTGTKIGGTIFDNNGQRHTAADFLRHARPRGLTVVLYATVSRILFRSQEGVPYPVAYGVVFADPLGVQHRVYLRDGAKNEVILSAGTLGSPQLLMLSGVGPQAHLEAHGIQVLVDQPMVGQGVADNPMNSVFIPSPVPVGLSLVQVVGITKSGSFIEGVSGSEFGIPVSDGARRLANFGLFSPQTGQLGTLPPGQRTPEALQRAAEAMRRLDRRAFRGGFILEKILGPVSTGHIELRTTDPRANPAVTFNYFQEAEDLERCVRGIQTIERVIQSRAFSNFTYANTTVESIFTDSANFPVNLLPRHVNDSRSPEQYCRETVMTIWHYHGGCHVGAVVDDNYRVFGVRGLRVIDSSTFRYSPGTNPQATVMMLGRYMGIKIQAERWRK >SECCE3Rv1G0164330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:161988261:161990205:1 gene:SECCE3Rv1G0164330 transcript:SECCE3Rv1G0164330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWATSFPRFGRPKVSYSSTSGASHLHTPPSPFRPKPSPPVAAMATSVKQVLGWFPGGDAIARIRDSSLSAVPGYAGEDRISALQDHLLRDIVSRLPVKDAARTAALASRWRHLWRSTPLVMYDAHLLPAGDPARVAAVARILADHPGPFRTVSITCCNFASHERELAEWPRLLAAKGVQDLVLVNKPADDERLPVVPADILRCASLRRLFLGFWTFPDTGVCPPGGGADEFPHLRELSLFGTDDMLGGDLDRLLASSPVLDTLALVLSPTCERVHLRSQSLKCVLLWECFLKEVLVMDSPLLERLILWKTIDGEDDLVTVRVSIADAPKLRVLGYLEPRVHQLQIGENVIKPDTMVSPSTVVPSVKTLALKVNFGVLEEVKMLASVLRCFPEVDRLHIESVTADEPTGMHHAEFWQEVHPIECVKSHVKKVVIHEFRGKQSENEFLEFVSSSAEKLRSLLVVITREMFASAWDFSISLPNEVNEVIVKAGALTRGAWACEDCQLVVAGPKLVDGWSFRRASDPSVKDPFH >SECCE3Rv1G0145680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8042096:8042302:-1 gene:SECCE3Rv1G0145680 transcript:SECCE3Rv1G0145680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKPKALLAQSKQKKGPTQVGLVRIITYIVLGALAVSSVYYAYQYWQSKGAAVAAAAGAAEGVVGN >SECCE1Rv1G0012400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:92432520:92435371:-1 gene:SECCE1Rv1G0012400 transcript:SECCE1Rv1G0012400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGKLVRSLFGQKLVEDRVWMEVVFANNYALFMGYLSMAVKGLGFLVLTWTTVVLLGGFVSDLREKDFWCLTFITLVQTAGIFDVFLTEKLSNLGYSFVGYVMVSSNHGLGPVLTAIQAVVFVILLCPLVAVYMFGLLISMGVSVWRLRQHDYGSGRANLKPALDVLYSLALLQGVIFCYKVIFGFAKGSVVEAVLVRRDFIDRSYSNIRGGISEYFNEMIVRCEKDPSSATGRNLITYAVDLMESKSPDDYLSGVRILGAFAKKTEESTIDLQEAEEPKWESEAFMENDHVLMKHLIIYASSTDILKKLLQMLGYRSIYDIKARVSAARIVSSIAEDISLEEFPRGIHYVSSLIDTFKEYHLLQPYQRDLHLLHETYTPDRYQAKLHLSASGASERTVSWNLYRQLMVQGFHILRKLATNDNNCRVMLNTPCLLTKIMAPLTSDLLHHVDHDASWSDIVEDSLKVIAQLMAATGQTGNKLRSEISSSNAAINTMERILGCDKCNEKLQKQVIWILSRMYMDSSLILETANREEFIEMLVDLMIDDRKAKETKREAAAMALVTLSYKTERSATIIMKANDNVVDNLTAMIVQKHKCRLSAAQFLEYLCIHYTDNDERLRKLQKTMTDAVPKVLKKIVFGDSQGNARGNNSSSSNQRVYMMNEYEVIHMLSLCVTVCDTFINSDQDLVLLLAAIAPRNGSFSFPKKLKEIVVKNRHLRQSCLTTVKLTCKMVISMMNHRGSYVKEHLKNLMHELSNASKEMFLLDGSMAFDITHNDTTPPKPFNSLASLVKEAQELVDKNCGL >SECCE2Rv1G0123920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828570105:828573703:1 gene:SECCE2Rv1G0123920 transcript:SECCE2Rv1G0123920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPHGGSPGEGGALPPSPEAVTMLHEMALRDSQQGEEPDLPDEQLRSNDQLQQDEMLAVEAIYGDKLNTLGENSVPRYFQIYVYCEIPDGISVSAELRGVDDYPTKFSIEHLAPISLTCLMPPSYPSHHSPYFTLAVQWLDSVKISSLCDMLEWLWAQQPGQEVIFEWVQWLQSSTLSHLGFDDGIVVSESASTMDHMDVRVVGEILSVEEVVQQLISYNEEQCQESFLHGFHVCMICFSECKGIDFIKLPCRHYFCRNCMETYSQMHVKEGSVMKIVCPDDKCGGFIPPNLLKKLLGEVDFERWERLILQRTLDAMADVAYCPRCQTACLEDEDNAQCPKCLFSFCTRCRDRRHIGERCLTPEEKLLSLQEREKERHLGNGNAGPSKLENEISSIKEILRSSVPCPHCGTGITRVSGCNHMLCKNCGKLFCYGCGKPLTPAHTSEQCRIDYEKLTKKVDSAVAIKELQKKLKVRLGGHKPRPCPNCHQITYKLGNNNHVFCEGCQVHHCALCRKVVRKSSEHYGPRGCKQHTVDPEVARARPKKNEDSQSESL >SECCE5Rv1G0320460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:389000101:389000710:-1 gene:SECCE5Rv1G0320460 transcript:SECCE5Rv1G0320460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRSNSALVPPLQKPQQKKRRQEEESRGGCSGSRASRRALRNSEEVRRYSAVERRECIERYRSKRKRRNFQKKITYACRKALADRRRRVNGRFAHAGEEDQKACLAETTNQGSSSSSGRAVPEWWPEMEEALARKEEVDGITKLFPWDYEMLVSYLGLNLCCASDPSNHPST >SECCE7Rv1G0501270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:681132754:681136482:1 gene:SECCE7Rv1G0501270 transcript:SECCE7Rv1G0501270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRAAAAVRQPRCGPLLPAARSMASWFGHVEPAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDGGKPVVLECVREAERRIAGSTNMEYLPMGGSVKMIEESLKLAYGEDSEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWSNHHNIWRDAQVPQKTFAYYHPESRGLDFAGLMDDIKNAPEGSFFLLHACAHNPTGVDPSEEQWREISHQFKVKNHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCDDEIQAVAVKSQLQQIARPMYSNPPLHGALIVSTILGDPALKELWLKEIKGMADRIIGMRQALKESLEKLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKTN >SECCE7Rv1G0475140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:182611753:182613372:-1 gene:SECCE7Rv1G0475140 transcript:SECCE7Rv1G0475140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPAAAFMERERLTAEVTFSGDAQPASSEGAERLPSIIIKIRRRLPDFARSVNLKYVKLGIRHGGSLTSYLPMLCVPVLAAAAYSFVRLDVIYLSIDLLSCVAWLGTAMLLLTVYYFKRPRPVYLVEFACYKPEDQNKISKEAFLEMTESTGCFNDETLAFQTKITTRSALGDETYLPPGVQARPPRLNMAEARLEAEAVMFGCLDALFESTGIDPRRDVRILIVNCSLFNPTPSLASMIIHHYKMREDVKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNRRADAGRAKYRLLHTVRTHKGATNECFNCVYQREDDKGKVGVSLARELMTVAGDALKTNITTLGPLVLPLSEQLKFLKSLMMRRVFRAKGVRPYIPNFRRAFEHFCVHAGGRAVLEEVQRSLSLEDKDMEPSRCALHRFGNTSSSSLWYELAYAEAKGRVKRGNRVWQIGFGSGFKCNSAVWRALRDVPAVSPPGPEEKACNPWADCVAKYPPKAYV >SECCE4Rv1G0277980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806554895:806558569:1 gene:SECCE4Rv1G0277980 transcript:SECCE4Rv1G0277980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHSHQEAEDGELDGDHIASPLLPSTGSPERDEEGENSPIEQVALTVPVGDDPDTPVLTFRMWVLGVLSCAVLSFLNQFFWYRKEPLTVTAISAQVAVVPLGRLMAAALPERAFFRGRPYEFTLNPGPFNVKEHVMITIFANAGAGTVYAIHVVTAVRVFYGKDLSFFVSLLVVLTTQVLGFGWAGIFRRYLVEPATMWWPSNLVQVSLFRALHEKEGRQKGGFTRNQFFLVAFVSSFAYYVFPGYLFQMLTSLSWICWVFPHSVLAQQLGSGLHGLGIGAIGLDWASVSSYLGSPLVSPWFATVNVAAGFFIIMYVITPIGYWFNFYEARTFPIFSADLFTSTGQKYNISAIVDDHFHFDAEAYERNGPLYLSTLLAITYGVSFASLTATIVHVLLFHGSEILQLSRSTFQGKSVDIHTKLMRRYKQVPEWWFIWILLVSIAATVFACEYYIEQLQLPWWGVLLACAIAFFFTLPIGIITATTNQTPGLNIVTEYIIGYLYPGRPVANMCFKVYGYISMHQALMFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMDTIPNICNIELLSADNPWTCPTDHVFYDASVIWGLIGPRRIFGVLGTYSAVNWFFLGGAIAPLVVWFAHKAFPSQNWILLINMPVMIGCTVKMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLLYLCLGLENISLNWWGNELDGCPLASCPTSKGIVVKGCPVYN >SECCE3Rv1G0180080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:562288050:562294693:-1 gene:SECCE3Rv1G0180080 transcript:SECCE3Rv1G0180080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAEIHKVASMRRDSGSIWRRGDDVFSRSSRDEDDEEALRWAALEKLPTYDRVRRAILPPLDGGEGGAAGAAAGKGVVDVYGLGPRERRALLERLVRVADEDNERFLLKLKDRLERVGIEMPTIEVRFEHLVAEAEVRVGNSGLPTVLNSITNTLEEAANALRILPNRKRTMPILHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLDKDLKVSGNVTYNGHGMEEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRFDMLTELSRREKAANIKPDADIDAFMKASSMGGLEANVTTDYILKILGLEMCADTMVGDEMLRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLRQSVHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPRDDVLEFFESVGFKCPERKGVADFLQEVTSKKDQKQYWALGDESYQFVPVKEFVRAFQSFHTGRAIRKELAVPFDKSKSHPAALTTTRYGVSGTELLKANIDREILLMKRNSFVYMFRTFQLILMSFIAMTLFFRTKMKRDSVTNGGIYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFYPAWAYTIPSWILKIPITFVEVGGYVFITYYVMGFDPNVGRFFKQYLLMLAINQMAASLFRFIGGAARNMIVANVFASFMLLIFMVLGGFILVREKVKKWWIWGYWISPLMYAQNAISVNEFFGHSWDKILNSTASNETLGVQVLKYRGVFPEAKWYWIGLGAMLGYTLLFNALFTLALTYLKAYGNSRSSVSEDELKEKHANLNGEVLDNDRLESPSNDGPKRVNTGNDSAMVQENSSPMQRGMVLPFLPLSLTFDNIRYSVDMPPEMKAQGVVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIQGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPEDVDSNKRRMFIEEVMELVELKPLKDALIGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSADLINYYEGIHGVNKIKDGYNPATWMLEVTTIGQEQMLGIDFSDIYKKSELYQRNKALIKELSQPAPGSTDLYFPTQYSQSSITQCMACLWKQNLSYWRNPPYNAVRFLFTTVIALLFGTIFWDLGGKMSQSQDLFNAMGSMYAAVLFIGIMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYTLAQATVYGVIVYSMIGFEWTAPKFFWYLFFMYFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFITPRPKVPIWWRWYCWVCPVAWTLYGLVVSQFGDVTTPMDDGTPVKDFIEGYFDFKHSWLGYVATVVVAFAVLFAFLFGFAIMKLNFQKR >SECCE5Rv1G0352200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:707568432:707569982:-1 gene:SECCE5Rv1G0352200 transcript:SECCE5Rv1G0352200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGAVVKSCPRSAVAYSTSPSMEAAAGVSSGSDGGRRPLRVFFLPFFARGHLIPLTDLACRMAAARPAEVEATMVVTPANATLIATTVTRAVDAGYAVRLLHYPFPDVGLESGVECLGTVAQHDAWRVFRAVDLSQPIHEKLLLEHRPDAVVADVPFWWVTDIAAKIGVPRLTFHPVGIFAQLAMNNLYAIRSDIMRDGVAAPPVIVPGMPGKEIAIPPSELPEFLLQDAVLSMEWDNIKAAQLAGFGVIVNTFADLEKPYCDEYRRVDARRAYFVGPVSLPLDSAVHRGGDGNVDCLEWLSTKPSRSVVYACFGSWACFSSRQIRELALGLEASNKTFLWVVRSEDSDGLWAPEGWEQRVADRGMVIRGWAPQLAVLAHPSVGAFLTHCGWNSVLEAASAGLPVLTWPLVFEQFINERLVTEVATFGARLWDGGKRNVRVEEADTVPAEAIGRAVAGFMEGGERWQKMRARAEELAERARAAVSENGSSWRDLHRLIDDLTEANASRVHSNEDS >SECCE5Rv1G0327570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:493472344:493472964:1 gene:SECCE5Rv1G0327570 transcript:SECCE5Rv1G0327570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASAATATATMVFVYGTLKRGFPNHPRLAAFDCPFAGAATTAAPASLVIGPYSVPFLLPNPTPSSGRLISGELYSACPSALADLDLLEGTHLGVYERRRITVVVDGTSKEVEAEAYFADASYAEALWLRCGGEAAEIAEYTVEHAGRYVPPSGRSPGVSGLMDAVRGFLATAPPAN >SECCE1Rv1G0033380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:466702328:466710592:-1 gene:SECCE1Rv1G0033380 transcript:SECCE1Rv1G0033380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G32770) UniProtKB/Swiss-Prot;Acc:Q94FY7] MNVAGPATAFVPTARPAPRAPRPLWWRPRARCSLSPRAATEPPAAAPVYAPTPRDRPLRTPHSGYHYDGTARAFFEGWYFKVSIPECRQSFCFMYSVENPFFRDGMNALDRTLYGSRFTGVGAQILGADDKYICQFSEKSNNFWGSRHELILGNTFIPNKGSTPPECEIPPQEFSNRVLEGYQVTPTWHQGFIRDDGRSKYVPNVQTARWEYSTRPVYGWGDVTSKQKSTAGWLAAFPFFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGGGFPRKWYWIQCNAFSGTSGEVALTAAGGLRKIGLGDTYESPSLIGVHHEGKFYEFVPWTGTVSWDIAPWGHWRMSGENKNHLVEIEATTKEPGTALRAPTMEAGLVPACKDTCYGDLKLQMWEKKYDGSKGKIILDTTSNMAAVEVGGGPWFNGWKGTTASPELVNNIVGTQIDVESLFPIPFLKPPGL >SECCE3Rv1G0211940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953884963:953887643:-1 gene:SECCE3Rv1G0211940 transcript:SECCE3Rv1G0211940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLTRSKALALAAARADAAAPSPLAGAWAARALSTLPRDPAAASPAPSPRQPAVVSPLGLSKILGYEQASRLGGTHVLPRWFSTGASSGSSDQQTSKTIAGMVQSDAPKSQEGASAKVTAFSPMEATISKPRSSPLTLESLKVRRTEIMTKVTFYMIPTLLLVSKNSISTSLLIASIYHQVYMFHKEILLDYVHHDITRKWALIYFKLLLLVMAKDTMVYFDLF >SECCE7Rv1G0455580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:7886383:7889820:-1 gene:SECCE7Rv1G0455580 transcript:SECCE7Rv1G0455580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHKLKSPSAPSPMATLSCSIHIPFFILILLLSVLSSSSSSNPTNSNGSDTDLAALLAFKAQFADPLHLLASNWTTGTSFCNWFGVSCSRRRQRVSALLLSDMPLVGSVSPHLGNLSFLSILNLTNSNLTGSIPAELGKLHRLRYLSLVGNSLSNTIPSTLGNLTRLMFLHLAYNQLSGHIPPEMLLHTHNLRKLNMYANDLSGQIPSYFFNNTPSLTYINFGNNSLSGPMPEAAAHLSMLEVLNLEVNQLSGLVPESMYNKSRLQLLALASNGNLAGMFPSNQSFNLPMLEFISLSDNKFFGRFPSELASCEYLEIIDLGENSFEGIVPAGLSKLSHLQVLHLGYNNIVGPIPTALSNLTHLTYLDLSGGNLTGEIPQELGLMQELSFLSLGSNQLIGEIPASLGNLSKLSVLILANSQLSGQVPITLGENAALNKLILSSNNLEGNLDFLSALSQCKQLQVLTIEANSFTGILPSLVGNLSSKLVTFIASKNQLIGGLPVAISNISSLVRLDLSDNLLTEPVPGSIAKLENLVWLDLSNNDMSGLIPIQIGMLWRLEQLFLQANKFSGSIPRSFGNISFLEYIDLSSNQLSSTIHPSIFHLDKLLKLDLSNNSFAGALPSDVSGLNQIYQMDLSSNFLVGRIPESFGQLNMLTFINLSHNSFKGFIPGTLGKLKGLTSLDLSSNNLSGTIPMFLANFSYLTILNLSFNNLEGQIPDGGVFSNLTFQCLTGNDGLCGAPHLGFLACLDMPRSRNRKLLQILLPTLTVVVGAVAISVYLWSRKKLNKREAIATDDTTDVVGYQIVSYHELIRATNNFNEENILGSGSFGKVFKGQLSDGLVVAIKVLDMQLEQAIRSFDVECQVLRMARHRNLIKILNTCSNLDFRALVLYMPNGSLEMLLHQSQGSLHLGFVERLGILLDVSMAMEYLHHEHYELILHCDLKPSNVLFDEEMVAHVADFGIARLILDDQSMTCASMPGTVGYMAPEYGSLGKASRKSDVFSYGIMLLEVLTGKRPTDAMFGAQLTLRQWVHQSFPAELVQVIDGKLLQDSSAACYSLEDGFLTSVFELGLLCSSDSPDQRMTMHDVVVALKKIKAEYTQ >SECCE4Rv1G0227880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:129363262:129366510:-1 gene:SECCE4Rv1G0227880 transcript:SECCE4Rv1G0227880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKPSKTDKKHAYDQKLCQLLDEYSRVLVASADNVGSTQLGEIRRGIRGESVMLMGKNTLIRRCIAAHAERTANANIKAIIPLLQGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLIAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGSVEIVAHVDLIKKGDKVGSSEAALLAKLGIRPFSYGLVITNVYDDGSVFSPEVLDLTEEDLMEKFACGVSMVASLSLAVSYPTLAAAPHMFLNGYKNVLAVAVETDYSFPHADQIKEYLKDPSKFAVAAAPSGGGAAAAAAAPEEKKEEESDGSDAEEGFMNLFDD >SECCE3Rv1G0161210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:114060907:114065853:-1 gene:SECCE3Rv1G0161210 transcript:SECCE3Rv1G0161210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPVLNSARLGPLQRAHAWTPSPVAAREPGDMNRGHISSSELIDAKLEEHRISTARHCPNCRHKLDCKPDWLGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTMDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMANGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSASSERGGAGGAVAIAAAAAVTVQEQRMRDSGSGSSSSRDHEALSATSYPAGYAMAAAVEMQHLKHSGDHFSFAPFRKSFEEVGIGGDQVPSDQLGRSEQQHHAGQEQQPHRPDLATTAVPATAFLISRPTNPVSATVQPPLQHNSVVLDHDQFHVPAIFLHHDKFQNMQQQQQHQQKIDRRSAGLEELVMGCTSTCTKGETSIPHSQETEWPYPYWPPDNQDHHG >SECCE4Rv1G0241650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:458711873:458714897:1 gene:SECCE4Rv1G0241650 transcript:SECCE4Rv1G0241650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVRSNGRRGGGSGGGGGRGGKGKGKGKGPGKWKMPLSVARKQQAVLANVDQVTGERIPKSFVFSRGKLPSTLRHLQQDLRKLMLPYTALKLKEKKRNNLKDFVNVASPLGVTHFLILSNPKSLPHLRFAKSPQGPTYTCQILEYALAADIANSQKRPRCPAEIFKNSPLVVLSGFNGLGEPFKSFVTFFRHLVPAIDTDTVKLSTCQRILLLQYDKETEAIDFRHYSIKLQPVGVSRKIRKLMQNNQVPDLRDLKDVSDYVTKAGYGSESEPDDEAATVSLASDIDKLNRASRKSAVRLQEIGPRMTMHLVKVESGLCSGDILYPQSFGKEDVKEGQEEEEIGDAEDMMELEDGSEDDSGDEE >SECCE4Rv1G0257740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:672690565:672693639:1 gene:SECCE4Rv1G0257740 transcript:SECCE4Rv1G0257740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLEDVRNETVDLSTVAVDEVFKTLKCDKKGLSEAEGENRLKLFGPNKLEEKKESKLLKFLGFMWNPLSWVMEIAAIMAIALANGGGRPPDWQDFVGIVTLLFINSTISYIEEANAGDAAAALMAGLAPKTKLLRDGSWEERDAAILVPGDIISIKLGDIIPADARLLDGDALKIDQSALTGESMPVNKYAGQEVFSGSTVKQGELEAVVIATGVHTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIISIAAGMLVEIIVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVCSKGVDKEMVLLYAARASRVENQDAIDTCIVNMLADPKEARAGIQEVHFLPFNPVDKRTAITYVDGNGDWHRVSKGAPEQIIELCKMAPDAEKKVHALIDSYADRGLRSLGVSYQQVPEKNKESGGDPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSTALLGDKNTAVDGLPIDELIEKADGFAGVFPEHKYEIVKRLQDKKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPKPDSWKLDEIFATGVVLGTYMALVTVVFFYLAHDTDFFTETFGVHPIRGNDRQLMAALYLQVSIISQALIFVTRSRSWSFVERPGFLLLFAFFAAQLVATAIAVYANWDFCDMEGIGWAWGGAIWVFTVVTYVPLDVLKFIIRYSISGKGWDNVQNKTAFTNKKDYGRGEREAMWAKEQRTLHGLSQPATSDLLSSKEELSDIAAEAAKRAEIARLRELHTLKGHVESVVKQKGIDIDAIPQNYTV >SECCE3Rv1G0188450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:713350252:713353985:-1 gene:SECCE3Rv1G0188450 transcript:SECCE3Rv1G0188450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDIADRLAQGFTGLLQAPPQFPWPPVSHKLMPFEIDLPVVPFGAARGAAGGKDFFPTAAVASVIDIGGRLGQAGVEIGTSVGGAVQHAVRQLPVPFRGAQIRRRKLHPPPSADGAVGLSSERAADRCPLEAAAAAAAAATGSAAASTVSGVRSGDDLDEDDDGYGCEIGTLGNFKKSKGTVNMSATYNTRNNDIESSVVARGDLWRLEASRSGSTSGNDTSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKNGVHSLCPAIWSKQRRWLMMSMMCLNPVACSFMDLQFPNGQVTYVAGEGITASGFVPLFGGLLQAHGKFPGETRMSFSCKNKRGTRFTPMFQWPDKSLSLGVTQALAYKRSGLMVRPSIQVSVCPTFGGSDPGVRAEVIHSLKEELNVMCGLSCSRNPSAFTALSIGRSKWNGQVGSSGVVVTLETPLNNIGRPSLSVQLNGGFEI >SECCE1Rv1G0029160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:410431893:410432681:-1 gene:SECCE1Rv1G0029160 transcript:SECCE1Rv1G0029160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLELHSGKDKQKAMKAVSTLVGIDALSVDMATRKMTVVGMVDPVDVVSKLRKAWSASIDSVGPAKEPEKEGEKKDGDGKKDGADGKKEGEGDKKDGDGKDEAKKDNGETKPPQPTEQQLMAELMNQYRSAYSAYHDPYMNNHYVVQSMEENPNSCAIC >SECCE1Rv1G0012910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:98598175:98598819:1 gene:SECCE1Rv1G0012910 transcript:SECCE1Rv1G0012910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASPDQRLPLKGAQTATTTGELRPPWKSCGDEMVMVGVALLVCFFMLLGFFLFAAIQPREPEYSVAITGAEGLDPARDLGSFDRRPTLSPVFNLTVHIELVDDSYYRACIGGHQAKLAVSYGGALLAEAEGSLLPEVCVGPREGRGANIRARGVDVAVPRFARDRLAAELERGEAAFDVVVMSPLYGRQVLACKAMIGAGLRACDFQFLLP >SECCE3Rv1G0201390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:863575009:863577384:1 gene:SECCE3Rv1G0201390 transcript:SECCE3Rv1G0201390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQPQAAAAPAAAATEVVVAEKAPAEVEKKTQEPAAEAEAEETAAVADDGGAVEATGSFKEESNLVADLPDPEKKALDEFKELIVAALAAGEFNLPPPPPPPKAKTEAAAEETKTEAPAKEEAKTEEPAKAEEPAKEESKAEEPAKEEPKAEAAAEPAAEEPKAEVAAEAAAEEPAKEEPKAEEAKPAEPKKEEEAAVVAEEGTKTAEPVEEAAAAATTEQAPPPEAEAEAAAPEPVFIWGVPLVGDDERTDAVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGIESLLEADLAFPELEKVVFYRGADREGHPVCYNVYGEFQDKEVYEKAFGDEEKRERFLKWRIQLLERGILSQLDFAPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFVFASQAKSPETLFRYIAPEQVPVQFGGLFKEDDPDFTTSDSVTELTIKASSKETIEIPVTENSTIVWELRVLGWEVSHGAEFTPDAEGAYTVIVQKTRKVPANEEPIMKGSFKAGEAGKIVLTVSNAASKKKKLLYRSKVKSSAGESV >SECCE1Rv1G0037910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:532247480:532249353:-1 gene:SECCE1Rv1G0037910 transcript:SECCE1Rv1G0037910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAHHHRVLSFTSPATPRTRLPCASSSSSPTHARFRGARVLRHASGGGGGGSSSAAPASSSSLEELTRSCTTWSWRGMRVNYLVRGEGPPVLLVHGFGASVAHWRRNIGVLSESNTVYAIDLLGFGASDKPAGFSYTMETWAELILEFLDEVVKRPTVLVGNSVGSLACVIAASDSTRDLVRGLVLLNCSGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQKSIASALFGRVKERENLKNILMSVYGNKDAVDDELVEIIRGPADTKGALDAFVSTVTGPPGPSPIALMPKIKIPVLVLWGDEDPFTPIDGPVGKYFSGLPSELSNVRLHMLEGVGHCPHDDRPDLVHEKLLPWLASLPSAAEPEPEVAVA >SECCE1Rv1G0058010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:696650217:696658487:-1 gene:SECCE1Rv1G0058010 transcript:SECCE1Rv1G0058010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPRPEAEEGSKGAEVCLFDQSQEDFSRAVRTISELASGDPQPGFPDAEVERLASSVTFLREWMHFSYEPKGVSFTYGAGSASSGDDTPEITLPQFSSASFPQVTHLEDGRDNNKDSSDFILFAGGSVWSLDWCPKLCDKPCSRVNCEYLAVAAHPPGSSYHKLGMPLIGRGIIQVWCLVAPFEEADAHQSMIAYSKSNRRGRPRKIPDENNSIESSSVPRKPIGRPRKTELTTTDDHTEPCLKKPRGRPRKIEPATTDDHEEPSMKKPRGRPRKTEPATTDDHEEPSMKKPRGRPRKIKPAIADDYAEPSLRKPRGRPRKIKPAIADDYAEPSLIKPRGRPRKIKPATTADHAEPSLKKPRGRPRKTKCNVHLSAASFDAASLVLGLCNANYKEESSAQHRKKPVSTECSSSTTFSGKEQNNQPTPKPIDNVISVEKCKKELLVYTRRRVRPPTKKSAPNETCSLALSGDVQKMETSYTSIMPNNHLSSVENPKLLGSSMSEDMANEAGLVGCKSAVISREVMEMNDGEAANQVVHAPFEDSAQMIVEVENTEVAPIQKASKNDNIITCAENSNLSAIPKGIHLPRVVLCLAHNGKVAWDIKWKPPLQNQSEQKSRLGFLAILLGNGSLEVWEVPSPSIIQKIYSSSLMKGTDPRFLKLRPVFRCAKVKCGNIQSIPLTVDWSPSPHDMILAGCHDGTVALWKFSTDLPAQDSKPFMCVTAESVPIRALSWAPYVSEETNTFVTAGEDGLKFWDLRDPYRPLWELTTAPRAVLSLHWLKDARGIVISLEDGTLKFLSLPTIANDVPVTGRPFTGAKTQGVHTYQLSEYLIWDVHVSEITGQAAYCVVDGTAVRFQLTKRFWEKKPGRNRVPYFLCGSLAEEGTVIKIGGTLQSSPLSNVPLVTKKGPESCQDVDQTDDMRKEELQALTNSEHVDPELRDGELDEGRETSALVLADALMQESDGMHNGPVDENAKDDFEVFPPKSVALHRLRWNTNRGSERWLCYGGAAGIIRCQRI >SECCE5Rv1G0325210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:461971773:461972627:-1 gene:SECCE5Rv1G0325210 transcript:SECCE5Rv1G0325210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDLSGEDLVSALGPFIRVASPPPPLHSHPSPTSPFSFPHAAYSGYPYGVQAQAQTELSPAQMHYIQARLHLQRQTGQPGHLGPRPQPMKPASVAAATPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRGPLHASVDAKLQTLCQNITASKNAKKSASVSASTAAATSSTPTSNCSSPSSDEASSSLESAESSPSPATTTAAEVPEMQQLDFSEAPWDEAACFALTKYPSYEIDWDSLLATN >SECCE5Rv1G0306920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:101938377:101946338:1 gene:SECCE5Rv1G0306920 transcript:SECCE5Rv1G0306920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSVARCNECVVYHNWHRKGDWKKRFVKIVTGDFVDVPRKFLQNNIRGQIPDEVNLDLPNGKTYTVQISKQENGLIFQSGWAEFARTYELVQGDILLFESSGSSCFEVRIFNQTGCEKELSCVAMKNTACLNEKSMPHDNDMQSSNNERCGNMSGKRHATCKVCAAFYYWHHMDKMRFFMVMMGVSASKNELTIPKEFAKNVRGKISDEIKLEVPDGQTYRVQADKEPNEVVLRSGWDAFVSAYELKEGDTLLFAYTGNSHFKVRIFNLSGCDKLLSCVVKNNPIQSPRESMKKLCTVCLECVDRHYWHMKDHDWCFVKVMSLSNFKDEMAIPDEFTTNFRGHISDKVKLEVPDGNIYNVQVAKEQDKFILRSGWVDFTGAYELKQYDSLFFIYSGDSHFKVRILKPSGCEKTLSCVTISCGPNVQERVICHALSLPSIKRCRDDSLTDNRKTAKVTPTDSPSQKSSSEINTQDVTSSKDIPEARSSGGLPGSTESRYILEKGCILTSAQKARVDTFLKGSWTGIQIYVTVMNEKSLSDGCLVICKDFAAKHLPRQDQTIKLCYPRNSKTWDANLAVITDGACTRSCILAAGWLDFVRDNNLREGDICAFEVSKDNDRVIITVHLLKNVVVTGHTKPTSQQQKKWTHPGYVVTKYTKLTCKQKRKIEERIQAIKPETRIFVSIIYSSRAKLSIEVRYATAHLPREEQWVRLQLPGKKHTWKAKLYIGDKDKGKCYALQTGWKKFVDDNKLQDDDMCLFELLKNEELTMNVHIIRGD >SECCE5Rv1G0311910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:211203225:211250653:1 gene:SECCE5Rv1G0311910 transcript:SECCE5Rv1G0311910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFE3 [Source:Projected from Arabidopsis thaliana (AT5G50210) UniProtKB/TrEMBL;Acc:A0A178UIT6] MPRSPTKRTRTACAASSHPCSSLHPFPIKRPTSVSPHHTTSISMDTSNLAAVASFVVFSRKPHLLPLLNPSRSLPRTRGSFAVCCSHSHASPPPSPPHLPCAASDEEEVAPPRLRLRRLAEEFGALPDADRARRLLAYAGALPRLPQADRVAANRVMGCVAQVWLLGSCDRSGRMRFAADSDSELSRGYCSCLVSALDGAGPEEVLDVDPADLAPLGVAAGARSRINTWHNVLVGMQKRARAAIVAREGRRPGEPFPSLVIGRDGAVRAQGSYAEAKAKFLSPDQSKISELVNVLTEKEIGIVAHFYMDPEVQGILTAAKRQWPHIHISDSLVMADSAVQMAEAGCKYIAVLGVDFMSENVRAILDQAGFTQVGVYRMSSEQIGCSLADAASSSAYTHFLKTASRSPPSLHVIYINTSLETKARTHELVPTITCTSSNVVATILQAFAEIPDLNVWYGPDSYMGANIADLFKRMTIMSDEEITEIHPDHNRKTISSLLQRLHYYQNGNCIVHDMFGHEVVEKIKEEYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKYHLKQALDRNVDDHLQFVLGTESGMITSIVSAVRQLFDSYESSEEGASIEVEIVFPVSSDAISKKSIDDSHHFGSSVANDLEQISIVPGVFSGEGCSIHGGCASCPYMKMNSLESLLKICQQLPGEDNSLSVYQASRLNAKTSLGKLVAEVGCEPILHMRHFQATKRLPNKLVHQVIHGNGGEPL >SECCE3Rv1G0151580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:40323246:40324265:1 gene:SECCE3Rv1G0151580 transcript:SECCE3Rv1G0151580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRAHLVVVLCFLVVAAAMAQSFAPPPATQPKPPPFGRAMSMVITVVGAAIGVLFLLLFLCAYVTQCRLVEDHDAPQGGSAAPGGVSRRGKRGLDPAVVATFPIVPYREIKEHKIGSVALECAVCLTEFEDADDLRLLPHCSHAFHPDCIDPWLETRTTCPLCRANLEKPPPPAAPSPPHAVMVMSLQEEPKEEDSDKDERKEEAGELEKLRTERRAARLLRSHSTGHSAGQCDCEDHERFTLRLPERVMEEVLSRCCARPAAESLSGAGCAVGERGGSFRDDGGASGGGECSRGDRRWQALLARTMPWARAGSTRKVLDSSTSTRDDVAASTTAARP >SECCE3Rv1G0175560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:427986713:427989146:-1 gene:SECCE3Rv1G0175560 transcript:SECCE3Rv1G0175560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRSAREKLEREQRERMQRAKAKADRERRAKAEAARRRDALEASNRERRLDAVRAQEEADQKMEEVMQLGKGVSFSHMFEALRCDGPGDKIKLPPSSFKDLSDQGALDKGPMYFRLSKVRDRIPGASPDQDAEEATCCGVLEFTAREGSAQLPPHVWNNLFQSDIPDVPLIEVRYASLPKGTYVKLKPEGVGFSDLPNHRAVLETALRNHATLSENDVVMVNYGQLQYKLRVLELKPASNVSVLETDVEVDIEGPDSVLDNEENQHVLVPLQTGKVESGAVEEGKFRYYKFSVEEGMAEKVASGCANIEVKIESDTSGSDTDIYVSRHPLVFPTQHRHEWSSHEMGSKVLILKPRDATLVSGIYSVGVYGFKGTAKFQLSVAIKDVINSQRIGEQGSVSSTVNGDSVVCKNCKRHISSRTSVLHEAYCVRHNVICMHDGCGVVLRKEEAADHVHCSKCGQAFQQREMQKHMKVFHEPLNCPCGVVLEKEEMVQHQSSTCQFRLIVCRFCGDTVQAGGQPLDVRDRLRNMCEHESICGSRTAPCDSCGRSVMLKEMDIHAIAVHQKS >SECCE3Rv1G0171460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:271657594:271658064:1 gene:SECCE3Rv1G0171460 transcript:SECCE3Rv1G0171460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDKLTYFSQFFWLCLLLFTFYILLFNNNNGILGISRILKLRNQLLSRRGGEIQSKDPKNLEDISRKGFSTGLSYMYSSLSEVSQWCKTVDYLGKRRKITLISDFGEISGSRGMERQILYLISKSSYNTSSSRITCWKNIMLTHVPHGQGSIIS >SECCE7Rv1G0463980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:58170914:58176611:-1 gene:SECCE7Rv1G0463980 transcript:SECCE7Rv1G0463980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCRDLAGLARPATASSQARRCRNELCFAPMRQQDGQGRSRRGAKVVVAAVSEELPRLASAGKGAGAAARPPQGKVALRAALTVRRKQKEDLKEAVAGHLDALWDMVGRGVLLELISTNIDPRTRKAVRSGGASVKDWCQKRGAKGEHVVYTAEFTVDAGFGEPGAVVVANRHHREFFLESIVVEGALPCGTVYFDCNSWVQTTGELPGDANRVFFSNKPYLPSQTPPGLREIREKVLRDLRGDGTGVRKISDQIYDYAMYNDLGNPDRGKEFIRPILGGDKIPYPRRCRTGRPPTDTNMLSESRVEKPHRIYVPRDETFEELKQGAFISGRLRAVLHTLIPSLIASISADTHNFQGFHHVDNLYKEGLRLKLGLQEHLFQKIPFVQKIQESSEGMLRYDTPSILSKDKFAWLRDDEFARQAIAGINPVSIERLTVFPPVSKLDPAIYGPPESSITERHIAGQLNGLTVQEAIDKEKLFIVDHHDVYMPFLDRINAIEGRKAYATRAIFFLTQGGTLKPVAIELSLPPAQTGEPQPSKVLTPACDATSNWIWMLGKAHVSSNDAGVHQLVNHWLRTHAIMEPFILAAHRRMSAMHPIFKLLHPHMRYTLEINALARQSLINAEGVIESCFTPGPVSGEISSAYYRDHWRFDLEGLPADLLRRGVAVEDSTQPHGIRLLIEDYPYANDGLLLWSAIGNWVESYVQLYYPDAGTVQSDDELQEWYHESIHVGHADLRDAPWWPPLSTPRDLAAILTTLVWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPDPERDAAEYAMFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGESLDEGAAPWTGDEEALAAHGMFAADVRRAEETIERRNADHGRRNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI >SECCE2Rv1G0140340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:931295133:931295909:-1 gene:SECCE2Rv1G0140340 transcript:SECCE2Rv1G0140340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMDAGRQSEVTCVDFWCNEFGMRVRIALRELGVPFEYIEEDLRVRERSALVRRMNPVHRSIPILIHHGRPVCGSVNIVEYIDEVWGEETRLLPGDPADRADARFWADFIDHKVFSTQTRFFTSKGVEKEAAKEELVEHLKRLEEVLGDKCFFSGHDFGFLDVVFIPFSSMFYGYQQHGGIDLVVECPKLTRWEKRCRERESVTEVLPDGKVQYELHKKFYGIE >SECCE1Rv1G0022990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:291314631:291325413:1 gene:SECCE1Rv1G0022990 transcript:SECCE1Rv1G0022990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAATAVAATAAALRAAFLAPPAAASRLLPPRRVFLLPLHRLSSSLSPAAPPCAASPDSQPPPLPAFMDAQFESFRSQLDGSSALRDRIRAVVSEVESASRAASAALLLIHQPVPLSDVLGKAKAQVEVIKGLYSQLAEILKECPGQYYRYHGDWKSETQSVVSMLAFTHWLETGGLLTHAEAQEKLGLGSGEFGLDVEDYLTGLCFMSNDFPRYVVNRVTAGDYDCPRKVLSFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVFYDVKIRGLVPGESKQEVAQS >SECCE3Rv1G0179430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:540764962:540777391:1 gene:SECCE3Rv1G0179430 transcript:SECCE3Rv1G0179430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPPDAHPEQPPATEKPPAAPLTPEPEDAAEQEVESEVDEEYVSDPDDALPEMRRREASDDEVSEEGRRPPRARIDPDHDDDGQGAPEDYEGEVEEDEDEEYYDDLLDDEEVGEGLEEEYDGHAVPPKEVAAGQGGAGEKPGEEGAEAEAEADGEENKEQEPFAVPTSGAFYMHDDRFQEDGRGRRRRMLGGRKLWDAKDDQAWVHDRFEEMNVHEERYEDKRMSRGRFRGRGSGGRTRGTARGSSRGGRYHSYHEDSDNQNHSENQNRPQKIVRGRGPRRYDTIAKNNRDIVGFQRKPPTRSREPAAHSAAAREPGQISNAHSEAVPAKKNVVNSSLNSASPPFYPSGASNQVGAQRRDIQPGGSNKFHPSSMKMDDNMKLQSGPVVRGKGTTDYGGRDRFHAEGPVRPSPARAAGGSSYSSINSGQSPNNRAQGGNASIGVPSHNRPTPSFQQTSRVSTQQQNHTSIMHQKSGQAPSQAAMRIPTQQLSHRTSNASPSAQHLPARSTESDENGSYPSSNQSKTSEVEKTNKETGRGSFMYGGAQVIGAAGLAQGEQNFPGTPALLPVMQFGGQHPGGLGVPTVGMALPGYVAQQQLGMGNNEMAWLPLLAGAAGAFGGSYPPYITLDPSFYSRSSGQTSSSVPSREGNGNHGAKSPPQNDIVTEELDQRQNKHRRYSEMNFSQ >SECCE6Rv1G0405590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:533400919:533404192:1 gene:SECCE6Rv1G0405590 transcript:SECCE6Rv1G0405590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLESGALQAPRRGRGADATRALVFQITTAVSSYRRLLNSLGTPKDTPALRDQLQKTSHKVLQLAKDAKEKLTSAAEADKSTGTSADKRVADMKLAKDFAATMEEFRKLQSLAIQREMTYKPVVPQSAQPSYTANDGNSDFDRMPEQRALLAEPNRLEVLQLDNEIVFNEAIIEEREQAIQDIQQQIGEVHEAFKDLATLVHAQGGIIEEVDSNIENSAAATKVAKTEVGKASKTQKSNSSLLCLLMVIFGVVLLIVIIVLAS >SECCE5Rv1G0317570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:346190931:346196163:1 gene:SECCE5Rv1G0317570 transcript:SECCE5Rv1G0317570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLDH [Source:Projected from Arabidopsis thaliana (AT3G47930) UniProtKB/TrEMBL;Acc:A0A178VN92] MRHLLLSRLLRRASSPSQPHHNLQLIRALSSSPLPASDTDLRKYAGYALLVLGCGAATYYSFPFSPDALHKKAVPFKYAPLPDDLHTVSNWSGTHEVHTRVLLQPDSIPALEDALATAHRERRRLRPLGSGLSPNGLGLSRAGMVNLALMDKVLDVDVKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGIIQVGAHGTGARLPPIDEQVISMKLVTPAKGTVELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEIKKNHQKWLSENKHIKYLWIPYTDTVVVVQCNPPSRWKTPKLISKYGKDEALHHVRVLYRESLKKYRTEAESKDPAIDLLSFTELRDQLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSETCFPTGTLAKPNMKDLHYMEELLQLIEKEDIPAPAPIEQRWTAHSRSPMSPASSSEEDDIFSWVGIIMYLPTSDPRQRKDITEEFFNYKSLTQTSLWDDYSAYEHWAKIEVPKDKDELAKLQARLRKRFPVDAYNKARMELDPNKVLSSAKLEKLFPGMQTVQHAK >SECCE4Rv1G0262680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:709507717:709516156:-1 gene:SECCE4Rv1G0262680 transcript:SECCE4Rv1G0262680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLVESGGAEGNAGPSYRNVLAKDTGLLQSPPGVDCLWDLFRASVEKYPSNPMLGHRPVVDGKAGDYSWTTYKEVYDVVIKLAASMSKSGIKQGERAGIYGANCPEWIISMEACNALGVCCVPLYDTLGANAVEFITCHAELLIAFVEEKKIGELLKTCHATSKYLKTIISFGGVTNDQKEEAKNHGLSIFSWEEFLVTGGGEPVDLPEKKKSDICTIMYTSGTTGDPKGVMISNESLLINLAGADSVVQSIGEAFDQDDVYLSYLPLAHVFDRMFEEVFISHGSKIGFWRGDVKLLVDDIAALRPTVFCAVPRVLDRIYSGLTTKISAGGILKKTLFNLGYKMKLDSMKKGIKHEKASPFFDKLVFSKVKERLGGKIRIIVSGGAPLAVAVEEFLRVVTCAHVVQGYGLTETCAGSFAAIPNEFSMVGTVGPPVPHIDVRLESVSEMGYDALASIPRGEVCVKGSVLFSGYYKREDLTQEVLTDGWFHTGDVGEWQPNGALKIIDRKKNIFKLSQGEYVAVENLENIYGVLPEIDSIWVYGNSFESFLIAVINPNQQALENWAGQNGITGSLAELCENAKTKEHFIAELAKAAKEKKLKGFEFVRAVHLDAVPFDMERELITPTYKKKRPQMLKYYQGAIDALYKSSK >SECCE7Rv1G0515880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:841932541:841933602:1 gene:SECCE7Rv1G0515880 transcript:SECCE7Rv1G0515880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNPEFAALGALGLAGICRETLRVARSRPPGFAILSGEVTMLTLSLLAHVAISRALFSDALASSDAGAGLRRLATNWAPFFLLEAAFLVDIVVQWLSNAAFCVFSVAPRYGVTDDRDARSIARDLRTVPRFVATFLVSVFRGDSRTAARLIRTGPKVAWRLVGTWLRVFLLLLGYTAFFGAAAWLAHGHLLGAASGEESGVQLQLPRAALLLGGSVYLAGAVYIGAVWWRVACVMLVLEDVGGFFQAMHMSDELLTGKSWAAAAVFSTIDGCVVAVHLAFVALVVDDRMGLAVWLRVATGVVMAVALWAALMAGLVAQVVVFFVCKSYHHERGLLRHGEEEPRSHRPERRSH >SECCE2Rv1G0101740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:568908324:568912092:-1 gene:SECCE2Rv1G0101740 transcript:SECCE2Rv1G0101740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMFPNGGASSSSTSMSSQRSETDDDKMIAMVLEEEYAKLDGAMAKRLTNLTSIPHVPRINTYFPTYSDATMDHHRLHDRLNAYGLFEVRVSGDGNCQFRALSDQLYRSPEYHKHVRKEIVKQLKACNSLYEGHVPMKYKHYCKKMKKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQYQAPQRELWLSFWSEIHYNSLYDARDIPSKYKPRKKHWLF >SECCE6Rv1G0424750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702342789:702343934:1 gene:SECCE6Rv1G0424750 transcript:SECCE6Rv1G0424750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSEHQPIGTAAQGGGADEKDYKEPPPAPFFEAGELTSWSFYRAGIAEFLATFLFLYISVLTVMGVVGNPSGSKCGTVGIQGIAWSFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQCLGAICGAGVVKGFQTTLYQGNGGGANSVAPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKKQAWDDHWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD >SECCE3Rv1G0188780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:718600059:718603611:-1 gene:SECCE3Rv1G0188780 transcript:SECCE3Rv1G0188780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEGRPRFFKVLVGDFARRLEIPRDFLSYIPEAGLRGSNISPVKAMLKRSEGKTWVVELEKVDRCVFLTTGWPKFAVDNSLREYEFLLFRYDKKMHFMVSVFGRNACEKAVRSSGSGVQATESLERKLSCDMFPTCKRGHSGDELTKTTNNLRQNHSLVNISDPSNTKIFPFQGSTQGKRHISAQSFADIHLHEVDGLKDELKTYLLLKVPMDDDKAKAIAEVTRRLHIDRVTIDLFCATLCLYEWNSDAAAEVFNTCRGKSQIQNQFLKQKLVLQFDVIKRELRHFFPTGDDCSPQICDSRESSLVEPNVSTQPLQHYLPAVKSKLVDDHELCDLSYKQKRRIVKSRSQNISETPRRSPRLAHLKNSGGSTESRLKEKPEVLESSPSSTIDRVEDRAGQACLLYEKPEHVFEGDRQLAIGSLSQDFKRLKRTRGEVGLSEKPQHNQENEEKIDQGNNGEIVEEQVDRNAAETSESFMGRDWIDSSPPTKSEVSSMGIDELCLTWKPSVHTNSLENVLLHIQRDNFMKTITHVQGIICSSPSDLHCAAIIEAVVQKEILKWDSCLQDVDAQRIVIALLEHAKKIKEIHNFNMESRKGEFSTKLQDQLKWQLKELESVCTSLEFDYKKVTCDGSIAVSTSQEQKKKLHALQDEMKGLQQSMMMDDEIQKLVHQVAEHESLVQKSLMERVRVRTVLKGYEQILVEVKERLASNELGLIDVEALVKVEMDNLRKDIEISKVRLLNIIFK >SECCEUnv1G0536490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:64545419:64546558:-1 gene:SECCEUnv1G0536490 transcript:SECCEUnv1G0536490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPHSNGGAAAAAAGEENLSEPRCLAPPRKVALVTGITGQDGSYLTELLLSKGYEVHGLIRRSSNFNTQRLDHIYHDPHATPSAARPPMRLHYADLSDSSSLRRALDHVLPDEVYNLAAQSHVAVSFEVPDYTADVTATGALRLLEAVRLSAKAKPMRYYQAGSSEMFGSTPPPQSEATPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAIGRIKVGLQTEVFLGNLSAARDWGFAGDYVEAMWLMLQQDKPDDYVVATEECHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPSEVDCLQGDASKSRKLLGWKPKVGFQQLVEMMVDNDIELAKKEKVLVDAGYRDPKQQP >SECCE1Rv1G0051640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:656049383:656053745:-1 gene:SECCE1Rv1G0051640 transcript:SECCE1Rv1G0051640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWFPPPSCSLLLHLLVVAALLATSHGSSSNATDTLTASQPLSWDQKLISQRGKFALGFFQPQAEGSGSRWYVGIWYNKIPVQTIVWVANREKPISDPASSSLMISDDGNIVLRQSKSIVWSSNSTNEAFNSTIVVLLDTGNLVVRHKSNSSHVVWQSFDDMTDTWLPGNKLSFNKVTGVSNRIISWKNKGDPAPGMFTVEMDPNGSKQYIILWNSSIVYWETGNWTGSSFPNMPELSRANTYPNTPYTYQFVDNDQETYFTYNVTDDRILSRHVISVSGQTQSSVWVEAAQAWVVYFSQPKANCDVYGFCGAYSKCSGSALSSSCSCLKGFSERDPNSWNLGDPTAGCRRNVQLQCSSKGLEKEKQDRFYTINSVKLPDKAYTSIESTSIQNCQSACLNNCSCTAYSYNGTCSLWYAELLNLQDTIVGSLDNIYIRVAAFELPDARNKKRWIAGVVIGGVATLGCAVIALYFLLRGRRRINGMNLDKGSLISFKYNDLQLLTKNFSEILGVGAFGSVFKGVLPDTTIMAVKKLEGFRQGEKQFRAEVSTIGTIHHVNLIQLLGFCSEGTKRLLVYEYMPNGSLDHHLFRSSSVPLSWSTRYQIAIGVARGLTYLHEECRDCIIHCDIKPENILLGESLIPKVADFGLAKLLGRDFSRVLTSMRGTIGYLAPEWISGEAITTKADVFSYGMMLFEIISGKRNNMHTGTSTDTFFPMLVVRKLVEGDVQTLIDSELVNDVDLRELERTCMVACWCVQDSESSRPTMGEIVQILEGLVDVAMPPVPRYLQVLAEGVKPSEVSNLS >SECCE7Rv1G0473120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:154006689:154008110:1 gene:SECCE7Rv1G0473120 transcript:SECCE7Rv1G0473120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDLVVDLHTDQCPRATYNFLKLCKMKYYNGCLFHNVAKDFVAQTGDPTGTGSGGDSVYKFLHGDQARFFDDEIHPELRHSKIGTVAMASAGKNRNASQFYITLRDDVEYLDDKHTVFGIVAEGEGLDTLTKINESYVDGEGRPFKDIRIKHTYVLYDPDDDPAQLAELVPPNSPIGKPIHETAEERLEDTWVPLDERVDPARLEEMIRSKEAYRNAVILASIGDIPDAEVKPPDNVLFVCQLNPVTQDEDLYTIFSRFGTVTSAETIRDYKTGDSLCYAFVEFEAKEACERAHRDMQNCLIDDRRIQVDFSQSVSKLWRQFRQGTRNASKAPDYRARDLDKGAENKSKDRDYVLKEANTQRGGSNRRSYDLVFDEDGASVGNKQDRRNADRRKIQKLDDWRSGQSHKHDRDRKYREKLHTSEEGGRRHDDRISYDRSSDRSYSRRNNRDYSGKQQSKSGRGDDF >SECCE3Rv1G0158040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85355334:85355666:1 gene:SECCE3Rv1G0158040 transcript:SECCE3Rv1G0158040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRLRLAVAIVAICAMAGFGAGSRLPIDINNPDIQSFGSWAVAEHNNQVGDGIKFNKVVRAESDDESELGILLHLFIDATNADGKDAKYEAVVDQLRGKPGLLDFKPAN >SECCE4Rv1G0265950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:728087659:728089168:-1 gene:SECCE4Rv1G0265950 transcript:SECCE4Rv1G0265950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRFLVFLAIFLFVGPTTDGKEAQPAVPGVMLFGDSLVGVGNNDYISTLVKANKAPYGRDFKDHVATGRFGNGKLLSDIIGEKLGFNGSPPAYLSPQASGQNLLIGANFASAGSGYYDPTARIYRVIPLSRQLEYFKEYQSKLSVVAGSNHARSIISDSLYIISAGSNDFGFNYYINPFLFLTQNADHNFMLMGARRVGVFSLAPLGCAPLAITVFGLGRSRCVPRLNDDAQMFNGKLDEAVDSLSKRYHDLKIAVLDIYTPWHSLATSPGSHGFTEARHGCCATGLVEFTVFLCNSLSIGTCPNATTYVHWDSIHPSEEANRVIVDSLAERINKLVM >SECCE5Rv1G0327800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:497312256:497313795:1 gene:SECCE5Rv1G0327800 transcript:SECCE5Rv1G0327800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLVFSLLALFCLHGASADRVDFTSLFALGDSNIDTGNLLLLATPDVPVVNNKPPYGKTFFGHPSGRFSDGRVTIDFIAEEFGLPLLRPSLQNNPDVSKGVNFAVGGATSLNADFFERNKYVNFKLLNSSLNVQLDWFEKLKPSFCKTAGPSECFNKTLFVVGEFGVNDYNLAWVAGKSEAEVRSYVPQVVQNIANAADVLIKGGATYVVLPGIPPIGCSPSLLATRVSLNQAKEFDELGCLSDANRVAKYHNTELRDAIGGLRGKYAHAKVIKADFYSPIIDILQNPGKFGVAGGDVLRACCGGGGKYNWNISAVCSQPGVAACKDPSAFVSWDGTHFTEATYRYVAKGWLSGPYSDPPILNANN >SECCE6Rv1G0398480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:421425123:421452936:1 gene:SECCE6Rv1G0398480 transcript:SECCE6Rv1G0398480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMPPVARKVPHELSEHGDVRVDSYYWLRDDSRSDPAVLAHLRAENDYTAALMSDVKHMEDEIFAEIRGRIKEDDTDVPLRRGQYYYYERTLTGKEYVQHCRRLVPTDGHITVFDAMPVGLAAPNEHIILDENVKAEGHDYYSIGAFKVSPSGRLVAYGEDTNGDEAYTLYVIDAESGKYVGQPLKGVTSDIEWAGDDYLVYITMDSLHRPDKVCLHKLGSDQSNDMCLYHEKDDMFSLGLQASESKQYLFVRSESKNTSFIFHLDISKQNKQLVVLTPRVYGIDTAASHRGKHFYIKRRSEEFYNSELVACPSNNVAEAIVLLPHRESVKIQDFQLFENHIAVYERENGLPKITVYGLPASGETLGKLQGGREIDFADSAYAVELEESQFYSSIIRFHYSSLKTPPSVFDYDMDSGVSVLKKINTVLGLFDSSNYVSERKWAAASDGTQIPISIVYRKDLVQLDGSDPMLLSGFGSYEICIDPSFSGSIFSLLDRGFVHAIAHVRGGGEMGRKWYEDGKLLKKKNTFTDFIACAEHLMKTKYCSKEKLCINGKSAGGLLMGAVLNMRPDLFKAAIVGVPFVDALTTMLDPTIPLTTAEWEEWGDPREEEYYYYMKSYSPVDNVKAQEYPHILVTAGLNDPCVMYSEPAKFVARLRELKRDDNLLLFKCEVGAGHNSKSGRFEKLREDAFSYTFLLKALGMTNTA >SECCE2Rv1G0072120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:50077332:50080467:-1 gene:SECCE2Rv1G0072120 transcript:SECCE2Rv1G0072120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAAHPQPPESGVAPPRTPPQAPAEDGCVMRQLTASAHEFLDSCKDTGDTRITEEKSCTPHDLNLSQPNNSGLNSSSACENQTPNGDEMTEPESRLEAAKTEGDGSSKEKVLKKPDKILPCPRCNSMDTKFCYYNNYNIHQPRHFCRGCQRYWTAGGSMRNLPVGAGRRKSKSSSTNCNGILIPESSLAAPGGDASVIPLPIKGNQQGVTFGSDSSLSSSMVSVLRVDEQNKNSNPASTAHPRNGENQTCPPSATTSDNPRIESVKVTVGVHQNGITGDCNGITPMPPIPCFPGPPFMYPWNPAWNGVPAMAAPVCPVPAEPANCSENGNGGNIQWNFPPMVPMPGFCGPPIPFPLMPPSVWPLVSPWPNGAWSAPWLGPGYSMSAAPPTSSSTCSDSGSPVLGKHPRDSNPQGGEKGEKSLWIPKTLRIDDPDEAAKSSIWTTLGIEPGERGMFRPFQPKSGGREQMTNAARVKQANPAAQSRFESFQETT >SECCE5Rv1G0372820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:854754024:854755013:-1 gene:SECCE5Rv1G0372820 transcript:SECCE5Rv1G0372820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEGARRPKRGPAASGVGGGADLISALPEDLLLQVLVRLRCARAAARTGVLSRRWRGLWTGLPDLIFRDVTLGSLQAALAPIQAAAGPGLALLDICIPEVLLHSARESNLRGRVPALLHAAAALSPVELRLAVGEGRQRLYVKVDVPRLPRAASVELRGLDLVFADPRGSWPGFPALERLSLTGCRANLTDLVLRCPRLRVFRLTEEHGAHHMNIIIRSPSLQELHVDTRIPFTYRIDIRAPALKRLAISFSTSDKIIVLVSVLAPMLETVSWRCTYSTVSARLDLWGLSAVRLHTAESNGQLPPHVNVLSLFAAADVCRSICPFAN >SECCE6Rv1G0437650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:785579395:785581274:-1 gene:SECCE6Rv1G0437650 transcript:SECCE6Rv1G0437650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGKLFTLEEVAKHTSKDDCWLVIGGKVYNVTKFLDDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYYVGEIDATTIPTKVKYTPPKQPHYNQDKTPEFVIKILQFLVPLAILGLAVAVRIYTKSESV >SECCE2Rv1G0099430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:520851178:520857769:1 gene:SECCE2Rv1G0099430 transcript:SECCE2Rv1G0099430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C4 [Source:Projected from Arabidopsis thaliana (AT1G51610) UniProtKB/Swiss-Prot;Acc:Q8H1G3] MRRPLAAAAALRLRLLSSSSRPLVPSPFPLHHLLHRDDDREDRHPTPPPPPFSPRPPLGSASGAPGLLGLRGWRTLPPAASPPRGTVADAPPVLLTISRGYSLRVAKTKKQTHFDDEHSHRAVNTALWCNFLVFMLKFGVWFSTSSHVMLAELVHSVADFANQALLAYGLRSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVNGVQNLWSSQPPENIHYAALVIGGSILIEGASLFVAIKAVKKGAAAEGMSIRDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVQMTGNPIYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKSDPVVDSLYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGVWAKQFREASLSKDDAELRRVMAEYGEGVVDALGYEVDRLESEIQKIVPGIRHVDIEAHNPDGLSV >SECCE3Rv1G0171820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:278297358:278300630:-1 gene:SECCE3Rv1G0171820 transcript:SECCE3Rv1G0171820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGGEPPPLPLPTLVKLGRVITEQHVDRMLAALLRRRRHRLVGALASQALANSLRPTARTHLLAASALLDSALPHDAARRLALAGPASSSRRLWDALLRRACAQRGDPRLALELLSSGVEDHGAVLSPSTFRAIISELCARGDMAGALKVFDIMTARACQVDDRVCSVIISGFSKVSKAEAGLEFYNRVRKEARGFDPGLVTLTAVVNLLGRQGRIGDVAELIRKMEQKGMVGDAMFYSSLVHGYMSSGLLMEGFREHRLMLDKGVAADVVNYTIVIDGMCREGSVEKVKGFIDEMERRGAKPNLITYTSLVGGYCKRNRLEDAFSIVRKLEQTGVVVDEYVYSILIDSLCKRGDLDKAISLLGEMESKGVKAGTVTYNAVINGLCKAGETTKAAEMSEGVTADNFTYSTLLHGYIKQDDTTGVMAIKARLESSGIALDVVTCNVLIKALFVINKVDDACSLFHRMRDMGLSPNTVTYHTLIDMMCKLGNFDRAVELFDEYKKDTSFSSTVVHNGLIGALCNGGKVTIADQVFYDLIHKKLRPDSCTYRKLIHANFKEGGEQGVLNFIRKMDELEMDLFSSVCNYASDFLSTRDCCQAALYVYKILRTQALAVCSKTFYKLLKSLLRNGNEQVVEPLLSEFIKIHGLHEPRMINMLSCHLSKKGVGEAIRFSSKMNSGSIPISVLRGAVFALKKEGEILDAYNFLKEAEQSGFSVDLAMYSIVVEGFCKGGYLEKALDLCESMKREGIHPTIIIHNSVLSGLCQHGCFTEAFRLFNYLERSDILPTMITYTILIGALCREGFLDDAYELFQKMSNKGIRPTTRVYNMLISGYCNYGLAEKALELMSHFEKLCLHPDAFTLGAVISGHCMKGDTEAALGFFNEYHCKDMVPDFVGFMSLVKGLYAKGRMEESRGILREMFQSKQVVELINNVGYEVETESLVALLSSACEEGKIDEVVTILSEVRLMSVSSSDSNSSNTLGQLKKLQRTDDACDPRTDSEQVLSSADFDVSSNCLHGSSQGTLQPMTESKRTDSLCTASDNSDNDNGNLLGKSFYDDFDTYYPAIASLCSEGELVKANKAIEAMIRNSG >SECCE4Rv1G0229710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:152634268:152644840:1 gene:SECCE4Rv1G0229710 transcript:SECCE4Rv1G0229710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCAVQRWSRRVATMLPWLVLPLILLWALSQLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRTHALELQKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLGPAGKVPSGWLCSQDWANAVGDPGYWLDLQCSADNSYSGFSWRLFSCFCTSMAWFWKKVFRFGSSVDSGGLGRDGRMLTKAGDNGGKAEESRVDKAKRKAEEKRLARLEREMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDARKEAERRRQERRRKEDKGSSKSNSDCEDVERRVGREGERKRDFDRRNETDRRDAARVGTDGHKPNNFEANSQGSKIAQSKTKYFGRMTGGLLSSSRGFGGGSFFGRSAQAPVHQANKVTKPLVTATDQSIKRDTQPAATPAMTKSATAGETRNSLTNFSRPVSPNMQAHPTGLKKSWHQLFSRSAAVSPCPDVTASAREKNMQPAPNGAQISSAQNFLAQYPPLDSTPKLGQSMHSAGFPPVNGASANMPPSHFPGGHLPFGKVAEATLLEEPEQFEDPCYDPDAIALLGPVSESLDNFPPDWDRRFTLNDATKEPHVRNRMPSPIESPLSRSRTVERNPIRPSHFSTAKGHNGSVSAEANNEQGTWQMWSTPLVQDSLGLRGPQGQWLLPNTNQFNHGINHLNAGTRSSLCAGLNDNDLWLQKSPFRQLPLDTESLFLSHDMSENAIHNDLGFGSPNKAARAHPFGPPDPCHSWSKEQLVPNGLQGASPIRSPPTGGHAGLFPTNPNVQSVWSFDKKRDSIEVMGEARLPPSSLGS >SECCE5Rv1G0319890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:379767942:379770775:1 gene:SECCE5Rv1G0319890 transcript:SECCE5Rv1G0319890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRSVTLSKTKKKPGLERKGKVVTEIKDAIERHSSAYVFTYNNMRNQKLKNLRDQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGASGLLFTNLPRDDVERLFREFEANDFARTGSIATQTVELKEGPLEQFSHEMEPFLRKQGLPVRLNKGVVELVADHVVCEEGKPLSPEASHTLRVLGTKMATFRLYLVCRWSSDDFEVYKEGLAHLGGEEADESS >SECCE6Rv1G0437690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:785815084:785816122:1 gene:SECCE6Rv1G0437690 transcript:SECCE6Rv1G0437690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQRIGRQYYEEPRGFRDGPPPRLARERSISPRRMEGELSSRRGEIRRIHDDNQHLADEIVGLRQAMSRLKEDLHSSGQVISKLRAEKEIESRELTQRNLKLEAELRSLEPLRQDALHLRSEVGSLESLRQELNAKVQGLTKEVEQQSSENQRIPGMMAERDGLRQELIRARSALDYEKNAKPELMAQVQAVEKDLVSMAQGSEKLRAEIEKRRAAPRVSGHGAYGPPMTTPGMDLQGMYDGGYSSYADKRYGAGPRDPPGYPRF >SECCE5Rv1G0334760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:567919868:567922570:-1 gene:SECCE5Rv1G0334760 transcript:SECCE5Rv1G0334760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGQPEMASSPALPRVCVTGGGGYIAAWLVKLLLSRGYAVNATVRDPRDPKNACLQQLDGAPDNLRVFKADMLDYGAVTPALAGCEGVFHIATPVPEQEMVDPEKEMMDPTVKGTMNVLKACSAMNVGKLILVSSAASICFNPDWPEDKLKDESCWSDKEFCKKNKSWYSLAKTEAEEIALEYGEKNGLHVITFCPGLVFGPLLQHVVLNTSTKVLLYMIKGGPDTMNNKFWPIVDVRDVADALLLLYNKAGRYERYICSLDQMDLKELLEVMKSMYPSYSYADKMVDVDYKGAMTSDKLKNLGWTPRKLEDTLADSVESYDKAGLLHVSDGEPCRLPFFYRMPPLVE >SECCE3Rv1G0189450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:726322896:726334339:1 gene:SECCE3Rv1G0189450 transcript:SECCE3Rv1G0189450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYYAPFRGAASGDGGGCLPLPIIAAEAALAVVDAAIAATAFVQLARIHRHNQQHGWTRQKIFHFMIGLCNIVFLAYFVSTVIATCERWVCWIHGCGFVLMASPQILLLASFLLLLSFWVDLCHQTNDEDEDDVRSHQEALLDRTKTKPGTRPTDARRKCFPGTQLGSRQKFVILVLVLSFIVMLAFAILIWVGRGENPIDSSLLKKVYLDVFSVVVLVLGAALACYGALLFSKMSKVRSETVSTEKWKVASLAVVSLICFSSSAILALVTNIPVLLYWYSTEAEIIKNAVILFLYYLIGSSVPSGFVLWVMRDMPQRPTVERPTQSRVVTLFRDRPSPTQDPQWRAAVTSSNKALKSSPI >SECCE3Rv1G0211380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:949925724:949926278:-1 gene:SECCE3Rv1G0211380 transcript:SECCE3Rv1G0211380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-YB5 [Source:Projected from Arabidopsis thaliana (AT2G47810) UniProtKB/TrEMBL;Acc:A0A178VUG0] MADHHYTQLGGGSGSGGGGGGGGSPPERLHGGGGSGDQGIKEQDRLLPIANVGRIMKQVLPPNAKVSKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWAFSALGFDDYVDPMRRYLLKFRELEGDRAAAAASSRGGLPVPDASTPGAGASGSGNFMFEAMDRRDNTGPGTGRQF >SECCE2Rv1G0120250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:798048738:798049394:1 gene:SECCE2Rv1G0120250 transcript:SECCE2Rv1G0120250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIAAPASFAPSFAPLCSRIPFAPPLSSTSLSASSPPFHPSRVLVRWEPPPRGWLKLNFDGSVYHDGSGRASIGGAIRDCNGHVLVAFAEQTEHSTVGIVEARALIRGLRLAMSFFRGGLVVEGDDQVLVELLSGKEMQTRIPLAMQEEIMPLLDHFSAYELQHIYREGNQVAHVLCKEAYQRPGVWSSGIVPHAVWEKALEDMHGVAHERIWKKKV >SECCE3Rv1G0191330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747903287:747904870:1 gene:SECCE3Rv1G0191330 transcript:SECCE3Rv1G0191330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEKQEMGAGAGAAAGEKGTKTGYKAMGKTGATEQEKGDAAADKEKGSTAEKEEKGRTAGDEEEGEEEEERATAEQKGENRSASAKRGHKKKAEAEEIEGMSTWFSEIALSEKGTAAAERERSERRRFRRTKGQRPEEKGATEGVAGKKEIFFFKASAVITLESSDGMLFTMSEEAARLSVLLADMIDQDGVGGIIQLPNVDARALAMVVKYCKKHADTYANHSADEGSRSGYSEASEEALKQWDRKLVDGLSQDALCDLIIAANFLYIKGLAEAGCQKVAVVDMVGQMQQIEEDDMCTWFSGLTPFSKKGTAAAEGARRERRQFKRTKGQRPEKKGATAEETEQVAGENDTFFFRASGKIITLKSSDGILFKVSEAAARLSVLLADMIDQGCAGGIIPLPNVHTRALATAIEYCNKHADAASANSRVDEGSSSSSNSEASEEALREWDSKLVDGLSQDALYDLMMAANFLHIKGLLDATCQKVADMVKGYSVEQMRNMFGIANDFTEEEEEELRKESPWAFEED >SECCE4Rv1G0227650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:125342492:125342944:-1 gene:SECCE4Rv1G0227650 transcript:SECCE4Rv1G0227650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGDQPSDLERGSRPGSVVIVVVAPPANFGARPRSSAAAGGEDDDDDREMPNYVWVPLQLLLTAVACCPLLALVLTRTDWVEKVVFSAVLLPTVVGVFFFLRAVCKRPRMAVVANMIKR >SECCE4Rv1G0262320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:706905298:706906731:1 gene:SECCE4Rv1G0262320 transcript:SECCE4Rv1G0262320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTRVVTETPMSQDTPTSPRRPPTATTSGTGTSGLEPQQRSWADVPPDILGIVAGRLPCVEDRARMRSVCAAWRAAARLHRPPPPPLPLLVHADFAFSGFTPDGALSGARRVPLPEDVAADDVRCVGSFDGWLAGVRPNEGRYFGDGECFLMNPFSRDVLHLPPPSASSHFVDAHSRSLPIIGGSGVVECTINAAQYVMSFCKVILSSSPDPGSKCMVAAFSVHRNGAKLALWRPGMTSWCVCLGGCISKFSDITFYQGKIYVLSELTTNLFVVEITDDDVSGLMVSRVERCVAELPEVKDSYKQRWNLVEWHGKLLLIARYLVDGVSWNNICKVGVYVVDLSTKPLQFTEINTLDGDCIFISPCSSKSFHASEYDGVEGNAIYFIDGYLHPAKNDPPFDKFMYNLRDATLAPFAADLSEHNFRAPDGKLMSPTWLFPSE >SECCE6Rv1G0449510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863105636:863107613:1 gene:SECCE6Rv1G0449510 transcript:SECCE6Rv1G0449510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAIATTSRALPPRFPSAAPLRRRRAASFVPVAAASKRRDGGEGEDAGAHKPPRRPSSGAEPAGLAPYGLSFSPFSKDAAMGLVMSAATGSGWTTGSGMEGPPMAGGAASRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDGGGDDSSGNIFPLL >SECCE5Rv1G0306690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:97881420:97883659:-1 gene:SECCE5Rv1G0306690 transcript:SECCE5Rv1G0306690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MAAASSSSCGGAGCGAHCSSSTSPSVEDAPEGILGRLSISGAAASCGKCGGGAVVVVAGGVGLCGECLRAQLFGKFKLAVTSNAMVRPTDSVLVAFSGGPASRVALQFIHEMRSKAIESWDASNSQALPVFNVGVAFVDESVLLSKPKCEVELATEDIKSIVLSLLPGDKAMHIASLDDAFSSESKDGEGRLRELVGMIADDTGREDLLQCLRMLSLQKIALENGYTKIMLGSCASTIACHVLSATVKGQGYSLPADIQYVDTRWEVPVVLPLRDCLAQELSLLCEFDSLKTQQLLDRPCSGINGLVASFVARLREENPSREHTIVRTAQKLKPFPFNKFSANGYHDFLPSRLRPKFQDVDSDESAFSEVLCLICRSPFSESELQNFESTRHKSQKKIDLYTAYCCQSCHFQILPGDRDLYDHFFSLLPSFWTERVDTASASHSSLRDQIEDYLLEDDDDGN >SECCE3Rv1G0184230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:661037410:661039991:1 gene:SECCE3Rv1G0184230 transcript:SECCE3Rv1G0184230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPARAPAIVASRLLVRDNQRITALARTGDLAAARRVFDAMPRRDVVSWNALLTALWRVGRDLPAACRLFDDMPSRNVISWNSVVAGCLAHGDLAAASAYFVRTPRRNVASWNAMLAGLVRLGCMNDAERLFGEMPERNVVSYTTMVDGLARRGEVGRARAVFDEMPERNLVSWAAMISGYVDNSMLDEARKLFAAMPEKNVMACTAMITGYCKEGDVGSARKLFDEIYVKDVISWNAMIAGYVHNGYGEEGLKLHIIMLREGTKPDHATLIATLTACSALALLRQGRSTHAVAVKTMLESSTSFCNALITMYSKCGDVSESELVFINLKSQDIVSWNTMIAAYAQHGKYQKAISLFHEMETRGLIPNDITILSVLSACGHVGRVDDSLELFDLMSSKYAICPGAEHYACIIDILGRAGQFEKACSYIKDMPFESEKNVWGALLGASKTHGNVQLGELAAKMLVQSDSGSSGPYVMLSNIYAAAGMWGEVNRIRGQMKEKGVKKQPGYSWTEIANRVHMFVGGDASHPEMNKIISELRKISFHMQMMANETHTMVEMSQESG >SECCE1Rv1G0040140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:556969202:556973957:1 gene:SECCE1Rv1G0040140 transcript:SECCE1Rv1G0040140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIRSALARALSAPKPRPPLARHYAAVGETQPERVAAEMVRYALGGAGHQSSSEDAMRILEQGASNLQGGGEGAAEAVSMLMLAMSTLLYRSGRREDAIEKLKATQQVAPSAAFRVAAWEALMGIRMEANQDVSSSMSPNDSVDLSIKEEEIRWSDQDHLKFRVDAIKGLAALLNGEIDSAQTLFGGPNSCYAAVGNNQTENAVFTYAEYLHCTGDFALATQMYEKVLEAASREDISGNLLAAGNMAPEEVSLGATCSYGQLLSHSGKFDEAEDYLTRALRKAEEQFGSNHPKVGIVLTCVARMYKLKAKSEGSSSIMVQEGLYRKALEVLKAPAINSEDTRRQADWRDIISLARGEYAELLLIQSNRKAEGERMKEWAEDAWKNRRLTLAQALEFSEVSKPTVVDTRIGRVI >SECCEUnv1G0561660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:356887241:356887900:-1 gene:SECCEUnv1G0561660 transcript:SECCEUnv1G0561660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVPRPVPPQLGHHATTSPHLPMRRPHPAAGTPFHPSPKRVVALVRWNRPPLGWCKLNFDGSVKHDGSRRASIGGVIRNSSGHAIAAYAERTGHAGVGVVEARALMRGLDLALGMGCSSLVVEGDDLTLVRLLRRESRHTRIPSAMRDEIVRLLCCFRVCRVQHVYREGNQVADTLCHEAYRCPDVWTMDRPLPLAVRAKVECDRRGVVYERLRPA >SECCE2Rv1G0089150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:253914756:253917351:1 gene:SECCE2Rv1G0089150 transcript:SECCE2Rv1G0089150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEGSNWVFDCPLMDDLAAADFAAAPAGGFYWTPQMHTLAQAVSATPAPNPCAEINSSVSVDCDHVKGQPKNKRPRSETGAQPSSKACREKVRRDKLNERFLELGAVLDPGKTPKIDKCAILNDAIRAVTELRSEAEKLKDSNESLQEKIRELKAEKSELRDEKQKLKAEKESLEQQIKFMNARQSLVPHPSVIPATAFAAAQGQAAGHKLMMPVMSYPGFPMWQFMPPSDVDTSDDPKSCPPVA >SECCE6Rv1G0415090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:639082306:639082818:1 gene:SECCE6Rv1G0415090 transcript:SECCE6Rv1G0415090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAYASRAIVVFAAVLAVLFLPSLACRTALAPAPAPALAPAPAPASAILCQDCDSRCQSPSMCDAYVAAAGCGNACSGATPDCEPCMSEVLQSCTASCNEGCTRNCVGQCDCAGSCSIACAEGARRTCQYKCVYSYYAVKSCHECQDSARTRCTDACNTDCKANCVSG >SECCE2Rv1G0074010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73074752:73076152:-1 gene:SECCE2Rv1G0074010 transcript:SECCE2Rv1G0074010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAESERMRVVMFPWLAHGHISPYLELAKRLIASASDDHHLDVVVHLVSTPVNLASLAHHQTDRLKLVELHLPALPDLPPALHTTKGLPARLMPVLKRACDLAAPRFGALLDELCPDILVYDFIQPWAPLEAAARGVPAFHLVTCGAAATAFFMHSLKTDRSPSAFPFESISLGGADEDAKYTALVTVREDNTALVPERDRLPLSLERSSGFVAVKTCADIERKYMDYLSQLLGKEIIPTGPLLVDSGGSGEQRDGGRIMRWLDGEEPSSVVFVSFGSEYFMSERQMAQMARGLDLSGVPFLWAVRFPNAEDDARGAARSMPPGFEPARGLVVEGWAPQRRILSHPSCGAFLTHCGWSSVLESMAEGVPMVALPLHIDQPLNANLAVELGAAAARVKQERFGEFTAEEVARAVRAAVKGEEGEAARRRARELREVVARNNGDGRQIATLLQRMARLSGKGQAVSN >SECCEUnv1G0546970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:166484620:166485909:1 gene:SECCEUnv1G0546970 transcript:SECCEUnv1G0546970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYDQEMETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFTGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >SECCE5Rv1G0325020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:459431566:459432162:1 gene:SECCE5Rv1G0325020 transcript:SECCE5Rv1G0325020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase catalytic subunit [Source:Projected from Arabidopsis thaliana (AT2G43760) UniProtKB/TrEMBL;Acc:A0A178VNE3] MAGDEPPTATVEPPTATVEPPTTEASQDLVEILDEGSGRLDMGRYVDHVRDLSAGAIATFEGTTRDHFDGRRVVELRYEAYGTMARRRLEAILREARAAHALCRLAVAHRLGTVPAGEASVFVAASAVHRADAMEACRYVIDEIKASVPIWKKEVYDDGEVWKENREFLDRTDADKKGKPAAAGGGGGGCCGSKVRVS >SECCE6Rv1G0432180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:750156133:750157793:-1 gene:SECCE6Rv1G0432180 transcript:SECCE6Rv1G0432180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQHQMFNDPFASSMPSLDADIFSGAGGYHHLAPSPQWPGLDNDITAAVAANNATSSGGSGSQRKTSHNAYERDRRKQLNEQYSSLRSLLPDDDHNKKMSIPTTVSRVIKYIPELQKEVDGLEKKKEQLRRASCEQGVLTMRENMAPIVSATCLDDREIMVQVSVVSTMAGALPMSKCIKVLENQGLRLINSSTSAFQNRTFYSLHLQRTQRTMSKEGQTFCNELENAVKQKAGLQLHH >SECCE6Rv1G0404510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:520328105:520331549:-1 gene:SECCE6Rv1G0404510 transcript:SECCE6Rv1G0404510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIEGVRFAVTGGQGFVGAALCLELIRRGALEVRSLDLRASSSWSQQLLDAGVRFFQGDVRKKEDVEKVFRNVDCVFHLASYGMSGKEMVQAGRTDEVNINGTCNVLDACHEHGVRRLVYVSTYNVVFGGKPIVSGSETLPYFPIEDHVDAYGRSKSIAEQLVLKSNGRQAKSNKGTRLYTCAIRPAAIYGPGEERHLPRILSLGKLGLASFRIGGPNVKTDWVYADNLVLALILASMGLLDDIPGRKGTPVAAGQAYFICDGSPVNTFDFIISPLFRSLGYAVPRLTLDTSVALTLSRIFLFMSTLFYPWLDSKWIPQPPILPAEVYKVGVTHYFSYLKAKAELGYVPVTSPQEGLAATISYWQERKRQELDGPTIFTWVAVTMGMLAVFSAACLPPIGPLKWVLAINLFVFRSMLVTRIVFVAAVAAHAGEAIYAWFLAKKVDPRNATGWFWQTFALGFFSLRLLLKRARVRAGV >SECCE6Rv1G0384740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:66783662:66786674:-1 gene:SECCE6Rv1G0384740 transcript:SECCE6Rv1G0384740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPHRRHSSSSEPAPTPFPPISSLQSLSISSPRGRGRHHLRPSNNKIIHAAGCVSRWSPLPPFPPDSYDAESFRLVPFPWDPIERETGAKPLVLALSSPQNSPGSTEAAVAAIVERFLPDLLASAERARATAHDAPTEDEELKLNLVARVGKVLFQPGPSGGPVSLDSVREAAKSGSEGSRSQVRKSFYTNLPGKCLDDIGRFVGKLTDLEFDSSKKHYHVKVFDKQRSDSTMSCKCTVQEDGKLVIHKVELNQIRQLVEDISCLSKDFDLRLMLRTKRILKNIDPEVENAIKSLVSSAIVDPDAKGGLKWPLGNESIGERFSIVGAWHTSYNAFRNKSLRLKLRCADRFDHRSSTGEISNEVTFKLTGISERLQDGNEEVDTLKGMLEAAVQMIWDTVLSYKI >SECCE2Rv1G0074800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:79989845:79990985:1 gene:SECCE2Rv1G0074800 transcript:SECCE2Rv1G0074800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHRTVSLVVLMLVLLLRWPALSSASVPVSRTITVDSKGGGDFRTIQSAVNLVPDGNREWVRIHVRAGGYREKVTIPREKGYILLQGDSPWNTIIYFDDYVHGSTDDLMRLGASAMGTSETATFRVYADDFVARDIAFTNTHNGGNKNNATQAVAAMVDGDRIAFHRCAFNGFQDTLCDNTGRHYFHECFIKGGIDFIFGFARSIYEGCTLVSNIPLRSRHAGWVTAHARHHAGDPGGFIFKGGELRGTGRQHLGRAWNKYATVVYYHVNMSSIVVPKGWAPWYAGNHTNDVLFTEVGCTGPGSNMSGRVAWEKHLSETEVKKFVDMSFIDDGWLSKQP >SECCE1Rv1G0058820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701904444:701905828:-1 gene:SECCE1Rv1G0058820 transcript:SECCE1Rv1G0058820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGGGEGEGEGEHGSTVQSHPPATVRGDEDAPPHSTPPLPDVPPIASKEKKRKLEQEQQFVPSLPEGALVEILSRVPYRSLCRFKCVSKPWLALCSAPDIRKRSPQTLSGFFYYKDGSLSFRNLSGRGQPLVDPSLPFLRSSYKNVYVEQFCDSLLLCNCWNSYLPGERDYVVCNPATQEWIVLPPVVFLGQDQEGSHNFTPRVYLGFEAAVPSRFVVFATPNNGGGLSGEMAIYLSETGQWTYVQTKWASESLLDLSGHIRVFLNGTMHLTTRYNYTTMLTVDAEGKVWREIPMPSPKKSVFFSTGQSQGRLYAWQMDYRYGCQLYIWVLEDYGTGKWARKHTVNVLELFGRHCRKDDQFYQMFAFHPDCNVIFLTDKKEMTVSYDMDSQKVSVICTEGMKGLPYTPCFAELQSAGH >SECCE3Rv1G0206110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:905375541:905375873:1 gene:SECCE3Rv1G0206110 transcript:SECCE3Rv1G0206110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWRRKETAGASGAAPTCVVRSAGEGGGKIPKGYVPMVVGDEGEREERVLVHVGMLTEPRVLALLEMSAQRFGYGQRGVLRIPCGVERFEQTVCGHRREMQDSACAAAH >SECCE6Rv1G0382400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:42355546:42358245:1 gene:SECCE6Rv1G0382400 transcript:SECCE6Rv1G0382400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLNRRSKAAIGFLRRGSGINRSPEETTSQNTDVQGSTSRVNSMKTRLVDNQERLRYLHGSYKYASSNVMSGGSSKFPLRKFGEEKRRQTLLQGADVAESSRRKADAKCLEGSKKTIAENQSSDAPQTETEGLTAKDDELIAPDPEVSHSAGSSGIPAHTAESLIRSSSLSSETHRQKNKELNLGRPGHSCSSSFSNQSTISRIPIVGAKPSYGLVSREQRCGPRGLKNLGCTSVSDVLPSGSSSESVYSRSFDAMRKRASGGDSSSRSRAMSGPSSLGHSHTIYPSINGPRIRTTQESVRQQTLRSRSRNIQDSAVSVRTRRTSPRDTRFRMSEEREDAMLHLNESTVGNQQSIGADFSVEEGSSESSIRPVSVELPHAIYSSSHQRLSTRTARRTSSSRFEQSPPQTFRSLARERGGHRRINMEGIAEVLLVLERIEQEAELTYEQLRVLETNLLLGAFTSHDQHSDMRMDIDNMSYEELLALEERIGYVSTALSEEQLAKCIRRRLYRPVAARGNRSVIDDIKCSICQEEFVKGEEVGRLRCEHLYHVCCIRQWLLQKNWCPVCKAPALSSLN >SECCE1Rv1G0007230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39608998:39609568:1 gene:SECCE1Rv1G0007230 transcript:SECCE1Rv1G0007230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARDTTATSTDDHHHQTGSVASAPQAAKGGATISITIVLLALLVASVAAFLLSSPTGVGGGSRSGAEPVEQAVGHGGVPGFNNRLDAFRAWAKLMWMKLQRPRSDDPRYDAGGHGIAGSVAEATKKSLEMGKETAEQAAASAAGVAKDTVKGVAAPSSVAEL >SECCE1Rv1G0008480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:47165117:47167215:-1 gene:SECCE1Rv1G0008480 transcript:SECCE1Rv1G0008480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMATGGEAGGAAPGEEERDWADLTPVCLAEAFSRLEPEDLWRGALACCRSWREAARSRPALFAALDLGPAFEAVGAGADAAEWWTPAFQRRVDAMLRSAASLAAGALREVRVRHCSDDALAFAAKSSPQLSILSIRSSPGVTDRSMLTVASCCPILTELDISYCYEVSYKSLEVIGQSCPNLVVLKRSIFNWLDSSEHARTVPAEYLRACPQDGDREAIAISRSMPKLKQLVLRFAKLSGVGLNSIAEGCKELEVLDLFGCANLTSRGIEQAAANLKNLETLVKPNFYIPRSAFHMERYGHWQLYDERFQTNVFQI >SECCEUnv1G0563450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:375241608:375245225:1 gene:SECCEUnv1G0563450 transcript:SECCEUnv1G0563450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSMLGGAISKAASAAAAEMSLLMGVRKDIWFIKDELETMQAFLLAAETMKEKDMLLKVWAKQVRDLSYNIEDCLGQFMVHVASQTLSRKLLKLKDRHRIAMQIRDLKSRVEEVSSRNTRYNLIKTESSNNIDVADSYMEDVRNHSASNLDEAELVGFSKPKEELIKLMDVGITEDGPVKVICVVGMGGLGKTTIVRKTYESKEDIVKSFDCCAWITVSQSFLKMEMLKDMIKQLLGVESLKKCLNELGGKALRVEDLASYLVEGLKDKRYFVVLDDLWTIYAWSWIQDIVFPSSNKKGSRIVITTRDLGLANKITHKSLVYLLKPLELVDATNLLLRKSRKTVEDMENDKKFKDIVEKIVKKCGRLPLALVTIGAIFATRKIAEWGLVYDQLPSELERNISLQAIRRIVTLSYNHLPSHLKSCFLYLSIFPEDFEIKRRRLVERWIAEGFATAKDGMSAKDVGISYFMELINRSMIQPSKVNIQGDIKSCRVHDIMRDVVVSIAKDENFVYVAGNEVTSVVEENFRHVAYHGSKCQNIGIDWSHVRSITMFGERPVEPSSSICSPDLRMIRALDLEDAQFRVTQKDINNIGLLYHLKYVNIHYENEYTNIYKLPRSIGKLYCLQTLELRDTHISTLPNEISKLHCLLSLRCSRKWYYDYFDEYSPKECLMHTLSLPMTLTPFINPDKRTEKLAELHMACSSCWSMSDGVRIPKGFSNLKVLEVLEVVDVKRTSSKAIRELGELKRLSKLRLVTCGATKQKCKILCEAIEKLPSLVSLRVDGGNGFGSDGILDWLHSVSSPPPLLRSLKLHGCLGEVPDWFGSLMHLAKIYLEWSELKGGKTMELLGTLPNLMLLALHNKAYLGEKLVFGEGAFPNLRKFEFDDFVDDDSMKLREVRFEEGTSPHLEKIDFDHCRLESGIIGVKHLRKLTELSLDEYAQVAKLGVLQGEVDVLPKHPVLRLRKDRRYHDLGDVVQGSSTAVQADETTEEGEESCLHLEPAAPGESSSSHVVVKPPGSDSQEPDIREVEDDFWSCNSDDDDA >SECCE3Rv1G0198420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:832060593:832062624:-1 gene:SECCE3Rv1G0198420 transcript:SECCE3Rv1G0198420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVFDITKRQTFDNVQRWLRELRDHADANIVVMMVGNKSDLNHLRSVPEEDSQAFSEKEGLSFLETSALEAINVEKAFHTVLSEIHQIVSKKALAAQESAAANGRSMQGTTINVAEPSTNAKGSCCSS >SECCE7Rv1G0463850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:57525209:57526663:-1 gene:SECCE7Rv1G0463850 transcript:SECCE7Rv1G0463850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPPAPAERAHAVCLPAAAQGHIIPMLDVAKMLHARGFHLTFVNTEYNHARLVRSRGPAAVAGVPGFHFATIPDGLPPSDDDVTQDIASLCKSITETCLGPFRRLLADLNADGPPVTCVVSDVVMDFSMEAARELGLPYVQLWTASAISFLGYRHYRLLFARGLAPIKDIEQLTDEYLDTPVDDVSGLRNMRFRDFPSFIRSPAPDDYMLHFALRITERAAGASAVIVNTFDDLEGEQVAAMEALGLPKVYTIGPLSLLAPLKGPSSTISMSLWKPQEECLPWLDGKDAGSVVYVNFGSITIMTNEQLVEFAWGLAKSGRHFLWVIRPDLVKGDTAVLPPEFSAETAGRGLVASWCPQQEVLRHPAVGAFLTHSGWNSTLESMCGGVPVISWPFFADQQTNCRYQCNEWGVGIEIDGNVRRDAIADLIMEVTDGEKGKAMKKKAHEWRAKAVMATEPGGLSRRNFDELIRDVLAPSFHGNP >SECCE5Rv1G0311570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:194245282:194249101:1 gene:SECCE5Rv1G0311570 transcript:SECCE5Rv1G0311570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDHALACASSLVPCQEAVEGPLLNSLLSIKQGLNMFIIEDKGGAIAIMCASLFFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVLIALTFGQLGDAKQNMPNFFTQLSQDNWPSVMFAMAGGVVLSVGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINRAEILFTGVGCFLVAVILGSAVHASNAADNEKKLSESTNTYKLGTDGGTEAGKQVIDKDAPKDMENGASAECATKAEAGTAEYLIELEERRSIKVFGSSTFIGLGIVFFSGVCFSLFSPAINLATNDQWHTLKDGVPHLVVYTAFFYFSISCFVVGIGLNILFLYRPMAGVPKSSFKAYLNDWEGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSKKTYTLLVFMLLMFIAAVATLMASAGHRSTK >SECCE4Rv1G0291150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875947339:875948326:1 gene:SECCE4Rv1G0291150 transcript:SECCE4Rv1G0291150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSTNAIALVLVLSALLTSVCSAANYDTAAARSYNSGWLPAKATWYGAPTGAGPNDNGGACGFKNVNKYPFSSMISCGNEPLFDGGAGCGSCYEIRCVTANNPSCSGQPRTVVITDMNYYPVARYHFDLSGTAFGAMAKYGLNDKLRHAGIIDMQFRRVRCNFPGMKVTFHVQRGSNPNYLAVLVEYANVDGTVVRMELMQTRNGRPTGTWEPMRRSWGSIWRMDTSRPLQGPFSMRITSDSGKTLVSNNVIPAYWQQDRAYWSNVQFY >SECCE6Rv1G0433880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:762253436:762256951:1 gene:SECCE6Rv1G0433880 transcript:SECCE6Rv1G0433880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVQHGQLADDGDEAKKAWTGEKRRRKHLPPSSSDAPATAQDHGDPISHATDMENTIQVGQDRIPHATDMELLLRTDSAQEESYGPDPLISEEDHGDMEDTILGGQDPISHATDMEPPLKTDSAQDTSQDCFGPDFLISKEDETRFAQSLRPNIPLPCTDLPLYITWEEEDKIEARLARLRIAYYKALKPECARERELKEPEEYTDAELGEELYFKRLEEDESFEWFVHPDDTYKAGLNDYQRIAPRNFLPHSGRNLYRYHNEYRSRYHTYKIDDVYVKYYAEISEKIKWITEYLHLDRSTKEWIDKDTRAWRQALKIATGVPHMTVCLAAFAYNEYILEMDKDASLKDIGLLYFEIWRRVAKKNLSYMEAVKEVYEMDKFDVHKRRLDGELKGVPVIATIKEYMQYVVRQGGINAKTEEDEARDVFMTLSLSMHKAMNMAQYAQKKLDLADELKLDTEGGVVLWERPFEF >SECCE2Rv1G0137890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920353365:920355834:1 gene:SECCE2Rv1G0137890 transcript:SECCE2Rv1G0137890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGDWTPPCGSCCTKKYATLVQIPWRVFCKKGCNADGDTWEECIGKCTEICYKDPVFEDRQWSAYIDRSPGEDSYSLECFNACISGCGYRFDIPAEKVGLIKPNRPSKPPPPPPPVVERAKPGSGPPAASSEDVPGTSA >SECCE7Rv1G0491660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:531562583:531566292:-1 gene:SECCE7Rv1G0491660 transcript:SECCE7Rv1G0491660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVPFHGLLLLLPLLTITAASSAPLPLLALLSLKSSLNDPAGALSPWTYAAAASAGATRSLSPPWCAWPGVACDATTGDVVGVDLSRRNLSGTVSPTAAALLAPTLASLNLSWNAFTGELPPAVFLLRRLVKLDISHNFFNSTFPDGIAKLGSLAVLDAYSNCFAGQLPRGIGELQRIEHLNLGGSFFNGSIPVEVGQLRQLRFLHLAGNALSGPLPREIGELTLLKHLEIGYNGYNGGIPAEFGGLTQLQYLDIAAANVSGPLPPELGGLVRLEYLFLFKNRLAGAIPPPWSRLQVLHVLDLSDNQLAGAIPAGLGELANLTTLNLMSNFLSGTIPATIGELPSLEVLQLWNNSLTGRLPESLGASRRLVRLDVSTNSLSGPIPSGLCAGHRLLRLILFANRFDSAIPASLANCSSLWRVRLESNRLSGAIPAGFGAVQNLTYMDLSSNELSGGMPADLVMSRSLEYLNVSGNPIGGTLPSTTWRAPKLQVLAASKCGLDGEIPPFGTSGCANLYRLELAWNELSGAVPGDIGSCKRLVSLRLQHNNLSGEIPAVLAALPSVTEVDLSWNALTGSIPPGVANCTTLETFDVSFNHLAPVGMLSGTRNPGEGGSARHTAAMXXXXESNRLSGAIPAGFGAVQNLTYMDLSSNELSGGMPADLVMSRSLEYLNVSGNPIGGTLPSTTWRAPKLQVLAASKCGLDGEIPPFGTSGCANLYRLELAWNELSGAVPGDIGSCKRLVSLRLQHNNLSGEIPAVLAALPSVTEVDLSWNALTGSIPPGVANCTTLETFDVSFNHLAPVGMLSGTRNPGEGGSARHTAAMWVSAVAVALAGMVMLALTARWLQCLEDDSVAASSGGAGGARPNVVVGPWRMTAFQRLSFTADDVARCVEGSDGIVGAGSSGTVYRAKLPNGEVIAVKKLWQAPAQKETASDHAAKQMDTQDSGDGNGNGNGRVLAEVEMLGHLRHRNIVRLLGLCTNGETTMLLYEYMPNGSLDELLHGATAGKTPKARPEWDARYRIAVGVAQGVSYLHHDCLPAVAHRDLKPSNILLDADMEARVADFGVAKALQGAAPMSVVAGSCGYIAPEYTYTLRVDEKSDVYSYGVVLLEILTGRKSVEAEYGEGSNIVDWVRCKVAGGGGGLMEHVSGNSDAAREEMALVLRVALLCTSRCPQDRPSMRDVLSMLQEARPKPSRKPAAKKQVP >SECCE5Rv1G0341220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:622501834:622502411:-1 gene:SECCE5Rv1G0341220 transcript:SECCE5Rv1G0341220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGGDDGGVELTELTLAPPGERARRARRARKSVEAAAFVKVSMDGTPYLRKVDVAAYGDYLELLQELNAMFYCCSIGLMDGYGQWEHAVVYEDGDGDWMLVGDVPWEMFVCSCRRMRVMRACEARGLSANA >SECCE2Rv1G0108200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:659225753:659229158:-1 gene:SECCE2Rv1G0108200 transcript:SECCE2Rv1G0108200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANLQPAWAQALAAVGLLVASRAAVRLVLWLYAAFLRPAKPLRRRYGAWAVVTGPTDGIGRALAFELAAAGLGVVLVGRSPDKLAAVSKEVRSRHPGAGVRTFVLDFAADGLAANVAAFAESIRGLDVGVLVNNAGHCYPYARYFHEVDEELTRNLIRLNVEALTRMTHAVLPGMVQRKRGAVVNIGSGASTILPSDPLYAVYAATKAYVDQFSRSLYVEYRNKGIDVQCQAPWYVATKMASIRQASLFAPSPETYARAAVRYIGYEPRCTPYWAHALVWFFFTIVPEPIADKYVLRVSLGIRDKGRAKEARKKAM >SECCE5Rv1G0308550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:133437272:133437769:-1 gene:SECCE5Rv1G0308550 transcript:SECCE5Rv1G0308550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKPLAALLLAVALAAMAMSAAGQYPPPSCKPNRKVTVQNLCGKDLYLGIEPLANSQLLYSPGHLLRHGTHVSYDVCSWTGRVKVQDAVVTEFHIGYDGGAWYQVSTDQSHMPIRVSITPHGHPLKDHCPTAGCNSGGHCFEHSVPGGKCHGVDEIKIVYYNP >SECCE2Rv1G0136520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:912496780:912500025:1 gene:SECCE2Rv1G0136520 transcript:SECCE2Rv1G0136520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDACDLKVHVNGRHTLLLHQSVVCAFSGSLRAMAARAKKAKSRGGKVALVSIVVDGFPGGGEGFELVARFCYADGRLPPLRPADVPLMHYAAAFLEMTEEVRAGNLLAQAEAFVDDGLCCWTWPDVLAAVRSCESFATDASGAGLQEKLLSALFSRIDAGVETPKSNCSTSSTCSSSSSQDTAGGRPSSAAKRPGSVKPSCLSGGREWWFEDLASLSPPTIEKAMKVLGCYGADNKNLTLTRFLLHYLRRAATLRKADDSGGSVLAGLADTAVHGVALAGGGAAFSCRGLFGALRTVSAAGLSRECRRKLETLVGRMLDQATLDDLLVSGDGGGVYDVSLVMRLVRVFVSSVEEDGSPSSSQRMRKAGRLVDKYLAEISPDQGLRVSRFLAVAESLPDSARDCYDGVYRALDIYLESHAELTVEERATLCRCLNYEKLTLEACKDLARNRRIPPRIAVQALSLQPPPNALQSPLSSPTPKQVVPDNEENETLRMNLRRMQGRVAELEMVCKEMRGKTRLSPQAVGKANKALGGRGLPWMC >SECCE1Rv1G0036650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:511066910:511070452:1 gene:SECCE1Rv1G0036650 transcript:SECCE1Rv1G0036650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCAARHPHPPCAAPQHGWASSRLSARPAQSGASRGRAVACAVSFRPCIDIHKGKVKQIVGSTLRDASDDGTSLVTNFESDKSPAEFANMYKEDGLVGGHVIMLGGDPASRSAALEALHAYPGGLQVGGGINLENAMSYLKEGASHVIVTSYVFSDGKMNIERLTQLVELVGKRRLILDLSCRKKDGRYAIVTDRWQKFSNVFVNEPTLLRLADYADEFLVHGVDVEGKRLGIDEELVQLLANHSPIPTTYAGGVSTMDDLERIKEAGKGRVDVTVGSALDIFGGDLLYDDVVRWHKEQNLVSQR >SECCE5Rv1G0369530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:835741212:835744757:1 gene:SECCE5Rv1G0369530 transcript:SECCE5Rv1G0369530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPPHRPPLVQLSSLLLLLLLLLLLHPSLSAATPSITTKAVPRLPGFPGPLPFSLETGYVELDDGVRLFYYFIQSERDPAEDPVLLWLTGGPGCSALSGLVYEIGPFYFDYHGYTGGLPTLLYKPASWTKVSNVIFLDAPAGTGFSYATGDERTIPSDTIAIQQLHVFLQTWFDEHPQFLPNPLYIAGDSYSGIIIPSLAMKIAKGIEVGDERLVNLKGIIAGNPLTDKATDFNARIPFLHGMGIIPDEIYEAAREGCGGEYRWPSNSHCANSLQDIQECMRGLNDVHVLEQICPEYPSLTLHKQPRSQDHGRRRLTESAVSSLCRNATYFLSELWTNDEVVRESLGIHKETVPSWLRCDFNLPYTYEISSTVDDHLALITKGYRALIYSGDHDSKISYVDTQAWIRRLNLPITDRWRPWHLDDQIVGYTRTYSNNLTYATVKGAGHTAPEYMPRECLAMIDRWLSGEPL >SECCE1Rv1G0060760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712136846:712142132:-1 gene:SECCE1Rv1G0060760 transcript:SECCE1Rv1G0060760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGLFPVLIAWVYSEILEYKKSSLHGKVHSDATLENETSKEDEKAILIEGGQLKSPSAKFRNMSTKANLIRFITMDEPFLLENRAVLRAMAEFGIVLVYFYICDRTNIFPESKKNYNRDLFLFLYILLIIASALTSLKKHQEKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFGASEIYNAIRVFIACYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDFMLYYICPMHTLFTLMVYASLGLFNKYNEIPSVMAIKIAGCFLAVILIWEVPGVFEILWAPFTFLLGYKDPEPSKSHLPLLHEWHFRSGLDRYIWIVGMIYAYFHPNVEKWMEKLEESETKVRLSIKGTIVTLSVLAGYLWYEYIYRLDKITYNKYHPYTSWIPITVYICLRNCTQQLRGASLALFAWLGKVTLETYISQIHIWLRSSVPNGQPKWLLSFIPGYPMLNFMLTTSIYLLLSYRVFEITNVLRAAFIPSRDNNRLYQNFIAGVAISVCLYCCSLVLLKIPAV >SECCE7Rv1G0471480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:130999426:130999782:-1 gene:SECCE7Rv1G0471480 transcript:SECCE7Rv1G0471480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSITLVIGVTAIIYAVAMPATAMPGGWEHIGNINDPKIQGLGKWAVAEHVKQAGCRLRFSKVVLGTVQVVSGFNYRLLVQALNGAGKENAYKVEVYEEAADKGRTLVSFTPVEEEA >SECCE2Rv1G0114380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:733903155:733906265:-1 gene:SECCE2Rv1G0114380 transcript:SECCE2Rv1G0114380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKAVVPPRATSGRRYKALTPWRFQRGFVRNPARSPAAAAPRGRGGVPVGGSGAKRSRSASVAGSGSRSAGDPTDGQSKKRCTRSATMKRPDAENMESSARSTGAGEDSQMKRSTRSATRKSSGGENMESSARSTGAGEDSQMKRSTRSATRKSSGGENMESSARSTGAGEDSQMKRSTRSATRKSSGGDNKARSGAEMDCLLKRGTRSEAAKSSFRVKMENAALSDAGKDSQLKRNTRSTAVNSSHAIKMENDSPTGAGKDCQLKRGTHTVTMKSSNGEKMESRARTDAEKECGLGKSSGNIMGDGLANAAEGDCCVEGPDCNSVVRDEHVQNFGTGGSVGAGDGAACVQEGTRSNVGAENCESEGSKKSRVTGNGLKSNISSAADHVLEQPEGKGASTGNGAPKESDVAANGCSSAVPGSNSNDPNCRRGRKAIVPWRFQIGYKRSFSKAFCSNGGSLETPEYRARGSSTQCTPGTRSTVRCYASPLSNVRVSAARDFSSGKGEKENRTAYKKVKTEKDDDNQGIPKIGAALARENVLRSLRDYRLIYKNLLDKLEDRSREGGADLQAYKIFRDRFLAECNDKRYVGSVPGIHVGDIFHARVELCIIGLHRPHRLGIDHITKDDGTCIAVSIVAYAHLSDVKNNFDALVYSGSRTATMNQKIEGTNLALKKSMDTKTPVRVIHGFTIHAKKNSQRKNILVYGGLYLVEKYWREKESEDCYVYMFRMRRIAGQKHIDIEKIMKSRQAEPYDGVIMKDISQGLERIPVSVLNSISDEHPMPYIYMSRLKYPPNYQPAPPAGCACVGGCSDSKLCACALKNGGEIPFNDKGRIIEAKPLVYECGPSCKCPPTCHNRVGQKGIKFRLQVFKTKSMGWGVKTLDYIPSGSFVCEYIGEVLDDEEAQKRMTDEYLFAIGHNYYDETLWEGLSRSIPSLQNGPGKDEEPGFAVDASKMGNFAKFINHSCTPNLYAQNALYDHDDKSAPHIMFFACEDIPPGQELVYHYNYAIDQVYDENGNIKKKKCLCGSVECDGWLY >SECCE7Rv1G0460290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:30830498:30831786:1 gene:SECCE7Rv1G0460290 transcript:SECCE7Rv1G0460290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGSPAPPFPYLTATEAAAASSAFFQPCDLSTSPSVPFSKKKHGTRLTVQPNIQHGKTQHAWRALSSDPAQAAAVVDAGDSMTWEECKQILTSLNFSTEDAEKMLKKAFGWLHSPYWTEERKKEVPSAEVVSGVLDYVRGLGLSDEDLYKLLKKFPEVLGCDLESEVKLNVGKLDSNWGINGKTLRSVLLRNPKVLGYIVDCRGDCVAQCTRCWVRF >SECCE1Rv1G0057220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:692683659:692684126:-1 gene:SECCE1Rv1G0057220 transcript:SECCE1Rv1G0057220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKQKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNQKA >SECCE7Rv1G0470730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:123550929:123552089:1 gene:SECCE7Rv1G0470730 transcript:SECCE7Rv1G0470730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRPAADLDARHGHATAQHQQSPTCCKKSRASIGSTEDYEKVARLGKGGFGVVHRMRHRVTKKDVAVKFLSSPDVEDLEREARFLEACDGNPYVIGFEGMVCNPATGDTAGLVMEYVEASSLQSFLWDRRGDPPLPESTVRDFMWKLLTGAEKMHEHERHIVHRDIKPANILVGKNGEFLKICDLGLAMSMADWPPYSRAGTASYMAPEMILGQKDYDAQVDTWSIGCVFAELLTGKTLFKGYLEDDGEDKTMNDIRQLWSIFCVLGMPDERTWPGFTSLPLTAEALRRLPAGRKHSRLRHSFNEDKLSEEGFQVLQGLLTCNPEERLTAAAALKHPWFDAPRSADVAAAAAKAPRIKFIPPAMPQKNLLKNPLAVWNAAQRV >SECCE7Rv1G0521550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874288258:874290780:-1 gene:SECCE7Rv1G0521550 transcript:SECCE7Rv1G0521550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTELPEAGSPEATAPPRPGLRYNSPLVQVSLIGLVCFCCPGMFNALSGLGGGGQLDHTTADNANTALYACFAVFGILGGAAHNLLGPRLTLLLGSLTYPLYAASFLYYNHRKSQTFPITAGALLGAGAGLLWAAQGAIMTSYPPANRRGSYISLFWCLFNLGGVLGGLLPFSFNYNRTDAGSVNDATYASFIAFMLLGAALTFLVLPPAKIVRDDGSRATRVTYSSASTEGWEILKLLANWRMLLILPAAWASNFFYTYQFNNVNGLLFTLRTKGLNNVFYWGAQMLGSAGIGYFLDFGFASRRKRGLMGVAAVAVLGTAIWAGGLANQLRYLDGAFPDKIDFKEGRRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDTQILSRYVGFYKGVQSAGAAVAWQVDTHKTSLLSQLIVNWGLCTVSYPLLAVLVLLAVKDEDYSVSNVDDGGKEKDTKMAAPSSFH >SECCE4Rv1G0287750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:856679132:856679653:-1 gene:SECCE4Rv1G0287750 transcript:SECCE4Rv1G0287750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSQALSLLVLLLLLAPSSASILEDACRSFGNADFYNICIKFFKADKESALANKRGLAVIATGIASKTAVATCKRIAALKAVHKDPKIQAILVYCDHFYSVAVGLFDEAATFIWSNKVGDAVTRLGSAWNVPRSCEDAFRKAGVKSPLHAENSEFEMECIITMGVTERLLNV >SECCE6Rv1G0403950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:510938929:510939426:1 gene:SECCE6Rv1G0403950 transcript:SECCE6Rv1G0403950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKKLVQFGKGFFERKEESTSTDMPLGTAMHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKGLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKPTTPWGYPALGRRTRKRKKYSDSFILRRRK >SECCE3Rv1G0144050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3039789:3043158:-1 gene:SECCE3Rv1G0144050 transcript:SECCE3Rv1G0144050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEMDTDSVVGYFRGKSILITGSTGFLGKVLVEKILRVQPDVKKLFLLIRAPDAESAKLRIQTEIIDREIFHVLKEKHGVRFNNFIEEKICPLVGDIIYENFGLDNAQLGELSKDIDVIINGAATTNFFERYDVAFDVNVLGVKHICAFATKCPKLKMLLHVSTAYVAGEQEGIVPEKPILMGETIKVGTQLDIESELNLIKETMQELKASCSTEKAARKTMKELGLKRARHFGWPNTYVFTKAMGEMLMGLLPMDFPVVIIRPSIITSTLKEPLPGWMEGIKTIDSVVIGYAKQTLPFFLVDLDLIMDVIPGDMVVNAMMVAMVAHSEDQQVQIIYHVTSSLRNPAPYAILWKSLFRYFNDNPPCTGRNGERVRLKKMRFFSSVMWFRLYMAIKYMLPLEMLRLVNIALCGVFSRGYNELSRKFRFMMQLSELYAPYTLFKGCFDDMNLDKLRMAVKNDNQNNNGAYYFDFDPKYIDWEDYFYSVHIPGVLKYTRD >SECCE1Rv1G0023920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:316300261:316300557:-1 gene:SECCE1Rv1G0023920 transcript:SECCE1Rv1G0023920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCRQGKSAKRIRNFGKMIGSEDWARGSRPQTRRLSADCSSCSRDESGSPRAGRGTDRESPLRGLSPSMKQSTQNWYGQGETDCLIKTKYCDGPHGC >SECCE6Rv1G0444230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827219414:827219893:1 gene:SECCE6Rv1G0444230 transcript:SECCE6Rv1G0444230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINIPDGKFYLGDAGYACRPGILPPFRKTRYHLNEFSGRNFPRTAHELFNLIHSSLRVTVERAFGALKNRFKILDQKPFHTYSTQVKLVLACGILHNWILQCGFDEHVPEEEEVEPDDVVSSGHGVVAFDNDAWKNKRLEWAEAMWLNRGQCRI >SECCE7Rv1G0470740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:123553658:123562878:1 gene:SECCE7Rv1G0470740 transcript:SECCE7Rv1G0470740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESAASSPRGASRKRPRSQSPPPPGEGPSEPALARPRFAENLDLVLSLQGKELSLQRKVELAFNFIKAESNRSSHGHRADNIQLSRMVSLVGNWVQSILNPSKKVPEPFDPVLDYRCWEILRFCIEKKPSVSISQKVLQPLGRVAKDGLSRVQIGASCDDHESFLLFERVFDCVSFLFSSNTRAFFNAGMDSWTSCVTEVINLVQKVSTNEKKGCTILQNLGNCLLEKFSSFLRFHANPKNIFRPFVDKILDPLLELLVLLNSQANSIKHKHAGSTLKIAEEILSNGLFHPQHLSGYFGLKNLNKGIVKDVKGSYHRHLFERFKGMKAESKAVLLAGFGYLLQLFVTRARNQRTSLAPRATSFKGPQKTSEGSEESQQQGESIFEVFMQFMEPLVLECKSCLQKDFSDLGVTKLVEVHCMLKSINEMLATVTEEKIYVPTEDTSEGSYLQFLQEIYRVLILMAEKMYDFWVSALHLEDTNVKKMLPLMFAEIVVAVGHFLEIEYKVMGSDLVKLWSMIFALSAINVSSKDIKPSFLLTSKISSLSSQVIRTFSELRQVAHSICTLCNTVRTFRAVAGPDVVPGPFSVASLSSHKCFESLATLLSSQTLRDAICTSINSMPEGQSSRCIEDLTVDLTDTLKWMKSCDEVVDLESQGEPRLMSRKSVFHQRAELFGRYLSELYTSVLDSITVTASNTTLVAKSVERLVNTIRPNLSQLVRNESINSSEFISSIVGKNLSNKQCANWQKIPSLSWFFAFFFRIYTSCRSLYLQSVGLMPPDLAIEATELVGNSFIVCCGKEWTNTSNITAEGYFAWIIERAGSLLDVIEILSQSIPRNHSGFTTLFYTLHVMALQRLEDLNRQINAFDFLLEDSTQQFDTEDKRNTELLKDPCCLEAAGLTSFMMNYVRILSSGGTDSISSWDMSICSLDEDSFHIATWQLLCENIDIWSSHASKKDLKKFFSNLIKFSFVQKRSCRDEESSDCQDSCREITLHTISVELLCDTIIYDQKVLLKNLASSFCGALKKLVSSFITRADEDNALLNISPDLMEILNKLNNEKLVGTYPDSTHAHGVDKYRICENLLNFCSTVPGFHSNSKSFLQLITYILHLERLLLLTLLSRRYESCNPIELIRLFICCRRAMQNLILKFGKEYPESKQYSTFSELLGNSYSLIWLLRSVQEIIGLSHEIFGEHTDQKKNILFSLVDKTSEIFSTLANMNSNFCLLGSKKQIGSSLKHTASEGDTSEHDGQTFDTLENSALEHVKTMAEQLEKTTVGIPVTAKYRKCVIKIENSYDNVCWDKLLCTMSCIGGFLWGLVSAFESTIKDYPTASSEERKLMLHYASNFSRSIAKFETFVDICLHVLFMENKDFGSVDMSSGRLPQELDCENGFLNIEVVMDGWTMHQLKDNKLQSDGPPGMKGSLLENLLNGEGPFVAFTLRELYSVSAAVVKLKGLISFSGDVCRTACDPFQHLSLNPMVGTACIALQKIADMSDWPDMFSLVWIDGILRYLEVIGTFPELNLSKELYAQIVNAHVSAIGKCILLQGKSATLPTHEIGSNTKTLHLQNTSGYVVTKNIVDRQNRLNSLISRLRLSMRNFVSVASNMHLSATLQVIERALVGVNQYSHSIYEVKTGTSDGGTVSSDAAAGIDCLYLVLGSVPGNKRVFQRTVPSLVGALFNIVLHLQSPLIFYIEKLPPLCPDLHPDAGAIVLMCVEVITSFVGRHTFQIDACHVSQCLHLPAMLFKGFKHLADRSVSFTSENIREQIAGQPLASKEHILDRQFSVDMYAACCKLLCTVLRHQQSEVGQCVAVLEDSVNILLSCLESADSKMVSMAGCFTWNKEEALKCASFFRRIYEEMRQQKTIMEHHSMHFLAGYISMFSGLGPFQTGITREIDEALRPGVYSLIDICQESDFQQLHTYLGEGPCRTTLANLVHDYKLHFQYQGKI >SECCE5Rv1G0307780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:120845123:120847555:1 gene:SECCE5Rv1G0307780 transcript:SECCE5Rv1G0307780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAVSKGASLGSPGYEVSSASGYEVVSGSASASASASIWSRPVRLEALDLGGDGEGDEDKGGARGNVVGGTARLGNLHRYIECEQVAAGWPAWLSAVAAEAVQGWVPLKAENFEKLEKIGQGTYSSVFRARSLETGRLVALKKVRFDSVEPESVRFMAREIIVLRRLQGHPNVIGLHGLVTSRSSASIYLVFEYMEHDLAGLASSPDLTFSEPQIKCYMRQLLAGLEHCHARGVMHRDIKCANLLVSSDGVLKVADFGLANVFSTSPTQQPLTSRVVTLWYRPPELLLGATAYDPSVDLWSAGCVFAELHARRPVLQGRTEVEQIHKIFKLCGSPPDAYWRRAGMTPNASVFRPQAPYESRLGETFGSAMPDAAFRLLGTLLSVEPAARGTASTALASDYFSTEPYACEPSSLPKCAPNKEMDAKFREDSRRRNNAPPPAKRLSRAHKSMQDTSQRHHSHVHAEESLPLEVDGGLRPEPATVIKCHENDAPQPEPPCTRQMPRSCHEEDMPAPAPTRLPDHVALSAGPVQLAASTGFAWAKKPRVPDASTTKRSAPRGPRSTNTDVGGAASARTTAGATTGPYEAEKQEMIKQWAQVAEAFTSSEACNSRSTREPLDAKQLKTSKKYKGKMQRVDYSGPLLSEPRRVDELLQSHEQRIRRAGRRSWFHKGNKREQQH >SECCE3Rv1G0152890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:51195146:51197499:-1 gene:SECCE3Rv1G0152890 transcript:SECCE3Rv1G0152890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAAARRAASSSLPLLRASASRGAASRGAASRGAALLRPLAAAAARPRPFSSATALKPSSDDELLRIVKAEIKFAEDCDDHDRVEEIPDSFPFKISDKKGLNDITLTRTYQGEKIEVLVSMPNLVTGDEPEHDQDEDDKEKDDDQDDGEKPPKSSLPLTVTITKSDGPSLEFTCTAYPDEILIDTLSVKQPSEKLEEDYIAYEGPDFNDLDENLQRAFHKYLELRGITPMTTNFLHEYMINKDSREYLFWLNKLKDFVKQ >SECCE6Rv1G0428820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:731012269:731015358:-1 gene:SECCE6Rv1G0428820 transcript:SECCE6Rv1G0428820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRERARLDYLNSQEPGDASQASAFDIVDRLLVEDDMEASQTTTIEQISATKSPPTLGSKVAQFLAKRVDQSYPLEKAAVFDWLDTPNIDDCISGNVSRENPRVHVKNRLDSQRCGGYGSGTRAGAMLECIDEDLGMNYLKKPEPVAATDDSYEAYDIGPNTQMAAEAMEALFNGPTVSSDIREHEHLENTLGKENKADTICSVNLLVQEQKLVCLPQSSGGMTSHLKQLKVDHIERPSGESSIPLMNCPSKSKTRRNAKQMTGKAKRSMESRVSRGAINDEVSDVIMGSGAEGSNSPCLLGKNAVIHPKRKRTYTFTSGSSKVGFKKATRSNPGRAETTEVTELRRAKPASISDPDTINGMKMTHKSSGNLKASATTTRSKVKGAQKETSDTKQLERTLSAERRKQSTSEQKVSDSCLANRVPLRELSSAEPQSKAHTSKKPLKGGLLKSPASRELASLFRSEASPVLQSSRRRKRNMSTVRVLFSQSMDSETIKDQTKILMHFGLPVATTISEATHFVAEKFARTKNMLEAMAMGIPVVTPSWLECCGEARCFIDEKKYITRDTKKEKELRFSMPVSLSQACKKPLLEGRRVLITRNAKPSKELLKCLVVAAGGKLLERITVSMMKNKSLEGAFVISCEQDCNICLPFIKNGLGVFDSELLLNGIVVQKLEFERYRLFRDKM >SECCE7Rv1G0476610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:207780772:207781488:-1 gene:SECCE7Rv1G0476610 transcript:SECCE7Rv1G0476610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNHPGGSTGRCDAAAAMADGGGGGGGTAALCGGGSRQPAMAARQYKGVRMRSWGSWVSEIRAPHQKRRIWLGSYATPEAAARAYDAALLCLKGSDAVLNFPSSSSASPSPPHSNPADDLSPRSIQRAAAAAAAAFEATKIVVDDSCSSSAEATTPTSVSVSTLGSADVQEHATSSMSASASAGSPVGDHDELWTELDAFASPKLMDLIAAGHATPFSPTWEEPEEDGEMMRLWSFC >SECCE6Rv1G0377510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:2096685:2097449:-1 gene:SECCE6Rv1G0377510 transcript:SECCE6Rv1G0377510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVETRKRCVAAFLDEPSPAPPHLSKRCRVTPCAGSMPPAPATSLVFDPLDALRHVFPGADPRGLEACFAASGRDMHAAVQAYRAHLAKDELASRLAHAAGDDECCAGLLVEQMSAATSVPDAKNRATWMLGVIRNAGAERAVREAAAELARLREENAALREQAQRGETEGATLREEIAALAQRAASAERDGSVLKRGVMVQQRRYEETERAAAAMKKKVAELEMANYALGVRLRDAEASRFPAAYRGPDVF >SECCE4Rv1G0254290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:634285846:634288761:-1 gene:SECCE4Rv1G0254290 transcript:SECCE4Rv1G0254290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSDLQAGVYGMASPISVRTSAKHTLSVSPLSSVRSPQTSQLAIRAANPLFPCAKLSQARAVVAAAMEVSKYPSSSSSANRQPSKEVVETWRSADAVCFDVDSTVCLDEGIDELADFCGAGQAVAEWTTKAMTGTVPFEEALAARISLIKPSLSQVQDCLEKRPPRISPGIADLIKTLIANNTEVFLVSGGFRQMIEPVAFQLGIPTENIIANRLLFGNSGEYAGFDTTEPTSRSGGKAVAVQQIRQDRGYKTLVMIGDGATDLEARQPGGADLFICYAGVQMREAVAAKADWTVFEFQELISELPQLNTSQ >SECCE7Rv1G0465890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:75140829:75143018:-1 gene:SECCE7Rv1G0465890 transcript:SECCE7Rv1G0465890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRASLAAPSIRIKIPDQLPTLFEPQKATPNPGTTRARTTAEAADDEPPSPERCLTVLALQLAVLEKAASRLGTLAFIWATVVLLAGFAITLSPTDFWCITGLLLIEGTRILGRSHELQWQHRQASRARPASRAAVRAFFWMQLLSATACVSLSLVRLIHQHYGGTEDARANRAAALNIFYGLALAEALLFLIEKALWQWKVSHHRLLERVADDCNLAGACGQLAVRCFFYDSYSRCLNGSIFDGLHMTLVSYADDLITAGSHDEQSLGASILVALAESDRFADATLRRIGVSAPTIERLIEMLSWKGASERDVRRSAAAVVSMLTGRKLIALRVTCIPGAIESVASLLYADLDELNILGLSILNKLAHDHDNCDKIGKTRGLLDKIISYSNIDHALAETTPRDMRIKAVKQSLRVVKRLACTTGNTGKLLRRELTDIVFTVSNVREVLQRRDKNVQLELHQMAIEILTSLAMDDEAREIIGDTGSVISVLVAMFLPAGFATKECQQTDSVRVEAGEALAMLALENKKNCGEIIMALGGGVGRLVDGLKDPVVIVGAARIMRNLCSYAGDEWQIQLREVTAGAAKVLRSITVEKAKILNIFIGLAAQMLRFMEPGEFRARLAAARVVDAVLARTLVQVLRDYSRPSMDVPRIRRYTIELAMAMMRLDARYVALFVELGMESELRCVAGTTSQLECFNVFSGSVGLSRRATSVRSLVTSALELMNKGRD >SECCE2Rv1G0139760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:928990424:928991652:-1 gene:SECCE2Rv1G0139760 transcript:SECCE2Rv1G0139760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSAELMQAEADLMRHSLGYLKSMALHSAVKLGVADALHRCGGSASLPDLLATLPLPPSKQPYLSRLMKVLATEGIFVAEEGVNGGDEATCVYHLNTMSRLLVNDAGINGGSAWKLSSCVLIATTPQFVGSALQLGQWFQSDGEATPFVMANGRPPYGVAAHDAEFNSVFNEAMAADSRYLADLVVRECGEVFEGITSLVDVAGGTGTMARAITKAFPYVKCSVLDLPHVIQGVSAPADNVEFVAGDMMEFIPPADRILLKYVLHNWSDQDCVKILTRCREAIAHGEKEGKVIIIDEVVGSGSHNILQAQLLMDMQMISLFMAKERYEQDWNKIFTEAGFVNWKIRPILGVRSVIELYI >SECCE4Rv1G0261140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700303423:700305205:-1 gene:SECCE4Rv1G0261140 transcript:SECCE4Rv1G0261140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGSKKAEDRQAADAARRDGMGRAGVLLTVCLLTLPFMALLLGGRAGALAVWQNAVKLTAMGGGLLNVSRQSAAGADELFGGLLSPGSDRRACLSRHQSPHYYKHSPYAPSPHLLRKLRDYEARHSRCGPGTPPYAKSVDHLRSGSSSSTEDNECNYVVWIPYNGLGNRMLSLLSTFLYALLTDRVLLVHSTDDFTGLFCEPFLGADATTWLLPPDFPVADMSWLGVGSNLSYGNLLDGNKISNDPAKATARSVPPYVYLHLAHNLRRSDRLFYCNDDQLVLAKVNWLLVRSDFYFVPALYDMAEFEAELRRLFPAKESVAHLLGRYLFHPSNSVWGMITRYYHTYMAQAEERIGVQIRMFPWATIPVDDMYNQIMACSRQEHILPDVVDGDSAASSSSKSKAILIASLQADYYDRIRSTYYEHAAKGGGMVGVFQPSHEERQVMGQRTHNQKALAEIYLLSFSDVLLTTGASTFGYMSSSLAGLRPTMLMIPEDGKVPEPPCVRAVSMEPCCHMTPDVECRGKAVNKEELSRHVKECEDVGKGIKWIKGIKLFD >SECCE5Rv1G0362080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782538977:782543782:1 gene:SECCE5Rv1G0362080 transcript:SECCE5Rv1G0362080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPRCALMIWATATMVAMLAAAVGEPDAGELDRAFPIVEPDYGHTKLRLSKQGLEAIQRIKTPIAAVSVIGLYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWIWGTPVEVDVDGFKVSVLYLDTEGFESIGKTNVYDDRIFALAAVLSSLLVYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLEGKSVQQMVDEALQRVPNSNGDKYIDEVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDLKDQELEPLYVQRRDELKQLVASMIKPKIVQGRTLNGKEFVSFLGQILEALNKGEIPSTGSLVEVFNKGILECCLKVYTERMEIVGLPVSVDKLQLVHGLAEDEARKLFDKQHFGKHYAAQSFLNLDEEIKKVLRSYGLANEYQSSKLCEARFSECEEKMDHLQALKLPSMAKFNAGFLRCNQSFEMECVGPAKGSYEHRMSKMLARSRALFIREYNNKLFNWLVIFSLTMVVIGRFIVKLLLLEAAAWVMFIFLETYTRLFWSSESLYYNPVWHAIVSSWEAIVYSPVLDLDRWAIPIVVMLSFLAVYWRCIGARGRIGRSLLPLYNGSFRSSSGRPRTD >SECCE2Rv1G0118420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:782405160:782405583:-1 gene:SECCE2Rv1G0118420 transcript:SECCE2Rv1G0118420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVITKFAVTSMVMWMAPVAIMYGFIYQIFPGVGQLSPSAQTMASGFLAVISVNLVIGFYIYMAMKETPHQEPQPDPTFLANAKASINQPTSSQVSDDSHGKGKVE >SECCE4Rv1G0215350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4562970:4566140:-1 gene:SECCE4Rv1G0215350 transcript:SECCE4Rv1G0215350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMEEGARRRVVVEVCNARNLMPKDGQGTACAYAVVDFDGQRRRTATRPRDLNPHWGERLEFAVHHPGAMADTLELNVYNDKKAVAGGAGSGSGRRGGTFLGKVKVAAASFARAGDEALVYYPLEKRSVFSQIKGEIGLKIWFVDDPPAPAPAAAAAPPAAAPEGEKAQAGDAAAADKKEPAEAAAPAAADDKKPEAAAPAEEKKKVEDAKPEEKKAEEAGKKKSPEKGKKKDSDKPKDGEKPKEEGKKELAVPPSPSKAPPPSPSKMQLATAGVAGDLEILPQTAAERSMASSGGGSASYDLVDRVPYLFVRLLKAKKNQQDGGDRQPLYAQLCIGAHAVRTRAATHAGEWDQVFAFHKASLTASSLEVTVHEEAKKPEKEGEATPPDAHLGFVSFDLQEVPKRSPPDSALAPQWYTLEGHADDGAPACDVMLAVWVGTQVDEAFQEAWQSDSGGNLVHTRSKAYLSPKLWYLRLSVIQAQDLRLPSPTDAAKAKQQQFGPTFPELYVKAQLGAQVFKTGRIALGSAAAGASNPSWNEDLLFVAAEPFDPFLTVAVEDVFSGHLVGQARVPLSTVHRRSDDRVEPPSRWLNLCGDEARPYAGRVHVRVCLEGGYHVLDEAANVASDVRAASKQLSKPPVGMLEVGVRGASNLVPMKIAKDGASGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLSIAVFDNARYKMVDAGKPPAKDARIGKLRIRLSTLDTNRVYSINYALTAVHPVGVRKMGELELAIRFTCPSWLTLMQAYGSPLLPRMHYVKPLGPAQQDVLRHTAMRIVSTRLARSEPPLGPEVVQYMLDTDTHAWSMRRSKANWFRVVGCLSHLATAVRWGHRVRTWEHSPTTVLVHMLLVAVVLCPEMILPTVCLYLFLVLLWRYRWRPRQPAGMDPRLSHVDSVSPDELDEEFDGLPSARPADVVRARYDRLRAVAGRAQTLLGDVAAQGERVEALLSWRDPRATGVFAVACLLAALVLYAVPFKALLLGMGFFYLRHPRFRGDMPSAGFNFFRRLPSLSDRVL >SECCE6Rv1G0392110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:250327350:250332107:1 gene:SECCE6Rv1G0392110 transcript:SECCE6Rv1G0392110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGTGDSKAAAAVREAEMEVKEAELCLDLTSCQLHDLSEVEIPPTLEELDLTANRLTAVDPRIGHLPRLRKLSFRQNLLDDDAVAPLFTWDAIAGLQEIVLRDNKLTKIPDASIFKGLLVFDVSFNELSSLNGLSKVSSTVKELYFSKNEVPKMEELEHFHALELLELGSNRLRVMENLETLTNLQELWLGRNRIRTINLCGLKLIQKISLQSNRLTSMNGLQECVALEELYLSHNGIQKMEGLSMLQNLRVLDVSSNKLTAIEDIEPLTRLEDLWLNDNQIPSLSGIESALSGSREKLTTIYLERNPCAKTPNYSSTLKEIFPNLEQIDSDMLA >SECCE4Rv1G0226620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:111914306:111920102:-1 gene:SECCE4Rv1G0226620 transcript:SECCE4Rv1G0226620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) UniProtKB/TrEMBL;Acc:F4HY34] MLAVCARHGPAKLPPLAGERVAWVAAGRWWCWRPAAARRGVAARASSFNSRIGLDSQNSHTRDLSQLLWVGPVPGDIAEIEAYCRIFRAAEQLQNAVMSALCDPETGECPVRYDVPSEDLPVLEDKVAAVLGCMLALLNRGRTEVLAGRSGVASAFQGSEHSTMDRIPPLALFRGDMKRCCESMQVALASYLVPNEPRGLDIWMRLQRLKNACYDAGFARIDGHPCPTLFANWFPVYFSTVPDDPETDELEIAFWRGGQVSEEGLAWLLAKGFRTIVDLREEDAKDDLYLSAVGEAVSSGKIEVVNMPVEIGTAPSAEQVQQFAALVSDGTKKPIYLHSKEGINRTSAMVSRWKQYATRAERLATKKLSPTVNGKALKNDPTNGPGSSSNGSENGAVVKSDRTVDAGEARDIEITSNNLEATNSLPNGQSTEQGEMHDSRTELLSGFKLETSPLKAQFPTCNVFSRKEMTKFFRSKRVYPKSVLNSRRRSSSLMISRRKQNLRAEHNEAIDCEAADMMVLKNANGTLFDNDYILSVSSGITNGKPSNNGTSTSFEEKGSAASLLTIGPKTSNASNPNGNAQLGSQKPSERNGGPYLERYSSDTIDGSICATTGVVRVQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLYHQEKMNVLVEPDVHDIFARIPGYGFVQTFYTQDTSDLHERVDFVTCLGGDGVILHASNLFRTSVPPVVSFNLGSLGFLTSHNFEGFRQDMRAVIHGNNTLGVYITLRMRLRCVIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGDSVHISMSEHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKHL >SECCE3Rv1G0154640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62055173:62057341:1 gene:SECCE3Rv1G0154640 transcript:SECCE3Rv1G0154640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDKPSSADFHGTMANTACGGAYCSQDFIKSSTAKYNDSVFILHPPTPMSNYPAPSITSNKIGPQFCNVSCNDTSAGWRLTSVAEHPRTDCDVTLSYIEEMLMQDIDEKVRAPHGETAIRSMEEPFYELLGQKYPVLPDLLPLCGCGRLTNLIGNVSRLNGQLCRNCSAYISSDDYHPNGNSQASQAPWTLSAITGEAKQIPLVAERMEIGLNINGISNAKKPSRDYHSPHMNDRDTMKDASIEVRGRKVYPGIEELDLLEGRRNKQIAVFSDEPTRNEAFDKVLLCSEQKPIDEGIISQRTMADTSTKYAHKDQGRKPARQTTRGKAQKKKEVVDLRTLLIHCAQAVSMNNHSLASDILKSIRHHSSPSGDDTQRIAFYLADCLEIRLSGNGSQINRNFIATPRNAAYILKLFHLCFTVCHYLRSSYYFSNKTILDVSKGKSQVHIIDFGICFGFQWPSLLKQFADREGGPPKLRITGIELPMPGFRPDGRKNNTQLRLVEYAGMFKVPLEYRQISSKWESISIEDLNINKGEVLIVNCINRMKNIADETISINSARNRVLTTIRMIKPKVFIHGVVNGSYNSPFFLSRFKEVMHHYASLFDMLDKTTRRDNETRLILERDIYKYEILNVIACEGSERIERPESYKKWKVRSLRARFEQLPLNPTIVKGIQHIVRQIYHKDLFVDEEDQFLVLGWKGRIVYALSTWKPSESNNEGTDDI >SECCE6Rv1G0423360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695809223:695810899:1 gene:SECCE6Rv1G0423360 transcript:SECCE6Rv1G0423360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNRGRRHRRSSKAEVGSGDMLSKLPAEEQACNGDRLSELPADLLLNILERVGTLDAVKTCILSRKMQKLPTMLSQIVIDLSSHDLVRMNGVVADVTDKILSMRSPQITICKLKLKFFLSPSRCLSIGKSVGAAMVTQKLDAAEFEILSPRDLHLCTDAYRVLFAKQFNNFVRDCPDAFAGLTRLHLRNMRFGESDIPIILSYCKVLESLSFLMCDAGIHSVLHVEHARLVELVISYGKFKTVELNRLPKLKRMTFNNWPYDENPLVLGVLPQLSNLSLGDACLSQKTLKLSQLLATVPSVSDLTLEFRSEKIWIQPESPKALAPVLAKLRFINLDNLPEECDISWTMFLLEAAPSVEDLSITVWDHKCRQESQKSYSTKTDVKWEPSNPNFKHKNLATLTIYGFQSDDNFMGYLRRVMQAAVNIKKVSLHDRKMCTLCTDKFPHADARPSSYPQTSMEKDSLRKKITEASSKASRAVIQFSS >SECCE2Rv1G0118070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:779258799:779263195:1 gene:SECCE2Rv1G0118070 transcript:SECCE2Rv1G0118070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSESEGTNRGSMWELDQNLDQPMDEEATRLKNMYREKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNAFPRGVDDDEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQGGTLALYSLLCRHAKINTIPNQHKTDEDLTTYSRQTYEENSLAANIKRWLETRAYKRNCLLILVLLGTCTAIGDGILTPAISVLSASGGIKVQNPNMSTDIVVLVAVIILIGVFSMQHYGTDKVGWLFAPMVLIWFILIGSVGALNIHKHGSSVLKAYNPVYIYRYFRRRGNSSNTWTVLGGIMLSITGTEALFADLCHFPVLAIQIAFTCIVFPCLLLAYTGQAAYIISNKQHVNDAFYRSIPDSIYWPAFVIATAAAIIASQATISATYSIIKQALALGCFPRVKVVHTSKKFLGQIYIPDINWLLLVLCIAVTAGFKNQSQIGSAYGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVTFIVFSLMVELPYFWACILKIDQGGWVPLVIAIAFFIIMYVWHYCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPVEERFLVRRIGPKNFHIFRCIARYGYKDLHKKDDDFEKMLFDCLTLFIRLESMMDGYSDSDEFSLPEQRTEGSINTAFLADKTANTMCSNGDLSYSSQDSIVPVQSPLGVNNLLTYSSQTNRTVSSEVEFLNRCRDAGVVHILGNTIVRARRESGIIKRISVDYLYAFMRRICRENSVMFNIPHESLLNVGQIYYI >SECCE1Rv1G0032180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:452072095:452074866:-1 gene:SECCE1Rv1G0032180 transcript:SECCE1Rv1G0032180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRMNPDRRTRSIMSVVIVMGLCCFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSMGGVNPLIMNNKVFKPCHIRYSDYTPCQDQNRAMTFPRENMTYRERHCPAENEKLHCLIPAPKGYVTPFAWPKSREYVPYANAPYKSLTVEKAVQNWIQHQGDVFHFPGGGTMFPNGASSYIDELASVIPLADGTIRTALDTGCGVASWGAYLMDRNILTMSFAPRDSHEAQVQFALERGVPAVIGVLGTMKLPYPSRSFDMAHCSRCLILWKSNDGMYMMEVDRVLRPGGYWILSGPPINWKKYYKTWQRSKQDAEEEQNRIENIAEMLCWNKIYEKEDTVIWQKKANSNACHNKNGHTSKMCNVQDADDIWYKKMETCITHIPEGAQQLQKFPQRLFAVPPRILEGTPGVTEEVYEEDKKLWKKHVDTYKRVNKLIGKSRYRNIMDMNAGLGSFAAMLDSPGSWVMNVVPTLSERNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHASGVFSLYENKCDLEDILLEMDRILRPEGAVILRDDVEVLNKVRRTVTGMRWKSKLLDHEDGPLVPEKLLIAVKTYWVGSKEGSSS >SECCE7Rv1G0497070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:620565888:620567225:1 gene:SECCE7Rv1G0497070 transcript:SECCE7Rv1G0497070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPRSPNSSMSSYASTLTLSSCPSSYISMGSSNPSSFTAVAMIPTGAGDHSPALVATGAPGFTQGKKLQQHQDLPESEAKFPKQEINGWEHPDLCSPSKQLKNVTTSIKEISRWARSSSGVKVNSNIRLLESVFSLIQVVTSTAPHPDHIVDMIRVHDALANLLLVLPKNIFPFLVQNFAQIVDELGPKRGASLSARFEKTLHDLRISIRSGLQVLNVKIFDYASEVVPQGGGIHEITKYLLKYIMSLLDNGRSLKLILASDEQDGMVEMETLQDAVATLICHLEIMLEKESHRYQDAGLKQMFMVNNVSFVLQQVEGSEIKYLLGDDWVLKHRDQLKDHISSFISISWESVMYCFHVKTNKISIFSSLPTLQIFNLEFEKTYWTQKAWKVENPLLRSNMRKSVSEKLVQAYSTYLENHKNKAPKLMKYTPEDLEELLSDLFEG >SECCE1Rv1G0049450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:640726574:640728236:-1 gene:SECCE1Rv1G0049450 transcript:SECCE1Rv1G0049450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKEESVMDKITEKFHGGSSSSSSSSDDEGRSGTQSSSSVKAKIYRLFGREKPVHSVLGGGKPADLLLWRNKKISGGVLAGATAIWLLFEVLEYHLLTLLCHGFIVTLGILFLWSNASAFINKCPPNIPEVKIPEDLAVNVARSLRYEINRGFASLRAIGQGRDLKKFLIVVAGLWILSALGSCCNFLTLSYIVFMVLYTVPVLYEKYEDKIDAFGEKAMVELKKYYAIFDEKCLSKIPKGPSKDKKQH >SECCE2Rv1G0085770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:196604299:196604916:-1 gene:SECCE2Rv1G0085770 transcript:SECCE2Rv1G0085770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGRREKPRTRTRATKRVIHIQEREATDRSIDPLLRSDRCWQMAQGQESSTQAAAAPALCANGCGFYGSAATKNMCSKCYLDHLKATDTAAPAVEGKTKIKADVASLASNLKTSLRLQDSAAAAAAAEAPAAEAPAKKAAAPTRCMACKKKVGLLGFACRCGGTFCSLHRYVDGHACDFDYKKVGREKIAQQNPLIAPSKIDKI >SECCE5Rv1G0365840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:809462965:809464328:1 gene:SECCE5Rv1G0365840 transcript:SECCE5Rv1G0365840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVHAAAVAAPRLVHPPPLHRSAPGTAPPLLPLLRVVPSLRSGPSSSRWTRRASVRVRAGAGGGGGRRRESPYEVLGVAPSASPAEIKRAYRRLALKYHPDVNKEANAQEKFLRIKHAYNTLMNSEIRSKYASSSSSSPDSSWSPGSRKPADAEEDFYGFGDFLKDLQTEFQNWEAGLNSEQKPKSLWEELSAIGEEFVEFLENELNVDGSSTEEDDGNDPYTQFGRKGGNAQGDKKGTSSSDDGVSDIESVLEQLKKELGLS >SECCE3Rv1G0166800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:193417686:193418159:-1 gene:SECCE3Rv1G0166800 transcript:SECCE3Rv1G0166800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSSELRHKYNVRSIPIRKDDEVQVVRGTYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVIVTKLKLDKDRKALLDRKARGRAADKAKGKFTAEDVAAAAGGATATGASLQEID >SECCE6Rv1G0421060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:681553819:681554582:1 gene:SECCE6Rv1G0421060 transcript:SECCE6Rv1G0421060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPLSVVAACAVLLALAAPSIAGDPDMLQDVCVADLASPIKINGFPCKANITADDFFFAGLKKAGNTNNPAGSLVTAANVQSFPGVNTLGMSMARIDYAVGGQNPPHTHPRATEIIFVTQGTLEVGFITTANKLFTKFVTVGEVFVFPRGLVHFQQNRGDCPASVIAAFNSQLQGTQAIATTLLAATPPVPTDVLAKAFRVDNEDIDAVKARFK >SECCE5Rv1G0372590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853680846:853683633:-1 gene:SECCE5Rv1G0372590 transcript:SECCE5Rv1G0372590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHASSVLLLLLVAFSFAGSAFAADAAVLGRKAGTGAVAEEEPELKAAPGKYAVIFDAGSTGTRVHVFRFDKKMELIDIGDGDDIEVFAKVEPGLSAYAGRPQEAAKSIVPLLEKAKSTVPRYLMKKTPVKLGATAGLRLIGDEKAEQILEAVRDAVHSQSKFQYNPSWINVLKGSQEGSYLWVALNYLLGNLGGDYSKTVGVIDLGGGSVQMAYAISADAAAAAPGVPQGDDPYVTKEYLKGRDYNVYVHSYLHYGAMASRVEIFKAKNGPVSYCMLRGYNGKYTYNGEQYDAIAPPQGAVYDKCKEAVTKALKISAPCQAKNCTFNGAWNGGGGPGQADLYVTSSFYYMAADVGLIDSEATSGKTTPAAFRAAAEKICPMGYMEAKAAYPKVRSADAPYICMDLIYQYSLLVDGFGLEPTKEITVAQKVKHGEYFIEAAWALGEAIEAVSPTKRLNDA >SECCE7Rv1G0479740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:257976552:257978098:-1 gene:SECCE7Rv1G0479740 transcript:SECCE7Rv1G0479740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPQHFLLLAALLLLTGAPSSSAGAAGNGKVPVEVYYESLCPSSALFLTDRLADVFEDGLLEAADVTLVPYGNAEVDKDGTITCQVRHRPPINCWLQNGPEECLLNTVEACAIDAWPDVMKYLGTEEVVRSCCWLQNVHFGFINCVEGLVMNGTQGEWESCFQKPGLDPKPVTECYKSEHGHKLSLKYGKQTGALVPPLSGVPQVVVDGKPRDDGDFVSYICKAYKGRPPKICQEPDRHYPTVVEAGKGVSYNAAGFELDDGVEDKIEVPRADDN >SECCE1Rv1G0056940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:690502446:690513951:-1 gene:SECCE1Rv1G0056940 transcript:SECCE1Rv1G0056940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGDGGDEGAGAAPGSPAPASSSAPSAGPTGAGGASGSSGKPTARRVMKTPYQLEVLEQTYTEDPYPNEAKRVELSAKLGLTDRQLQMWFCHRRLKDRRPPPAKRRDEEVAVPVLAPPPVLQHSLPHPHAEPTYGEQQLLLPCSSSRRGPGRSSAVPRISAPEIDRRYYEAHPVMLPPQAPVQLTRAAHRAIETVQQLIGEPLREDGPVLGVHFDPLPPGAFGAPMPVVPEQRKQPYRSQETTVFSAHDPKPIKASAFLPSIDPSVPSTVTGKRKYMAGNSSHLASRAVHEYQFLPEHSSDIYERKSQSCFYDAPAEASNSRISSLSTGSRLLHGAEKASSYAFDGQISGSSHLNQHGKQLISLSGSTDYEMASTNIDVSLAPIEGQFGIPQVAGFQNPLTSSEGVDYLDEDAYRLDRKRKHNEESKIAKEVDAQEKRIRKELEKQDVLKKKREEQMRKEVERYDRERKKEEERFMREKQREEERLQKEQWREQKRMEKFLVKQSLRAEKLKQKEELRKEKEAARQKAANERATARRIAREYMELMEDERLELMELVSRSKGLPSMLSLDSDTLQQLDSFRGMLTQFPTEAVRLKVPFSIKPWTTSESNIGNLLMVWKFFFTFADVLELPSFTLDEFVQSLHDYDSRLLGELHVAVLKSIIKDIEDVARTSSVVSGVNQSSSANPGGGHPQIVEGAYDWGFNILFWQRHLTCLTWPEILRQFGLSAGFGPQLKKRTEDVYHDDNEGRNTEDVISTLRSGSAAVKSAALMKERGYTNRRRSRHRLTPGTVKFAAFHVLSLEGDEGLSILEVAEKIQRSGLRDLTTSKTPEASISAALSRDTKLFERTAPSTYCVKTPYRKDPADSEAMFSAAREKIRVFQNGLSACEEVEKDVEDAERGDDDSECDEADDDPDGDEVNIEENEANASLVRAKDAGLPIAAGDINDEVKSVVNPSIPSSPHSKSPSGLQRTVDKSTAVSTSSDPPIGASQDAEIDESNQGESWVQGLAEGDYCDLSVEERLNALVALIGVATEGNSMRAILEERLEAANALKKQMWAESQLDRRRSREEFAGRMQHDPCPDLKADADQGNNVGECTLTPVHNLIKENGGKASSVNNDLLVDQQSQLNAGNMVHEGNGVSRESNANPESLSAQQYASSEKTRSQLKSFIGHKAEQLYVYRSLPLGQDRRRNRYWQFSTSVSPNDPGSGRIFFESRDGYWRLIDSAEAFDALVASLDTRGIRESHLHSMLQSIESTFKDAIGRIKCATIEQSAGRNLRNGSSEIFSPNHSNEFGSPCSTLSCVVSDTAVAYSDSFKIELGRNDLEKVAISKRACMFLKWMWDCNNHQSTCAMKYGKKRCFELIHGCDYCYQIYLAEEMHCSSCHKTFKSIHSLSEHTSQCEEKQRTDPNWKIQVSDDSVPIRLRLLKLLLATIEASVPAEALQPFWTDGYRKLWGVKLFSTSSNEEIFQLLTVLEGALKRDYLSSNFETTAELLNSNTQDFADQNSIAHSGSAAVLPWVPNTAAAVTLRLLDLDSSLSYTLDQKAGLNKEREAGDFIKGPSRYTAVKNKQGMGPVGAPSFDHHDGAQLTPSNGLRGRGRGGRGRGRGGRSLSRGGRVPRGVGSSPKIEFRADNNVSYKEAADKQAGRGRGRGRGRGRGRGRGRGRGSITGSGSGSVRGRGRGRGRGLRTVRPRQPVELGARSIPKANLLGTFGMLSNAKPMTVHSPQSSGAEEWGLDRRAYAEDDENNSVSQSDESEGDEENGEPMNEDYGEQLPDYSRDNSGSSPLQMMDSESEDNDEYDEEGEEDGADYDAEQPMDEDNDDAEMSGDDELDDDDDGDDDDDDGGGSQGGAGNADDDDGASYSSEYSE >SECCE6Rv1G0407370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555930781:555933519:-1 gene:SECCE6Rv1G0407370 transcript:SECCE6Rv1G0407370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKAAAAMGLKAGGAGKLRLPSVVAAVARSRMMKLWLLRATTTVLLWTCLVQLTAVGETWGPRALRGWPSCRTARLATPEPVVEKAVLPPRRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVEHFITSLRGEVRILRELPPRMKQRVEVGMFHSMPPISWSDISYYHNQILPLIQKHKVLHLNRTDARLANNGLPLDIQKLRCRVNYASLKFTPQIEELGRRVIRILRKNGPFLVLHLRYEMDMLAFSGCTEGCTREEADELTRMRYAYPWWKEKVIDSYVKRKDGLCPLTPEEIALVLRALEIDRSMQIYIAAGEIYGGKRRMASLTSAYPNVVRKETLLEPSDLRFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVDLVDRYKSGSLPWDEFSKLIKSVHANRMGSASRRTVIPDKPKEEDYFYANPQECLRDPDLRPTL >SECCE2Rv1G0119830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:794543489:794544773:1 gene:SECCE2Rv1G0119830 transcript:SECCE2Rv1G0119830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTRSVAFLVFVAVAVRAISAVTDGLLPNGNFAQGPDKSAMNGTVVTARHAIPCWEISGFVEYIEPGHKEEDMILALPDGASAVRLGNDATIRQQLNVTRHMFYSVSFMAARSCAQAEKLNVSVDPEFGVLPIQTVYTSTGWDTYSWAFKARHSTGWLSIHNTGVEEDPACGPLLIAVAIKTLYAPHYTKGNMLRNGDFEQGPYIFPGTPWGVLVPPIMEDVHSPLSGWMVMSDTKVVKYVDAPHHAVPRGARAVELVAGRESALVQEVRTVPGRTYRLSFAVGDAANGCSGSLAVEAYAGRGTLKVPYESLGTGGSTHAALEFTAVANETRVVFQSSNHLMKSDATLCGPVVDDVSLVPLRVHAARRLRL >SECCE7Rv1G0464090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:58736205:58736588:-1 gene:SECCE7Rv1G0464090 transcript:SECCE7Rv1G0464090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVVQDVAPAIEAASAVEVEWAACACCGLREECTAAYAAGVRAQYAGRWLCGLCGDAVGEELAAAGVGGGGSVTAEVEAAIARHAAFCRSSPAAAERLIAAVRRLLRSQNGRKAKAVVVLELQEA >SECCE1Rv1G0060820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712287648:712288281:-1 gene:SECCE1Rv1G0060820 transcript:SECCE1Rv1G0060820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPGEGASLGLAEAPLDLPADLVGAEELEPVAEVTVSSSSKIKKVGVDNTRRKKWRSTESNLMTYTRRSWPGVVGLKAHKAERIIRRGNPHLFCPVVLETKALTLDHRTTRVRLIVDRSNRVVRTPRVG >SECCE4Rv1G0279990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817915276:817918460:-1 gene:SECCE4Rv1G0279990 transcript:SECCE4Rv1G0279990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAAAAGTAAGKIGPKLFDLLAKNHKLRGELEHDLKEIKNELRMISAAIGEDDGGDQDQVHNTWIEMVRQLAHDIDDCIDRFTLQPDASWIRKKLHRAKTVKARDKFAVSRGGHRRPPTRGKGTTCGRRHPAPRQGATAVGVTYDEEPEALVSVGMEAGREELMELIREGQQPKKLKVISLVGFGGIGKTLLARQVYEYYGSIGQYEARAWVRAAEKHAPDVLEGILCQLGGGGGGGAGSSSRSLSNLRRNLRECLGNKRFFIVIDDMRIEFWDDIKEAFPLVPGISSRVIVTTATRSIATKCSGDGHVYVMTTLDEEHSTQLFLKKASLACPPSPGLQVIKKCDGLPLALVTTARVLHGYPTQEQWADLSKNLGQHLETNKNLESMNRVLIRSYTSLGSQDVKTFLLYLGIYPRGRPIRRGSLIRKWLAEGFIKQHPGPIGNALKVAVDNFDKLLDQSIIQPIDVSSSNGNVKTCQTHGMMHEFILRKSMFENFVTLLYDDKDPPPESNIRWLSLQQQSVARAKMRPNDLRLVRSLTVFGEAHKSMLDFSKYELLKVLDLEECTYLMGDQHVKNICSTLVLLRYLSLGAAVTATTLPKKIRKLKLLETLDVRRTGIEILPTQVMKLPCLVHLFGKLKLQESVGGRKMRKLQTWLEENSKLETVAGFVVDKRQKMPQLMDHMKHLTKVKIWCESTTINASSKLSHLSKSIKGFIERGTHLNSALSLSLNINDEWCHYLLNFPLKNDYFYLRSLKLQGNNICSHLPRFVTMLGGVTKLHLSFPDHILSSDILGALSRVHGLQYLKLIAAQLDKLIITHDKLGSLRRLCIVVEVMTELQIEKGALPRLESLQLLCKDLNGFSSTTIQFLPLLKEVTLHDELSDKIKLDWKEAAKNHPTRPNVSFKLMGSEPAAGTSTVAIATDTVSQQVDVEVEPLANYEYPVAPSADTMLSMTMPLSVAPTEESGKLALPVYFILLTYYLLLWLILGVR >SECCE5Rv1G0323680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:438915697:438918188:-1 gene:SECCE5Rv1G0323680 transcript:SECCE5Rv1G0323680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMATSTIAGTLHSRHLHCRILLLLLPYLPIAFLLVDGGGIPTTLDGPFTPATRAFDRSLRQGSEDVPLSDPRLAPRARPPSPEQIALAASADPTSLWVSWVTGRAQVGSHLTPLDPTALRSEVWYGERPDSADTVGHPHVARGSAEVYSQLYPYPGLLNYTSGVIHHVRLVGLRPSTRYYYRCGDSSLKDGLSDERSFLTLPAPAPDAYPRRVAVVGDLGLTGNSTSTVEHLARNDPSMILIVGDMTYANQYRTTGGRGVPCFSCSFPDAPIRESYQPRWDGWGRFMEPLASRVTMMVTEGNHEIEPQGHNGSVTFASYLARFAVPSEESGSNTKFYYSFNAGGIHFIMLGAYVDYNRTGAQYSWLEKDLQKVDRRVTPWVVASWHTPWYNSYSSHYQEFECMRQEMEGLLYQHGVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADDPGKCPSPGDNHPEFGGVCHLNFTSGPAKGNFCWERQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDTYGEHSVGDQIYIVREPDKCLLRPRGVISQDW >SECCE6Rv1G0427790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720817544:720821498:-1 gene:SECCE6Rv1G0427790 transcript:SECCE6Rv1G0427790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGRPALQPAAAGGGASGPDEPRDARVVRELLRSMGLGEGEYEPRVVHQFLDLAYRYAGDVLGDAQVYADHAGKPQLDADDVRLAIQAKVNFSFSQPPPREVLLELARSRNKIPLPKSIAPPGSIPLPPEQDTLLSQNYQLLPALKPPTQTEEAEDEEEGANADAANPDPNSSQDQRGNEQQPQPQSQSQGQRVSFQLNAVAAAAAKRPRMTIDQLNMG >SECCE5Rv1G0359280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:761008647:761009147:1 gene:SECCE5Rv1G0359280 transcript:SECCE5Rv1G0359280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLSVVLLLALASAMAVTAQNTPEDFVEAHNAARAEEGVGPVIWNETLAAYAQAYAEQRRGDCRLKTSPAGHPYGENLFGGSGADWTAVDAVTLWVSNKQYYDHVSNTCSAPPLKSCLTYTQVVWRESTAIGCARVVCDSGLGVFIICSYNPPGNLVGQRPY >SECCE6Rv1G0438270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:788740903:788748502:-1 gene:SECCE6Rv1G0438270 transcript:SECCE6Rv1G0438270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSMDLMHGIDGGDNGEHKEKVQRLDSQTEEVDLHLTLGLSLGDGGRLDVGAERRSVEVQPSATPQPQGSGNSIKEEPAAELRASSCPYQGTPHAQGRSLSSVVVSSSQGTNGVHQAEGANNTVMATPSSPAPAVRAADLGSAGWHQDDSEMSKVREIPLVSTSGLSNGRRIVGLLYEYSKVDEVTILCMCHGSFLTPAEFVEHAGGGQVANPLRSIFVMPPPWL >SECCE4Rv1G0219830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33782975:33783445:1 gene:SECCE4Rv1G0219830 transcript:SECCE4Rv1G0219830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSRAWLTLLLLACYTLVQSSYGSRPSPREPQKPGALSPTMVDGAAVEAEPRRRYVGAAKARPSTEEGRTGTSHRAAKANDVAAVATSVMGGGGVASSEQRKGSGAPVLQQALGRTLGSKLARRVLGGEAEDSAAGPSCRSNNAHITCAPPAQH >SECCE4Rv1G0286780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850674010:850680158:-1 gene:SECCE4Rv1G0286780 transcript:SECCE4Rv1G0286780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAEAAMAVVRAARPIFRGAHDGIAFAANAAFLADGYSLCAAGSAALMDRLPADQVEVGFDGWNSMYNRYAFLYSKEEEVMEDFLAINVLDREAQHKEPCNVQINVKDFISGEQHKNYKDMYKNFTGFINIISSSLLVELGRNDAAAAHVPDVENSSSINSSGNVMWQYPNTRVTEPAGMIYPPIVLVGHDDTFPVPGVSFYPHSGGTGGSMHVGPNDPRFFPANPSTPLGDLGSVPPGGRYDPIGPPDFPGFEPSRFVRHSRHSSHSGGSTHPDLEFFQQGPDFF >SECCE3Rv1G0207640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:923304163:923307252:-1 gene:SECCE3Rv1G0207640 transcript:SECCE3Rv1G0207640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTHPAATKFLLTVVVLSMALPLGRPTCSPEERDALLAFKDGVTSDPGGLLTSWRRGADCCRWRHVRCSNRTGRVLALSLRNALGDGAELDDRGNYEGALVGRISPSLLSLSRLRHLDLSRNYLEGSTDAALPAFLGGLWSLRYLNLSGIYFSGEVPPQLGNLSRLRSLDLSSDFGTQLLRLSDLSWLARLPLLRHLSLSSIDMSRALDWPGAVSMLPSLRTLCLSSCSLPSTSKWQLPAAGLRNLTNLEELDLSMNHLNHPAAHSWFWNVTSLTHINLMETFLSGQLPDALDAMVSLEILDFSYNGNMATMPRSLRNLCNLRYLDLESSLVGGQDIGKLMESLPQGCPSNRLQQLYLANNGMVGTLPDYKSLRHLASGLQVLDLSYNNITGSIPLSMGNLTSLDTLDISYNKLTGSVPTGEGYFVGLSTLVLSCNLLIGNIPAGIGHFARLSTLDLRGNYLTGHVPPQLGMLNNLTYLDISFNDLHGVLTEEHFAKMVSLETLDLSQNPRLKIQVDSEWKTPFKLRDANFSLCIMGPLFPAWLRWQMNLTYLDISSSGITDKLPDWFATAFSTMDFLDMSNNSIYGELPANMEDMSMTQFFLDSNRLTGPIPSLPRNIIYLDISMNSLSGPFPSNLGASGLETLLMFSNGIVGHLPESICHSQKLIVLDLANNLLVGELPSCSRMESLRYLLLSNNSFTGPFPPFIRSCKNLGFLDLAWNNLSGTLPTWIGNLVQLQFVRLSYNMFSGKIPVIITKLKFLHHLNLAGNNISGSIPPGMSNLTAMTRMTVRIGSFPYQAYANAAGEGGNSLSAVTKGQELKYGVGILDMVSIDLSFNGLTGIIPEEIASLDALLNINVSWNRLSGKIPENIGAIQSLESLDLSKNMLSGEIPSSLSKITYLSFLNLSENNLTGRISPGSQLDTLYQEHPSIYDGNNGLCGPPLQKNCSTNGTSTQDDQNRTGHGFEPMSFRFGLVLGLILGLWLALFTLLFMKAWRVAYFCLFDKLYDQIYVFVVVKWRRLARARTID >SECCE2Rv1G0112690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:713122411:713124012:-1 gene:SECCE2Rv1G0112690 transcript:SECCE2Rv1G0112690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPVSLLVSSGLCVGVSACVSTGLAFHASFALSLVYLVRVLSPELVDGFFGQVDAVVQEDLRHARAFVRDDPLIAPIYACAEEQARRAHALAAEAIDALERKARAKLSGLTDGAVAAFLWLRLAAGVINLLATVMFTAALNEAKSRAPSVLRWRGVKRLRTPPREEEAAAAAAANSRSSRGTTMLVAWISAIVAYCTLVLTINGICNGITSFAMCFPCFAALCGFALMEAERVYLWDSYVIDTRGSGSNTANPRRRAGNAAGGAITEKDMRDAWECLWFVIIMMHCIDACFLGLMLGKRPFVLASLAIYNVAALASARKAYLTTDVGEDADGAHVNVNKWHAAAMTVLAIDVAKVVATYVVLDFYLGALLFVSLGAKAVFLLDRAIYLSDEGASGDDDSNQEGAGLAGDSAGDAEDSDEEGADLAGETEGSDDDTQEVADLAGDGAGDAKGPDDIGAENSSDIAACEHEEEEDSASEKHSDVSDSEEQGREESDYSSSSSSSSVHDWTLVGADPTMPTNVNGGANRRFWFLS >SECCE5Rv1G0318100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:357807316:357813757:1 gene:SECCE5Rv1G0318100 transcript:SECCE5Rv1G0318100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEERGAAAAPLLLPAPPSAASSRHEAGCPGCALDRRKESCGGRIPYKELFFVGLTTLASSLPITCLFPFMYFMVRDFHVAETEEDIGSYAGFLASSYMIGRAFSAIFWGIVADRIGRKPVIVFSILSVVIFNTLFGLSTEYWMAIATRLVLGSLNGLLAPIKAYAIEVCQAEHHALGLSVVNTAWGFGLVIGPALGGYLAQPAEKYPQTFSKESVFGRFPYFLPCVVVSLLAAMVLISCIWMPETIHKHKSPEKDIQILKGLPSEQVYLDSPRKKSLLQNWPWMSTMVSYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGGVLAVAGASLLVYQLIIYHWVHKFLGPVISSRVASALSILIVSTYPFMTYLSGAKLSFALYSAAMMRSVVAITASTGISLLQNHAVRQDQRGTANGISTTAMSFFKAIAPIGAGYLFSWAQKHQDSTFFPGDQMVFLVLNLVQLLGLMFTFEPFLVLPTVGEERS >SECCE4Rv1G0265250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725241366:725242312:1 gene:SECCE4Rv1G0265250 transcript:SECCE4Rv1G0265250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAAMSAAVALALALLAAADSWLYEEFATEGDVRVGYDARGQQVASLLLDRQSGAAFRSRRSYLYGQFSFQIKLIPGNSAGTVASFYLSSGDGPGHDEIDMEFMGNSTGQPVVLNTNVWANGDGKKEQQFYLWFDPAADYHTYTIIWNDKNIIFKVDDLFLRSFKRYSDLPYPGGQPMAVHATLWDGSYWATEQGRVQVDWSAAPFVVSYRGYSADACVPAGDGRPLACPAGTDRWMKRQPSAAEQGTVAWARRNYMHYDYCQDGWRFPQGFPAECSRN >SECCE7Rv1G0492790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:556864320:556865405:-1 gene:SECCE7Rv1G0492790 transcript:SECCE7Rv1G0492790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGKKEAAWPATMARYERLEKLGAGINGEVFKAWDTQDNLMVAVKRLSGSGDDGFIISGLPEVMREAMCLGSCRGIPSTVQHRATCVAACASDSFIVMDYVGRLNLRGYMQRRVRRRRPFSEDEVRRIMKQLVEGVKAVHGVGVMHLDIKPENVLLDDGTEDRKQRPKKGAVEADVCGEVKDDRIVYKIGGFGMSTKGRPEKQPEVTILTPYSAPELLLHSCKYNNRVDTWGLGCIMADLLSGTGTSLFNGESDIEIMAKVSAIVGTEGIKEWSGYSGLAANQKSKLLGKGGVSHLRHKFPTRKLSSAGFEVLTGLLEGNPEKRLTAADALKKPWFHNRRHGFSGFFKSCMVGVLPKI >SECCE6Rv1G0394890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:310324740:310328771:-1 gene:SECCE6Rv1G0394890 transcript:SECCE6Rv1G0394890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTDTDVGEENEQIDGALVLSIERLQEIQDELEKVNEEASDKVLEVEQKYSEIRRPVYLRRCDIIKTIPDFWLTAFLSHPLLSELLTEEDQKMFKYLESVDVDDSKDVKSGYSITLNFSENPYFEDRKLTKSYAFADDGTTTINATSIKWKEGMEINGNAIKKKGSKRPLVEESFFTWFTDTEHKSLADGVQDEVAEIIKEDLWPNPLKYFNNEVEEFEGDDEDEEGSDGEDAEEDEDEEN >SECCE1Rv1G0046110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614311242:614315974:-1 gene:SECCE1Rv1G0046110 transcript:SECCE1Rv1G0046110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAISAVAGELVSQFVSFLANKYHSSRKHSEEKQLKRLQQLLLRARTVIEEADGRYVTNSGMLVQLSMLADAMYRGYWALGASRYIYLEESMEEEGEVRNPSAPKRFRMVHGSARKNKATYPVDLQGALESLEDVVAGMTEFVVLLGGCDRMLRRPYDAYLYNDNIMFGRHAEKQKLLNFMLWHNTPCGGAPAILPIIGAPEVGKRTLVAHVCKDERVRSHFSSILHLNGDSFSTLADHDRSLFPGKILVVVELISDVDEEDWAKFCSIVATSMDNGSKVIIISCLKNSERLGTVEPIFLNTLSYEEFSYLFKALAFGSTNPAQHPRLAQIADELAREFKSEWSIATANLFADIMRRNLSVHLWLCILSGLRRVVERNLSLFGEHPKLLAGRDHQIDVTDLVLHPIYSPLRVVYSYTSSSSRTEVAVERELLPTVRLGDLLMDPGVRPQGDFNVLVWESRLPPYTSFVHFATSGNGAPGAAEQSIPLSGRKRAAVPL >SECCE6Rv1G0383390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:52489822:52490695:-1 gene:SECCE6Rv1G0383390 transcript:SECCE6Rv1G0383390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPCYGTAVPSQQGYQSYMGLDVSMPLPLPLILRLDGAQEMATNKQERDQQSSMLGAAQAQQQLILAYHNLRNQAKKMRTTSREQRQMHISSMISTVVAREPKQLDAKDQEIEWIRSMNLALKEHIRNLHMEAQAWRNIAQSNETMANVLRADLQQVLEQQAVHGSGIYDGEDGTGSCCGEKHVAFCIKEQEEGSEPPAVEPRVAVVELCKGCGQSAPVVLLLPCRHLCVRAPCAEATRVCPSCICVKTSSISVNFS >SECCE1Rv1G0038440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:538840134:538842134:-1 gene:SECCE1Rv1G0038440 transcript:SECCE1Rv1G0038440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPIPLPIVGDIASRLQGQEKPRGGDTTSSAPAPVLGTVASRWRELHGENSWKGLLDPLDPHLRVSIISYGEMVQAAYDAFNTERRSPHCGACFYGYEDLLAGVGVPHHGNNYEVTKFIYATSSLPLPSSFLLLPLPSLPDVWSRESNWMGYVAVATDEGAAALGRRDIVVAWRGTVQNMEWVNDLDFAPVPAGPVLGSVASQHRLAVVHHGFLSMYTSSNKSSEFTKISARDQVVKEVRRLVELYKDEEVSITVCGHSLGASVATLNAVDLVSSGINKPEGSTKSFPVTAIVFASPHVGCRFFRSAFNSFPDLKALHVQNAGDVVPMYPPLGYVDVAVELTIRTIRSPYMRKPATVGTLHNLECYLHGVAGEQGSAGGFKLEVDRDIALVNKGVDALTDEHPVPACWLVPKHKFMVKGEDGRWTLQDFKHI >SECCE7Rv1G0517880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856592445:856595445:-1 gene:SECCE7Rv1G0517880 transcript:SECCE7Rv1G0517880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTGNSPAAAPPAFRNRYWILRHGRSVPNERGLIVSSLENGTKPEFGLAPQGVDQARSAGESLRKELEEVGVPVDSVKICYSPFSRTTETARVVAGVLGVPFEGPSCKATVDLRERYFGPSYELLSHEKYAEVWAIDEADPFLAPEGGESVADVASRLAGVLSSADVEFHGSAVLIVSHGDPLQIFQAVLSGAKENPSFLDDVASQRKESLVVPSVLSQHRKFALNTAELRRVV >SECCE5Rv1G0314860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:278478485:278481150:1 gene:SECCE5Rv1G0314860 transcript:SECCE5Rv1G0314860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAVVASTWAPTTSPSISSSFKVGVSSRLRGRRTPSAAATSVAVSTRQTLEVVQPIADPNSVVDSPLTAENVELVLDQVRPYLMADGGNVALHEIDGNVVRLKLQGACGACPSSVMTMRMGIQRRLMDEIPEIAAVEAITDKEAGLKLNEENVEKVLDEIRPYLTGAGGGNLRFVAINRFFVKVQLRGPAAGVAAIRIAVAQKLREKIPSIAAVRLLP >SECCEUnv1G0527510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1340091:1342049:-1 gene:SECCEUnv1G0527510 transcript:SECCEUnv1G0527510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEIEEGVFHRHPLLLSFLLFLAFTYAFIYPVFAFILAAAPVLVLTALLLGLVLVNSVPQDHDPHLYKKISHRPPQCQPSATSIRHHHRPSDESPPTSNGSSSSSSSADENDNNHHPPTMSAATSHASFPDTAANTESESTDSDHEDKQHSRQRSEKKESVRAVAWTADDDKSIENIGSLELEMNATVEKLMSRRRARGHQRPLHHHHHHVMDLADDPSKLSIATRKSNPFDLDGPYDEDDNFPDSAPSMLGLRPSRNPFFDDTDDNHPHPHAPQPPSSQGAGEAHKNAMLFRRHESFTVGAPYTSDFRPSRFRPYFVAEKMQGQPVTVPEASRKSTSSSTSSSSAGANAYAYAYSSANKGEDAAAEQEALAEAQAEAEAAAMLEEVKTVEYSSRSREVVAVDVELISDSSDDDMLLPGGDAEKEQQVKLQQQVAEQEQQIKVAEQELRIKVQQQQQLAEQEQEQEQEQRMKVQQLAEQEQEQRIKVQQMQQQVAEQERQMKAQQQQQLAVSDDDDEGESFEVESITKQVAAAAAGKTKQLEADPAYDYSPSASTGKTEKQQQEAAFAQLPPPAPPNKLQSMRRVFSEEDADEPWAAPSGLEETAGTENEAQSAPAAGAAPPLSAAAKKAIGKSTKYKPPSKKAVLGFFRK >SECCEUnv1G0536000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:61753281:61753991:1 gene:SECCEUnv1G0536000 transcript:SECCEUnv1G0536000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARLVRAAPLLVRTAATAATADPAAALKAGDALRSRRRRFTEDDVAAYAGVSGDRNPVHLDDAFARGAGGFQRGRVVHGMLVASLFPALIASHFPGAVYASQTLKFAAPVYVGDEVVAQVQALHIKAAGARHIVKFATKCFSDDDQTLAIDGEAMAFLPTLQLNTEAIE >SECCE5Rv1G0307190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:106848789:106849330:-1 gene:SECCE5Rv1G0307190 transcript:SECCE5Rv1G0307190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVPSTLPMKLRKAEPRGKAAAATGVAGRARVLVTVTVLGSAGPLRFLVDEGESVNGLIRAALRCYAREGRMPLLGADAANFLLYTANGRSDALKADERISFNGCRSFMLWQKTVADNNGSEPNSSPGRKGISGWKFGLNKLLLNFSFKV >SECCE5Rv1G0310660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:172885280:172894572:-1 gene:SECCE5Rv1G0310660 transcript:SECCE5Rv1G0310660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKNKVILAPPLPPDVDDEDILVSDEDVDFVEENREHVHLITGLNRKALDKVVTRVPDHDEDKVELLYEERERKRRAALALKPKDDDDLEVDPVDALPVKTLQGELLYRTAKRARSEDKVKGAESRSDDKDADAKQSSQKEYKGRSNKKEDSKLQNVQRPLEVPKEKLHSVVLEEVKEELSADELFEKKKAQLAELGMAMLEDPESNIRSLNDLLIICNDTDQRVVKLGIMSLLAVFRDIIPSYRIRQLTEKELAVEVSKEVKKTRYYEYTLIRSYKAYLQKLISLEKQPYFYLVAVRCLCSLLDAAPHFNHRESLLASVVKNLSSSNDVARKLCSEAIRSLFRNEGKHRGEATVEAVRLISASVKLNDCQLHPDVVEVCLSLKFDEDLGKDESKEEKLKPKKNKRYQNRDVTKPSEKKKIKKELLSKARQEVHADLRAVSFTLDPKEKKMIQRETLAALFETYFRILKHSMNTSNSRYKATSVFPGGSHPLLAPCLEGLGKFSHLIDLDFMSELIACLKKLSGYTDHQGEIPPDNTLSVSERLQCCIVAFKVWRSNLEALNVDLQDFFVQFYNLILEYRPDRDRGEVLADALKTLLWEGKQQDMLRAAAFIKRLATFALSFGSAEAIAALITLKHLLQKNTKCRNMLENDAGGGSLSSLVAKYDPEAKDPYLSGALATVLWELSLLQKHYDETVSGMASNILSMANLNVTQNPVQLSSSNPLEAYKDLSMGRELSKPTHKVSQTLKCKRKRRSKEFLALSPDVLEKADCTVGEDELRGKLQSHFAVLRGISENERLRTELNHALSSINMYKEYKKQKKASKKSKKVARA >SECCEUnv1G0561960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:360588347:360589114:1 gene:SECCEUnv1G0561960 transcript:SECCEUnv1G0561960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLSPSSQFSTVGQQVVEFVPLLHEQWLFDDGTMSQNEDRELWAPMGSTLPQSPELSELPSSVPATPLYNGKPRGRKPGLPSESRQTVNHVQAERQRRDKLNRRFCDLRAVVPNVSRMDKASLLADAATYIGELRTQVAHLEDEANKTLEKGAAAASRGGPASKFLQVDETVDVRMVGREGAAVRVITTANHAPAQLMGALRSLELQVQHACVSHVQGVTLQDVLVDVPASMQDADDLRSALLQALQEQQDSA >SECCE3Rv1G0157810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:83860617:83862315:1 gene:SECCE3Rv1G0157810 transcript:SECCE3Rv1G0157810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVRRPKLSDAGGGEDRLSALHDDVLIHILLKLRCPVAAARTSVLSRRWRHLWALLPKFHFYSATDPRRIRSALAAHEAPVLQELVVVRQDASAGPTGAWLPIAAHRLSGLLVCHYLSQRDMARRRAALKLPCFESATGIFMQLGFLRLTLPPAGVFARLSVLHLFKLQLHGVCGLGGIVSSSRCPCLGRLFVDDVRGVGGLAIHSESLGQIELYNLPDMQQLTVVAPSLQQLKVQDCFAPVARQPVASISTPRLLQLAWIDDYDQNSVKLGEMAHLERLVVREFIVYGKDYIALHNRNCAHLLRRFERLHNLVITLHCPPDIANKKYLMEDITRVPDVKLLGLGITACGHSFGASLFHVLRMCTAIRSLNLGLIVAHEEEAKTVCPSDCICDQPLSWKTRELVLNCLEEVEIFDLKGTEHEINSMNRLFSWAPVLRRMTVHFHYSINENKAEELCQVLLTFSRPEICMICRMPNLSEKILYA >SECCE2Rv1G0071010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:39682273:39682757:-1 gene:SECCE2Rv1G0071010 transcript:SECCE2Rv1G0071010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTMAMGLRSTMKKSLLLILFLCLLTITVTDCVKDIPASVPQDPPAGNKICGATSKTWGGWKLCGTSGTCNKPCRAEGYEEGYCGFFPFITTCCCTKHCLSATPGQSVQPVHEGDKQTQALFRECGFMTN >SECCE6Rv1G0446970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846802499:846803128:-1 gene:SECCE6Rv1G0446970 transcript:SECCE6Rv1G0446970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSSVVDIEAGHTPLINPPPTPAAGVDRPILAATANLTQLLPSGTVMIYQMLASSFSNGGKCYSSNWYITMVLLVVLSLSCFFFVLTDSLVHNGKLYYGVAICGRLNVLNLSKGEEDVEFFDILPELKKRRLRGQDLVHAVLVVLVFLAMALTDVGIQNCFFPNAGEEAQQLFRNLPLGITAFASVLFSVFPTRRKFIGTSHHNAA >SECCE4Rv1G0242900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:491095349:491096008:1 gene:SECCE4Rv1G0242900 transcript:SECCE4Rv1G0242900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAACSLPTRTAPSPNAKPADEAGLLRLRRRRRRRCICICALVTLGVLLLLGVTLLVLFLTVFRVRDPTTHLLSTRLVGLAPSLTQPNFTLLLTVAVHNPNPASFSYASGTTGLWYRGSHVGDAQVDPGRIPSKGGGVVQLEMTVLTAGFTKDMAQLIRDIETGSLPLDASARIPGRVAVLGVFKLNVVAYSDCHIVVGFPDMDIRGQDCRDHAKL >SECCE2Rv1G0137590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918553487:918554187:-1 gene:SECCE2Rv1G0137590 transcript:SECCE2Rv1G0137590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASASLLPAAKRPFAADSASDPADHPLPQESAAGNLQSQQQERLECPRCSSNDTKFCYYNNYSTAQPRHYCRTCRRYWTHGGTLRNVPVGGACRRGGKRRRASAEPQTPSSDSPPPPPHPDLQDTPSLPVFPFLTDGAVFQPQFGLGLGGFPWTTPAAKDHLYDGLAPWDGCDGALAPTGPTGAWDDFGGLELTWPPAAGN >SECCE4Rv1G0291610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:877087417:877090948:-1 gene:SECCE4Rv1G0291610 transcript:SECCE4Rv1G0291610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHSSSSRSGVAWVLLLLCLWTLSFRAHGGGNSKLYIAYLGHVKHGHPDHVVASHHDLLTTLLGSKEGSSASVVYNYKHGFSGFAAMLTAEQAKQLAEFPEVISVQPSKRHTPTTTRSWDFLGLNHQMMGSDMLHGSNPGGEDVIIGVIDTGIWPESRSFSDAGYGPVPSRWKGKCQVGHDWGRKNCSRKIIGARFYSAGVPDQFLKTDSLSPRDHNGHGTHCASTAAGSIVEAASFHGLAKGVARGGATRARIAVYKSFWGLGYGDTATVLAAIDDAIHDGVDVLSMSFGVDENSFGVLHAVQKGITVVYAGGNEGPRPQTVKNGAPWAITVAASKIDRSFPTVITLGNKQQIVGQSLYYRAKNSSKSSSGFKGLVVPVSCDMDILNGTDVKGQILFCTMQPGDQDVFQQASRYVRDGGGSGVIFAQYTTDLSFTALDACQGIACVLVDLEIGKKIAGYMDDASSPMVKIEPARTIKGKEILSPKVAMFSSRGPSPDYPAIIKPDIAAPGVNILAAKENSYAILSGTSMAAPHVAGVVALLKALHPNWSSAAIKSAIVTTAHTTDEHGMPILAEGLPRKIADPFDYGGGNINPRGAADPGLVYDIDPQDYDKYFRCTIVKRASVHCNTTGMLSAYHLNLPSISVPNLRIPVTVSRTVTNVGDVDSVYHAEIQSPVGVRMEVDPPVLVFDAMNKVRTFRVKLSPKWKLQGDYTFGSLTWGNDRKVVRIPVAARITIQDFYADVA >SECCEUnv1G0555360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:298845524:298847207:-1 gene:SECCEUnv1G0555360 transcript:SECCEUnv1G0555360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVSNKRVILKRHVTGFPTEDDMEVVTVTARLAVPPGSAAVMVKNLYLSCDPYMRRRMTKHPEPSYPEFVLGEVIPTFGVSKVVESGHPDFKTGDLVWGMTACEEYTLITKLASIFKINHPELPLSYYAGVLGMPGLTAFSGFFNVAKPKKGDYVFVSAASGAVGQLVGQLAKITGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKKEHDLNATLKRYFQEGIDIYFDNVGGAMLDAALLNMRLHGRVAMCGLISQYNLERPEGVHNLACVVTKRIRIEGFRVIEYFGTYRMFEEEMVGHLKEGSITYMEDVAEGIEKVPAALVGLFYGRNMGKQLVVVAQE >SECCE5Rv1G0322030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:415299065:415301879:1 gene:SECCE5Rv1G0322030 transcript:SECCE5Rv1G0322030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 11 [Source:Projected from Arabidopsis thaliana (AT5G47710) UniProtKB/Swiss-Prot;Acc:Q9FIK8] MNEEAEGRGARPGVLKVVVAQGTNLAIRDFTSSDPYVVVRLADKSAKTKVINSCLNPVWNEEMVFSIREPVGTIKFEVFDRDRFKYDDKMGHAFLDLQPVAAATKLRRALKLTTGETRLRKVAPTADNCLLSDSFVTYADGEIVLDSRLRLRDVESGELYVTVKWIDAGAT >SECCE2Rv1G0100180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:535783001:535788331:1 gene:SECCE2Rv1G0100180 transcript:SECCE2Rv1G0100180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAISSPAVTAPLPRAASSAALRGFISFAGAAARPSALRSDRLRAAAAGFSSGVRTHVAAVEQAVVHDATELEAPVVVVTGASRGIGKAVALALGKAGCKVLVNYARSSKEAEAVSEEIEASGGQAITFGGDVSKEADVESMMKAALDKWGTIDILVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKIMMKKKKGRVINIASVVGLTGNAGQANYSAAKAGVIGFTKTVAREYASRNINVNAIAPGFIASDMTAELGEELEKRILSTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM >SECCE1Rv1G0033740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:470715512:470722214:1 gene:SECCE1Rv1G0033740 transcript:SECCE1Rv1G0033740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPLPGRLVILYASQTGTAIDAAERVGREAEHGGCPAVEVLSTDSFNPSCLPDERFMIFIVSTMGQGDPPNSMKDFWKYLRRNHLGARWLKGLHYAVFGLGDSSYREYNFPAIKLDQRLFDLGAKRITERGLGNDQHSLGYEGELDPWLLSLWKSLNRINPSLLPRVSDASHRKLNILGDAKVEVIYYSAPQATDISDSKILIEKARSMSPALKCHNSREPQHMLQMVTNQRLTKGDTDRDVRHFELEDWCSAISYQVGDVLEILPSQNPSLVDEFIKRCNLDPDCYITVRTKGGDKVPKDPIKLKTFVALTMDVTSASPRRYFFEVMSYFATDEREKAKLQDYTSPEGRDDLHRYNQKENRTVLEVLVDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLVHPNQIHLTVSVVSWLTPWKRVRHGLCSTWLAGLGPNEDDQLIPCWMRRGSLPRPRPSVPLLLIGPGTGCAPFRAFVEERAAQSAADPTTAPVMFFFGCRNRDSDFLYKDFWLKHAQGQGGVLSLDKGGGFFAAFSRDQPRKVYVQDKIKEQGARVLDMLCSESSKAAIYVAGSSNGMPADVTAALEEVLCQEGGVPREDASGWLKDLKRAGRSVVETWS >SECCE3Rv1G0152010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:43799181:43799528:-1 gene:SECCE3Rv1G0152010 transcript:SECCE3Rv1G0152010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAVAQLVLVAVVVAMLLVATDAAITCGQVSSALSPCIPYARGNGANPSAACCSGVRRIAGAVQSTADKKTACNCIKRAAGGLNAGKAADIPSKCSVSIPYAINPSVDCSTIR >SECCE2Rv1G0079380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:118110139:118111380:-1 gene:SECCE2Rv1G0079380 transcript:SECCE2Rv1G0079380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLFSPRCVWVNGPIIVGAGPSGLAVAASLREQGVPFIVLEREDCIASLWQKRTYDRLKLHLPEKFCELPRMPFPADYPEYPTRRQFIDYLETYAAAFDVKPEFGSTVQSARYDETSGLWRVHSSAASGEMEYIGRWLVVATGENAENVVPDIPGLDGFAGEVAHVSEYKSGERYKGKRVLVVGCGNSGMEVSLDLCDHGALPSMVVRDAVHLLPLEVLGKSTFELATLLMAWLPLWLVDRVMVFLSWLILGNHADFGIRRPAIGPLTLKNKYGKTLVLDTRALAKIRSGDIAVVPGVSRFTTSGAELTDGTALDIDSVVMATGYRSNVPEWLQGTDFFGKDDGHPTTAFPNGWKGQSGFYSVGFTRRGLSGASVDAVRIASDLGQAWREETKPTTKRAARACHRRYISVIF >SECCE4Rv1G0255010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:643228330:643228704:1 gene:SECCE4Rv1G0255010 transcript:SECCE4Rv1G0255010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARAARRAMQFRMPRRRRADAAASAAASGSGGRRKRMAVARLGSDGGGSAESRRRLFGALRRVRVRWLAALYRRTLRRLRASYARALRELVEGRALLGALNAPAGVECSRAASFGPMATVGF >SECCE1Rv1G0000120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673545:674901:-1 gene:SECCE1Rv1G0000120 transcript:SECCE1Rv1G0000120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGEELVKVIWEHLCCDEAALLAAAQDQEEEEAEEEKARQEEEKARKAAESRRRGLAHQQVMASILELDPKTKRKVYTRYPFNDFSRFDINEQSPIPPMRYTKRSADGLKLQDTANILSVKMVSSDKGFPINVYGTIIARDSIDHKCMYLFNRTRDNCQLIKSRDENLILTGPGRGLVLLDFIYLEIDLKIKLGEEPLGEQISKGLLMIDGRVLPRDEKVSVAHQTLESWFSILEVRYATLLNALEGTFEIKLLEGRFCGKIMAGIEGIEPRIVIYNSDEDGVVSCEDRAVITLRRRVMTLRLNGMLTFAFAVPGDGAPATRQWKVEFTPRHRGEEKKEISCGIAKLQVKVFWSMLDYRP >SECCE5Rv1G0376300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:872015451:872016938:1 gene:SECCE5Rv1G0376300 transcript:SECCE5Rv1G0376300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDGEAVLQSKSVCVVGAGMAGLAAARELRREGHAVTVMEQSGDVGGQWLYDSSTDGEDPLGAAVPVRVPSSIYACLRLISPREAMGFSDFQFFPRDVDGRDPRRFPAHRELHYYLRDFCDAFGLMDVVRLNTRVLRVAPAPKSTTATRRWAVRSVRRLGSTEDDDVMREEEEVFDAVVVATGHYSQPMLPSDIEGIGEWTRRQLHSHSYRTPEPFRGEAVVVVGCGDSGKDIALDLCRVAREVHVAASSEDAAAMPAVSRMLANHGDVLRLHPRVRRLHADGRVEFTDSSSVEADTVIYCTGYTYSFPFLDTGGAVTVSDNGYVVGPLFEHVFPPSLAPTLSFVGVARKVLIPWFFEVQARWVAQVLSGRRALPAEEEMLRSVEEHLLAREAAGVPRKHTHNIAGIDPEKMYEFGEKYSDLAPLEEWKKELVMSSIASMMADVETFRDRADDSESVRQGLQGWLDLAAQAQAKSMAVAVDVEGDVQAIANYE >SECCE6Rv1G0448690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857518265:857519107:-1 gene:SECCE6Rv1G0448690 transcript:SECCE6Rv1G0448690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFGRSISFPLTPARSSLSKPRHVRSVSLPCTTSSHPLLVNLHAHIAALRCWIQQHPSSSLLSGLTAIQALHSSIADLLLLPECGAALRCPTSNVADRLLDAFLLLVDAHRGFHQECLMSLRHAATESHAALRRGDTGRLASAASSQRRAEKELARLAASVSAIFPKSTRLNLVAVSGEEAEMAYALVEAAAASAVAFAALFSAAASMSSTASTSKKTATFIPAFARRAATAPEIAEATVERCLDECDGFCDMVFRSVVQTRVSLLNIMTPAIYSLHS >SECCE3Rv1G0160180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:103583426:103583974:1 gene:SECCE3Rv1G0160180 transcript:SECCE3Rv1G0160180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLPLAIVLVALLAATSASAHGYSQTPSPTPAPAAKCDKVMLKVEGMVYCQSCTHRNSWCLDGATPLPGAKVMVTCRDAKNRVMESRTPVADGNGYFLAEFDVAEKADYYKGDPAMACFVRLLASPDRKCDDLTNVNYGIEGAPLRHEGKRWSGKGYENVVYAAGPLSFKPDTCAPRGHY >SECCE2Rv1G0100560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:543339253:543339825:-1 gene:SECCE2Rv1G0100560 transcript:SECCE2Rv1G0100560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKASTFLKQMLSTIVAVVKAKSTAVRAKTSTLKTRLLVLGILRNRKLLVSAINHKIHAIMGQDDHARKEEAAQEEDGGNKAIMLYTSPSYVTERDVEAAEEEDSDDEYLTHSLFREEDDDDDELVNAPGSVIDVVRDAKEKEGEGAEFRLEDEIDHVADVFIRRIHKQLKLQKLESFKRFCEMMERSA >SECCE6Rv1G0450160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:866721133:866727277:-1 gene:SECCE6Rv1G0450160 transcript:SECCE6Rv1G0450160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVVGLAKSVVEGALTKAQAAIGEEAQLRESAQRDLVFITGEFEMMHSFLNVATAERVENKVVMTWVRQVRELAYDVEDCIEFVVHLDPKTGWWWRMLPSWCIGLPPSQLSLDEAVGEIEQLKARVNDVSTRNSRYNLISDSGSKAAVMQHHMPAPGASLGAPAFNMLAESRDAARRQQGLGDLTQLITDKDNTDAHLQVISVWGTSGDLGTISIIRKAYNDPEISKKFVCRAWVKLMHPFDPHEFVRRFMAQVYATKACAEKLEGTDVGVLVLKKMEAKQEDLLKEFLEEVNTKIYLVVLENLTEMVDWDAVRTFLPDKKNGSWIIVSTQQFDIASLCVGHPYQPLELKQFSPEHSVCAFFKEGSRYYGDEEEKLMLSKVSQSSPLEKLTSTSKRVVNDWMENNTLVGRESEMNELRSYTAGARFKSSPVISVWGIAGVGKSALVENLYYERMLRGQFEKYCWVDISYPFNLRDFSRSLLLDNHSEKEPIKECRHILSKHKYLIVINNLRSKEDWDLIQATLVTRSSASVIIVITTEASVATHCTNNEDQVYNVKGLEAGAAMDLFRKEVERNNPSSALIGHQNGELQELIMKCGGLPKVIVSIAAILATQTVTTMDTVRLLNTKFMHTLETNQEYDSLRGLFDWMYTYFRTCPDSLKPCIFYLSIFPREHGIRRRRLVRRWIAEGYSRDSDDKSAVDKGENFFSKLLDLSIIQQMPQLVTTAFSDTRIVKCQVNGFIREYIVSRRMEENLVFELGPNCALTTQRTGRHLIILESWVRDIIVFESIDFSRLRSLTVFGKWESFFISKTMTLLRVLDLEDASGLKDEDLIEMVKRLRRLKFLSLRGCRDISHLPSSLGDLRQLQTLDVRHTSIARLPKNIKKLQKLQYIRAGTTISALTSTAPSRSLLEFRKRRGLLGIEVPRGIGKLTALHTLGVVNVSASGGKAIVEELKKLTQLRKLGVSGINRHNSKDFFSAISGHMHLESLLVQFAEGNQSSLDGISLPWENLQSLKLHGLKDKLPLLEGQLSKLKKLDVEMDSLEKTDIESLAMLPNLCILRLRVKQLPDSRLHFYAEMCREQLDTFKKVKILEIGCRSSNLQVSFGSKSMKNLELLEIDYSSGSCQLAGLNNLSELKEVLLKGTNDEKFRIEFETHLATHPNSPAVKLKKLPAST >SECCE2Rv1G0115440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:750405738:750406100:-1 gene:SECCE2Rv1G0115440 transcript:SECCE2Rv1G0115440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVYKKAKPGRLVFKGGEAATLRKPKKQKKNKKPADDVPADADAEAAAAAAAAAATEGTEAGGDYTIDAAKRMKYEELFPVETRKFGYDPSNAARTSRDRTVEQALDDRVRKKADRYCK >SECCE4Rv1G0242090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:469547316:469551738:-1 gene:SECCE4Rv1G0242090 transcript:SECCE4Rv1G0242090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQMERKEEATEIAPFDPTKKKKKKKVVIQDPADEVDKLAEKTEGLSVTESGEASFAGLKKKKKKLVELDPSLVEAGDGEDTLDDQVGEDEQGEGIVLGGATQYPWEGTDRDYKYDELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKAGT >SECCE4Rv1G0215090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:3143624:3146736:-1 gene:SECCE4Rv1G0215090 transcript:SECCE4Rv1G0215090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVARTLSPAPPSARRVRPARCAGFVGPAVESASPGARAATLASSRGGTESSLAICRVLNGMWQTSGGWGRIDRADAVDAMLAYADAGLSTFDMADHYGPAEDLYGMFINKVRRERPPEMLEQVRGLTKWVPPPVKMTRSFVEENINRSRKRMDVAALDMLQFHWWDYANPGYLDALKHITDLKEEGKIKTVALTNFDTERLQIILENGIPIVSNQVQHSIVDMRPQKKMAELCELTGVKLITYGTVMGGLLSEKFLDTNVNIPFAGPPLNTPSLQKYKRMIDAWGGWSLFQALLQTLKKVSLKHGVPISTVAVRYILNQTSVAGSMVGVRLGLSEHIRDTNAILSLLLDEEDMGSITEASQQGRSLMEVIGDCGDEYRA >SECCE7Rv1G0493300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:566303665:566305686:1 gene:SECCE7Rv1G0493300 transcript:SECCE7Rv1G0493300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPTTAAAASLSRQQLLRIQRCLPPVWRNAAPAQEPPPPPASSFSPASLSSILFSCTAHCALRPGEQAHARAVTLGLGAHPSVLPRIASLYIAVGDTPAARSAVEQAAGRARAFPWNLLIWSYAGDKLWKDVVMAYDRMLALGVNADRFTYPSLLRACGELGEVTIGHKISHRIRSSRYDLDMYVWNALIGMYVKCGELKDARRVFDEMSARDVVSWNTMVSGYASTGMWAEAFELLQRVPGANIVTWNAVAAGNLKAGNYDEVIRLLSQMRNRHGPGVDSVSVLIGLKACAKSGYLRIGRELHGVAVRLYFDRLECVVNSLITMYSRCRMMSSAVLLFTMCSIRSITAWNSLLAGFAFMDQVEEASLLFREMIGSGVCPNDVTVLTMLSLVARFGHLCHGRELHCYILRHGLGGSKLLQNSLVDMYSKSRHMRASRMVFDQMEYRDKHAYTSLILGYGMQREGHLSLKLFDEMIANSIEPDHVTMVAVLSACSYSGLVTQGQLLFAKMFAVFGIAPRVEHFSCMVDLHSREGLLKVSEEIIDKMPFQPTAAMLATLIEACLIHGNTEIGKRTAKKLLAMRTNNPGHYKLISNTYISAKCWPELAKVRSLMSMMDLTMVPSHSLLESEYDICLVEQDDGLNHGAYCDLSDRITDTDSSSSEEAKSSEAFGG >SECCE7Rv1G0462300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:45092310:45095490:1 gene:SECCE7Rv1G0462300 transcript:SECCE7Rv1G0462300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPTNGVPAPQPPPPPPARCHFWLPNKRRHCANSPLSSSQYCGNHSPESSSDPRRRVPCPVDPSHTVFEENLEAHVGKCPFRKHADALAAQPYYSKGINSGGGEAGGAAVTSAAKRASVHKLSEGEFWALVAKIRSVHAAAAVQMAESYIAPDACDKWMKGQVDRKVPYQEKHVVQQVSIVGNMETFGLLPKGGAEDAAITVKNAPAVVEFGAGRGYLTQMLADCYEIKNIFLVERRSYKLKADRSLRQNEGVKLERLRIDIEDLNLHRVNALSGSPYLAIGKHLCGPATDMTIMCCLHELHNRTEEKGHDKHHLRGLALATCCHHLCQWKHYANKAFLSGLGIAEEEFHAMTWFSSWAVDGDHSSQDSLESEDSSSEVIRGTEKPDPEIVGVERIIRGIPGVERSTLGFMCKDIIDTGRLLWLRSKGLDADLVSYVPSDVSPENHLLMAKCKS >SECCE3Rv1G0181670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:617175225:617176988:1 gene:SECCE3Rv1G0181670 transcript:SECCE3Rv1G0181670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMATVAAPAAGGSGGATTASGEYWSEALKSFLDHIPVSSLPGALRPSPSPALELNLDGRVLDAIRSMRRANAGGAVIVEEVHGSLGKFVDRDIGFVDFHSLVLWALEELDRVSTEREDKSSDFLSSLKLHPQIAETKIAWLAKMFLWEPFFPVRSHDTLFHAMLLFSKHHRLNVIPVVESVNSNVNGFVTQNAVMELLLQSSGLEWLDKIADKQLSEFRFANMSKPVLVYSDETLAHTLRVLSKEKTGVAVIDRKTRCLIGMIQCSDVYLLLDDSSLFSNRKIMSAEEFVKLKNKDDKCSTEHSSESEVQSVPSLGSRVQQPVVTNRRSDTLKQTMENLAASGSSCSFIVDEQGQVEGVVTPRDVISVFSPPCMDSRIDGGTFFSTALEQAGCRVENGQMIRNS >SECCE6Rv1G0395930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:348975804:348985103:1 gene:SECCE6Rv1G0395930 transcript:SECCE6Rv1G0395930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACTIVITY OF BC1 COMPLEX KINASE 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G64940) UniProtKB/Swiss-Prot;Acc:Q93Y08] MSVAAAAASLVASSSLSVPDHLRLRRPPPPLRFRRRSKDRLVLAVLEEKSSSALTEEEARKFGLNGSASRLGYDDAAVEAYLGSNGNGNGNSNRSASASGNGASVKPVASGSGTSVVSGRGPGEDERRRKERVEEIGREDAWFKRSDGEAMPKVSVVPGGRWNRFKTYSTIQRTLEIWGSVFAFIFKVWLNNQKFTYRGGVTEEKRVIRRKVLAKWLKESILRLGPTFIKIGQQFSTRVDILPKEYVDQLSELQDQVPPFPSETAVSTIEEELGASVNEIFERFDVEPLAAASLGQVHRACLNGQEVVLKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECANVLYQEIDYTKEAFNAEKFAENFKNMDYVKVPEIYWEYTTPQVLTMEYVPGIKINRIQQIDKLGLDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDANGGRLIFYDFGMMGSISPNIREGLLKVFYGVYEKDPDKVLQAMVQMGVLVPTGDMTAVRRTAQFFLDSFEERLAAQRKEREMATVEPGFKKQLSKEEKFEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAMELLRFNEAGVEVLVKDARKRWDRQSRAFYNVFRQPDRVEKLAQIIERLEQGDLKLRVRALESERSFQRVAAVQKTIGYGVAAGSLVNLATILHLNSIRMPATIGYCLCAFFGLQILLGVLKVKKLDQQERLIAGTA >SECCE6Rv1G0396990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:383021967:383023808:-1 gene:SECCE6Rv1G0396990 transcript:SECCE6Rv1G0396990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein CLAVATA2 [Source:Projected from Arabidopsis thaliana (AT1G65380) UniProtKB/Swiss-Prot;Acc:O80809] MPATPLVLALLLLLLAAGPRPGAPASTDRAALLAFRASLSPPSRAALSSWHGPLTASWLGVSLHPPAAAAVAAPPSVAALALQGLNLSGPLPAPSLALLRRLRELDLSANALSGELPCSLPRSLVDLDLSRNALSGAIPTCLPASLPALRTLNLSSNSLGFPLSPWFSFSSRLVALDFSSNALSGAVPPRIVADPDASSLLLLDLSHNRFSGEIRAGITAIRSLQGLFLADNQLSGEIPPGIGNLTYLQALDLSHNRLSGLVPAGLAGCFQLLYLRLGGNQLSGALRPELDALDSLKVLDLSNNRISGEIPLPLAGCRSLEVVILSGNEITGELNGAVAKWQSLRSLSLADNQLSGQLPDWMFSFPALQWLDLSGNRFEGFIPDGGFNASSVLNGAGGGQGIPSGAMISPQLFVSASVDATGQQLELGYDLRAAPGIDLSTNLLHGEIPEGLVAMKGLEYLNLSCNYLAGQIPAGLGGMGRLRTLDFSHNGLSGEVPPVIAAMTELEALNLSYNSLSGPLPTTDGLRKFPGALAGNPGICGGEGCSMDAKMPEGEISGNNRHGWLSDWHGENGWVSLGAFSISTMTSFFASLATLLCSPKARNFVFRPVRIEY >SECCE2Rv1G0095550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:419700635:419702794:-1 gene:SECCE2Rv1G0095550 transcript:SECCE2Rv1G0095550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLSPLGPPDRRRGHTIYHGHRHASPYRPTVHGGLITHFRATSPGPRSSSSPSPAASTAPFHLPDWDPFSPSHSPRSPLTPSHSTTASSRRLSPLARFLLDALRRHQRWGPPVVADLSKLRRVPPTLVAEVLSAHPPPPPPLALPFFHWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLIRSHGKPVSHPQLTLLVRLHTAARRPLRALYTLRRFRHEFSVQPQVHVCNRVLGALTAAGHVKDALKLFDEMAESGIRPMPVTFAIIVRGLGQEGMAERILEMIGRMRDEVCWPDVFVYTALVKTMVRRGHMEGCIRVWEEMGRDGVEPDTMAYATMVEGLCNAGMVEKAAKLFEGMRKKGLLVDRIVYASLVDGYVAAGRVGDGCRVLKEMVDAGYRADLKTYNILIGGLCGIGREDKAHKMFQIVLQEELVPSSDTVSQLLVCYADKGEMVNFFGLVDKLVELSLPAVEFLAHFLRLFACKDGRELKAVELFKTLRQKGYCSVNIYNILIENLLKIKERKKALLLFEEMKASDDCEPESCIYSLMIPCFVDEGNIEEACSCYNSMMKAEWIPSLSAYRSLVKGLCKIGEINAAVSLLSDCLGNVENGPMEFKYTLTVIEACRSKDPEKVMKVVVEMIELGYLIEELIFSAVIYGFCKYATSTGAREVFSVMRDRDIISEANFIVYDDMLNEHLKKVTADLVMSGLKFFQP >SECCE2Rv1G0075850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:86284102:86284806:-1 gene:SECCE2Rv1G0075850 transcript:SECCE2Rv1G0075850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVYYSTWGHVAALAEEIKKGADSVPGVEVTVWRVPETLPEEVLGKMHAAPGREDHPVITAAQLAEADGVLFGFPTRFGMMAAQMKAFFDSTGGLWQEGSLAAKPAGVFFATGTQGGGQETTALTAVTQLTHHGMIFVPVGYTHGAGMFAMDEVKGGSPYGAGTFAGADGSRTPTDAELALAAHQGKYFAGIAKKLKAVV >SECCE1Rv1G0032100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:450760412:450761720:-1 gene:SECCE1Rv1G0032100 transcript:SECCE1Rv1G0032100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSSKAVALVALSSLLVTYAAGAGNFNDSAFTADPNWEDARATWYGAPTGAGPDDDGGACGFKNTNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCTNDQSCSGNPETVVITDMNYYPVAKYHFDLSGTAFGAMAKPGLSEKLRHSGIIDIQFKRVPCEFPGLKVTFHVEQGSNPVYFAVLVEYEDGDGDVVQVDLMEANSGTWTPMRESWGSVWRLDSGHRLQAPFSMRITNESGKTLVADKVIPANWAPSTFYRSIVQYS >SECCE4Rv1G0242790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:489730609:489734769:-1 gene:SECCE4Rv1G0242790 transcript:SECCE4Rv1G0242790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAHVLLAAALAMVAAAATVSASPAEGIQPLSKIAMNKATVNLHGSAYVRATPALLGDQDEDTVWVTVKYGWENPSADDWIAVFSPADFISGSCPNPSRYPGEPLLCTAPIKYQYANYSANYLYQGKGAIRFQLINQRSDFSFALFTGGLENPRLVAVSKQVAFKNPKAPVFPRLAQGKTHEEMTVTWTSGYDIGEAYPFVEWGVVASGGRGGNPTRTPAGTLTFSRSSMCGEPARTVGWRDPGFIHTAFMRGLWPNKEYFYKIGHELSDGTVVWGKSYTFRAPPTPGQNSLQRIIVFGDMGKAERDGSNEFANYQPGSLNTTDKLVEDLDNYDIVFHIGDLPYANGYLSQWDQFTAQVAPISARKPYMVASGNHERDWPDTGGFFDVKDSGGECGVPAETMYYYPAENRANFWYKVDYGMFRFCVADSEHDWREGTPQYKFIEECLSTVDRKHQPWLIFTAHRVLGYSSNSWYAGQGSFEEPEGRESLQKLWQRYRVDIAFFGHVHNYERTCPLYQSQCVTNERSNYSGTMNGTIFVVAGGGGSHLSGYTSAIPKWSVFRDKDYGFTKLTAFNHSSLLFEYKKSSDGKVYDSFTIHRDYRDVLSCVHDSCFPTTLAT >SECCE4Rv1G0280860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:823811992:823815821:1 gene:SECCE4Rv1G0280860 transcript:SECCE4Rv1G0280860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGIELKANTIDAAAGEILSILDDISKHERSIYFFGWCGLGASAALRVAAQRLKSPATKGRKFDKVVHVDCTLWQSMRDLQKAVAEELELPQSVMAIFDQHDEEDDLNGIDQGSRGVILDVREEIFRKLAGSTFVVFFHNGSNHYIDLYECGVPVTTFLSNKVVWTWGGAFHLPNKSLEHQLSNNVVDVFVGSEEWHDHVLHEEAAEVAKRMGFLDPDKIVECFQYAWARRLVSDIDWEMHASNYWVSDGIVQGQGDTSAWEVGNALKRNIHLDRIVENIYYEKEIGGRISSPNGCWVSATHQTLLHDDNRVLSPWVTSFFLLTEESEGRRVVLPAAMFPHSNRLRVLNLSWCTFSFTSPPFFCCNHLRFLLLDHCTDVKEEEHQSNNQSGSCFQKLWVLDLRYTDWYSKTMMCLMDELRELNVETDKDWLSIVDHCGNRTSLVKLRVATDTNSATEIVIHCQVPNLSSASLLKEIILEDCVGLEQVVPDVLPPLLESFSFFITDDAIPKISSISLEGLAKLKSVLLGGVMENLQELDLSGTAVKTVDLRKVVALNLKQLILMGCGKLQTIQHPSSHKWQRRFEVLRIDTIRPASSAQANWEEKTKETITAIGSSCIAAVPAEKPATSFDWYISVRDARLFRSLEPFGKYFITKFGCIEMASSPTSSVAIDDSGRAQGIGKPGHYLYARDIIFRDHLLAATANEGAIGWMWACSSVRVVDEFVSWYVHIQDEEEIKSGLLQQQGNIQGTSTGVALIPDFICYSAITLRVHDSLSITSIPSPQVEWSSRLTKFWSYLRWCRVERCPKLSSVFPIPTRSDDGNDYEIVECFSWLTTFRASQLLKARYIWNWSTTCLPSKDSFQRLKFLHLDYCPSLIHVLPLSVNMTTLGNLETIEIVCCGDLMVIFPLDPERQEKQTIINFPELKHIHLHDLPKLQRICGSMILAPKLETIKTRGCWSLRRLPAIAKQCPEVDCEKEWWDNLQWDEGDANHCPSLYKLSHSRYYKKAQLPRGTILR >SECCE2Rv1G0069630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:30556233:30561695:-1 gene:SECCE2Rv1G0069630 transcript:SECCE2Rv1G0069630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPSYALHLAVAALVGASFAAASAYYMHRKTLDQLLRFARSLDRDHRRRARLLPDGADDSDCDREDADEEDDGGGGPPPHRDHDRRTLPIPPGLPPLHTGREGKPTISPASNKRVGSLVRPTTPKSSVPAVSTFESIEDSDEDVDLEPDSKNDAAVYVGTNGAIGSDPVPVKADQNGDISSTSMIRSHSATGSLHGIQLNPVAADILRKEPEHETFSRINITAVETPSHDEIEAYKVLQKCLQLREKYIFREEVAPWEKEIITDPSTPKPNPNPFNYEHQTKTDHHFEMVDGVVHLYPSKDSKERLYPVADATTFFTDMHYILRVLAAGDIRTVCHHRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFADLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSDNVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTVDPASHPQLHVFLEQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRDSKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSVAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYKRGPDGNDIHQTNVPHIRIEFRHNIWKEEMELIHFGNVIVPEETDR >SECCE2Rv1G0090070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:268558181:268560783:-1 gene:SECCE2Rv1G0090070 transcript:SECCE2Rv1G0090070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSAAAAVSRFAASPAAEIRLPFSAAAAAASVSFAGRRRFGPVAASLATSATVQKEAVQTEKAPAALGPYSQAIKANNLVFVSGVLGLNPETGKFVSESVEEQTEQVMKNMGEILKASGASYSSVVKTTIMLADLQDFKNVNEIYAKYFPAPAPARSTYQVAALPLNARIEIECIAAL >SECCE2Rv1G0070610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:36830810:36834602:1 gene:SECCE2Rv1G0070610 transcript:SECCE2Rv1G0070610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRSSTTVVDEGNTKMAVKEWVGWEEEIVREDNDDAERKVCYFLRCAAPQDGGKSERDLVVVGKYWGPGNIFYSADLKFLQSLQTALESGSASPRLATLAWETTQLRWKSRKEVMDWLTTLVLDPPYGAFGFTCSDNHDGSSKNNLSTLPVAGENKEGFTWICKLPHLDQHRKHYKSFCRDGMRISVHDFVFIKSGGGESHVAYLEDMYEDGSAKNMVLVRWFEEPDSEHGVVLPPDLYSREIFFGYGLQDLRVEYLEGVAAVLNPQHFQMFNEISGGHSSWQPYVCSRQIEDDIVGPFDITQLQGYEKQEIVKAIVAASSSTTVEAKPPNNKGKAEITSIATENHADTSGSIFSDKTMQKQKPPACSETPSSSSSVIGDKTMENKKQKPPPCSATPSSYVIDDKTMEKQKQNPPPCSATTSSYVIIDKIMEKPKLNQKEMPPPCSATAYSSIIIDKTIEKDKQKQKDMPPPCSASPQSITNGQTIESSVIPCSVVNYQTIMQNQPPPSGTVTGNEAANDASTMVNPQRMFQPGCCLEALCQDSSMRGCWFKCVVLRRREKDNKVRVRYQDLSNSEDKGQLREWLKVERIAEPDHLGIRLNERPMLRPQLPTCYRKIDSPVDVGVIVDARLNGGWWEGIVLQKETVGRVLVYLQGEGRIVELEVDSLRKSFEWREEQWIPLDARKDVADNINLDLKKQKGVQQSAMKEGDGCSSKQKAQKVGEKSSQTAEAASLIATQLAVTSPTLLQPREEGDEEPANSAPPQKRFLDQGYSFNEKRLEEQAKKNKGPTNEAAKPVTEEGDGMSSGSSSPNTKRCRVDPTNFDGLNGTKRKGKARKSSRAKKMGSLEGSSQGGTSGSASSGGLAPMNMVHTEEICITNGEAHVIAMDESEVIDLTMYD >SECCE5Rv1G0300280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:25130465:25134164:-1 gene:SECCE5Rv1G0300280 transcript:SECCE5Rv1G0300280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNAKASTGHLRVYGPALHDYGAPLMKERDEEASLLLLKIQHHYEEALRRLTVRWRSDVCLGVCVGLLDPVSNIIASILLGLAATEAPVDTDPTAVDGPKLRDMERRSLDGLVAFLTCFFPYLDDWEAVRFLLLADADPIVAARIVIKYRGVRCFREGSAAARGALKLALNCAMVAAKHPCPSQLADVWLLLSSSLHNLVPLLSHVQPDFPRDILHSFHTLVKETAHSPCPPPDGSLVRPWKLAARCGKHATKLTYRHSWSLRRVLLDTIHGFYLQALARLPVGYHRSLLDAGHCYGPLDPVSNIIVNAIWYQATFPPLSQQDELDIVGTLCLMRIEARSFYGLVSFLCTCYQDLDADQAIRVLLDTALNLSATKQCSSVKEEQEAYRAAAIAAWHPRPDAQTGFLSSLKAMSVAPHVLSLLQDGVQYQLSSHCVHQIHTLLRSEYHSIVGVPTQQQRPAPISERQFHFTMSEGRKQRRAHRRISAKVKAALRRYEKQNSGHSYQLHVVCGVNECVSGPDNCEGSGLKPGLDPNDDYYHHTHANFLATRNVAGIVSAPVLFFAELSNHDDDQDSQLLCCPVDLPPPGAAGLVRCLFCEHQGIRIVHPALERFHGHEVEFEKIVRGENLYNNDYYPEGDIEPYTNHQILEHSEYVAKWVHDGLDEDCMYLGSNDFNIEEDESEEEVDDLE >SECCE6Rv1G0411800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611183110:611183754:1 gene:SECCE6Rv1G0411800 transcript:SECCE6Rv1G0411800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLAAPASFPSPTTPFQSYQPPTSTSLSRSSPPFYPSRIMVRWEAPPAGWLKLNFDGSVYNDGSGKASIGGAIRDCNGRVLVAFAEPTEHSTVGIVEARALIRGLRLALSRFRGRLVAEGDDLMLVQLLTGEESQTRVPQAMQEEILMLLGCFAAYKVQHIFREGNQVAHVLCKEAYRRPGVWAGGIMPHAVWKKAQDDVHGVAHERLFKKS >SECCE7Rv1G0519980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866664945:866667177:-1 gene:SECCE7Rv1G0519980 transcript:SECCE7Rv1G0519980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSYDRAAELRALDATCAGVRGLVASGVTTVPRIFRVPDQHDEPQQDATVPAGQEPAAIPIIDLGCGDHAAVVAAVRRAAAEWGFFQVTGHGVPETVMAAATDAVRAFHEADGGEGSDKARLYSREPARAVKYHCNFDLYQSPVANWRDTLYLRMAPTPPDADDLPESCRNVLFEYAHQVKKLGNTLLELLSEALGLKPSHLADIECNQAQVLLCHYYPPCPQPELAIGTSRHSDGGFLTILLQDEIGGLQIFKEEQWVDVTPTPGAFIVNIGDLLQLISNDGFRSVEHRVLAKNVAPRVSIANFFGTHIDPTSTRIYSPIKELLSDKKLPLYRETLASDYIKHYYSIGLDAKTAISHYQL >SECCE2Rv1G0098380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:482106823:482111845:-1 gene:SECCE2Rv1G0098380 transcript:SECCE2Rv1G0098380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPSREGPYSSFPNSDDDEPETSGSERGGGGGGEDAHRPLPLRQQLVGACRADDRLRALITLNVSCSAAENRFISHLSQHFEVSEVGMLARCLCVPLVSLRVGKVDRHGPLLCPTTIRGKLSLGLLPSSSMRLTFSGDDGCSEELALLNDGLEVSEVVIEEISADNSGRSFLIRISESKRLYYWCAEKSKECGMELLAKMKNLLRGRPTLSDLTGISNSRLDAFVTNLHAYLLAPSIGDAKSLASSTDFLGTSSSQGQHLQPPSVISRSSRSRTSAANATKASSIYQASLSPRSSTFKDGVPRTSCAKVVGREKLKRRGDWLGPSTALIDANPLIAKSVNPDSTSEGCDGDCSKNSVTPASSLDLPLSFPLLPPLYPFATQCPLPEGSSENPFKPYYCWCPPCPSSLQYSVTPLHMPVTSVEQFPQSPLGSLVSNEVSSVSSFSAKLDTTNSPSLNLPSILHDPSLHLPLPTSIVPLHGSMVSTYPLLHLPFPTSPLVPVHGLQVPAFPLLHLPLPTSPFVSLHSSQVPTFTPLMSDPIVHVPVIDMCSPGQAYLVSCGPSITSAVPLLPSLKPRIPETESLVERSARETLMRLIASTPPSSNPQLVNILPAVLTDVPENISQSPNVNMHVGVHRNLLSSSWGANVIGSGMAAMELHSEDEVSSGHDAHPMVAFTEFDDINGDHDQSHFRRM >SECCE2Rv1G0108060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:657675888:657689457:-1 gene:SECCE2Rv1G0108060 transcript:SECCE2Rv1G0108060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGGSVPPKTPIAEAPPASRPHVTRNVMPWEKCVAGIQSALKDPTVRFLMERMEKAGCTVLPVLIRAATCHSAGGYASREGILVCCNHMRKQDEITQTVAHELVHAYDDCVVKNMDWKNCAHQACSEIRANHLTGNCHYKRELMKGFLKIKGHEQECVKRRALLSVKINPNCSEKAAEAAVENVWDICYNDPRPFEKA >SECCE2Rv1G0101760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:569231387:569231662:-1 gene:SECCE2Rv1G0101760 transcript:SECCE2Rv1G0101760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFIHEDYVNKRNMVRREQRKKMQMQVLKLGDPGACRPAPAHMKSPGALQQTPTPTGVSPSSTLGSPASGSPEETVSTDHCRLYDCLKPY >SECCE4Rv1G0242680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:486606955:486618657:-1 gene:SECCE4Rv1G0242680 transcript:SECCE4Rv1G0242680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAARGVASRSPLLLHHHRLPQVPAGGGGSLRVGALGAGRDWRRRVRVGVRVFARYSSQPQDFTSRLQDRAGELPKLVEDLLQTSISTGPRGAFRMAQGIQSLLGVGGEWLNDFSKTANTSEGIPVQMRLGLLSPLYLRRLFERMGATYIKLGQFIASAPTFFPAEYVEEFQNCFDRAPPVPYSEIESILREELQQPLDSVYEYIDPVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYLVARVLEFLSPELERTSLVSIIKDIKESMLEEVDFRKEAVNMEAFQRYIEAMGFDRQAKSPFVYQHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRVGFIDFGIVGRISPRTWAAMEIFLSSFATEDYNAMALALSEMGATGNDINVDSFAKDLQKIFSSLQELDTEIIVAAARSSDAAAVSANVVVDERQMNALFLDLVRVSESYGLKFPREFALLVKQLLYFDRYTRLLAPSMNMLQDERINMTSNKQTRRMN >SECCE2Rv1G0112210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:707352458:707353217:1 gene:SECCE2Rv1G0112210 transcript:SECCE2Rv1G0112210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGHEHVIGIPVISTAIGIEEPEFTSDGATYVHGDAKYSTNTRTSGKSGRAGDKFARGIREHVTLGPKLYETVRGKLSLGARILQAGGVEKVFQRWFSVEKGEKLLKASQCYLSTTAGPIAGLLFVSTERVAFRTDRSLALTSPKGDTVRVPYKVAVPLRRVKAARPSENQHRPEQKYVQLVTDDGFEFWFMGFVSYQASLQHLEQAIADSHL >SECCEUnv1G0533380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:29023769:29029438:-1 gene:SECCEUnv1G0533380 transcript:SECCEUnv1G0533380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSALATAVGVNLGLTVLLVSAYSLLRRRPPFVSVYAPRRPYAPLESWLAAAWRRSEDDIHAAAGLDGVVFVRIFVFSIRLFAVVAVVGVGVLMPINFMGDQLRLIDFTDLPSKSVDVLSISNVQDGSNKLWLHFSAVYIITGVACYLLYYEYRYISDKRLEYFMTSKPLPQYFTVLVRAIPITDGGSVSDAVDKFFKEYHSSTYLSHTVVHQTGKLRRLLNETEIMWKKLKNLNYVPHRPSTDNPPKKFLGLFGRNNPLGKYQKRLDDLEENVRMEQSDATRRREIPAAFVSFKSRYASANAIYIRQSDNPTEWQTEHAPDPHDVYWPSFSTSFMERWISKFVVFVASVLLIIVFLLVVAFIQGLTYMDQLEAWLPFLRNILEIAIVSQLVTGYLPSVILHFLSSYVPSIMKLFSTMQGFVSVSGIERSACNKMLRFTIWTVFFANVLTGSALNQLNIFLDPKEIPERLAVVVPAQASFFIAYVVTSWTSITSELTQTSALLYHLWGSCAKCCKGEDSEAPSMHYHSEIPRILLFGLLGLTYSIVAPLILPFVLTYFCLGYFIFRNQLCNVYAPKYDTGGRFWPIVHNATIFSLVLMHLISIGVFGVKEFPLGSSLLVPLPILTLLFHAYCGNRFYPIFEAYSTESLVNKDIQEQSKPEMAEFFSSLETAYCDPALKPIQRSSNSDERTSPLLSSV >SECCE3Rv1G0151530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:39684042:39687343:-1 gene:SECCE3Rv1G0151530 transcript:SECCE3Rv1G0151530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPISISTTTSSSPPLRFAHKRPSASPRLSPAPRFTPAGAGRLRAISPSPTPSPATPQQQQPMEAFGFDALKETFSVDVAAAEARPLNVPLAAPFTIASSRLEAVANVAVRVELSSGAVGWGEAPVLPSVTAEDQPAALAAAGRACAALVAARSAPLGAVLQDVADALPGHAFASARAGVEMAVIDAVANSIRIPLWRLFGGASNTVTTDITIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTANQAIEVLDRLNEMGVTPVLFEQPVHRDDWQGLRDVSVAAMEKYRVAVAADESCRGLHDAQKIINGNLAHVINIKLAKLGVLGALEVIDAARKAGIALMIGGMVETRIAMGFAGHLAAGLGCFSFIDLDTPLLLSEDPVYGGYEASGPVYKFKNARGHGGFLHLDNNNGWK >SECCE3Rv1G0150990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:35122091:35122816:1 gene:SECCE3Rv1G0150990 transcript:SECCE3Rv1G0150990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCCWFLAFAWVWWQPLLLVAAKEPQGEGCSAKCGNVTISHPFWLTDIETGRSCGSPDFEVTCFNNSSPVLRSSIPFSFGFPIINISYEEDRLHVVDLGKMQILPTSDSCQAPMLNTSVKLGHPFRVDPGSLNLVLYNCTEAAAAAAARGDRELVQTTAQCGKESKVLVRAGGRYNESSDYGGYVGCNAIILPVMGSSGEANASDYVRLISDGFLLTWDEPAPLPCKFTHQLIFSQVRS >SECCE5Rv1G0340270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:613631648:613633768:1 gene:SECCE5Rv1G0340270 transcript:SECCE5Rv1G0340270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDTDSASPHTPSTPSEDHLMWTYIEALIIVAVLALFLLHVLGSLRRRSSNKALHAIVLGVYTLSYTLVSYTIGLMQRSDSYVREFSVWAVCLLMLLGGTDNLMAYGLNDVDNWKSFQVRHLIQGAFVVFIVAVYGTASRVQGPLWAILAVNALQSCVRIKSMKMASKSHLLSKSVKPIIDHMKRERESRDRQLGEPSGQRPNPVTMEGYRYIVAGEHLWKNNAVQPLNQSKDGNIDGLNITTVEKVWQCPGSLLSSKTGLRLKDVCLSMALSKMLNRRFAGYELVEAELEETRAFVFGGLLVGDEPYERAFRVIGVELGFVHDLYYTRYPYLYHKARYFGLCLPVAMVVLCSWLTYQLFNKFKGDVFSPDTTVFLMAVVTFLEAFQLYLHMASGWFKVALIRSYIARQSCCCFPRTIIWLLLRLKSLRPWEGKLGQYSLLRHYDGTRRGSSCLHFMTLCLVDKTKKGRKRGKLVELSGEVKQAIIDSLLESKGRLTNGTRSLQKAGVDGKLSWACRPGDGMVTRTILVWHVATTICKQLEPENDDKQRSQVASDLSQYCAYLVAFAPDLLPDHSFDTESMLGKCIKEARELRLPGGAKEMKSKCEELMKISGTGKDGGADTIFHMGGRLARQLREEIQEPALQWKLLSDFWAEMMLYVAPCEDARARAHLEALARGGEFITHLWALLTHAGVLGRCPAGQEAV >SECCE3Rv1G0202190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:871546366:871546863:1 gene:SECCE3Rv1G0202190 transcript:SECCE3Rv1G0202190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSRSTVGIGGGVDMCAMVAEHERIEWEVRPGGMLVQKRRGPDDDGCDDAVETILVRVSAGCGGWQHDVSIDATATFGDLKVLLSLATGLWPREQRLLYRGRERDDGDHLHMAGVQDKDKVLLLEDPAVTERKLRSTSLAQLMGVPCHSFIQV >SECCE6Rv1G0378100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:4722495:4722821:-1 gene:SECCE6Rv1G0378100 transcript:SECCE6Rv1G0378100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSISIAVAPPASGWKSGRKAGAAPAPAEGEAEAVLLRRRNAELEREVALLRAELEAARLRAEAAEEAEERLCAQLGEAECEALELARAYQGHVQELARELAAARSR >SECCEUnv1G0531420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17413625:17414113:-1 gene:SECCEUnv1G0531420 transcript:SECCEUnv1G0531420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALSLPATVRPASSGPGHQLYGSPPRHAPVLRPRRPAPCHGLLALRPRTRRCTAFGQDHYGGALVEEGMAVLRRRIREARMAETNYEAPAGWADWEKRYYPGYVSDVSALAKALQLLAMGTRPGVVAAVAALLLAGVPVSALAARHLLGQAMESVLHHVS >SECCE7Rv1G0498870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:647644604:647647232:1 gene:SECCE7Rv1G0498870 transcript:SECCE7Rv1G0498870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQDKASEWSGVAAADAFAIDEVNVFEALGGTPQPFVDLSTNFYTRVYEDEEEWFREIFSGSKKEDAIQNQYEFLVQRMGGPPLFSQRRGHPALIGRHRPFPVTHQAAERWLHHMQQALETTESINPDTKTKMMNFFRHTAYFLVAGNEMTRQTQSLPPCKHATSKPAE >SECCEUnv1G0563940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:379054291:379055748:-1 gene:SECCEUnv1G0563940 transcript:SECCEUnv1G0563940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPEALVTEILKRITSTSDLNSLSLVSKQLYKIEGNQRAAIRVSSGLCTATEALTSLCARFTNLQKLEIDYSGWIPEHGNQLDNKGLFVFSSCRSSLIDLTLSFCSYIDDSGLGCLAHCKKLVSLRLNSAPKITSIGLFSVAVGCISLSALHLIDCEKIDSVEWLEYLGRDGSLEELVVKNCKGINHHDFLKFGSGWMKLQKFEFERKRERDDHYIGFDFYDPSYDAHSTDIYDFCCESLKGLRLAHIKTWPEIGLRVVLGKCKALENLCLEYVHALNDNDMIALFRSCSNLKSISLGLNLQRYRSEDGYCETRTSFTDNSIYALARNCPMLQIVDLKFTGCSRDWPSEIGFTQKGFLALIQSCPIRVLMLNTANFFDDEGMKALSSSPHLETLELILCHAVTDAGMRFIAHTPCLSNLILRACHNVTDVGMAELGHAHKLESLVIEYCGEVSLQAAQGVAKSVHYSEDFSDSLMKAIGLGAY >SECCE2Rv1G0101350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:562225212:562227124:1 gene:SECCE2Rv1G0101350 transcript:SECCE2Rv1G0101350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGDGGGDGGGAGEGFEERVKRLFGSRLFGDVPSSSFPAASWSVAAGDVERQRWARPSEARDEEEERAAAERADAPCSSAFYDANGCLRGRRRRSKQDFEDDPEDDDEDEEDVKGEDLRKEEQDEEEEVRVSIGLDPTLDREEEEDKYDRAAFGKEDAAERVYMSEIMDEGINMSINTVVPDLLDDTIDEICGLSKDPRADLDAASARLREDNGSVKGGLRSPTQTKECPTAGMQAMRAQDIGVKPILKRKEEQADSKPRKRVRFNADVKEQPVELLEHDEDSPMVPQSMDVVTTKGDSSTPSGVPDYVKNPSKYTCYTLETPESTDESNRRALADLHNLLGRSDPNKMQPETPAEIPSSVTFIPRKKSVDAMVVDEGPRFSGANPSLISAAAAVASDGTDQCEMDEDDPKASPPPPLMQTNSKMNSRRYRSSRADDE >SECCE7Rv1G0498970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:649469230:649469898:-1 gene:SECCE7Rv1G0498970 transcript:SECCE7Rv1G0498970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSKDAPSEISHPAHPEHKLKLMATGAAEFQCDVCKELGAGDRYTCRPCDFDLHRDCALAEATLVHPLLKGREFQLRLEHPRHSDAGGRTCGACGGKVLGLHYHCAAKKGLDLHPCCAALPLVIPQEELTLELRKEASHRCSSCRERGRGRTWFYRSTCKTVYLHVACVKEIARRNRGPGDGSSSTDPFASVKEAALQIYRAKKDESELERVILELVLGG >SECCE5Rv1G0349870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:687249197:687254478:1 gene:SECCE5Rv1G0349870 transcript:SECCE5Rv1G0349870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYLSTPKTEKQSADGEGARVRYGLASMQGWRTTMEDAHTAVPQLDERTSFFAVFDGHGGKAVAKFCAKHLHMQFLRSAAYCSGDLASSARKAFLRMDEMMKGQRGWRELAELGEKGPKLAGMLESIIWSPKGGDADKLGDGWHSEEGPHSDFSGPTCGSTACVAIIRNDQLVVANAGDSRCVISRKGQAHDMSRDHKPELQSEKERIENAGGYIVAGRVNGSLNLTRAIGDMEMKENKLLPAEKQTVSAEPEVNTVTLSEDDEFIVLACDGIWDCMSSQQVVDFVHEKLKTEDSLSAVCEKVLDRCLAPESGGEGCDNMTMILVVLKKPTDSAATSSSEQSLVTPTKSAPTSSSEQSAVTNEEMRPSEPDAPKTPSTK >SECCE1Rv1G0059600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:706978942:706982383:1 gene:SECCE1Rv1G0059600 transcript:SECCE1Rv1G0059600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPESGIIASRWRELQGAGSWEGLLDPLDRDLRASIIAYGELAEATYDGFNSQRRSPHAGACFYGHADLLAATGVSSAGHYAVTKFIYATSGFFLAPTTGTSVPDAFFVLPLPALLEEPWCRESNWMGYVAVATDEGVAAMGRRDIVVAWRGTVESLEWVNDLDFTPASAASVLGSAAGDFDSAVVHHGFLSVYTSSDEDSKFNQESARDQVMEEVRRLMEVHKEEVTSITVTGHSLGASLATLSAIDMVSHGVNMPPSSPQQQPPCPVTAILFASPHVGDDNFKSAFASFPDLRALHARNTGDVVPLYPPIGYVDAATVVLPIDTGRSPYLKQPGTVQTRHNLECYLHGVAGFQGTDGGFKLEVDRDVALVNKGADALKDKYPVPPNWHVINNKSMVRSSDGHWMLRDFEET >SECCE1Rv1G0059200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:704278618:704279406:1 gene:SECCE1Rv1G0059200 transcript:SECCE1Rv1G0059200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAATLAAELDRLLSMARELEARVDGEQGAPGAARELCAALAESVDRAMRLAGSSPRGGDGECNAGTGRASVSGQVRGGRKAGANKVRTQVRVASVTDAGPLNDGLSWRKYGQKDILGATYPRAYFRCTHRHTQGCQATKQVQRAHADPLLFDVVYLGAHTCAQAAAILAGPEHQPEPPAAFGLEHQQQQTSPEEGIQWPVEPVTPPPFPSSPAGCYTPGSPWCHLAGSYGYAAGGGLGADMEYEYDPQFDELFLNLSEV >SECCE2Rv1G0127850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:856663582:856665291:1 gene:SECCE2Rv1G0127850 transcript:SECCE2Rv1G0127850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMACIRICRYEQAAAMAAQDTSSIFLILIIALVIVIVILLGICWRFLGPGIMRRLLRPRRCPSEVPEYFSGNMSGNLRTITYFDYVTLKKATKDFHQKNQLGRGGFGPVYLGKLDDGRKVAVKQLSVGKSGQGESEFFMEVNMITSIQHKNLVRLVGCCSEGTERLLVYEYMKNKSLDKILFAAADVPAPAPPFLDWRTRHQIIIGIGRGLQYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQTYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEIISSRKNTDLNLPNEMQYLPEHAWRLYEQSKILELVDGRVQGGEGFEEKEVTQVCQIALLCVQPYPNSRPAMSEVVRMLTMKTDQSIPAPAKPAFLDRKSLNGDRDAASSDTATMEMMRSPAGYWMMTPSPMLDVDRPYDISFGK >SECCE4Rv1G0216420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10798567:10800177:1 gene:SECCE4Rv1G0216420 transcript:SECCE4Rv1G0216420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLETMDDSSLFMQWAVDTLQHEHPPVAAAYAAGDTFPSLQELRRSALQHGTVPAGQDGHRHLVADSWSSGDSTGGHENTSAAVVENDVWSSSSAKCATTCSVGSNNYLPMSWNFTSAMAQPSNEAAPRPATAPSGALDGQGVTVTEQQAHVSPPSRRASPKSAARAGHAPYAQDHIMAERKRREKINRRFIELSTVIPGLKKMDKATILSDAVRYVKEQQEKLKALEDRNRRSVAVESVVLVKKSRTAVAVPEDDCPSPSAGAVATTTRSALPEIEARISESDVMVRIHCADGKGVLVRLLAEVEALHLSITHANAVPFPACTLIITVMAKVDEGFSVTAEDIVGKIEAALPAPTARS >SECCE5Rv1G0331300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:537799516:537809113:1 gene:SECCE5Rv1G0331300 transcript:SECCE5Rv1G0331300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKPGMSALVTGGGSGIGKALCLALAHKGLFVTVVDFSEEHGGQVASLVQKGSSQIHGDSKVPSAIFIKCDVTDADALAAAFGKHVNLYGGLDVCINCAGFVNKSLVYDDTSNGTKTWRRAVNVNLVAVIDGTRIATQIMRAQKKPGAIINIGSVAGLYPMHYEPIYSGTKGGVIMFTRSLAPLKRHGIRVNVICPEFVQTNMGEQVNRVLVDALGGFLKMEDVINGAFELIEDESKAGACLWISKRRGMVYWPTSEEEKKYLVYATKSKMTLTKNRFPSIQTPKFFEKITVHMLSHNFRNATRVDRVRLRLPMEPHSALVKIIYAGVNASDVNFTSGRYFSGNAKEASAHLPFDAGFEAVGIVASVGDSVRHIKVGTAVALMTFGSYAEFTVVPAKHLLLVPRPDPEVVAMLTSGLTASISLEKSGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKVIATCGGGNKAALLASLGVDRVINYQHEKIKDVLKKEFPRGADIVYESVGAEMFDLCLNALAVRGRLIVIGMISQYQGKDGWMPRNYYGLCEKILGKSQTVAGFFLIQYADLWQKHLDKLFDLYASGKLKVSLDPKKFVGVASVADAVEHLHSGRSVGKVVVCMDPAYRQTLAKL >SECCE3Rv1G0191460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:748305772:748306170:1 gene:SECCE3Rv1G0191460 transcript:SECCE3Rv1G0191460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMTFYWGKNSEILFHGWPRSSGGMYALALLVVFALAVLVECLSACRWLESRLSIRDRPVAARAARAAVHALRVGMAYVLMLALMSFNVDVLLAAVAGHAVGFLLFRAVLFGRQAQVVGDEAKDYLAPAACC >SECCE6Rv1G0398430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:420532361:420533641:1 gene:SECCE6Rv1G0398430 transcript:SECCE6Rv1G0398430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLMCPLVVLLLLLTSVAAMAGDSGEPELSYNINQHPSSSLYPQPRDFPSEQLYHAYFAIQRFKSTITCDPKNVTSTWTGHDICATTSYLGFHCGAPHRQASNLTVTSVNFDGFGLCSPMQQRFVDQLPDLALFQASSNNFGGEVPILTGLPYQYMLQVHNEKSDRFEESKRGDEGTSHLSNVCVMKKCLNAYLPYVPRNGATSAKALLLNNNQLSEPLPANLGFSKVSYLALSNNKLTGPIPPSVAHMQDSLLEMLLLNNQLSGCLPNELGMLTKTTVIDAGMNQLTGPIPASFSCLSSVEQLNLAGNRLYGQVPDALCKLAGPAGRLSNLTLSGNYFTSVGPACSALIKDGVLDVKHNCIPGFANQRGPAECASFLSQPKTCPAASARVACPAADTKKNAAAPEGRVAKDYSSYVVYATLHE >SECCE1Rv1G0014640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:115568205:115573300:-1 gene:SECCE1Rv1G0014640 transcript:SECCE1Rv1G0014640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDARVAPVADERPPAADADEVAAAEGTKSAGAAAAEVCGVGEDEEVRFEGKDRSFTGSEANNGGVVEAEDGEEVEAAVGDGKDASEGAGEEKEEAAAAAKEEEGDRELSVEDVKAALLVQAFAAVKPESDNGGLGEGDASLPSPDAPVGDKKPELADEPEESATLEVKEVGNVALDAELSEEKPEGEKPAEVAAVGEDDGEFDSEKAVTASTTSMEASEPEDKVAPTVEANGNLGGEAEAPAEMVAVGDEEAPEASLENEADVEDKAAKQEPESDASPVVTDEGNRADVEDAAAKPEPESNATPVVVDNGTVENHANVEDEAANLDLVNDASPVENHANVEDEAANLDLVNDASPVENHANVEDEAAKLDLVNDASPVENHANVEDEAAKPDPVNDASPVVIDNGSLDNQANEEDEAAKPEPESDASPEVIDDISSESLVKLAPSSVDVPLTESNEKAQNAEDQVVASETVENVGVEKPTEVESVVAGGDDVILSRELAPEPVKENNDGVDENEPAAEVVSHKEEAGDDGIVVAAAVDDQKTVAAADDEDTGGEENEGAQDVADREVEAVDDEIVLAAADEEDGSGNEGDEDADEVSFDRSPARVAIIENSEAAKQIMKELGEGSSSGSPVSGLSSSREYTNSMDGQIVLDDSEDDDDDDDNEDDDEKGFDSAALAALLKAATGASPDGNITVSSQDGSRIFSMDRPAGLGSSAPSLRPTAPRQPARSNLFSPSELAVTAEPNDEMTEEEKKLHDKVELIRVKFLRLVYKLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLENARKKALLLEAEGKEDLSFSCNILVLGKIGVGKSATINSIFGEVKSKTDAFGAATTSVREIVGNVDGVKIRIIDTPGLRPNVMDQGANRKILASVKKYTKRCPPDIVLYVDRLDSLSRDLNDLPLLKTITSVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRKNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPSPGKLFGFRFRSPPLPFLLSSLLQSRAHPKLSPDQGGNEGDSDIDLDEYSDIEQDEDEEEYDQLPPFKPLTKAQLARLTKEQKNAYFDEYDYRVKLLQKKQWKDELRRLKEMKKRGKSDMDAYGYASIAGENDQDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEESLALLNKFPGTVAVQVTKDKKEFSIHLDSSISAKHGEDASSLAGFDIQTVGRQLAYILRGETKFKSIKKNKTTGGFSVTFLGDIVATGLKVEDQLSVGKRLALVASTGAMRAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITVRTSTSEQVQIALLGLVPVIASIYRSFRPGEPSFAY >SECCE5Rv1G0366670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815326130:815329500:-1 gene:SECCE5Rv1G0366670 transcript:SECCE5Rv1G0366670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVCYQPSAKKRGERRRSHQRDRDGTDLAAAAAMGSPLGGWPSHNPENFSQLVPADPSAQPTNLTPTTYIAAHKTDPPPNQVITTEPRNILLRHFYQNSENKPRPKRAAPESVALRNGKQARSPADGGSQSSTRS >SECCEUnv1G0537950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:70680722:70681056:-1 gene:SECCEUnv1G0537950 transcript:SECCEUnv1G0537950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRAAIVCILVVLALVGTPISAENCTVVDDGGEFFCTNPLCKATCEVFARDRKGSLKDYHCEKKNAIKAVCYCNIC >SECCEUnv1G0540200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78554658:78558458:-1 gene:SECCEUnv1G0540200 transcript:SECCEUnv1G0540200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSTARARRRYPGYDDPMQLAAQTAFSVSEVEALFELFKSISGSLIDDGLINKEEFQLALFKSKMDNIFANRIFDLFDVKKRGVIDFADFVQALNVFHPSVPMEEKIDFSFKLYDMDNTGFIERKEVKQMLIALLSESGMRLSDETIESILDKTFSDADVNQDGKIDRAEWENFVSRNPSLMKIMTLPYLKDITTTFPSFVFNSKVDDIVT >SECCE7Rv1G0470180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:117026691:117027239:-1 gene:SECCE7Rv1G0470180 transcript:SECCE7Rv1G0470180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNE [Source:Projected from Arabidopsis thaliana (AT5G48170) UniProtKB/TrEMBL;Acc:A0A178UIU3] MGGPPAAPRQEEGAVLVTELEVHVQLLAGGGGGAYNINDNADILSEILARLDGRSLASAASVCRLWAAVSRRDAVWEALCLRHVGPASGPAAGHATRTVVAALGGYRRLYRLCLGPALDRLGRAGALAHAQARARLSLSLSLSLFSIDCYERLGGGGGASAGAGRQQPPSSLLFLCKPVDVS >SECCE4Rv1G0278100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:807272128:807272604:-1 gene:SECCE4Rv1G0278100 transcript:SECCE4Rv1G0278100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSAGLALMAAALAMLVLPSSGQCLSPSPGPAQAPPLPAPSPPSAPAPAPAPWDPPCSESRRQHCYSVTYPACRSACLASRKCSECELYDARCGKCRAAEKDKCRAKCAGGGGCDCDGAAQSACRDDCDTQACSRCAYGNDKNCHTGCWAECIRC >SECCE4Rv1G0245060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:524473759:524480163:1 gene:SECCE4Rv1G0245060 transcript:SECCE4Rv1G0245060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPPLNLTCTPPMAAAAATRTAARFAGEPSRAPAGSTRPRPGRVAMRASESWRRRATGRVRCGGGAGLFGDGALGGGEAEADEGGRFVGWFREAWPYIRGHRGSTFVVVISGEVVSGPHLDGILQDISLLHGLGIKFVLVPGTHVQIDKLLADRGKKANYGGRYRITDSDSLDAAMEAAGRIRLTIEAKLSPGPPMLDLRRHGVNGRWHEISDNVASGNFLGAKRRGVVGGIDYGFTGEVKKIDVSRIKERLDRDSIVVVSNMGYSSAGEVLNCNTYEVATACALAIEADKLICVVDGQIYDEHGRVNRFMSIEEADMLIRTRAKQSETAANYVKVVGEEDISYAHNFPIKEETEQVWVGRDFVGDYTASFRNGVGFNNGNGLSGEQGFAIGGAERLSRSNGYLSELAAAAYVCHGGVQRVHIIDGTVGGSLLLELFTRDGAGTLIARDMYEGTRTAREEDFSGIRKILRPLEESGVLVQRTDKELLEALKSFIVMERDGSIIACAALFPFFEDKSGEVAAIAVSEECRGQGQGDKLLDYVEKKALSLGLEKIFLLTTRTADWFVRRGFKECSMESIPVERQKRINLSRGSKYYIKRLQPAEIGHMAANNFALK >SECCE4Rv1G0218550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:22972919:22973354:1 gene:SECCE4Rv1G0218550 transcript:SECCE4Rv1G0218550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSNATRMAAIFMVLMIMASTRSSSSCYAHTIEDGENSTLCFHVENCQRDSCQTACRFSSNPSTGAYCKLTNYCCCV >SECCE5Rv1G0342680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633410105:633411364:1 gene:SECCE5Rv1G0342680 transcript:SECCE5Rv1G0342680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKPHPAASSSGDLPADALYEVLLRIPAKELCRLRAVCPAWRALTSDPPFVAAHKSRHHTAPPLLAMGYRDDSGVSGVAISDLSGNVVKRIPGTEYELVLVNESGDAIGRFTSKDDSICVVRTRLDLVCFNRNALCGFFWVLNPATGATIDLPLDFSEEIAHELEVKGIKEWGCLDESFAFGQVSSSKEYKALRISRVDDQKVCEVITFDDTNYGSWRRKQDPPSNICTSHRMSYAVVDGVVYFLMEFCYSNYKTGVITIEPGSVASFNLDTEEWMGVLRGPERLERFLQESGGYTYSGLEHELSLTELKGCLVVVHNIYKISMGLWFLTDSEKGIWVKKYSLPSHVARLFWYPFLMLDDGRIFLSGMDCVEGILSGGERGEGFLLCYDPRNGTCTDELKLRDPRSIGIYTGSVLSL >SECCE2Rv1G0068840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25500622:25501759:1 gene:SECCE2Rv1G0068840 transcript:SECCE2Rv1G0068840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAALAALAISCLLILHPCSVSGWSDGGATWYVPPEGAGTDGGACGYQRDVEKPPFSAMITAGCPSIFNNGKGCGACYQVRCTGNPACSGLPVTVVVTDECRGGPCLAKTAHFDLSGKAFGAMAKPGQADNLRKAGSITVQYNRVPCNWHGLDIVFKVDARSNPNYLAVLIEYEAGDGDLSAVELQQRGGGWASMQELSGAVWKYKSRSTLQGPISIRLTSGSGKQLIASNVIPSGWQAGRTYRSVVNY >SECCE3Rv1G0151400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:38416152:38423079:1 gene:SECCE3Rv1G0151400 transcript:SECCE3Rv1G0151400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTSLLSILVSLFLFHQHAHGGCKPVACGNFTIKYPFWLGAPSRPPPEPSCGHPGFELWCIGGNTTASMSGSKAPAASWATCYVNCTGRRGSPIHVHSIDYGAQSFVVVHSRVAAGMDGVCLADFNVSASLALSPFRISPSNRALGFLFNCNGTEPRGHEYANATAGCNRPIVVYLGGSYDRDTPPAIPTGKCTYTYQPVLGTEAAVSTAANYSRLLKSGFLLDWAGTGIDDCPACVASGGQCRYRSAAASLVCLCPGGKLHGPTCAGSKGPSKTIIIIGMTSAAATLLLACLYVIIWHRKGKRLWFLLCKKASSNTEKNYEAMIVSYGSLAPKRYMYSEVMKITSSRSNQLGKGGYGVVFKGRLHDDRLVAVKFLHDCKGNGDEFVNEVMSIGRTSHVNIVRLFGFCLEGSKRALIYEYMPNGSLDKYIYSENPKEILGWERLYAIALGIARGLEYLHHSCNTLIVHFDIKPQNILLDKDFSPKIADFGLAKLCHTKESKLSMTGARGTIGFIAPEVHSRSFGVVSTKSDVYSYGMMLLEMVGGRRNVKSIVAKSSEKYFPDWIYDHFAQDDGLQACEVTSEIEEIARKMTLIGLWCVQILPAYRPTITKVLEMFERSLDNMDMPPKQNFAGLLESSAHNMDVQSSSSTRCEEISLVNSKIVQQWPTL >SECCE2Rv1G0115650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:754014728:754016717:-1 gene:SECCE2Rv1G0115650 transcript:SECCE2Rv1G0115650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHWPQGLGLVKPMEEMLMGAPSANQQVQGSNPNPPAQAPSSAPGAGGPMRGGTPAMAVVASGVGAGSTERRPRPQKEKAINCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSASASASAAASTTASVANSSMLGAAPNKNPKLAHEGGAHDLNLAFPHHQGGMQAQPDYMAFPSLESSSMCNPGGGAMGSNGARAGGALSAMELLRSTGCYMPLQMPMQMPGEYGAAGFSLGEFRAPAPPQSQSLLGFSLDAHGPVGGASSAGYGSSAAMQGMQDRSGRLLFAFEDLKPTASTGAGGGESGGGSGVGGVDGGDHQFEQGNKEQQGNGTPVGQPDTPGFWNGMIGGGGTW >SECCE5Rv1G0305170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:67816690:67817811:1 gene:SECCE5Rv1G0305170 transcript:SECCE5Rv1G0305170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARQFLNVVMQKYGRGSLYTVSRIKPEEQLFYPSTAEAQAAAKSKPSMDWPSSAVVPPWMETISRMPPPRLRFERSVSDDKRLDFLPFYERGSGGDSKIFCVDAAGRTVLYDIEAGSIQTLPCLNSPKGSRPIGFSTTNPDAPDRERADVFYLMERFPVSCNPCNFEALMYSDPSNGGRLKGWHWHELPPPPAHVDNCIVNCHALLDIDGDSILAVSSTEKSLGTYCFNTVSSKWFKAGSWTLPFCTRAEHVPELENLLFGISIDRPNHFCAMDISSLCTDRVPPLVYSWPELDLPDDWLMLDCSFVYLGDGRFCITKVFEFGLDEDTGDLTEMGAVMSGVEVLHHEKSGLVMVNHRSKFYNFIRDDIQCVL >SECCE6Rv1G0422440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689821358:689822306:1 gene:SECCE6Rv1G0422440 transcript:SECCE6Rv1G0422440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDARRKSMLDWPIRFEIIKGVARGLLYLHQDSRLKIIHRDLKPSNILLDAEMSPKISDFGMARIFGGNQQQENTNRVVGTYGYMSPEYALKGAFSVKSDVYSFGVLLLEIVSGSKISSVHLKADFSSIIAYAWSLWKDGNTHDFVDSSIAGSCSLHETLRCIHIGLLCVQGSPNARPLVSSIMSFLENGDISLPTPKEPMYFAEDNYGTDGAAENTAKSANNMSITVLDGR >SECCE2Rv1G0108290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:660386361:660394603:1 gene:SECCE2Rv1G0108290 transcript:SECCE2Rv1G0108290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APC2 [Source:Projected from Arabidopsis thaliana (AT2G04660) UniProtKB/TrEMBL;Acc:A0A178VYA9] MQLDDSDGALGSWARFCALSGELVGGAGDLSVGPRLAPVVADLCARGLATLVRDYFLHNLEETFRNNAVKMFWQKFHPYSSSSAVERIKFCVQESWPEDVLSAALEDICLEKSYQEKCVLVLAHALQSYEEKAQNRKLKGVECSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELNTMVDASDENGQLASHELTGRSNVSASDSKMDIDGQETVISESCTLVKNIGKVVRDFRCLGFTSMTEDSYSSAIIWLLKSKVYELAGDDYRIPVLGSVKKWIQVVPLQFLHALLTYLGDSVDHDSGLSGLKSPLASRPSSFPGIGVPSEALLRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRSIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNTSGGNASDNLLEELNRDAENQENAEYDDHTNIDEKQAWLNSESWEPDPVEADPLKGSRSRRKIDILGLMVSIIGSKDQLVNEYRVMLAEKLLSKSDFDIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLLNAPGTVAGQEEAEISHDVLDATIISSNFWPPIQTEDLAVPASVDKLLSDFAQRFHQIKTPRKLLWKKNLGTVKLELQFEDRSMQFTVVPVQAAIIMRFQEKPSWTSKALATEIGIPVDSLNRRIGFWTSKGVLTESVGPDADDHIFTIVDSMSDVNKNSIVNESCEVFQMNEDEGESSVASVEEQLKKEMTVYEKFIIGMLTNFGNMTLDKIHNTLKMFCAEPSYDKSLQQLQSFLSGLVSDEKLEMRDGLYLLKK >SECCE2Rv1G0101410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:564204152:564210371:1 gene:SECCE2Rv1G0101410 transcript:SECCE2Rv1G0101410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYKLMDQKTPFGEHKLLGHQRHVNLPPTPWRADQDPLQQHDSFSKPLALFPNARKGHLNMTQYENGLFSSSLPDIFDNKLRLTPKNGLVGQSAEKELNHADDEPFELTQEIEAQVIGNLLPDDDDLLSGVLYNVGHPARANNMDDIDDDIFSTGGGMELEADENNKLLKLNGRANTGQTGLNGLLYGENPSRTLSIRNINTNVEDTELKLLFEQYGDIRTLYTAYKHHGLVMISYYDIRSAERAMKALQSKPFRQWKLDIHYSIPKENPLENDNNQGTLGVINLDQSVTNDDLRHIFGGYGEIKAIHGTSQHGHHKHVEFFDTRAAEAALYALNMRDIAGKKIRLERCCPGDGKRLMRHRPPELEQEEYGACKLGNANSLPSTYYGSVNMASMTSAGPEHGISRVRPRVQPPIHQFREGAFLDVPSSTMQSISSPVRIATAVTHNNRSTVGENGHSLGKMGGQINGHLNYGFHGVGAINPHSLPDFRNGQSNGISFNLGAISPIGVKSNSRTAEGMESRHLYKVDSANLGGHSSGHTEAPGFSRTGSCPLHGHQVAWNNSNNSHHHTSSPMLWPNSGSFINNIPSRPPMQAHGISRTSRMLENVLPVNHHVGSAPAVNPSILDRRTGYAGELMEVPSFHPGSAGSMGFSGSPHLHQLELTGMFPQSGGNQAMSPAHIGARSPQQRGHMFHGRGHIGPPPSSFDSPGERARSRRNESCANQSDNKRQYELDIERIVCGEDSRTTLMIKNIPNKYTSKMLLTAIDENHKGTYDFIYLPIDFKNKCNVGYAFINMISPEHIVPFYKIFHGKRWEKFNSEKVASLAYARIQGKSSLIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTHIRSRPGRSRVLSCEESHRDTLSSSANNWTPSNGGGHASGYSKEADPTTA >SECCE5Rv1G0309310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:150116303:150117554:-1 gene:SECCE5Rv1G0309310 transcript:SECCE5Rv1G0309310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQKALPPELADNALRLYRECLRRAKFIGSQQHNTGLLVSMVRQQFKKNMHETDPEKIQKMKDDAARGLINHIIYESEKMTGRKFSG >SECCE4Rv1G0259810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:690428872:690435751:-1 gene:SECCE4Rv1G0259810 transcript:SECCE4Rv1G0259810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVREAAAAEAAAGHVVDDYDVSDGEMDVDVDAGSEPQHAAGAGDGDGDGDDEYALLTRITDTSAAEARAGKDIQGIPWERLQITRQDYRKARLEQYKNYENFPQSGELMDKLCKQVESSSKYYEFRYNTRIVKPSILHFQLRNLLWATSKHDVYFMSNSTVGHWSSLSHKMTDVLDFSGHVAPAKKHPGCALEGFTGVQVSTLAVNEGLLVAGGFQGELVCKSLGERDVKFCTRTTLSDNAITNAMDIHRSTSGSLRITVSNNDSGVREFDMERFQLLNHFRFNWPVNHTSVSPDKKLLAVVGDDRDALLVDSRNGKITSTLVGHLDYSFASAWHPDGVTFATGNQDKTCRVWDIRNPSTSLAVLRGNIGAIRCIRYSSDGRFLLFSEPADFVHVYSAAECYRKRQEIDFFGEISGISLSPDDESLFVGVCDRVYASLLNYRMVHAHGYLDSYM >SECCEUnv1G0557870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:327791506:327792700:1 gene:SECCEUnv1G0557870 transcript:SECCEUnv1G0557870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPYLVLLLCLTTFLQAAANYPPPPPPPFELPESEVRERFSKWVTKYSKHYSCQQEEEMRFQVFKNNTNAIGQFDQQNPGTVVGRGFRPNAFQVGVSGGVRMNRFGDLSPREVIQQFTGLNTTSVNATSPTYLPYHSFKPCCVDWRSSGAVTGVKNQGTCGSCWAFAAVAAIEGMNKIRTGELVSLSEQVLVDCDTRSSGCSGGHSDSAMALVATGGGITSEERYPYAGFQGKCDVDKLLFDHQASVKGFKAVPPNNEGQLAIAVAMQPVTVYIDASGFEFQFYSGGIYRGPCSANVNHAVTIVGYCEGPGEGNKYWIAKNSWSNDWGEQGYVYLAKDVPSSTGTCGLATSPFYPTA >SECCE4Rv1G0247270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:556160734:556165343:1 gene:SECCE4Rv1G0247270 transcript:SECCE4Rv1G0247270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAVFSLLATITSVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRVFLGTLREAEVERLNEQSWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHIRIVSFMLFLLVVDCLFLANSLGSLIQKREASVAIFFSFEYMILATSTVSTFVKYVFYVSDMLAEGQWENKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRISDYVRYRKVTSNMNERFPDATPDELDASDVTCIICREEMTTAKKLLCGHLFHVHCLRSWLQRQHTCPTCRAPIIPPDNGRAASAQQHGAQPGVQPGTGTPSSGGAPTENVNMRQAKLEAAASAASLYGRSFAYPPVNTLNRHSGPLHATPSTSQSGEASTSTGHPLEPQPSYSRGPVSSITGSGDPGNSLQKAYEKAIRSQIEVLQIQLQMMQQGATASTTSNENAEQAGK >SECCE7Rv1G0454160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:1530474:1531097:1 gene:SECCE7Rv1G0454160 transcript:SECCE7Rv1G0454160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALPLPSAVCLLLLVVVAAPVLCGAQSPISQPPSAGAPTAPPLLRPDADSDDGDNGSAAPPSLAPALSPGDDKAASPPAPTDTSPAHSPAPAPSSSLHSAAAPAPAPGAADKEGDDDDDYSGKKKVNAPAPAPAAMEVKADSGGEAQPQEVAERREEMNGGKKAGVVVGVFSAAAVLCLAAVVYRKRQANIRRSRYADYSARLELV >SECCE3Rv1G0163910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:153751097:153752404:1 gene:SECCE3Rv1G0163910 transcript:SECCE3Rv1G0163910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLQALSLLVLLASLVSSAAPPPSGPGHRFTLTHIDSKGGFTKAELMRRAAHRSRLRVATSAFSSSEAGPRLRSGQAEYLMELAIGTPPVPFVALFDTGSDLTWTQCQPCKLCFSQDTPVYDPTASSSFSPLTCSSDACLPVWSRGCTPSSLCGYRYAYGDGSHSAGVLGTEKLTFGSGPGQVPAAFAGAVAFGCGRDNGGDWYNSTGLVGFGRGSLSLVAQLGFGKFSYCLTDFFNTSMGSHVLFGSLAELAHGGGAAVQSTPLLQSLQSPSRYYVSLEGISLGDVRLPIPNQTFELHADGTGGMIVDSGTIFTVLVESAFRVVANHVAAVLDQPALNTTSLESPCFPAPAGERQLPAMPDMVLHFAGGADMRLHGDNYMSFNEEDSSFCLNIVGTTSDSTSVLGNFQQQNIQMLFDITVGQLSFVPTDCSKL >SECCE3Rv1G0202960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:879406658:879407158:-1 gene:SECCE3Rv1G0202960 transcript:SECCE3Rv1G0202960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTKALTLGAVAVLAIAMAGAHAEQCGHAADGMECPNNLCCSAWGYCGLDANYCGDGCQSGACYEPKRCGAQAGANAVTCPNNHCCSGDGFCGYGQEYCGDGCQNGPCRANIKCSADKPCLSNFCCSKYGYCGLGVEFCGQGCQSGACHDAVGAAALPLSSIVQG >SECCE5Rv1G0349650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:685790890:685796190:-1 gene:SECCE5Rv1G0349650 transcript:SECCE5Rv1G0349650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) UniProtKB/Swiss-Prot;Acc:Q4V3C1] MDAAAAAAHGAAAGGQQQQQPPPAPRAERLNSDVHIQLNLEGMRARAVGLYKAISRILEDFDAIGRVNPSASPKWQDVLGQFSMVSMELFNIVEDIKKVNKGFVVYPRNVNAENAAILPVMLSSKLLPEMEVEETTKREQLLSGITNLPVPSQMEKLKARIDMIANACETAERVIAECRKTHGLGARQGANLGPMLDKAQAAKIQEQESLLRAAVNYGEGLRVSGDQRQMHSSLPSHLMEVLATGDGAHNYGDNSGAYPKNTPAFSPNNVNAQGNPMQASGGQLLGRSAPSPGTAGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLMQASQQQQQQLRPSAAGMLAQSSVPQLQDLQGQAQQKVSGQQQMQYSQAQALSQFQNRQMQARMQPGMSQSQLNQGNQLRSHLGQFTGAANSAMFTAAQASSNSQMMANIPGTMQTMQSQSMMPQMQFGLTGGHPQRSHQMMTDQMYGMGGANTTSMMGMQMQQQQQQQQQQQQQQGLYGNMQGGGQSLQQQGMVGLQNQQQNQMQNQQQNQMQNQMQNQMQNQLQNQMPNPNFPQQRQQNQQ >SECCE5Rv1G0305580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:74214698:74219922:-1 gene:SECCE5Rv1G0305580 transcript:SECCE5Rv1G0305580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVPHQPTVSFAETSCSLLESSEEEEDDEDEDETADEALPAAARSSGSLSPAHFEAGNHPTGAKSRGCYRCGKGGGFWGRGDKEACLACGARYCSACVLRAMGSMPEGRKCLSCIGRPVAESRRNALGRGSRVLRRLISAAEVQLVMRSERECTANQLRPEDIYVNGARLSPEELALLQGCSCPPSRLRPGFYWYDKVSGFWGKEGHKPHCIISANLNVGGTLVQKASNGSTGILVNGREITKSELQILKLAGVQCAGKPHFWLNADGSYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKMTNQCGEEVINVVNRPEYLEQRMTQKLLLVGSGTSTILKQAKFSYKSIPFSMDECEDLKLIIQSNIYRYIGILLEGRERFEEEVFADRRKLCQHDPSSSGRSELGFCDEEVTEYSIVPRLKAFSDWILKAMALGNLEDIFPAASREYAPLVEELWKDPAIQATYRRRNELPFLPSAASYFLDRVVDISRSEYELCDIDILYADGITSSDGLASTDISFPQLALDARVADELDPQDTLLRYQLIRISNKGLRENSKWLQMFDDVSLVIFCVAVSDYDEHYEDANGTVVNKMIESRQLFESIALHPTFEQMNFLLLLTKFDLLEQKIGKSPLTACDWFAEFTPLVSRNLIDGTSRSKRGSHNGASLAQMAAHYIGMKFKRLFHSLTERKLYVSYVNALDQQSVCSAIRYGREIVKWEEDKPVFGSSETVYSGDEPSSYSH >SECCE6Rv1G0388820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:142464120:142464533:1 gene:SECCE6Rv1G0388820 transcript:SECCE6Rv1G0388820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCASPLLLLCVLLLAALGPMAAAARREPAMAATGDEGDGAQAKLAWMEMAPAEGLKEGVIMSKQESTDSNRFRTRKFSSNAKNPFDGRVPFTADYHTVRRHPPSHN >SECCE5Rv1G0363420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:792935155:792936464:1 gene:SECCE5Rv1G0363420 transcript:SECCE5Rv1G0363420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAEASFAGAEAAMLPPFFVGSMWQSAPGGGAAAFSEEDEVAAAAAAEAAHDRAVAATRNHREAEKRRRERIKSHLDRLRAVLACDPKIDKATLLAKAVERVRELKQRMAGVVGEAAAPASHLFPTEHDEIVVLASGGGAGGAAAVFEASLCCDDRSDLLPGLIDTLRTLRLRTLRAEMATLGGRVRNVLVLARDAGGEHADDDYAASSEDGGADFLKEALRALVERQGAGAGDRPKRRRVADMNMQAAA >SECCE7Rv1G0464730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:63841135:63842211:1 gene:SECCE7Rv1G0464730 transcript:SECCE7Rv1G0464730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSFPSSKIGGGATSPTASAIVAQAVSGSHVLTVDGYSRTKGLGTGKFIKSGTFDVGGHRWCILYYPDGRTSDDADWISIYLRLVHTDAGEVKAQFKISLVDQHGESGSGTSHSNESQICAFRVTEGPCDVTVSMEIITEHTTPAVQVPPSDMHRHLGRLLSAGEGSDVTFEVGGDIFPAHRYMLAARSSVFRAELLGPMKEKTATHLRIDDMEAEVFRALLHFIYTDSLPEMDGGDTTAMAQHLLVAADRYDMERLKLICEEKLSSQLCKSTVATTLALAEQHGCGALKTACFKFLTSPGNLKALMASEGFQHLRSSCPSVLEELVAKLAP >SECCE1Rv1G0048790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637360565:637364545:1 gene:SECCE1Rv1G0048790 transcript:SECCE1Rv1G0048790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAAVGTAVVVCAAVGTAVVLGRRRRRRDAELLGAAEAERKRKVAAVIEDVERTLSTPTALLRGISDALVLEMERGLRGDIHSQLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVLRVQLGGREKRVVKQQYQEVSIPPHLMVGTSLELFDFIAAVLAKFVETEGDEFHLPVGRQRELGFTFSFPVNQLSISSGTLIKWTKGFSINGTVGEDVVAELSKAMERQGLDMKVSALVNDTVGTLAGGRYMDNDVVAAVILGTGTNAAYVEHANAIPKWTGLLPKSGNMVINTEWGSFKSDKLPLSEYDKALDFESLNPGEQIYEKLISGMYLGEIVRRILLKLAHDAALFGDVVPPKLELPFVLRTPDMSAMHHDASHDLKILGSKLKDIVGVADTSLEVRYITRHICDIVAERGARLAAAGIYGILKKLGRDKVPTDGSPMPRTVVALDGGLYEHYKKFSSCLEATLSDLLGEEASSSLVAKLANDGSGIGAALLAASHSQYAEVY >SECCE4Rv1G0222060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:53510288:53511562:1 gene:SECCE4Rv1G0222060 transcript:SECCE4Rv1G0222060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAMEEAKAMPPVDWDGLPADLLRSIGELLDVPCCIWFRGVCRSWRAALPAMQPPWLVIPDGGYPTLMDHFTFLSLPARGCARWALPGSRCVGSSAGCLALLDPNLSVTLLNPLTRAQVRLPPLRRDGHLYPSPFGVNSDGSPEYFHAGAPPDKRICSVQHKLIQKLAFSANPTLQDHAVAVLCRYGLGLAFTKAGLNDWQWLHWPDAAGRDGPDVGELYGGSNTDLDIVYHHGKFYYMTRCGQIWTLDPAAPPPVTPVPFVKCRPQLGPDHRRYGKHLVFTQDGMLHVVWSDGPGLPLETRARPMRMHVQTYHPGGSRPRRWRKARHLSGRAFLIGSRSHSLAVPASVACAPSTWIRPNCVYFTCIMPGSDYIEGESVEVLPPEIWEFNVNTGVFRECDRRDIPDSDWLEWPKAIWFTPSLL >SECCE6Rv1G0451120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871338669:871340288:-1 gene:SECCE6Rv1G0451120 transcript:SECCE6Rv1G0451120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MAADTSKPLFPAAPHTSLLPSHGNANRLSPEASYWRNFRSSKLDTSATAFSVTHLAFSPAHARPTLAAAWSSAVHLFTGDPLAPRPKVTVCKDAAAAFSPSFRCDGALLAAGDGRGVVRVFRVDKPTAGPLRTLSAHTAQTRVVRYPEAGGDKAHLLTAGDDALLAYWDVPSETPVFTVPAAHRDYIRAGAPSPVDHNLFATGSYDQSVKLWDARTGKAPALSLSHGASVESVLFLPSGGLLATAGGTTVKIWDVIGGGRLVHSVESHVKTILALALAKMGASGETRLLSAGSDGYVKCFDYGELKMTHSMRYPKELLSVACSPCGTVLVAGSSKGDIFVRRRKKNATEEGEEEVVSSEFAWTMPKPEKQALKPSYYRYFLRGQNEKAKQGDFVISRPKKAKFAEHDKLLRKFRHKEALVSALAKNNPRSVVAVMEELVARRKLVRCIGNLDVAELGLLLDFLHRNATLPRYARLLLGVANKVLEMRAEDIISDDAGKLRRCVRNLKRMVVEEIQIQHTLQGIQGMISPMLALSASRLK >SECCE3Rv1G0184650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:664934318:664935161:-1 gene:SECCE3Rv1G0184650 transcript:SECCE3Rv1G0184650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGGLHTTGAKWFMIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFILYTMFVWWRDVLRESTLEGHHTKASRRLCSFFAFFWASSHSSLAPTVEIGGIWPPKGIGVLDPWEIPLLNTPILPSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVSTGFQGMEYYQAPSTISDSIYGSTFFLATGFHGFHVIIGTLFLIVCGIRQYLGHLTKKHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >SECCE7Rv1G0479140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:248329914:248331428:-1 gene:SECCE7Rv1G0479140 transcript:SECCE7Rv1G0479140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPgamma [Source:Projected from Arabidopsis thaliana (AT5G03080) UniProtKB/TrEMBL;Acc:A0A178URC2] MSGAGEYQEMAASVPPALKAITLTHVRYHRGDRVGLFLAWVSLIPVFISLGGFVSHFMFRRELQGICFAVGLLVSQVLNELIKHSVAQSRPASCELLETCDSHGWPSSHAQYTFFFATYLSLFVLRRSPASRVMASFTWPLAFLTMLSRVYLGYHTVPQVFAGAVVGLVFGAIWYWFANTILAQYFPMIEESAIGRWLYIKDTSHIQDVLKFEYDNARAARKKVATD >SECCE4Rv1G0227050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:116993680:116994603:1 gene:SECCE4Rv1G0227050 transcript:SECCE4Rv1G0227050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAETPDPLARGGAHSLNPPRLPPGVYFSPTREESVALLDRWIAGGKEVPADARGFVARADIYSDSPDALRRRHPPASARAGQRTWWFLCETRFQSPGAAAKRPDRKVGTGGCWRVDRSKAEGGGVRTYFVFFRGPSRKDKTPWVAHEFTSAKDDGAGKKGVPALYMLYVTPRATDDELRGIYGEDGVTVGPDGNKKPVRAAVPAGYINAVVALLSPGSVGDLGQERVEASSQAPPPPQPACLLHHDGQQGQNSTGAASPADLPGQYQQRRRHGRCFMGAAMPAAAFGQSQQQPAKTVEGESLEVV >SECCE2Rv1G0137910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920505717:920508945:-1 gene:SECCE2Rv1G0137910 transcript:SECCE2Rv1G0137910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDPFLLPAADDEAAPLAGVSDFRGRPVRRAGSGGWRSALFVCVVEIAGSFAYFGVSANLITYLTGPLGHSNAAAAAAVNAWSGTACLMPLLGAFVADSWLGRYRSIILACTLYVLGYGMITLVSTLSAPPSSQGRDIHSSSPPSSMQVALFYASLYLIPLAQGADKPCGLAFAADQFDADHPKERVSRSSLFNWWYFSMAIGISVAVALVSYIQENVGWGIGFGMLCAIMVCAFAVFLAGTPTYRLYAPTPGAESPFARLGRSLVTLMRSSSFFRTKGCQDEDVAAKSEEARGVLRLLPIWAACLAYGVAYAQIMTLFNKQGRTLDRRIFGGLELPPAALQTLGPASILLFVPIYDRLLVPALGRATGKPSGLTLLQRVGVGMVVSMGAVIVAALVEGRRLETAREHGLVDDAGAMVPMSWAWLVPQYAMMGVADVLTVVGLQEFFYDQMPRELRSLGLALYFSVMGISGFISSALISFIDCVTRSGGGDGWFADNLNRAHLDYFYWLLAGLSAVELVLFVWLASSYTYNNNHKRLQH >SECCE3Rv1G0162840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:139328127:139332336:1 gene:SECCE3Rv1G0162840 transcript:SECCE3Rv1G0162840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIDDIFDTYGSTEESMQLAEAINRWDEGAVHVLPEYMKDIYLYLLETFHSFEEHLGPEKSYRFKKLVQAYSAELKWRDEKYVPKKISEHLEVSTISIGTTVVACAFMVGMDNITVETFNWLSSETKLLKSFATFVRLTNDMASTQREQEGVHCASTIQSYMKEHETTKDDACEKIKELIENSWKDMLKHYLELIDQPMVVPQIILDLSRTVDNMYKHTDAYTNSEPIKDTIRMLFVNPIE >SECCE5Rv1G0334340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565233806:565234147:-1 gene:SECCE5Rv1G0334340 transcript:SECCE5Rv1G0334340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSISPKRILPSFHGPLTEEEMREDPGPEQVGLGYRAVSAVGVTEDVLVRFIVGYDILVDCWERPLYSKYAFLGALRSAAQMVPYEVSIGLILIVRLVSTFGSAKAIARIFP >SECCE3Rv1G0145810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8350234:8351342:-1 gene:SECCE3Rv1G0145810 transcript:SECCE3Rv1G0145810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLGSLDVAASYIKKLKERVDELQHKKTSAQAMTTLLGVSGISTPTITATMSSGAGSPKEGKKVKASPLVVEVRQSDDASMELRLICSSERPIKLHEVITILEEEGTVIINANYSVAAHRIFYTIHSRAFSTRIGIDVSRISERLGVLV >SECCE2Rv1G0136780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:914070907:914072717:1 gene:SECCE2Rv1G0136780 transcript:SECCE2Rv1G0136780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLGANPANSCPLTPLGFLERAATVYGDCPSVVYGGTVFTWSQTHRRCLRLASALASLGVSRRDVVSVLLPNVPAMYEAHFGVPMSGAVLNSINTRLDARTVSVLLRHSGSRLILVDPALVPVLDEALRLLPPGHPAPRVVLVEDPQEKDFSPAPAAALTYEKLLEMGDPEFRWVRPVSEWDPMILNYTSGTTSAPKGVVHCHRGIFVVTMDSLVSWSVPEQPTYLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVDAGEVYDTIARRGVTHLCGAPVVLNMLANAPEGVRRPLPGKVQILTAGAPPPAAVLGRTEAIGFDVSHGYGLTETAGLVLLCAWKGEWNKLPASERARLKARQGVRTPGMAEVDIVDGETGRSVAHDGATMGEIVLRGGCVTMGYFKEEDATRAAIRDDGWFYTGDVGVMHPDGYLEIRDRSKDVIISGGENISSVEVESLLYGHPAVNEAAVVARPDEFWGETPCAFVSLKEGAAGTVTAAEVIAWSRERMPGYMVPKTVVFRAELPKTSTGKIQKYVLRNLAKEMGPTRRGVSTTTSKM >SECCE7Rv1G0497510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:626088859:626092416:1 gene:SECCE7Rv1G0497510 transcript:SECCE7Rv1G0497510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTVLAAEAGVAAVLLFKTPLRKLAVLALDRLKRGRAPVMVRTVAATVLVVLASSLHSMAKIHGHAGAGELDAPGALSPTDQVLLARHLLEASLMGYILFLGLVIDRLHSYIREMRGLKKNLEAVSKQNKTLEETKSGRSDESKPHHNDIASLNEEIKKLKLQLKEKAEEAKGAEAKALAAQTQSEGLARKYDRLLEDNKHLHEQLQSGDIPLSRSDGKKNA >SECCE5Rv1G0367120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819376513:819377990:-1 gene:SECCE5Rv1G0367120 transcript:SECCE5Rv1G0367120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSPHLAATLPSLRPAARAASLLPPRGARIALRRSSRHPYPLSRNSGWFENATRKKALLASETDSPNTEVSKQSSTGDANSSPDGPPVLTILAGIVVFFLLLWVIGSIFTWIVGLVFGAAKS >SECCE2Rv1G0082660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:155112452:155113909:1 gene:SECCE2Rv1G0082660 transcript:SECCE2Rv1G0082660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFNVNAEAGLKKLDGYLLSRSYISGYQASKDDLAVYSEFSVAPPSTCTNVARWYNHIDALLKLSGVTAPGQGVKVLSSVVPETSTTDVAEAPAADDDDDSDVDLFGEETEEEKKAAEERAAKAKASTKKKESGKSSVLLDVKPWDDETDMVKLEEAVRSIKMEGLLWGASKLMPVGYGIKKLQIMMTIIDDLVSVDTLIEDHLCVEPANEYIQSCDIVAFNKICKFVVPV >SECCE3Rv1G0146340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11165012:11167840:1 gene:SECCE3Rv1G0146340 transcript:SECCE3Rv1G0146340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLRCLCVQLAIALFLLTQTSSTETHANNTGSCVASERSALVRFKAGLSDDANRLSTWRGNDCCRWKGVRCSKRTGHVIKLDLQGSYDSYLGGNVSSSLVGLERLRYLDLSGNSFSGFQLEETLPSLHHLRYLNLSSSGFVGRIPPQLGNLSNLRYLSLGGNADTYSTDITWLSRLSFLEHLDMSSVNLSAIPDWLPVVNMLPSLKVLLLTSCQLNNSPDSLVHSNLTSLETLDISFNLAPKRLAPNWFWGLTSLKLLDISWSQFSGPIPDEIGNMTSMVELYLSHNNLVGMIPSNLRKLCNLETLFIHDAGINGSITKFFQRLPSCSWNKFSALDLSNNSLTGSLPTKLQDSWINLTFLYLGGNKLTGHVPLWIGELRKLTALNLMNNYLDGVIHEGHLSNLVRLHRLLLSGNSIAITVNSTWLPPFNLTMIGLRSCLLGPKFPMWLRWQTPIYLDISNASISDIVPDWFWIMVSSLDSVRMQQNQLRGFLPSTMEYMRTSAMDLSSNQFSGPIPKLPINLTYLDLSTNKLSGLQLEFGTPLLEVLLLFGNSISGTIPSSLCKLTSLKLLDLSRNELTGSAPDCIGNQSTTNTESLSLSNLSLRNNNLSGVFPLFLKNCPDLIFLDLAHNKFFGTLPSWIGEKLPSLAFLRLRSNMFHGHIPLGLTKLANLQYLDLSNNNMSGNIPKSIVNCTRMILSRDKSDKFNGVLSFEDVVYRSDVDYTENFTIVTKGQERLYTGEVIYMVNLDLSCNNITGEIPEEIGALVALKSLNLSWNALDAKIPEKIGALVQVESLDLSHNELVGKIPTGLSALTSLSHLNLSYNNLSGEIPSGNQLQTLDDQEYIYVGNPGLCGPIISKKCPGTEFIPATPEHHEEASDMISFYIAMSSGYVMGLWVVFCTFLFKRNWRIFWFSFCDSLYNRVYVKVVVSWASWTRKDG >SECCE5Rv1G0338360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597678841:597685386:1 gene:SECCE5Rv1G0338360 transcript:SECCE5Rv1G0338360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSNLNSSASNLPDSAGRPFATSFSGQSGSVQGLHHSGLRNIHGNFNLPNMPGSLAQRNAVMGGLPSSGVQQPGGSISGRFASNNLPVGMSQIPHAHSGVSGRGMNVGGGQAFSSGMNMGGTIQGLYSNLGTSGNRNSVPGMSVSPALGNLGPRITSSAGNIVGGSNIGRNISSGGLSVPSISSRIDFSSNAGSGRLNVQGSNRMMNGLIPQGSSQLINMIGSSYPTSGGSLSQNQMQLGNNSLGSMGMLHDASDSAPFDINDFPQLTGRPNSAGGPQGQYGSLRKQGVGVNSIVQQNQEFSIQNEDFPALPGFKGNSSDYEMELNHREQLHENVPVMQGQQYPMARSVGFNLGSSYQPNRQQHQQGANSIQSAGPQNIGLRPLNSSSQTSSLGSYEQLLQQYQQPQAQSPFGLQQMSSATQSYGDHGLKPILGGRTPPDPYGLLGLLGVIRMNDPDLSSLALGIDLTTLGLNLNSPDNLYKTFGSPFSNEPAKGDPEYPTPACYVAEQPPALQPMHFQKFQTLILFYIFYSMPKDEAQIYAANELHNRGWFYHKEARQWFTRIPNMELIAKTPTYEQGSYAFFHQGNWETVRKDNFVLHYELVEKRPSLPSASQIVRYLLTPAFLYMNGEPSL >SECCE3Rv1G0194500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:781612655:781616887:-1 gene:SECCE3Rv1G0194500 transcript:SECCE3Rv1G0194500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQFPSLAHARRASSRCLLAVGALLVFSAVYFLLLPPSPPRPVAGPLSNPSATTSFVASLDRFLDSPHRPAASSAAPADLDAAIRKEEEARLYGDPRGAWPAAPAPLRVYVYEMPRKFTYDLLRLFRDSYRDTTNLTSNGSPVHRLIEQHSIDYWLWADLIAPESQRLLKNVIRVERQEEADIFYVPFFTTISYFLLEKQECKALYREALKWVTDQPAWQRSEGRDHVIPVHHPWSFKSVRRFVKKAIWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDLCDHKCVSETQSKRSTLLFFRGRLKRNAGGKIRSKLVTELQNIEDIIIEEGSAGAKGKVAAQTGMRKSLFCLNPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYSKIALFVSSTDAVQPGWLVKYLRDIDAKRVREMQSNLLKYSRHFLYSSPAQPLGPEDLTWRMIAGKLVNIKLHIQRSRRVVRESRSVCTCECRVGNTTRML >SECCE2Rv1G0080650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:131554341:131554946:1 gene:SECCE2Rv1G0080650 transcript:SECCE2Rv1G0080650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAPRPLLRRALSFREPLLLIPHLVVFLGTVASAFFHSYASFLQSFARSIVVPSPAAYAKCAYAPSSAAHVAQCCEDVRAEEDNELRKEEVEAIMARIGLGVTAAGEGLRARLGHAEVSRLFDAEEPSFAEVRRAFAVFDGDADGFIGAADLQGALARLGFPEVDAASCEAMISSSCGSRDGRMNLFQFVRFLEDGLC >SECCE1Rv1G0050190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:645031123:645031706:1 gene:SECCE1Rv1G0050190 transcript:SECCE1Rv1G0050190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLMLPKCLLLFSLCAVLLSLPGTGASAVNFTIGVEGMVWCKTCRYVGYNADMDASPLQGVEVYLQCRHGPRRLKKLPAASGQGGYFTIQSAHMASFTNDECKVYVESSSATACDLSDEPAAGEGLPLKFKTFVQHGDGLQALYSVGNFFLRPSDPNNCY >SECCE6Rv1G0386920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:103469186:103472142:-1 gene:SECCE6Rv1G0386920 transcript:SECCE6Rv1G0386920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRGADFPCIVQALPSVDPSEAVSDSPCIVQALAASPSPSPSKAQALTPAVRDQPPPAFKSSRPPSPRRTRSGRAPEWTAAETLALVAAVAAVDDDGWARSVSAFQKWAIVAENIAFSDAGGAPSRRRGRAAGECKRRWEALVAEYGAVRRWEGRTGGSYWRMSAAARRKAGLPAEFDAEVYGIMDALIRVDEALLGGAAGGGGDEVLASANGGDGDTGPKVGEEEGGDAGVEEEEEDSAAEEADGDGSEEDMQVDGGNAANASDDLGCEMETHNEPKKSQADAWELANKLNENAQHIHMILSGEADEDGGHDNAPAYAVSPDAMETTRQKADELIKSLGGLVSYLNQFTDLVKENGIEDVVGVN >SECCE1Rv1G0002100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:7566072:7567506:-1 gene:SECCE1Rv1G0002100 transcript:SECCE1Rv1G0002100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKILDWSNKNNAIIGHPDEFGSTPLHFAASAEGKEMEEASNFGTRFLFRRPEDDPTPIGLLLKAQKYSAYQPDNRGQYPIHIAASMGNCKVVALILGNCPECAGLRDAHGRTFLHVAVDMRWEKIVDYATKNETFASILNMQDNNGDTALHLAVQVGVLRIFRNLLRNKHVCLNLANHKGQTPADLSRSTIPVGLYHKTNARMWILWSLLKASARNGNDRRDHFQEKNIRKIDESKESKKMTEASQMTGIGSVLVATMAFAAAFTLPGGYIASEQKNGGTPTLAGSYAFDAFMYAVAVAFICSMLATFSLMYSGMATVDLKIRSDYFSQSVSWMWNSSRSLLVAFALGVYLVLSPKAGTTAIGVCILTSGTLLFRNREVGRILICTYTLHKRVGIFIWVRLATKILRIILQSYFVYIVIFISPVCFNGIFKLFPILGASK >SECCE2Rv1G0067480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19048450:19049319:1 gene:SECCE2Rv1G0067480 transcript:SECCE2Rv1G0067480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDSILAVSLAAAAAALYARAASSLLRPGLPRLAALLPVLALLAAAPLACASSAIVRGVAAFFLAWLGAFKVALLAAGRGPLDPALPVLPFLFTALLPVKLRRAGAAGGASEAKSVSLGMETEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRARAGNAAGVLAAFLVSGLMHEGMVCYLSLRRPDGGMTAFFLLHGVCCVAEGWCARRWATMRWPSPPCAVATVLVGLFVEGTSFWLFFPALLKDGVEERFLEEWAAVAAVFQDAGGKIASLYGQRST >SECCE3Rv1G0160820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:108923891:108924253:1 gene:SECCE3Rv1G0160820 transcript:SECCE3Rv1G0160820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAGIRRGLTIDPAGEEEAPAQRFGRLVRESPVVIFARRGCYMAHVMKSLLAAVGAHATVIELDGPAEELAAVEAGGHAAVPALFVGGAPVGGLEGLMGLHLSGLLVPRLREVGALRA >SECCE7Rv1G0485930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:389622715:389628714:-1 gene:SECCE7Rv1G0485930 transcript:SECCE7Rv1G0485930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYVVSSSGVAVWLAVEERIGHRRVCACKMFDVGPLRRRRRAGRRLVGFAKKRRRSKRQQPWWKAWFSDWNDEEESLAGWREDDELLQQVVSNEDLSEDDKFQTWKSKAEAIVDLREAQQDAENAEGRSWEDWIGWGSTSGDGDWGGGGSLSDQITDDPTAIVRDKGIAEAFRDSIDEDYNEMLFEDRVFLYASAKSAKFLALLIVVPWVLDLLVHDYVMMPFLDRYVEKVPLAAEMLDVRRSQKIQMIKDLNIEKARFRLEVEIGKSPPLSDEEFWSELREKAVELRDEWRLENRQAFANIWSDMVYGVALFLLMYFNQSKVAMLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSEAGWHSLVEIILDHYGLETDQAAVTFFVCLVPVALDVFIKFWVYKYLPRLSPSVGNILDEIRRH >SECCE3Rv1G0201170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:860580313:860584185:-1 gene:SECCE3Rv1G0201170 transcript:SECCE3Rv1G0201170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDDDMERDFAARLRLAPSNPSASASASPTAGGGGIAFRAPQEQFTAADFELGKIYGVGSYSKVVRARKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIIRKGRLPEDEARFYAAEIVDILEYLHSVGLIHRDVKPENLLLTSDGHIKIADFGSVKPTVDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPATFANDLWALGCTLFQMLSGSSPFKDASEWLIFQRIIARDLRFPEFFSDEARDLIDKLLDVDPTKRPGAGPDGYASLKKHPFFRDIDWKNIRKTRAPKPAVEANANEDEDSQDSDWLSHMGSAHANQNVPVGNNGAASSSEVRSHVSKLASIDSFDSRWQDFLEPGESVVLISKLKKINKLSNKKVQLILTNKPQLICVDPAKMVTKGNISWSADPSELNVQVANSSHFRISTPKKVFVFEDAKQRAWQWKNAIEDLQNCQKN >SECCE2Rv1G0117810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:777099521:777105025:-1 gene:SECCE2Rv1G0117810 transcript:SECCE2Rv1G0117810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQRGSGYGGRGGGQVGLRRDGGGGGGGGGGVANVDEDGLTTVSGRRGAFRGGGREERGGSGRGGSGGGGGGGPPLEPSGRVPQGPYSPGYDDHAQQRQQHPDRGNAGRGGGGGNHGRVDRDSIHGRYQGHGGGGFQGQHRSSQEQRRGNNQRYDTPWWAPKEITQATSSSSSGPVGCPLEKIEVKLLVNHFGITFGGPTIFCYGIELSQDSSEDSGSLQLSQSDQSFAMAKLLEILKRPPYSLAVASDGKGHLFTFAKLPQSVYPVEVRSRTYNASAVLKPDLQLMQSLRPPVTKGVLSALDAIVREASSSGKIIIGQTFYSQQQRPKIRHHGAFTTEALNGTMQTLKATEQGLVLCVDYSVMEFCKDGCSVLDLVKHLVKRFDTEIPFDMETALDEKQRKYLESQLKGLCITVNYLKKSSKGKENETRIRKYKVQGLTAEPAQLITFKDFDAGKPPHKLVEYYREQYEVAIQYNMLPCLDLSTTNGRPNYVPIELCTLHRRQKYPKDNTLKGSKQKPRDKPLEAEARKKTILDMVKPPEGPCSSSRGQKFNITLNREMTEVTGTILAPPTLTVGSFKGRRNYHISKKNCQWNLMNWMKLVDGKVLKFWGILDFSASATPSHSGQEEPLDRDKFMVNIARKCVNLGIQMHTEDCFVRCSAMSVLSDPGKLRTELTQMKKDAEEHTQKKLQLLFCPMSEQHRGYKILKMICETELGIQTQCLLSPLANKGNGQDQYLSNLALKINIKLGGSNMQLSDELPKVSGSRFMFIGGDVNHPPPGDTESPSIAAVVASMDCPSASQYVPRIRAQEGRKEEIVNLGTMCKELIQVYKERNGGLKPDNIIYFRDGVSDEQFAMVLDKELVSMKEGLCEDGYSPTITVIVAKKRHHTRLFPNDRKELRTDNDNVLPGTVVDTVVVDGSSHDDFFLCSHDGLHGTSRPTHYYMLKNGHGFERMDLQKLVYSMCFVFARCTKPVSLTAPIKYADFAAYRGRDYYDSWMVSLQYQAKKPRGAPLFLDMPEVHADLKDSMFFI >SECCE5Rv1G0303620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:50061441:50062956:1 gene:SECCE5Rv1G0303620 transcript:SECCE5Rv1G0303620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANTLRAAMATARMAMSWPARALSSAAPPAPGVSMVQGASRGIGLEFVRQLLRRSDQGRVVATCRAPDSAAELQELRREHAGRLTVLPLDVTDESTIEAAAAAVGDTHGSLDLLINAAGILSIPNVIQPETSLSKVQKSSLLLAYEVNAVGPILVIKHMRPFLKIGASLETGKGFSLVANMSARVGSVGDNALGGWHSYRASKTALNQLTKTASVELGKKDNIACILLHPGTVDTDLSRPFQRNVAKGKLFTREFSVQKLLSIIDNAKKTDNGKFFAWDGQEIPW >SECCE6Rv1G0385990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:88544862:88551719:1 gene:SECCE6Rv1G0385990 transcript:SECCE6Rv1G0385990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GR1 [Source:Projected from Arabidopsis thaliana (AT3G25530) UniProtKB/TrEMBL;Acc:A0A178VK60] MEVGFLGLGIMGKAMAANLLRHGFRVTVWNRTLSKCDELVAMGAAVGDTPASVVAKCKYTIAMLSDPSAALSVVFDKDGVLEQIGEGKGYVDMSTVDAATSCKISEAVKQKGGAFVEAPVSGSKKPAEDGQLVILAAGDKALYDDMVPAFDVLGKKSFFLGEMGNGAKMKLVVNMIMGSMMNAFSEGLCLADKSGLSPQTLLDVLDLGAIANPMFKMKGPSMLQGSYNPAFPLKHQQKDMRLALSLGDENAVSMPVAAAANEAFKKARSLGLGDLDFSAVHEVLKGTGGSG >SECCE6Rv1G0399990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:445627947:445630503:-1 gene:SECCE6Rv1G0399990 transcript:SECCE6Rv1G0399990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDKIAEPEGPWVATAQQLNNLELPASLEMDASREGESTQQPGEKDLSAEGEGSFRGESGRGSFSGVSHPPEPIDVDLMSTVYAAIDEEKPGPPGCLLRGLSAKGPSMEDISVHATDVESNVVGLVEEMKVPGTPVPEPSTQSSSEKDCVRGASLPPSGNGSPHSGSDSAGVVVATSIRDSCTSTCRSGSNASEPVVTAGRTHDSAKGGFRGSSMESMSQASDSSDDSGWSSTGGTGSKPHKGNDPRHFRLLRRLGCGDIGSVYLSELSGTRRYFAMKVMDKASLASRKKLGRARTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHALRQRQPRKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLQQCAVSPTLVRAPACDSDPRRAGGAFCVRPSACMEPAAVCVQPGCFMPKRYLLGRRSRRRGSEPGQGVSAALPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTLGVFLHELLYGRTPFKGSGNRATLFNVVGQQLRFPESPSTSYAARDLVRGLLVKEPQQRLGVKRGAAEIKQHPFFEGVNWALIRCSAPPEVPKPPAEAEPPAKCGATQQPPASSCNKTAIGAEVKSGGKFLDFEFF >SECCE6Rv1G0416880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:650964969:650967585:1 gene:SECCE6Rv1G0416880 transcript:SECCE6Rv1G0416880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWQAFGVALLMSYLVLAIRGEDVRTTKNRENIDQIRQVDKTMVTDGGDVYDCTDVNLQPALNHPLLKDHKIQMEPSSLPNGLNIKSPFLNVESEAHPSVIECPIGTIPILRNNRREDVAGHNIGVVFTKFQQQGVAGIKYYDDVYGARAILNVYEPKVKKDSKDLSATGVQIDNGPNGIDGIIVGYSVAPNLVGDSFARFHIAWDAGASNKSCYDHTCPGFVQVNRNFGLGGRLQHVSVYNGKQYVLKILIFKDTKTKNWWVAYGEANIPIGYWPSSLFTYLRDKGNYTLWGGHVSGPTASSDSPQMGSGHFASEGRGKAAFIKSVQIIDENNKLVTPNENRVVTGTSDITKYTVDGYGIDKEGMHIYYGGPGNFV >SECCE5Rv1G0335370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:573244566:573247969:-1 gene:SECCE5Rv1G0335370 transcript:SECCE5Rv1G0335370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQDAPSGSGGGNLSLASVGFAGAGVGVGVGSKGAVGGGGGGGYKELLVMALPKDDGLDAAKVIGAGLPDVGEAFRTFFRSREIREFASGALAGAMSKAVLAPLETIRTRMVVGVGSRHISGSFVEIMEHNGWRGLWVGNTINMIRIIPTQAIELGTFEYVKRGMKSAQEKWKEDGCPKIQLGNMKIDIPLHLLSPVAIAGAAAGIAGTLMCHPLEVIKDRLTVDRVTYPSISIAFSKIYRTEGIRGFYSGLCPTLIGMLPYSTCYYFMYDTIKTSYCRLHKKKSLSRPELLIIGALTGLTASTISFPLEVARKRLMVGALQGKCPPNMVAALSEVIREEGLLGIYRGWGASCLKVMPNSGITWMFYEAWKDILLAEKDKHLD >SECCE6Rv1G0400600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:455310221:455310787:1 gene:SECCE6Rv1G0400600 transcript:SECCE6Rv1G0400600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTNHTASPRVNATAATTAATMAASPPPPPRPLPHATGDAWGPYSSSRAFFSNVATILIILACVSLLAFSLHAAARFLLRRLARRRAARAQAREHGQPQPPKPLSDAAAADCSVEAGAGAGVQLAGGWGDAECAICLSELADGERVRVLPSCGHGFHGACVDGWLAARASCPTCRAPSRLSRAGEP >SECCE1Rv1G0039350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:547432606:547434258:-1 gene:SECCE1Rv1G0039350 transcript:SECCE1Rv1G0039350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g20540 [Source:Projected from Arabidopsis thaliana (AT2G20540) UniProtKB/Swiss-Prot;Acc:Q9SIL5] MAAAPSTAARQVEDAVMARLRACATFRDLLRVHAHVVLLSLSQSSYIATQIVHLCNAHGRTTHAAQVFAQVREPNLHLHNAMIKAYAQNHLHREAIAVYIRLLRCRPFPSTGCAAGDRFTYPFLLKACGGLAALGLGKQVHTHVVRSGCECNAVVQNSMIEMYTRAGDLSLARKVFDAMQERDAVTWNTVISAHARLGQMRKARALFNSMPEKTIVSWTAMVSGYTATGDFSGAVEAFRLMQMEGFEPDDVSIVAVLPACAQLGALELGRWIYAYCNRHRMLRKTYVSNALMEMYAKCGCIDQALQLFDGMAEKDVISWSTMISGLAAHGRAKEAVLLFVDMEREGKVRPNGITFVGLLSACSHAGLLDEGLDYFDRMKDVYGIEPGVEHYGCIVDLLGRSGQIVRALNLILDMPVPADAKIWGSMLSACRSHGDVDTAVVAAERLVALEPDDVGNLVMLANVYAAARLWSEVASTRKSIRSRSMKKTPGCSLIEVDNVVQEFVAGEDLKPEFGGIVGVLGILASQLAEEGVDSIDSDYFVDANMSAIVS >SECCE7Rv1G0475650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:190505008:190509011:-1 gene:SECCE7Rv1G0475650 transcript:SECCE7Rv1G0475650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MEVVASAPGKVLVAGGYLVLERPNPGLVLSTTARFYAIVRPIHDELSPNSWAWAWADVNVTSPQLSREAAYKLSIKNSTLQLTSARESTNPFVEQAIQFSIAAAKVSITDKEKKDALDKLLLRGLNITILGSNDFYSYRKQIEARGLPLTPEVLLSLPPFCSITFNPEVANGTGEICKPEVAKTGLGSSAAMTASVVAALLHYLGAVNLSCSGQSSSDSVTGRDFDFVHAIAQSAHCIAQGKIGSGFDVSAAVYGSQRYIRFSPEILSSAQVIGGSCLPDVVADIVTRRWDHEKTQFSLPPLMCLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKATDTWSQLGMANSVLENQLRSLSKLSEDHCEAYESVVQSCSRLTFMKWTEVATNQHQELVVKSLLAARDAFLEIRLHMREMGVAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVALGEASGAVANAWSSVGVLPLLVREDSRGVSLEAGDPRTEEVSTAVSSIQIS >SECCE1Rv1G0008270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:46101624:46107043:-1 gene:SECCE1Rv1G0008270 transcript:SECCE1Rv1G0008270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGGTPSPSPRSTEKSGKDLTRSGDANGGANAGGNATPKGDKEKGVNVQVILRCRPLSEDETKGNTPVVISCNERRREVAATQVIANKQIDRTFAFDKVFGPSSKQKDLFEQSISPIVHEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKAKSGELPTDAGVIPRSVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPDEPRFPVLPVPEDKNKKPIALMEDGKGGVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHESEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIRNKPEVNQKMMKSAVIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIEKLGVDLEARDKQLVELKELYDAQMLLSAELGEKLEKTQKDLEDTRHALHDLEEKYGEAKSTIKEKEFVIFNLQNSEKSLVDCAYNLRAELENAAADVSGLFSKIERKDKIEDGNRSLVQRFRSQLTQELDALHKTVSTSVMQQEDHLKEMEDDMQSFVSSKDEAAQGLKESIQNLKALHGSGITALDNLAGEIDMNSQTTFEKLNSQVQSHTSDLEKCFGVIALGADNLLNELQCSLSKQEERLAHFANKQREGHLRAVEASRSISNITVGFFHSLDVHASKLTSILEETQGVQDQQLIDLEKKFEECAANEEKQLIEKVAEMLAISNARKKKLVQTAVGGLRESAVNRTDQLQKEISTAQDFTSSVREKWGFYMEETENNYIEDTTAVDSGRSCLAEVLVECKTKTGMGAQQWKNAEDSLFSLGKGNVESVDSIVRTGREANQLLRSKLSAAVSTTLEDIDVANKALLSSIDSSLKVDHEACANIVSVLTPCHGEMTELKGAHYHKVVEITGNAGKCLEEEYLVDEPSCSTPRRREIDLPSADSIEELRTPDYDELLRSFRESRAGWKQVNGDTKHLPEAQESASPSSVREARVPLTARN >SECCE1Rv1G0026610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:372257468:372260419:1 gene:SECCE1Rv1G0026610 transcript:SECCE1Rv1G0026610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGKSVQVNLGLNQMPSDSNSTSPATMQKMKPDMEDSGCKIESPTPEKPESGREEIVVSSLATNLISERYKDRLAEKLLGNEDETDDEDDNDIALPDGSQSPVSNELLEKHKNLLNLFNRMESSIRLLRLRKKMTTFTNIATQVEVLTKRKFLYSHLAQMKHLFPEAIQINRILLHDQKSLCMYADMEITLLEDTVECSNPQESPAMAICEAFRSKLLCFLGSHHKDIDVPEATLPEPFNLREELYLDALHNGHSAEGVLEFSLENGVSNASHFPQSFQKLMSQKSITKVTEKTRLLSDPVEVTSLGADDTGGSDRSSNKHVSVPVNTNISDTPSRHSISFYENSTPKQGISNSPSMAETPALQTPKRPLPTPVAKHETSSRHGSEPRSTNSARRSLIMFSPSKFDESPSDHDRDTSKLDKDGVTSITEPEVTTGKCLFPEETSTFTDLLVENDNKTNQVSSMNSQEKLDSLRVTFDIVCGISGSTKNSLITKQELFHNILANNLEIEETGEIEEQLHILEDLSPDWISKELRGGEILYSIKQIPDQKLARERLTEVI >SECCE7Rv1G0495660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:600462109:600462731:-1 gene:SECCE7Rv1G0495660 transcript:SECCE7Rv1G0495660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNIDCDGCEDNVRKALLRLQGVHYVDVDRARDKVTVTGTASQKKVLRAARRNGKLAVLWPSAYDPGYHHAYAYAHAQPAYYLYQAKPAAAAHAHRHYNSVPHGGYAAPAPQYGSASSYNYHVHGYYDSDLHGNYHEQQVLSNAAVPADARSYFSDDNPSACSVM >SECCE5Rv1G0372100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851209670:851210056:-1 gene:SECCE5Rv1G0372100 transcript:SECCE5Rv1G0372100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPEFFKPAAFSPRLHLLAAAEASGEDDYYRCCRTPTGAGIGCLGQAATCPPAPRKPRPRPDAACRKRLFDVQVISLRFDDLDAIFRPSPPPPPCDHNNKQQRSRRSLGRLAAGSPGRSGRASLN >SECCE1Rv1G0029240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:411194070:411195176:1 gene:SECCE1Rv1G0029240 transcript:SECCE1Rv1G0029240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMSDSSEPSKEGSSANGSSALQKTGAWSSILSTLVQQASVYGLAAGYCLSASLLSIINKWAIMKFPYPGALTALQYLTSVVGVLLCGQAKLIEHDGLNFATMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSFKTWLSLSTILGGSVIYVFTDNQFTVTAYSWAVAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPIELLVMGEFSQMKIDSSKMTNWLSFDVILPVALSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHSSLVGTIGLLICMSGGVLYQQSTTKPKAPNVQPKEENDEEEQKLLEMREISSAEEHSS >SECCE7Rv1G0522740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879090568:879101296:1 gene:SECCE7Rv1G0522740 transcript:SECCE7Rv1G0522740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMRVAVVGAGVSGLAAAHELARAAGGATRVTVYEAEGSLGGHARTADVDGVHLDLGFMVFNRVTYPNMLEWFEELGVEMEISDMSLSVSTQLSSGGRCEWGSRNGLSGLLAQKSNALRPAFWHMIREILKFKEDALKYLEDHESNADLDRHETLGQFIQAHGYSQLFQEAYLIPICASIWSCPSQGVLGFSAFFVLSFCRNHHLLQIFGRPQWLTVKGRSHTYVNKVREELESMGCQIKTNCQVKSVSSFQGGYKVLEVGGSEEVYDKIIFGAHAPDVLRMLGDEATHEELRILGAFQYVHSDIYLHRDDTLMPQNPSAWSAWNFLGTTSSGVSVTYWLNLLQNIESTGKPFLVTLNPPDVPDHVLLKWNTGHPVPSVAAAKASLELQQIQGNRGIWFCGAYQGYGFHEDGLKAGKSAAQSLLGQKSSLLLNPKQMVPSWTETGARLLVTRFLNQYVTIGNMTILEEGGTMFSFGEVDKKCLVKTVLRVHDPLFYWKVATEADLGMADAYINGYFTFVDKREGLLNLFLILIANRDAQKSSNTAASKRGWWTPMLLTAGIASAKYFLRHISRKNTVTQTRRNISQHYDLSNDFFSLFLDPSMTYSCAVFKVEDESLAVAQQRKVNLLIKKAKVERNHHVLEIGSGWGSLAMEVVKQTGCKYTGITLSEEQLKYAQMKVKEAGLEDRITFLLCDYRQIPSRCKYDRIISCEMIEGVGHEFMDDFFGCCESLLAPDGLFVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLARITSAMSAASRLCIEQVENIGYHYYPTLIRWRDNFMANKDAILALGFDDKFIRVWEYYFIYCAAGFKSRTLGTYQIVFSRPGNDKLACADNPYASLPAA >SECCE6Rv1G0409960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:593017253:593019765:1 gene:SECCE6Rv1G0409960 transcript:SECCE6Rv1G0409960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHHRHNLSSNAVPRDIPKPASADELAKNGKKKKSFMSTIFRKKGRSSAATGSSDKRPPSRRDRDFLFDLEEKYVERADAAAAAAAAAEEFMGASPAVRKSVSDRHCATRIESLTLSCLESPNRQNVDTREYRVFVGTWNVGGRPPNSSLNLEEFLQIEGLPDIYVLGFQEIVPLNAGNVLVVEDNEPAAKWLALIYQALNKPQQQQHQQTQPDQPSSGDELSPTESVASSSSRTRPPIPKSSSGGALLFQKPSLKALSKNYRVNSALVKTCTCMADPSTMHRRAREMREFIYRVEASPSGADDPDYPPSSSSSSAMMAPAGLESGGMNYCLIASKQMVGIFLSVWVRRELVQSIGHLRVDSVGRGIMGRLGNKGCIAMSMTLHQTSVCFVCSHLASGEKDGDEVRRNSDVAEILKTTQFPRICKVPGQRIPEKIIDHDRIIWLGDLNYRVALSYDETMVLLEQNDWDTLLDNDQLMIERQAGRVFKGWKEGKIYFAPTYKYKLNSDTYAGETTKSKRKRRTPSWCDRILWHGKGIEQLQYIRGEHRFSDHRPVCSVFVVEADADNGSRIRKGYSTLDSRIHCESPLPILQRHSFYDF >SECCE1Rv1G0034010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:474320939:474323516:1 gene:SECCE1Rv1G0034010 transcript:SECCE1Rv1G0034010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLSSLLHGLARSMAGKERKAEEPQGTVLRTSGTLRGEGSETLAAVWSRRGEKGTNQDCSVVWEGFGCQEDTIFCGIFDGHGQWGHYVSKAVRDSLPPSLLRRWQEAVTLASLIDGEKKLCDSQFDLWKQSYLAAAAAVDEELRRSRRLDAVNSGSTALSVVKKGDTIVIANVGDSRAVLGTTSDDGSIAAVQLTVDFKPNLPQEKARIVQCKGRVHCHDDEPGVHRVWLPGREAPGLAMSRAFGDYCVKDYGVISAPEVTQRRITARDQFVILATDGVWDVVSNEEAVQIVVATPDREKAAKHLVQCAVRAWRRKRRGYAVDDCSAICLFLHHSPPA >SECCE5Rv1G0341170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:621996200:621997091:-1 gene:SECCE5Rv1G0341170 transcript:SECCE5Rv1G0341170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGEEDGDWMMEPAAGKKGGVMIDRKKRFSEEQIKSLESMFATQTKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLERQYAALRDDYDALLSSYDQLKKDKQALVNQLEKLAEMLREPGGAKCGDNAGAAARDDVRLAVAGMSMKDEFVDAGGASKLYSASEGCGGSGKLSLFGEEDDDAGLFLRPSLQLPTAHDGGFTASGPAEYQQQSPSSFPFHSSWPSSAAEQTCSSSQWWEFESPSE >SECCE6Rv1G0404910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:524707623:524709985:-1 gene:SECCE6Rv1G0404910 transcript:SECCE6Rv1G0404910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESPYRLRHRRLMDTAPATASATPGHGSSGMPIMVSILVVVIICTLFYCVYCWRWRKRNAVKKSQLERLRPLSNSDLPVMDLSSIAAATNGFSMDNKLGEGGFGPVYRGVLDGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVEKDEKMLVYEYLPNRSLDAFLFGTRKTAHLDWKMRQSIVVGIARGLLYLHEDSCLKIVHRDLKASNVLLDNKMNPKISDFGMAMIFEDEEIEVINTGHVVGTYGYMAPEYAMGGVFSVKSDVFSFGVLVLEILSGQRNGAMYLQEHQHTLIQDAWTMWSEDKAAEFMDASLAGSYAKDEAWRCYHAGLLCVQESPELRPTMSNVVLMLIGDQAQLPAPEQPPLFASPKKSPASDQSSLAVRSETTSKTHSVNDVSITMIQPR >SECCE4Rv1G0223170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:66931119:66933070:1 gene:SECCE4Rv1G0223170 transcript:SECCE4Rv1G0223170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMINMSRDPLVVGQIVGDIVDPFMTTASLRIFFNNKELTNGSELKPSQVFNVPRVYIGGRDMRNLYTLVMVDPDAPSPSNPTKRENLHWLVTDIPETTDASFGNEIVPYESPRPTAGIHRFVFILFRQSVRQTTYAPGWRANFCTRDFAAIYNLGSPVAGMYFNCQRENGCGGRRYIR >SECCE1Rv1G0059100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703831537:703835019:1 gene:SECCE1Rv1G0059100 transcript:SECCE1Rv1G0059100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQAFGKGMAHDEAVAAQKTGKSGSPPKDQPAPSPYPDWSAVQAYYSSGVMPPAYFAPAIAAGHPPPPYMWGPQHMMPPPFGTPYAMYPHGGAYPHPLVPMMANPLSVEPAKSANSKDKSSNKKLKEIDGSAVSTGSGNSKKTSSSGDYSGEGSSDVNDLKVSGTPRKRSLDGGFDAEATAAARNKEVVASSPIIRNGAILSNQCFPAPVIKPSVTNVANSRAIGTPVSPLPGVMGPIHTGISTELSSKDEREVKREKRKQSNRESARRSRLRKQAETEELATQVESLTAENTCLKSEIGKLTKSSEKLRIENSALVVKLKGTAAPTGTEMPMDKSAAAAAPSSSPRVVENFLSMIDDTNNPGVKNHMEHSEPKLRQLLGSSATTDVVAAN >SECCE2Rv1G0122670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:817814687:817815274:-1 gene:SECCE2Rv1G0122670 transcript:SECCE2Rv1G0122670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLQQTPMAMSARRLLQAAAEGVSTPGARIIADDRDIVIILASLLCALITVLGIGLVARWCACGGAEARARAAANRGVKKSVLRAIPTVPYVSADAGKDKEKEAAAAPECAICLAEFEDGEAMRVLPQCGHAFHAGCIDKWLRGHSSCPSCRRILAVQLPASQRCQRCGARPDPAVATWKPPAQYSEMPPFLP >SECCE2Rv1G0123010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:820764020:820764343:-1 gene:SECCE2Rv1G0123010 transcript:SECCE2Rv1G0123010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAFFFDAEPLGEPGLRLHGPELELDACALCTKPLQSNSDIFMYKGDTPFCSEDCRYEQMHFDAAMARQQASARRKQQQQQQAQAQVSVPGAPAPVSAKADVSVAS >SECCE2Rv1G0080320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:128993366:128993809:-1 gene:SECCE2Rv1G0080320 transcript:SECCE2Rv1G0080320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVLPKCRYQRLHPEQGDDGDGEERPAAASATRWTRARRPLRLRRRARKKVAPRPGGGRRVKVRLMRLVLALLPARRAVALLAELARRIACAAECPAIVFSSQWGLPALSRSASGGASRTARLRAFYLERSLSARTGSLPDHHQH >SECCE5Rv1G0301510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:31676477:31677668:1 gene:SECCE5Rv1G0301510 transcript:SECCE5Rv1G0301510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVGGGGVGEWAWWLGLTLGAVPLLCLAAWHSTDACYRAAFFLRHGGRRRLPPGHLGLPFLGETLSFVWHFKLARRPDDFIAAKRRRHGSGAGIYRTHLFGSPAVIACSPAANKFVFQSADNFGVRWPVPELIGHKSVVNVEGASHARLRGFIVKAINRPSSLRTIAAAVQPRVVAALAAWADKGTIVAATEIKKVTFANICKMFISMEPSPLTEQIDEWFGSLMAGLRAFPLDFPGTAFHGARKCRWKLNAVFRQELEARKKVDKECDDLMSRLMNTEDELGKRLSDEEVVDNMVNLVVAGYESTASAIMWATYHLAKSPAALAKLREENVAVSESKGG >SECCE3Rv1G0193660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:773460186:773460791:-1 gene:SECCE3Rv1G0193660 transcript:SECCE3Rv1G0193660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAPNAEPEERTGFTCGTLLTCLCLPGLSKKKPEESGKSQQEQPAAPEQEQDQRTEQEPPQVLLPRAASLEKLECSSLYSGSNIVFDFLVEPGEGDDRGSRAIHGYCPSPCFDLPVELIRAGERCGAVASDAPVTSAFVFDGHRDGAALKRMASCLASSVPAGGEARPTHLVRFLSVSGRGVPVRPPVTSFGGPAKGGGG >SECCE6Rv1G0438680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:791724195:791725602:-1 gene:SECCE6Rv1G0438680 transcript:SECCE6Rv1G0438680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVFGIPISVQTVIATGEYKEPITQKDVADYTMKNINAGGKDLEAQKFVDNLKERYGNGISVKCLMYNATGTTLSLAVYHDWHGHIYDTPYPSDIQNGQWGAFLHVHPTGAAAGSAGAVVYRSKITSSSSSCDWLFSWTVPYIGGNGVYTEIREEGHYPSVGSWEYIYNVKLANSNLSSTDKNYGYVSKTDIGEGTTMNARAVFQLPY >SECCE4Rv1G0260610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:697165810:697173829:1 gene:SECCE4Rv1G0260610 transcript:SECCE4Rv1G0260610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSSADGSGRGTGGGKRGSTSSPPPPSSASPIKRSKAHVTEKGEAEKAPDAAAAEVEQATTSPRSAEAAGKTVPPSTVRNALTAAPATGSQARQPPHQRPWARLLSQSSEVPHLTVNDSPFAIRIGSMTLCTLRRLEESGQCLLEVTGEPGWVEVNRGFFFRGSKALLGDGDEVVFISQDDYKPYYIFQYLLNDNETPISVATRVSQTFKDGLKQGILCPDAIQVTLENFPYYLSENTKRVLLTTASIHMEPRGRRFIRSLSGISSLNQRILLSSSSGTEIYQETIVKALAKKFGARLLIVDSLLLPYARCTKVPESLSGVNISRPDANTFGEGSRVKYISPITEPPLHTFIYRGPSNGYRGRVMLAFENNVSSFRKVGVRFDKPILGGNDLGGLCEKDHGFFCPVNELRLSSSSDKQVGIDELNQVILEESESSTLIVFVKDLERTLTGSPESHESLGKELPPGVLIIASYTWATSQIDKSPPKGLSFAALGNDSQSLTNSLLGSSGSKLQERNNDSTDSIKHLNKLFPNRIYIEPPKDKAQLSCLNQQIRLDAESLRAKANVLSIRKFLTQRSIECNDVEELLIMDRLLTHDDMDKVVGYGISYHLEHNKPNMDAKHVLPIESLKHGLQRIQSESMASGISKKALKDVVTENEFERSLLSNVIAPHEIGVTFDDIGALEGVKDTLKELIITPLKKPELFSKGQLLKPVKGILLFGPPGTGKTMLAKAVATESGASFMNVSMSSIASKWFGEGEKYVKAIFSLASKLSPAVIFLDEVDSMLGKRQNRDEQETTRRMKNEFMVNWEGLLTKDDERVVVLAATNRPYDLDEAVIRRLPRRLMVNLPNAPNRERILKLILSKEALAEDVSLESVANMTDGYSGSDLKNLCVTAAERPIRDLLEREQKEKSLAITEGRPEPALLTADDIRPLRMDDFRSAHDQVCASMPLDSENMRELIRWHEQYGDGGSRRKSNGQTSYYM >SECCE6Rv1G0412580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:615036245:615040296:1 gene:SECCE6Rv1G0412580 transcript:SECCE6Rv1G0412580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAPGLGFPAAAAADPATERRYPPSSRRKKPPPRRRRPAPPPAPPVGPRAAADPDPGLLHHLHLRGGSHASRATAPTDEDDDDEEGQYDAAAGDSFSHSLKECQKQLQLRAEARPPAASHEHGNGDAFGGIELLVLSPRCLLGAAVGGMSKSSTASSRSRSGTFPSPGTPNYHRHCAGNMQYPKGWSSERVPLGAGANRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGMGRMSAPAPHHRRPKSKSGPLGHPAGVPGAYASVSPMIPCFDGVLAAANFAAHSPFSAGVLMPGHARNGDFSSGRARSGDDGSSRSYSAEKEPYIWRSASTHAWTETLMEASAFAHISEEAAQDDVLQGQQGATPAISSPIIKKDVATQMSPDDSIISSSPKARHSCSSLPSGNAIRESNSHTPKVEVRDVQVDDQVTVTRWSKRHVTRGSDKRSTNIVEWRKKTTEARAPSFDEKERERCISKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDRILGKLRSAQKKAQGMRTTVSVSEDQCAVSEDQCAVRATKKASLRRTGKPFSCCFTYHAC >SECCE1Rv1G0005880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28555981:28558011:1 gene:SECCE1Rv1G0005880 transcript:SECCE1Rv1G0005880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGQDQLLAGEEDCREMIEHVLERIKGHPLIQETMGRVREHLHGTRTLVVLHNASGYKWDETAEALRDLGCSSMAVVVTTKYMQRANELSYGTEPIIYENYRETALQLTNRHVNHDEKYSAEIYHEILEKCRVDEFCIKMFIHALFANPMRSREELDKLSNSLVFEGSVETNGYKMIKFSYNDLPREYKTCLLYLAIFHKDEKINRTRLIGRWVAEGMITRQDWPSSVIQAEHCFEVLADLWLLCPCDFGTAGKVKSITLHPLVYNFITKMARKEHILDTRLSWHLARHFSILSNIRLRPSDSILNFLKQPSRASSQLNLVKVLDLEGCASLRDNQRWLRNVCTSLILLKYLSLRNTDVTQLPKEINMLQQLEVLDIRHTPMNACATKLLMLLKLKRLLAGHTGTGGSDDASTLSTVQMPHKVRKMMDLEVLSHVQASKHHATELREIGQLWQLRVLGVIIYDWKAQLENLLQGISDLNECLSSLSIEIKTPPARQAATPDVPAADAISAHCKNTPKLLESLSISGVTMYGHLLPLFARGCHKLAEVTLHNTSLDQNDMETLADLPNLRGLRLQHVNLHTEGKLIIQTNGFQNLKYLVVEGGGITDINFELGEAPKLEKITWLIDGIVSLSGINNLPKLKEMVFNDGVTLPDQVIQAIEAHPNFIDTNGIWELC >SECCE7Rv1G0460300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:30832611:30834590:-1 gene:SECCE7Rv1G0460300 transcript:SECCE7Rv1G0460300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSDAMQWWDEWKLRVLVLCSLSVQFFLCFSTWVRLFPKLRRLRVLVWMAHIGGDALAVYALATLFNRQKQGGADMGNDALELIWVPVLLIHLGGQHISAYSLEDNELWKRYAITLVSQVAVALYIFSKWWSGQKKLLQAAVMLFVVGILKFSEKPFALRGASFNSMASRSLSARREKVSNPKCWALCTMNCSDFVAAVQEAKQGPQPNLSLEQYVKDAKRLVLVQGPEVASQSDQAEYKKFEYRAMNYISMMLVDLSIRYSVRLDYQQYFLKLDHVHGHARLREWIMGTFGALYMKMRSYQTCLGGCSIVILPLLTLASLVLFAKSDKDGYNENDIIVSYILFGCTVLIQLSPYLMGPCDWWADKNIPSWQDMVSQHNLLSFCAHRKQPTTLMKLAAFKCLKEYINKHWYIWQEPVALEIEVLIREYVKDGWKEFIHDPATYRRFNDLRGQQALSRHPRLGGQLGWSLNLPFDEIVLLWHIATDLCFHHPNTSSQGPSGDTALRSRQISNYMIHLLFMCPEMLMPGTRPDLFTIACDDIKRILTDQHDPPSIAQRILLLGQHGDLRGGVVPHVHKLAKKLMEHPDEEERWKVIQGVWVEMLCYSASRCRGYLHAKSLGDGGEFLTNVWFLWSLMGMDIFGDNIHLPLEEEGITDASV >SECCE4Rv1G0281870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:827077820:827078885:-1 gene:SECCE4Rv1G0281870 transcript:SECCE4Rv1G0281870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWPNSNESSDDDEYMSEFSSMQMEYFQTPDTVIDPSFSGLVTESDRRCILHRQTAGKFVAFEGTDTGRRFIGCAAEAGVNYGVLEWVDAPWHVILQRCLTKLWDMYHEENLGRVQDKEAHEIEVEKLKKELDSLGNQYNQLVDDVSKLFDYQDGQKSHDMDYTSQAINELKEKKHQLEEQAKIELQMEKLKLKKEQRCILQSQANIIQNTRKAMKEIQVERDLLKEEKKKLEHIIAELLKAGHGCKEKLYKIKEVVMEE >SECCE4Rv1G0221280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:47241044:47241631:-1 gene:SECCE4Rv1G0221280 transcript:SECCE4Rv1G0221280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTRRGDRQQQQPPAGSTTVSNNPIFFVHDDVDDVPGEFARPLPLPPSRAHLQPAGSGRAGSSTSTFMASRHGSGWVLRKVDHHDPFLAAYVACTKSGGGDGGKVDAAPPKSEQKKDKNRGDAAVQGCGIWSGWTAAGAKYAGAMSCKYGCEVATAQGDDPAASPAPRLHLSRQLVVIPARKRALQPRGRPQG >SECCE7Rv1G0456420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:10635866:10636618:-1 gene:SECCE7Rv1G0456420 transcript:SECCE7Rv1G0456420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTLPDDLLEEIFLRLPPGEPEHLVRASLTSKLWLGHLGSARFRGRYGDRHGAPPMLGFLYSWPEYAGPEDEEHKPHFVSTTRFVPRVHDEEWSELAYTTWDCRHGLVLLGDRCRSPMGFVVWDPRTGCRWELDVPKGYMPTKRRGAAAVLCAVSGCDHRACHEGRFQVVFVSLDKTNGGGIARAGMFSMEKGAVSKACSPLHLAGGATVDQMPSVLVQDALHFMVRQPEAYVAPAILKYDLGCHSLCV >SECCE5Rv1G0321370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:402266436:402266939:1 gene:SECCE5Rv1G0321370 transcript:SECCE5Rv1G0321370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFTKLSLTYSKPSQRASATDRTTHAEQHTTRTYYAGDNRKEDAGMAKSGTEEWRRNADTHKMSPEEVRAAGVEASMRPPGRGGGAGEVLHQRGGRLPYGPGTMALVGFGIVGAIGYLVLYQKARPGTPATEVAKVAVGHGDPAVGREVQKRQDEAQSPLPPREGK >SECCE2Rv1G0098870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:499549397:499563318:-1 gene:SECCE2Rv1G0098870 transcript:SECCE2Rv1G0098870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNLTVVLRAALSHAPDERKAAEASLEQLQYTQQHLVRLLQIIVDGNCDMAVRQVASIHFKNFVSKAWSPIDPEETRKIQEGDKSMVRENILGFVTQLPPLLRAQLGESIKTLILADYPEQWPSLLHWVTHNMESQDQIFGALYVLRILSRKYEFKSEEERIPLYQIVEECFPRLLNIFSTLVQIANPPIEVADLIKLICKIFWSSIYLEIPKQLFEPNIFNAWIVLFLNLLERPVPLEGQPSDPDARKAWGWWKVKKWITHILNRLYSRFADMKVHKPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSLTKNSMYQLMQPQIDIILFEIIFPLMCFNDNDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKGNLQKFIQFIVEIFMRYNEASIEVKPYRQKDGALLAIGTLCDRLKQTDPYKAELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHITFSDQDNFRKAMHCVISGLRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMGEVENEDLVFTLETIVDRFGEEMAPYALGLCQSLAAAFWRCMASSEADDEVEDSGALAAVGCLRALSTILESISSLPHLFIQIEPTLLPILRRMLTSDGQDVYEEVLEIVSYLTFYSPTISLDMWSLWPLMMEALNDWAIDFFENILVPLDNYISRGTEHFVTCKDPDYQQSLWKGLSSVMTDQNMEDSDIVPAPKLIEVFFQNCKGQVDHWVEPYLRLTIDRLRRADKPYLKSLLVQVIANVFYYNPSLTLAMLHKLGVATEIFNLWFVMLQQVKKSGKRVNFKREHDKKVCCLGLTSLIGLPANHIPAEALERIFKATLELLVAYKEQVAESKRQNDAADDDVHEFDADEEENEEDEDDGEMGVDDEDQDEVNSLNIQKLVQARGFQLHDEDDDDDDSDDDFSDDEELQTPIDEVDPFIFFVGTIQAVQASDPARFQSLMQTLDFHYQALANGVAQHAEERKVEIEKEKLEKASAQ >SECCE1Rv1G0056040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:684595470:684598053:-1 gene:SECCE1Rv1G0056040 transcript:SECCE1Rv1G0056040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYFERILNLAWQLRQHLTQFIENVFGCIDWIPQRHEVQFSACCGGSLPGTETYVHGQSSAVLSISNTTEELSISSTTENQAADRISEITIFRNGLSEICQRSYASWSSYILCGYRLGQRLAFCVRGLCSVFANEINSKLTRSLHRFWTTLQGSSKDIGWLQRTQMSLYSVDGTSRFQELLRDVRNGVHYLPNTLVYLLIPGLFSNHSPLYFLNTKRFFSKMGLTCHIAKIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWPELKGKVAGLALVQSPYGGTPVASDILREGQIADRETRRIMELIVCKLIKGDMRALEDLTYDRRKDFISRHRLPVDELPIVSFHTEASTAPTVLATLTRIAQAELLPLLAVAPLPRFLSEYAESLLASLKLPVVMPVSAAMAASALHLRLRYGESSDGLVTRRDAEVPGSVVVRPERRLDHAWLVFSTLSRRRAEADATQVCEALLAMLVEIGGKRRRCLIEEIVA >SECCE4Rv1G0276100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:796644217:796647341:1 gene:SECCE4Rv1G0276100 transcript:SECCE4Rv1G0276100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERYRSSKKDLSKGMMIVDAIERLGVGYQFEDEIGKFMDVLISTSIDENDLAAVALRFRLLRQHHYDITCDEVLKSFKDENGDNFKDIVRSDVSTLLSLYEAAHLGKVEEDFLTDAIRFTTSCLSSISEANQLSHHVLERVRHALATPSQRRMKRLEAKLYISIYEKDYEVDQDILELAKLDFHILQMMHRDEVKSISLWYKELNPGSTLGEYIRERPVECYFWALGVFYEPKYAKARMMFAKLIKMFSFFDDTFDSYGTLEELRQFNHAVQRWDEEDARRIGMCYGYVMSRLSNTLDQFVEDGASAIGIACTKEIIKHVSRCMLQEVLWREEGQIPPVHDHLRTTAISTFYWALSCLSFAGMDVGDDVFSWAISFPKIIQNAAMVSRLMDDISGHECEKERSNVATAVDCYIQEHGVTVDQAKEALGSLVEEQWRSINEEFLTNTMVPVEVLTRVVNLARVMECMYKNLDGYTHSSETADPIDKLLNSCVNH >SECCE4Rv1G0214600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:922739:923463:-1 gene:SECCE4Rv1G0214600 transcript:SECCE4Rv1G0214600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGQSTVVKLGAWGSDAGAAHDIDAKAAAAPHRLETIAVRWGKVIDSLAFTYTDEDGQPHAAGPWGSPGGVSEDLITLGPSEYVTEVAWTVGPFKLKEIEECVTSLKFVTNLGGTYGPFGNGDGTNHSLPVLDAGSVVGMFCRAADYLHAIGFYVRPLAVVESTASPAKPQGESLPALATEG >SECCE6Rv1G0443430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:822873572:822874588:-1 gene:SECCE6Rv1G0443430 transcript:SECCE6Rv1G0443430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPTMPPHPSAPAARALARAADFYFSFIPARCHWTVQDIRDGCVLLARGLRQDERPLASIFRDLAVCEPLHRRYILLPPVTHDLAASLEHPFPMVRPARCKPFLVPLGEEEVAAGETAFRVILVAHCQTSLAAFVFSSSTGQWQAAASKRWSDLGLGEHDMAEMSRVHPFILSRHYAHGCFYWDWVEFGRKKLLLLDTRKMEFSIADLPRRKWSKVGIAIVEAGEGRLGVFGFHGETSSELSYMVARNKGESPTQWQTEKTISLDSGYKYLIRDTTRRYLLLTRIEASSLENPLVGYFSMDVKTLQLERIYDEPQYLKYETYTYINFPPSLLSSRRI >SECCE7Rv1G0490610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:498823952:498828547:-1 gene:SECCE7Rv1G0490610 transcript:SECCE7Rv1G0490610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGPAPTGELLRIDPIELRFPFELKKQISCSMQLSNLSDDYIAFKVKTTSPKKYSVRPNTGVVLPRSTCDVVVTMQAQREVPSDMQCKDKFLVQSVVAPSGINVKDVTGEMFMKESGNKIEEVKLRVTYVAPPQPPSPVPEESEEGSPSRVESENGDGPAGGFTRALRERIEPQEKSLEAGALISKLTEEKNSAIQQNHKIRQELDMMRREVSKRRGGGFSFVIVIIVALIGIFLGYMMKS >SECCE6Rv1G0413870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:626883810:626886137:-1 gene:SECCE6Rv1G0413870 transcript:SECCE6Rv1G0413870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQLCGAAAVGAAGFAGKGAAAVEPRRVPAPAARRGALRGLVARAATVVAPKYTTVKPLADRVLLKTKTAEQKTTGGILLPSTAQSKPQSGEVVAVGEGRTIGDSKVEVGIKVGAQVVYSKYAGMEVELNDSNHLILKEDDIIGILETEDVKDMKPLSDRVLIKVAVAEDKTAGGLLLTNSVQEKPSVGTVVAVGPGHLDEEGKRIPLPVSTGSSVLYSKYAGAEFKGADGTNYIVLRVSDLMAILS >SECCE2Rv1G0118110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:779472679:779473479:-1 gene:SECCE2Rv1G0118110 transcript:SECCE2Rv1G0118110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSEQRLDYVLVPLGLAVMVGYHVWLLLRIRRRPATTVIGINAINRRIWVRHIMEDPSGKHAVLAVQTMRNAIMASSVLASVAITLSSLVAALMASGAAHGLFSGTASPAQNNSKNNIVLGAAGQAALSVKFLAILVCFLVAFLLNVQSIRYYSHTGILVNVPLQAHRHRRPGLAIDYVTSTLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFVACAAMVCTLYFLDVYKEWDREEEGDGLVAEDGEGSREEEGGTKMATSAV >SECCE3Rv1G0210480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943912368:943912972:1 gene:SECCE3Rv1G0210480 transcript:SECCE3Rv1G0210480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIQPLPSSPCSSSSDGNQHSSQAYTVWIWMKSLVFNGNGCAVYGPDGAVAFRVDNYGCRGGRDVLFMDRAGNALIRIRRKGEGDEAAPWFSVRRAEKGRATVAMHGAGTSYTMDGCCGRKPEYRIRDVDGTVVAEVARKQTAAGVVLGEDVLTLTVGPEVDHQLVLGLVVVHGLMNRSL >SECCE5Rv1G0316000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:303575802:303578287:1 gene:SECCE5Rv1G0316000 transcript:SECCE5Rv1G0316000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMAYMPSCGTFHAPLVSSCLRRKFTVVATASKAKVGTPEKASTRVRFAQPFPPQKKEVFDSLERWAEDNILVLLKPVEKSWQPQDYLPDPSSDGFYDEVKELRERAKEIPDDYLVCLVGDMVTEEALPTYQTMLNILDGGVGDDTGTSPASWAVWTRAWTAEENRHGDVMNKYMYLTGRVDMRQIEKTIQYLLGAGMDPKTEGNPYQGYIYTSFQERATFISHGNTARHARKYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEVDPDYTVLAFAAMMRKKVTMPAHLMYDGQEDNLFEHFSSVAQRLGVYTAMDYADILEFLVQRWNVANLTELSGEGRRAQDFLCSLGPRFRKLEERAQGRAKQLPVVPFSWIHGRQVQL >SECCE5Rv1G0369240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:834453529:834460304:1 gene:SECCE5Rv1G0369240 transcript:SECCE5Rv1G0369240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKGGDGGGGRESGHDDDMRKPLLAASTGSWYRMGSSLTAGASSMAIIRESHVSVLLCTLIVALGPIQFGFTGGFSSPTQDGITRDLSLSISEFSVFGSLSNIGAMVGAIASGQMVEHVGHKGALMIAAVPNILGWLAISLAKDTTFLYTGRLLEGFGVGIISYTVPVYIAEISPRNMRGALGCVNPLSVTLGMVLAYVLGMLVPWRMLAVIGTLPCTILIPGLFFIPESPRWLAKMNKMDDFEASLQVLRGSETDITSEVNDIKTAVASANKMTAIRFQELNQKKFRMPLILGIGLLVLQQLSGINAILFYAGSIFKAAGLTNSNLAACGLGAIALLATGATTWLLDRAGRRILLIISSAGMTLSLLAIAVIFFLKDNVSQDSDMYYILSMVSLFAIVAYVITFSFGMGAIPWVIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLLLSWSAGGTFVCYTLVSAFTLVFIILWVPETKGRTLEEIQWSFR >SECCE6Rv1G0434240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764474158:764476085:1 gene:SECCE6Rv1G0434240 transcript:SECCE6Rv1G0434240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKRLHLSSASPNLKDHVDEASMHDPKEEEKEVRDNSSGGGDNKDDGGSHLSQIDGADMDDPTKVHIFVKNPAGRKICLRGVHLSDTLYTIKAKIQERYRLIFDGVQLEDNRTLADYGIQHDSTLDLQEKMQIFVTETLEGRTITLEVDSLDTIENVKAKIEDIEGFPKVQQCLIFANKQLEDGKLTLADHNICKGSTLLLILLPCRPTVVMKIYVKMLRGNTITLEVGRSDTVGSVKVKLYELDGMPPRQQRLIFAGKQFEDHRTLADYNIQNESTIHLVGRLCGC >SECCE3Rv1G0187800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:705429788:705430386:-1 gene:SECCE3Rv1G0187800 transcript:SECCE3Rv1G0187800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDIEGHHLASAAILGHDGTVWAQSADFPSFKPEEMTNIMKDFDEPGTLAPTGLLLGSAKYMVIQGEPGAVIRGKKGAGGITLKKTGQALVIGIYDEPMTPGQCNLVVERLGDYLVEQGM >SECCEUnv1G0546950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:166444235:166448666:-1 gene:SECCEUnv1G0546950 transcript:SECCEUnv1G0546950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPSPSPPPPPGSPAGHRRRRERDDADPNPDASPKRRRHHHRHRSHRSHRRPDDAQPPPPSAAGEDVEEGEILDDAGAMEADPASPRADPDPERVGDHAGADSAVVDAMRPSHPSPSKDEGELNRAARGSESGGVFSGDEDNSGKGHEQSHRVPKSPRPTKDRGRTRKDELLTLSSNKDSHQRDHTKRSPPSRNHAEEVHSRRSGEADAKANGSRASAGADPDRDGNDRNGFGRHATRTREDERERGSSRAVRDGHSDRRDSRERYRDERRHSSIDRDNVDRRHRERSSSHSRPDRRESAHHTREESWERERPSGSSRHKDHHKRDTSKDRYKDYDTVATGHERDRVRDDRDRGRHRDRESETRRSGAGERKDRVSSSDRHKDSTYSKYSTSDGHKDRSRSGEKGRDADSRSHRSREMKENSFKEEDEEEYQEKIEQQLAMQEEDDPEKIKEEARRRKEAIMAKYRQQQAQKQQPESLPRSNDEEARAVDRDETVDTKGDNDSNTTDNEEADNKQDSSDAFVGEADFSVGKSSAHDAVLACAEALGNEGTTAVSGLGEGTPKSERSADMFCDDIFGESPAGFRKLGKGDGLCIEKNALHDNWDDPEGYYTHRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEVVAIKIIRKNETMYKAGKQEVSILEKLASADREDRRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNDAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFNAIEEDPVTKKPMRKLILNIKLKDFGSLVSNFPGEDPKMLSNFKDLLEKIFVLDPDKRITVSQALSHPFITGK >SECCE6Rv1G0417050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:653903486:653905284:-1 gene:SECCE6Rv1G0417050 transcript:SECCE6Rv1G0417050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSGGHRRNKSARNEAAAGNKNGRRRRNKSGRKGATADDGDRLSKLPNDLLLNILERVDTLDAIRTCILSKQMLNLPTMLSQFFLSAGSVPGHHDKAHVFSRSEVLRTNNAVARVTDSILCTRNPKIAIIKLKIRFVLMPHDSLTIGRSVARAMASQKIGAAEFEIVTEKAYTDCSPYDLLQFAKQLNDFVVACPDAFAGLRRLWLRNMRFGELDIPNILSTCKLLESLRLTNCDSGINSVLQVEHAQLVELEVDYGKFERVELTCLPKLQLVSYNNWYSYEDPLYFGYVPQLSKLRLAKTGVRSEKTLELSELLVNVPSICDLHLDFQSEKIWVLPECPKLLKPLLSKLQHVNLDNIPEGCGLAWTMFILEAAPSLKELCITVWDHWCIMATDTEVRKKYGYCEKADVNWKPYVPDFKHKNLAKLTIYGFQPVDRFMGYIRNFLEHAVNVTEISLYDRKVCGSCDDLDPEIKAKVCPSRYPQTAEGRKQITEGLGLASRAVVHFRS >SECCE2Rv1G0071470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:45071827:45072711:1 gene:SECCE2Rv1G0071470 transcript:SECCE2Rv1G0071470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPNKIKDPEGRKARQMAHAMSTYCCMLGGLAWFYFIVFAVTNLAPMSKQSMAPSLSSQLLLPAARTTLLPPDGCNPASCASLPTSASDSSQSDKPKSHISVWNGFTAAFLLGAMYLIATVKPRVDAAAKAEDRAATEEDKAYNAALDERRKAHDAAYRAKEDVKRAVDGIPPPLVPPPPGAMDPRVAKLLIVHYKAVIATEKADKKAEERCPLVGVARMGGFERRAVRILFAISILYSFAAGICSSAAYFRSAELELGDLMSLGAVIGSIAVPGVPFVWAHFCFLYVAFRGN >SECCE2Rv1G0119070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:788203817:788207059:1 gene:SECCE2Rv1G0119070 transcript:SECCE2Rv1G0119070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWRAAGARAVLRRLGAAAAEKQDGRVFAASYSSRANAPFGLGQYASMLRTHTSTSRGIPTNFHQLLHNAGISTTRNLLAADNSMVPISSPLTTPLGDAEETNKKGAVVKGLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTLYQVIHSARANAAHNHGLDSDKLIVEEAFVGKGLYLKRLSYHAKGRCGVRERPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKEKQLMPHRLIEVSPRWARKRKEEEEAGASV >SECCE5Rv1G0337510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:591798877:591799269:-1 gene:SECCE5Rv1G0337510 transcript:SECCE5Rv1G0337510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAEKGSAARKAGLITKTLDRCRSTVVRSNKPAEGCFSVYVGAGRQRFVVRTECLKHPLFQALLEEAEEAFGYADAGPLELPCNTEAFTQVLEKIEEEKQMLAGRRHSLARGNSYRSLGTAWPVIIGRS >SECCE7Rv1G0482700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:310683872:310687431:-1 gene:SECCE7Rv1G0482700 transcript:SECCE7Rv1G0482700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCQLAAVLLAVSMAASTSTSTAQDALQTKCQEDLQKLSDCMDYATGHEDTPSAKCCEDTADTQRSRPECLCSIIQQVHSGSHGVQQLGLRFDRLLAQPAACKLANANVSLCINLLHLTPSSPDYALFANASKITPSTAAPARDTADGFKVPTGLGYGVVASAIVSAVFSSIF >SECCE1Rv1G0022260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:281841472:281841756:1 gene:SECCE1Rv1G0022260 transcript:SECCE1Rv1G0022260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTALLLRHGGGGIPQALTDVLVCPLSKKPLRYCEASGSLVSYAVGMSFPVSSGVPSRSSICRAS >SECCE6Rv1G0407790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:564724318:564725755:-1 gene:SECCE6Rv1G0407790 transcript:SECCE6Rv1G0407790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADLKWMMLDRFAFRRDDAESFLDEDAAPFTIEGDISPDDMFSIAFRIAKPPVTSRMYLKWKCGPKAGPGCIPVAAHRGVLLLRFTPEPGKLGFVEHFFICRSRYDPLDSSPFALERIPFCTTICHDEEGTEIAMRRLFEVETVGVLSRNEDFAIAQLVLRRPLVKADKMEADLCVLRSKSNTDHTWEIEEGLPILYEADEICDLKAWSTDRVVPFNEYLCWVNYGIGGVLFCEVFKERPKISYVRLPIHNRRLRQRRVLDRKCSVCLTKGYFGAHELTFVDVDREDGYLVGPLSPETGFTIAYHVLRKTGCSGMKWDLLFFLTSAELWDLNKSLPHEVLTFPHVSIDEPNVVHFLLSQPVSHQLDKVSVVSIDMIRRKVLSVVPYIQENDIQGKDSQMVLRASSYLQSFLPSELPKFVDPAWLRTRTSCCYFR >SECCE2Rv1G0105930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:627012245:627013940:1 gene:SECCE2Rv1G0105930 transcript:SECCE2Rv1G0105930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGAPPHDSPGELPGFEQPLLHAHGGLLAGKDPAAAHDHEALCSPEDGGATSLRTCFNGLNALSGVGLLSIPYALAEGGWLSLALLLLVATVCCFTGQLLQTCMGASPDVRGYPDIGALAFGAKGRFAVSAFMYAELYLVAIGFLILEGDNLDKLFPGASLSLGGVLLLSGKHLFIVLVSIFILPTTWLRNLGVLAYVSASGVLASVVLVFCVLWAAVVDGVGFQGKGTMLNVSGLPTALGLYTFCYCGHAIFPTLCNSMKEKDKFSKVLIICFVACTLNYGSMAILGYLMYGDDVESQVTLNLPEGKLSSKLAIYTALINPFSKYALMVTPVATAIEEKLLAGNKRSLNMLIRTFIVISTVIVALTVPFFGHLMALVGSLLSVMASMLLPCICYLKIFGTARCSRAEVALIVMIIVLGSLVAASGTYSSLQKIIHEF >SECCE1Rv1G0042720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:583600692:583601279:1 gene:SECCE1Rv1G0042720 transcript:SECCE1Rv1G0042720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDSEAPTSSIVAGLAALHDYAHGHGGGAAAPTSAGSAFDTNVVIILAAIFFALLFAIGLNSLARCALRYVGRGAAVAAGQAGATARVACSGSGIKRRVLRCLPVEVYGCGEDIDDVCAICLGEFVDGEKVRVLPQCGHGFHVRCVDAWLVSHSSCPTCRRPVIEGALAKGGDGSIGGSQCPAENDTIAVVIV >SECCE2Rv1G0065350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:8268367:8269362:-1 gene:SECCE2Rv1G0065350 transcript:SECCE2Rv1G0065350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKKQQQSPAVVDPKFEWADKAGTYVLRLSLEGFKKGDFRVQVDGAGRLTVSGARPGATPGSLHKVFQLPSTASLDDIAGRFEAGVLTLTVPKHAHSGIPAPTSIEEIRKEKPGVAKDDGATATTGTVRPPPTKDVDGSEKKAASGDDSTKPKEEIAKEEEPSNKAMDESTKNAQHQKQPEEASRHKEEQQKLAPTVMEEQELKPKAPQAAAPATPKTEKPAPEPKVAVSEKDKAVVDQESLAAAVRRHSEEEKAKAVAAAMEAKAVLEKKVTACSGWKKRVAGGLEQLTDMKWADSVVEKTRNNKEVVAIAIAAFSLGFLVSHKLFRK >SECCE3Rv1G0186280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:682666865:682673061:-1 gene:SECCE3Rv1G0186280 transcript:SECCE3Rv1G0186280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase At1g66680 [Source:Projected from Arabidopsis thaliana (AT1G66680) UniProtKB/TrEMBL;Acc:Q9C9M1] MAGIRLTPEEPELPQGTPPRPQLPFPVAGSGVTAGGGGSGGLEMASDDERSVAADSWSVRSEYGSTLDDDQRYADAADVLAAAAAAGNFPSAASDYCSDKDDQDPNEEGSMLGLQSYWDASYSEDLANFQEHGHAGEIWFGADVMDTVAIWTKKLCVSFIQGGRSSANDNIKFEVDDKHLFDYPVLDLGTGNGLLLQALAKQGFSDLTGTDYSEGAIELARNLAARDGFTTISFLVDDVLETKLDRKFKIITDKGTLDAIGLHPDGRAKRVMYWESISNLVEPGGLVVITSCNHTKDELLQEVEEFGMRKFGKEDADRGAGDSHQIFRYLDHVQTYPTIMFGGVEGSQVCTVAFQRA >SECCE5Rv1G0310030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:163348939:163352889:1 gene:SECCE5Rv1G0310030 transcript:SECCE5Rv1G0310030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCEEYTLITDPESLFKINHPELPLSYYTGVLGMAGLTAYAGFFDVSKPKKGDYVFVSSASGAVGQLVGQLAKIIGCYVVGSAGSDEKVNLLKNNFGFDDAFNYKKEEDLNAGLKRCFPQGIDIYFENVGGAMLAAVLLNMRMNGRIAVCGMISQYNLEKPNGAPNLFFLVAKRIRMEGFMVLDYFGTYNKFEEEIAGYLKEGKITVVEDVVEGIENVSAALIGLFSGRNIGKQLVTIAHE >SECCE5Rv1G0356100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:737062691:737063902:-1 gene:SECCE5Rv1G0356100 transcript:SECCE5Rv1G0356100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WHAT'S THIS FACTOR 9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G39120) UniProtKB/Swiss-Prot;Acc:Q9ZUZ6] MLARRPRPRPPKPLPLLSAFVQSMGYVEVKMRWKKDASFDAVPVLAHARDLRPLVSLARLLSPSPTPVSAVSKLRRSLETSDRRVASFLRRFPAAFVESVGPEQNHPWFRLSASAARLQREERDVFAACRADITSRLRRLLLMSPARRLPLSVAQGMLWHLGLPEDYFKRPDFDIGQDGFRILTTGDVLWSEDENHGRELGLIDDGRDQEMPLSVLQMGAIRRFGSPEEVPFPLFLSKGLRLKRELRDWMEGFQKLPYISPYEDFSNIHRGSDVSEKRAVGVLHELFSLFVTCSAERRRLLCLRTHLGLSQKFHKVFERHPLMFYLLLKEKTCFVVLKEAYMAGGHTSIEEHPMLEVRSKYARLMEESKEIIRRRRSGKPVQLDPEDQESEDLKGVNSAAILS >SECCE4Rv1G0225540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:94767728:94782322:-1 gene:SECCE4Rv1G0225540 transcript:SECCE4Rv1G0225540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKDAGPSPAAAPATAPALTPSPFMPMCQMRRDECADLLKLISGVSRPLEDLVADFLARVPPERRLRFGSAVKFLLEDNVMFQPAERLIAIAILHQGYSSQLTNPFVPFLINVACDEISQRPERVFLQLLLTSANGDNNNEILKQSAEDYLKEPSYASQVLLPRDQLESRYSCNDVQLQTYTSCAAATVRSAIPDPDVSQSCGDSSGISPTKLNRDNVVTNLLQQTPLRGLSPPWIRPSPPRLEVLEGELQWLNLDNNHELLWDSSMCADTSRGASIRDLVGRACKGPLAPAQQEQVVLDLARDWKLVYHCGMTPEKLPDLVEHNPLIAVDVLSKLINCPDMDSYFNVLVHMEMSLHSMEVVNRLTTAVDLPPGFIHDYISNCIRSCQNIKDKYMQNRLVRLVCVFLQSLIRNKIINVEDLFIEVQAFCIAFSRIREAAGLFRLLKSLE >SECCE4Rv1G0253380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:625924192:625925706:1 gene:SECCE4Rv1G0253380 transcript:SECCE4Rv1G0253380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPLPAPARAPLPWISPLQYRSPTRAAPASPPPPPPPPSSPRPRYVDHPDLARLIASSHTGQRALDLFNAAAEQRGFSHTASTFSALLIRLARARLPSAASAVLRRAASAPCRFLEPHLLPLLRLLPPDHALTLLRLLPSLLHRRRVSHKALAVCLDRLVSSRCPDVLADLIADLRDPRNKYLPAPNTCVYNILIKHYIKNGDSETAFRVLDEMREYTCGDVRPNLVTYSTLLGGLCRAGKMKEAFELFEEMIEKDHIVPDQLTYNVIIDGFCRLGQVEKARTIFGFMRKNECEPNAFNYATLINGHCKKGEVENAKLVFEEMRTAEVEPDAVSYTALIGCLCRHGSVDEGIDLVMEMREKGCKADVVTYNLLLEGLCKDGRMAEAMDLLGRLPEEGVQLNVASYRIVMNTLCSIGDMEKAVGLLGLMLRRGFLPHYAASNKLLIGLCDVGRVADATAALYGLAKFGFMPEASCWAKLIEAVCRDRKLRRSVELLDVLITGE >SECCEUnv1G0569540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:444777355:444778044:1 gene:SECCEUnv1G0569540 transcript:SECCEUnv1G0569540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKSYAQILIGSRLFLTAMAIHLSLRVAPPDLQQGGNSRISYVHVPAARMSIVIYIATAINSSLFPLTKHPLFLRSSGTGTEIGAFSTLFTLVTGGFRGRPMWGTFRVWDACLTSVFILFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSPVNSWNTSHQPGSISRSGTSIHVPMPIPILSNFANFPFSTRILFVLETRLPIPSFPESPLTEEIEAREGIPLKT >SECCE4Rv1G0285340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:844076452:844076862:-1 gene:SECCE4Rv1G0285340 transcript:SECCE4Rv1G0285340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE4Rv1G0217720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18639675:18653115:1 gene:SECCE4Rv1G0217720 transcript:SECCE4Rv1G0217720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKFDVPWKNELFALGKYNVNGKDKAITVRNGYPEPPVPYFEVTEGFDLKKVEELMVQRNIEVAKSTENDIIIRDPAPQWVGDTFLHKYAELEPILVKDNVRCFLRLFKSCAGRGMSWDLTITAQTLTFMVSFNALRCAKVVLEGMAPELYGMHANPNCINKYGYFALHEAAEKFSVDMIKLLLRHGASPNVRTVGNDVIENLLPLHVAVENTCLHKYLEDNLEDNPEDNLSCSQNHLNYIYKLIHLLCLPEMKVFLDTTRLLAEKTNNLLQELWNYIEDGKIIQSAVLLLAAQEKIRGGSASKINGSSKKSGFDIISKCVVRLSYALKWEEGSHGMAQELLEGRKALTDRAWLLVDVISHVGEDLSAYIQMHSEVSHAEVFQHVSSILKEYGLCPTGNPMDTIYLRPYDCRKSNGESCKVMESANLDAAEEKVATVGNDSLSLVARQHPTQTQAVRKKVGGGWDPTYTKRSFFPYWRSVLQARFPLKVYPAYANSDSRSGLKHGQLRVSLSNSKANGSTPTPNHKLGPVRRTSALRSNNQPRRCFITTATGAFRLLKVLK >SECCE2Rv1G0083210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:163446192:163447205:1 gene:SECCE2Rv1G0083210 transcript:SECCE2Rv1G0083210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATKWTSGPVPFTDVDDGTVPKRPAKEEFGGLVAALPRKHQAGLELRLYQGFWLPDHWVAGTVVFQRRFAPRPDDVILASYPKCGTTWLKALAFATMTRDAYPAPAQHPLLRLNPHDCIPFLDEIFADGQEAKLERLPSPRLMNTHMPHTLLPESVTAGAVAGCKVVYICRDPKDMVVSLWHFLRRRQPELPFAELFEHVCDGAVAVGPIWDHVLTYWRASLARPDRVLFLKYEDLLQDTGKHVRRLAEFMGRPFSSGEEGAVEGVVELCSFEKMKGLEVNKKGSSGAYHAMPRDSFFRKGVAGDWVNHMTPEMATRLDEIVRDKFRGTGLAAP >SECCE1Rv1G0033550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:468678813:468686787:1 gene:SECCE1Rv1G0033550 transcript:SECCE1Rv1G0033550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASASYKLQLACAALVGASAAAASAYYLHCRAVAQLSGDIVRNSAAAAKGDRQRRRPREAAGSNPPPPPPRRAAAGSSSLPDLSSLYVAGGVARGYPAEEEYDDGDGEGVGPYVDEALLAAAAYMQIPVGLPRLQVGPEGNKQIVRSGSSRRVGLIRPNSPKSPFASASTLESAEGSDEDAATKVNGKLDNGHANTNGDLEGEHKGNSVVENGDGAPLAAKNMTRSHSISNDLHAVQPDPVAADILRKEPEQESFIKLLTAPHEIPSPDEIEVYKILQKCLELRDCYLFREEVAPWEKEVINDPCTPKPNPNPFTFVPEPKSEHVFQMVDGVVQVYADKDCMERIYPVADATTFFTDLHYVLRVTAAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTMQVFSDLNASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLIQIPRLYNVYKQMGIVTSFQNLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEEWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMNTIKFRPHAGEAGDVDHLAATFLLCHSISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHALKAHWIGKNYYKRGPSGNDIHRTNVPTIRIEFRDLIWRDEMQLVYLDNVILPDEVDQ >SECCE4Rv1G0228890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:140153348:140154061:-1 gene:SECCE4Rv1G0228890 transcript:SECCE4Rv1G0228890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHQRSASLASIAHSSESKVEVELQGLKSCISSPSATIHTMCGGYARLGDIYKSIEEIMDLPSSQVGLSFPQNKKMVEEELERSLVLIDLCNSMQENLAGLKMSIQELELVLKRGDDAAVQLKVESFIRLAKQAQKPFKKITSSKAVAEDCRLVRVLAEAREMSVSLLESTSHLLPKQFTTTKGSKWSLVQKRKVVCEEEQLQVLERSMGDLENGAELLFRRLIQSRVSLLNILSS >SECCE5Rv1G0364650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802422145:802424235:-1 gene:SECCE5Rv1G0364650 transcript:SECCE5Rv1G0364650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSCFSILLTGRRQKRVQVGDADKGGGTENERQMAKPVAVESTDALPAVAAAVVEKCGDKIVADVVIVVAHKDGETSPPKRDSLSDDFDFDFHPQHKSVGSDVHGAEKSSTVPDAAVIGKEEAAGTEVEVDPSAKLKRSCSNIETKGAGPRDAPGMRVRSRSYGDLPGDFFMVTTPRRAHEASPDASVKTSRTADGVMLKRRSSSQVLPSRSRKLWWRLFLWSHRNLHRPRTARPSDAGSHRGGYTSDTLEEPTDRKNKKVMVEESPPQPPSQTQWVAFCADHSLSDRVSEWVSSIDNSGCRRIAEEEEDDGGDHSMDLTDDCVARPRPIEAGETSGKGGHGKPPAKRCAAADDVAQANSIVHSLNGFSSVAHISGMGLKVVPMIAPFSNLRAVNLSGNFIVHISPGSLPKGLHSLDLSRNKIANVEGLRELTKLRVLNLSYNRISRIGHGLSNCTAMRELYLAGNKMSDVEGLHRLLKLAVLDLGFNRLTTAKALGQLVANYHSLLALNLVGNPVQANLGDDALRKAVTDLLPQLAYLNKQPLKPRELATDSVARAALGGAGTGGRRRGASRRLGHSPGSSSSSSSRSRSKGRQHHGSIVATRK >SECCE2Rv1G0076510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:91426641:91428223:-1 gene:SECCE2Rv1G0076510 transcript:SECCE2Rv1G0076510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAYLDDIDAEVIDPPKIEMLDVADLVGDLVEHSPKPNVIVSSNVRELLECPVCLVAMYPPIHQCSNGHTICSGCKPRVHNRCPTCRSELGNIRCLALEKVAASLEVPCKFQNFGCVGIYPYYCKLKHESQCQYRPYTCPYAGSECTVTGDIPYLVNHLKDDHKVDMHSGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYTYSLEVGGINRKMTWQGIPRSIRDSHRKVRDSYDGLIIQRNMALCFSGGDRKELKLRVTGRIWKEQ >SECCE4Rv1G0267720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:737095140:737095496:1 gene:SECCE4Rv1G0267720 transcript:SECCE4Rv1G0267720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSSTTLRSSIQPHLSSICSCTMPPPLAVNDAVDTTPHHLATSTPSPLLELLGAHHPQHLSPEQQFLPRRRLHGGYDAQDAAAAQSRLNFGLSSGRGLGVDSGDLGLASRKGNGVK >SECCE2Rv1G0086130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:203497773:203498210:-1 gene:SECCE2Rv1G0086130 transcript:SECCE2Rv1G0086130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDNLRKRHIIKPLDCDFCAEQETNHHLFFDCVVAKNIWLFVNLFFQNNLGANFESVARLWISNKKNLALNVVSSLVLWCLWKYRNSMIFNNTIWTSINQVWRLIHRMIKFWVILIPKTSKTHVEEFLSALAKLLQQPLMISCG >SECCE1Rv1G0055010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678097149:678098239:-1 gene:SECCE1Rv1G0055010 transcript:SECCE1Rv1G0055010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSAALFSVLPLPTATPASARAGSSHYRPTRSAAAVSPIRCSAASPDLSPGAPAPAPPKPQIELEFIGPKPGADGSYPVDRAAAVSGDKLLRDVMLENKLELYAAYGKLMNCGGGGSCGTCIVEIVDGKELLSPRTDAENRYLKKKPESWRLTCQTIVGNKENSGKVVVQRLPQWKK >SECCE4Rv1G0279970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817898404:817902179:-1 gene:SECCE4Rv1G0279970 transcript:SECCE4Rv1G0279970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPHPWDGAIYELLNRLTYGRTNKSFFFGGWDYYSVGASAVLRAIAKILKSKSSDPYVREEFGKIIHVDCSLWKNRRAMQREIMEELNLGHLTSIFDKQDEDDDFIGIDAGSRIEIPSIGKEINEFLRNEKFLMIFHYGGEEDIDLANFGIPEFGPYALGKLLWTSYGRFQLLERKEKLNSNPARLNIIHLRLGSDVHASQLVHRTMHEEAVEVIGYTHMDHVNPVIVLDCFLYSVFLTQQIGGEATSVEYGWDTHACNYWVCDGIIQGDRAFEVGSALHGVIQMLCYPSDRTKRLAQYLDGHKERYENWVSISSNQLGAHNISAVQFNTSSYFLTFGGDGQLQLPNDMFQLANNLRVLNLCKCSFDFTSPPFRCCHNLRFLWLDHCINTGVEQGGGSCFPNLLVLDIRFTDFVFLDEMIELMTNLREINTKGVSWRTFSHAWKNLLNLHKLRVTESLDVITKDTCSSIEMMNLELLDLSGNIHMETLPAMSSALNLKMLVLDGCSILEHVSLEGTPPLLESFSFDGYGPVEKWTHPIQLPEKELRPKSRIASIQEAKVRRISLKGFSRLCNIFLHALPCLEELDLSGTAIKTLDLGAMDVMWLKKLFLLGCEKLRSLLWDGRKPSLQVLHVDIRDMPRSVLYSGEHISPIFEVVMAFTDGRFIWSAINELYLNDSYGSKVHLHIASTIHRQVNITKSIEDIGPRQDGSVPTWPSLPYNIIVLNKDTVTCSSLVWDHRQLYPLGVHIEIGEGSHHLESMNDNYSFREFTKHKVKSLHVHDNISITAIFPKVAGHWESLEWCHVERCPKLHTLFPRYHGSKGFKSIRIFSASDLPMAYCIWPRWTIVLHRYFLGNLQHIYLQNCPRLVSVLPVSFSLPNLETIQIAYCQNLQQIFPLVDEESKEIASGVTFEKLKHIKMYHLHKLDQICKVRLSTPMLETISLRDCWGLRRLPSVSCEGPKPVVDCEKDWWDKLEWDGCDSNHEPSLFETRHSAYYKKTLPRVSILR >SECCE5Rv1G0368550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:829767461:829768562:-1 gene:SECCE5Rv1G0368550 transcript:SECCE5Rv1G0368550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQEGGPVPVPGREQQFAEPPVPGHEEQCYTPPIAILTFNPSCSSNFPSFGGSSSLPNLPFGSAMVKNELGQSSSSNFLSFGAGQASTLNFSGWQRDAVQGTMPQLQAPERRGRAPQEHVIAERKRREKLQQQFVSLATIVPGLKKTDKLSLLGSTIDYVKQLEEKVKAMEQASRRSSESMVFDGKCCISAPENDAAGPRGCGSGSGYSSPDIEASIRGNSLLLNICCKERRGVLVMILSEVENQGLSIINTNVVPFTDSCLNITITAKIEEGVLSAVELVKNLKMALRSFSWK >SECCE5Rv1G0345770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:658524737:658525189:-1 gene:SECCE5Rv1G0345770 transcript:SECCE5Rv1G0345770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKRLAQMAKKWQRMAAIGRKRLTRTTSAVKGAANDERCATSPSVALKGHCVVYTADSVRFEVPLAYLDTAVFCELPAMSQEEFGFVGGDDGRIMLPCDATVMEYALCLLRRDASMEVVMAFMSSIARPCSFEGSVVGVGLNQQVAVC >SECCE2Rv1G0070100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:33339377:33340024:1 gene:SECCE2Rv1G0070100 transcript:SECCE2Rv1G0070100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPYSAAALQHHRLVSSSGGLATATAGAHRCGEHDGTVPPEVARHHEHAAPGGRCCCSAVVQRVAAPAADVWAVVRRFDQPQAYKSFVRSCALLDGDGGVGTLREVRVVSGLPAASSRERLEILDDERHVLSFSVVGGEHRLRNYRSVTTVHPAPGESASATLVVESYVVDVPPGNTPEDTRVFVDTIVKCNLQSLARTAEKLAGRGPAYGALP >SECCE1Rv1G0034590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:485400937:485401846:-1 gene:SECCE1Rv1G0034590 transcript:SECCE1Rv1G0034590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIRINTGVMCLMVLLMVSTTLCSCEASGRNIGMEANTGVSGYEEPYVTCAKPSFCNDACKRDGKGGGACHDNKCFCDKN >SECCE1Rv1G0058360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:699002666:699010463:1 gene:SECCE1Rv1G0058360 transcript:SECCE1Rv1G0058360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGAGGGGGGKGAAAAGPVPQASRKLVQSLKEIVNRPDAEIYAALRECSMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKETPEPRSRAANNATSRGVRGGPDRGGRNNSAYNSSTDNMTSRSSVSGSGVPSTNPTQKQTIPSSSMNKNLVADAPSVPPQTSSGFQHGWSGPPGQLSMADIVKMGGRPQAQGKPSTKPVVTADKGYAGQYPSLPTTVNQITKQSVSTVAPTELDQGLPSAQDSVLVKDHSHTAADNKQKYDNDWAPQDDPPAGNQSSLPETSGDPSLFEAPLHPSTLVADAVYLHENSYLDDNISAAMRSGNASERHLDHYGGNSEYNDGLLQNSSTYLAQTHSHVDDQAESNADVSAAANFQGLSLHDEELAATKFAEDNPAVIIPDHLQVANTGCAGLSFGSFGSGAFSGLLPPPKNTENNVELPIVEESEPIDHTDTRDQDFYEIPPNSPPNENLEEMMGANTENLDVPSVQQPDVLRQEILDDPSGVQYNLPSVSSHAYANPAQPNAMDAMQGSNQAHTLSHLSSLLQSNTLQQHNLLGSNMAPLRDLDFGLSPLLAAQAQSMGARYNSAAPTTTGMQEPMKPGVFSNTQSTQNLPSTSIQMAPSLPQQLVHPYSQPTLPIAPFANMIGANMIGYNPYLAQNYPAYLPSTAFQQAYSSNGQFHQSAAAVPGAGMKYSMPQYKNNMSAANLQQQQQPSSVISGYAGFGSSSNLPGNFALNQNAAPPSANLGFDEALSAQYKEANQYMALQQQGDNSAMWLHGAGSRTASALPPTQFYGYQGQSQQQGAFRQPQQPQQPSQYGGHGYPAFYHSQGGMAQEHHPQNPSDGALNGYQAAPQQQQQQQPSHQSWQQQHTNY >SECCE6Rv1G0424230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:699846920:699848075:-1 gene:SECCE6Rv1G0424230 transcript:SECCE6Rv1G0424230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQQEPTKAGAVVAPPGVAGDPASGGGVLENGPPAPTTAQHGPPGSATDRDAVLAKVEMDRKLSMVKAWEENHKSKAHNRAEQRMSSIMSWENTKKAAVQAKLRTREEKLEKKKAEYAEKMRNRVAMIHKEAEEQRAAVEARRQEEMIKCQEMAAKHRSQGTMPKKKFLGCLG >SECCE2Rv1G0134420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901384185:901384700:1 gene:SECCE2Rv1G0134420 transcript:SECCE2Rv1G0134420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTIFLAMAAMAVLSTASAAIYNVGEPGGAWDLSTNYGTWASSRNFQTSDQIVFKYSPQAHDVLEVSKADYDSCSTASPVTTLTSGNDVVTLTATGTRYFICGFPGHCAGGMKVKIDVMPSSSSSSPAPANGPSANNAPPPTPVSAATTVEATGFGLAVLLAFVGLMV >SECCE2Rv1G0121130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:804669777:804670319:1 gene:SECCE2Rv1G0121130 transcript:SECCE2Rv1G0121130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTARILAAAAAILAAVVCVGATPETTCRAAAGADRRVDYHFCVSRLSQHHDSPDADTWGLAKVAADVGVLMASNGAYDIKAMLAGKKEQPAAARGPLEQCEALYNRMGSAFAEAYDGIDRRDYATGKEKAGEAASLARRCAAAFARAGVAVPPRVAKQSADSVQMAIVCTAVTNLIK >SECCE7Rv1G0465450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:69961990:69969897:-1 gene:SECCE7Rv1G0465450 transcript:SECCE7Rv1G0465450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYGIAPQLDMEQILKEAQTRWLRPTEICEILKNHRNFRIAPEPPNMPASGSLFLFDRKVLRFFRKDGHNWRKKKDGKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRSYWMLEEDYMHIVLVHYLEVKAGKSSSRTRGHDNMLQGAYADSPLSHLPSQSTDGESSLSGRASEYEAESDIYSGGAGYYSISGMQQHENGGGSVIDASVVSSYGPASSVGNHQGLQATTSNTSFYSHYQDNSPVIHNESTPGITFNGPSTQFDLSSWNEMTKLNKGIHQLPSYQSHVPSEQSPFTEGPGIESFSFDEVYSNGLDIKDDGHAGTDREPLWQLPSANDGTTTEFLQLPSAIDGTATEFQLPSATDSTFATVDSFEQNNELLEEAINFPVLKTQPSNLSDILKDSFKKSDSFTRWMSKELGEVDDSQVKSSSELYWNSEDADNIIGASSRDQLDQFTLDPMVAQDQLFSITEFFPSWTYAGSKTRVLVTGRFLTSDEVIKLKWSCMFGEVEVPADILADGTLRCYSPSHKPGRVPFYVTCSNRLACSEVREFEYRPSDSQYMDAPSPHGATNKIYLQARLDELLSLGQDEQHEFQAALSNPTKELIDLNKKITSLMINNDPWSELLKFADDNQLAPDNRQDQFVESGIKEKLHIWLLHKAGGGGKGPSVLDEEGQGVLHLAAALGYDWAIRPTITAGVSINFRDIHGWTALHWAAFCGRERTVVALIALGAAPGALTDPRPDFPSGRTPADLASFNGHKGISGFLAEFSLTSHLQTLNLKEAMGSNASEISGLPGIGDVTGRIASPSAGQGLQAGSMGDSLGAVRNAAQAAARIFQVFRVQSFQRKQAVQYEDDNGVISDERAMSLLSYKPSKPGQFDPMHAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRSTESATDSSTSSSSVDVVPVKPAEDDYNFLQEGRKQTEERLQRALARVKSMVQYPEARDQYQRILTVVTKMQESQPVEEESMLEESTEMDEGFLMSEFKELWDDDMPLPGYF >SECCE6Rv1G0417350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:656881967:656883831:-1 gene:SECCE6Rv1G0417350 transcript:SECCE6Rv1G0417350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKKRGARPATKQPPSSPPSPPGPPGPDAPVEEKLRWTADQEFIRRKAAIRAIQAAETESVLSRLRLVRSYLSKEQLETSALQFFQENLPNVSVVRNEEQGELELKWKDWDDLINGDQRDDKVSRASITSLATAAGFHFSGDSVQKNFIESSFDFNNFNWGELPETIGAPDSLQTPGATSSRLSFGMTPKSVRQPKNGEMLLSVHGSPLGMYKEENLAAITESGNGSEEPPQDASGHQ >SECCE5Rv1G0372400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:852616765:852617571:1 gene:SECCE5Rv1G0372400 transcript:SECCE5Rv1G0372400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALLVAAVLAVVVCGAHGIPKVPPGPNITASPASYGNKWLDAKTTWYGKPTGAGPKDNGGACGYKEVDKAPFHGMTSCGNIPIFKDGRGCGSCFELKCTKPEACSGEPTIVTITDKNEEPIAPYHFDLSGHAFGSMAKKGEEQKLRDAGEVEIKFRRVKCKYPPGTKVNFHVEKGSSPNYLALVIKFLQGDGDVVGVDIKQKGEDKWIELKESWGAVWRIDTPDKLIGPFTVRYTTEGGTKTTVEDVIPKGWKPDTSYEAKGGY >SECCE2Rv1G0104230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:603138160:603140412:1 gene:SECCE2Rv1G0104230 transcript:SECCE2Rv1G0104230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 11 [Source:Projected from Arabidopsis thaliana (AT1G10240) UniProtKB/Swiss-Prot;Acc:Q9SY66] MERPSTGEEGEGDSPNETRISLETAAAEADDLVPYIGQRFLTHEAAYELYSGFAKQRGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPAKPFDDGAKQQRNRKSSRCGCQAYLRIGRDSGAGGLEWRVTGFSNHHNHELLRQDEVRFLPAYRVISDSDRDRILVFAKSGITVQQMMRIMELEKCAQPGSLPFTEKDVRNLIHSFRRFEQEEESVDLLKMCRNVKEKDPNFKYDFTKDANNCLENIAWSYASSIQSYEVFGDAVVFDTTHRLTSIDMTLCIWIGMNNHGMPCFFSCTLLSEGTLQSFTWALQVFLNFMNRKAPQTILTDQNMYLKEAVQKELPNTKHALSIGFIASRFPSWFNAVLGRHYNEWENEFYRLHNMESTMDFDLGWSDMVSCYGLHGNRHIASLFASRKLWASPYLRGHFLAGLAALPGISKIKDFIQRLLSAQTCLPRFIEQVAVVVDYKDQAGEQQIAQQNLENTILKTAAPVEGHAAAVFTPYAFYKLQDELVEAAHYAYFHLEGNAFLVQHHTKTDGGCNVTWNQKEELISCSCQMFESSGILCRHALRVLTTLNYFQIPDHYLPVRWHRTQPQPSKSFIGAPDHGRSYERVKALQSMVSVLVSEAGKSEERMDLAAQEVSVLLSRIRQQPVVANVSGDSVRRLR >SECCE2Rv1G0096820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:445218245:445219713:1 gene:SECCE2Rv1G0096820 transcript:SECCE2Rv1G0096820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALKMPVAPRPAGAGAGVHPTTSPCYCTIRLNKLPLQTAAAPLLPSEDMTQGPAATGALAAAFHLSKADLDRVTAKPSLFVARSAKLKVAVYSGRRGTTCGVNSGRLLGKVVIPLDLKTAVGKPIVFHSGWLSINKRGRKASAVSGSAQLNLTVRAEPDPRFVFQFDGEPECSPQVLQVQGGMMQPMFTCKFSCRSNSDLRSRSMHSDPGSGGRNWLMSFGSDRERAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGSDRVSRSNPGAWLVLRPGDGTWKPWGRLECWRERGAGAAGDSLGYRFELLIPDPTGMGVGVCVAESHVHSSRGGRFVIDLTAAQPFGRSGSPGCSPRGSGDFSGGYGLWPFGSYRGFVMSAAVQGEGRCSRPTVEVGVPHVGCAEDAAAFVALAAAVDLSMDACRLFSHRLRRELSSTRSDPLR >SECCE1Rv1G0061710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717117884:717118081:1 gene:SECCE1Rv1G0061710 transcript:SECCE1Rv1G0061710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNIILQVFIVFLIAQVWLLMMAMPIAQAAGRLMGHDPPCCPRDPWCCGFGRVMANGTASSIKP >SECCE1Rv1G0010600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:63681545:63684137:-1 gene:SECCE1Rv1G0010600 transcript:SECCE1Rv1G0010600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g52210 [Source:Projected from Arabidopsis thaliana (AT5G52210) UniProtKB/TrEMBL;Acc:Q38921] MFSLLHGLWNHVFSKTEFRVLILGVDKAGKTTLLEKLKSIYLKVEGLPHDRIGPTVGLNIGRIEDANVKLVFWDLGGQPGLRTIWEKYYDEAHAVVYVIDSASASTFEDAKSALEKVLRHEDLQEAPLLVFANKQDLPAAVTEEQLDRHLHLKEFDERPYMFVAGSAYDGTGIKLGIDWLVEEMGKSRRTEALRARTDTYAKI >SECCE2Rv1G0139890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929207289:929210776:-1 gene:SECCE2Rv1G0139890 transcript:SECCE2Rv1G0139890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQVIKADTIDAAVERILDELKNTRSRENAIYFDGWDGLGASAVLRAVAQRLASKEQTRPPGLDFEQVIHIDSSKWESRRAVQREISEQLKLPGWVKKVFDKQDEEDDFTGIAQGARTEIAEVTAEIHRSMQSRRFLLVLHNGSSEEIDTFNLGLSLYGYLSSKMLWTFQGRFRLDLKLRDKVVAKNTTDILLSALRSQRDPQDLWSYLLREEAKEVSCKHGLDPATVAECFSYTLERSYMGQNIIDYDWTLHAPNFWICDGIIQQAQGIYESWQVANALHQEMRLCIDKFSRQRSKEEDLHTSHMTRSADHQPYWISTETCGFVLNPGGLLPNNMFQNSNNISVLKLSRCAFSFSSPPFICCHHLRFLWLDRCQDLQRSIDSQEEKDATGSWACFQSLWMLDLRYMDWSWILSADMMDLMTQLIELSIMGAKEWDMSHLRGRLRNIRRLRVTKSTCCNYDSSLLSEMEQMKIVEFSGNDIMPGGTSSLSLCRTAGSGSGLQTVVVDGSVGLKEISLRGCDQLKNVLLRGYLESLEELDLSGTTLQTIDLSAMYVLNLKRLLLLGCQKLRAILWPRELMSKRQLDVLRIDTTSRSASDTGGEESLADAASPSATSSSVLYESEVLYESEAPHDHESLKVQKRRHFKKWRISVTDARLLRSLLHLMLDFGRRQREMTGSVHIDICSADSLGCRIVQVQAQLNRSTLVDSKYRDAFEKAGLASSYDDSGNGPTPSAVMMMWDCPKIEIPVAYRTCMIEMLVDRQCHELMEDAQIASDNGLSSPRFPDFIYYYVTSLHVYDCSTITSIYSPQLSEGTWPCLRWCRVERCPKILHTVFHIPEVSQKEWWASLFRWLRTFWASQLLSARYIWNLPGAISSYESYDAYSFNDLELLHLDYCPRLIHVLPIPVFAQMDVLRHLTTIEIICCGDLREIFPLRFYLESQKEKAIVFPKLKHIHLHELPKLQHICMLNMNAPMLETVKIRGCWSLKRLPAVGDDTKTPKVDCEKDWWDSLEWDGLEAKHHPSLYEPIHSAYYKKKLPRVSLLR >SECCE4Rv1G0259840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:690503609:690511047:1 gene:SECCE4Rv1G0259840 transcript:SECCE4Rv1G0259840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLRLLGRIGGGGRRGRLPPPLAALAHLSAASPHRGSTHEPPAPAPPLHFPSPVLPFAVPARSFSWYSRSPASPAPDAAEGAPADEGASAEKEFVHLDDASTVDYGEELAAAADGAVDAAAGVAAGGNGGGLSGFAMDSLIGVLDGFHNLTGLPWWITISASTVAMRLIILPTLIVQLQKTAKIGQLFGKLPPPLPPPQSGRSYRDQYSLFRKKRRELGCPSFLWNFAYFSVQFPCFILWMASIRSMCLSNHPGLDNGGILWFNNLTEYPHGALGPVFPILVAGLHYLNVQISFQKNQAKHYPGVLGLLAKYYKIYLDILAIPLFLIAYVVPQGSLVYWTTNGLFHVAQQLSLRNDTVCKMLGLPNTGALAGNTSPKSLHEGQKIMQRWPIGDSHMQSKLESATTPKFMFEDSKITDENVSAEFSSPEELLEQALQYLGTGCQDQAVPLIRTAIEKNPDLHVALIGMGQTLFSNKLFPEASLCFEHAIPKIEEQDPLLVLAYFSAGLSRKNQGDKETAIKLLQRLTELKEPEQMMNKACYFQGFIALASILSNEGRKSEAAKYLRAVTDYEPGIERFLKECEEATEDKSSEQSTEPPNLKGRHSKKNLKGRHSKKNLKGP >SECCE1Rv1G0033230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:464701313:464706854:1 gene:SECCE1Rv1G0033230 transcript:SECCE1Rv1G0033230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSPPAGAAADGDMETLPLAAASDYASVASTFDPLLSSSSPPSPPTPAAFPLSRASSSFVDPPSYADVAASSPRSASASSSSSPRSARAATTEYSYIAVSDPETEAEAAATSLVPGSAPTYISYLVTSARRGDHRRHSVRRRFRDFVTLADRLAESFRGHFVPPRPDKNTVESQVMQRDEFVAQRRAALERYLWRLAEHPAIGTSDELRVFLQAEGKMPLPSSTDVASRMLDGAARLPRQLLGEEAVAPPQDVVQPAKGGRDLLRIFKELKQSMVTDWGGLRPPLVEEDKEFLEKKQKMQDWEQQLSNASQQAEALVKAQQDMGDTMGALGLAFVKLTKFETEEAMYESQRIRAVDTKRVATAAVKASRTCRDLNTQTVKYLDTLHEHLGIMLSVHTAFSDRASALLTVQTLMSDLASLQSRIEKLEAASSKVFGGDRTRLRKVHELRETIRATEDAKCCALREYERIKENNKIELSRLDRERREDFLEMLKGYVTSQASYAEKIVDGWETVAEETRGYARRPSNDATY >SECCE4Rv1G0232610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:206222988:206234370:1 gene:SECCE4Rv1G0232610 transcript:SECCE4Rv1G0232610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor APG3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62910) UniProtKB/Swiss-Prot;Acc:Q8RX79] MEAFLAGFRAPPRASAVPTSRSPPSSPFFIGTRRRKPSSSASVVCMAEPHLISKLDSTEKAWKEMSIRLGDPDIVSDPSEYQKLAQSVSDLGEVVTAYREFKECERQIEETKALQKENGDDPDMAEMIASELESLSDQLVELEERLTVLLLPSDPLNSRNILLEVRAGTGGDEAGIWAGDLVRMYQRYCELNNWKFKPVSCSEAEKGGYKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKAARSGGAGGQNVNKVETAIDLIHKPTGIRIFCTEERSQLQNKERAFQLLRAKLYEIKLREQQESIRTQRLLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFVLSSFLLGDIESAVQSCAALEQKELLEEMATSSAVKA >SECCE2Rv1G0079980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:125647593:125647910:1 gene:SECCE2Rv1G0079980 transcript:SECCE2Rv1G0079980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDFHKSGSPEDTGGTRRAPAYYECSFCKRGFTNAQALGGHMNIHRRDRGGSGGKSRGAAPPAAGQQDGAGGNQAHLGLTLGRNGDSKEDVDLELRLGHYPYS >SECCE6Rv1G0404310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:515241332:515243715:1 gene:SECCE6Rv1G0404310 transcript:SECCE6Rv1G0404310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFWILNLTFLLISSLVAPTGRVNHLHKGSSLTVKHASDVIQSPDGTFSFGFYNLSSTAYTLSIWFTDSADKTVAWSANRDRPVHGVGSKVKLNTDGRSMVLTDYDGAVVWRTGALSAEADHAELMDSGNLVMKDQGGNILWQSFDHPTDTLLPGQPVTATAKLVSTDLSHPSSYFTLRFDDRYVLSLAYDGPDISNHYWPNPDYSSWMNYRISYNSSRWAVLDKLGGFVATDNTTFRASDWGLEIKRRLTLDYDGNLRLYSLDEFDGSWYISWISLSQPCDIHGLCGWNGICDDPSDWSKGCKPVFDLICGQRMSFVSIPETDFWGSDLNYTMSTSMDTCRQMCLESCACVAFEYKTFPNACFLKSALFNGKTLPGYPGTAYLKVPESFLSRSHTSDSDLHHGHACDASKKQTLNYTKHTSDGKGTTWYYYYWFLAIFFLVEACFIGSGCWFMSRQHSARSEIWAAEEGYRVVTDHFRSFTHKELQRATKNFTEELGHGRHGSVYKGILHDSRVVAVKKLNDVKQGEEEFEAEVSVIGKIYHMNLVRVMGLCSERSHRLLVYEYVENGSLATFLFGDKGPLRWHQRYKVAAGVAKGLAYLHHECMDWIIHCDVKPENILLDLDFDPKISDFGFAKLLQRGQADPSSMSKVRGTRGYMAPEWVSTAPLTEKVDVYSFGVVLLELVMGSRVSERAIDGREDAEAALRQLEWTIMEKMESDDLTWIDGFVDPRLDGDFVYSEVLLMLEVAALCLEKEKSQRPSMNHVVQKFLSCD >SECCE5Rv1G0358250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755121556:755122170:1 gene:SECCE5Rv1G0358250 transcript:SECCE5Rv1G0358250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMRKLLPVFALVLFLSYLHVHGVSASVVSSANMPTETTSGGGGSCHISGFLPGQSGNCNPEHGSTCCVDGHRYPQYKCSPPVSAETPAILTINSFAEGGDGGGKSFCDNRFHKDSELVVALSTGWLRLDGTNRCNKTICINGNGRSVTAKVVDECDSVYGCDKEHNFEPPCPNNDVDASPAVWKALGLNGNIGEFKVTWSDV >SECCE2Rv1G0081520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:140743052:140743657:1 gene:SECCE2Rv1G0081520 transcript:SECCE2Rv1G0081520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIWGVGGVVCHLECEISSVEVTGLGRTGALFLRCHVPAGGGRTIQIDSQSAHAGDRATSTDVVSWRDVASLACDGSPACVRELADTRSVVFEVRRRQKKIMGRAGSSELLGRAEVAWRDVGDPAVERRVALAVSGRSKGGTARNDPAPVMSVRMSVRVSETAAPVRRNVDSQRETYGCEWSAGDVYGVAACGVADDAGE >SECCE6Rv1G0414880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:637736798:637737340:1 gene:SECCE6Rv1G0414880 transcript:SECCE6Rv1G0414880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTCITRAHLATALLAVALCNAALVVVVDAGYPRGGGGGDYRAQFLFQQNAARAAMGLPALRWDERVAAYARSYAEARRGDCALVHSSGPYGENLFWGSGTGWAPAQAVGAWLAERPRYDYWSNRCSGGMCGHYTQIVWRGSTRVGCAMVECYGGRGTFITCNYDPPGNYVGMRPY >SECCE3Rv1G0193540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:770990679:770994258:-1 gene:SECCE3Rv1G0193540 transcript:SECCE3Rv1G0193540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRQLPSPSSSKPRDHVEADGADAPSTGAAGGGGGGLHPTPAGGAANRATDPQRAGDSGAMSGGGGAYSDSESSESDGDMDEFVLVKLAEIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPSCRTHCASRRSLRDDPNYDALIATLYPDIDKYEEEELAFGEEEKTRNKKIQATIEEMIRKQSEAIGKKRPTAKATASAFARKYRRNVRTRGRGRTVARDIAPTGSDDEDREEENANDESKESPSPDNHSPDLRQKRGRKRPAPTPSPARAIGSSDHGSEENDEVAPLKEGFTTSPLRGEMLAWGKNGTRSQTRYNNAGGTNGRLGKGGRFAKLVDHFRAIDEMDKEFNLYLVLLPLDGQTTPNLEKPYLSCRPRVSIRHLLQFIALQLSRQVEELEIYIRVDLHNRVAVKDSGSAETKLRLFDGLERLREDELLLDLHPSFASGNGDLELLYALKRQG >SECCE7Rv1G0472210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:138219518:138226082:1 gene:SECCE7Rv1G0472210 transcript:SECCE7Rv1G0472210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAPGAAASAMGSGRELANPPSDGISNLRFSNHSDHLLVSSWDKTVRLYDAEANVLKGEFAHPGPVLDCCFHDDSSGFSAGADHTVRRLSFTSSKEDVLGRHDAPVRCVEYSYAAGQVITGSWDKTIKCWDPRGVSGPERTLVGTYAQPERVYSMSLVGNRLVVATAGRHVSIYDLRNMSQPEQKRESSLKYQTRCVRCFPNGTGYALSSVEGRVSMEFFDLSESAQSKKYAFKCHRKSESGRDTVYPVNAIAFHPIYGTFATGGHDGFVNVWDGTNKKRLYQYSKYASSIAALSFSKDGHLLAVASSYGYEEGEKPHEPDAIFIRGVNEVEVKPKPKALAAPQ >SECCE6Rv1G0413500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:623950497:623950889:-1 gene:SECCE6Rv1G0413500 transcript:SECCE6Rv1G0413500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYMKNTAKGPLGTSKAFTCLWLVMLVVLSSEKMGSHGCEKQNSTTWDGTPCIWAGRCNKPCRAEGFDNGHCDNLFTCICYRNCTDLSFSHMYMPLHA >SECCE7Rv1G0524030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:884400934:884402424:-1 gene:SECCE7Rv1G0524030 transcript:SECCE7Rv1G0524030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMSAVAGELLSRFISFLMNKYHYSSHTQSEKVVERLQLLLMRACTIVEEADTRYITNSGMMMQLKMLSEAMYRGYSVMDTLRYQTLQDSACFDEVSINDTSNSSLYFTIPFKRSRTITQKDSKAMRHEPYGALERLEVAVANMAEFVVLLGGCERMSRRPYDMYFYTDNFMFGRHAEKQYLLGFLLQQNPPGDAPVVLPIIGGAKVGKKTLVAHAWDDERVHSRFSSILHLKGDNLLRILDHGKTMFGLTMLVVIEFASDVCDDDWKKFQSFFIRMDRGSKIIIISKHKILARFGSVKPIFLKVLSYDEMRYLFKAMAFGSIDPTEHPQLVQIADEFVKVVHNVRGSLVEINVFADVLRRNLHVQFWRCVLNKGIRYFKRNLSIYGVHPGILIEQGHLVDISDFALHPLSMTLGEPPLNVSIKEEPPSVTLGELLANPSVRPEGHFTLIAWKSRIAPHKSFTHFVQSHAPETYEGSKLEGSALPRRKRRAVPI >SECCE7Rv1G0509530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:781796300:781804146:1 gene:SECCE7Rv1G0509530 transcript:SECCE7Rv1G0509530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLTASAACSSSAPPLPRPAHLLRVSRPPPFPHLRRRRAPHLPSVSLSLAPKPSLLVASRRSLLFTPRAHGDHHHHHGHGHGHSHGHHGHGHGHGHDGVDVRGGGGGAAVMRMARAIGWADVADALREHLQLCCISLGLLLMAAVCPHVPLLNSVGRLPAALIAVAFPLVGVSAALDALVDIADGKINIHVLMALAAFASIFMGNSLEGGLLLAMFNLAHIAEEYFTSKAMYDVRELKENHPEFALLLETSGDESAHFSNLNYAKVPVHDLEVGSHILVRAGEAVPVDGEVYQGSSTITIEHLTGETKPVERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEHYSKVVVALSLAVALLGPFLFNWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSSCQSIAFDKTGTLTTGKLMCKAIEPIHGHLDASNGADPSCCTPNCESEALAVAAAMEKGTTHPIGRAVLKHSVGRDLPVVAVESFESLPGRGVVATLSGIKARDNENEFAKASIGSVEYISSLYRSYGESEQIKEAVKCSAFGPEFVQAALSVDKKVTLFHFEDEPRTGVCEVIYTLREKAKLRIMMLTGDHESSAQRVAKAVCIEEVHFSLKPEDKLNKVKAVSREGGGGLIMVGDGINDAPALAAATVGIVLAQRASATAVAVADVLLLQDNLCVVPFCIAKARQTTSLVKQSVALALTCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNPPTWSWADDIRQLVHSLKNYVSAKLNSSSSDCSASTVPL >SECCE5Rv1G0362380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:785705590:785706834:1 gene:SECCE5Rv1G0362380 transcript:SECCE5Rv1G0362380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSMAAPLLMLLLLSVCDASSTGQTSSSLNSSTEKNVFVVDNYGAHGDGKHDDTQALAKAWNAACSSSRPAVLLVPKEKSYLLNSITFSGPCKSNVTFMVKGTLVAPRSRSAWNENNIRHWIVIEQVTGLTITGGGTINGNGDIWWKNSCKTNKALPCTAAPTALTFHQCDNLTVENLKVIDSQQIHISVENCNNIKLARLSIMAPGTSPNTDGIHITRSVDVQVRDCVIKTGDDCMSIEDGTHNLLVTKVVCGPGHGISIGSLGDDNSRAEVSGITIDSVQLYGTTNGARIKTWQGGSGFAKDITFQNMIMDNVQNPIIIDQNYCDSAKLCRSQESAVEISNVTFKNIRGTTISKEAIKLSCSKNVPCSDIALENIDLKFEGGEGETHSACENAEWRKTGNVVPMLCKGKQ >SECCEUnv1G0530380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:12768532:12771336:-1 gene:SECCEUnv1G0530380 transcript:SECCEUnv1G0530380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRCRLLLHVSFRRRPIHSSAPPQADGEVGAATDTTLLGRLTRLLLLHRFPAVSRLLSSSPLTHALLHAALRRVRQDPDAALHLFRLAPYRPSLLAHAQLLHILAHARRLPAARDLVASLLSARSSSAAPSLFPHLAEVYKDFSFSAASFDLLLRAHADAGQLTDALHVFDEMGKFGCRRTVRSCNRLLNQLVQAGDVGTAVAVFEQMRCDGTLPDEFTVAIMAKAYCRDGRVTQAVDFVQGMGRMGVEVNLVAYHAVMDGYCGVGQTEAARRILLSLESKGLLPNVVTYTLLVKGYCKEGRVEEAERVVREMKENEKIVVDEVAYGAVINGYCQRGRMEDANRVRAEMIDVGLQVNLFVYNTLINGYCKLGRMVEVEKLLQEMEDRGVSLDKYSYNTLVDGYCRNGSMNKAFGTCDMMVRNGFAGTTLTYNTLLNGFCSCGAIDDALKLWFLMLKRGVVPNEISCSTLLDGFFKAGKTDKALNLWKETLARGLGRNVVTINTVINGLCKIRRMAEAEELFGRMKEWRCPADSLTYRTLIDGYCKIGDLDRATQIRVDMEHLGFAPSVEMFNSFITGFFVAKQSGKVNDIVVEMTAMGLSPNTVTYGALIAGWCKEGNLHDAYNLYFEMVEKGLAPNLFICSALVSCFYRQGKVDEANLVLQKLVGTDMIPDCSANTLDIGKVAHVIESVAGGNHQSAKIMWNIVIFGLCKLGRVSDATDLFEDLKVKGFVPDNYTYSSLIHGCSASGFVDVAFGLRDAMLGVGLTPSIVTYNSLIYGLCKSGNVQRAVSLFSKLQSKGMSPNAITYNTLIDGHCKDGNTTEAFKLKQKMIEQGIQPNVFTYSILIHGLCTQGYMEEAIKLLDQMIENNVDPNYITYWTLIQGYVRCGDMKEISKLYNEMHIRGLLPANGTGHVKHADPVVICKQPECKYRQC >SECCE7Rv1G0486470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:412190355:412192035:-1 gene:SECCE7Rv1G0486470 transcript:SECCE7Rv1G0486470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSDAAAKAAAVRAVAVSRVAPSVQRGERVKLSFFDSPWVVLPPIQRVFLYELGDADAFPAVVERLKRALGDTLAHYLPLAGMLEYAVETGDAFVDCTNAGVAFLEAEGDMDVRRLAGDEAHDILAFLSLVPEMDARVLPAPVMSVQATRLGGGLAVGLSVHHVVADGRAVWRFMEAWSSASREGSPVTKVLGPPHYGREVITHPNGDELARDMLKTVAPNLPVVRGQYDFSQRFLRARRTFYLGADDIRSLRRRIDDLASAESAAGGDAPKPKPVSTFVALAALSWTAFVRSKEIRAGDDTYLMFLADLRSRLDPPVSEAYLGNCVRACLASCAHAADLLGQSGILRAAQAVQAAVAEMEAAPLAGADKGWMQMLMRLPFQRMTNVAASPRFRAYEAADFGFGKPARVELVSMNHDGEMVLVGGRRDGEVQASVSIDPAHMDAFKACILG >SECCE7Rv1G0479560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:254944209:254945021:1 gene:SECCE7Rv1G0479560 transcript:SECCE7Rv1G0479560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIAHRRRRPAPAPPAWTPEPWSDGETAALLEAWGPRHLRAGGGPLRTSDWRACAAAVTSRRAADGRAPRTVDQCKNRMDYLKKRLRADRSRPSPPPPLSGSLRRLAKLLRQAPSVPHRLAPKVEREDRQDDEEETGGSPLYRDWPPVPKRRRTAVSLSPLSSSAENHHGNRGAACTEVAAALDRLAGTYERVEAAKQMEATRLEERRLEAMRDLEIERMRVLADVAISTSDDTQTPATSTALSTSADTHTSVAAAAGGGVLGEFSLVA >SECCE3Rv1G0147510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15286097:15299045:-1 gene:SECCE3Rv1G0147510 transcript:SECCE3Rv1G0147510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTEPPLPTAKGTAVAVYLLLATSEAKRQLRLAGPLIVGCLLQSVIQMISVMFVGHLGELALASASMASSFAIVTGFSFLTGMSFALDTLCGQAFGANQDDMLGVYKQRAMLVLGVASVPIAAVWANTGAILLHLGQDPEIAAGAGTYIRWMIPALFFYGWLQCHVRFLQAQKLVVPVMLSSGATAVSHVLVCWALVYRLRLGIRGAALANAVSYLTNVSILAVYVRLSPSCKKSWTGFSSEAFRDIIPFLKLAVPSALMVCMEWWSFEVMVILSGLLPNPKLETAVLSICLNTNSLVCTVPNGLSSAISTRVSNELGAGRPQAALLAARVVILLACVVGTSEGLLLVLVHKVWGYAYSKDQEVVAYVASMMLILAVSVLFDGLQYVLSGIVRGCGQQKIGAFVNFVAYYLVGIPAALVFTFKCHLGGKGLWLGILSGLVTQTMLLLVISFGTTNWEKQAMNAKDRIFTSPPIDP >SECCE2Rv1G0087680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:230012032:230012367:1 gene:SECCE2Rv1G0087680 transcript:SECCE2Rv1G0087680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSASLLILAALVAAACLAGLASAARGVPAVKPTEDAVKRPETFQEGTVLIPGIGRYELGTHYIPDIGGLDHSIPAAASGQFIPGADDTWVPNPGFEVPNPFRPRSDSP >SECCE6Rv1G0398530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:422321181:422335626:-1 gene:SECCE6Rv1G0398530 transcript:SECCE6Rv1G0398530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVASLRFLARRRHQLRLSVPGTRAAFLSDTAEEAPQAAPPPPGRKVLESFREEFEIGGRLIAFETGKMARFANGSVVISMEDTNVLSTVAAAKSSDPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPHGFYHEVQITVNVLSSDGKQDPDVMAANASSAALMLSDVPWNGPIGVIRVGRIDGNFVLNPTVDELGLSDLNLVYACSRDKTLMIDVQAREITERDLQAGMKLAHSEAVKYIDPQIRLAKRAGKEKREYKLSMISDENYEKIRTLSEAPIEEVFTDKSYGKFERGEALQKITESVKEKLEEECDEESLKFLPKAVDTVRKQVIRKRIIKEGLRVDGRRLDEVRPLYCESSTYPILHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPEGDFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLISETDPTTGDISSYRILTDILGLEDHLGDMDFKIAGTRRGITAIQLDIKPAGIPLDIVCESLEPARKARNQILDRMDQEISSARAINDGSSPRLATLSFSSDSLRKLLFHRKKIEQDTGARVSVSDGTVTIVAKTQPIMDKAIEKVEFLVGREIEVGKTYKGIVSSIKEYGAFVDFNGGQQGLLHISELSHEPVSKVSDIISVGQALSLTCIGQDVRGNIKLSLKANLPHPHRPEKELASEDATLLPNEDLVGWAAVENMPSKDADVKSSNSKDEDDTTEETPAFSTPAVIIRSAADCDAQDVANGPAKKRLKAASSKVAKPTPRVSKPTKEQQEARKATPKKASTTSTAKKNKKEKADDSASHGLDKGKADDSASNGLDAIPEQEISNTLKHSSPKNFRSGSIKLGDVVTAKVYQIRAYGLVLELSDGVRGMHKFVENSQNNLEVGEEVLVKCETFSAKGVPVFSLLD >SECCE6Rv1G0418940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:665612546:665617698:1 gene:SECCE6Rv1G0418940 transcript:SECCE6Rv1G0418940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPRLVVPVDVKKKPWEQEVPLHNRWHPDIPPVADVTQGELFRVEMVDCTGGQVRDDDSADDIKSLDFAAPHYLSGPLRVVDAEGVPASPGDLLAVEICNLGPLPGDEWGYTGIFEREHGGGFLTDHFPSARKAIWYFEGIYAHSPQIPGVRFPGLTHPGIVGTAPSAELLNIWNQRERELVEAGHESQKLCQVLHQRPLASLPTSRNCLLGKMQEGTAEWEKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPVGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSKFGYSKEQVYILLSCCPCEGRISGIVDAPNAVATIAIPTAIFDQDIKPKRLGHGPKLRRFPDVLR >SECCE7Rv1G0483500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:323428780:323429259:-1 gene:SECCE7Rv1G0483500 transcript:SECCE7Rv1G0483500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYTPQLTAWRAAAGSGVEVERDCVEHEGTVYAVQRGKEADDDKAERECVEIGGKVFALADEKEVAVHGGKVLRCVEFPTSSATALRLTVTEGAGKEVAEVVEPDGALRVLDCGGCYETAAGTREHVVDVQGDEEAFVLLVSVREEDARIVRVQRLN >SECCE6Rv1G0411620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:608794834:608796446:1 gene:SECCE6Rv1G0411620 transcript:SECCE6Rv1G0411620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRGRLLAAALCLLFLASADAGTVGVNWGRVASDLPSPAAVVQLLKQHGIAQVKLYDTEPTVLRALANSGIKVVVALPNEQVAAAARRPSYALAWVRRNVAAYYPATQIQGIAVGNEVFATAVNVTAQLVPAMINVHAALARLNMDKAVKVSSPVAISALANSYPPSAGVFKEELAQSVIKPMLDFLAQTGSDLMVNCYPFFAYADNAGVISLDYALFRPNAGELDSGSGLKYYSLLDAQLDAVFTAVGKLGNYNGVHVVVSETGWPSKGDAKEVGAGAANAAAYNGNLVRRVLSKNAGTPRRPDADIDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGGGSIGAGGKGGGGGLGWQDNGSGNAAPVSGAGGGVVRAAAPGQAWCVANAMVGEARLQAALDYACGPGGADCKGIQPGAACFEPNTMVSHASYAFNDYYQRKGRSIGTCDFAGAAYVVNQAPSAR >SECCE6Rv1G0423670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697039378:697040853:1 gene:SECCE6Rv1G0423670 transcript:SECCE6Rv1G0423670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDHRRRLSASSSGRRERLGPAPSPAWSQQLSVGSGRAKSLFRSIGVWFSSLSTPTSPTSAAKKKRSKQTPAPAHDDAIKKPPSFGGYGTGRASMLRGGGGLYGGARRSGSRTQYEFQSSVFTMEEILKATSNFSPALKIGQGGFGAVYKGVLPDGTVVAVKRAKQRTQNPHVDVEFRSEVKIMARIEHQSLVRFYGYLEQGEERVVVIEHVPNGTLREHLDRRHGRFLELAARLDVAIDVAHAVTYLHMYSDHPIIHRDIKSSNILLTASLRAKVADFGFARLGAGGLGHGEDGGGGARHVSTQVKGTAGYLDPEYLKTCQLTDRSDVYSFGVLLVEMLSGRRPIEPKREMKERLTARWAMRKLVEGKAAEEVLDPCLLRTGAAATAVEAVLELAFRCMGPVRDERPSMDDCCRALWAVRKTYRDTVSAMAAAADAFSDRASSSSASTGASTTGDFCRM >SECCE6Rv1G0398300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:418171930:418173822:-1 gene:SECCE6Rv1G0398300 transcript:SECCE6Rv1G0398300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWCLLAPAALSVSASESRGVFAARAAVPARTRRRWDSLVVCVAPDEEKITRRSPLDFPIEWEKPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEAQPQEEPQEDDPDKEDPEEDDPDKPTE >SECCE7Rv1G0479750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:257979977:257982962:-1 gene:SECCE7Rv1G0479750 transcript:SECCE7Rv1G0479750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRRALRFVLLLPAILGALLPPAAAAATGPPKVSLALYYESLCPYCSRFIVTRLAGIFDSGLIDAVDLLLVPYGNAHVRGANNTISCQHGPDECLLNNVEACAIDAWPDLNVHFGFINCVEDLVMNRKREEWESCFQRQGLDPKPVMECYNSEHGHKLSLKYGKQTDALVPPHKYVPWVVVDGEPLYEDYENYEAYVCKAYKGHPPKVCQGLGRDYPIVQQVVEAGVTYNSGYFELDDGVDNKIKMVQADDN >SECCE6Rv1G0419620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:671445372:671447646:-1 gene:SECCE6Rv1G0419620 transcript:SECCE6Rv1G0419620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP-specific phosphatase HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) UniProtKB/Swiss-Prot;Acc:Q38945] MGGLRLSAWAAAPSSAAARGFPRSQPDWRGRGRRLRSRCAPSSPPSASPALSLGAAGRLRVGADREWLWDCRGGGLGGGGGKKDYAKEMEAAVRVVQVACTLCQRVQDALLLADPGSGSGSGGVHSKHDRSPVTVADWGVQATVSWLLSDCFGDENVSIVAEEDDKTLSSSDGTALLESVVAAVNGCLVEAPKYGLRSPEKDLGAHDVLQAIRKCSSTGGPKGRFWVLDPVDGTLGFVRGDQYAIALALIEDGEVVLGVLGCPNYPMKKEWLNYHQRYYRLMSKVAPPTSGSWNKGCVMYAHKGCGQAWMQPLVHDFGMLSWHNSREVQVSSVSDPVSATFCEPVEKANSSHSFTAGLAHSVGLRNQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIQEAGGVVTDAGGCPLDFSRGVYLEGLDRGIIACSGALLHRRILEAVDASWNSSTL >SECCE1Rv1G0026780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:374403594:374406220:1 gene:SECCE1Rv1G0026780 transcript:SECCE1Rv1G0026780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTAVLAAILLIDLVAFGLAIGAEQSRPSASLETDARKEWTYCVYRPDAATGMAGAALALLLVGQAVAAVASRCFCCGAALRPGGARGCALMLFLSSWLTFLIAEACLLAGLVQSAYHTGYRTVIFENPPDCETVRRGTFGAGAAFALITGVLTGFYYYNFSKARVAYQRPEAAIGMSRYS >SECCEUnv1G0564080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:380342544:380345649:-1 gene:SECCEUnv1G0564080 transcript:SECCEUnv1G0564080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGAMGPVIRKLGELLVGEYNLEKRVKKGVTSLLTELEMMHAVLRKVGEVRDLYCDMEDVVDDFLVRVDEGSINKPTNMRNRVKKFLKKTTKLFGKGKAFHQICDAIEEAQDLAKELADLRKRYELDMCSTSNGAIIDPRVLALHKHVGELVGIERTRDELIKTLICEDGSSEEQLKTISIVGVGGLGKTTLTKAVYEKTKAQFDCAAFVPVGQKPDIRKVFKDILYGLDKVMFSDIHNTTKGENLLIEEISEFLVDKRYLIVIDDIWEKDIWKYIDCALYKNKLQSRVITTTRNVNVSEACLSSTNGTIHRMKHLSDEDSQILFHRRIFQSEEKCPEDLQIVSRDILKKCGGVPLAIITIASLLVSNQQVKPNHEWMDVYNSMGRGVTQNGIAKDMKRILSLSYYDLPPHLKTCLLYLSIYPEDFEVERVWLIWRWLAEGFIQRDKKESSLFEIGESYFNELMNRSLLQPAYINEKGTVVTFRMHDMVLDLICSLSSEENFISILDNEEWHAPNLQRKFRRLSLHNIKAKVQNHQFDSTSLSKVRTFVVFSPITACDWLPSLSSFQFLRVLDLGNCGSHQSSSGISLKYVGNLIHLRYLGLSNADVRELPVDISKLQLLQTLDIQDTRIKELPASVVQLRNLLCLCFNVFKRVLFSLRSLTSLEVLKTVRLPSCPHLFKELGHLTELRTLYIICDLMDKDLSNILAESLGNLQKLQNLNIGGGGSSIDRMPESWVPSPHLHSFETWHVLFLRLPKWVNSTSLPHLSTLKIEVEELQTDDIQIIGMLPALRCLELNAHRVMETLVVSAGAFPYATCCKFKRFLSPPSLFPPGAMPRVQQFEFMVSARSVASGEVDCSMGHLPSLEHVEVGLLCDNPSDEVTKTAYAWLRHAAKAHPNCPTIKFEFLAAPLNVIA >SECCE2Rv1G0135430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:906857205:906859616:1 gene:SECCE2Rv1G0135430 transcript:SECCE2Rv1G0135430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Seed germinatio [Source: Projected from Oryza sativa (Os04g0671200)] MDSNSFKTGGLLLPTIERRCASPPSVIVIGGGISGIAAARVLSNSSFEVTVLESRDRIGGRVHTDYSFGCPIDMGASWLHGVSNENSLAPLIGHLGLRLYHTSGDNSVLYDHDLESCSLFDKNGLAVPMETAAKVGVIFEKILKETVKLRDEQEHDMPLEQAISMVLERHPDLKLEGLDDRILQWCVCRLEAWFAADADEISLKNWDQEHVLTGGHGLMVDGYLPVIQALAQGLDIRLNQRVKKIAREHKGVTVTIEDGTQYSADACIITVPLGVLKANIIKFEPELPSWKSSAISDLGVGIENKVAMHFDKAFWPNVQVLGMVGPTPKTCGYFLNLHKATGHPVLVFMAAGRFAQDVEKLSDKEAVELVMCHLRKMIPDATEPNKYLVSRWGSDPNSLGSYSCDLVGKPSDVCERFSAPVESVLYFAGEAASAEHSGAVHGAYSSGMDAAEECRRRLLMSKGVPDLVQVGAAACEEMADVVAPLQICRT >SECCE7Rv1G0458280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:21046046:21047368:-1 gene:SECCE7Rv1G0458280 transcript:SECCE7Rv1G0458280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDTQKMIEQWCTQIEKGNGHQAEIDKRCYSDDLTLGVIEWVIFGENSKEAWEVFIAGKKGQKLAVYAFADPPIPGFRYLPTRRNFQLWKINKLATSKITHLIKTPLVRGVSGDGLLRLMLEAYMSKEVEPLSTEEMVGECKTLFAARQDTGASLLTWGMFLLSNYPEWQEKLREEVLRECQDDGEAPSIDVLGKLKLLNMFLLETLRLYSPVPFLMRKTAYDTTLANIKVPEGTMITIPVMMLHRCKEIWGLDANEFNPMRFEKGISRAAKNTHALWAFSYGPRGCRGRNYAMIQVQIVMTMILRRFSFSLCSRYVHMPMYYITLVPRYGLPLIVHNLVDDEKNDM >SECCE1Rv1G0003220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:12717970:12719280:-1 gene:SECCE1Rv1G0003220 transcript:SECCE1Rv1G0003220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRNDKDGGENITVDLYPFIREYKGGRVKRFLRSRFMAATEDPAANRGVVTRDVTIDNCTGLSARLFLPSDAAAAGERLPVIMYVHGGSFCTESAFGHTYNNYVRSLAAQTGALVVSVEYRLAPEHPVPAAYDDAWAALQWLASLSDPWLSSYADPERTFLAGDSAGGNIVYNTAVRAAGRGTNIVDIKGLVIVHPYFWGVDRLSNSEAVRDGVAMITPDFLDRLWPYITAGQLDNDDPWINPLDEEIASLMCRRVLVAVAEKDSLSGRGRRLAASMRDLIWADDQNTVTLVESEAEDHGFHLYNPLRATSKKLMESIMQFINQRPTLPLPAAFPPERHELHLHACQGKDQTCSSAIRPILGVPTRPYVDVFGYGVAQKIPHVLVAIGGHERRSSPKTRRYGLSLGHSITSHMRFPLPATTARGGGCVRFHKFII >SECCE5Rv1G0373940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861769770:861776498:1 gene:SECCE5Rv1G0373940 transcript:SECCE5Rv1G0373940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPDHNVQMGLGKTLQAISLLSYLKIKSIAPGPFLVLCPLSVTDGWLSEFGKFCPTLKVIQYVGDKAHRRQIRRTIHEDVQKSSHSNELPFDVMLTSYDIALMDQDFLSQIPWLYVVIDEAQRLKNPSSVLYNVLEERFMMPRRLLLTGTPVQNNLSELWALMHFCMPSVFGPLDEFLSTFKEAGNLLSGSEDNKANRQFKILKHILRAFMLRRTKALLIESGTLELPPLTELTVMVPLAPLQKKIYLSVLRKELQTLLSFTGGSSRHQSLQNIVVQLRKACSHPYLFSGIEPEPYEEGEHLVQASGKLIVLDLVLEKLHRLGHRVVLFAQMTQTLDILQDFLELRNYTYERLDGSVRAEERFAAIRNFSTQPTKGVVRDDSNPSGAFVFMISTRAGGVGLNLIGADTVIFYEQDWNPQADKQALQRTHRIGQLNHVLSINLVSQRTIEEVIMRRAERKLKLSHNIFGDKDATDGKGKDLGNEANDMRSIIFGLHQFDPVDTAAETINEETLEKLKSMSENVIKMRTHEPSEKDDRAFEINPDLTDGSGAVITRACDSISIDPGVDEAAYLSWVEKFKEASHAIEDVPVELERQRPAPEDKLLKREANKKKAEEKRLAKWKDLGYQTLGVKVPDNIPNQNISDSGSVQLVYGDCTDPSKVCAAKPAIIFSCVDNSGTWGHGGMFDALTSLSTYIPDAYHRASEVDDLHMGDLHLIQLDEANCSRSLDAPLWVALAVVQSYNPKRKIPRSEISMSDLELCLSKAAFSAAQRSASIHMPRIGQRSGAQRSEWYTIERLLRKYASLHGIDIFVYYFRRPSRQQPDSD >SECCE3Rv1G0207220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:918853056:918853469:1 gene:SECCE3Rv1G0207220 transcript:SECCE3Rv1G0207220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGARTNAAKRTAAACAGDGKKQQPKAMPETDAQKVARLRARARLAFVKAAHAEAKRYMDMEEEEVVEEYRQAGKLHCYDLDTEWKKRFARVFKLHPCPCSKQMAANIEEYMFYLEENEDDFRMGLYSLIGPGDQY >SECCE2Rv1G0073230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:66590102:66592204:-1 gene:SECCE2Rv1G0073230 transcript:SECCE2Rv1G0073230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEARPPLLPVRVPMNGEDLPPAAGRAEIDTSAPFESVREAVDRFGGSAAWSSHLVNRIFAHHKKQNESVRGGAEDEQCANLAEQTAQIEKELGAKERETLDVLKQLESAKKIIADLKLKIQKKTAEDALQPEEEQQQQPEGNCSLREVSTAEPEVKQPEENAEATEEEQTAEPEVQQQPEGNAEADVDMCAGLDEQEEQQQHPNLVLMELEQAKSNLSRTTGDLAAIRASIESLRNDIATEKVLLERSREKVSSDATLVSSLKEELDQTTQKLQTLKDLQRRREDPSDIFFEIKRMTSEIEQLRNAASASKSEAMMLAAEIEQTKATIGTAEVRCLAAKKMEEAARAAEALALAEIKALLCSEASAEGLQGTDGMSLSVEEYSELASMAREADENSRNKIAAAMVHVDEANQSKSESLTRLEEAKMEVEECKKALQEALKRVETANRRKFAVEETLRRCRSETGHKRRSFRGSPKFKTAAHRHRDDSHCMEIIDVSDNSMKPTLSIGQILSMKLMGPDGYDKSVSDDTSTSETSSISLGQILNRRQAILTYSSDTSANKKFSGKRKKFAFTGLSVFLAKQARSKKKRGSDEN >SECCE4Rv1G0272200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:766867994:766868266:1 gene:SECCE4Rv1G0272200 transcript:SECCE4Rv1G0272200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFSILSASPADIAPGTGSGGSWARVSWRGRKLLEDNRAGAGKQWKQGGLDADAEKQPGKGKPQSPLPRFAPEFDGIDCFKTIMCH >SECCE1Rv1G0011110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:70573758:70574291:-1 gene:SECCE1Rv1G0011110 transcript:SECCE1Rv1G0011110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSPAAAEKEATKSPKKKTTTKSPKKKVAAKE >SECCE6Rv1G0390810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:194301081:194301976:-1 gene:SECCE6Rv1G0390810 transcript:SECCE6Rv1G0390810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTRPFKVPEMALRVCVIPLALASLWEMATNKQADDTYGEISFSNLSGFKYLVSINAVTAAYSVVSILMSSFKSLARYDWLIFLLDQAAAYLLLTSCSAAAELVYLAREGDREVSWGEVCSYFGRFCGRATVSVALQAAALLCFVALSLVSAFRVFREFDAPGAHVDCSGDSDSKQAQEQGAR >SECCE2Rv1G0140040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929997819:930000203:1 gene:SECCE2Rv1G0140040 transcript:SECCE2Rv1G0140040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHNLNMLRSIVFLLVFPILPTVVAYPSTAAPPNIWNISSMQSRVAMARNSSALVILESGSASYQLYFGFYSMDGHAFTLSVLLIGPEAPVIWSANPDDPVSQDAMLSFTNDGNMLLRDGDGTVIWSTATQSKSVAGLRLDVSGNLVLFDWKNSSVWQSFHHPTDTLVLGQSLCRGMNLSIKPSNTKWPSARIYLSAEVGGLQYSYQPAAYSQLFTEVASTTSNCYVFVNGSFGFPNQVFSLPLAASLQFMRLESDGHLRLYKMQGYSSPQLLSDVLSITMKFCDYPFACGDYGVCSAGQCSCPSLSYFRSNNERHPDAGCTLLTTISCNRAHNHQLLPLDNVSYFSDKMFRSLAISSSSEEVCKQTCLIDCACRVAIFQYYGLSHFSNGGYCLLLSEQKLISLAEGSSDGLSAYIKIQGTRSIKKRITTIVCSVIAGLSALGILFSAIIWKMCKKEEEQLFDSIPGTPKRFSFHELKVATCNFSVKLGSGGFGSVFKGNIGRETIAVKRLQSVEQGTEEFLAEVMTIGRMHHHNLVRLIGFCAEKSHRLLVYEYLCNSSLDKWIFHTCSVFTLSWKTRRNIIIAIARGLSYLHEECKEKIAHLDIKPQNILLDDRFNAKLSDFGLSKMINRDQSKIMTRMRGTRGYLAPEWLGSKITEKADIYSFGIVVVEIICGRENLDESLPEESIHLISLLEEKARSGQLLDLVDSGSNDMQCHMEEVMEAMRLAMWCLQVDSSRRPLMSTVAKVLEGVTSLEAAPDYSFVPSFASNSVSGAGPTSSYVPSESHLSGPR >SECCE1Rv1G0053510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:670134809:670139964:-1 gene:SECCE1Rv1G0053510 transcript:SECCE1Rv1G0053510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGATSSLLGKVLTKLSQDLVAAYVDSLELGHNSQQIQTKLLHTQGLLQLAEGRDVSNVTALQALLEELSKKADEAEDLLDELHYFKIQDQLDGTNYAAPDLGDGLRGHARHGRHAVRHSIGNCFPCFSCSVTAVANSPHQYETNSATASADDGGHIDKLHFDRVAMSSKIKSVIEEIHSLCDPVSDLLSKIPSSSTAVALKRPHIGSTIIQDTLHGRRDIFEKIVNDITSGTHHGQTVSVLPIVGPGGIGKTTFTQHLYNDSRTQEHFAVMVWVCVSTDFDVLKLTQQILNCITANENETVIETTNLDQLQKCIAQRLKSKRFLIVLDDIWKCNSDDEWKTLLAPFTKGEAKGSMVLVTTRFPKLARMMKTIDPVELQGLESNDFFTFFESCIFGEHKPRDYEHELDGIAREIASKLKGSPLAAKTVGRLLKKNLSREHWNGVLHNHEWRNQKNDNDIIPSLKISYDYLPFHLKKCFSYCALYPEDYQFSDAEINRFWIAIGIIDSSHPRDKSYMEDLVGNGFLMKEVSKYHPFHEYYVMHDLMHELSRSVSAQECLNISDLDFRAEAIPQSIRHISITIENRYDEKFREEMGKLKGRIDIVILRTLMVFGKYEERNAEILKDTFKETNGLRVLFTAVESLESLPQSFSKLIHLQYLQIRYYGREIGLPSTLSRFYHLKFLDLSSCRHGSIYNLPKDISRLVNLRDFLAEKELHSNVPEVGKMKYLRELKEFHVKKESVGFDLGELAELRELGGTLSIHNLENVATKEEASGANLMLKRDLKELTLVWGGEQPSDTYAYILDALQPHSNLTALGIINHGGTTCPGWLCPEIRVNNLETLHLHGVSWGTLPPFGQLPYLRELSLKSISGLRQFGPDYGGVTGKCLLQLKNVVFHDLADLVQWVVEPNCHMFPGLESIDCSHCPNLCVMPFSECSCTNLSWLHIDRCPKLCLPPMPHTSTLTYFAVENGPETLSYKGKRMVVCSYAGALAFHNLGEVEDVCIRGESHISWTGLKKLKSLRKLSVTRCDSLFSGEMDGSVVLHNMDKLGSLSVGVSHLTGKLLSKVFNSCPALAELEIRSSLEDEEERVLEFPSSSSLRTLGFSMSKGLVLLPAEDGGGIQDMASLQSLTIVGCGKLFSRWPMGDGEAGGAPMTNPFPANLRKLVITEESSMRSMALLSNLTSLNHLTLKGCENLTVDGFNPLITVNLRELEVRNSGSSNSLAADLLSEATKHRYARNCCIGVLDVADTAIRTIRPGYGSEVARTKIMHAGSFQLERLKVDSISAVLVAPICTRLSATLHQLTFFNDERAKGFTEEQENALQLLTSLEKLGFYCCKVLQSLPQGLHRLSSLKELLVNGCLQLRGLPEQGFPTSLQLLSLPGCKKPTTIFNDWRRPRRSAQGDSGARPTLAPPANLPTLPPRRRRRRPPGEAPQPTAAAGPLLAAAPVLQGSSSWRRVDATRRLAAGIGVRWRGGS >SECCE4Rv1G0231150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:179302993:179303949:1 gene:SECCE4Rv1G0231150 transcript:SECCE4Rv1G0231150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLLPSSVRGLASCVVADVAACTTPSSTLTCPASPSTLSVTVFYRAAALLPGQSSPLHPRLTWTRSPLGPTLSFSPSASNPAVVLRRRRGTRSVSVDDADAHAQLVVFWDLTAARYDASPEPHSGYYFVAVAGADVVLAVGDLAADFVDERFEGRIPKAAALTVPFARRERVVAPDPAAMHTARRVRFAEGGPDHEVSVGCSGGGGGAEEEELWVSVDGKRAVQARRPRLNFRGNQTVFVDGAPVDVMWDLHGWWFREPPYGSAVVMLRARSALESRLWLEEAEVATAAPGFSLVLQAFKSRPDGLSSAPAH >SECCE6Rv1G0408630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:575412835:575413941:-1 gene:SECCE6Rv1G0408630 transcript:SECCE6Rv1G0408630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAMWALAAFLVLAASGAGAAGALPRFAEAPEYRNGEGCPAAVAGAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESLFFHFLAADGAAPGVDELRSALAASFPSLRFEIYPFRADAVTGLISASVRAALEAPLNYARNYLADLLPKCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTDAFWSDPDLGPRVFAGRRRAPCYFNTGVMVIDLRRWRSGNYRHRIEQWMELQKEKRIYELGSLPPFLLLFAGEVEAVDHRWNQHGLGGDNVLGSCRPLHKGPVSLMHWSGKGKPWDRLDAGRPCPLDHTWKSYDLYIGDGDASLASAPSWASLSSSALPAAVFSW >SECCE3Rv1G0149790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:28888182:28889633:-1 gene:SECCE3Rv1G0149790 transcript:SECCE3Rv1G0149790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRFVSELCKRKQPDLTRLVQRPATVRRLGSDSKQLHKPVEATKEGTYPSRMHSQNLPAKIRIAMKSFNNQKHNLKGLEPYTHKIGLPESRALYTVLRSPHIDKKSREQFSTHVKKVFVVKKAETHELAKKFFWLKRLRVLGAQYEVNISFKTRLDKMIGCSKGVGLLRQ >SECCE4Rv1G0240570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448063008:448063199:1 gene:SECCE4Rv1G0240570 transcript:SECCE4Rv1G0240570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPNKRTSMSKKRIRKNIWKKKTYFSIVQSYSLVKSRSFSSGNEHPKPKGFSGQQTNNKILE >SECCEUnv1G0538510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72941817:72943265:-1 gene:SECCEUnv1G0538510 transcript:SECCEUnv1G0538510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPHVVILTSSGLGHVLPVSQLAKRLALHHGFTVTIITYASLSSPGHSSPLASLPPGVSVAALPEVSIDDLPADAHLVTRILTVISRALPQLRDLLRSLLDSPAGITAFMTDMLCPAALAVGKEMGLPGYVFYTSSLMSLLSLLYTPELSRTTTCECGDLPEPVMLPGCVPLHGADLVEPVQNRSDPVYQLMIDLGLNYLLAEGFIINTMDALEHETLVAFKELSDKGVYPPAYDVGPFTQRRCPNSDEVKHRHSCLRWLDNQPDGSVLYVSFGSGGALSTEQTAELAAGLEASGQRFLWVVHHPNDKDSSADYLGTAATDDDPLSYLPEGFVERSNGTGLLVPLWAPQVEILNHVAVGGFMSHGGWNSTLESVAAGVPMVVWPLYAEQRLNAVMLSSERVGMALWERPPLGEDGAVVPREKVAALVRELMEGEKGAALRKQAGHLRDEAEIASAPGGPQDRALAVVAGMISLHLKSHGE >SECCE1Rv1G0011710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:81037748:81038287:1 gene:SECCE1Rv1G0011710 transcript:SECCE1Rv1G0011710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQLLGWSDGEVMRPESKPCSRLMRHTAGIFSVGGGLAFWVLCRLHYGPRITVPRSLRWASCGAMGTSATAAMLVRLFSPECEPQNIAAFDRPEYKPA >SECCE3Rv1G0186400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:684076460:684077318:-1 gene:SECCE3Rv1G0186400 transcript:SECCE3Rv1G0186400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEDLDLVLVPLGLAVLAGYHLWLLHAILRHPTRTVVGLNALARKRWIAVMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFIGATAGRSPASPSSSSSSPLLVYGSKTGRVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPGGGGEDEDGAAEQFRAYVARTVNRGSHAWSLGLRAFYVSLALFMWTFGPIPMLACSVLMCALLYFLDTSADYAKGIQHIHGEGERGARKDGAV >SECCEUnv1G0527820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:2308098:2312044:1 gene:SECCEUnv1G0527820 transcript:SECCEUnv1G0527820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLNALASYVTKMLADMARDEVAMLIGVSGQIDDLSLKLRDLNNFLEDADRRNITDKSVCAWVEDLKRAMYLATDILDLCRLKAMEQGPSKDMGCLNPLLFCMRNPLHAHDIGTRIKVLNQQLDDICKRGRSFNFIRLDGYQERKTNHPPPVDRKTHPVLELSGVVGEKIEDDSRALVQLLTKEASENSDGIMVFAIVGVGGIGKTTLSKRVFNDEAMQAKFSKMIWLSITQEFSEVELLRTAISAADGDLPGHGGGSQDKALLVPALVSAIKDKKFFLVLDDMWGVNEWSRLLMTPFSHGAPGSRVLATTRHEAVARGIRAMEPYHHVDKLGPDDAWSLLRKQILGMDRSEPAIDMLKDIGLQIIEKCDGLPLAIKVMGGLLCQKEKARLAWEKVLNDAIWSVSQMPEELNNAIYLSYEDLSPCLKQCFLHFSLKPKKVLFEDIEYVGMWIGEGFVHGDPDRLEELGIEYHEELVLRNLIEPDTSYPGPHVCNMHDIVRSFAQFVGRNESLVLNNGESTSNTFSMQRYLRLSIETKGVESDTFELRSLQGQKSLRSVILIGNFKIQPGDSLTIFSSLRTLHMESIDCVALLESLHQLKHLRYLAVKKCNGINSLPQDIHKMKLLQHLNFEGCGNLVNLPNSIVKLQELRHLDLDGTCVISMPRGFHALKKLRTIFGFPAQMDDDWCSLEELGPLSRLRCIRLVGLQNVSASSFARKARLGEKVHLSILRLHCSSGFGDDGQKKENVTEKDQEVIEEVFDGLCPPPCIEDIFIKGYYGYQLPRWMRDTSTTLLNSLKILMLRDLACCTQLPDGLCQLPCLKYLQVRRAVAIKRVGPEFVQPSSHHHHPSSRVVVTFSRLHKMILNGLVEWEEWEWEKEVHAMPVLEELFIQSCKLRCIPPGLATHARALKKLTIARVQGLQALEGFASVVQLDLFNLPNLTNISNFPKLQKFEIDRCQKLESLQGMEQLRRLVLTVYYYTALPLYLQTVNPRHLLLDCGQGLLACMALGKSGPEWDKFRHIQHVEAYADDVGEHIEKKWHLFYTSEPYSMVTNIDPQEFE >SECCE3Rv1G0158510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:88636833:88643156:1 gene:SECCE3Rv1G0158510 transcript:SECCE3Rv1G0158510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGTEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGVNGTTIEFCKNIVLAGVGSLSLMDDHIVTEDDLSSNFLIPHDEGMHGASSRAEACCESLKDFNPMVRVAVAIGDPSLIDEGFVDRFDIIVVSCASLKTKLFINDSCRKRSKHIAFYSVECKDSCGEIFVDLQNHSYLQKKPGGEHEQQELTYASLQEAISVPWNNLPKKTTKLYYAMRVLESYELSEGREPGETALSDLPAVLAWRKDMCDRMSLSESQIPTALLERLLAAGKKEHPPVCAILGGILGQEVIKSISCKGDPIKNFFYFDAADGKGVMEDIPPTPVEQFA >SECCE6Rv1G0437890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786608150:786608647:-1 gene:SECCE6Rv1G0437890 transcript:SECCE6Rv1G0437890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLAAMLLLALASAVIVTAQNGADDMLNAHNEVRAAVGVGPVTWDPIVAAYAQSYAEKRRTDCKLVLSPEVRPYGENLFHAPGTDWKAVDAVIYWASGKQYYDHATNTCSAPSGESCDEYLQLVWRDTKAIGCGAVLCDGNAGVFIICSYSPPPMVGQVPY >SECCE5Rv1G0349210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:682293055:682295458:-1 gene:SECCE5Rv1G0349210 transcript:SECCE5Rv1G0349210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAALTALLLQLCFSATAVDRVTGAAPGCPTICAGVNVPYPFGIREGCSLPGFNLTCDRSLGRERLLIGGAGGTLEVVEISLANSTVRVMDIAGAVKLTGSGNGTGLARLAANGQWGGLGADAFAGPFVVSGTRNRLVLTGCNQQATLLGEGGNVIVGCSAFCPVTDMFLSTISSEEVAPCAGVGCCETPIPIGRPSYSVQLAGLDLNQEMDQQLPIAVRIAETGWFEGNSAGLLNKSLPDSSSTTAIPVVLEWAVDSKRLLQPQDIATGCPRGQEAARSVCRSSLSTCLNVTNSYRTGYVCQCKRGYQGNPYLADGCQDVDECAMPDKFMCFGVCVNTPGAYQCRCPPGSRGNPQIKDGCVKSYLGLGVGIGIGCGAGLLLLVLAAFFVTRKLKQQRAKASKMIFFRQNRGHLLQQLVSQNADIAERMIIPLVELEKATNNFDKAREIGGGGHGTVYKGIMSDLHVVAIKKSKVAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEEPQPSLPWVERLRIATETARAFAYLHSAMSIPIVHRDIKSQNILLDGTLIAKVSDFGASRCIPIDQTADATAIQGTFGYLDPMYYYSGQLTEKSDVYSFGVLLMELLTRKKPCSYRSSEEKSLVSYFTALLATGDLASLLDPQVVLEGGKMVEEVALLAAACVRMEGGQRPTMRQVEMTLENLRVPHENVVMSGMDAPSCAMIKGGSTEEVSRQYSQEEEYLLSSRYPR >SECCE5Rv1G0343020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:634594370:634594727:-1 gene:SECCE5Rv1G0343020 transcript:SECCE5Rv1G0343020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTKKVATQVVLVLLLLLIAQEAAVPGAEAKICRRRSAGFKGPCVSDRNCGQVCQQEGWGGGNCDGPLRRCKCIRQC >SECCE7Rv1G0520390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:868437219:868444956:-1 gene:SECCE7Rv1G0520390 transcript:SECCE7Rv1G0520390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKLLAEVSPQELLGALAELHNHMLGYVKCMSLRCAVDLGIPDAIHNRGGTATLADIAMDTKVHPAKVTDLERVMELLSTSGIFTRGAGVGDAMVYGLTTACRILVGWRNLSPMVPFLLNPLVVSSFFSMPEWFRSEPMATAAGSLFELEHGCSQWEMVSKDAVFNNVLNHSMAADSQVFLEVVIMDKGRIFRGLGSLVDVGGGNGAGTKVIAKAFPRITCTVLDLPHVVGKAANDGNLRFVAGDMFESVPPADAVVLKNILHDWGHEDCVKILQRCKQAIPTRNAGGKVIIIDMVRGSAPGDRKISEMEATQNVFMMCISGMERDESEWKRIFSDAGFNDDYKIMPVLGPYSVIEIYP >SECCE6Rv1G0387670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:119285132:119289877:1 gene:SECCE6Rv1G0387670 transcript:SECCE6Rv1G0387670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARPMASFGVATCFLLCALLLVQPAPAAAAGEKRSYVVYLGEHAHASQLHDLAAVDLAAVEGKAADSHYNLLATVLGDKEKAQEAIFYSYTKHINGFAANLDAEQAAQIARLPQVVSVFPNRGYQLHTTRSWQFLGIAGPGGVPRGASWRKAKFGEGVIIGNIDTGVWPESESFRDHGLGPVPKHWKGTCEKGQDDNFHCNAKLIGARYFNKGYGSEGLDTKAPEFNTPRDNEGHGTHTLSTAGGSAVPGASVFGFGNGTASGGSPRAHVAAYRVCYKPVNGSSCFEADILAAFDAAIHDGVHVLSVSLGNDGEPYDYFDDAISIGSFHAVRHGISVVCSAGNSGPKPSSISNLAPWVFTVGASTMDREFPSYVVFNGTKIKGQSMSETSLKSKDSYPMIDSAEAAAPGRAVDDAKICLQGSLDPQKVKGKIVVCLRGTSARVAKGLTVLQAGGAGMVLANDAASGNEVIADAHLLPATHIRHRDGLTLYNYLKSAKSPEGYIKKPETSLETKPAPYMAAFSSQGPNPVNPEILKPDITAPGVSVIAAFTRAMAPTELDFDERRVAFTSMSGTSMSCPHVSGLVGLLKALHPDWSPSAIKSAMMTTAIDVDNKGESILNASLAPAGPFAYGAGHVWPSRSMNPGLVYDLGPDHYLDFLCALKYNASVLSMFNGEPYKCPEKAPKIQDLNYPSITVVNLTASGTTVKRTVKNVGFPGKYKAILRQPAGVHVTVSPDVMEFGKKGEEKTFEVKFETKNAKLAKNYAFGSLIWSNGVQFVKSPIVVQTAA >SECCE7Rv1G0509420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:780498160:780498639:1 gene:SECCE7Rv1G0509420 transcript:SECCE7Rv1G0509420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASATGAGAKGKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAKRVGTGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIVPRHLLLAVRNDQELGRLLAGVTIAHGGVLPNINPVLLPKRTAEKEPKEGKSPKKTAKSPKKADKKA >SECCE3Rv1G0202770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:877398941:877401039:1 gene:SECCE3Rv1G0202770 transcript:SECCE3Rv1G0202770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVGHQPKGQLWLVLAVLVAFAMLGEAARSLPPPSLSASPTYAPIIKVIGKAYCYRCFNEAHPEESHGKEHLEGAMVKVTCQANDQAIVRFGYTESNGKYSVAITGLPLSGTFGADSCKVELHAAAGGSDCNVPMELNLSGVSVYSKSNEEVVLQANQVMAFGSKKTFAGCSKPHILPSMYPSNSQPLPYQHPSPPSNYKSPPLPHQHSPPPSNKFPPPSYQYPSPPQNLYSSPPPSNNYQAPPTSNNPTSTHKYLPPPYYYNSPPPNSHVAPPLPYLQSPPPYNYKSPILPLSPVPPRHYNSPPPFNYGSSPPPYQYSPPVPPKHLQPNVPHANPPPASISPQPLYPYSSPPPYMNSYQSPSPPIQVPHVKSPPVSSTSPQPLYPYGSPPPASISPQPLYPYSSPPPYLNSYQSPPPPIQLPHVKSPPVSSTPPQPLHHYSSPPPSLLNSYQSPPPPSHLS >SECCE5Rv1G0376680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873690472:873692683:1 gene:SECCE5Rv1G0376680 transcript:SECCE5Rv1G0376680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRNILGSDEEDLHLHGTVMKPNRKGLRLTNLPTDILRSILSRLPLKEAVRTSILSEHWKHVWRCRSNLEFSLRSMLPDPRINGPNDVRPWKHVFIERVDAVLQQHCGAGVDHIQFQAPCDDEHGDRIEGWVRFAVASKAKQLILNFSATYHIQHPYKIDLRLLDDSKSSHLQSIKLCSVSLKVPADFKGFRSLKRIFLADTNITDGDLQHLVSNCSSILEFLGIAGCGMITRLRISHLSNKLKHLQVYDCHLLQAMELNFGLVKLEYRGPSIILLSPPGTLLLADICIKLEGICTNALEYIFTKLCNNAPRLEILTLRCREGKMATLPGKLHEFVHLKHLILDLTFGFGTRTTDILQFACLLVAAPFLEKLEFHMWLKCQHERYSVDKGHLRSLPSQPHSHLRAIDITGFYGEKDQLELALHILRDSVVLESMKIDPEPAAAPAGLTRLAHTEAPHFVDGYEVAREFLRSRDRRDVVHVVKPLACLKPLMLGGSRRIDAAERAAFFAALRRRNAGNAG >SECCEUnv1G0531280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16660162:16681410:-1 gene:SECCEUnv1G0531280 transcript:SECCEUnv1G0531280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMREWPLPRLYEVLHGEERGRWPTEARFLEAAHNGDVREIKKIAKELDVQGHGIRMTVANTTYMGMNALHAAGGGGRLPIYRYLVEEVKMDVDMPDTAQKFTPVSHAILYGHLPAVRYLVDHGADLHQRRGKITLLHMAVVHGYYEIVKFLLARGANVHAMSELGTPLTDATLRGFPSIVKILLEHKSDPNVVTRILGPLNMAVDKSSVSCVKLLIQGGANVSSVFPCYDPLLMAAEKGLTEAIKCLLEAGANPNVFDRFGRLPIELAVEYGTREDVEILFPFTSPVSTVANWSVDGIISHVKMEIKQLEDDNFVKKRMSELKLQADEAFKKQNYVNASALYTQALKMDNFDAKLLSNRSLCWLRMGDGQRAYDDASECKIICPKWAKAHYRQGAALMFMKAYGGAYEALSRALELDPENKDIEKLFWEALELK >SECCE4Rv1G0224350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:79054057:79055028:-1 gene:SECCE4Rv1G0224350 transcript:SECCE4Rv1G0224350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF15, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G74120) UniProtKB/Swiss-Prot;Acc:Q9C6A1] MAFALRALHHRLPRSLHYSNPLSTSASSHDLRELLRIQRILGDPAATAQPPQTQQRPRAASTADLQRLLHRAAGLTAAEATSLLQRIPNTHRLGHLLHELRGLRLPANEIKNALGSDPDGLLSMEPGEPSRLVELLDELRCRAAIKDQVLFHGVLSAAIATRRRVELLHERGLSRRDALRVISVEPRAILYSLEDVERKVEFLVSRMGFEIGWLVEYPEFLGINLDRSIIPRHNVVEYLASVGGLGDPIEMKHYVRFSRLKFYNLFVKPYPECERIFGGLVRERKDEVRRQHPVGLWKLFKPTKHESTEENVKDMKLVVESLH >SECCE3Rv1G0185470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:674779954:674780958:1 gene:SECCE3Rv1G0185470 transcript:SECCE3Rv1G0185470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPMLAAAINNLPHLLDNPDLVQRVLDGARADLHLNGLHLAEAAAQLRDCLRAEGQLRTLPEPTEEQRIACAMLVDAGRASYRFFLKQHWLLGQLVGSLFRSCLIDVCQVHFYRHGTCSADMMTRRSDILRNANS >SECCEUnv1G0567100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:411503657:411505087:1 gene:SECCEUnv1G0567100 transcript:SECCEUnv1G0567100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQATALLIPFGSYRLGVHGRGSDIDALVVGPSYISRDHDFFAVLGGVLAETEAVTELQPVPRAHVPVIKMRFRGVQVDLLYASVCLPVVPRDLDLRDRSVFRGMDLASARSLNGVRVADELLRLVPDAGAFRTTLRCIKHWAKARGVYSNVMGFLGGVGWAILVARVCQLYPNAVPSMLVPRFFKIFAQWKWPNPVLLRDIEHDDGGELALRLPVWDPRRNPRDKSHIMPVITPAYPCMNSCYNVSHATLRTITEQLQIGNGVCQEILKAGGWDALFQPFQFFKAYKSYLQVDVKVARGENDLREWKGWVESRLRQLVTRVEMATAGMLLCHPNPKAYAAKPHDLHCTSTFFVGLSKPQPEQQQQPQVPFDLRATTDGFKQEVYTYEFWRPGMELEVSHTRRKDLPSYVLDQILPAGHLKRKRAAEIGSSPPLSSASGEVKRVAAAGGTGSAPERKRQCCPSNILPSASVLGVV >SECCE2Rv1G0123850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828242489:828243073:1 gene:SECCE2Rv1G0123850 transcript:SECCE2Rv1G0123850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRNKVHEFEADVPAAELWGLYGTLRAAELLPELLPQVLAKIELVSGDGDVGTVLQLTFAPGIPGLVTYKEKFIKVDNENYIKEAQTIDGDILKLGFIYYMVRFEIIAKGPSSSVIRSTIVYEINDETHPGLEAMVSTGPLAATAAKFAGYLKEQKIAQSN >SECCEUnv1G0537520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69009193:69017034:-1 gene:SECCEUnv1G0537520 transcript:SECCEUnv1G0537520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLALLVGVVVGVVVASSLLLRWNEVRYVNGRRKEGDGRLPPGTMGWPLFGETTEFLKQGPAFMKQRRFRYGRLFRTHILGCPTVVCMDPDLNRRMLLQGEAGGMVPGYPQSMLDILGRNNIAAVHGPLHRAMRGAMLGLVRPAMLRQSLLPKIDAFVRDHLSGWAGTVVDVQAKTKEMALLSALRQIAGITAGPLSDALKTELCTLVLGTISLPINLPGASYYQGFQARKKLVSMLEEMIAERRSSGDDRDDMLNALLRSGDNGTREKLSDEQIIDLLIALIYSGYETMSTTSMMAVKYLSDHPRALEKLRREHLDIRKGKSPEDAISYEDCKSMAFTRAVIFETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPFMYPDPMTFNPWRWLEKNMESHPHFMLFGGGSRMCPGKEVGTAEIATFLHYFVTRYRWEEEGKNTILKFPRVEAPNGLHIRVEDY >SECCE7Rv1G0498360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:638860174:638862272:1 gene:SECCE7Rv1G0498360 transcript:SECCE7Rv1G0498360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNTPPVDYPSFKLVLVGDGGTGKTTFVKRHVTGEFEKKYEPTIGVEVRPLDFQTSHGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVSTWHRDICRVCENIPIVLCGNKVDVKNRQVKAKMVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLSGDMTLRFVEETALLPADVTVDLAAQQQIEAEIAAAAAMPLPDDDDENIMD >SECCE7Rv1G0496250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:610308345:610308932:-1 gene:SECCE7Rv1G0496250 transcript:SECCE7Rv1G0496250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPPRLPLVEQSTKTLPPLGKKTKGRQRRENRRVEKKESRQVTFSKRKSGLWKKAAELALLCRASLAIVVFSEAGKAFALGSPSTDAVLGCADVDGDSLAPVPAADDVEWEALEALCRETKAMSVEVAAEVKRMNNVGKKVVEVQTQAGKRFWWEADVEALGEAELPIFARALQRLRDNVRRHADKMPSAPQPQ >SECCE3Rv1G0196520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:809278619:809283226:1 gene:SECCE3Rv1G0196520 transcript:SECCE3Rv1G0196520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPGRRKSSLAGAGGGAGAGPFDSLGAKQSASRKGGRLPVYVAGVFFVIFVIIMYGEDIRSVTLEPLARGAGPVRQQAVVASDAGRAAATPRRDVLSSSTEKTVNALPSPERPVPVALPRDDEREKPGHAPATETAPAQVIRKDAAAKVKKPKKAKKPRRLRPAKKTVAAGVLGSPETCDLSRGEWVFDNASYPLYREEECSFLTSQVTCMKNGRRDDNYQKWRWQPKDCDMPRFDAKLFIERLRNKRFMFVGDSLNRNQWESMVCLVQSAVSPDKKYVTWEDQRVVFHAWEFNATVEFYWSPFLVESNSDDPKIHSIQTRIIDAESIAAHAENWRNADYLVFNTYIWWMNTLNMKLKRPGGQDWEDHDEVVRIEAYRKVLGTWAGWVNDNIDPARTSVFFMSMSPLHLSPQVWGNPNGIRCAKETMPLVNWRGPIWLGTDWDMFKVASNITRAAAPRVPITFVDITTMSERRKDGHTSVHTIRQGQILTPEQQADPGTYADCIHWCLPGVPDIWNSLLYTRIMSRPEAGTAALPAR >SECCE7Rv1G0484170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:341014807:341015133:1 gene:SECCE7Rv1G0484170 transcript:SECCE7Rv1G0484170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERPVSMMPGLADGFFTEADLAAADQLVQLSVSGGAEATSPTSSSRSVQSVNNTEAAAMKGGCDDGAPWLDRRARKRYRRVAELYHATRPLKRTDAGGKRRITGNR >SECCE3Rv1G0179850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:553120209:553123365:1 gene:SECCE3Rv1G0179850 transcript:SECCE3Rv1G0179850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GAMETE EXPRESSED 3 [Source:Projected from Arabidopsis thaliana (AT5G16020) UniProtKB/Swiss-Prot;Acc:Q9LFS2] MAMRLLPRLCLLLWSLIGAGRSSSSLAIQRLNASAAENPHIPAGVGRALSVPLIGHGGRLVACSGKNLLAFEPNGSLAWIVPLGYNCRQDIGLVAERDKIYLVAEDKVIKVTPQNLHTSAPTSQVFFSHGSTPGRSEEIIGLSTSSSYASLFITIMNRGLFSFSLRDGRLQWSAGPVVDRFGYRLGCKGNISGCYFNSSPIVDQCEGTLYISNTQGELYSMYIHSRQYRWIQDLSSIDKVVTIAPGNNGRLYIVLPRKSTVMGLDVLSGNISWQQSVGPLSNEKVLPAVDSNGWISIGSLDGILYSVSPDGDIRKFFQRTAPNSVIHSSPVLDCSGFSVYISQTIMEAKSSQTISDYTYVSAMKPSSILFTLLAPATGTVYWTEKYPGELSNLLSSSDLDYFTLDETILLTALSSARIGNTVQCYTRRQKIAWTCRKAKPKFVHGDPGGHNHVLLLFFFQLIVIVVQAVIVRFCCIFWRKKKLQNNGLQKFLEKRRSLHSKRRVLGKIISELEQKAVEDASSNETLEQLGEMVKAKDGVERKLYTSYSLGRDVLGLRQGSSILPLYNGKHKSHSFHGAKRESITVFNTLSESSTSEDITSSSYSSDSGSCSSGSSFEEMKLDTRSKSEEEAGSSEDIAKEAQEKLPVEVASSYQAFMNPLYVQGESSSKSPPHREEFPMETMQHDRAPTKRMWLKRRRSLSSTN >SECCE6Rv1G0426250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712377169:712378886:1 gene:SECCE6Rv1G0426250 transcript:SECCE6Rv1G0426250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYDRAAELRALDATLSGVRGLVASGATHVPRIFRVPDHHREPSSQELPPQSMSVPVIDLGGTDRAATVAAVRRAAAEWGFFQVTGHGVPPQSMAAAVGASPVANWRDTLYIRMAPDPPDADELPETCRDTMFEYAKQVMNLGNALFELLSKALGLNPSYLTDIDCNQGQILLCHYFAPCPQPELAIGTSRHSDSTFMTILLQDEIGGLQILHEDRWVDVTPTPGAFIVNIGDLLQMISNDAFISVEHRVVVKNIAPRVSIACFFSSHFHPASTRMYGPIKELLSDDNPPLYRETLIRDYVKHYNAIGLDAKNAMSDFRL >SECCE7Rv1G0500500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:668286919:668289753:1 gene:SECCE7Rv1G0500500 transcript:SECCE7Rv1G0500500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVGDDGHGAAAGETAIPSVILNSGHAMPMLGFGTGSPSKPADLADTIVHAVRLGYRHLDTASMYRTEPTVGAAVAESVRAGAVRSRADLFVTSKLWISDARPGRVVPALRDSLARLGLAYLDLFLVHWPVAATTGGVPVDKGTLVEFDMEGVWRGMEECHRLGMARSVGVSNFSAAKMERLLALAAVPPAVNQVEMNVGWRQEKVRELCARHGVVVAAYSPLGAYGAFWGSDAVMESGVLHDVAAAKGKTVAQVALRWLYEQGVCFVARSYNNERLKQNMEIFDWELSEEENGMIATMPQRRASLGKRFMSPDGPYKSPEELWDGEL >SECCEUnv1G0528130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3252757:3253919:1 gene:SECCEUnv1G0528130 transcript:SECCEUnv1G0528130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISSRSQADSPGTTVAPDAAPKGGTPASPEANNSSSKDKKEPQAKREIHNFNEIVGWDQNITKDQACTDTGVLLGGTTKIKRFWVDKRKTNCFMLFPRGLSITWGDNSSYWTWPPQDEIDGAGGGAGIEMAELKNVCWLEIRSELELSHLTPRVTYEVIFEVMVRARSYGWHVKVDLRLKFPDGRIQERKESLEEKPRDEWLLLKVGDVETHKGQNGVLVISLSEYGSHWKKGLVVKGIMITPKE >SECCE2Rv1G0089970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:265021037:265029011:1 gene:SECCE2Rv1G0089970 transcript:SECCE2Rv1G0089970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCELTDPPFRDVSNLGTPKPIPKSPSPLFFTASKTPLPAPTPAPLARRRPLAGAATPTPIGRRKALAGAATLTPLARRLRALELDQSRSARRAESGRERALRAFAASASSWLSLLLRDSAACGCSPAAAATATRVSVAGKRDTLDGERARGSSPKRHRGRDRYGEKRKAMTPAMVAVLRESLREVCSLEDVKQRMGKYMSTEACEEVLVMMCQICKNIDERRLKMKANCPIVTDLRLKENATRVFLCYNPEWLRIGLHIVLGGDCLLQSGSWKQDKEAFFLKLLLEKQLFGQNVAPTTFAHNKVVEGLHRTDYTEALGDIILKRMFLLVASLDRAKMERALPLKFGIDSLDGGSPPLFCHRANIKSSQQIIHQSLAEAMHGEGDLLMHLSTMHYRLNYQQLALSEYDFTIRNLFEDLHDGIILCRVVQLLLSDASIILKVIAPSDTHKKKLHNCTTAIQYIKQAGVPISDADGLTISAEDIANGDKELILSLLWNMFIHMQLPLLVNKTSLARELSRLNAPAVEQPISVTKSHMGLLYDWIQVVCAKYGLHVESSQIDRKALNYFINHYLNISIHSCPLKETFSDCRKELFSCHEQETSTAITSCPSSKLGEVLGDFLQDFPASGILANDVLFDEKGAIILIAFLCSHLTNDRRLEQLRNLISTRLDCQSLENRVSARLKSPGKNDVKYQSPQTDNTDDSCASQEKAATIIQAQIRRIIAKNRYHKLRKSIFILQGAIRAWSFVIMIRKSSCLTDAFSTHVQAHGSYNRWLISILERHRFVRMRRSAIVIQRAVRIWIGERKRSENIEPFESHGFLETTALPRTDCIEMCDGEHETTPCKDLGTSIASAAPQCLDESNHIDTVTILQLRAKNSNYVISNYVTSPSPHQSIIKSAPVNSVSHHPCEIETASIASATKLACKDDVDCRSNISCGASFQREQPVSAQLDFSLRKDIDAVQKIQFAYRRFVHDRSERISAATKIQSHWRGFIMRMCFTKQVEAITVIQSVARHNLCSWAFQRHHNAALDIQRIARGRFARKRLLGSSLQTYTSLVSLDQSQHKRSHQSTELKIVLYSALRLQRWWRKVLLHQSIRLSAISIQSSVRGWLARKQVKRITCCIHVIQRWWRNIMFLESRKRAATTIQSHVRGWIARQSAIRKKKSIIIIQSYVKAYHARKASKQEVVDIRSRIQKASAQVDDDMRLINRLIAALSQITGCRSISSIQQACTTLSIATELSEKCCETLVDAGAVDILLKQISQLNRGIADQEVLKQVLYTLRNIARFPNVRPVLASNPQLVSTIFQELLRNKTDMFFIACGILKKLCESEEGRGLAGALGHHIRRLDSMVRGLEKKVELDKRNGRTGARKEDNLRRLGEAAALYHLLTNK >SECCE1Rv1G0023560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:307344166:307345539:1 gene:SECCE1Rv1G0023560 transcript:SECCE1Rv1G0023560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVSGASALVIMALGVVLLAAPSECARAFFVFGDSLVDNGNNNYLMTTARADSPPYGIDYPTHQATGRFSNGLNIPDIISEQLGAEPTLPYLSPELHGAKLLVGANFASAGVGILNDTGIQFVNIVRVSRQLQYFGEYQRKLRALVGASQATQIVNRALVLITLGGNDFVNNYYLIPFSLRSRQFSLPDYVRYLIAEYNKILMRLYEMGARRVLVTGTGPLGCAPAELALRSRDGECDKDLMRAAELFNPQLSQALEELNARYGDGTFIAANSFRVHFDFISDPAAYGFRTAKEACCGQGPHNGVGLCTAVSHLCADRDQYVFWDSYHPTERANRIIVSQFMTGSLDYVSPLNLSTALHIDASLMD >SECCE6Rv1G0438240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:788582055:788583546:1 gene:SECCE6Rv1G0438240 transcript:SECCE6Rv1G0438240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAAMAVSLPDDLLLEILVRIKDAEVLFRCATACKQWRRLVADLSFLRRRWPEDMCHSSSFVGFFTREDVHVGGQAGPFPVGEPCFVPTQRSALGPCCRTLSSFMTPAHAGLLKHAVPLVSRRGLVVMRLKARNTHGYADSTSLQLAVWNLLTGTCDMLPPLKSKSALSSYVRNGYAILTGVDCIPKDEPVLSSNSSFFEVVIIGFDHIDRKYNLHVFSSDKASWSLRTNCFDGTVQLDNRDIFSDATVCRGMAHWVVLHCSIEVCFYVINLNVRTMHFSMTKLPLEVNSHFLMCYPCLTLAANGKLSLLLVQRSGQGHQLVIWEQENQQNVGVTSDWLCTRTIELKQQKETQVSKPYVFKEKCGTLLISDNRESTYTVDLKTGKMEMVVAWPHGRSILPWSIMPLEIDWPAIFISRLTRDSLHAVPSGSDDVVPMRKRQRH >SECCEUnv1G0570300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:466210758:466211953:1 gene:SECCEUnv1G0570300 transcript:SECCEUnv1G0570300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPYLVLLLCLTTFLQAAANYPPPPPPPFELPESEVRERFSKWVTKYSKHYSCQQEEEMRFQVFKNNTNAIGQFDQQNPGTVVGRGFRPNAFQVGVSGGVRMNRFGDLSPREVIQQFTGLNTTSVNATSPTYLPYHSFKPCCVDWRSSGAVTGVKNQGTCGSCWAFAAVAAIEGMNKIRTGELVSLSEQVLVDCDTRSSGCGGGHSDSAMALVATGGGITSEERYPYAGFQGKCDVDKLLFDHQASVKGFKAVPPNNEGQLAIAVAMQPVTVYIDASGFEFQFYSGGIYRGPCSANVNHAVTIVGYCEGPGEGNKYWIAKNSWSNDWGEQGYVYLAKDVPSSTGTCGLATSPFYPTV >SECCE1Rv1G0048830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637497086:637499173:-1 gene:SECCE1Rv1G0048830 transcript:SECCE1Rv1G0048830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLQETKEKARPANRTALPKDQLLLHRDIWGHIHSLMPLRDAARAACVSRAFRFSWACYPNLTFSEETLGLDGQVHENAETARAFTTKVDHILENHSGTGIKTLELLAAPNYNAKDSCYLDKWLQKAITPVLEELVLYLSPVNNTYEFPCVLLSHGNAASIRCLCLSNCTFAPTVSFGCSRSLAVLNLFGVRITGDELGSLLSSSLTLERLQLRHCDEIRCLMVPCLLLRLNYLEVFLCKKLRVMESRAPNLTNFTLQSYSQVQLSHLASSQMKSVCMGSSGPGVIGYIRTKLASSVRNLQSLVIYSPKEVWQLLMEHVSVSTDPSEPREMPGHKHDKLKNVSIHGFSSTKSLIELTCHIVQIATSLESLVLDTREGLALPDCSVNNIGKCLSMPRKIILEARRALVAIQTYVKPKVPSTVQFHILEPCSQCHVVDDH >SECCEUnv1G0530960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:15212442:15213644:-1 gene:SECCEUnv1G0530960 transcript:SECCEUnv1G0530960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPPAAFHVTVFKYTPVPLPERDWAELHPDLISRILRRLDQAELLLGGVTGVCRSWRRVAREEPELWRRIDLSGGLWYAPGSYPPMFNLETRSMLRKALRLSAGQCEALVCEHVDDDTLLFLAQRARSLKSLHLIVSQISDKGFAKAIKMLPLLEELEISLLSKTYTLKLVEIAARACPLLKHFRLITGRYYENGNEVAFAVARMNKLRSLHLVGFILGKEGLTAILQNCHDLTYLNMRDCGSPMDYNLRARFPRITFDDHEYWSDYYNDTHYAYYRFKPTLCDCCDHVPSSKYDDDDYEAYHYNLGHGDGDDLDDADLDKHEKILDIKSMRRYLSRSR >SECCE2Rv1G0066660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:14976546:14977293:1 gene:SECCE2Rv1G0066660 transcript:SECCE2Rv1G0066660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTRALVLCVLLAIAVANAEAASVVVGMAKCADCTRKNMKAEEAFKGLQVAIKCKNNAGDYESKAVGGLDGTGAFSVPLAADLHGADCVAQLHSAASNAPCPGQEPSKIVPVSEGTTFGVVAGENTATPSSASPECASMTLCGPIKKHIMEHFHHKKPVPPKPEPKPQPHPDYGPVPKPEPKPQPHPDYHPVPPTPTYGGGGGGGGYHGHH >SECCEUnv1G0538230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:71713412:71714710:-1 gene:SECCEUnv1G0538230 transcript:SECCEUnv1G0538230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHGKGKRRRLDGGGTRSSDELEEQSAGNQQQIRSRSIYFVVNVLIGGNRALAHLYKVDHPYPCSPTPSRLHPVATMDATAGITYVPLHTRSRTRTRRWIVAISARRTTVFDADTEELIPGPDLLSKKLSPALVSVEDKIYALSTFPHIKGERDLEPWLEVLDLSTARVVDGRLQGCSWEELPSPPCFPSPSRLTPHELRYPPMVTVHSYVLVGSYLLMSLKSPTCRATYAFHTVSAKWQTVDDRNSLPFVGRAIPHDAAAGVYLGESSGDKRRWSLPRQEQPAVLIAYRIKLLPAASLLSGNDPAIKLSVTGVPVKSSSETITDDNADGAVKGIFCFSLHNGGSFCSLNWRSRKRIQRSRDYDLLSDEIYLPNKAYITLKTYQMEDEDSSVLQQDKATHKIAIPEQPAEHEFKIRTKVGGLVYPDYLTVL >SECCE5Rv1G0341070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:620627988:620628885:-1 gene:SECCE5Rv1G0341070 transcript:SECCE5Rv1G0341070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEARKQSGVGWDDKRCMIEADTDLWDNLLISYPNIGKFKKKFFPHFDLLGELYDGHTAEGTYNFTSIEQPSQIGEGFEDEREAEEVKETDDLEMMNQVQNDDDDLQILDQMDATHRKEDVDPIEQGGRTMAGSGKMPQKKPKKEKPKNSGDVIAGALEKYIELKKRQVDDEATYLANERAEATKLDDFSITKCMDVRKTMEDVTRAEKIKAFNVFKDASNREIFINAADDDKETAVMWLQSQMFP >SECCE5Rv1G0333650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:559925147:559929207:1 gene:SECCE5Rv1G0333650 transcript:SECCE5Rv1G0333650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATHTGVAASKVLILVGAGLTGSIVLRNGRLSDVLGELQELMKGVNQGEGSSAYDMALIQAQIRHLAQEVRDLTLSKPITILSGTSDSGGSLSSYILPAAAVGALGYCYMWCKGWSLSDVMFVTKRNMASAVDSMSKQLEQVLSALAATKRHLTKRLENLDGKMDEQVEVSKQIRNEVVDVKTDLSQIGFDVEAIQQMVAGLEEKIELLDNKQDAANAGIWYLCRMAGGIKEGINAKFFQEADEKLKLLDLAQTEKKPVKGLELFLESTKEVKALDSKPKTIVQNDAKKPTKTFDVPIKSAAVHRSNRFLIRKEGLAL >SECCE2Rv1G0140880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:933229505:933231006:-1 gene:SECCE2Rv1G0140880 transcript:SECCE2Rv1G0140880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVDDDGTRRKTACVTGGNGYIASALIKMLLEKGYAVKTTVRKPNDMAKNSHLKDLQALGPLQVFRADLDEEGSFDDAIAGCNYAFLVAAPANLDPQNPEKDLIEPAVQGTLNVLRSCHRAGTVKRVVLTSSAAAVTARLVEGDGHVLDEESWSDVEFLRSTKIPHWAYSVSKVLAEKAACAFAQEHGISLVTVCPVLTVGVAPAPGVNTSVAVLLSLLSGDDAMASILGHIEMSSGSISVVDVDDLCRTEIFLAEKDTASGRYICCSLNTTAVALARFLALKYPQYNVKTDCFGGLPEKPKVCISSAKLVGEGFRFKQDTLDKIYDGAVEYGRALGILPY >SECCE1Rv1G0055250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678915687:678917444:1 gene:SECCE1Rv1G0055250 transcript:SECCE1Rv1G0055250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNRHISAELEMNPELETIIIVLNKSYDGLPYHLKVCFLYLSIFPEDHKASRRRLVRRWTAEGYSREFRGKSAEEIADNYFMDLISRSMILPFQQSIHNRKGVDSCQVHDLIREISISNSTEEKLVFRLEEGCSLNNQGTIRHLAINSNWEGDESEFENIVDLSRVRSVTVFGQWRTFFISDKMRLLRVLDMEDAFGVVDHHLEHIGKLIHLKYLSLRGCDGISHLPDSVGNLRQLETLDIRGTRIIKLPKSIINLQKLNCLRAGRKPMDENDFRGGIPAACLLPVLSVMLCIYWCAPQGSSIDGMNRCDICTFFCRGLLPVAAMHLDRYGVLLPRGMNKLKALHTLGVVNIARGKVMLQDIRKLNRLRKLGVTGIDKKNGEQFCSAIASLGHLESLSIRAEGEQGLCDCLDEMSSPPKNMQSLKLYGNLPKLPEWIAGLKNLVKLKLRSTRILDCKAAIQALGKIPNLTILCLCNEAFEGEEVHVKFPLEAFTSLVVLELESLSKLKSVEFEQGATPKLELLQFSGTSDIKLFSGLPSLPSLKEVHLGSDNVGDCNVKYGKVFVEHLRTELGDSPNRPVLKRH >SECCE6Rv1G0388100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:129305112:129311141:-1 gene:SECCE6Rv1G0388100 transcript:SECCE6Rv1G0388100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRUBBELIG-RECEPTOR FAMILY 2 [Source:Projected from Arabidopsis thaliana (AT5G06820) UniProtKB/Swiss-Prot;Acc:Q9FG24] MRGARGVGVAAARHLLAAVVLWAAFSSAASFTDPSDAIGIWALYRTLESPWQLSGWTSMGGDPCGGDGEHGSWHGVFCKDSCVVAINISGLGVGGWLGPELLKLHSLKELDVSFNNIAGEIPPTLPPNVEYLNLAANKFEGNIPPSLPYLHSLKYLNLSYNKLSGIVGDVFVNMESLVTMDLSFNSFDGDLPRSFSSLNNLHYLYLQHNEFTGSVILLADLPLVALNIENNHFSGYVPGTFEFISELRIDGNHFQPGFKPSSSSFSRTIHSPPPPHQPRPPSPPPPPPSPAVKQNSKRGPKPLQPFVSSASLQSPSHHRKSHSRVTAAAIASVVCTMFALFIVGLVLKSRKGGTCGPKSTANNIKSLPANLEGVPKANEGLNSWSSLMVGHDTSSSNNDSIKPGRVPQRKSCAKTSKNLAPAKQFLAVDIMAATRNFNEECLIGEGFTGRVYRGDFPDGQLLAIKKINMINLSLSEQDELMDILGNMSRLKHPNISSLVGYCVEFGHCVLLYEYAENGSLEDLLFSAATRSRALSWKARMKIALGVTYALEYIHLMCSPPFAHGNIKATNILLDAQLMPYLSHCGLAKFSHFVSATRMDSEALSGAKGYAAPEANGSGTDIIKADIYSFGVILLVLLTGQKAFDSSRGPNDQFLVDWASPHLNDLNSLGRITDPRIRGSMPPKAISALGNVILLCIKQSPDSRPPMKVITDKLVKLVQSNTVHKLEADAQDPSFITTRPYFEPSSTVSQGVTESCISR >SECCE1Rv1G0039770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:552862986:552864134:1 gene:SECCE1Rv1G0039770 transcript:SECCE1Rv1G0039770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDTHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEIKRELYEFCLDQGYADKNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREETVIECVHCGCKGCASGD >SECCE1Rv1G0036210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:507137242:507139113:-1 gene:SECCE1Rv1G0036210 transcript:SECCE1Rv1G0036210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLALLLLSLLHLLGPVLAESPDRYAVRYAPPGANGNAAAQEYVDPTYPLPGPPPAAPTCTVPVLSYSFANTYGAPPAKAAYAPPPGCPAPWSQVVLSFSAACAGDQYDRVAAVWLDGSELLRTTTAEPTPEGVSWTVRKEVTRYSALLRSPPHGVLSVMLENLVNDQYTGVYNVTVSLEFHGTPAYLFGVNPPSSDVGVANPSPATPTLPESYFQPADLILPISEATSDGGYWFRIQNSSYSRSKLVTIPSSTYRAVLEVFVSPHSNDEFWYSNPPDLYIQENNLTTQRGNAAYREVVVSVDHHFAGSFVPFPVIYTGGINPLYWQPVSALGAFDLPTYDIELTPFLGLLVDGKAHEFGISVVDGIAEWLVDANLHLWLDPSASAVQASLGRYRTPRLSISRRYTTQLLNGSFSIRAKRKSFFSGWVKSSLGNLTTEVETGLEARSLVEFTKDGRNKTVQMKAEQETEVLVRSEARKVIGKLKTESEYPLSFYMDTEDGEDGTSVVTGSLSHTLKLETEVKSDGFENEAKLVDEQTAEGWMVVKDHDVINGSAATSQMYRYSDDRWRYQRVIDAVDGLVLGDNVSESYRVQDAAKAKACLPGRSCDGTASADERWVGIAAM >SECCE2Rv1G0143280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:945732214:945734160:-1 gene:SECCE2Rv1G0143280 transcript:SECCE2Rv1G0143280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPRLPPGYRFHPTDVELTLYYLKRKLLGKKLLCNAVAEVDIYKHAPWDLPAKSSMPTGDLQWYFFCTRGRKYSVGQRANRSTQCGYWKATGKDRQVEYENRIVGMKRTLVFHAGKAPKGTRTDWVMYEYRLVQGEIPDAGVSLDDSVLCKVHKKSGPGPKIGEQYGAPFEEQEEEVNDANGDASCLSPSAPPAAPDSAPGPSHGGVLKSVGQQLASSSLSPTNNSESAASGARPERACRPDVNWDSIHIHELADIIGRLSTNPVGQDGPSSDLTTANQDTEAVFDDSETIFEITEQVVLSSLGSSLCKQCDKCGVRVVDPLLEPAAGEPYVELNDLLSRCRAAGHVADGSSSQAQAAVVVSNGEGPLLDLELKLGVESCDSVGHSSGAVSAAASGSGAPSS >SECCE2Rv1G0105760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:624907990:624909318:1 gene:SECCE2Rv1G0105760 transcript:SECCE2Rv1G0105760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDFDDVFRHFRLNPTEVEAVTYYLPRLLSGETLHPADKLIHRVEISGCDPKDLAARYAPVPRAVSSGDRFFFTTCKSKNGSKLQSVRGTGGGTWTIQKTTEISHAGAKIGEVKNLSFKTKGKSTGWVMEEYRCLLPEATVSDGVKVFCKMHLAQHAPDAARQESAAYPRQQAQPEAVTPSTDAQKRPAPAAAADPHPPRPKKRMRGAVPVPVPASATPSFLMYDEAANFPVQDACESTTTSSRSDVAQAPEISSQSDVLESTQSVVPESVKLYSQQQIVPEAGSSIARSTSQEDVFEPLEPISNLPDWEVDIEELMRMMEEDPTEAEPVTGANTSVEMGQHEPLYLDALDQGVLEGMLQSDYPAVHDADKEKRYNATSELDAPSLQGQDHLLKPRSCSFDPFEAAWNDEEALENERRYNAAANLHAGGHSNFFSPASVY >SECCE7Rv1G0482170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:301891820:301898129:-1 gene:SECCE7Rv1G0482170 transcript:SECCE7Rv1G0482170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRGSLDGRLFWVLGLVCAMYQIFFVRSAAGQTAQLSVDASPQNTQMIPENMFGIFFEEINHAGAGGLWSELVNNRGFEAGGPNTPSNIDPWLIIGDESNIIVATERSSCFATNPIALRMEVLCQSSGNDVCPPGGVGIYNPGFWGMNIEEAKVYKVSMYIRSSDSMDLTVSLTSSDGLQNLAAYTITADKEDFKEWTKVEFDLQSSERNSNSRLQLTTRKSGIVWFDQVSLMPSDTYMGHGYRKDLASMLANLKPKFLKFPGGNYVMGNYLLNAFRWSETVGPWEERPGHFNDVWGYWTDDGLGLFEFLQLAEDLGACPVWVVNDGASRNEQVPSATIAAFVKDVVDGIEFARGDPGTSWGSVRAAMGHPEPFQLNYISLGNQECSMHYYKENYRKFYSAIKASYPDIKIISSCDRSTISPVEPADLYDVHVYTSSGDMFSKSSMFDSTPRGGPKAIVSEYAVTGNDAGRGTLVAALAEAAFLIGLERNSDAVEMASCAPLFVNDNDRRWSPDAIVFNSWQHYGCPNYWMLHFFKESSGAALHPSTIQVSNYNQLVASAITWQNSKDGNTYLKIKVLNFGNKAVDLSISITGLENEIQTFGSIKTVLTSGSLRDENSFQQPDKVVPVESPITNAREEMSLVLDPYSLTSFDLLLDPSNSTMMHSVPESSLHSSM >SECCE2Rv1G0118900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:786484873:786485505:-1 gene:SECCE2Rv1G0118900 transcript:SECCE2Rv1G0118900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNALPVAVAAAADAGMEPRFRGVRKRPWGRYAAEIRDPARKARVWLGTFDTAEAAARAYDAAALHYRGPKAKTNFPVGTVAAFAHVPLPPPKALAVSPSSSTVESSSRDTPAAAPAAAPPALDLSLAMPAMVAAQPFLFLDPRVAVTVAVAAPAPVPCRSAAISGMNKVASREEEQSDTGSSSSVVDASPAVGVGFDLNLPPPVEMA >SECCE5Rv1G0364160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:798791732:798793152:1 gene:SECCE5Rv1G0364160 transcript:SECCE5Rv1G0364160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVKVPLLEGRGATPAQTLGNIVVSIVGTGVLGLPYAFRTAGWLAGALGVAGAGAATFYCMLLLLDSRDKLREQETEEDGLGDEQHRHGDGGNYTYGDLGERCFGSVGRHFTEAIIVLCQTGGTVAYLVFIGQNISSVLPALSSATVVLALLLPVEVALSFVRSLSALAPFSILADACTVLAVAAVVKEDVQLLVERGQPFAGRSAFAGLWGVPFACGVAVFCFEGFCLTLALEASMSNQAKFRPVLLQAIAGVTVVYVGFGVCGYLAYGDATRDIVTLNLPSNWSTAAVKVVLCVALALTFAVMMHPIHEIVESRLLAPGGWVRRRGGFVERTALHLSRVAVVAALAAIACFVPAFGEFAAFVGSTVCALLSFVLPALFHLRVVGPTASMWARAVDYFFLLSGLVFAGHGMYTVLSPQ >SECCE7Rv1G0495530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:598835340:598842417:-1 gene:SECCE7Rv1G0495530 transcript:SECCE7Rv1G0495530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASPPSLHLALLLFAAHAAIGGASGAVGVSGGGQLWCVAKNNAEDGALQSAIDWACGPNGGADCRAIQQGGACYEPPDLLAHASYAFNDYFLRSGGAASPAACDFSGAAALIGLNPSHGNCVFPSSSSPRNGSFTGITTYGRTGADLSQSSSRRLNTRSFILCISLSVTFFVASPF >SECCE6Rv1G0414740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:636492310:636498249:1 gene:SECCE6Rv1G0414740 transcript:SECCE6Rv1G0414740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFPVLAYSAFFFLVLAVFRRICRAPAPVITQPIILISDAAVTRRALVENADAFSNRPVFIFRVPLVTGHRRRRSDNITSVPYGPLWRTLRCNLAAETIHPTRLASHAPLRRAAIDTIVASVDSAASKGRAVAVRDGLYASVFSMLARMCFGDGLVDEAGVRAMQRELQQFVLAAVGSTSTCGSKLVDYWRRRRSDLIALRHRLAELFLPLIKEARSCDGHARSYVDSLIHLRVPDDDDDNDEHLRRRALTEDEMVSLVLEFLGAGTETVVACVEWTLAHLVTRPEIQNTLRREAIINIKYDSEMFHRGMAPYLHAVVLESLCMHPPAPFVVRDVRAEGAVPKRESITSGALQTAMPGSGLRVHFVLGDIGRDPKTWTDPDEFRPERFLAGGEGETVGPLPGPKEIKMMPFGAGRRYCPGMALGMLNVKCLLAALVREFEWSKGSSGVDLTELDGFFKVMKKPLRARVTRRKCMQQP >SECCE3Rv1G0178640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:509892995:509909563:-1 gene:SECCE3Rv1G0178640 transcript:SECCE3Rv1G0178640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSYAAVKCLNTSSSSNKRFVFKTFSERVGDIVIDVYRSIDKVKAEPSSGSSFFLDALVEWRELNTAEDFISFYDEMIPLVQTLPQIVLHREKIFSGLLQRVNMAARLSLEPIFMLIAEFARDILEEFLPFLKRHSSAILALLSDGGDRDPEILEQVFTSWSRIMMYLQKYLVKDVVQILRITSPLRFFPKDYVREFMAESVSFLLRNARNDQLTQGLRKALFEAAKRSSPIRIDGVTALLWHVMRGTYTKLHSRAGNVMEFLLSKSILTTIDNKFPDGSSTTREVITGLIHRLCNEVDQKELALIYTCLFKEINSCIEDDYLGHLKHLIDFLTFALENSKQSDMLDKANVLELVKLLIHKYVKPGSSTEEASSSEFLGSILDFLLCVLDVPVISCNLSIIYAPVFELTNLSAVAFIKKLFTKGPQIIQAFESQILSAMDNFLETSPEEVLFILLHLFKKATDGVTPHDIDGSHLDREKKVYKFCDSNVRLWIELVDDIVKTGNHWSNLISVKEAAILWGSVRCYSNTKDAPQDSLAMLNKFICSLDLLLEVEEDSISGLPKNTWRSLLGAALLSYHELLLVNVNKKSELSFFLSLAKRHSTCPQVLSAVAEYLDSLHGATSLGMTEEFDPQNLLNSFCIFGASLSSPNKNVRVLTLRILSYYAKMDQRLGLDDERPHKRRKAEDSAEETLEPKYTNVVDTLLAVESTPVSVSTSRKIAIFVSRIQMSLSSNTVHDDYIPLLLHGIIGILYNRFSDLWPPALDCLAVLVSKYKELVWSQFIQFITVYQSKGLTVRNQEKLQTVSHPESIIDCFSLYLATDFDYTPLETIATLLLQALQKIPDVAESCSRHVVPLFLNFMGYTDGSITSVDSYMSDKCKGKQWKIILKEWLNLLRAMRNAGSLSQSKIIQEILTERVLDESDPDIQAKALDCILNWKDEFLIPYSQNLKNLIGLKTLREEITTWAVSHDSLSIQKCHRSRVVPLVIRVLTPKVRKLKLLGSRKHTGVSHRKAILRFLLQFDAKELQLFFSLLLKSLIPGSLQLEMFSCQSGNLLESISDVVGTSPSICLESLTWKRANGFLHLVEEIFSTFGMTHIGPFLDALLIIVVRLLESCMRNLGSSSDEKGPCKQSNHPDNGCSNDQEADNSIDLNECPNKMTVADDTEASASVKQLKDLRSLSIRIVSLALGQYESHHFGEYFWSTFFASVKPLIDCFRQEAASSEKPSSLFSCFMVMSQSPKLASLLGTNNLVPAIFSILTVRTASESITSYALEFIENLLRLDNDLEQQEDHSVKKILAQHMDVLLNSLHDFVNYRKELHRRSGRWLGQRELRLFKLLLNYITDPSAAEHVVDLVLPFFSKKDLNSDECLEALHVVRGLIQNLRHGACVKIVNALNPLLATVGLEQRLCICDIYDGLSLHESSMSSLARLLRDLNAVSTSELGELDYDMRIRAYDTVQPQLFHGMQEEHIGAILSHCVYDMSSDELIFRQSASRALQSFLGFSASVMNSDTGGSVETVTVKPGDNSSRNICMKGRIQQILERTYLHNMGAAMSKDISVQKEWIILLREMVYNFDHVPSLNSFGSLCKDDLDEDFFHNIIHLQAGKRSKALSLFRQAMKDTNFSEDVTMKVFVPLFFNMFFDVKAGKGEHVRDVCLDTLSSVAAKVQWEHYRTILMRCFRELSLKPDKQKVILRLICAVLDVFHFMKPATDISSNSDGMIGDSHSSITFSSTIVSLEKQQYLRKVVFPQVHKLLGADPEKVNVSINLVALKILKLLPVDYFESQLSSIIHRICNFLKNRLESVRDEARSALAASLKELGIGYLQFVVKILRAILKRGYELHVLGYTLHFLLSKTVTAEMNGSLDYCLQDLLSVVESDILGDIAEQKEVEKIASKMKETKKRMSFETLKLIAQSITFRTHSLKLILPISAHLRKHLTPKLRTKLEAMLHSIALGVECNPSTETSNLFIFVYGLVEDTIEGNESQRKKNMESGSGQKNILRMNFLELGESGLHNSYIFTRFALSLLRNRLKSIKLHKEDEQLLSMLDPFVNLLGKCLSSKYESVLSVTFRCLAMLVKLPLPSLRDNANSIKSVLMEIAQRTGNSNGHLVTSCLKLLAHLLRGFRISLSDDQLQMLVRFPIFVDLQTNPSPVALSLLKAIVKRKLVSPEIYDIVVGIGEVMVTTQTESIRQQCMQILLQFFLNYPLSGKRLQQHIDFFLTNLSYEHASGREAVLQMLHDILTRFPQRIIDDQGQTFFLHLVVALANEQHQKVSSMIVVAIKKLLARIGDQGKNSIFEYSLSWYTGEKQNLWSASAQVIGLLVGNRSLGIGKHLESILAVAKRIMESSCTASGGVQLDLTGETGLPFWKEAYYSILMMDNLLEHFPELYFKQNTEAIWMTICKLLIHPHSKLRYYSSVLVFKYFASVEQRKKEKLDVTSSFLVQPSRLFLIATAFLKQLRMELSDTGENKKIVHNLAYSICNLHVLVKQTTSSHQFWSSLGSRDHGAFLEGFELLGSRKAKNTFLLCTASCTDVDGSGLDSSEELASFFVSSLLKKMGKIAMQMEDTHMKIVFSCFSTISPKLSTEAEFSTYAVHMLAPLYKVAEGFAGKVISDEVNQSAEVTRDKLRDLIGVEKFVEIYNSVRKDLKAKRESRKQAEKLVAAVDPARHAKRKLRMSAKHREHKKRKITAMKMGRWLR >SECCE4Rv1G0215620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:5564953:5566695:1 gene:SECCE4Rv1G0215620 transcript:SECCE4Rv1G0215620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRGRRTRNAAVPTACLLLLLTLSPSAGHHAVPTLRHVVHRAALSSPFFARPRGDCQDLQALDGAGARCAYLLAHTPCAPAGYVDYLRLFYCGFADAGHPAAGYAAFLLWLVVLFYLLGDTASEYFCASLEGLSAALRLPPAVAGVTLLSLGNGAPDVFASVVSFAAGDGGDGGGVGLNSALGGALFVSTVVAGVVALAAAGRGRGGAVVELRGFLRDLCFLFFALCYLVGVLVSGTVNVWVAASFVSIYVAYVVLVWTSQCCAKPGKPPHGDLAAPLLLGEEEDDDDVPPLPSYSSSKTAPGSTTATACLHYLVCALRMPLYLPRRLTIPDIAAHRWSKPCAVASAALAPVLLATTWTSHSPAATSSSRQHHTSEDGHAILLSGAVLGLLLAGLAAATTDAASPPRGRRRRVPWLAAGFLMSVLWAYTLARELVALLVAIGYMVGVKASVLGVTVLAWGDSLGDLVSNVAMATHGGPGGAQTAVSACYAGPLFNTVVGLGLSLTLAAGAQYPAPFTLPADSAVYETVGFLCAGLAWALVVVPARGMRLDRVYGVGLIAIYLAFFGVRVLDSLGLWFG >SECCE5Rv1G0338690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:602263238:602264796:1 gene:SECCE5Rv1G0338690 transcript:SECCE5Rv1G0338690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSEESGVTTEYNSDYIYDSLRSLQKLRDDTLHKERVLQERSAQCDLDIQTILSEGMSAKVVSIVDKYVKTGSNLTEVANSSCSGDGGQSITKRKKLREALLRNCEELDEICREANWIFPRYTVVPSVSDGMFHASVRLRCPYFEMTITGGPRPNPREARCSAAANMILELHKKAEEEEQYNA >SECCE2Rv1G0086030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:201915044:201916318:1 gene:SECCE2Rv1G0086030 transcript:SECCE2Rv1G0086030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLVASRVRLTTPLLPVAAPAAAAAAGVSFHRRRFSAIVAAATAPAATGFDFNSYMGERAVAVNSALDAAVPAGEPPAALHDAMRYALLAGGKRVRPALCLAACVVSGGREAWAMAPAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVFGEPIAVLAGDALLALAFEHMASVDSYPPDVDPAKHTARVVRAIGELARCIGSEGLVAGQVVDLEMTGSTETVPLDRLEYIHLHKTAALLEASVVIGAIIGGGSEEQIERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEKLLSDAKEQLADFDKEKAAPLLYLANYIAYRQN >SECCE3Rv1G0190670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:742619430:742620668:1 gene:SECCE3Rv1G0190670 transcript:SECCE3Rv1G0190670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQPRMPPPAWSAIPLDLASLVLRLLPAYADRARFAAVCPQWRDAAQQFLRLHPALPLLALPNGTFHSLPYGKPFRFPGFGFAGYQGVCGSWLVFPRDDECLLHDPFARATVRLPPLSRVRLRPPNAVQKWTNWLDSERSPDPYTTWMHIEDMEGKLHMNKLILCSPNLVAALVGVGRPSHLPICHPVGPSQILMCQPGASSWSVRAYDQCKLYEDMAFYQGKLYVLANDEDLFVVNISQDQSTGDPQVYRVGRVIKGDPWHRGASEDNGTLGKKLYLVESRGRLLMIHRMIWVVGLEEGHLTYGNQFEVFEADFEHSRWVEVTTVGDDQVLFLGRRCSRAVSVSQYGLPGDCIFFLDDDEVNRVKYGYDENTFCRAYNMKDRHVFFPHPVISWKRSNEMCLAAWLFPQD >SECCE5Rv1G0331600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:539964372:539967037:1 gene:SECCE5Rv1G0331600 transcript:SECCE5Rv1G0331600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKHILCRQPPTYGNLITILSIDGGGIRGIIPAVALALLESELQKLDGEEARLADYFDVIAGTSTGGLVTAMLTAPNKKRRPLFAAKDIQAFYMDHAPRIFPQLRGAFGRIMRILRSLSGPCYDGKYLHEVVRKKLGSARLHQTLTNVVIPTFDIKRLQPTIFSSYEVKKKKNTMNALLSDICISTSAAPTYLPAHYFKTEDSHGNIKEFNLIDGGVAANNPALVAIGEVSKQIFKQDPDFFPIKPMDYGRFLVISLGTGSSKFEEKYDAQKAKSWGVLDWLLSSGSTPLVDIFTRASADMVDIHIASVFKALHSEQNYLRIQDDTLRGTLSSVDVATKDNLEKLVNVGEMLLKKPVSRANLETGQMVPACSDTEETNEEALKRFAKMLSDEKQIRQARSPK >SECCE2Rv1G0123440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:823777449:823777772:1 gene:SECCE2Rv1G0123440 transcript:SECCE2Rv1G0123440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAKVKAKDMVSSAKEKAKQGSAQVQGKAVATTHGEKEMVKEEEHAHKAQADAQKHQEKAEHRADAATGRHGTAGTRGHYGPVGTPVAPDPAYPASSWHPAAEKYI >SECCE4Rv1G0240220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:441613811:441622054:1 gene:SECCE4Rv1G0240220 transcript:SECCE4Rv1G0240220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLRRLAGASASPSAAALLLRPALTRPISTGFREERDTFGPIRVPNDKLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAEEVAEGKLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGDKFVHPNDHVNRSQSSNDTFPTVMHIAAAVEINSRFIPSLEQLHKSLHSKSDEFKDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRIACTLPRMYQLAQGGTAVGTGLNTKKGFDGKIAAAVAEETELPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTIGGSNGHFELNVYKPMIAAGLLRSLRLLGDASVSFEKNCVRGIEANHKRISQLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGTTLKEAALSLGVLTEKEFHELVVPEKMIGPSD >SECCE2Rv1G0079170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:115773829:115774038:1 gene:SECCE2Rv1G0079170 transcript:SECCE2Rv1G0079170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALTGEVKWFNESKGFGFITPDDGSKDVFVHFSAIVANGFKVLAEGQRVEFSIENGAKGPSAANVTAI >SECCE5Rv1G0310560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:171220161:171220805:1 gene:SECCE5Rv1G0310560 transcript:SECCE5Rv1G0310560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARRSEQSLAVAGSARAPGRPQRRRPHIPLYAELAALLPGDLSRANQVEILDAALEHLKVLADTAAVLEAYRTLQRDAGPVRPAAVEVASRQAVCIAVRLPPPVAARPGALTRLLEVFDRRGVEVLGITVTRDGRAATADVMVTAAAVAPEVVVLIKAEISGIK >SECCE5Rv1G0311070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:186085517:186088017:-1 gene:SECCE5Rv1G0311070 transcript:SECCE5Rv1G0311070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSPEPLDFFIWTVEDVGLWLEEINLGGYRQVFEENSVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPSCLSVVFVRAAKRNRQSRVVSLKLEP >SECCE7Rv1G0467160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:83747022:83750115:-1 gene:SECCE7Rv1G0467160 transcript:SECCE7Rv1G0467160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--glyoxylate aminotransferase 2 homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G39660) UniProtKB/Swiss-Prot;Acc:Q940M2] MAASLLRRAAAGRGRCPSPAESLRRLVSSEAAPEQALPRPLPEMPPFEHRPSPYAGMGGAEILEKRKKFLGPSLFYYYQKPLNIVEGKMQYLYDEQGKRYLDCFGGIVTVSCGHCHPDIVNAVQEQTKLLQHTTTIYLHHAIVEFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLGMIALRNGYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGAFGSDAAAYAREVEEHINFGSSGRVGGFIAETFQGVGGTVELAPGYLKSVYDTVRKAGGVCIADEVQSGFGRTGSNYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIASVMAQKIQFNTFGGNPVCSAGGLAVLKVLDKEKRQAHCADIGAHLVERLKSLQQKHEIIGDVRGRGLMLGVELVTDRTEKTPAKAETAVLFEKLKDLGVLVGKGGLHGNVFRIKPPMCFSKDDADFLVDAMDYAMSGF >SECCE3Rv1G0209550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:939361181:939361501:1 gene:SECCE3Rv1G0209550 transcript:SECCE3Rv1G0209550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSMKVFVVLLLLLVATEEQGGSVQVALARDCKSDSHKFHGACFSDTNCANVCETEGFTGGKCDGIHCHCTKNC >SECCE4Rv1G0252490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:614874947:614876086:1 gene:SECCE4Rv1G0252490 transcript:SECCE4Rv1G0252490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRKLFLSASYVPTPSLSPLLPLHRLLAATAPASPEPFAVEDYLVTTCGLSRPKARKASKKLTHLKSSSKIDAVLAFLAALGISPAGTAAIVAADPQFLCADVELNLAKRVAELTGLGLKRSQIARLIPLARATFRSSSLGPNLVFWLPVFGSFEKLLGAIKVNGGILGADLEKVAKPNLALLQQCGISIRDFSDTYLTRVLTRLPEYVQNAVLYIDQLGVPRDSPMFRYALLAFAFQSQEKIDRKMGILEKLGWSQDDVLTAVRKMPCILTMSEERIQTAVNFLTRDVGLEIPYIAQRPVLVMYSYERRLLPRHSLLNILNSKDLLYPELDFYSVMALTEKKFLDKYVHPYEKSIPGLAATYASYCAEKVPNGVST >SECCE3Rv1G0145370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:7061716:7062202:1 gene:SECCE3Rv1G0145370 transcript:SECCE3Rv1G0145370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSFASSFLLLPFLIILLSTIHKPITYADCTPPPCQGKQSWPELIGKDTNTAYNRIRHDNPQVNNITFLISDALRPMVENGMCCNHVLLVIGNIPSGGDGIIKVPHVG >SECCE5Rv1G0360530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:771396606:771398012:-1 gene:SECCE5Rv1G0360530 transcript:SECCE5Rv1G0360530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVVAPEAAMDTPELEEEAGIFPDWVMLDRIGRTYCHNGRGAALKAVNGNKTAVQVNMDSGHSFYVSFTLAPPPQLGASYFDLHWPKRRGAASMAPAYPYVRAIDKDLVFFHVSIPSKPQPSIVPPDLFVYTAAGPSPSVQQLPLYTRYRRRRFLMSNFTSGILRRQDNSYIVSDLILFPEKEVTGSYCMRAELCVFNSKSGVWVPFMKDAPQPHNGQFPTHWSTDHVLAFDGRFLCWVDYFSGVLLSDFSNMPSSPVLHFVPFPGEEVYAAEVRAERCFAKRFRSVSISQGKMRFVHIDNDLHTGCPQGKMRFVHIDNDLHTGCQGQHPPKKITIWTLNTMDGNSGFNWEPHRAIDLDRLWVNPGIPRRLPEYPIISAFGPDVLHCLLRKEDFHGEAFMIMVDMNHASLLLYTPYVNQRSVDVKDHKSEFPNTPLLPTVFPKYLERPSVKLPWYVPSCIQYYVA >SECCEUnv1G0553860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:279959027:279962500:1 gene:SECCEUnv1G0553860 transcript:SECCEUnv1G0553860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDRPRRLLAIVVATSLLSLAAAASSSSTNATDIETLLCLKLHLSSSPSSLLGSWVQNNSLHFCSWPGVTCNASRVVALDLENSGIDGHIPPCIANLTLLSRIHFPGNLLGGHIPAQLGQLSRLSYLNLSSNTLTGSIPNTLSSTSLQVIDLGDNKLSGDIPESLGTLRNLWVLRLARNSLTGSIPLSLGSSSSNSLVSVILANNSLTGPIPPALAHSSSLQVLNLVRNNLEGEIPAALFNSTSLVRLALGWNNFAGSIPAVVPNFDSPLQALILSVNSLAGTIPSTLGNFSSLRTLLLAANSFQGSIPVSIAKIPNLQELDISYNLLSGTVPAPIFNMSALTYLSLAVNNFVGELPSDIGYTLPSIQTLILQQNRFGGKIPPSLANATDFLSINLGANAFYGTIPSFGSLSNLNELILASNQLEAGDWSFLSSLANCTQLQVLSLGTNMMQGNLPSSVGSLANSLGALVLHANRMSGSIPPELGNLTNLSFLRMEQNQFAGDLPGTIGNLASLTYVDLSRNRLSGQIPSSIGKLRQLNNLFLQENNFSGPIPRTLGDCRSLITLNLSCNSLSESIPRELFFLDSLSAGLDLSHNQLSGQIPQEIGGLINIGPLNFSNNRLSGHIPTALGACIRLESLRLQGNLLDGRIPESFSNLRGIAEIDLSRNNLSDEIPSFFQSFNSLKLLNLSFNDLEGQMPQGGIFQNSSEVFVQGNSMLCSSSPMLQLPLCFASSRHRRTWRTLKITGITVAALALVCLSCVVFILLKRRSKKSKQSDQPSYTEMKSFSYADLAKATNGFSPDNLVGSGAYGSVYKGVLESEANGMIAVKVFKLDQLGAPKSFVAECDAFRNTRHHNLVRVISACSTWDNKGNDFKALIIEFMANGTLDSWIYSETRRSLSLGSRVTIAMDIAAALDYLHNSCVPPIVHCDLKPSNVLLDDAMDARLSDFGLAKFLQISHNSSRAITSTSLAGPRGSIGYIAPEYGIGNKISTAGDVYSYGIIILEMLTGKRPTDELFKNGLSLQKYVGNAFPEKIRDILDPNIIIPSFGDEVVDHGNHAMVLGMLSCIMKLVQLGLSCSTETPKDRPTMPDVYAEVSAIKREYSALRIKE >SECCE3Rv1G0168550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:223056841:223064963:-1 gene:SECCE3Rv1G0168550 transcript:SECCE3Rv1G0168550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTPTYSAVVAHASAFLAELIADPLLRRHLLSAAAAADGGGGQHTAATLQALSLISDALDTAPSASPSPSSLRAAERLLLSLPAATPLSCLLSALASAARRRGGAPSAASAVLDLFVLDPALARHELAPAAFEALFAPRLLPVMRHFATRRASAAAAVASAAQGDEDRSVETVALSAMRVLSLMSGAQAHEMRGLEREYEMVLDVNCKAYALYLKKILEAGEAPRMSPPPPPPELVFTVGQHADEYESTGYEEASTDADDGAVSSQNGVRNNPMWAEAEGDMYPRQGSIKGRREMMRPPSLYPQRVAPHLIVQQQKKQPPQVGRGSPASRLRAEYSPATPSDDSTEDSSSELYTGKQEKQSASPLSKQRRAQPRADDGARLSPEPSRSPMGGDADLSRHQQQQAATTPKDFVCPITSQVFDDPVTLETGQTYERRAIQEWLDRGNATCPITRQHLHGGSLPKTNYVLKRLIAGWREQSPPATPITPATPITPATPATPSVPRTPATARMESPAPAFKINSPSPDATGSQASAPSPTSVIVQATVESAVGELRAAVSCLCTSEELAESEKSVLRIERLWREAGAAEQAFFSALAKPAVINGFVEILFNSVSAQVLQVAVFLLAELASRDDGVVQTLTRVDTDVDCLVALFKKGLVEAIVLIFLLSPSVEQLVEMDMGEALVATIRRADEADALNMCVKPKSASVILLSQILSESGVGRESTPPVPRSALVSERFVRSTALVLEAEQVEVRVAAMRILMRCIGEDGHCRSSIVEKLAVGAVLDAFHVVGDADKFEIVRFLSELLKLKKRSAAERVLRAIKEGSSFSMMHTLLVYLQSTTPEQSPVVAGLLLQLDLLVEPRKISMYREEAMDSLVQCLKNSDFPRSQLLAAETIMNLPGKFSSSGRPLARSALLKLARVKERYRQSQELSVVRGTDGAEDDAAGEEKAASEWERKTAYALVSHEFGLVLEALSECLESKNAELFAASLVCAAWLVHMLSLLPDTGVLGAARVCLLRQLVLVLRSAKHGSDRALAMVALRSFMNDREGMQDITTYIKDVLRTLRELKKSSGLAFEMLKLLSDGQESSIDMWNHKELNNADCSSNGEVTSIVYYKSYIFSGHSDGTLKVWEGSENILRLVQESQEHTKAITSLSILPSEEKLYSGSMDRTIRVWQFRDGLRCAEVYDTRDPVQNLAVASAMACFVPQGAGVKTLSWNGGTPKVLNPSKSVRSMALVHGKLFCGCNDGSIQEIDLASGTLGVIQPGNKRILGKSNPVYSLQVHDGLLYTGSTPLDGASVKIWNSSNYNLVGSIPSAAEVRSLVVSADLVYLGSRNGAVEIWSREKLIKIGALQAGGAGCRVQCMAVDADGDVLVVGTSDGKIQAWGLT >SECCE4Rv1G0216390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10581320:10581938:1 gene:SECCE4Rv1G0216390 transcript:SECCE4Rv1G0216390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEYRCFVGGLAWATDDQSLQQAFSKYGDVIDSKIITDRETGRSRGFGFVTFASDEAMRQAIEAMNGQDLDGRNITVNEAQSRRSGGGGGFGGGGGGGGYGGQRREGGGGYGSGGGGGYGGGRSGGGGGYGSREGGGGGYGSGGGGGGGYGGSRGGSGGGNWRE >SECCE2Rv1G0106200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:631561639:631563966:-1 gene:SECCE2Rv1G0106200 transcript:SECCE2Rv1G0106200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRPPPNPKSLGLLYRFLASPSSAPPPLPVLLRLHALGATSGLYSRPDFAAKLVSAYSSSGRPGLAALAFSASPCPDTFLWNSLLRSHHCASDFACALSAHRRMCASGARPSRFTAPIAASAAAELAALPVGSSVHAYSVKLGLLVGDGSLAVSSSLVYMYARCGRIDDATKLFDEMAERDVITWTAVVSGCVRNDECEKGMRYLVQMVRVAGDGRARPNSRTIESGLEACGVLGELSAGRCLHGYTVKEGIGDCALVVSALFSMYSKCDRTEDARVLFPELSEKDVVTWTSLIGAYCRRGLDREAVELFQEMEGSGLQPDEVLVSCVLSGLGKSGNVRRGKAFHAAIIKRNFGHSLLVANSLISMYGKLELVDAAGKVFETLHQQDAESWSLMVVLYCKAGLDIKCLELCREIHCRHHDEFLCDINSLVSTITSCSRLGNLRLGQSAHCFSIKCLLDENSVANALIGMYGRCGKLDLAYKIFGMAKVRRDVVTWNALLSSYSHLGHSNDALSLYDQMLTEGVRPNSATLITVISACANLAALEHGELIHSYVKDTGLESDVSISTSLVDMYTKCGQLGIARGIFDSMLVRDVVTWNVMIAGYGMHGDVKQALQLFCEMERGSIKPNSVTFLAILSACCHAGYADEGRKLFIRMGEYCLEPNLKHYACMVDLLGKSGHLQEAEDMILAMPIQPDGGVWGTLLSACKMHDNFEMGLRVAKKAFASDPGNDGYYILMSNSYGSAEKWDEMEKLRDMMKNHGVEKGVGWSAVDIWV >SECCE2Rv1G0087880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:234605164:234609524:-1 gene:SECCE2Rv1G0087880 transcript:SECCE2Rv1G0087880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD5 [Source:Projected from Arabidopsis thaliana (AT5G54310) UniProtKB/Swiss-Prot;Acc:Q9FL69] MNEKASVSKELNARHKKILEGLLRLPENRECADCKSKGPRWASVNLGIFVCMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANGYWEAELPPNYDRVGIENFIRAKYEDKRWIPRNGTSKLPSGARDEKSSESQASHANRGGHAQKPSFEQHRVSPAATKRTVPVASRMHTQASPQPKAELPVPKVASPPQPAKSPAKVDVTPPKVHQPSVAPPPKVEYAIDLFNMLSMDGATEKAPESSSNDDNAWDGFQSAEPAPNSEKKDTAKPVESKAQSTSGIEDLFKDSPAVAASSAPVASKSNPQTDIMSLFEKSNMVSPFAIHQQQLAFMTQQQALLMAALKSGNAPQMAPGNAPQMVPGNASVLNTNGSNAPNGSLPSHSWPNLGYQNPASIPAAAPQNGVAKAGNNNQDFFSGNFGFGSPGVPANGVTNASANKSTSTPTSSTLPSQSGKEYDFSSLTQGMFSKR >SECCE5Rv1G0311330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:191180622:191181991:1 gene:SECCE5Rv1G0311330 transcript:SECCE5Rv1G0311330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WLIM1 [Source:Projected from Arabidopsis thaliana (AT1G10200) UniProtKB/TrEMBL;Acc:A0A178W4R1] MATSFQGTTTKCNACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLANYNSFDGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPERNVGNENAIKVSSSFAGTREKCFGCTKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLFCKHHHTQLIKEKGNFSQLENDHDKTSQSAGSVDDEDSEY >SECCE6Rv1G0431390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746303538:746304239:-1 gene:SECCE6Rv1G0431390 transcript:SECCE6Rv1G0431390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPHVSETEVEQELHRLEASISSSSSIITMCDGLRSLANIYDGLEEIICLPSNQACSSQQRKMLDGEMECSIELLDLCNIMQEIFAEMVVVIQELQVALRKGDVPAAQAKIQCFTRLAKKARRHFKKSAKKPAFDKMVMLLTKAREMCISLLESTLHLLLKQIEMPKQSLVCKAFYKKKAIVCKEEQLQELECSIEDLQNGAGDLFRKLVQNRVSLLNILSS >SECCE3Rv1G0209300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:937700686:937708420:1 gene:SECCE3Rv1G0209300 transcript:SECCE3Rv1G0209300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRAGSPVYGRQRSGSSTGSTSPGGVSPSHHRSSSTSSAASLQGAAAGVGGISNVRRTQNVAARAAAARLAQVMASQSAAAAAGDDDDEDDYANDHPPPPPARFGSGARAGHGSNGVSLLGRTARSPSPALGRNIVEPPPTVRSASAGRTAAIASRPTATVVPPIKTSTTIRTPSPIPPVAVESPVERPRQKRFDTAPLNSRESAPRRESSTLQDELDMLQEENESVLDKLRRAEEKCEEAEARAKELEKQVAALGDGVSLEARLLSRKEAALKQREAALKAARESNDGRNGEVSTIKHELESTKEEVAAVMDQLKEAESETKALRSMTQRMILTQEEMEEVVLKRCWLARYWGLAVQYGVYPEIAVSKHEHWSSLAPLPLEVVLSAGQKAIKEEPRKQGEDDAQRRNRLVRDMSDVMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDYKSPGEPKFLEAFDLSNEEAEDVSFKHAWLIYFWRRAKTHGIEEDIADERLQFWIARNAVAPNSHDAIDVERGLTELRKLGIEQQLWEGTRADIDEAASAAMEDE >SECCE5Rv1G0366970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:818519331:818519995:1 gene:SECCE5Rv1G0366970 transcript:SECCE5Rv1G0366970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASREPHKVKLREADNGLHRLWEGLVVFDGEGHMYLGPDWEHFTRAHEPQLGYFLVFLYDGDARFTVKMFDNTMCRMYYQHADDASNGSSSGDDEEQSGDDEEQSGDDEEQPILADDDNAIMVAGDDPAMVVADDDLAMVVADDDLAMVVAPAIPQLGDMAMPIVVEEYIRIGIRHSERIRLMKEKKEE >SECCE6Rv1G0451840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873871947:873872555:-1 gene:SECCE6Rv1G0451840 transcript:SECCE6Rv1G0451840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWFLSSVALCAVLVVLIGGGCGAASTADEEGLIHLHFYFHEVNAGTPNATVVNVASLHKNSSTFGDMNVFDNALRAGPDPASRLIGRAQGLALHASLDESGGLTAITFAFSDYGAYSGSTLATLGHIGVSGPAERSIVGGTGKLRFARGYMVSSLLSSTNTSIVVVFDMYFTLAR >SECCE4Rv1G0240730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448136057:448136269:1 gene:SECCE4Rv1G0240730 transcript:SECCE4Rv1G0240730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKETIVTWSRASSILPTMVGHTIAIHNGKEHIPIYITNPMVGRKLGEFVPTRHFMSYENARKDTKSRR >SECCE3Rv1G0186350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:683554568:683571060:1 gene:SECCE3Rv1G0186350 transcript:SECCE3Rv1G0186350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) UniProtKB/Swiss-Prot;Acc:F4HPZ9] MASLLCSPASKSLSVTTSTLFLSSLASLTPAHTHPLSASAATPPVPASVPPAALIPNSRFLVDAFRHAGDFSVAYFLSHFHSDHYAGLGPSWRRGLVFCTAPTARLLASVLSVPPELIVSIDIDVRITVDGWGVVAVDANHCPGAVQFLFTSPGPNPERYVHTGDFRYTHSMRSNPNLLEFVGADALFLDTTYCNPKFTFPSQEQSLEYVVNTIKQVKEESGAAGERVLCLIATYVVGKERILLEVARRCGCTIHVDSRKMEILTVLGFGGEKGVFTEDASATDVHVIGWNILGETWPYFRPNFVKMKEIMVEKGYTKAVGFVPTGWMYETKKEGFAVRVKDSLKIHLVPYSEHSSYDELRDYVKFLHPKRVIPTVGVDGGKLDGKEAIALQKHFVGLVDETANKHEFLMAFNRRSAHASLSHEDVLAKCCREQDGEEFASLPENNSVSELPDNSEIKITEEMKKELSDFLPSWVNQDQIQGLLMSSGGDVVQSASAFFERERDFFEEANVSNNEKPKSVEIRTSDHGSSADTSSQQEVPSFSQKPMEHSAKLVNLTPVRMNSNQTKKERKRGSSTVNKSKKKGKSTASTEAGGRKQPTITNYFGRATAAASKSESADKVTVDPHQNNVENDNQSMDIVESHKQGVNQLLQIVDGSMSRESAISLLEKTKGDVNVAVDMFYSKIQNNNVPDSDKSIVPQNTQNEMIDKYDNTNIAHNSSQATPKMQNLYVQTSVAQADSVNISLPVEKYLPIEHACWTAGQPAPYLHLARTFDLAEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENMELNIGGSLVISAMEESLGTSKSKIHEMYKTHGDLGDVAQECRQNQTLLAPPRPLSVRDVYSTLRKLSAISGGGSAGRRKILVLHLIRSCREMEMKFLVRTLVRNLRIGAMMKTILPALAHAVVLHEKCATGPVVSLEGVKSQLQSLSTEVTEAYNVIPNMDLLVPSLLCEGTTFAASSLAMVPGTPIPPMLARITNGVTQALKLFHGRAFTCEYKYDGQRAQIHRLTDGSVQIFSRQMKDSTSRFPDLVNMIKELCNSEVASFILDAEVVGIDRNKGNRLMSFQELSSRERGSKNSSIAIQNIKVDICVFVFDIMFCNGQRLLDNPLRQRRRYIQEKPGYLELAQQLIVEEDEASIDNSSTLQRMSSFFEKACQSSCEGIMLKTLDIDAGYSASKRCDSWLKVKRDYVEGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPESEEFQSVCRVMSGFSDDFYKEMKEFYSGEKILPKKPVYYKTDEQPELWFTAEQVWEIRGADLTLSPVHHAAIGIVHPSRGISVRMPRHIRRVPDRSPEDCSTATDVASMFRAQTRKMEVSSDGPGASHQ >SECCE2Rv1G0108530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:664856984:664859316:-1 gene:SECCE2Rv1G0108530 transcript:SECCE2Rv1G0108530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEASRLFRVRRTVMQMLRDRGYLVVDADVDMTMGEFVGRYGDPVRRDDLVINRAKKDKPDEQIYVFFPNEPKPGMKTVKSYAEKMKQENVNNGILVVQQALTAFARNAVLGLSQEKEKLMLEVFQEGELLINIKNHDLIPEHVLLTKEQKKTLLEKYTVKETQLPRIQISDPIARYYGMRRGQVVKITRPSETAGKYVTYRYVV >SECCE7Rv1G0488490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:441593185:441612558:-1 gene:SECCE7Rv1G0488490 transcript:SECCE7Rv1G0488490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEPEAPAEDNHPFPEASSAGVEKHPPPEAPEASPAETKPEKKVVTETQVKEVSKSGSKEIEKGVKVKVEKEKENVEIEATLRPTGAGTEASPILAVPMLAVPCFIAPPGFAGQFAMSHQAALASVTAQAHIQLQSPASSAYSEGLPSPFPHPITPKAIRPLQQAPSVTQGSVGRPIAERPSSSESKLQHHAAVNIVGDGFNWRKYGQKQVKSSDNSRSYYRCTNSSCLAKKKVEHCPDGRVIEIIYRGTHSHEPPQKTRFVKERSPHIYVPPIGDGTLQLVNSEIVESRTPTCKLNQSAAIENSEQQLFCSSDCEGDVGNKSEDEHRSAESQRKRRIVEATTSNLTPVLRTVREQKIIVQAGKMSDGYRWRKYGQKIVKGNPNPRSYYRCTHDGCPVRKHVEKAPDDINNMVVTYEGKHNHGQPFRSSDQSRDESVSVITPAMTITEQPSTVSSTSDQKLPTSTEKAADSESTMDTTLELGGKKPPENAQTPHNMKINPDGLKNPILKDTSSAVPVQNN >SECCE4Rv1G0223460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:70102545:70105216:-1 gene:SECCE4Rv1G0223460 transcript:SECCE4Rv1G0223460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAVDPLSGYCAVTRTFHSLRAPIPLPPPSLPLSFPAFAFSLLPSPLPSHAAFLDAATGEAVPFPAFLGQVRALATALRAELHICRGDVAFILAPPSLHIPVLYFALMAVGAVISPANPALTTGELAHLAALSKPSVAFAVSSAASKLPPGLSAVLLDSPRFSSFLRGPGDASAMDASVIYQSDPAAILYSSGTTGSAKAVVLTHRNLMTARVLPGPAPPDEVPMLTVPLFHVYGFVFCLRPVMAAQTLVLHTGRRFDTRGVLAAVGRFRVTRLALAPPALLAIVQTAEDDESVAASAATLQAVLCGGASLSPELIRRFSHKFPHVCVSQGYGLTETTAGFCRSIGVEESRRIGSVGRLSPGAEAKIIDPATGVALPPGVPGELWVRGPFVMEGYLGDKESTSKILDSEGWLRTGDVCVIDKDGFLFVVDRLKEIIKYKGYQVAPAELEDLLQTHPGIDEAAVVGYPDDQAGELPVAFVVGRSGKSNLHEAQIKDFVAKQVVHYKRIHRVFLVDSIPKNAAGKILRKDLAKLALHRINAKL >SECCE2Rv1G0121250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:806134259:806139454:-1 gene:SECCE2Rv1G0121250 transcript:SECCE2Rv1G0121250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGDSSLEAAIAWLVQTILATLLIDKMEEWIRQVGLADDVERLQSEVERVEMVVAAVKGRAAGNRPLSRALARVKELLYDADDVVDELDYYRLQQQVQGVTSDKPDGMRGAERVEEISRGHVDTLNVSVGKLRSSVWEHFTITETVNRKRSKAKCNYCRKDFNCETKTNGTSSMKKHLEKEHSVTCTKKTGPNPSSTGDATCNVRSVQVGSSSNGKRKRTNEDPPQTTAANIHAQWDKAEFSNRIIKIASQLQLQDIQGALSKVLEPYGPSATSSSSHQSPSTTSAQHPTTSSLVPMEVYGRVAEKNKIKQSITENQSNGVNVLPIVGIAGVGKTTLAQFVYNDPDMKSQFHHRIWICVSRKFDEVKITKEMLEFFPQERHERISNFAKLQEILKEYIEYQSKSFLLVLDDVSDNVDYHKWNKLLYPLMSSQAKGNIILVTTRNLSVAQRLRTLEPIKLGALENDDMWLLLKSCAFGFGDYKGPGNLRTIGMQIAEKLKGNPLAAVTAGALLRDHLSVDHWSNILKTEKWKSLGLSGGIMPALKLSYDELPYHLQQCFSYCSIFPEKYRFLGKDLVCIWISQGFLNHTKRLEEIGWEYLNNLVNLGFFQQIEEQQEEDEDEEEEFFLGSKVWYSMSGLMHDFARMVSRTEYAIMDGLQCNNMLPTIRHLSIVTNSAYSKDQHGTIPRNIKFEENLRNAIASVRKLRTLVLFGHYDSFFLKLFLDIFQKDQNLRLLQMSATCADFDSLMCSLVNPAHLRYLKREPDDVDGAFPQLLSKLYHLQTLDVASYIDPTPYGINNLVSLRHLVPENRVYYSIANIGGMTSLQELHDFKVQFGSSGFEISQLQSMNELVQLGVSRLDTVKTREEAYGAGLRSKEYLKILHLSWKDTSSPEEYDTSSESTTDKNEELSPMHDIGSYSEPSLDIVETSVELLPTGDGDQTDEPSMDTAREVLEGLEPHMNLKHLHISGYNGTTSPTWLANNISVTSLQTLHLDDCGGWRILPSLGSLPFLTKLKLSSMREVIEVLIPSLEELVLTKMPKLVRCSSTSVGALNSSLRALWIKDCEALKEFDLFENDDNSEIIQGSWLPGLRNLILDNCPHLKVLKPLPPSTTISKVVIREVPRFPYMQVSSGEKLKIGITDDYGGDGLDEFSDELRILDDKTLAFHNLRNLKSMEMYVCRNLRSFSFEGFSHLVSLTSLEIVGCEQLFSSDVTPEYTLEDVTAMNCNAFPSLKSLSIDSCGIAWKWLSLMLQHAPGLEELSLTRCAHITTVLLPMEEEENNLLTWLVRDGLLHIPSNLVSSLKNMSISDCRRLKFNRGKDCFAAFTSLEKLQISGYVDDDGSDDEEDVYSFVFEEEDQPLGANGRWLLPTSLQELNIGFFRCQEMLQPCFPRDITSLKKLIVRFSPDLQSLQLHSCTALEELTIFGCGSVTVTVLEGMQPLGSLGSLNVSDCPGLPPCLESFSTLCPRLETLEIDDPSVLTTSFCKHLTSLQSLRPGFLQATRLTDEQERALMLLKSLQKLRFYVCNYLVALPAGLHTLPSLKSLEIERCESISRLPEAGLPHSLEELEIKFCSQELNDECRQLATSKLKVKIVGRYVN >SECCE7Rv1G0505190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:731758731:731760190:-1 gene:SECCE7Rv1G0505190 transcript:SECCE7Rv1G0505190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDSTGKMALRNVAMRVLLLLVLVSAAYAKDKESADGAAASGPGGEYDIAKLGAKPDGKTDCTKEVEEAWASACGGTGKQTIVIPKGDFLTGALNFTGPCKGDGVTIKLEGNLLASNDLAKFKSNWIEIMRVKNLVITGKGNIDGQGKAVWTKNSCQKNYNCKILPNSLVLDFCDDALIEGISIINSKFFHMNIYECKGVTVKDVKVSAPGDSPNTDGIHMGDSSNVSIIDTTIGVGDDCISIGPGTKQVNISGVTCGPGHGISIGSLGRYKDEKDVTDITVKNCVLKGSTNGLRIKSYEDAKSPLIASKITYENIKMDDSGYPIIIDQKYCPNKLCTSKGDSARVTVKDVTFKNITGTSSSPEAVSLLCSDKKPCEGITMSDVKIEYSGTNNKTMAVCTHAKVTATGVDKANTCAA >SECCE5Rv1G0355020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:728510715:728511839:1 gene:SECCE5Rv1G0355020 transcript:SECCE5Rv1G0355020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVAFSRRRRRWLLLAALGTASAYGAYKIYHLPAVAARRRRLVRLAAALAAFLDAAASSADAAALVSSDLADFVRSDSDELPRSVAQLAKLAASPEVSATVSALSQAVAAGVLRGVGSTPGPGSGDKVALSDRLVDKLFSDSGERLASAVAGSFARHLVMAIYSAPSPPGQTSSSPDWVNVVATGKGHKAISSWVEVLVGTAVGVFIDKTIHINTYEQLFEGLTNPIHDAKVKELLVSVCNGAVETLVKTSHQVISKANSKLDNNGDGKSNSSGSSRAGEGWVETVSSTLAVPSNRNFVLDVTGRVTFETVRSFLEFVLWKLHDGARKSGDTVFDSGLRAVRYMSDKSMVVATICITLCLHVLNGTRFLVTA >SECCE4Rv1G0247940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:564906020:564908715:1 gene:SECCE4Rv1G0247940 transcript:SECCE4Rv1G0247940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPARQNSPTEITTDGPEPDPPAPPPATDLTNGNRQPQDPEPPATANGSWQPEPEEIKSYELMLDLSKYILLLATLVATVTYAAGFNPPGGVWQETVTSLDQLAGESIIRTTSYRRYLVFFYCNATAFASSLMVIIAILFLAIVEEKKKYRVPLLPLRAIMVVDLLSVMGAYAAGTCRDKPTTIFSSVLVAAAIAYLALQMVLALLPRNHRYTDPVREKRLRKVLMLLATFAVSVTYVAGMSTPGGFWDNTENGHRPGDAILKDSHGKRLTVFLCFNTLAFVASLLIIVVLLDKKPPVIKAYGFIAVALMSLIISYTAGSCRETDTNFYVGSLVIAVLAFMTLLQVAVVQVTIEAASTWFWTKIKSFHRSRSGDSCWSLPKAITIAPGPKNNDSRVAAEKSRSLVLLLATLAATITYQAGLDPPGGIWPDNGNGHMAGDPILLTVNAKRYKAFFYCNSVAFVASLVAIILVQSKVMLQTHVLEAAMVLDLFGLIGAYAAGSSRDLTTSIYAMALAGAVLVYVVIHVVFFTLNHTNATSEEIKSVEKRRKRLLLFAVLGATITYQAGLTPPGGFRLQDDSGHYAGDPVLLYNFPRRYTAFFYCNTVSFMLSIALIILLVNPHLYRPAIRSYALAVCTVAGMFGLMGAYAAGSTQHLKTSIYIFVLVVVVLLVVALLLLVFMLKERKNIPEETTGGLEPIVISSGTQQRKNKHVMRKYLMLLGILVASVTYQAGLDPPGGVWQHSGQGYYAGNPIMHDNQRHRYLAFFYSNSTSFVASIVVIIILLLDLEWKGKKKWSLRVMNRRVMSTTIVLDLLALLEAYAAGSSREWKTSVYVIALVIAVLAYVATHMMLAILCSHSSQEEGSSGTTKV >SECCE3Rv1G0190880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:744209193:744223142:1 gene:SECCE3Rv1G0190880 transcript:SECCE3Rv1G0190880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAEPPPKKRKLVEAQAPSPSGTPRPPPPPPPGLPPTPPPPETLAAAAPSAPPPPPQSPPPTPEELHRKRSNREELRKLFECYRRIRLCVERKDARLMPELEQVYLALITASRGCASVQRILAKLIPQYASYCPTALEAAAKVSIKMYNWNLAIVTRGEDADGVAYQTARACIVGLVDICSTASLEAPKSSVITGICSAVYMTVLTFFISTFDGKDIHHIGSRRLSKLQDPMELLDIVKQESGDDSQLAHDSLFELRAQSLLCIFLLSPENLLEACFALTASAETDHIRGLYFLNQLTCNVSNGISDLALDNKADVASQCTEMEIDLAGTKEIVDSKPSSDASGVSGRSMVESNECYMTMAISRHPSLRGWILRRYKKLCDSCGSTVLSEVSSCLKVLGSLSELDDDKSSMDCEPSVLEKPDKSAGEKNVNIDTYGLKSVQTDDVKTEKLADAKTGGRKGARSDLYVASVSSDVISVSKELWVGSLGNSAAEVLVRSKFEEFGPLTNFLFYPSKEFALVEYGNIVHAVRACGYMRGSSIWGGDLQIRYLDRLIGSKGFVGGIAVGESCHIYVGKVKNQKEKDEVFDELKSAGLKRPCGCIDISGENALILEFETSVDAAVAKAHIRRQAHSDVCSQDKNTSVHQLLVHNMDRSISETEFINAFSRFGEVRRWQFNRIDGSCLIDYESHSAAACAKSQMHGARFGLKLISVESRTCSAGAVHDKPFSPIIRMPAQNLPDSSSHHEIRNPRVSAYHTGYTVQGDRPIYGPPPPNAQQLWQYKDLESNRAPQGMPPCPPVSAHRSSVIPPPPPIQTSFVRPVYPGPGSPWENTTPNPPFGLVSPRMMPGSSFRIPSAPPPFIPSCVTPLTQLPGSSAQHSEKMPPPIPNVTPSPFTPLDMPPPSRSPPPLPVSEPPSVPPPPSSPPAQPSIDPCNSQKPCPHPQWQGSLTKSGIHYCTIYASRIELDACRYGSTVSEPAEWPSRLDVLKRTAFQHAKTIISNAPPNKKEVCRLLPCSNGDQKGFRDFISYLKQNKYAGVIKIPPVKPMGSRLLFILPPTSEVFGLLGLPPQPTECLIAVVLPKETTSEVA >SECCE1Rv1G0006380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:33024527:33025436:1 gene:SECCE1Rv1G0006380 transcript:SECCE1Rv1G0006380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNSGGRGGAMAARKGPWTENEDAQLVWFVRLLGERRWDFLAQVSGLRRTGKSCRLRWVNYLHPGLKRGRITADEERLILSLHAEWGSRWSRIARKLPGRTDNEIKNYWRTHMRKKAQEEKTMAKNKAASSSSSDSTSLTTTTCSASPTATSSSAATTEEAPQESTTTSMDDEAEQEASTSASEEKKAEVVQYCSPVDMNQLWNDIAASESYPEMMMMSWGAAGHVAVAPAVEPSSPVWDFCEEDYSLWRIDDQEYYNKIDQHALN >SECCE5Rv1G0351090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:696390977:696396305:1 gene:SECCE5Rv1G0351090 transcript:SECCE5Rv1G0351090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMVVHGHGHGGGRDRDRDRSSPGGSGAPQVDTGKYVRYTPEQVDALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSRLVYENGYMRTHLNNPSVATTDTSCESVVTSGQHQQQQNAVVPRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDVLQIIPTGNGGTIELIYMQTYAPTTLAAPRDFWILRYTSGLEDGSLVICERSLTQATGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFLDDGWSLMSSDGAEDVTIAINSSPNKLAGSHVNPSQMFTAIGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRASGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKADAPSATRTLDLASTLEVGPGGARAPSDASSTSNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRPGGQLEMKNPPGSPEAHTLARWIGRSYRFHTGAELLCTESQSADASLKALWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCSEFPKIMQQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLGDDDAPHCLAFMFVNWSFV >SECCE6Rv1G0377980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:4347988:4351406:-1 gene:SECCE6Rv1G0377980 transcript:SECCE6Rv1G0377980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATNYSISNAPFTFKPSAPHKQVPNWRFPTISSGDGGGSIFTISRRNLRTWFHVCAVTGDQSTRDVFSANFPSDYTELIVQAKEATESAFKDGKQLLEIEFPTAGLQSVPGDGEGGIEMTGSMLLIREFCDRFVPAEKVTRTRIFFPEAKEVTFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMADRVRPEDEIFLVAYPYFNVNEMLVVEELYKEAVLDTERKMIIFNGELDRIRSGYYPPFFYPKLGELAKTFLPKLETIYYIHNFKGSKGGALFRCYPGPWKVLRKVGGSFVCLHEQEEMPSLKEVALDILPSA >SECCE5Rv1G0330970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:535035507:535036694:-1 gene:SECCE5Rv1G0330970 transcript:SECCE5Rv1G0330970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVEHRMAGLAPAAHAAGLRRLSTRAAAGPSSASASPRHGLYSFQGLASSVLSHLRASGVAILPGLSETELARAEAEMGFTFPPDLRAVLALGLPSGPGFPDWRSRAGLRAAFDLPVAAASLQIARGALWPRCWGKRPADPDRARRLARSAIRRAPLLVPLFDRCYLPCTPSLAGNPVFFVTDDRVLCCGLDVVHFFTRESSFQPMDISSPFAAVPSSGTSTPCTRRSLDAACGGQAPRWIEFWSDAASDRRRRDSSSSEASTASTSSSGCCSPPRRSTPRWVDNYLDKLGSVLKKGGWRDREVDEMVEVAASGMFDGEEAPPAADAEAVLDTLLLKTDRCSDSLRRAGWSSEDVSDALGLDLRRCKEPHRSAVRVPPEIAAKVQRLARTVARP >SECCE4Rv1G0245690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:535128335:535131766:-1 gene:SECCE4Rv1G0245690 transcript:SECCE4Rv1G0245690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSFHFVPLLLLLLLLSSTSASPTATSGHSTGNATASLRPGREPLKHRRIRALLHRLNKAPLKTIKSPDGDLIDCVPSHLQPAFDHPMLKGQKPLDPPERPKNHYNSTIAGAVVVQAWHAAGEACPEGTVALRRTTEKDLLRASSLGRYGRKPPRRNTRRDSTSSGHEHAVGYVNSEKYYGARASVNVWSPRIDDPSEFSLSQIWVISGSFGHDLNTIEAGWQVSPELYGDSNARFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAIGAAITPQSVYNGRQFDINLMIWKDPKHGHWWLELGPGVVVGYWPSGLFTHLAHHARMVQFGGEIVNTRPPGSHTATQMGSGHFPGEGFDRAAYFRNLQVVDWDNSIIRASGLKLLADHPGCYDIQGGSNGRWGTYFYYGGPGRNVKCP >SECCE3Rv1G0183900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:656490954:656504293:1 gene:SECCE3Rv1G0183900 transcript:SECCE3Rv1G0183900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAGGDGPPREAPEERTPQTPAPPTVAAPASASGGATGPSGSGEKPVKRMMKTPYQLDVLEQTYLAEQYPSEAMRAELSVKIGLSDRQLQMWFCHRRLKDRKPPAKRQRRDEEGPATPVPIPPPVLPLQAMPLASTDLMMSASSPYDEPLLPPTHSRRGAGRSSAVPRISAPDIGRDIGRRYYEPLSVMLPPPVAPMQFRQAELRVITSVESQLGEPLREDGPVLGVDFDPLPPGAFGAPIVPEQQKQPVRSYDTKIFSRHDPNLLKVSSFLPSMEHPFVPNSFAGKRKPTVGNPPQVHPHGGSRAVHEYQFLPEQPSDTYERASRSHYYDTPVEASNSRIPSLTPGPHLLHGSEEMVPGYAFEGQGLLPQSGRPQVFPAVSTDYEMNQSNSNINSVPVDGQFVSSHVAGFEDPLISSETRAYHDEDAARVDRKRKHNEEAKIAKEVEAHEKRIRKELEKQDILRRKREEQMRKEMERHDRERRKEEERLLRERQREEERFQREQRREHERMEKFLQKQSRRAEKQRQKEELRKEKEMARQKAANERATARRIAREYMELVEDERLELMELATQSKGLPSMLCLDSDTLQQLDSFRGMLSQFPPEMVRLKVPLSIKPWTGSEESVGKLLMVWKFLITFADVLELSSVTLDEFIQSLHDYDSRLLGELHVALLKSIIKDIEDVARTPSVALGVNPGGGHPQIVEGAYSWGFNIRNWQRHLNLLTWPEILRQFALSAGFGPQLKKRNAEDVFYRDENEGQDGQNVISALRNGSAAVRAAALMKERGYTHRRSRHRLTPGTVKFAAFHVLSLEDSSGLTILEVAEKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSEAVLSAAREKIRAFQNVLSDSEAEKEVDDVDRDEESDCDDDPDGDDVNIEVDEKDPLLAVKAQGVVPTATKVGDIKGDPDGLDTALTRPISSTTSRKDIAMLSLGDSSSAGTSSVSPLRASSDHPEVITGDAEDTQIDESNQGESWVHGLAEGDYCDLSVEERLNALVALVGVATEGNSIRAVLEERLEAANAIKKQMWAEAQLDKRRSKEEFASKVQYNSCTSLKADVIPENNATETTPTPVRNLDIDIDENVGTSNNNEILNQQSNAGNVSYERNGTGQETSATPDNLSAQQYAYADKTRSQLKSYIGHRAEQLYVYRSLPLGQDRRRNRYWQFSTSTSPNDPGSGRIFFESREGYWRVIDSEEVFDALVASLDTRGSREAQLHSMLQRVESTFKEGIKRKRGAAIEQSAGRYLMNGATDTMRASYRSEFGSPSSTLSSVSADSATTYSDSFKIELGRNDVEKISISKRADGFLKWMWRECCDRQLTCAMKYGKKRCSALMHSCNYCYQIHLAEERHCPSCHKTFKSIYNYSEHTSQCEEKRRTDPNWKMQIADYSVPIGMRLLKLQLVTIEASIPSEALQPFWSDGYRKSWGVKLHSTTSVEEIFQMLTLLEGAIRRNYLSSDFETSNELLNYSKTGDMPSQNPSSLPGTSVLPWVPDTTAAITLRMLDLDYAISYVQNQKKERDGGDSMKLASRYTVVKKTQDIEPLEPTGFDLYDARGPPSSGRRGRGRGSRGGSRGGRGRSRGGRIPRGISSSSRIEFMDEDASYEKAPRKNARRGRGRGRGRGRGRRTVRPRQPSSEGRGRSIPKANLLGSFSMLSNVKPSAVEESPRSSGAEEWGLESRRPYIQGEGDENSSGSESDQSEDNGENGQPMDEEYEEEQVPDYSRAYSGGLRPHAHGMMDDETEDDDEDAEGDGEGDEEEYDVNHASPDVDDEMDEDDDDIGDDGDDGGDGVEVNADEDEGATSYSSEYSE >SECCEUnv1G0562130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:362351470:362353277:-1 gene:SECCEUnv1G0562130 transcript:SECCEUnv1G0562130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDQLCLAALGLLLGLVLIVLMFKGKPESKMMWGGASATQLPPAGTAFSWPLVGNLPEMMLNKPAFRWIHKMMEDMGTDIACVRLGGVHVIPITCPKIAREVLKKQDANFASRPLTFASSAFSGGYKNAVLSPLGDQWRKMRRVLTSEIICPSRHRWLHDQRAQEADSLTSYVYTLATAPSSSAVDVRHVARHYCGNVIRRLVFGRRYLGEPRPDGGPGTMEVEHMDAVFTSLGLLYAFCVSDYLPWLRGIGLDLDGHEKIVMEANATVNRLHDTVIDERWRQWKSGQREELHDFLDVLITLKDAQGNPLLTIEEVKAQSQDIIFAAVDNPSNAVEWALAEMTNMPEVMRKAVEEIDQVVGRERLVQESDIPRLPYVKACIREAFRLHPVAPFNVPHVALADTIVAGYRVPMGSHVILSRMGLGRNPAVWDEPLRFNPERHINTTADNDVTLTENELRFISFSTGRRGCVAASLGTAMCVMLFGRLLQGFTWTKPAGVASIDLSESKHDLFLAKPLVLHAEPRLLGHLYPAAPF >SECCE3Rv1G0170760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:257027825:257028862:1 gene:SECCE3Rv1G0170760 transcript:SECCE3Rv1G0170760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASTRRAGRGSHVFEISGYGGVSLDVQSSEVFTVGAYDWQIRFYEEGVFGHSGGYVAVYLFLVSKKSKVRASFELSLVDIRGSTPPRTMRTVAAEFDSGDRTCFGHPEFMRKSELEASPYLRGDRLTIECAITICKEAPVSLSKSFAEVPPSDITDHLRKLWQGKEGSDVTFEVQGEAFPAHKTVLAMRSPVFKAELYGALRENDMGRVIIGDMQPAVFEALLHFIYTDSLPTMDDLYQDQDEYKEIIGHLLVAADRYAMERLKIICESILCKNIDVKTVVTTLALADQHHCNKLTDACIEFIAFLGKVDDIIASQGYAELKTTCPLALLELWEKATRLYMI >SECCE7Rv1G0454930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:4834900:4838301:-1 gene:SECCE7Rv1G0454930 transcript:SECCE7Rv1G0454930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAAVQQVAGGFSSAVIQRLADKTMDFLEGSYNLSHATEELLTKLRTSLTMVKAITEVADNQIIINTSLTKWLRDLHNAAYEAEDVLDRFDCHEIVTGKRKVSELISSSVKALKGLIVPDESMTLLESVVQKLDRLCNTSNTFLELIKQSGSASIIEEGETTSRVPVDVKVFGRDEVLELIMKFILGLSSSEPEPSGIRAKLGQRYRISGVDVIPIVGMSGVGKTSLAQVIYNHGKVKDHFTQRAWVYVSKHFGVKRILQEILRSLKGNDSSFDYAGSLETIVNNIQNVIQQKGRFLLVLDSVWDEMCDQWSSLLTAIACELPGSVVLVTTQSKRVAKTVETVCQVPLEPLPWESFWPVFRYYAFGTTNVVVENNHSLLLIGEQIARKLGGLPLAAKVMGNVLRSRLTEDQWKRILESDWWDMREVIREILPYMGISYQDLQPRQRQSFAFCSIFPENYLFEKDRLVNMWISHDFIEQSESDDTRLEDIGSKLFDELVERSFFQATFDKKWYTMHDLVRALAIGVSSYECFLHKETSHRASPNVRHLALQVSNQLHIHELQKYKNLRTILLFGHCDSDAICDVIDTMLANSRSIRVLDLSHLEVLMNMPPNIASLRKLRFFDLSFTRISNLRNFPSNLQVLYLRGYARDTIPHSINKLANLRHLNVDATALCLVPSIGQLSQLQELDNFSVGKRNGFMINELKNMQELSGKLCVSNIHIIKNTHEAMVANMIEKKHLEALELNGGNVSKDVLEGLQPHSNLQELVIEGYGASSFPSWMLQARIFTKLHSLHVGNCRLVDVLPPFRNFPSLKHLTLDNLPSVKHVDGTSFGFLGSLEGLKVSSMTSWIDWSHAEDDHGLLLPQVKRFELHNCPLLEEVPCLSFISSLSDLDISVCGSLVKALPRYVHLLACLKKLKISYCDHPLLLSGHQLKSLEYLHLRKCGGLRLIDGLHCFPSLREVDVLGCPDILTELSDKSSRQDEQGVLHLTNLVTDASLLNGNSFLPSVRVLRIFYLEALQFTPEEEQWFEQLISVEKIEFVYCYFLQRLPCTLSRLTSLKVLDIRDTQLVTLEGVVPQNLQELVMDGLCTVKENYFKPRGSDWSYCCHVPYIRINGKIVQNLSINAASSSSSHQN >SECCE2Rv1G0072600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:59040498:59041955:1 gene:SECCE2Rv1G0072600 transcript:SECCE2Rv1G0072600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRGAEPTRRHQPQPAGGFSGSEGLAALSASLARALADKHANNNLVFSPLSIYTALALVAAGARGTTLDEILRFLGAPSRGELDAFVAHAAGDALRDRSGSGGPRVAFACGVWSDLACPLKPGFRRAVVDDAYWAEASTVDFRGDPEGSRQLINAWAARATNNLIDSVLGKGSVSPLTRVVLGNAVYFKGKWEQPFYKRHTADASFRRAGGAVDVPFMQSWKRQFVAVHDGFKVLKLKYEMGDARPHPPFHPRDDASTQDRRTEIFDRDPTKPAPFFPNRAPLGHPDRLYHAALPSRQPNTSSRLAGSPNNSNSFSSSSSSTQFSMCIFLPDADDGLRGLLDAIASRPGFLHEHLPTQKVGVGEFRVPRFKLSFHDSVVGVLNRLGLGLPFSEAADLSDMTEEDRSGLPLVLSEVVHKSVIEVDEEGTKAAAVTFCNMQEGCAARPRPPPPVDFVADHPFAYFIVEEGTGAVVFAGYVLDPSRQ >SECCE6Rv1G0396440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:365655964:365658583:-1 gene:SECCE6Rv1G0396440 transcript:SECCE6Rv1G0396440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFVKKPKITEVDKAIVALKTQRRKLAQFQQQLEKVIEAEKEAARQLVQQKKRDRALIALKKKKSQEELLKQVDTWQMNVEQQLADIELASKQKAVLESLKTGNAALKSIQSEINIDDVQKLMDDTAEAKAYQDEINAALGEQLSAEDEEAVMAEFDNLEAELALESLPDAPVTEVQPEKETKVPAYTEAPAEDIDDVIELPDVPTKAPERPEAPEKTKVLEEPLPA >SECCE5Rv1G0364870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803418066:803418473:-1 gene:SECCE5Rv1G0364870 transcript:SECCE5Rv1G0364870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHQRAAADQAVSLSLTLSLGAVAGLSKKMRRASAAAGGDQFVCKTCGRSFPSFQALGGHRTSHLRGRHGLALALTAGDQYYSVKPKSTADQKSEHRCHICGQGFETGQALGGHMRRHREEAAPAPPVLLALFV >SECCE7Rv1G0480960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:277152301:277156558:1 gene:SECCE7Rv1G0480960 transcript:SECCE7Rv1G0480960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYSICCGNFHQLRNSIGHICSIRFLKYHSRIISENVLQNRRHKTRPFSATVLARSARKGSNQSFSNNRHLHGGSVESSIEVFKQSDLQHLKSLQCYDIQEKVSGGKTDCPATILVFDIETTGFSRRGDRIIEFAVRDLTGGKNSTFQTLINPEREVKNAAVHGISTKMVCSSDIPRFGEFIPILLQYVWSRQVAGKPVMWVAHNGKTFDVPFLIFEFQRCKQEIPGDWLFVDTLPIARQLVDSDGEKISSASMKNLVERYKIPVDGKAHRAMHDVTALCYVLQKLTFELKLTVPQLLEKSFRVSDITTTPPKK >SECCE7Rv1G0454520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2940916:2942509:1 gene:SECCE7Rv1G0454520 transcript:SECCE7Rv1G0454520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGVVIAGAMGKQIVGKLSEYAPSEITLQWGYREEVLEMRDKMTDLEAVLGDADHKSRQGGQVYDRWLTKFKRVAFDVEDVLDELDANELISKTQPKVNLWFSRNNPLLQRITMPHKMKNVTKKIVEIEKEGKRRLSLVPQAARAQGSRNNETFAAKTGMVGRGIEKEKIITSEEANQVDISIIPVVGLGGVGKTTLAELVLADKRVSVFDFTVCVHVSEQFDLRQIGSAILKSLNSNINLDNCSMQFLYDNLREELANKRYLIVLDDLWEEDGDKLERLKQMLQHGCKGSRIIVTTRNQSVVQQLRIGLLANEGKICPVPESDTIELGVLEPGECWELMKQKAFGRDDHPDGLEEIGKQIASKCGGLPLVANALGHVMSELRTVQAWEEIRDTKVDLGLRDQKGTLERLMPSYYHMKPEFKMCFTYLATFPKGFVMDNKHIIQQWNALGYINSRHDGQRCINYLFGDVISSDSRIC >SECCEUnv1G0557720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:326755147:326755677:1 gene:SECCEUnv1G0557720 transcript:SECCEUnv1G0557720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAALQTAAVCTLLLFAGQLLPTATPAPPGSCAAGDAKCLACYNKCVQPCRRDPTQCRATLLCGPKCVQQTSSPPPPPPRESGTCAPTNAKCVACVKKCGDRCRRDPTQCRLNVYCEPGCAIQTSRHPPAKDICGPNKAKCLSCVNKCRETCQGDPISCGGLQDCETGCAHQKQ >SECCE3Rv1G0182750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:636412825:636416643:1 gene:SECCE3Rv1G0182750 transcript:SECCE3Rv1G0182750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2A [Source:Projected from Arabidopsis thaliana (AT1G30825) UniProtKB/Swiss-Prot;Acc:Q8LGI3] MILLQSPSRFLLQILKDRVVSGDKGVDIDCHTVEFDDVRYHVQFSMRNPKVMVLSVALPLAPPEAILYDGLPLGAIDAIKAAYGAVVQILDPPKDCFDLTMKINLTKLPTDEEQRNVVLTQIASVREVVLGAPLKLMLKHLASKTVAPNVDKLVALVHRPNESFFLAPQADKVTVVYPMRFQDSIDIVLATSFLQEFVEARRTAALNNAPSCMWSPVPPLELKGVNADALDANAGFVTFVVFPRHVEGRKLDKTVWSLLTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKSDAEKLKKLVHGGSFRRLSLKHEGNSNH >SECCE2Rv1G0085150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:186320650:186321303:1 gene:SECCE2Rv1G0085150 transcript:SECCE2Rv1G0085150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDDVSRKSPVPPPSPPPMDSWARGGRRSRRRGGGSVSSGGGVESEEEYLALSLLMLARGVRGEVEDGGFGGVKGVGAAPTKAQGYGCSVCGKVYASYQALGGHKTSHRKPPTPPAASSGGDEASGGAPVEAKVHRCSLCHRTFPSGQALGGHKRLHYEGGAAADGTGKDKEAAKAKAAALLRDFDLNLPASGVAGDEAESPPPEAKRARLMLLAV >SECCE3Rv1G0155890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69713543:69714498:1 gene:SECCE3Rv1G0155890 transcript:SECCE3Rv1G0155890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAIGGAGVLDSTSHEVPALAKQVDTFRKMVKDGTITANQLSHSVALVAFSGNDYAGTNVIGLSSPNDINAYIGKVTKEIATNVDQLLKFGVTKVLVNNLHPIGCTPSHTRTNNYTTCDIFGNLGASIHNDNLKQIMASKKNVYIVDVYTAFANIVDHAAGKGSELSKQFKRKLSPCCESLDSKGYCGQQGESSSELLYTVCDKSDRFFYWDDMHPTHTGWEAVMKELEKPLREFVNQA >SECCE3Rv1G0188510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:714691636:714698013:-1 gene:SECCE3Rv1G0188510 transcript:SECCE3Rv1G0188510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLLPLLHGPLSSPPRPLLPSRSPSLLAPRTLPLAPRRSRVSRHLSPAPPRALPDIAAAAAGLRDAVAVAFQASPPTWSSAATTNIAIFVAGTPLLLSGLSASGIAAAYVLGTLTWRAFGARGYLLVAAYFVVGTAVTKLKIKQKEALGVAEKKGGRRGPGSVIGSSAAGCVCALLSVYNVGGGAFAELWTLGFVASFCTKLSDTVSSEIGKAYGRTTYLVTTFKVVPRGTEGAVSVEGTLAGIVASTFLAGIGYILGQVNLLQGVLCVLASQIANFGESLIGATLQDKEGFEWLNNDVVNVVNISAGAVLAALMQQLLVSWRS >SECCE7Rv1G0480560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:271508736:271511434:1 gene:SECCE7Rv1G0480560 transcript:SECCE7Rv1G0480560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRRHPLRPRAPALPLLLFLAVAVAPLPAAAVGVNWGFAASHPLPAAQVVRDLLLPNSVPRVRLSAASPDALTALAGTRIAVTVGVPNELLRPLASSRKAAAAWVHDNVTRYASGVLFEFIAVGDDPFLLNHGQQFQPFVVRAAANIQRALDDAKLSSKMKVVVPCSSEAYQNTSTLPSKAYFRPDVNKTMAELLPFLANHSSPFMVELNPILSFQQKKNISLDYYLFQLMSRPISDGHNKYDNYFDASIDALVTALTKAGFSDMDIIVGRAGWPTDGAVNATSAIAQSFMTGLVNHLARKSGTPLRPKLIPTETYLYSLLDEDQHSIASGSYDRHYGIFTFDGQAKYHVNLGQGPTALKNALDVDYLPSKWCVVDNNKDLSNVSSSFSAACSDADCTALSTGGSCAGLGWPGNVSFAFNSYYQQHDQSEESCSFNGLGLITTVDPSVDNCLFALAIRASAATSFHPTFAVLWILVSVCIYSLV >SECCE4Rv1G0226100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:104211854:104215249:-1 gene:SECCE4Rv1G0226100 transcript:SECCE4Rv1G0226100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFENSCPVIVMLTKFDIAKCDEYLPLSKGQGDYGRLTIKIMETRQDGELTLRSVKVQHNESDRVHTVLHIQHSTWPDHGVPDDSTTVRNILKRLYYVPKEHPVVAHCSAGIGRTGAYITIHNTVERIVRGEQAATNLVETVGKFRSQRPGMVQTEEQYKFCYHAIADELKDLIRNSKS >SECCE5Rv1G0352650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:711111633:711114849:1 gene:SECCE5Rv1G0352650 transcript:SECCE5Rv1G0352650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-like protein [Source:Projected from Arabidopsis thaliana (AT1G54390) UniProtKB/TrEMBL;Acc:F4HWW1] MAIARTGVYVDDYLEYSSTLAGDLQRILSTMHELDERAHGILGQTKGQIKFLLGVPSHGFDRPNVVHDESASEKMTRDIENSQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKQEGKIPPDEPHILPPMPPGGRDERRRSSFSTPQAARKFVREKEWERDRERGMDFDLMPPPGSSNKKAVASMDVDQMIDPNEPTYCICHQVSYGDMIACDNENCEGGEWFHYSCVGLTPETRFKGKWFCPTCRNLQ >SECCE1Rv1G0063590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:725997166:726000456:1 gene:SECCE1Rv1G0063590 transcript:SECCE1Rv1G0063590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKENDVYEEELLDYEEDDDKALDAKPADAAQPKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPTAGQVAALVLCHTRELAYQICNEFERFSKFLPELKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPICKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTESEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >SECCE7Rv1G0464980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:65545688:65547706:-1 gene:SECCE7Rv1G0464980 transcript:SECCE7Rv1G0464980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDEDQAGAPPKRPRAASPPPPPPPPPPDQVLDNVLETVLQFLRAPGDRGAASLVCRSWHRAESATRATVAVRNILAASPARAARRFPNAHHILLKGRPRFADFNLLPTGWAASAFRPWVAALAAAAFPALRSLSLKRITVTDDDLDLLARSLPPSFRELSLLLCDGFSSRGLASLASHCRGLRVLDVVDCELNEEEDDEVSDWVAAFPRGHTDLESLSFECFTPQVPFAALEALVARSPRLRRLRVNQHVSLGQLRRLMALTPRLTHLGTGSFRPGDGAEDEGLDFGQMLTAFAAAGRANSLVSLSGFRDLAPEYLPTIATVAANLTSMDLSYAPVNPDQVLLFIGQCRNLETLWVLDSVRDEGLQAVAMYCKKLQVLRVLPLDAHEDADELVSEVGLTAISEGCRDLRSILYFCQRMTNAAVVTMSRNCPEMKVFRLCIMGRHRPDHVTGEPMDEGFGAIVRNCSKLTRLSTSGHLTDRAFEYIGKYGSSLRTLSVAFAGDSDLALQHILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFVWMSGCSLTLQGCKEVARQLPRMVVELINGGGQPENGRTDGVDILYMYRSLEGPREDVPPFVKIL >SECCE1Rv1G0028320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:397796769:397797002:1 gene:SECCE1Rv1G0028320 transcript:SECCE1Rv1G0028320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMIIEDERGLKPPCFYDNVGTRVQPERNPCRVQAFLEARRQIEDANTHGQLRDDLVEHQWQLAGWRQGP >SECCE3Rv1G0171830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:278300957:278305408:1 gene:SECCE3Rv1G0171830 transcript:SECCE3Rv1G0171830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 27 [Source:Projected from Arabidopsis thaliana (AT3G09180) UniProtKB/Swiss-Prot;Acc:Q8RWM3] MMQQSQATVAVAAPAAAARAHEPAGGDAPPKQVAQAMERLGRAGRIIADIRLGADRLLEALFVAASAPPHSAQQHIERNEDVIVKEEISMHRHFDDLRALARQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEDEVLNHLHDAESGIAKRPRMPAGNGELEEKTLSEILKNLENEVPNMKISTYRRLDWSKRASSLASLMDDDFVDPSKELNLQNMGKTGPGSVTTPIDQVAVIELLVPSIFRVVVSLHPAGSVDPDAVAFFSPTEGGSYLHARGLSVHHVFKHVTEHADKALQYFISVEPSKALSILLRWIADYQTLFTKLCSKCRRLLLMDKSLALLLPPVHRPYHQISSIGSDHQEAYHIGCSSYDA >SECCE3Rv1G0160310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:103998873:103999448:-1 gene:SECCE3Rv1G0160310 transcript:SECCE3Rv1G0160310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPWKAEFRELVFRVHQSCYRAGPLLAAARLVLANPPALGPDAAGSIALLRSARAQIERTSSSLSGATVLMVAAELLALRGCAAVPSVPLAGIGDLGDDHDRHDEWLALVRLQAAREHAEDAVRGLEAAITRLAAVTVMLNSRNPDAAGLQQTMAEQLHAAVVELQAVMGSMVNMSGLVGLAIESTRRIL >SECCE5Rv1G0372170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851777359:851780161:-1 gene:SECCE5Rv1G0372170 transcript:SECCE5Rv1G0372170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAMDDLRAKSMACSAGGGGEASSSAADSAAASRIIAQWAARRRQACEQMVLGGLDRRDRDSELMALARLHAVSTMLDASSFLRARAAAADDDDEGRRARSPERALVRRIAREWTAPAQQQGSPRGGQDGGVGEEWLGETERERVRTVRERVRRASQGGDGHAPGLRGRQARAPTAARRMSMERLRELQGLSEHRAVSSFAHRGRIQSILRGRIIGGGRPTRGDVPISTAAGESGQISQSHPGSGGANITSDQATHLENASANHEIIQTLQPTEDQSANVPNTNNELQDDQEQDRHGYQEYSSDSGSSEQSGEQSGSSSTDNGARQEAIAYVPPPPSVQWPGETSGASGQEAEEEEEEEEEEEEWHVIDNPEAEAQQWQPEDLGGFARHNRLQEDALYGMYRAELRELLSRRSVSNLLSSGFRESLDQLVHSYAQRQEHDPPAPLNDGPDRAGHAAAAGAAQPALQRRWRMIPPRRQPMQRPDELEVDEAIHDLRDDMAVLQRGMASTQQMLQACMEMQVELQRSIRQEVTSAMHRSLSVAGTVRWYDDGSQWELVRKGTCCICCDSQIDSLLYRCGHMCTCSKCARELLRGAGKCPLCRAPIIEVVRAYSII >SECCE5Rv1G0353730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:719010514:719011188:-1 gene:SECCE5Rv1G0353730 transcript:SECCE5Rv1G0353730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRAAWAAVLVAVAACAALPATTTANKIKVNWLPNTNYSGWEQEHGPFYKGDWLVFYYTAGQADVVEVNESGYNRCDASNAIYNYSKGRSFAFELNETKTYYFICSFGYCPGGMRLAIKSQKLPPPSPPPSAQHHRSAALTGPCAGAALYAAVAALAALLRVV >SECCE3Rv1G0172460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:295466330:295472216:-1 gene:SECCE3Rv1G0172460 transcript:SECCE3Rv1G0172460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGVSGFHNAPVTRAIVLASGLLSVVFSVNRRARTLGLSYQDIIKNFRVWRIFASVFAFQSTPELMFGLYLLYYFRVFERQMGSNKYSVFSLFAIAVSLPLEILSLVLLKDTNYITALASGPYGLIFASFIPFFLDIPITSRFRIFGVHFSDKSFIYLAGLQLLVSSWKRSLIPGICGLIAGSLYRLNVFGIRRRKLPQIVASIFARFFAPPSASSSRPSRSLVGNVPSRTGRTVQNQPSTGFAPVVEPPESSIAMLVSMGFDSNAARQALVRARNDINVATNILLEAQSH >SECCE7Rv1G0521880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:875117184:875119849:1 gene:SECCE7Rv1G0521880 transcript:SECCE7Rv1G0521880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVFLLGLVLSSHLSACGAATDTIASGEALIVNNTIISSNGKFALGFFQTGSKSSQTSLNWYLGIWFNKVPKLTPVWVANGDIPIIDPVSSVLTVTSEGNLVILNQATKSTIWSAQTNATTNNSIALLLNSGNFVLRSSSNSSSVLWQSFDYPTDTFLPGMKLGWDKVTGLNRRLVSRRNLIDLAPGKYMSQLDPNGIDQVIHTPLDSSIPYWSSGVWNGQYFPSIMSMRAYNVNYTFVNNDQEKYFTYDLPDDTFVYNLVMDVSGRTKSLIWVEGSVGWRMVYAQPALQCDVFAVCGPFTTCNDHTLPFCNCMKGFSIRSPNNWELEDRTGGCERNSPLYCGISNNRSMQDMFHPITCVGLPNNGNNIEEAGSVGRCAQVCLGNCTCTAYSYDNNRCFVWNGELINVIQKQCNDTINSNRATLYLRLANSELQTNLENNNRRSLIIGVAMGASFAFFTLLSLFFLLVIRRRRMSAHRMEKYEDNVGITSFKYLDLQHATKNFSEKLGAGGFGSVFKGFLNDSCAIAVKRLDGARQGEKQFRAEVRSIGIIQHINLVKLIGFCTEGDSRLLVYELMPNRSLDSHLFQSNDTETVLNWSIRYQIAVGVARGLAYLHDNCQDCIIHCDIKPENILLDASFIPKIADFGMAKFLGRDFSRVLTTMRGTIGYLAPEWISGTVITAKVDVYSYGMILLELISGSRNSGGISASDIDDVYFPVLVATKLHKGDVGSLVDQNLRGDVNLEQVERAFKVACWCIQDHEFDRPKMAEVVQYLEGFLEVDIPPVPRFLQAIAGNPHSECKPYLDQTSQEAVRLQDVEGGASHDEPHSSHEGQAQPATMEKIFPLTVA >SECCEUnv1G0548910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:194935483:194939476:-1 gene:SECCEUnv1G0548910 transcript:SECCEUnv1G0548910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVTVPTGVLKPVLVKLATLLGDQYKRFKGVRKEIRSLTHELAAMEAFLLKMSEEEEDPDVQDKVWMSEVRELSYDLEDAIDDFMQSVGDKDEKPDGFTEKIKATLGKLGNMKAHHRIGKEIHDLKKQIIEVGDRNARYKGREIFSNTKNATVDPRALAVFEHASKLVGIDEPKAELIKLLTDEDVHVPVQQQQLKIVSIVGSGGMGKTTLANQVYQELKGKYECWAFVSVSRNPDMTNILRTILSEVAKKDYATTEAGNIQQLINKITDFLADKRYFVVVDDIWDVDTWDIIKCAFPATSSTSRIITTTRINNVAHSCCSSFNGCVYNIRALDMVHSRQLFYRRLFRSDEDCPSYLQETSEQILRKCHGLPLAIIAISGLLANTEKTEVLWNQVKDSIGRALERNPSVEGMMKILSLSYFDLPPYLRTCLLYLSIYLEDSTIEKNGLIRRWIAEGFIHREDRYTAYELGEMCFNELLNRGLIQPGVTDECGRVVSCRVHDTILDFIISKSIEENFITLLGVSILTIGNQNKVVRRLCLQGLKEGNSTVLTAGLVLSHVRSLIVVRGLVEILSLEEFRHLRVLDMSFKPLEDHHLENIVRFFQLRYLNLKGTYIRKLPEQIGRLGCLEILDLSDTRVRELPASIVNLRKLMHLLVGYDVKFPDGIAKMQALETLERVKVSIQPFDFLCGLGQLKNLRNLKLDLALVFDCDTQHTKKAIVSSLCKLGTQNLRSLIIWYDKGSLLHGESLCLPTLEGLYFYFWAFPRVPTWMGSLRNLQQLRIDVEALKQDDLCTLGALPSLLVLCLYLMERAKSNEKLRISGEVGFRFLNTFIYHGYLKPVDLMFGTGSMPKLEKLELHGFRMVEANSLGFGIENLPCLTSVKCIMVVGDDGIVEAVKTAMERGASTHPNHPSLLFQRLHPSL >SECCE7Rv1G0495350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:596855525:596856058:-1 gene:SECCE7Rv1G0495350 transcript:SECCE7Rv1G0495350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSADLPWPARGGGVFDFGQGNALVLVSYPVLLLLILLSAFVKYVWIALALYCAILFVLSCTGRLLAGPVVFVHDEARAAVERGGLSQASIAAIPAFVYAAAAAGDCEAQCAVCLEALSGGEKARRLPVCAHMFHVGCIDMWFHSHATCPVCRCHVEPPKAGKMAPLPPELPLPPV >SECCE7Rv1G0478590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:239680283:239684900:1 gene:SECCE7Rv1G0478590 transcript:SECCE7Rv1G0478590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRTICPGWYALLLVPFCTYYCYLPFLGGRLTSVSTRENRLLSAYHLHRNMSVAKKDEVCGHPLGLRLGEPAAGVPIKKRPVFLSDKSVPSGMTLSMKPPSTPTGMSVSALGAGFSNEPVLNRSKSDIPSRSYTPSMNEKKGVLLNGSSEIPSRVESGRLGSGAPVARSQSHKFLTLDLQLASRQNAKINSNSPVKKEKLDQGFSIFPPARPPKDVQTTSGMESSSNASLGKLSNLDLNVSLDPVDQLEGLPTMQAGFNISHHTTFQHQKAQVPPVAPYSSVSSRLSLNIDNTLKPSNAYQLSNKSGTADVTLDLQLKPPTRPELGINWKGLAPDPELSLSLSGNHTDEPKGLNVPDAIFTSEPVERANKISQEVPTPVSDKSPSGKISKPVACNVNPHTTMPQTVSGNVKIIPSNLVKKEPGEPTQRHIQNNVEKAPLFVQQSVGQASSSAVEKTGNLNKVCGKAGFDLYSGNFPNGSGVHNGLEVVTGSVPVQTDKLCGVACTEKFIKREEVTTAIPSPVIATVSGQSESLIAKSLHLEGNVASPVIGLCQSASQPTISASGPASCNPVKATTDCKPAVNQVHARKNVARKPCDVSRSSSNPAAESLVFNSLHRAVFDGMSQGSASMDFSEDGDNAVSQLPTTNKLHVESLGTSQITEVNNLITEQRKEGDSNMHHDCLSVTNKVHFQGTDGVKRVNTPDVIATHSGEEEHESEVSVNGKAKEQLLTSDKNSPLNNTDVTIEDVKISAGASSADLKRSPILGTSGSHKIDSTRQSPKTSDNTVEKCRIPVIKSERSQSPDGKQTANCSEGNNKIAAVKSEHGTEKEEVARGSNLQPNDPVLGEDSVVDGASTSQLHNESTEVKSTGERSEHEKYKPDSCMTSSVQNEKDGQVNGSHWRDVANAYVNRNERWERFMQSERENNREFHGGRDMNNQRRIDPRYRGHGGGYHGHPRNFRGPRMSDESEIDFEDEPIIGRRRPHENDYGHLHRTQHRRLRSPPNQMPGCLMREMEHDRFSGREIMDPRLLDHGQMDDVQDDMMEQRFFMPHPHSQHTLGDHAFIHRDRSHSPGQRRGAPVHFHRGRSPETMHRSPPLNRSDRPYLPHQRHIRRRGSPFDRVGHDERGMQRNMKRCGMHQGVEGETFEPPLHPAQLAELHAEAELTERRRFGERRAYRRSLERSPMGGDEEMLSYHGDGDIDFADGDGGPREPDGRFRNRMEHRARGEQEDGFRYRGPQGCRDGNPNDSRSKKRRRYATA >SECCE6Rv1G0423790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697468375:697469193:1 gene:SECCE6Rv1G0423790 transcript:SECCE6Rv1G0423790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGHIPKSLTSLHGLHYLDIAHNNISGSIPWSLSNLKAMRAVVSQDTNYNFYEDSIQIIMKDQKRDYTFAIYQLLVVLDLSSNSLTGHVPEEITLLIGLTNLNLSKNELTGAIPNQIGDLRQLDSLDLSFNEFSGAIPSSLSALTYLSHLNLSYNNLSGAIPSGQQLQALDNQMYIYIGNPGLCGDPVGRNCSTHDADQSGLKDIDHMPSVYLAMSIGFVVGLWTVFCTMLMKRTWRATFFQFVDMMYDMVYVQVAVRWAHMIEKTQDRAP >SECCE3Rv1G0155770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69320751:69322351:-1 gene:SECCE3Rv1G0155770 transcript:SECCE3Rv1G0155770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDQLSYGSFCGMAVATALLWLILRQALGVGGKEDTGGAKAKLPPGPWNLPVIGSLHHLVGTKLQPHRALLQLSRRHGPLMLLRLGEVPNIIVSSPEAAMEVLKTNDLVFATRPCGPTMDIISCGCKGLLAPYGEHWRQMRKVCVMEVLNARQVQRIESIQQGEVARLVESVSVAAAACAIVDVGKGLAGLANNIIARAVFGGKFPQQEAHHREMDALSILVGGFSLVDLFPSSRLVRWLSSTMRDVRRSHARVQRILEDIIQERKEKKPTTAARDSEDLLDVLLRLQREDTLTFPLTSETIGCVISDIIGAATETTSATLEWAMAELIRNPEAMAKAQHEVRQRRHHDAVVMITDLGELYYLRMVIKETLRLHPTGMFQRASQEECQVMGYHIPKGTTVVINNFAVGTDPAHWGEDAAEFRPERFQDKDMAEYMQMEFVPFGAGRRQCPGALFATTIMELVLANLLYHFDWAVPCGETLDMGEVYGFIMHTRSSLRLQASSYNQHLQE >SECCE2Rv1G0110330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:688688073:688688657:-1 gene:SECCE2Rv1G0110330 transcript:SECCE2Rv1G0110330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKPSVNLFALLDSNDPGDKLVPDFDDADAKQEVTAAKHKKKPTPAADHTKDLLGQAYPSARDYVIRKNLRERQARAKARAEAEARAKAEAGARGKAKANNGVSGDHKSAGASADGSKAQDAAKQGGYYNNNNYNGASRNQQFSTPGRFGAPKRSMNEAAPAEGVEAPPAPKQAPPPPPPSLDDTNEFPSLK >SECCE2Rv1G0113560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:723615868:723617750:-1 gene:SECCE2Rv1G0113560 transcript:SECCE2Rv1G0113560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor with zinc finger domain and helix-loop-helix domain (YABBY domain), Leaf developmen [Source: Projected from Oryza sativa (Os04g0536300)] MMSSPAESETSFFPDHLAEQQQQQQSPFGEQEQLCYVHCNFCDTILAVGVPCSSLFKTVAVRCGHCANLLSVNLRNLLLPASANQLPFSPSLLSPTSPASPHGLLDEMSSFQAPSSLLTDQASPNVSSITSSSNSCAINTPAMSMMPPPEKPAQREPQQRKSAASGTKHPEKRQRVPSAYNRFIKDEIQRIKANNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLMRKTSIQSQLDGAGDCMLFKDSLYAAAAAAAATAASSMGATPF >SECCE2Rv1G0114510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:735865998:735870090:-1 gene:SECCE2Rv1G0114510 transcript:SECCE2Rv1G0114510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPCLGACGGSLAVLGPSVQRHRPSTSRATVRCAARGGKASSRGKENVWSVDNERAAEQKGRSQKNHRGRRRPGGRSRAPPPPGRGKENDAGSRVLVSGAMLVEVETVLQTQESVLRPSWDTFASSLSGVWKGVGAVFSPITAEMEPVGLGSKEEYLYDCYTLSRIEKSYDSAHGTEIRRKTNWVQLNPDGEAEKQSASDDEWNHGSSSGTVDLPAHESFDLKKSDVLDEDIMIEEPGIVFFEDGSYSRGPLDIEIGEYDESKYFLSPTYQFEQSLVKGCHKRLRIVHTIEFNEGGANIQTVRVAVYEEKWASPANIHVEDITPVDVRPFSQRTRTKPSELTGPWKVYEVSATPIFSEKVKEIEGGAAFVYLCMETMKKRSLPESSVFFGEEEMLDMQDVTILWLPGGVTAYVDVDKDGILCIGVSWYSDEGINLVMERDYGTDGKLREVRTKTEIKRRWNQPEQ >SECCE4Rv1G0259230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:684113799:684114032:-1 gene:SECCE4Rv1G0259230 transcript:SECCE4Rv1G0259230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMIPEDERGLNLPCFYDNVGTRVQPKRNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGWRTGP >SECCE3Rv1G0210300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943309153:943310451:1 gene:SECCE3Rv1G0210300 transcript:SECCE3Rv1G0210300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRRSTAAAALLALVVLLGTSPPDAAAQRTAGRPLVARVNKDASTSLYTIAIKDGGVPLLLLDLAGPMIWVANCPCTHRAIACGGVDCLGISNMFSPVVCTTRGEWPVQGQGPCICTALPYNPVDGRCVPAQATIISLAANATDGKNPLFPVTFPVVGSCAPAEFLASLPAGVAGVAGLERLPNSLPLQVAQGFRLKEEFALCLPRGGDGVAVFGGGPFQLLAAPTVELADGLRKNPLPFLFSPINRAYYFTITGIAVNQQRVPTPSGALDMDRRGQGGAVFSTVTPYTALRWDIYWPLRNAFDAATSGIARADKVAPFDMCYQASELTMTSVGYAVANIDLMLDGGQNWTLPGASSLVQVNDQTACFAFVQTDSSVPAHAESPAVILGGHQLENNLLIFDLEKHTFAFSGLLLGIGTSCSNFDFSMGSS >SECCE5Rv1G0367570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:822352434:822353429:1 gene:SECCE5Rv1G0367570 transcript:SECCE5Rv1G0367570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQILSSTPEHSSGASTATTESGATGRLPTTLGLPVAIPDEAVTSRSASASAQPASSRFKGVVPQPNGRWGAQIYDRHARVWIGTFPDEDAAARAYDVAALRYRGLDAATNFPRTAASTELAFLAAHSTAEIVDMLRKHTYSDELRRGLRRGPGIGGRAQPTPSWAREPLFEKVLTPSDVGKLNRIVLPKQHAEKHIPLKRTPGTTTTADKAVLLNFEDGEGKVWRFRYSYWTSSLSYVLTKGWSRFVREKGLVAGDVVVFSCSEYGQEKQFFIDYKKTTTVSGGAAAAPPVVETGKGEQARVVRLFGVDIAGEMRGLAAPAKLELFKRQ >SECCE2Rv1G0095030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:412419319:412432109:1 gene:SECCE2Rv1G0095030 transcript:SECCE2Rv1G0095030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFKKNLNPQVFLEISIDGRPAERITFELFADVVPKTAENFRALCTGEKGLGESTKKPLYFKGTQIHRIIPGFMAQAGDFSRGDGRGGESIYGGKFPDENFKLKHDQPGILSMANSGENTNGSQFFITFKAVPHLDGKHVVFGKVLNGKALLKKLEALGSESGKPTCPVKIVDCGEASNIDTQNQLHGEKEKKLKRAVEDNSDAGGRVKTKKTSSVDKRRKKRKNYSSDSYSSETSDSQSYSSDSGSDSYSSASSDTSSSSDHRHKRRKGSKKVKRKPAKRKSSHKKSKSKTRGTKRSKRGYGSSSDGSKSLSSSSDNGSAGRRTKHPLKKYEENTKIINLEIGKSLEYADKGKQTVAGSKPLHKDENGADDRVGTQNSEDRSSKFRDDTNPIRADTTLSRADGNITAVAAGTGISEAGAERNPLSSEPVPTNGQDLAMGSTEDGRVRKGRGFTQKYSFARRYRTPSPECSHVRSSYNGGRNDRWNNFNRYGRNDLYGARSPVKRYQGSSRASSPPRYTRRDRSRSRSCSPVRRRDGGGRHRCPSPRRNRSPAEQHKRDAAYRPRSGRGGGGPSPANRGRSRSRSKNHDASRSRSPDAAPAKRVSSKYNRRRSSSSRSSSPSGSKGGLVSY >SECCE5Rv1G0369450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:834945922:834951484:-1 gene:SECCE5Rv1G0369450 transcript:SECCE5Rv1G0369450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLASGLGGKIDKEQVKSAVDEYEKYHGYYGGKEESRKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLELKPGMKVLDVGCGIGGPLREIARFSSTSVTGLNNNDYQITRGKALNRSVGLGATCDFVKADFMKMPFSDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQCFAVYEWCITDHYDPNNATHKRIKDEIELGNGLPDIRSTRQCLQAVKDAGFEVIWDKDLAEDSPLPWYLPLDPSRFSLSSFRLTTVGRIITRNMVKVLEYVGLAPEGSQRVSSFLEKAAEGLVEGGKKEIFTPMYFFVVRKPLSE >SECCEUnv1G0550350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:215240552:215244885:-1 gene:SECCEUnv1G0550350 transcript:SECCEUnv1G0550350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELERVKPHLHVDCAQPPATTWQRKFADEGKKVAMLSTATDILTIIPLIFKMLRLHVEGIAKNQVAVYDPLRKWMDNCYRGVPLGGLGSGSIGRSYRGYFQQFQIFPRIYEEKPVLANQFSAFVSRPSGKSYSTVLSAPTADLLKGIDKAGIGSWDWKLKEKNCNYHGLFPRSWTVYDGEPDPEIKITCRQISPFIPLNYKESSFPVAVFTFTVQNSGSTPADVTLLFTWANSVGGKSELTGNHTNSRIKARDGVHGVLLRHRTADGNPPVTFAIASQETGDVRVTCCPSFAMGPSSPGGRDQFTAKDMWDEVKKHGSFGEAAGGAPTASSRPGSSIGAAVAATTNVPAGCTRVVSFALSWSCPEVKFPAGRTYHRRYTKFLGLDRDAAAEQLAHDALLEHMKWESLIDDWQMPILQDKRLPEWYPVALFNELYYLNAGGTIWTDGMPPKKTSFASSKYGSTTESFSLDGFRAGDPAVDGILRAMATAEERLESSSAFGTALLSDGEENVGQFLYLEGMEYHMWNTYDVHFYASFSLLSLFPEIELSLQRDFARAVLLHDPRPMRTLDGVDVPRKVLGAVPHDIGLADPWFELNAYMIHDPSRWKDLNPKFVLQVYRDVAATGNVAFATAAWPAVYLAMAYMDQFDRDGDGMVENEGRPDQTYDLWSVSGVSAYTGGLWVAALQAAAAMARIVGDRGVEGYFLERYKRAQRVYDGELWNGSYFDYDNSGGATSKSIMADQLAGQWYARACGLEPIVEEEKARRALGTVLDYNVMRVQGGAVGAVNGMRPDGAVDASSLQSKEVWVGVTYGVAAAMVHEGMPEAAFRTAKGAHDAGWGRDGFGYAFQTPEAWTSDAGGGYRSLHYMRPLSIWAMQWALSPPELHRDLRVVPGSVSTVASPAEVDLAREKFEKVASMLRLPEEVQHKGYLRAIYQVLRQILLPES >SECCE5Rv1G0365110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:804674004:804674647:1 gene:SECCE5Rv1G0365110 transcript:SECCE5Rv1G0365110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVSGVAVSEECVRAFQELRAGRAHRFVVYKMDDAAQRVVVDKVGGRDAGFDDLAAALPADDCRYAVYDLDFTVGDATAKGAHGEAPRSKIFFISWSPASAEVKSKMIYASSNEGFKKELDGTQIDVQATDPSELTLDILKDHAT >SECCE7Rv1G0460050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:29003448:29004948:1 gene:SECCE7Rv1G0460050 transcript:SECCE7Rv1G0460050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPAAAAVPRMKLGSQGMEVSAQGLGCMGMSFAYGSPKPDADMVALIHHAVAAGVTFLDTSDVYGPHTNEILLGKALQGGGVREKVDLATKFGSFFGEAGMGVRGDPAYVREACEASLKRLGVDCIDLYYQHRVDTTVPIEITIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITSVQMEWSLWSRDSEQDIIPTCRELGIGIVAYSPLGRGFFSLGPKLTASLSDDTDLRKLLPRFQPENLEKNSLIFESVNAMATRKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNVGALSVRLTPEEMAELESYAAAGDVHGDRYPQMMSTWTDSETPPLSSWKVEC >SECCE7Rv1G0455650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:7993579:7996994:1 gene:SECCE7Rv1G0455650 transcript:SECCE7Rv1G0455650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVSASHGMMGPLLGKLADLLAGKYGRIRGIRGEILSLQAELTSMHAALKNYTMLEDPDLAYDTEDCIDKFIHRLGKRRHRGGFKEILRDTTRFLKTLDSRCGVADQIDELKARIKHVKELKDSYKLSGTACSKTEHAAVDPRLCALFAEEAHLVGVEGPRDDLVKWMLKEETNSSTKDRKVLSIVGFGGLGKTTLANEVYHKIQGHFDCQAFVSVSQKPDIKNIIKDVISQVLCQDGSTEDTSDWDEKKSIAKLRELLLDNRYLIIVDDIWSTQAWNNIKCAFPDNNCSSRIITTTRITDVARSCCPDSDDRVYEMAALSDLHSKRLFLKRIFGSEDHCPDMLKEVSDKILKKCGGLPLAIISISSLLANRPAIKEDWEKIKRSIGSALEKDRSLEGVSSILSLSYNDLPPKLKTCLLYLSVFPEDYMIDRERLVRRWIAEGFISEECGQSQQEVAERYFYELINKSMVQPKDVNYDGKARACRVHDMMLEIIISKSNEYNFIVVIGGGQTSLANREGFIRRLSIQHIDPELAHLLAEEDLSHVRSLTVTSSACIKHLPSLAKFEALRVLDFEGCEGLEEYYMRSIGKLLQLKYLNFRATIISKLPSEIMTLRDLETVDFGDTRVEELPTGFTQLTKVQHLIGGSGTSIPNKIGNMRNLRVISGFSITQSPADAVEDLGNLPGLEEIDVNLGGGESDEFRRHEEMLLSSLCKLGSCKLRSLQITRDPGSLEFLESWSPLPISLEVFYMFGDYYLTKVPEWIAPALTSLSFLDINLAELTEEALHTLGELPALLYLRLYWETEPEERFIVQSTGFPSLKELVLVNWAYVTFVEGAMPKLENLRLSLAVSVAKGYGFYLGIELLTCLKKVTVWFETEDPESKAAASAITKEAGAHPNCPAATFMGEYSDRMKMESRS >SECCE3Rv1G0152050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:43875313:43875660:-1 gene:SECCE3Rv1G0152050 transcript:SECCE3Rv1G0152050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTATKLLTVALLVALLLVVSDAAISCGQVNSALGPCISYARGSGASPSAACCSGVKRLAGSVRTSDDKKAACLCIKRAAGGLNPGKAADIPSKCRVSIPYKISSNVNCNNLH >SECCE1Rv1G0050440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:646909919:646916627:1 gene:SECCE1Rv1G0050440 transcript:SECCE1Rv1G0050440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSAAAGVEAAALLPPRCPAPSPLDARSRRRLALASRTRHRSLRAAAQRPHKSTTGADPLNNNRANIRSDEAAVSAEKERQRKYNDGDGISNLQLEDLVGLIQNTEKNILLLNQARLQAMEHADKILKEKEALQRKISILEMRLSETNAQHKLSSEGNVSDSPLSMEFDVLNEENILLKEDIEFFRTKLIEIAETEEGIFKLEKERALLDASLRELESRFIAAQANMMKLGPRDAWWEKVEKLEDLLETTANQVEHAAVILDHNHDLQDRLDKLEASLQAANISKFSCSLVDLLQQKVKLVEERFQACNREMHSQIELYEHSIVEFHDTLSKLIEESEKRSLENFTGNMPSELWSKISLLIDGSLLEKKIAYSDASMLREMVRKRDSRLREAYLSYRGTENRDVMDSFLKMALPGTSSGLHIAHIAAEMAPVAKVGGLADVISGLGKALQKKGHLVEIILPKYDCMQVDQVSNLKVLDVLVQSYFEGNMFNNKIWTGTVEGLPVYFIEPQHPAMFFSRAQYYGEHDDFKRFTYFSRAALELLYQSGKKVDIIHCHDWQTAFVAPLYWDVYANLGFNSARICFTCHNFEYQGTAPARDLAWCGLDVEHLDRPDRMRDNSHGRINAVKGAIVYSNIVTTVSPTYALEVRSEGGRGLQDTLKVHSRKFLGILNGIDTDTWNPSTDRYLKVQYNAKDLQGKAANKAALREQLNLASAYPSQPLVACITRLVAQKGVHLIRHAIYKTAELGGQFVLLGSSPVPEIQREFEGIADHFQNNNNIRLILKYDDALSHCIYAASDMFIVPSIFEPCGLTQMIAMRYGSVPIVRRTGGLNDSVFDFDDETIPMEVRNGFTFVKADEQGLSSAMERAFTCYTRKPEVWKQLVQKDMTIDFSWDTSASQYEDIYQKAVARARAAAA >SECCE6Rv1G0423110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:693929031:693933910:1 gene:SECCE6Rv1G0423110 transcript:SECCE6Rv1G0423110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAGPTFEDLERDLQAVLMDQNHHGGASAEELSMYRSGSAPPTVQGARAAVNTLFSAVPPAHVDNSNSGLGGADMLSEEEILSHPAYLQYYYNNEHLNPRLPVPMVSKEDWRAAQRFQAASGSIGDWRRRPSEATGGSSLFSVQPGAREGNGVDYLLNDRMGRGERNGLARQQSSEWLGQAADGLIGLSDVNGLASRRKSFADALQENISRPASKAGHLSRSNSRNAFESPNLSRSNSRNAFEGPNLSRSNSRNAFESPNPVRSSESSKAQFQNRSESMNGFRSGSASPSLVRVQSLGSSMSHTFASAVGSSISRSTTPDPQLMQRAPSPCLPPVGVRMGKADKMVEGRNVSSRNHDGSDTAAALSAMSSLNLSGNNMANLESDVQNHIYQNFGGDQRDALFNVPKEHRQFSTQNLVHNADEEQMNALEYAVFPNGSSNLNNPNMSKLAAESNIKFPTQSQHGNGHKKGSLLSPTGPVYLYQNLNGDSSNIDVPGRHAKANSRSSGSSMLNNHLNNDGEYANLLSNQGGTGYQVQPMDAVYAPYLQANPNSPLGAAGSMSPFRGNNFSGSGHMDNAAYQKAYLASLLAQQKLQYGMPYLGKSGGLSPTLYGSEQAYGMDMAYLSSPTSSHFIPSPQGHARQGDRLGRIPSMARSTTGGTVGTWSSENGLIDNAYGSTLLEEFKTNKTRSFELLDIVGQVVEFSSDQYGSRFIQQKLETASTEEKNMIFPEILPQARTLMTDVFGNYVIQKFFEYGTEPQQKQLANLLKGHVYTLSTQMYGCRVIQKALEVVGVEQQTQMALELDGNTIILKLVRDQNGNHVIQKCIECIPQERIQFIVSAFYGQVVELSTHPYGCRVIQRVLEHCDDENTQNAMMEEIMQCVVPLTQDQYGNYVIQHVLQHGKPEERTSIIKQLAGQIVKMSQQKFASNVVEKCLSFGSPEERQILINEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDHNRELILSRIKVHLNALKRYTYGKHIVARVEKLIAAGERRIGVSSQSCRD >SECCE4Rv1G0223140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:66650692:66651090:1 gene:SECCE4Rv1G0223140 transcript:SECCE4Rv1G0223140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEIPLHGANAMLVMNGSFTFVDPVQPSQMRDPAPAPAEKKKRDRGEDLLLADDSEAAGWSSAILDRSLALRRRRGPSPSSFHRGCLVVTEAQNSRDQETAPEVATEEILECQWSEKEPSVEELVYWTVI >SECCE1Rv1G0026970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:376526208:376526489:1 gene:SECCE1Rv1G0026970 transcript:SECCE1Rv1G0026970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRIGPILGHTMHYRRMIITLQPGYSIPLLDREKN >SECCE2Rv1G0083180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:162913275:162914339:-1 gene:SECCE2Rv1G0083180 transcript:SECCE2Rv1G0083180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDSPPPGKPPLPWRVRLLVGAVSILHSVSLRADGTVNRSLLSLFERTVPPSSAPDAAGVSSYDHAVSSHLRVRLFVPAPAASGSKLPVVVYFHGGGFVFHSVATAQFDALCRRLAASIPAVVASVDYGLAPEHRVPSAYDDGEVALRWALAGAGGALPSPPTAVFVAGDSAGGNVAHHVAARLQRSVAGLVLLQPFFGGEARTASEQRLCHAPFGAPERLAWLWRAFLPPGATRDHESANVPAAIQRDGAAAGRWRAFPPTLVCVGGWDVHQDRQRAYSHALRAAGAEEVRVAEFPDAIHAFYVFEDLADSQRLLADVAEFVNRRAAEHLDAPVNDQCPLSTGSYSLRPIM >SECCE5Rv1G0371690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:849268116:849273787:-1 gene:SECCE5Rv1G0371690 transcript:SECCE5Rv1G0371690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSMPQVWDEEARSRKGDGIMSPAPAAAMLGSLAGWLSRAADPPAPKLCGSPGGPPVTAPRVALRDGRHLAYCESGVPKELARFKVVFSHGFTGSREDSVRATQEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPERIAGAAMMAPVVNYWWPGFPADLTAEVYSKQEVGDQWALRVSHYAPGILHWWMDQSWLPTSTVVAGTTPLPNKRDAEIRAKLKADGTFQQKMELATQQGIHESYYRDMMVMFGKWDFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRHIASRLSWVNYHELPETGHFLSPVPGLGDTVLRTLFGNAKQ >SECCE1Rv1G0031630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:444493545:444494536:-1 gene:SECCE1Rv1G0031630 transcript:SECCE1Rv1G0031630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSYVALAAAVLAALVSGGACIPRVPPGPNISTNYNNQWLPAKATWYGKPTGSGPKDNGGACGIKDVNLAPYNGMIACGNVPIFKDGKGCGSCYEVKCQRPPPCADKPVTIFITDKNYEPIAPYHIDLSGKAFGAMAPPGKEQALRSVGELELQFRRVRCKYAPGTKITFHVEKGSNPNYLAVLVKFVSDDGDIVQMEIQEHQSPQWKPMTLSWGAIWRWDGAQPLKGPFSIRLTSESGKKLIAKDVIPANWKADTVYPSNIQF >SECCE3Rv1G0168140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:215076416:215077729:-1 gene:SECCE3Rv1G0168140 transcript:SECCE3Rv1G0168140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSLLKYLRGGAVAGNQRAAVAATTIAASACEDGGEGGGGGGGGDVVEDDAAFFDLEFAVPGDESAASDAEEERVEFNFSVAGDAASGGEVVAVEPVVPVSETENGVGKEAEPAATAEAPAASFLRPATKFRVLLLKLRKPKVPAPAEGNAGGAGGASGSPAPKTNRFLIKFRVDDAPFVSLFTRDNSSRTSDAGAGTGAARPAVQAPQPSDAVAITAEERRFAKEVMLKYLNKIKPLYVKVSRRYGERLRFAGASEGEETDAEPEPSPSPSATPSPAPSQPRAAPAPPPQPVVVACGVRAPRASVPAGLKQACKRLGKSRSASSAVAAAPSPSATPPTAAQPQRRDDSLLQLQDGIQGAIAHCKRSFNASKGSESPLLRSMTEPKADGAADTKDGVDGA >SECCE5Rv1G0357150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:744211819:744213351:-1 gene:SECCE5Rv1G0357150 transcript:SECCE5Rv1G0357150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQEFTVDLNKPLVFQVGHLEEHYQEWVHQPIVSKEGPRFFANDTMEFLTRTKWWAVPVIWLPVVCWLFAKSILMGHAIQEVILMALFGVFVWTLIEYSLHRFLFHIETKSYWSNTAHYLIHGCHHKHPMDSLRLVFPPAGAAIICVPFWNVVAFFASPSTTPALFAGGLLGYVMYDCTHYYLHHGQPSKDPAKHLKRYHLSHHFRIQDKGFGITSSLWDAVFGTLPSSKIATKLS >SECCE7Rv1G0457650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18248951:18249844:1 gene:SECCE7Rv1G0457650 transcript:SECCE7Rv1G0457650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRDGGGSCTSTASLARYIPRALRRGGKKQQRGQAYLMGGRRRAPDGYAASVELSASAGSTWPAASVVRVVLWSGVVEVYAGVVLACAVVGNHPPGLCLAHPDVFRNPHGATVRPLEPLFPGQKLLLLPETTVRRLQRDIPEGSVGANPDLDDDREDGTDADADMSSSSSPSWSGEEREAAPEGCCARDYFVDRERWAEWQFKRMVALGLAVEKEGAAGPARKDKKRRRNTKPADGLQLDAAAASKNSRRISQAQKWTRSWEPSLPSVDEDEEDATPPSTPSSEAAAARTDHETA >SECCE3Rv1G0178260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:495718706:495719863:-1 gene:SECCE3Rv1G0178260 transcript:SECCE3Rv1G0178260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDAVKALRIGKDALDAGDTARALKFLSKAKRLDPLLPIDHLLNPLLNKDDPSPSPASSSSSSAPHPPPPPPSRAAATAAEAIGSDGLSERKQKGKKKDGEEGGGDTAGVRTYTEEQLEVVHQIKKHTRDYYKILGLEKDCTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDAESRRCFDLVGSDEPPAYNRRAASTTRSYNGFYEDDIDPDEIFRNFFFGGMAPATTRQFGQFGTFHFRTGGMHHAHGAQQGSGGSTVRMLVQLLPVLLLLLLNFLPSSEPVYSLSRSYPYEHKFQTQRGVTYYVKLPNFEDQYPHQSTERTTLERHVERDYYSIITQNCRVELQRRQWGLAYQTPHCDMLQKFEATAQ >SECCE1Rv1G0028330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:397798238:397801053:1 gene:SECCE1Rv1G0028330 transcript:SECCE1Rv1G0028330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGGLAAVVAALELGKSGKDRVATPTAFNSFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCITYILSCITKHSPQYRILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLVAIVAGLFANLLADNLGFGPVAPFDAAACFLAIGMAIILSSWGENYGDASEGKDLMAQFKVAARAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLSSMLGSSIASRLLARKMKVEGYMQIVFSISAFTLFLPVVTNFIVPPSEKGGSISFGGSLQLLGFCIFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNMFVCVVLYNVNAFPIAVMFGMCSIFLFIAAILQRRLMFVSDLHRSTKAAEMTTEDEPLNP >SECCE6Rv1G0450180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:866861943:866864994:1 gene:SECCE6Rv1G0450180 transcript:SECCE6Rv1G0450180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMKPCTAIALVLVVAAQCASLATAAGPRVIIIGAGISGISAGKRLSEAGITDLVILEATDRIGGRIHKTKFAGVNVEMGANWVEGVNGDEMNPIWKMANGTRGLNLRTFRSDFDHLASNTYKQDGGLYDEKVVENIIERMEEVEESGSKLSGTLHHSGQQDMSVMAMQRLNDHMPSGPARPVDMVVDYYQHDFEFAEPPRVTSLQNTQPLPTFSNFGDDVYFVADQRGFESVVYHVAEQYLKTDSKSGAVTDPRLKLNTVVREISYFPSGVAVRTEDNKVYRADYVIVSASLGVLQTELIRFKPQLPSWKIVSIYQFDMAVYTKIFLRFPKRFWPEGDGKEFFLYASGRRGYFPVWQQFEQQYPGSNVLLVTVTDDESRRIEQQSDNQTMAEAVAVLRKMFPGENVPDATEILVPRWWSNRFFKGSFSNWPIGVNRYEYDLIRAPVGRVYFTGEHTSEKYNGYVHGAYLAGIDSADILINCAKNKMCKYDVKGKHD >SECCE7Rv1G0482770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:312373092:312375243:1 gene:SECCE7Rv1G0482770 transcript:SECCE7Rv1G0482770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVNMSDSALQDLNAAQSVVLEKSKYSSVEPSSSKPVLNGNKCVKKEGNAVPACPDVTNGCDAVVVDVEYVDSENLTDLPDVDTTLSTLLARLDSKDWIKTCEALNNVRQLAIYHKQRLQELLEPLVPLIVKSVKNPRSALCKTALMTCADIFKAYGEQMVDSIDLLLMPLFLKSSQDKRFVCEAAEAALISMTSWISPSVLLPKMQPYLKNRNPRIRAKASVCFSKSVPRLGLEGIKEYGMDKLIQVAATQLSDQLPESREAARKLSLELQAFYEKSQASGSGEDDSVPAISPEAETWEAFCLSKLSALSAQAILRVTVGVPVAPKEGGISAALKEGGVPAALKEGGISVVVAPKEGGVPVATKEGAAAVGC >SECCE1Rv1G0003850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:15475592:15477886:1 gene:SECCE1Rv1G0003850 transcript:SECCE1Rv1G0003850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQLWRVSALFTVNAILMGVMVGITTYGHRSHQRPLFRFIFQGATTLFLPIVSYVVSTTGDQSIIAAPFHSGIRGQDEIVIGRCDTTEHISRVLVWTALVHIVGINTTAIIATDAREGRKFGPPVVLLVQAVWTSYLAVYNAGYQGSLGLYHRVLKEDDARHAGPVGYNKVWSVKNVVSQRGFVVVSLFALIFAKLILKCYAWYMSKKSLVLGRNPRVIFGYMEQLHDGNHPPEAVGKHIPPLIIMGEDTLLLEKQSYGYSFKNMPRRGDETRVNNNGLVTLDKVWQLDSMSLRLTPQLQDVCLSLALFKLLRCRFAKYTIADASFMKVSDFLWDMLLKASDAERFLGLIENELSFLHDCYYSSLPISFAKSWLPILSIFISLLSIGCCLVTTIVMFPLVLLNGGGYQLMCLMHCNSPDYEINMRVGNLYHDLAPVCLLFALVVLTEVREIASYVYSNWTKVAIICHFVQGEASLRKYPTLKKIVNHVLQHRCKLLRHWDNKMNQCSILVLHRRRIPAVFLQRLIHLPDQESKKVPRAVKTIIVETLRSYGRSRNNNVIYLHKSIQLQVDDNLLWTVSNAGTADSILVCHIATSIFEVSSQPQSHEPLSDHKVAAIHLSRYCVYLVGYHPELLPEDDDWCKSLYKDVKKDAGRLQSSSVAATPMQLIKLLSTKSKHEVLKNGALLGGQLVGLVEREEMVWKALAKFWSELILYVAPSDNMDKQAEAIFRGGELITLLWMLQTHLGIVRRPDSSGATTDVTPPV >SECCE1Rv1G0010590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:63675589:63677148:-1 gene:SECCE1Rv1G0010590 transcript:SECCE1Rv1G0010590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAITPGSISSAAAMQAAPHLDDGIVSEILHRLPTREAYRLAAVCRRWRAVLCQPTFLCRHLSPRPLPLLDDGPSAFIVQPRGKIGYTHLTLVATNPGDGFPVRFPVLDRYMDRRPPQRQIVRVPRPDPTPALLDKGTEKPAFRLLYSTGADDEDDVPYVSESESEDDAVEAELPVVGSLFDGSDSEDDAAEQQAGVVVAPSATVMDVAEPSEHRDTPPASEVHVEDYVVFFEKTVPMLDISIVASHGRLLLARSQSGYYVCDPGANRWLALPPSTIAPDRDANSGLEYHVDASTGNTVFTVVLLLRRRLRRGLVETFSSTTGAWNVRVLRSEGVARCLGAASPGVHAGTCFYWLSRRKGRIVRYDGASGRASLLREPPEAEQSRCRVGRALGTVDARLRLCAFDIRDDKGDSMLPHDGLEGVHGVWLMEMEGASAWRRVHEALVEDISVWYFLSLHNHETPVDFAGASEEFIVVDKGKRLLRYELDSGHKVPLSSLYRDTGRLGALYRRYHAFAYFR >SECCE1Rv1G0032930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:461071341:461072798:-1 gene:SECCE1Rv1G0032930 transcript:SECCE1Rv1G0032930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQAASVFGSFPFRAAVVALCVLLLPLLPSPRVPAAGEGDGGGRGGGGQAFLAKVWELLHLLFVGIAVSYGLFSRRNDGGGRVEKDAAAAAGKADARYVSRMFQGELVFDDDGDDGVPDSPAGGRARSWNALHHPEEPVVMVAAGGGGRSHTAAQQAPLSLPVRALRPQDSGAAGHGNGESRAQPRAHDTVLPSPIPWRSRSGRFDAPPTPSSPSPSPKRLSPASSMSDETLAKASEEHATVPKRRSTYKSSISSLPPPPPPPPPPFLVHGYHPAADRRTSAAKSFKEELQDHSTRGRGDHDHYSQQSSQSFSSSSTYTNSSPATPRSSFDGSSSSPSSPSVGRSVRTIRPREGIQPKIQEVTDEDEVGNAPDSSHGSEEPYGYREYQAVPRFQYERRSSDPILGIVAVSSDETESSDDEDGVGAYSTRTNSPRESPPTPEVDENEVDKKAEEFIARFREQIRLQRIESIKKSAGPRGLKHGK >SECCE4Rv1G0226990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:116817578:116818522:-1 gene:SECCE4Rv1G0226990 transcript:SECCE4Rv1G0226990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQIVSFIVWTEMESVEAASGNSGRVGIIVWTNGMTNTMLGFLAGLVADGKRTSSGFRGVHHRQCAAVLNEQFKLSVTGEQVKNHLKKWRKIWTKVVNLKNLSGALWDEDTCTIRLSEEHYAGHCMTHKADAPFLNTPIEHYHAMAAIYGTMGAKGLNAISGNDLSIDPEEEENSEVNTSPNVGESSDPKAPPKKKAKVKHVPEDPLSLILKDGFKLVADALVKSSGDDDDIPDNLWDVVSTLPDFDEEHVAHYYAHLVDNPKTARAFMKLSQTNKSVWVSRYVKKNF >SECCE2Rv1G0121590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:809423137:809423706:1 gene:SECCE2Rv1G0121590 transcript:SECCE2Rv1G0121590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKADAPFLNTPIEHYHAMATIFGTTAASGMNARSGNDLLSIDVEDEENGEVNTSPKVAESSHPKGPPKKKAKVVKVLEDPLVTTLSYGFKLVADALVKPGDDDDIPAELWDEVCKLGEFDEEHLAHYYAHLVDNPKIAKAFMTLSQTNKSIWVSRYVKKNF >SECCE4Rv1G0287500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:855448440:855452260:-1 gene:SECCE4Rv1G0287500 transcript:SECCE4Rv1G0287500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAFLTLLVLSLLLFPNGICKSLAAAPPVVNIGSILQFNSTTGGVAAVAIHAALEDINSDPTVLNGTTLKVQIKDTNCFDGFLGMVQALQFMETDVIALIGPQCSTISHTISYVANELRVPLMSFASDATLSSIQFPFFVRTGPNDLYQMAAVAEVIDYNHWKIVTAIYIDNVYGRNGIAALDDALTLKCCKISYKVGFPSNAKRSELINLLVSVSYMESRVIILHTGAEPGLKLFSMAKQLNMMGNGYVWIATDWLSAYLDANSSVPVDTISGLQGVLTLRPHIPNSKMKSNLVSKWSRQSKKYNYSDLRVNTYGFYVYDSVWAVARALDAFFDDGGRISFSNDSVLHGGTLHLEAMSIFDMGNKLLEKIRKVNFTGVSGQVQFDAAGNLIHPAYDIINIIGNGMRTIGFWSNYSGFLSTVSPEALYSKPPNISLADQHLYDVIWPGETAQRPRGWVFPSNAKQLKIGVPNRFSFKEFVTKDNSTGSMKGYCIDVFTQALALLPYPVSYKFIPFGSGTENPNYDKLVQMIESNEFDAVIGDIAITMRRTVAFDFTQPFIETGLVILAPVKQHITSSWAFLQPFTLEMWCVTGLYFLIVGVVVWVLEHRINDDFRGSVRDQIITIFWFSFSTLFFAHRENTMSTSGRGVLIIWLFVVLIIVSSYTASLTSILTVQQLDTSVKGIDDLKNSDGPIGFQVGSFAQDYMVKELNISRSRLRALGSPQEYAEALKLGPKEGGVMAIVDERPYVELFLSTYCKIAVAGTDFTSRGWGFAFPRDSPLQVDLSTAILSLSENGELQRIHDKWLKTGECATDNSEFVDSDQLRLESFLGLFLICGVACVLALLIYFGIMLRKYLRHEQRWSLRRFISFVHGKDPPKNNERRSMSLLGSSTPATPMSSLTTLEIERSAREARNDIIEMES >SECCE5Rv1G0331210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:537019108:537019949:-1 gene:SECCE5Rv1G0331210 transcript:SECCE5Rv1G0331210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVNMYNPEHHQSSFMAPRMSFSSDFALEPPPASALSARGPGDADFEFSVGSRPMMAADELFSKGRLLPLREAPHGQAGRPTTLREELRTDDRHGRAPRAPNIRWKELLGFKKANKKAAAAAAADAGAGTSSAEAHTDHGGQGGARD >SECCE3Rv1G0144640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5054570:5059550:-1 gene:SECCE3Rv1G0144640 transcript:SECCE3Rv1G0144640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPEVWFVRVKDVDDAIERILIDIRSRHYKVIYFDGWNGFGASAVLRSIAAVLPSRRTTPAMCFDKIIYIDCLEWKNRREVQRAIAKELQLDSSVMAILDKQDEDDYFWGRDESLRHEIDSVSQVIDQTLRGIKFMMIFLNGSDDEVDVGLMGVPLARYGNNMMIWTFSRSCLTMHRDRSEVSNKLRYTQIFHLSFIEDLTGSQFRGVLHQQAATIVARNPCMLDIDPTIIVDCCLYELFLHYNFHTVTKFHWVSHASNYWVCDATIQGDRARDISDALHREINWKFDASLHDHIFKIMFTHSESPFLVIKDDDVYEEGPYRWMSVVSRDAEVHGMKAMPAEASSFFLAFEIPNHPQALPDGFFDHCSKLGVLILYCCAFNFASPSFLKCHALRFLGLDQCTNDKTTEGEHNTEWLCLYNLWVLDLRYTNWNEILSGEKMDLMTNIRELNIEGVMGWQYIACLQRRLPNLRRLRIIKPTCQWETSEVVDDYFIDKKSMEILDLSGNCDMKFLPASLSKASSLRLLVLDGCDELESVGGLTPSLESVRFNGYGPASQWTQTVELPPKQFRPSSTPDNKDARISKISFVGCTQLEHLFLCWLPNLVELDLSGTSIKILDFKTMVVQIPRLKRLFLIGCKHLCAITFLRESVPDLELMCIDMRAGMVCPRPSIGKNKPSGLQVHAVIVDARLTHSLHDLIINYIQYIVHFNIHVTSSHVYDGVVQFEATSKDMIGPSDQESMQLIPAGRYSDVLGMVGAASPLMQTFPQPPTIKLDRHVEIAQGSLYVERELQQALGELMIRSESLHVHDVSVRAITPQGRLWRKLRWCCVERCPKVDTVFPRYSSEFTTLETLWVSGLLVARTILSKGSRLNKYGHTASFKILKQLQLRSCPSLQFVLPVWVTSFPSLETLHIIHCGDLSHIFILDEEYPKELSTHGVLFPKLTTIHLHDLPKLQNICEVKMVAPMLKSLKIRGCWSLRRLPSMGARGQGEKKLPVEIEKDVWDALEWDADHRPDHFEAPVHSRYYKEKLPRVSVLR >SECCE1Rv1G0060870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712527042:712533193:-1 gene:SECCE1Rv1G0060870 transcript:SECCE1Rv1G0060870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVGDVSLTKRSRIIELSRRLRHRGPDWSGIHSFEDCYLAHQRLAIVDPTSGDQPLYNEDKTVVVTVNGEIYNHEELKAKLTSHQFQTGSDCEVIAHLYEEYGEEFVDMLDGMFSFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALIDDCERFISFPPGHLYSSKTGGLRRWYNPPWFSESIPSTPYDPLLIRESFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVVSRHLAETKVARQWGNKLHTFCIGLKGSPDLKAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKELHEETCRKIKALHLYDCLRANKATSAWGLEARVPFLDKSFINVAMDLDPECKMIRRDLGRIEKWVLRNAFDDEEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANAHVSDSMMTNASFVYPENTPTTKEAYYYRTVFEKFYPKNAARQTVPGGPSVACSTAKAVEWDAAWSKLLDPSGRAALGVHDAAYEEMAPASADPVVDSVSRSPAHDVKRLKTAVSAAAV >SECCE4Rv1G0276430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798045675:798046109:-1 gene:SECCE4Rv1G0276430 transcript:SECCE4Rv1G0276430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRGGGEPINEQVVANTYANMRTEMNQLYTKITELEMEVSEHSLVIGAIEPLDPTRRCYRMIGGVLVERTIKEVLPAVHRNKEGLEEVVARMKEALEKKKKDMTEFELKYKIRIRKGGDSSAEEEGSMKAASAQGVLVGPAGQ >SECCE3Rv1G0164470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:163922514:163923251:-1 gene:SECCE3Rv1G0164470 transcript:SECCE3Rv1G0164470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDVVPTATTQRKSVARRLWRVVRAVLYMLRRGVLPSGRKLAMDVGLLLRRGKKAGKALGGLSSARSSSFSCRALDSALAVHEPSRSRREVEFSCSNTPFSAAARSRHHRGDEDPGYYYSYDAADIAKVFEMLNEGGHPFDDDALVMAPATATPSPALWNSSASGPGPYLGMRQLRVADSPFSAPGNETPGEQQVDRKADEFIRRFYEQLRAQKSVAATPENNGYAAGSYTGRSPRPVAAGTV >SECCE4Rv1G0262840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:711207363:711207683:-1 gene:SECCE4Rv1G0262840 transcript:SECCE4Rv1G0262840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSMSSKTASRKKPAPKLDTTFCCPFCNHPDSVACTIDFKLYVASAVCYICEEAYHTTAHYLTEPVDIYHDWIDACEKANQDVDCFKRQRRVGSDDDDDDSDA >SECCE7Rv1G0482500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:306224634:306228408:-1 gene:SECCE7Rv1G0482500 transcript:SECCE7Rv1G0482500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLALGTGPEEIWRRRPKTKIVCTLGPASRSVEMCARLLRAGMCVARFNFSHGSHEYHQETLDNLHKAMDITGMLCAVMLDTKGPEIRTGFLKDGKPVKLNQGQEITITTDYTIQGDETMISMSYKKLAIDVKPGSTILCADGTITLTALSCDPEQGLVRCRCENSALLGERKNVNLPGVIVDLPTLTEKDKVDILQWGVPNKIDMIALSFVRKGSDLQMVRSVLGEHAKSIILMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFFAQKVMIFKCNQQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSNICLMAETYVDHSAVFKLITAAAPVPMSPLESLASSAVRTANVSKASLILVLTRGGTTARLVAKYRPAMPVLNCVVPELKTDNDFDWTCSDEAPARQCLIVRGLIPMLSAATAKASDTEATEEAVSFALDYAKKLGLCKSGDSVVAVHRLSASSLVRILTVD >SECCE4Rv1G0257250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:665553925:665557788:-1 gene:SECCE4Rv1G0257250 transcript:SECCE4Rv1G0257250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYFRRLFNRRPPAGLVEISSNILVFDQCFSTDMLEEDELKPYIGGILKQLLARYSIDSLMVFNFEGGKKNSQTARIFSGYDMSAMGYPRNYEGCPLLTLEMVHHFLRSSESWLSLNQDNCLLIHSEHGGWPVLAFALAALLVYLRRCKDERKALETIHKYAPPGLVELYSPLDPAPSHLRYLKYVSRRHKSPELWPPADRMLNLDCAIIRTVPNFDGQGGCRPIFRIYGPDPLAPHDSSAKVLFSTPKTDDFVQLYTQEDSEIIKINIRCPVRGDIVMECVSLDEDFKHEVMVFRVMFSTAFIEDNLLLLDRDQIDILWDTKHRFPVGFRVEVIFSEIDATTSINTSEPSSESESNHIMDAASEQKGLNNVHDGFDVISLQETEISNGTPEHNIPDSRSVETSQTEPENIHSSAPEAESVGPTTQDRELSVDAPKFEGDNDATADTSSSEESESVGPTSQEHELAEDASAGEESEGSTASSTANSDTQLADPPGSEADAATAERSDANSESGSPSGSASSSSPKFDEDTEEAGTADAEVQSIELEGS >SECCE5Rv1G0369870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:838114261:838127324:-1 gene:SECCE5Rv1G0369870 transcript:SECCE5Rv1G0369870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQQSAPKISSSATTNSSGRPVTPFWKEKYERDARRYWDIFYKRHEDKFFKDRHYLDKEWGKYFEVQDGENMAILEVGCGAGNTIFPLLATYPDIFVHACDFSSRAVDLVKKHKDFRSDRVNAFACDITSEQLTAAVEPSSVDIVTMIFMLSAVAPDKMPLVLQNVRNILKHGGRVLFRDYAFGDLAQERLMSKGQQISDNFYVRGDGTRAYYFSNEYLVDLFSKCGFTLEEICVHNKKVENRSLDLVMNRNWIQATFTLNPAGPLSPNNQHDHNTCEGKDDKLAVDMSQKKSESEEIDLSVDFSNMFRTSHYLNEAQIIRIKAKGHDFKIKMLAKEYQHTCKSTGLMLWESAQFMCSLLAENPSIVAGKRVLEMGCGSAGICSMVAASFAQFVVATDGDAESLDLLRQNTSSNLEAELINKISIRKLFWGDDDDVKAVRELSGNGAGFDCIIGTDVTYNPDATLPLFKTARALISDGGDGGSKAAFILCYIQRRVHEDSILSAAEAQGFRLVDKWINGVHASNGTISSWFCGNDVCGDFRNVTLSVLYFEV >SECCE7Rv1G0458180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:20288814:20290877:1 gene:SECCE7Rv1G0458180 transcript:SECCE7Rv1G0458180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVQVLTELEIQLLVLLSFTLQVFLFFTGGLRRRSTNAVLRFSMWFAYLGADLVAIYALGFLSRHKDDICGNDTLTEAHPLAFLWAPFFLMHLGGQDTVTAFAIEDNNLWLRHLLNLVVQVGVALYVFWQSTTGRRHHSVHLPGIFVFIAGIIKYGERTLALMYGGLKNTSHNIIENEEKKDMYRPPHQGGHRNNEDMNRPAGHWRYRNNHYLSRLDDGGYLHSFAYALKSAPGIRELFTGRTLHQMEVQHREVLTSPIHLDHLPKLLEVELDLMYDDIYTKAMVLRTRSGILFRCVSQVSMAVAFALFSVGVVINKHRYNRADLAITYVLFTVGLCLEICAVIILLMSPWTWTWLEARNCRGLSSISSFLWSSNIGRPKRRPLWSNSMGQYNFLCYMGLDQDTRLSKLVKTVTRKAASLVVSRKKKIRPLLWMSKLLDTNYVEVDKEIMDSLIRMVCLYNRDELAMASQDWPKLGPLLDKLLPDYGASFGYAIVCFHIFTEVHLRSRSFLDLSDQDKILVSACRKLSNYMLYLLVTYPEMLPVSGTPEPTLLFFLQKTIQRDSDGGDSADILLVAKKLLVELGLPQVQLTDTETLKEMRDLWTRLLIYCAGKSRADMHAAQLSRGGELLTFAWLLMAHKELGDVGQAFNFSFSRQDYDYGNDQDHPAVSPIINPSSGSAWWGIR >SECCE2Rv1G0096550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:440863708:440864896:-1 gene:SECCE2Rv1G0096550 transcript:SECCE2Rv1G0096550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHSETAAENMMGGIMDAIADKLPKQKSVRFDEGSISGQAKKLFGGHKSVHHVLGGGRSADLLLWRNKKISSSVLAVATAAWVFFEWLDYHFLTIVSFVLVLGMVVQFGWSSFAGMLNGSPSNVPRLELPEELFANIGAAVGAQVNKFLGSLQDVSCGRDLKQFLMVIAGFIVAAFIGSWFSLITVIYIGFVCAHTLPVFYERYHDQVDEVLYNMLGLIGNQYQKLDKGVLSKIPKGNLKFRKSQ >SECCE2Rv1G0065450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:8670667:8671503:-1 gene:SECCE2Rv1G0065450 transcript:SECCE2Rv1G0065450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45680) UniProtKB/Swiss-Prot;Acc:Q9SCY2] MAPATSTSPLSRLLLSLPKPNAQPPRCAQAPSADSGAGASSKNLVVRRREAAAAVLSAALLSRVLPAAAAADECALEATPSGLAFCDRVVGTGAEAVKGQLIKAHYRGMLEDGTVFDSSYGRGRPLTIMVGVGEVIKGWDLCIAGGEGIPPMRVGGKRSLRLPPELAYGEKGAGCRGWEPTSCVIPPNSTLLFDVEYVGRASS >SECCE3Rv1G0193720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:774350274:774351257:-1 gene:SECCE3Rv1G0193720 transcript:SECCE3Rv1G0193720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATGDLAALGAADLVRVSAAIPRAAPRTFALLTACLVFPLSFAVLAHSLFTHPILRRIRTSDYTATSAQWVALFAYQFLYLIFLFTFSLLSTAAAVFTVASLYAAKPASIASSLSALPPILPRLLRTFLWVSLLMLAYHVGFVVTVVLLILLFDPPMTASTPPPLSFLLALLAVAFVFLAIHVYISALWHLASVISVLEPLCGLAAMSKSKQLLQGRTRTAAVLVFSYFAICGLITGMFRSAVVKARGEEGSLDMSLAGRIGVGALLVSVLVCVNLLGLLVQSVFYYACKAYHNQQIDRTALYEHLGGYLGEYVPLKSNIQMENL >SECCE6Rv1G0401740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:473666817:473668025:-1 gene:SECCE6Rv1G0401740 transcript:SECCE6Rv1G0401740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVAKISASFAVFMALAFLLRPCASVEIHRKLSGWSNGGATWYGGPNGAGSDGGACGYQDAVDQAPFSSMITAGSPSIYEDGQGCGSCYQVKCTGHGSCSSSPVTVVLTDQCNGGPCLEEPVHFDLSGTAFGGMAKPGQADQLRAAGRLQIQYTRVPCNWQGMDIAFKVDAGSNPYYLAVLIEYEDGDGDLSSVELMQQNGRNGAGWTKMDRSWGAVWRYNSGPMLQAPFSIRLTSSSGKTLVASNVIPAGWKPGGTYRSVVNY >SECCE6Rv1G0439960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:800383722:800385979:-1 gene:SECCE6Rv1G0439960 transcript:SECCE6Rv1G0439960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLVDLSPAQLHKLADLIHRQEVQKLQELEFKSYAEQQKYLSDAKDARDKVYHILESAQDMITQTDAEKDATKQDIAKDVYDYCTKAIGTSLEFIRSYNTRLTYLNKLKTHSDDLIKQLKWLNPATQQKEAQRLALEAGMYKKATLENAKKFQHFAPNQFSKWLKENKIMFEDLVQENMSKLGFRGPFKNLDDIQKLQVYDNIIAEAGQGKSVVTYSFEALGKVGVAVLVFTAAAMVWDIYTAEDKLEAAVRDSVNALTAVVDLEVGELVTAAVEAGFVALDIEIASAAVTVIGGVVGFGIGALIGIAAGALLDLIFSSGTSKVKITDGLTVCRVAPMPDGLELARLVKHNYPDL >SECCE2Rv1G0083080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:161513859:161515931:-1 gene:SECCE2Rv1G0083080 transcript:SECCE2Rv1G0083080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLHAPAAAAPSPLALRGPPAAACKTPFLPPSVARPHRRAAAAGAFSAAAVGPIAASLLEGPVLLWAGRLCVYYALIHIGLAGSPRSPFLSHEIRGEDGAGDSDLGFSKWADKLRGGAPGDKEGQEKWKLVSKWKPTTKGTLKRMYRVPSKEEGRRILKEIALVLSEDDNFVDASTHKGCQIRRESAHGESVCCYNVRALFDELPGPHLVLEITPFPVGTLTENDYRKAERLEIVLRMSASL >SECCE3Rv1G0180140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:564956642:564959122:1 gene:SECCE3Rv1G0180140 transcript:SECCE3Rv1G0180140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGGYSALDDPKASGSVPAATGPDPQTIKFTESNLQTFPPSEAKGKISGAYRPPTDSDDTFSSKAGGGGGGRGSDGGSDDAAQGGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGNFTEKTSDKPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWTYDINLVTWSAGLFYGYVTFVPLGLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAVNLRAHILNSGERWFLIVAGIFLLQLGLAVLLKLYFFTITV >SECCE6Rv1G0425600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:708096328:708097363:1 gene:SECCE6Rv1G0425600 transcript:SECCE6Rv1G0425600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTITGIFSSSGDAVFKLTPVSRLLAEGVESSRNLSPVVVGVFVNPVAVTALLSICEWFTDERAAALSLFEVAHGCTRWEMAAKGKGDSSLLDAGMAADSTIIMEVLLRDHGSIFEGVSSLVDVGGGHGAVAAAIARAFPAVNCTVLDLPHVVAGAPADENIQFVAGDMFEHIPSADVVLLKWIMHCWQDEHCINILRRCKEAIPSREAGGKVIIIDMVVGYAGAASEENVSKETQVLSDVYKMYMDGVEREEDEWAKIFYEAGFSDYKISPVLGFRSIIEVYP >SECCE1Rv1G0051830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:657181033:657184882:-1 gene:SECCE1Rv1G0051830 transcript:SECCE1Rv1G0051830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLEALLGAAELWRPAARGAGGWATAAALLLLVVAHLSVLLVRRRRRGRARLARQQEDAPAAPAPASPSSGSSSSSGAEGLVTEDDLRQLVGSLGVGARQPELEGWDPVVSKGNDAVSYKAWCERTANGPPKYLSVTIYEGCSTELLRDFYMDNEYRMEWDNTVIKHEQLQCDENSGIEIGRTVKKFPLLTPREYILAWRVWASDDNSFYCLAKECEHSLAPIQRKFVRVRLLRSGWCIRKVPGRDACEITVLHHEDNGMNIEMAKLAFSKGIWNYICKMNNALRRYPQHRGPSISISTMRRLTKKFPQDLQINVDETNRSPVNTAAAVAPPTPSSGTSPCKQLGKKSSRETIASGLLLIGSIVCLSKGRSNLGAQLAMAFFLKKAFKQDKESGSSPRGRTTDATVPMQ >SECCE7Rv1G0508960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:776269288:776269953:-1 gene:SECCE7Rv1G0508960 transcript:SECCE7Rv1G0508960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTVLPPSQLPRRSAAAAADLHSCSSRVRLNPALMANPAAAKRPKRPGSVHDVQPPAPKRVRGACSGGELHVAVPANIKNGSKLPSPSSGKDPRTKLAVIKNQVAESPASATEPKPQMSMRELIEKARLTMALLDKARSASQEEVNRRRDIERSRAEARRKVEQMADTVQFNDPWIHFSDVTKSPEELLQARQQAWRYQAHLIEMGRRRDYAQEMQIHG >SECCE3Rv1G0158670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:90055732:90056019:1 gene:SECCE3Rv1G0158670 transcript:SECCE3Rv1G0158670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVRCVKILALLSLVPLALRAGSLLGHVVAPPPSLARPSAATRSASVVVSGSAHGRTPEGAALATARFRSGGGVFGDDKRMAPSGSNPLHNLR >SECCE7Rv1G0456160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9527185:9530946:1 gene:SECCE7Rv1G0456160 transcript:SECCE7Rv1G0456160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLRGGSRRALAYPALRAAVISGPAALPDVAAGPQPPPPSPLAAGLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGSAKAVAFDEIDKAPEEKARGITISTAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVEDEELLELVEMELRELLSFYKFPGDDIPIIRGSALSALNGTNEEIGKNAILKLMDAVDSYIPDPVRVLDKSFLMPIEGIFSIQGRGTVVTGRIEQGVIKTGEDVEVIGLTESGPVKTTVTGVEMFKKMMDHGEAGDNVGLLLRGLKRGDVERGQVVCKPGTVKTYKKFEAEIYVLTKDEGGRHTAFFSNYSPQFYFRTADICGKIELPPDVKMVMPGDNVTAIFELMLPVPLEPGLRFALREGGRTVGAGVVAKVMS >SECCE4Rv1G0275160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:791919972:791923430:-1 gene:SECCE4Rv1G0275160 transcript:SECCE4Rv1G0275160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVLSMAMSMLGGAISTAASAATAEMSLLMGVRKDIWFIKDELKTMQAFLETAQATKDKDMLLKVWAEQVRDLSYNIEDCLGEFMVHVASQSLSRKLMKLKDRHRIAMEIRDLKSRVEEVSSRNTRYNLFTTNDANTVDEMKSNVEDVRKHSGSNIDEAELVGFVKPKRELIQMVDVNSRDGPCKEICVVGMGGLGKTTLARKTYESKEDTVKNFSCRAWITVSQSFSKIEMLKDMIKQFFGSDSLRTFLHDVEGKTVRVQDLSNYLTSELKDKRYIIILDDLWNKQDWEWIKTFAFPSNNQKGSRVIVTTRDVGLAEHITVKELVYHLKPLDSLDATNLLLRKSRKRQEDMGKDENLKRIVEKLVKKCGCLPLAILTVGGILATKKIAEWPEFYNQLPYELDSNPSLEAMRSIVTLSYDHLPSHLKSCFLYLSIFPEDYEIQMRRLVERWIAEGLVKARTGVKIEDVGKDYFDELINRSMIQAARVNIQGVVKSCRVHDIVRDVIVSIARDENFVHLAGDDVTTAMEENLRHVSYHGSKCLNIGIDWSHVRSITVFGDRPMEPSPSLCSPALRVLRTLDLEDAQFGITQKDINNIGSLHHLKYVNLFRAEVYTNIYKLPRSIGKLHFLQTLDMRGTYISTLPNEISNLHCLLSLRCTRTAYYSYFEKNRRGKCLMHTVSLPMTFTPFVDPDERAAKIAELHMAWFSCWSKSKGVRIPKGFNNLKVLNVLEVVDVKRTSSKAIRELGELERLCKLRLVTHGATKQKCKILCEAIEKLSSLRSLHVVADNLSGSVGKIDWLHSVSSPPPLIRSLTLNGFLGEMPDWVGSLTHLTKICLVHSKLKGGKTMELLGTLPNLMLLVFRIAYAGKKLVFGKGAFRNLRKLEFEMSLELREVRFEEGTLPHMEKAQFHGYCRLESGIIGVKHLPKLSEIVFDCGAQVAKLEVLQGELNAHTNHPVLRLVNDRSYHDLGDVIEESNTVQVEQATEE >SECCE3Rv1G0204660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:896057736:896059054:1 gene:SECCE3Rv1G0204660 transcript:SECCE3Rv1G0204660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSMKTMTPTLLLCLVVAVSLGCVEARKHPGKETLGYYELRRGEFSMVVTNWGATILSVRLPDKNGRIDDVVLGYKTIGGYVNDTTYFGALVGRVANRIAGGRFTIKNHAYHTYTNDGNNTLHGGHRGFNQVFWSVRERVTGAFPYITFYYRSGDGEEGFPGALDVLVTYKIDGDYSYSVTMYARPVDKPTPVNLAQHTYWNLRGHGRGTILDHSVQIFASAVTPVGGDLIPTGAVTPVAGTPFDFRAPAAPGARIAEVEGGYDINYVLDGADADGQGVRKVAVVSEATSGRVMELWGDQPGVQFYTGNFLKGDEGKGGAVYEKHGGLCLETQDFPDAVHNPKFPTEIYRQGQVYKHYMLYKFSIAGK >SECCE4Rv1G0263120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:714570613:714570900:-1 gene:SECCE4Rv1G0263120 transcript:SECCE4Rv1G0263120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSVLRMPAVCAVFILLLLTAVSRCEADLQQASMGGRRMLAGGSNAAVAFSRPAETTTVTRSTRRAAAGRPPAVRYSESKRSSPGGPDPQHH >SECCE5Rv1G0331650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:540579463:540581657:-1 gene:SECCE5Rv1G0331650 transcript:SECCE5Rv1G0331650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLISCFLLLMCVVILQASASQEARLRAFISSRKDIDSTTDTYSVRSIAEKVTHSLDAESSASDQSSLKAADKITALPGQPEEGVDFDQYGGYVTVDEENGRALFYYLVESPSGASDKPLVLWLNGGPGCSSLGYGAMQELGPFRVTEDNRTLSRNLNSWNNVANLIFLESPAGVGFSYSNTSSDYDLSGDERTAADAYVFLVRWLERFPEYKDRAFHISGESYAGHYAPQLAATILYHNTYNNRTVVNLQGILIGNPYLDDKRNNQGTIDYFWTHGVMSDEVYANVTEHCDSAGEACSGAWDAFDAGKIDAYNIYAPVCIDAPDGAYYPSGYLPGYNPCSDYPTIAYLNDPAVQDAFHARMTSWSPCRNFKWKDAPVTMLPSIKFLIENKLPVWIFSGDFDSVCPLPATRYSIQDLGLPVTTPWHPWVAKEEVAGYVQQYAGGLTFLSVRGAGHLVPSFQPGRALTMLASFLKGMLPPYIQD >SECCE5Rv1G0310780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:176778677:176779145:1 gene:SECCE5Rv1G0310780 transcript:SECCE5Rv1G0310780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNSLVQAMTIFFIGCLVVCAKCGLDANEMVVKQEGRLVNTSTDATVVNSMSVGDNKITIKFCVQNLGCHLSDGYHGTCYCCQKDEIECFRTLSECQDICPTCNPKCPPPLGTRG >SECCE2Rv1G0102610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:579953636:579953983:1 gene:SECCE2Rv1G0102610 transcript:SECCE2Rv1G0102610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNRKSSSKGLDLKLNLSLPARGDSSNRVMADEESSPSSCLSSENEHGLQWSNSPEATSMVLAACPRCFIYVMLPQDDPRCPQCKSPVLLDFLQDNSNNNNNTNTNSRKSRRG >SECCEUnv1G0541710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98184346:98184627:-1 gene:SECCEUnv1G0541710 transcript:SECCEUnv1G0541710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAARLAIIPQEILNIENEKLELEQSLDLIRDPMFLSFVDPVVWIHNPVVWIENHTLDVRNKIRILETRKRALLSEHQSLIVKAALYGDRRN >SECCE5Rv1G0312060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:218488350:218524359:1 gene:SECCE5Rv1G0312060 transcript:SECCE5Rv1G0312060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLSAPPPPPSMEEDSLTSHIAPSPTPPPPDRSFLRGRPPIRVTSEFDSERQLFSHRISCRVLNGLAKLRLRVYHGAAGGAPTSEVALMGRNFSAVIDTASQGAVLRGTADLAGSLHLSAVHNTKNYQNGEQSYIHIGCSTLCFSVSCDHEYDDSTISCICVDVDRYLQLKYNSRKVGQGEVAVTTNLGDSPCKIELSSLVPPDGLPRATFLFPNGEVSVKEKKLDEGEKILSVNGIVKSHVLNGVCTALYNDNMMNIKYRYKDDELSFIPSLTLPSNSLSFAFKRQLTPSDKFSYRYHFDTNYWSAVYKQKASKHVKWKAGYGSDERIGWASVWVGDAGGKTKEAPLKTKVQLMINVPENNIRNSTVVFRVKKRWDF >SECCE1Rv1G0060580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:710659911:710664485:1 gene:SECCE1Rv1G0060580 transcript:SECCE1Rv1G0060580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPRQDFPAFPFAPYPIQSEFMSFLYSALSSGPGALALLESPTGTGKTLSIICSALQWLLDHRAAAPGRANGSAPAAAGGEDDDEPDWMRDFTPLPPKKDVRKKSETHPWRRQGTRKAGGSEKSEGIGEHDGEEEFLVDEYESDGEEGTRPHAAGKRAHCSGGGGGSSSSESEDEEEEEEATPKVYFTSRTHSQLSQFVRELKRTDFSGKLRTVCLGSRKSLCINKDVQKLGSANRINERCLELQKNKKSSKIKVEGDNKKGHRTKTSCQCPMLRNRSLQKQFRSEVSDHGALDIEDLAQIGRKIGTCPYYGARDMVRSADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTSMYNSKVTSSQLRAVLSHLEAYLNRFQNVLGAGNRRYIQTLTVLTRSFLRCLISNEDCSSAVTSLTINKFLFSLDIDNINIVKLCQYLKESNIIHKVSGYANKLLFTENGVGDLNHGQQHGEGSSITSFQALADFLRSLLYCNDDGRIIVARHKPGGHSEDAYIKFVMLCAEKTFSEVTDDAHAVIMAGGTLQPIEETRLRLFPGLLPSDIKFFSCNHIVPPESILPIAVTCGPSGKKFDFSHSSRSSPTMIEELGRFLCNIVTIVPEGIVMFFSSYDYEKQVYDAWMASGTISKISKKKHVFREPRSSVDVEMILNKYKDAIQSCCSKGSGDTSVNGALLMAVVGGKISEGINFSDGMGRCVVMVGLPYPSPDDVELMETIKHIGNYSSTSSVAGDDESLSRDDECKVEPGFGILRKSGKSGQEYYENLCMKAVNQCIGRAIRHVNDYAAMLLVDSRYSHTSSGRGFSCPVEKLPQWIKTRLTCGQNYGEVHRLLLQFFKINKQIH >SECCE1Rv1G0028550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:403876131:403880042:1 gene:SECCE1Rv1G0028550 transcript:SECCE1Rv1G0028550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAARRSLASGGLSGPLARRLHPSLPQLLPSNPTGDPRKPSPLPPPPPAPRPFHFALAPRGTPQTLSFPPFGLHLLPGPSRRSFSSSRGTGFTDTLTDAAHSAAAPASFPGEVAWAAEDSSMAVAAVQHLIDAIHSFTGLNWWISIALSTVLLRCGMFTIAMVVRKRLYGMRQELFQYLKLVKDSKGKKSIQELADTADPLVRKLGFLPILQFIATPYTFVTLYSAISNMVEKVPSLKGGGALWFTDLTTPDALCIFPMITSLFIMLRFEVNYGVAAKRTEHSRKMEDNARQVVRAMCLLPMLWTATLPQAISCSFVTWSGLTLAGKIVLNHPDVQKVLYGGSFLLKQECSSSDGQKGPAAEDSPPPVKEEEEPVSPETKKSSDASVHRDESDKKSTKDG >SECCE4Rv1G0223530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:71055637:71057244:-1 gene:SECCE4Rv1G0223530 transcript:SECCE4Rv1G0223530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTAPWRRFAEAEAAVNRSVAASSVGKYFKLEARKSTFTKELRAGAATFLTMAYIISVNAAVLTDSGGPCTVLDCTPVAVGNSTAVPGPECMLGTSNPGYEQCLARTKSDLIVATAVAAMVGSFAMGSLANLPLALAPGMGANAYFTYNMVGFHGSGSIPYRTALAGVMMEGIIFFLLSAVGLRSRLARMIPRNIRLASAVGIGLFLAFTGLQANQGLGMVGASPSTLVTLTACSETDPVTGACLGSTLHSPTFWLGVAGFLITATCLARDVKGAMIYGIVFVTAVSWIRGTSVTVFPDTPAGNAGFSYFKKVVDFHTIKSTAGQLSFGGFRHGGVWVAMLTLLYVDVLDTTSTMYSMAEYAGFTDGAGGFEGDYRAFLVDAGSTVLSAGLGSTTVTTYIESTAGIREGGRTGVTAITVSAFFLASLFFSPLLMSVPPWAIGPSLVLVGAMMMRVAKEIEWGDMKEAIPAFVTMALMPLTFSIANGIIAGLAVYVALHWYDWASLAYGKVGKALDDRRNQVAAATPEVGPAQEV >SECCE2Rv1G0127800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:856188442:856189071:-1 gene:SECCE2Rv1G0127800 transcript:SECCE2Rv1G0127800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTPAPVNQQQNRRQCCPLRRSRKGCMKGKGGPENQRCPFRGVRQRTWGRWVAEIREPNRGARLWLGTFATALDAARAYDAAARALYGDCARLNLAAPPLPPMTATIPLPHAHVQQPLAHSTDANNTTTLCFSSSTSTASMTTPTNSGTFDIEGSYCNVAGALELGDFEKYVEGLPRPKDFGLEGFQEVMDEYGGGGINIWDPTIAPE >SECCE2Rv1G0134520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901886187:901886702:-1 gene:SECCE2Rv1G0134520 transcript:SECCE2Rv1G0134520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRTILLVMAAMAVLSTASAAIYNVGEPGGAWDLSTNYGTWAISRNFLTDDQIVFNYSPQAHDVLEVSKADYDSCSTASPITTFNSGNDVVTLTGTGTRYFICGFPGHCAGGMKVKIDVMPSSSSSSPAPANGPSASNASPPTPVSAATNVKAMGFGLTVLLAIAGLMA >SECCE1Rv1G0044870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:605989173:605989848:-1 gene:SECCE1Rv1G0044870 transcript:SECCE1Rv1G0044870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVALSSFAVVGRSSARSPVVAPRRRALVVRAQTEPEMDSAKETTSASTSSSPRPSPAPTPIPAAPKPAPKKANPSVWDALAFSGPAPERINGRLAMVGFVAALSVEAARGGGLLDQAGSGAGLGWFLVTAGVFSVASLVPLLQGQSVESKSSGVWSADAELWNGRFAMLGLVALAATEFITGAPFVNI >SECCE5Rv1G0313210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:255773107:255796514:-1 gene:SECCE5Rv1G0313210 transcript:SECCE5Rv1G0313210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAEEKHKKQEAEVGSAIGGRGVDVRKDGVAREVVRMEREAVIPIIKPKLVMKLADLIEHEVDRNEFLKLCKKVEYTIRAWYLLQFDDLMQLYSLFDPVNGAKRLEQQNLTSEEIDTLEFNFMTYLFQIMEKSNFKLLSDEEYDVAQSGKYLLNLPIKVDESKLDKKLLTTYFKEHPHDNLPTFADKYIIFRRGVGIDRTTDYFVMEKVDLLISRVWRSLQRVTRIDRLFSKKPQSKSRNDIKKTDEIIEDTEEQELFVERIRLEKIELSIKNLLSKMTIQEPTFDRMIVVYRQAGTKAKPIRGIFVKQFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLVGSLEMPKADVWVVIAIMSGVIGYCAKIYFTFQQNMTLYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMEQGKATIQDLDLHCEELIKEEFGAECNFDVHDAVQKLEKLGIVHRDSIGRILCAPLKRANEIIGTTTEEMVMRAQQNPAS >SECCE2Rv1G0128830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:865352416:865357242:1 gene:SECCE2Rv1G0128830 transcript:SECCE2Rv1G0128830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSKNGCLKILCGGAGSDATAGSDPETDAHADESKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKADVAPSNNVYSSTYSYASEKPLHLVKPDEKILLQEKLDEKSVHEEKPDEKQTDETAEKPVDQIIERSIEQPDEKITETPSEEPAERISEKPVEEPIEKDVEELDEKADESIPVSSTEVKQDETPSLVDRSIPDPEEDHVESAAIVIQSGIRTYNARQELSNHKDLVKLQAVIRGHLVRRQAAESLQCLLAIVKTQGLVRTHQAEQSSGRFQDTLVRSSSEKLLHNGFALKLMDNMSTSKSMNIRCDASENDATWKWMERWTTLIPPSTGDHLLENAESGLVVEKMEEDAHREEKVVPSDSDISFPKLVPDDVEETPRPSESYAFVQETLRSADSSGLEAPECVPEESSMLEIKDDPAPELIEKIDDDAEQLTDSKTENIVEQSLDFSGQQSTQTDPSREPIPVPEKSEYPSEDVMDAYNLEQSPEMEARSAARKACNPAFAAAQMKFEELTSAVSRSNSSSFLDAPSKAKVHTPRSLGGTSPKQNIETVAPGSAVGHDAKIIPAASECGTEISISSTLDSPDRSEADGGEIVMEMGALGGRNYANENAEKDTHVLHSEVKDTSEEVVQPEKEEELNGDVASPAIATDPVVEQAHVGAGKPDLHDQIEESIGSYAKSPEGTPMSRTTFAESHGTPSSEVSINTNKSKSKSKKSKSHASRRSLTSPGSNSVGRSSTDNLSKDYKHAKRESSGKVAKSDNADQEPRMSNSTPLPSYMQFTESARAKAAALSPKLSPDVQDNNPRKRHSLPITNAKNETSPRMQRSSSQAQQNVKSSVAVPHNPSDKRWNI >SECCE1Rv1G0039080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:545412873:545413106:-1 gene:SECCE1Rv1G0039080 transcript:SECCE1Rv1G0039080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE2Rv1G0079560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:121235002:121238466:-1 gene:SECCE2Rv1G0079560 transcript:SECCE2Rv1G0079560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein arginine N-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G12270) UniProtKB/Swiss-Prot;Acc:Q0WVD6] MATQARELPPKPELPRDDGDDYEDEDEEEEEGEEGWDDWESDGDDAAGGGGGGGLLCLFCSARFDSDGPLFVHCGSEHGFDFHKLVGELGLDFYGCIKLINFVRSKVAENKCWSCAEISQLEGKVPWAEDSYLKPFMEDDSLLHSLSIFDDEDDEDCGMPVETGECSVGNGRSGELQGNGLNIIIDDCSDISARFEKAVTTESNGGDNNGSLSEEQTDRQLKITRASVTAKEIKKVDDNYFGSYSSFGIHREMLGDKVRTDAYRDALLDNPSLMNGATVLDVGCGTGILSLFAAKAGASRVVAVDGSAKMSSVATQVAKNNGLLYDGNAKTEQKGGPQVISVVHTKAEELNHKIVVPPNGFHVLVSEWMGYCLLYESMLSSVIYARDHFLKPGGAILPDTATILGAGFGRGGTSLPFWENVYGFDMSCIGKEVTGSSARFPVVDVLDSKDVMTDTAVLHSFDLATMKESEMDFTASLELRLPESGAAAVPGVTWCHGIVLWFDTGFTDRFCKDKPVVLSTSPFSTPTHWSQTIFTFEEPIAMVKNGSVVGSSASVGTAECPAATIRSRISIVRASEHRSIDISIETTGISSDGRKRSWPAQIFNL >SECCE1Rv1G0016380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:137568336:137569963:-1 gene:SECCE1Rv1G0016380 transcript:SECCE1Rv1G0016380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVGKGRSIVEEKLDFKGGNVHVITTKEDWDQKIEEANKDGKIVVANFSASWCGPCRVIAPVYAEMSKTYPQLMFLTIDVDDLMDFSSTWDIRATPTFFFLKNGQQIDKLVGANKPELEKKVQALGDGS >SECCE5Rv1G0355930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:735370111:735373341:-1 gene:SECCE5Rv1G0355930 transcript:SECCE5Rv1G0355930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSIGKNGFFHSVSTVLWTRRPDGDALPPAASANGAGADRAASPDAPLAAHIVSKAPEPVKIASATTKPEEPPKAKPTAAPKPPAAAAAAKEQESKGSTDSSSSSGSGGEAAAAKPPQHRPKVPPVKRVSSAGLLVGSVLKRKTESVKEKYSLGRRLGQGQFGTTYLCVERSTGKEYACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIRGAYEDAVAVHLVMELCAGGELFDRIVQKGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFVDQTEEAALKTIDFGLSVFFRPGQIFTDVVGSPYYVAPEVLKKRYGPEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGKLDFQSDPWPSISEGAKDLVRRMLLRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDSDNSGQITYEELKVGLKKVGANLQESEIYALMQAADVDNSGTIDYGEFIAATLHLNKVEREDHLFAAFQYFDKDGSGYITPDELQLACEEFGLGGDDLSLDNMIREIDQDNDGRIDYNEFVAMMQKPALGLAKKAGAGLESSFSIGFREALRMA >SECCE5Rv1G0357920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:750895490:750897053:1 gene:SECCE5Rv1G0357920 transcript:SECCE5Rv1G0357920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASNKVVVLMVMALMAAALSSVSMAAGLQHGFYYSSCPQAEDTVRNVVQGMINNDPTMGAAFLRLFFHDCFVRGCDASILLDPTTSNQQTEKTTIALRGYDAVNKIKAAVEAVCPRVVSCADILAFAARDSIAASGAFTFHMPSGRLDGFQSIATEVFQGIPSPAFQLQELLDNFATKGLNAEDLVVLSGAHSFGLTHCNFVTPRLYPTVDPTMNATYATALKKVCPPPRNGGGFISVSNNRVTDPNKLSNQFYHNVASGQVLFRSDQTLMDQTPTTGNKTAAMVADNAANPIAWMARFAAAVVKMGGIEVLTGTDPGEVRKVCFATNNAS >SECCE1Rv1G0034850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:492027288:492028142:-1 gene:SECCE1Rv1G0034850 transcript:SECCE1Rv1G0034850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRINTSVLCLMALLIVSTTLLSCEASGRTIGLEDALCGGTYHEPYGPCVDAKSCDIYCKQDNKGGGARRNGACCCFKSL >SECCE6Rv1G0395890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:347682279:347685042:1 gene:SECCE6Rv1G0395890 transcript:SECCE6Rv1G0395890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPSMSGGAGEAATRTVVWFRRDLRVEDNPALAAAARTAGEVVPAYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGASRMVTRRSTDTVAALLELVRSTGATHLFFNHLYDPLSLVRDHRVKQVLGAEGITVQSFNSDLLYEPWEVLDDHGCPFTMFTPFWNKCLCMVDPPAPMLPPKRINSGDLSRCPSDDLIFEDESERGSNALLARAWSPGWQNADKAFTAFINGPLIDYSVNHKKADSANTSLLSPYLHFGELSVRKVFHQVRMKQLTWSNESNRDGEEGCSLFLRSIGLREYSRYLAFNHPCSHEKPLLAHLRFFPWVVNEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQFEGYKFDPYGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGIELGSNYPLPIVELDEAKSRLQDALSEMWELEAASRAEIENGMEEGLGDSSDEPPIAFPQELQHMEVDRATIHTPATAGRRRADQMVPSITSSLVRAETETELSAAFESEVTRPEVPSQVHFQPQPRMEIRDEVASDGTSARYNGVQQQQYTLHRHRVQGGIAPSTSEASSSWTGREGGVVPVWSPPAASGHSDPYAADETDISSRSYLDRHPQQSHRLMNWNQLSQSS >SECCE7Rv1G0464570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:62575756:62580112:1 gene:SECCE7Rv1G0464570 transcript:SECCE7Rv1G0464570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-phytoene desaturase, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G14210) UniProtKB/Swiss-Prot;Acc:Q07356] MDTSCLSSMNIAGAKQVRPFAGQLHTQRCFTSSSVQALKTSRRTTFSSTSLGLRNKGKGSRRGLRALQVVCQDFPRPPLENTVNYLEAGQLSSSFRSSERPSKPLKVVIAGAGLAGLSTAKYLADAGHKPIVLEARDVLGGKLAAWKDEDGDWYETGLHIFFGAYPNVQNLFAELGISDRLQWKEHSMIFAMPNKPGEYSRFDFPETLPAPLNGVWAILKNNEMLTWPEKVKFAIGLLPAMLGGQAYVEAQDGLTVSEWMEKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQETHGSKMAFLDGNPPERLCMPIVNHIQSLGGEVRLNSRLQKIELNPDGTVKHFALTDGTQITGDAYVCAAPVDIFKLLVPQEWREISYFKRLDKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSLACKEYYDPNRSMLELVFAPAEEWIGRSDTEIIEATMLELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTVPNCEPCRPLQRSPIEGFYLSGDYTKQKYLASMEGAVLSGKLCAQSIVQDSKMLSRRSQESLQSEAPVASKL >SECCE7Rv1G0465880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:75130407:75131885:1 gene:SECCE7Rv1G0465880 transcript:SECCE7Rv1G0465880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRDRGRGHLLLFPFMAQGHLIPFLNLAKRIESLRSGQLSITIVSTPRNVAGLRRAVPPGSSIGFAELPFAPSDHGLPPNAESTDVIPFMSWGDFYPATDSLRRPFEELVSELAVREGRENVCIVADSFLGWTAECARALGVQHRVFLASGAYASAVIFSLWLRPPAFPPHADLDDEQPLADFPDVRVRYVEHRNVVIPEDFAVDPIMAFLRRTIDLSLHHSGGIVINTSEEIEAEGLRLIGKLSALPTFAVGPIIGGRTHPNAGDAQDEDHDICIEFLDSKPAASVLYVSFGSQNTISASEMMELARGIEASGRPFIWAVRAPAEHEGEGEFLAEWLPAGFEERATAAARGVVVRRWAPQVRILAHASTGAFLSHCGWNSALESLWHGVPVVCWPLVSDQMLDSRVLRELGVGVEVAAGRVHGGLLGVGGWEHVRDVLETVLGDGEKAGDMRRRAAELKELTRAAVRADGEAKGSSVLAMERLLDGAFR >SECCE4Rv1G0257260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:665575045:665577567:-1 gene:SECCE4Rv1G0257260 transcript:SECCE4Rv1G0257260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWRRKCVAECRRHARTPPAAQPSPSPRRRRRLSMLAAWAHHLDDDATSSGEERPSCAPLGEVFRRRDYAPGEITRRRSFAPSLSSAGVVRTLQRLERKPAIAFAYFKDTESIGFRHDLSTYTEIIRVLSHKGQWRMLFSLFREILSPADGGGGGPEIVPLMDQLRRTCTTSYALLFATNCLITTCTACCSAPDTIGLFGDLFRLGIVPAVWTCNILLKFAAESGDSEIVVSAYDQIKLFGLTLDAHSLGLITRSLFREKKADKAFQVWAEMIEMGVKPDINAYSSFIAGLCDCGKIDLAYAILQEISREGVQVEPMAYNMVMDGLCKEMRLQEVEMLLENKTRQGFTPDIYGYSYLIRSYGKAGNLLKVLDHYQAMVSHGFETNCHIASYLLQCFTKLGMPSEVTEHFQKLRDSGLNVDGVLYNIAIYAYCKLGNMDEAVKLLREMKAEGLTPDRIHYTCVIKGYCLKGDVPNARQAFEVMLKANVKPDVVTYNILASGFCKNGLVTEVFDLLDHMADQGLEPNSLTYGIIIDGFCRSGNLSEAEVLFNIVEEKGIDHIEVLYSSMVCGYLHSGWTDHAYMLFLRVAKQGKFVDHFSCSKLMNDLCRDGNAQGASTVCSIMLENNVIPDVISYSKLISAYCQTGDMHNACLWFHDMVERGLSVDVIVYTVLMNGYCKVGQMEEACKLFDQMINLGIKPDVIAYTVLLDGHLKEYLQRCWQGVSKERRIYLLREKQNRLLSSMKKMEIEPDVPFYTVLIDGQCKADFLEEARGRLHELLQKGLTPDQYVYTALISGYCSQGEIEKAQDLFEEMVDRGIKPDVLTFSVLNQKTLRERQYQ >SECCE2Rv1G0098510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:484841109:484841966:1 gene:SECCE2Rv1G0098510 transcript:SECCE2Rv1G0098510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVPPFAVVMFLLPALASPAAAFNITRILGEFSDFSTLNGLLSQTKLADEINRRQTITVLAVNNGGMGAITSLPSDVQRKVLAVHVVLDYYDTEKLGSMKNRSAVLTTLFQSTGQATNRMGFINYTRRAADGIMMFGSAEPGAPLTSQMVKSVMSRPYNISVLEVSSAIVPPSIGSGDNSRAHAPAPALTKTPAPAPTPSKSKKPKTEGAPTPAPAPSDDDSSADAPADADGPTADGPDADGPAADAPADDDKSSDDTGDAAGRVVARAGLGIGALLMTVLSL >SECCE6Rv1G0409120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:581492543:581497477:1 gene:SECCE6Rv1G0409120 transcript:SECCE6Rv1G0409120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAGEPAPAGEWLEERGVDAVYLPRLIAGVISGALTGLFALAGALTGAVTGALAGRASDSGVLRGAGLGAIAGAVLSIEVLEASRAYWCSDRLGTCSMADFIEQLLHARFVQQQLGSSAHMAYRWQLSIPDFGHDDVYDIFGDISSRGLSRESLEKLPHYVVADQAQTQGKWGENLSCAICLQDMVAGETARRLPKCSHVFHQLCVDKWLISHGSCPVCRQHV >SECCE4Rv1G0291280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876271951:876273474:-1 gene:SECCE4Rv1G0291280 transcript:SECCE4Rv1G0291280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAGAHGDAAVSKFTLPVDSEHKAKSFRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLAKADIGNAGVASVSGSIFSRLAMGAICDLLGPRYGCAFLVMLSAPTVFCMAVIDDASGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVFHAIQKCGATPFVAWRIAYFVPGMMHIVMGLLVLTMGQDLPDGNLASLQKKGDVAKDKFSKVLWGAVTNYRTWVFVLLYGYCMGVELTTDNVIAEYYYDHFHLDLRAAGTIAACFGMANIIARPMGGYLSDLGARYFGMRARLWNIWILQTAGGAFCIWLGRASALPASVTAMVLFSICAQAACGAVFGVAPFVSRHSLGIISGLTGAGGNVGAGLTQLLFFTSSQYSTGRGLEYMGIMIMACTLPIALVHFPQWGSMFFPANTDATEEEYYASEWSEEEKGKGLHIAGQKFAENSRSERGRRNVILATSATPPNNTPQHV >SECCE5Rv1G0335860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:579946398:579947773:-1 gene:SECCE5Rv1G0335860 transcript:SECCE5Rv1G0335860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVLALLALLATSASAAGGCAADASLSSSNGNAYAACSDLPRLGATVHWTYDSATGSLSVAFVAAPAAPGGWVAWGLNPYGEGMAGAQALLAAPSSSSGAWAVRTYNISGYALGAPGPIAFPATGLAAELGADGRVKVSGTLSLGQGAAVLNQVWQVGSAVSGDGTPAPHAMGGDNLAAKAKLDLVRQTTTSSDSGGSGIARERNIHGLLNAVSWGLLLPMGAIFARYLKTFRSADPAWFYLHVTCQIIGYAVGVAGWATGINLGNGSNGVTYGLHRSIGIAVFALATVQIFALFLRPRKDHKFRVYWNAYHHAVGYAVIVLGIINIFKGMAILGVEQRWRTAYVAAVWVLGAAAVTLEAVTWSVVIRRREAEQHGKTSNSHLSHGHGV >SECCE5Rv1G0300760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:27829052:27831202:-1 gene:SECCE5Rv1G0300760 transcript:SECCE5Rv1G0300760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHLAKNPFLLLLQPRRLCTAAASSSAAAGDHAPSSVVEEIPHDDDLAEESRSRLVRDTCKLLELRGSWTPKLEAQLRHLLRVLSPPQVRAVLRAQAQTDARAAFDFFRWADRQWRYRHAPEVFDEMLSLLSRTRLHDPARRVMRLMIRRRMRRGTQQFAHLMLSYSRAGKLRSAMRVLQLMQKDGCAPDVLICNVAVNVLVFAGRIDKAIEFSDRMRRVGVEPDVVTYSCLIKGLCSVRRVVEALEMIGVMLQNGCPPDKITYYTVMGFLCKEKRVAEVRSLLGRMRNDAGLFPDQVTYNMLIHVLAKHGHADEALEFLRESEGKRFRVDEVGYSAVVHSFCLNGRMAEAKEIVGEMISKECQPDVVTYSAVVDGFCRIGEIDQARKMMKHMYKNGCKPNIVTHTALLNGLCKAGKTSEAWELLNNSGEEWWTPSDITYSVVMHGFRREGKLKESCDVVAQMLQKGFFPTTVEINLLIHALCKEGKPAEAKDFMEQCQSKGCTINVINFTTVIHGFSRQGDLESALSLLDDLYLSNRHPDVVTYTVVVNALGKKGRLKEATELVKKMLNRGIVPTLVTYRTVIHRYCEKGEVEELLDLLDKMLARQELKSVYNQVIEKLCALGKINEAYSLLSKVLRTASQRDAQTCHILMESFLNRGLAVQSYNVACQMFQRNLIPDIKLCRKVDNQLTLEKQPAAGKLIVKFLERGLLKQEK >SECCE4Rv1G0232170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:193899662:193900717:-1 gene:SECCE4Rv1G0232170 transcript:SECCE4Rv1G0232170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDPHSPRFMCYLSIFTFFMLMLVTGDNFLQLFLGWEGVGLASYLLIHFWFTRLQADKAAIKAMLVNRVGDFGLALGIFGCFTLFQTVDFSTIFACASAPRNEWIFCNMRLNAITLICILLFIGAVGKSAQIGLHTWLPDAMEVPTPVSALIHAATMVTAGVFMIARCSPLFEYSPTALIVITFAGAMTSFLAATTGILQNDLNRVIAYSTCSQLGYMIFACGISNYSISVFHLMNHAFFKALLFLSAGSVIHAMSDEQDMRKMGGLASSFPLTYAMMLMGSLSLIGFPFLTGFYSKDVILELAYTKYTISGNFAFWLGSVSVLFTSYYSFHLLFLTFLVPTNSFGRDRL >SECCE2Rv1G0064910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5786689:5787861:-1 gene:SECCE2Rv1G0064910 transcript:SECCE2Rv1G0064910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPMSRLTHDLIAEILCRVPYKSLCICNCVCPAWRGIIADPANRKKMAQTLAGFFYRITADSAEPRGHAVNYADLSAFPRPRLPLPPDTTDCFFSLEDSCDGLFLTSIRTGTVAAGASRYMVSNPATCEYIVLPHSGYAGDYCRAYLGFDSGVSTQEFHVFEFVLEQSQSLVVRGVNIYSSKSDVWASMKSQWDSQVSLCWGQPGVFHKGCLHLLIHQRGLAIVDAQGLRWRIIPLPISVDPSFAGFIGKSAGQLFYIDSDGTEGHDSLTFSTISVYVLGAEIYEWDVTQLDDKCIHWKLLRKLSNVAPNVLFQLGFDLEVIGVHPHANIIFFMAHWNNELIAYDLDHQESTVVYHVEPNYQKFRPFFSYVPLFSRLPLDGGMRLATPN >SECCE5Rv1G0307260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:108896461:108898182:1 gene:SECCE5Rv1G0307260 transcript:SECCE5Rv1G0307260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENAAPAAAAGPRLTRAAAKRAATCSAGPSGGAKRKRVALSELPIVSNAGVALRQPSKPAKPAAAAEGEEEHGYVSRCASTPPTPAGEEVSGGGETQLSGSYASDIYTYLRTMEVEAPRRPAADYIEAVQTDVTANMRAILIDWLVEVAEEYKLVADTLYLTVSYVDRFLSANPLGRNRLQLLGVAAMLIASKYEEISPPHVEDFCYITDNTYTRQELLTMESDILKLLNFEIGSPTIKTFLRRFTRSSPEDKKRSSLLLEFMGSYLAELSLLDYSCLQFLPSVVAASSVFLARLTIGPGTNPWGKEMHKLTGYSASELKDCIIAIHDLQLNRKGPSLPAIRDKYKQHRFKCVSMLLPPVEIPASYFQDLTE >SECCE2Rv1G0136280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910894575:910897442:1 gene:SECCE2Rv1G0136280 transcript:SECCE2Rv1G0136280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTPSAGARLLRRLLSTAAEVAREAPPPAARNPKKAAARPVGKRAARAVAPQGAKEAAHPAAEPAPDAEGAKKAAEPAPDAEGAKKAAAAGPKDSRPLYRRLSALGNAGEGSVSAVMNKWLREGRETRSVDLERYVKELRRYKRHSQALELMDWMVHTKGMNMSFTNHAIRLDLIYKVRGIEAAEKYFDGLPDPAKNHRTYGALLNCYCSSKKEEKATDLYRKMDELGIASSTLPINNLMSLYMKLGQHKKVCSMFEEMKEKNVKPDNLTCCILMTSCAALNKIDDVEQVLKEMEEKGGVLGWSAYSTLASIYQSAGLVEKAESALKKLEGLVQDRDGRQPFDFLMSLYASVGNLSEVKRVWGVVKGTFPKVTNTSYFSMLQALLKLNDADYMKQVFEEWESNHECYDVKLTNVMTRAHLKNGMAKEAEQLWEKAKEMGACFDSKTCELFLDHYMGTGDMKSALNWVENATKLPKKAGKLDPDKIPKFSKYFEEQKDVQGAERFCRCLRALGCIDGKAYESLLRTYLAAGETSRSLRQQIKDDKIEICYDIGKLLKRLGEGR >SECCE6Rv1G0453800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:884726037:884729719:1 gene:SECCE6Rv1G0453800 transcript:SECCE6Rv1G0453800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLACTLQTMGSSCSRPHSVNEAEVADNRRSADIDRRILQETKADQHIHKLLLLGAGESRKSTIFKQIKLLFRTGFDEAELKGYTPVIHANVYQTIKILYDGAKELVQVEPESSKHVISPDNQEIGEKLSEIGGRLDYPSLNKELVQDVRKLWEDPAIQETYSCGSVLQVPDCAQYFMENLDRLAEPDYVPTKEDVLHARVRTIGVVEIQFNPLGESKRGGEVYRLYDVRGQRNERRKWIHLFEGVDAVIFCAAIGEYDQLLFEDETQNRMMETKELLDWVLKQRCFEKTSFMLFLNKFDIFERKIQKKFEEVYFQSSKLDRVDRLFKIYRTTALDQKLVKKTFKLIDESMRSCREGT >SECCE7Rv1G0455420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6408961:6409635:1 gene:SECCE7Rv1G0455420 transcript:SECCE7Rv1G0455420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRPPPPRLMLNAVSCMRNAQTVLRDMNVSVHDGTALVLTGANGSGKTTFLRMLAGFSRPSAGEILWNGHDVTSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGRSAPAIDLMGLGRLINDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDSEGVKLLEYIIAEHRNKGGIVIVATHLPIKIEDSMNLRLPQRFPRRKTLVDLVR >SECCE2Rv1G0122080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:813097376:813097612:-1 gene:SECCE2Rv1G0122080 transcript:SECCE2Rv1G0122080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLAVALLLLVVLAHCDGRELSQKDGALRATRGAGVAETKASSGSGLPDLPVVGTGTGTSTINGPLVVIPGVPGHP >SECCE6Rv1G0380250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:19968219:19982294:1 gene:SECCE6Rv1G0380250 transcript:SECCE6Rv1G0380250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAAASPSARSRGNAAAGGGSATSTMLPGPASRSNGGCIDLSTSGLLAHGAGSSIVVSDPRSMQLVCVLPMPSSSATSPASFVTAVRWAPTASASSLAAAEDAAAAEDDHRPLRLAAGDRHGRVAVWDARARAVLHLLSLDESRSVAPGTSGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPRVLWMFDASPEYLSCIRRDPFDDRHLCALGLKGFLLSAVPRHDSDISLKEHRIVCGAGDVAELQRLEKEISAPAPAPALAAFPLFGARLCFSPLWRNILYATYPRELVVFDLNYSTALSVASLPRGFGKFTDVMADTDLDLLYCTHADGKLSIWKRKEGEQVHLLHAVEELMPSIGTVVPPPAVLATTIWQSESIFRNIDKQSQDLAHMQSSESIIFDTKSNQNMYQGTMTYLTSISEDGKIWSWHLTFDKSVSSKKINLGTSNHSDAGTSNPRSNGLDFTIKINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVALGTQNGTIEVVDVLANAVSVSFAVHSSTVRGLRWLGNSRLVSFSYNQVSDKSGGYNNKLIVTCLRSGLNRPFRVLQKPERAAIRALRASSSGRYLLIMFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPAAPRPSQNASKQSSTSKEGTAAESSDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASSDGLVTAMAYRLPHVVMGDRSGNIRWWDVITGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLHPQSPGTLVLELDWLSTRTRKDEPLVLCIAGADSSFRLVEVNTDTKINSASRLLTTKERFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNNSDKLASNSFKEGPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGCILDDERARLYSAIVNKGTCARFAFAAAIFGDFQEALFWLQLPQALQHSLDKSTSRSSEKGFESSVHADSEQTSTLNRIASSERSAARNAANDTAGYGQLSTVAFKQEQLWFNANERIPWHDKLDGEDALQKRIHELVSLGNLEAAVSLLLSTPPEGTNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGKYQEACSQLQDAGCWIDAATLAATHLRGSDYARVLQRWAGYVLRGEQNMWRALILYVAAGALPEALETLRSNQRPDTAALFLLACHEIYSQITIESKPADEVPGSATERSQKLMFPSKNVSDEDLIAVSEVFGQYQQKLIHLCMDMEPIVN >SECCE2Rv1G0096070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:432037383:432039123:-1 gene:SECCE2Rv1G0096070 transcript:SECCE2Rv1G0096070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGCLHQRLGRTPTLPFVRRFKPSEIEAATSGFSTALETGGPRGTAYRARFADGLVATVRHAGGGPDQEGQEGAFYRELQLLGRLNHRHVVRLRGFSQGHNRFLVFDQMENRSLKECLHDPLRTPLNWRTRLQVAIDVAAALEYLYYFCDPPVFHVTVNSSNVMMDADFVAKVSGISVVGHDSSEGSHAQERIQQRRMELVFQYGVLVLELVTGQSPGGGDGELVRWRMVDADLGSTYDAAKLRDLVIVARLCTRPGSGTAVVSIPQVLRYLQGKVGDKNR >SECCE3Rv1G0190480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:739721733:739725273:-1 gene:SECCE3Rv1G0190480 transcript:SECCE3Rv1G0190480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDP1 [Source:Projected from Arabidopsis thaliana (AT5G04040) UniProtKB/TrEMBL;Acc:A0A178UB07] MDVITNEARVGAFAIGPSTAAGRALALRVLLCGSLARLRHRLAAALRAAAPLATAWLHPRHNTRGILLAVCAVALLLRGRGGRAGVRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLERETPRRATDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKLRLQVPKIIKEYIEEVSTQLKMVCNSDSDELPLEEKLAFMHETRHAFGRSALLLSGGASFGSFHVGVVKTLVEHKLLPRIISGSSVGAIMCAIVATRSWPELESFFEEWHSLKFFDQMGGIFPVFKRILTHGAVHDIRHLQTQLRNLTSNLTFQEAYDMTGRVLVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGETVPFHAPFLLGVEERADAATRRWRDGSLESDLPMKQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGSFAAKLAELAEMEVKHRFNQVLELGFPLGGIAKLFAQHWEGDVTIVMPATLAQYSKIIQNPSYSELQKAASQGRRCTWEKLSAIRANCAIELALDECVALLNHMRRLKRSAERAAASQGYGATIRLCPSRRIPSWNLIARENSTGSLDEEMLTSPTVTSHQAVGGTAGPSNRNHHFQHSIHDSSDSESESIDLNSWTRSGGPLMRTASANKFISFVQNLEIDTEFRTISPRGGEGDIVTPNSNLFAGHPIGREPVDNHPGPVTPGRTSGNSGWDPYDTPVPRSPFGLSTSIMVPEGDLLQPEKIENGILFNVVKRDTLVATTSGVEPHGSSQEADVETVPTECLYGASDDDDDNVELNANHEALSDPGEQRSSVAGNLDPSASMDCQADETSTTRLEGPSLFNICVEIPPATMIRENSLPDEPSSDIRLETVKTEFPDENSTAGNDEVDSVPANKESSYCSQTAENVQQHQVDMGSVNSCSVSVSQDDRHVSLILNEEPVTTSSGGAESMTSGRNEAD >SECCE1Rv1G0062070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718410944:718415349:-1 gene:SECCE1Rv1G0062070 transcript:SECCE1Rv1G0062070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEAGDDNYRCKTGKKEYMLETVQCLLFARDNLPGDVYREFVKTMTEVWKNCADPDGEKRNISPEICIEMVLKLFQGWATVKQSFLNFTKGRSPVQGNGDVDADVEAVAVNPLIQKPMDFLSRLKACPNMSDDHYAAFLKILQDFFRDRTMTPRKVYKKVRRCMRDCPEFLEEFVDNFLPADLKAFIKVKANDNHRLDGIHMKAGYGELPHAEEDEEDKVKPLPDWNTSKAQKLPPEVDPKKLERACTPSYYLLPDNLTLHSSYWTKLGRSILNDTLVCSVSGMESSKHKTVNGYETNILYCEEDMFESDMLLHRFRATADLIANLQTHAGSRLKISEHLTPLHRRCIEKLYDDDPDLDDLLESQNTSSVLDVLLSRLNQKVEDLSEARSYLHKAHSQVIARNYYRSLDHRGLSFKQLDAKRMSQKALLAEANEINTMNSNAGDKNADTDMSNAGDKYADTAMHKDISSIISSACASEEKQVMNWAKIVHPFLSAHCLWPSSEETVAPAKACEHCRTSKDFLSSIPDALPATKLPSSSKRGEFLKKNSNDLSSSHDGFGQDMEGDFMPEPETIESDVMLGAGKEPVSCDVGTSGIDGLSSRCHTIDTSEPSTRDHGNKHEKQHESRQHSKTSTKMRGVKGGTCCFLIVLRRLYQILYDRLQTARGLCADDLLYAELKEKIIKLHAHSIDNSSFEDFCLQFLGPKSVELFTLDIVINRVIKQLCIIYSRDQDNSLFQFLENFGRPVLPKLVSRHQNFPNSPSNGLPKYDQEEQEKAPADTEKLPRHFERRKKRKLENSATISSAWSSSQLGAVTETHG >SECCE4Rv1G0226790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:114010759:114011608:-1 gene:SECCE4Rv1G0226790 transcript:SECCE4Rv1G0226790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLKKKTRNYLTWTNEMDEALLAVLVEHHNIGDRAQNGWKPHVYTACIKHVRDTCDVDITKDNIVGRIKTFDKHYEIISKMLAQSGFGWDWETNKVTVDSDEVWTRYVEANKHARAYRTKVVHNWSAIETIYSKDHANGGGARTGAECAQEQNTPVVEESPEVPQKRQRTGDAILCMMGQMRTSFDEVLKATEPLPMPKTTPATEILEALKKVEGLEDADMLRAYGKLTANERMFESFMALPQNLRKPWLMTLP >SECCE1Rv1G0045530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:610621823:610622878:1 gene:SECCE1Rv1G0045530 transcript:SECCE1Rv1G0045530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVGTIQMPVQELASALGTPDVPAQYIARAHEDQRLDAAVVAPVPVIDLGRLSKHGDGGTSDEAAKLRSAIESWGLFMVSNHGVDAVMDNMMAASREFFRQPLQEKQRYANLNGGEQFQFEGYGNDQVSSPDHIRDWTDRLYLKVEPEDERSIALWPAHPETFRNALHEYTEKCGGVKDDLLRAMAKLLELDDDDYFVEHFGEKPLTDARCSYYPVCPRPELVFGLKPHSDATVVTVLMVDDRVGGLQVLKDGVWWDVPTVRHTLLVIIGDQTEVRL >SECCE5Rv1G0332750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:551228318:551233629:-1 gene:SECCE5Rv1G0332750 transcript:SECCE5Rv1G0332750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRRLFETETHGGRAVYRLHAVTVAAGILLLLYYRVTRVPAAGEGRAAWLGMLAAELWYAAYWVVTQSVRWSPVRRHPFIDRLAARHGERLPCVDIFVCTADPYSEPPSLVVSTILSLMAYNYPPEKLSVYLSDDGGSILTFYGMWEASLFAKHWLPFCKRYNIEPRSPDAYFSESDGHQELCTPKEWSLIKDMFDKMTERIDTAVMSGKVPEEIKARHKGFYEWNQEITSKNHQPIVQILTDGKDQNAVDNEGKVLPTLVYMAREKRPQHHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNNDAVRDALCFFLDEEMGHKIGFVQYPQNYNNLSKNNIYGNSLHAINEVELAGMDSLGGPMYIGTGCFHRREILCGRKFTEDYQEDWNAGIKDKLQESIDETEEKAKSLVACTYEDGTQWGDEIGIKYGCAVEDVNTGLVIHCRGWESVYNNPKKTAFMGVGPTTLAQTILQHKRWSEGIFSIFLSKYNVFLLAHGKIKLRHQMAYLIYGLWAPNSLATLYYVIIPSLAILKGTSLFPEITSPWIAPFVYVFCVKNMYSLYEALSSGDTLKGWWNGQRMWLVKRITSYLFGVLDNLRKLLGLSKMNFVVTPKVSDEDESKRYEQEIMEFGSSDPEYVIIATIALLNLVCLMGGLSKVTTGGWNEHLDALFPQLILCGMVVITSIPFYEAMFLRKDKGRIPFPVTLASIGFVMLALVPAIV >SECCE7Rv1G0473570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:159243243:159244000:-1 gene:SECCE7Rv1G0473570 transcript:SECCE7Rv1G0473570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEGAVVFSEEKEALVLKSWAIMKKDSTNLGLRFFLKIFEIAPSAKQMFPFLRNSDVPLETNPKLKTHAVSVFVMTCEAAAQLRKAGKITVRETTLKRLGGTHLKYGVADGHFEVTRFALLETIKEALPADMWGPEMRNAWGEAYDQLVAAIKQEMKPAE >SECCE3Rv1G0192350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:757746324:757748391:1 gene:SECCE3Rv1G0192350 transcript:SECCE3Rv1G0192350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGMDDSYNKGGGAGEVRRINVVYFLSRGGRTDHPHLFRVNHLHRSGVRLRDVKRWLSEVRGKDMPENFSWAYKRKYKAGYVWQDLMDDDLITPISDNEYVLKGCDVRGTPPPSPCADAPKPSSLADEKKLHRTEEKGRKQVEEELQVAPDSDESSPKPPPPIDQDSPSSEGEAARFRIVLPLDLEERKRRQQEAARRAVITEQQALVVRAAAPGGRKQQPAMGSARRMRVARVLHSILTCGAADSVDAAALQPVALPRRSGGGSADDDGDDGWRDTTPVCPGMDGCGIHMSRKVRPRRAGGKEKARREGGKRPGAVAAAHKPASLPLCSQCGKEFKPQDLHLHMQSCRGFRARMRGSNGTRGMDRNHTRSARTDDSSQGRTSTGLLLTQS >SECCE4Rv1G0284020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:837960028:837963387:1 gene:SECCE4Rv1G0284020 transcript:SECCE4Rv1G0284020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRVILIPGTPPLPYAYEQLPSSDAKGQEEQRAAGGGLWWRACAAVLAAAAVVALVVAAAVFGAGGAGRDAVAASVPATPAMEFPRSRGKEHGVSEKTSGAYSANGGFPWSNAMLQWQRTGYHFQPDKYYQNDPNGPVYYGGWYHFFYQYNPSGSVWEPQIVWGHAVSKDLIHWRHLAPALVPDQWYDIKGVLTGSITVLPDGKVILLYTGNTETFAQVTCLAEPADPSDPLLREWVKHPANPVVFPPPGIGMKDFRDPTTAWFDQSDGTWRTIIGSKNDTDHSGIVFSYKTKDFISYELMPGYMYRGPKGTGEYECIDLYAVGGGRKASDMYNSTAEDVLYVLKESSDDDRHDWYSLGRFDAAANKWTPIDTELELGVGLRYDWGKYYASKSFYDPVKQRRVVWAYVGETDSERADITKGWANLQSIPRTVELDEKTRTNLIQWPVEELDTLRINTTDLSGIIVGAGSVVPLHLHQTAQLDIEASFRIDASAIEALNEADVSYNCTTSSGAATRGALGPFGFLVLANRALTEQTGVYFYVSKGLDGALRTHFCHDELRSSHASDVVKRVVGSTVPVLDGEDFAVRVLVDHSIVQSFAMGGRLTATSRAYPTEAIYAAAGVYLFNNATGTAVTAQKLVVHDMDSSYNHIYTDDELVVVD >SECCE2Rv1G0102890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:585254312:585254722:1 gene:SECCE2Rv1G0102890 transcript:SECCE2Rv1G0102890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE3Rv1G0189080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721236552:721237376:1 gene:SECCE3Rv1G0189080 transcript:SECCE3Rv1G0189080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVVVFDFDKTIIDVDSDNWVVDGLGATELFDRLLPTMPWNTLIDTVMGELHAQGKTLRDVADVLRAAPIDPHVVAAIRAAHSLGCDLRVLSDANRFFIKAVLDHHGLRGCFSEINTNPSRVDADGRLRIAPHHDFHAGPHGCDLGTCPPNMCKGQVLDRIRASAAADGARKRFIYLGDGRGDYCPSLRLAREDFMMPRKGFPVWDLICENPGLLQAEVHPWSDGKDMEEMLLRLISRVLVEESQLLPLDCKLESLPVPVQDGMPMPLGVKN >SECCE5Rv1G0314270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:269522210:269524201:1 gene:SECCE5Rv1G0314270 transcript:SECCE5Rv1G0314270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNPTTSRPGVSTIEEKSTGRIDQIIGPVLDVTFPPGKLPYIYNALVAQSRDIADKQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGAPLSVPVGGATLGRIFNILGEPVDNLGPVDSSATFPIHIYAPAFIELDTKLSIFETGIKVVDLLAPYRLGKTILIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESVVINEQKIEESKVALVYGQMNEPPGARMRVGLTALTMTEYFRDVNKQDMLLFIDNIFRFVQAGSEVSALLGRMPSVVERIASTKKGSITSIQAVYVPADDLTDPAPATTFAYLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETTQSVKETLQHYKELQDIIAILGLDELSEEDQVFTGSPGKYVALAETIRGFQLILSGELDGLPEHPFNLVVKEIILSTNSGQIGVLPNHAPINTVVDMGPSRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQKALEIAEANLSKAEGTKDLVEAKLALRRARIRIEAVNWIPPSN >SECCE7Rv1G0505770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:741062991:741067051:1 gene:SECCE7Rv1G0505770 transcript:SECCE7Rv1G0505770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACSAHPTADVFINFASFRSAAASSMSALKQPTLRVVAIIAEGVPESDAKQLISYARANNKVIIGPATVGGVQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGSDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALRDAGAVVPTSFEALESVIKETFEKLVEEGNIPPVPEVTPPSIPEDLNTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIERGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHAHFPSVKYMEYAVQVETYTLSKANNLVMNVDGAIGSLFLDLLSGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >SECCEUnv1G0558540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:333208646:333209053:-1 gene:SECCEUnv1G0558540 transcript:SECCEUnv1G0558540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPVEKTPAGKKPKAEKKVPASKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >SECCE3Rv1G0200630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:855482486:855485733:-1 gene:SECCE3Rv1G0200630 transcript:SECCE3Rv1G0200630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKESTSVPLSQAAEAVDPEDPAKSPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAFTFFITWWFVQFVDGFFSPLYAKLGVNIFGLGFLTSLAFIFLVGIFVSSWVGSTVFWVGEWFIKKMPFVKHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLLNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLDPIPRKSQSIRLNRIMT >SECCE2Rv1G0106130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:630997093:630997959:1 gene:SECCE2Rv1G0106130 transcript:SECCE2Rv1G0106130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWNRISSAGGLLKPLAGVPFASMPGAGAAYFLVGSALGVFAMLHAFEFEVGREWASAAHWVALSRSVRAHHVLVAMSLFYLAAMVWRLGKRCDAVEELVGSAGATVQAVRVRGVVCTVCGSGSKARALKKGSSAHTVERASCSDKPLSRSLASELEQEADTEDEDIASEVNGAEEGNVERLRRRLVRERRLKEAALEELEKERRAAASAADEAMAKIACLRNEKALVEREAKQFREMAQQKQMYDRQVIESLQWMINKFGMQSGEPEFSSERAVSETSEDDRDKRL >SECCE6Rv1G0380620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:24408747:24411404:1 gene:SECCE6Rv1G0380620 transcript:SECCE6Rv1G0380620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAEADGGGAVTTRLFVGGLAEGVSAADLEAVFGSIGRVAAVEFVRTSGRSFAYVDFQCSSQKALAKLFSTYNGCKWKGGKLKLEKAKEHYLVRLKREWEQEAAAAAAQEMPAEDNVDKQEEKEKRKLEKDALESSKVNIYFPRLRKVKPLPFKGSGKHKYSFRNIEVPSYPIHFCDCEEHCGPPEKANEEYAAVLNRVAFEKERNIMNSVMSKLFEKDTEQIDSSEVRKQDAETEPSDAETEPSDTETEPSDSDNDLRMEETTESPEEDLDDLQMEETDDPSEEELDDDLVINIAPRKANNSAVQLNKQAVNKDERFKKRQHFDEISPQKKRQKSEDLYEPRNKKQSTAMIAYGRTTEKSLPSASVAIQNDKKSTVLSGKGTHGFSSVIDRAKSSAGLQGVDALTGRSSKNEGSQDELPTEPKKGSVWTQKSAWRDLVGGMGATSFSISQVLPNINRAPPKLQPATETSVACTESRREVTSLDSPAAITQPSAEQNLLSSAGTPSAGTTVDSAGHETRGHDESNKIEEPRVVPKITISEVCPFMRNEESIQQWSKAKKVLTGFNKRGDEKKAGSSNSRRGKPPSRGR >SECCE2Rv1G0109830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:681306525:681306806:-1 gene:SECCE2Rv1G0109830 transcript:SECCE2Rv1G0109830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTEKSLRLLGKNQYTFNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRIGPILGHTMHYRRMIITLQPGYSIPLLDREKN >SECCE7Rv1G0505810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:741307136:741309119:1 gene:SECCE7Rv1G0505810 transcript:SECCE7Rv1G0505810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPTMPQRWSSSMWGMSGRDRLRLWEDKAWRAHAAMAFTQLAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPAAYFRERRVRRPVTPQLLGSFALLGFTGIFGNQLLFLLGLGFTNASYAAAFQPAIPVFTFLLAAIVGVEVINVFTRDGVFKVVGTAVCVFGAVLMVFYRGPSLIGLGGANALAGADTSWSGSSYPAQWLTPTTLQFDFGTWNLGVLCLLGNCFLMGAYLVIQAPVLVKYPASLSLTAYSYSFATIFMVLTGVFATNGLHEWALTGTEIIAVLYAGVVASCLNYAIMTWANKILGPSLVALYNPLQPACSTILSTLFLGTPIYLGSLIGGVFIIAGLYLVTWARYNEAQRILMSGYLNALLVEVEDAPAPKRQENSSSIDP >SECCE5Rv1G0332190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:545118867:545119943:-1 gene:SECCE5Rv1G0332190 transcript:SECCE5Rv1G0332190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHPSFRNPPPPGVVTLNCTDNTFECFRLCPGADCPDYAAPPPPPLPLAPAAVDHRLPVRLLLTVSLLSAFLFLSLGLATLLLYRRRRALRRRRRAAAAALPHGEGFGDGGDEEAGGGGGGGGVVHHVWYIRTVGLDEATIASIATKEYRGVGAGGDCAVCLGEFNDGELVRLLPRCSHPFHAPCIDTWLRAHVSCPICRSSVVVPSSLPAAAADAEAEGAEVEEHQVFDEMSPSESLPEGSEDSDASSDTQSEDTEVVAEENGNATPKPIRRSASMDSPLFLVVVPEGQDGALQPNRKLPTAREMRIFRVKEKEAAGTSSSSCQTGGFRIGRSMSSSGRGLFFSRNGRSTANVLPL >SECCE7Rv1G0520070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:867374311:867375472:1 gene:SECCE7Rv1G0520070 transcript:SECCE7Rv1G0520070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVMTSSTTTVAPFQGLKSTAGLPVSRRSGSAGLSSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLSTEALLKQVDYLIRSKWVPCLEFSKVGFVFREHNSSPGYYDGRYWTMWKLPMFGCTDATQVLNEVEEVKKEYPDAYVRVIGFDNMRQVQCVSFIAFRPPGCEESGKA >SECCE1Rv1G0048890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637857986:637858365:-1 gene:SECCE1Rv1G0048890 transcript:SECCE1Rv1G0048890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSVAYMIVVLLIGCLLMVGQCRTEPESTYEDGHANATMPVTSLDENKLTLKFCVIRDCKTKGEFFGFKAECFCCVNLPDIPCFHTQDKCKQNCPPLK >SECCE7Rv1G0500670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:671756053:671761836:-1 gene:SECCE7Rv1G0500670 transcript:SECCE7Rv1G0500670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAGTADKLGDPAMAFLGWSPSWTCGQEDGGGLTLASPCVQRGLIDCVNVVLLFAYASALLAACVRGRRDGRGRSGGARLRWGVAAVSACCVAVAGAYAVAAFRGSADAAVTTASVVRGLVWVAVAASLHVQPTRLARAVAVLWWTLFSLLITAFNAEVLAMGHGLDLAEAVAWAVNFLLLLCALGSLLLRRSRGRQGDDGLSEPLLDKAVHDSELYRAGLFSQLAFSWLNPLLRLGHSKALDLADIPLIADEDCARQASRRFSEAWSRHRQDKAQSGRSNRLALVLCKCFLREIMIAGFYAFMRTLSIAVSPILLFGFVRYSYQEERDHRLGLSLVGCLLVIKLVESLSQRHWFFDSRRTGMRIRSALMAAIFQKQLKLSSQGRKNHSTGEIVNYIAVDAYRLGDALSWFHMAWSSPLQLAFAVGTLFWALRLGAVPGLVPLIIFGFLNMPFAKLLQGYQAKFMVAQDDRLRSTSEVLNSMKIIKLQSWEEKFRAMVESLRDAEFIWLRETQMKKAYGAVMYWMSPTVVSAVMYTATAILGSAPLNASTLFTVLATLRVMAEPVRFLPEILTMMIQYKVSLDRIEKFLIEEEIKEGAERAPPHNSDIRVHVQDANFSWNASAADLALRNVNLRINKGEKVAVCGAVGSGKSSLLYALLREIPRTSGSVDVFGSLAYVSQNSWIQSGTVRDNILFGKPFDKELYEKAIKSCALDKDIENFNHGDLTEIGQRGLNMSGGQKQRIQLARAVYSDADIYLLDDPFSAVDAHTAAVLFYDCVMAALSKKTVVLVTHQVEFLTETNRILVMEGGQVKQQGKYADLLESGTAFEKLVSAHQSSITALDTTSQENQVQGQQVLDDGIMPSPLLATRQPSEIEVSTKGPSVAQLTEDELKGIGNLGWKPYKDYVEVSKGILPLSGMVAAQVLFTVFQIMSTYWLAVAVQINVSSALLVGAYSGIAIFSCCFAYLRSFFAATLGLKASKAFFTGLMDSVFKAPMSFFDSTPIGRILTRASSDLSILDFDIPYSMAFVVTGGIEVVTTVLVMGTVTWQVLVVAIPVAISMVYVQRYYVDSARELVRINGTTKAPVMNYASESILGVVTIRAFAATDRFIHNNLQLIDNDATMFFHTVAAQEWVLIRVEALQSLTIFTSSLFLILVPPGVISPGFAGLCLSYALSLTAAQVFLTRYYSYLENYIISVERIKQYMHLPSEPPTIIPDNRPPISWPQEGRIDLQDLKIKYRPNTPLVLKGITCTFPAGNRIGVVGRTGSGKSTLISSLFRLVDPVGGRILIDNLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDDEIWEALEKCQLKRSISSTAALLDTVVSDDGDNWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQGVIRQQFTSCTVITIAHRVPTVTDSDKVMVLSYGKLLEYDTPAKLLEDKQSAFAKLVAEYWANCKRNST >SECCE2Rv1G0122410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:815892856:815896537:-1 gene:SECCE2Rv1G0122410 transcript:SECCE2Rv1G0122410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPNQPPPPSPSPAAIERIYKPTSCDPPLEGQRRERSELLKKIVDSYDHVHKRLAMVTAFKEEIFVGAGLSFGLLDPTTNIIYNTLTASDLCADEIRGVLQHQDVAERSLAGLVAFLTSFFRYLTDWEAVRYLLLADTDLLYAMRLIVHDRCLSFFNINSDTSRSVVRTALMCAALASKHMNPQHLVRTWLSPIRPLEEAVNAIQNDINNFTCVFNPGHHAPKRPRMPMQPLDFAWKRAFELPQLPSTPHRPYESLKFALLQRIHAFYLKALSLLPASELRSCYHHSMLEAGHCYGPFDPVSNIIINTIWYNTVSPPTKKLKLDMISTNSLLRIEARSFYGLVSFLCTSGKKLYEAMRFLLISDCTLGEMSFSNPLSAFGDQNKICNTFRVAGVAAWHPDPVAQAEFLSSCRYPFSSPKINAPLDSADVDRITRKMFQVLPPHGNSLRHQSVPMPKICRRVQRKIDQWMHEYTTISKKVKAVLASYALASYREPMYLHVICGVNHKVSGPEYCMDTGTPVSIYHHTHVNFLASRRDGNPELFFAELSNDEAENGGGKVLCCPVNFPQPRQEEIRCLYCDYEGTKIVHPAMEEFPFKGRDVEFQKMVCGIDPYEDETCLDERQRYTNCKIIRNSSRALDWLGYVIDDWIYADFGDDSDEEDDSDDCTDESE >SECCE7Rv1G0481700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:287309217:287335888:1 gene:SECCE7Rv1G0481700 transcript:SECCE7Rv1G0481700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MARQIVPPRSFHRLLTSRHYPLASPAPPLRPLLLPKALSRATAAATPPQLPRRGRRHVVAASASAPAPSSSETEAVPGAWGKVSAVLFDMDGVLCNSEEPSRRAAVDVFAEMGVDVTVDDFIPFTGTGEANFLGGVARVKGVKDFSTESAKKRFFEIYLDKYAKPNSGIGFPGALELIMECKNAGLKVAVASSADRVKVDANLAAAGLPVSLFDAIVSADAFENLKPAPDIFLAASKNLGVDTDECIVIEDALAGVQAAKAAEIRCIAVTTSLDEDALQQASPVFIRKDIGDISIKDILYGGSNAYHIDADERTENTENISSLGNASPEILSGATNAESVENTNSPSLKDQGLLGSRRDILRYGSLGIAFSCLFFTIGNWKAMQFASPKGLLNFFTGGNSSIFATNEGESLSSRVQQIKKYLADFETGGSATYVPEFPRKLDWLNTAPLQFGRDLKGRVVVLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRSAVLRYNVTHPVVNDGDMYLWRELGVNSWPTFVVVAPNGKVLAQISGEGHRKDLDDVVGAALEFYDERKLLQNNSLPMALEKDRDSRLITSPLKFPGKLAIDVQNNRLFISDSNHNRIVVTNLDGEFICQVGSSEEGLLDGQFDTASFNRPQGLAYNFKKNILYVADTENHALREIDFVNETVRTLAGNGTKGSDYEGGGRGTNQVLNSPWDVCYAPSEETVYIAMAGQHQIWKHTTLDGVTKVFSGNGSEKNLNGSSSTNTSFAQPSGISLGPELRELFVADSESSSIRAVNLKSGGSRWLAGGDPNFPDNLFRFGDHDGTGWDVLLQHPLGVVYASDNQIYLADSYNHKIKKLDPVTKKVTTIAGTGRAGYKDGHALSAQLSEPAGLVEVGEGRFLVADTNNSTIRSIVLNEGGAEVRTLDLTGVQPPSSKPKVLRRLRRRLSADTNVINVDGGSSMEGYLSLAISVPDGYHFSKEARSKFDVETEPANTIEIEPVNGSLNSDGQASLKFKRTSSSSSTGRINCKVYFCKEDEVCLYQSVAFDIKFQEGVPSSAPITLSYTVVPRDNSGSSQLMAAGKNL >SECCE4Rv1G0240450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448020940:448021893:1 gene:SECCE4Rv1G0240450 transcript:SECCE4Rv1G0240450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTLLTATSVFIIAFIAAPPVDIDGIREPVSSSLLYGNNIISGAIIPTSTAIGLHFYPIWEAASVDEWLYNGGPYELIVLPFLLGVACYMGREWELNFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFFDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFSGSLFSAMHGSLVTSSLIRETTENESANEGYKFGQEEGTYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHKRNAHNFPLDLAAVEVPSING >SECCE5Rv1G0332300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:546488492:546494625:1 gene:SECCE5Rv1G0332300 transcript:SECCE5Rv1G0332300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEPSGAYREFKALVEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQDRRRDLVAAGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFAAPAPANANASAGGGAKHQGLLIRYKELRFIARFLVVAMLMRRAEAVDHLVARLRSLVQESKSAYPKTNFKEWKQVLQELERFLKADGAYKGSRSLRYDNLFDSYASNLASIARFHSKRVLKLKEAVLTSYRRNEVKFTELTLDTFRMLQSLEWEPTGSYQIAVKELTENGTMSDQSGPSGLIDIHLSTEISDGNLPSNPQRAIIYHPTVSHLIAVLATICEELSQDSILLIYISASGFADQNAYQKYASSSTSRSRPASAFSIDKPNSHAGSDDHVWLGPRGNGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAIHNAEKGEPAALLLSPRIASAMPGVESMGNGGQFTYFLTAPMQAFCQLAGITSDIDSDTYANAENILFSALEQYEEILSTSVGLNIVWGQILPDPFLRRLILRFIFCRAVFFYFHPEEHGEHLPTCLPSLPESVSPNAKAIKAPILLLAENLVVSNRFHFGNRT >SECCE5Rv1G0314490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:270641910:270644846:1 gene:SECCE5Rv1G0314490 transcript:SECCE5Rv1G0314490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANGRLQKQALLPPRIPFSAASPSPPLQAELGPIARPREAHHRQGHQRTSSESVLVDEQPSWLDDLLDEPDSPARPHGRPGHRRSSSDSFTVFDGTTAAASAGMCGNVFDGMRTGGQVGSWGRTPEFFRESSSFGRPQGQGPPWDPRQMFLQGGAIPLPVRDSMPLPLREKNGGHHGAMLNGADMKGYGDASHNQIMVGAARSESDEHLTHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQALQTQGVEVSAEMDFLGQQNIMLDLENKSLKQRLESLSQEHVIKRVQQEMFEREIGRLRSLFQQQQQQQQHHILQQQTPAHSRSNSRDLDSQFASMSLKHNDSNSGHDAVPGLRI >SECCE1Rv1G0040740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:565320152:565321465:1 gene:SECCE1Rv1G0040740 transcript:SECCE1Rv1G0040740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQISRRLVVLVVVAGLLLLAWAPPAAAVDLQAVLAPFPDLAGFARLLASSPVARELAGRSSLTLLAVPNADLPQSPSAFAAAAGADLADVLRYHVLLEYLSPADLRRLPAAGKLVTTLFQTTGRASADLGAVNVTAAGPSLGVVRSPAPFPGSNATVLGSVTSVPYNLSVLAVDGLIVPSGFDLAASESRPPAAVNITRVLADARGFNVAASMLEASGVAEEFEGDERGAGITVFVPTDDAFASLPAGDRLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPLQPTLATEYASQAGRFTLNITRSNGSVAIDTGVVQATITRTVFDQNPVAVFAVSKVLLPKEMFTRADSAAVVAAALAPPPAASSSMPPEPPESARTPPTKLSSPPALRGVAGAGNGTAVLPPPPPPPPSSAAKATAIGWWCIALLYLLLPLV >SECCE1Rv1G0011000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:69802160:69803755:1 gene:SECCE1Rv1G0011000 transcript:SECCE1Rv1G0011000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRERPFRMPGGRGGGGGGGGGGDITVHVEYLARTLMQKQEGVAAEEQHRAMATSHRLSRVPLHLRNNNANDYTPGFVAIGPLHSREDRRLRPAERLKVAYLNSLISRGHPDPAQHLAVIQEYIRVVAAREQEARAMYVGEDVVEIPPNEFIQMMVLDGCFIIEHLINVATGHEEPSLHATPFGPAQLSVDLVLAENQMPFFLLVDLIASTKLPEFEATGYPAPVLLVKLVLYYLAGEKGRDMSEALPPAEGVSHVLHLLHAMITAARTRWEPPPRAIQDGAVIDTAQEAARLLRRIPLLLFVPLLYPILPEDKKWSASYGKEDVPSASDLKRMGVQFKKARGGSGSKAVTGIASVLGPVPLAVKLTQHEDRLHLPQLRVEFRTAPLLLNLMAFEQSASASMKTPSDVSAYVCFMAKMVQSAEDAGVLAAAEVVQQHGGAGNETKEAVARFFRTMGVASEAAAGGELLVTSYLCVLLEKLRERSRHPLYVMWADVQRNYFTLPWAVVIEVVALVTFVSTMVQTYTSVKYHG >SECCE3Rv1G0160140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:102836494:102839607:1 gene:SECCE3Rv1G0160140 transcript:SECCE3Rv1G0160140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSPATAAARKRALTEQRFSELTPALSPDVVEALDRGGFRRCTPVQAATIPLLLSHKDVAVDAATGSGKTLAFVVPVVEMLRRLPSPPKPHQVLGIIISPTRELSSQIYNVAQPFFATLKGVSSILLVGGLDIKVELEKVEKEGANILVGTPGKLFDIMERLDTLEYKHLEILILDEADRLLDMGFQKQVTSIISKLPKLRRTGLFSATQTEAVEELAKAGLRNPVRVQVKTEAKDAAQQDLGPSKTPLGLRLEYMICEPAKKSSQLVDFLVQNTGKKIMVYFATCACVDYWSVVLPMLNLLKGSPVIAYHGKMKQGPREKALASFSALSSGILVCTDVAARGLDIPHVDLIVQYDPPQDPNVFVHRAGRTARYDQEGDAIVFLLPTEDAYVDFLKLRGVPLMERECSPDIVDIVPQIRAAALEDRNIMEKGLRAFVSFVRSYKEHHCSFIFRWKGLEVGKLAMEYGLLQIPSMPEVKHNNLSLKGFFPLGNIDFSQIKFKDKTREKQRQKALKRKAEELANEPPVPEKRVPRERPDKPKRKQTGKQRQTIQTKEDMDELTNEYRLLKKLKRGVIDEDEYEKLTGFGGSDGEGSDGDAAGKGKGKERRSKEQKKLKQRGGKTGGRRFEIKSKLKSKRR >SECCE7Rv1G0468550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:99344403:99354474:-1 gene:SECCE7Rv1G0468550 transcript:SECCE7Rv1G0468550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSAAGKSVNGGGRAAPRVPVPEARPFLDTFRANLKETFFPDDPFRAVVRERGFPRRAAAALRYFFPFLEWAPAYRLGTFKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYAMMGSSKDLAVGTVAVASLLIGSMLGAEVSATENPALYLHLAFTATFFAGVIQASLGILRLGFIVDFLSHAAIVGFMGGAATVVCLQQLKGMLGLEHFTTSTDLVSVMRSVFSQTHQWRWESVVLGCGFLFFLLLTRFFSKRQPRLFWISAAAPLTSVILGSVLVYFTHAENHGVQIIGNLKKGLNPISVTNLQFTPPYMMLALKTGLITGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAIGTMNILGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSLAVMVTLLFLTPLFHYTPLVVLSAIIMSAMLGLIDFPAAVHLWHVDKVDFCVCAGAYLGVVFGSVETGLVVAVAISVLRVLLFVARPRTTVLGNVPDTNIYRRMDQYTTARAVPGVLVLRVDSPIYFANSGYLRERITRWIDDDDERTSAKGETGVQYVVLDMGAVGSIDTSGTSMLDELKKTLDRRGIQIVLANPGSEIMKKLDSSKVLELIGHEWIFPTVGEAVAECDFVLHSQKPGMVVDGAAHENMV >SECCE6Rv1G0379980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:18139935:18142455:-1 gene:SECCE6Rv1G0379980 transcript:SECCE6Rv1G0379980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAASYRSAAAHRRAGHPPPRPPGPSLLLPVRVGVGVGVGCFSDAAVPLRVGSLPLPRARGGGDFARLDARDGEAWQLTRALGLILPDHQKMAHANLLKTAVLSTMSMLIMPLEASAETCQSTSSFANMPIFIAVALIGAAVGGLLARQRKDELKRLNNQLRQINTALRRQAQIESFAPGLTYAPVGRAGEIEVIVDPRKQQLVVNLKNGKNYMRNQDLDKAVMEFKTALELAESIGDRFEEKKAARGLGASLQRLGQYREAMSWYYKVLALSKETGEDSGCTEAYGAIADCCADLGDLEGAAKLYDEYISRLQPRD >SECCE3Rv1G0155480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:66166715:66169216:1 gene:SECCE3Rv1G0155480 transcript:SECCE3Rv1G0155480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVPLHILLSLLLLLHAAPPCSSASGDTLAAGQVLTVGDKLVSANGRFALSFFQFPSPSNTTISKSNTNTSTSTSPSSNWYLGIWFYKIPVFTTVWVANRDDPIIDPKPNLTQLKISSDGNLVIIHNDAAIWSTRVENNNRTQTNITNTTSVVLMDSANLVLKSSSGQRLWESFDYPTDVVLPGAKFGWNKVTRLNRRAISRKSTVDPGLGSYSIELETNGKGIVLKRRKPSVVYRVYAPESSEILKLLPRIQKILQLDPRTNGLIVPNYTNTKEEEYYIYTSPDESSSSFLSLDIYGQIKLNIWSQAKQSWQTVFPDPVDVCTPPATCGPFTVCDGRAQPPCDCMENFSKKSPQHWAFGDRTQGCIRNTPLYCTSVKNTTSSTDMFHPIAQVTLPYNSQSVNLATTRSKCEESCLSTCSCMAYSYSNSNCSVWHGKLLAVNLNDGIESISEDTLYLRLAAKDLPSLPKDKRKLNVGVVIAASIGSFGLLMLMLLLVIWRKKLGWCGSPVYGNQGPSGIIAFRYTDLAHATKNFSEKLGTGGFGSVYKGALSDSTTIAVKRLDGARQGEKQFRAEVSSIGLIQHVNLVKLIGFCCQGDNRLLVYEHMLNGSLDGHLFKSNAFVLNWDIRYQIVLGIARGLSYLHQSCRECIIHCDIKPENILLDASFFPKIADFGMAAFVGRNFSRVLTTFRGTVGYLAPEWLSGVAITPKVDVYSFGMVLFEIISGRRNLPETCTGSGAGDHVKYFPVQVISKLCGGDMQSLVDPQLHGDFDLKQAERVCKVALWCIQDDESDRPTMGEVVRVLEGLQEIDMLPMPKLLAAITGRPNETSV >SECCE1Rv1G0063750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726552296:726556715:1 gene:SECCE1Rv1G0063750 transcript:SECCE1Rv1G0063750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like serine/threonine-protein kinase BAM3 [Source:Projected from Arabidopsis thaliana (AT4G20270) UniProtKB/Swiss-Prot;Acc:O65440] MDRMAASVLPLLALVFVLLCRLDHLAVATPSSPDPDSHWRQRQAAALVAVKGSFTSSPPALHASWTLANHAELCRSWPAVACDARGGTVVSLDLSAQNLSGALSPAVANITGLRFLSLSNNALAGDLPPALAALTDLRHLNLSNNQFNGTLQRLDLSALPALQVLDLYDNDLAGPLPDAGKLPVKTLVHLDLGGNFFSGTIPPSFGLLEAVQFLSVAGNSLTGSIPPELGNLTALRQLFLGYFNQFDGGIPVELGRLASLVHLDLASCGLQGGIPPELGALTRLDTLYLQTNQLNGTIPPELGNLTALRFLDVSNNALTGEVPPQLAALRELRLINMFINRFRGGVPDFLGDLEHLQVLKLWQNNFTGSIPAALGRAAPLQEVDLSTNRLTGEVPQWLCARGQLQILILLNNFLFGPVPEGLGACSTLTRVRISNNYLTGELPRGFLYLPALTTVELQSNYLTGHLPEDDSIPAKERNMLSLLNLSGNRFNGSLPASIGSFSSLQTLLLSGNQLAGGIPREVGQLKRLLKLDLSGNNLTGEVPGEIGECTSLTFLDLSVNQLTGPIPGARLAEIKVLNYLNVSWNKLDGGVPAEMGGMKSLTAADFSHNELSGVVPQSGQFAYFNATSFAGNPRLCGMTMASSNPCNLTSMSGPRLWPDGGGGKQPASTSSSVGRLKLAAALGLLACSVAFAAAAVATTRSAMRRRRRQRGGGGWRMTAFQQKVSFGWADVVQCVKENQVVGRGGAGTVYRGTMPGGEAVAVKRIAAAGGGGGGDDGGFSAEVRTLGRIRHRHIVRLLAFCSSGDGKSNLLVYEYMAKGSLGDVLHGGHGDGSVMAWATRLRVATEAAMGLCYLHHDCSPPILHRDVKCNNILLDEGMEAHVADFGLAKYLRHNGAASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVMLELITGHRPVGPHLGEDGSLDLVQWVRARVDQGGGVEAVLDPRLGGDVPAWEAAQALFVGMLCVQEQSVERPTMREVVHMLQQAKLPPTPSLHHLPGPGAAMPMPMHPSAAARVRVVHDEEEEAAAGGGSDSELCQGR >SECCE6Rv1G0442140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:814232814:814233992:-1 gene:SECCE6Rv1G0442140 transcript:SECCE6Rv1G0442140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTGVSIVDGEQCCCEASAVVDAGGADSGYHLLVVRGYSRTKQELSAGESITSDAFTVGGHCWYIEYYPNGQNPDCGDFISLYVTNYDDSLKEPLEAKIGFSFIDEVEKQTPMYIRAAGKACRFTDGHCSWGTDKFVRRDALERSSDLKGDCFTIRCDVMVARKDPKAEDAGGHDTKVLLSDIDQHFNILLQTKVGVDVTFEVSGETFAAHRCVLAARSMVFMAQLFGPMKETSAVIQIKDMEAKVFKALLSFIYTDSFPVLEKDSMEEDAMVEVMEDGQEKEEVEDEMLLQWLQDLLVAADRYDVQRLKCICEKQLSENIGVSTVMSALALAERHHCQGLKEACLKFIQVQPPSCLQTVMATNGWDHVVSTYPSVLKELFLKFASNQRK >SECCEUnv1G0532130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:19501624:19505272:-1 gene:SECCEUnv1G0532130 transcript:SECCEUnv1G0532130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCTSKLQNLDITSDVPRKMGDANKVETDPDAIFEGDYLDDDEELDPELTLGVLRKPKGPLDFHYLLPQHFPSKAGGAPAWLDPVDLPSGKSSCCDFCGDPLRFVLQLYVPDGWKDTTYHRAFFVFMCPSMSCLKLDQREQGKDRAANPRRSVKVFRCQLPRINAFYTAQEPKGCMGSQCSGAYHARLCDWCGTWKGEILCSHCSEASYCSSKHQELHWHASHRNGCCQIQGCRERLFQLPVAGKVFPGLTWPEYVVDNESESSCLTSSVEDNSELMVAEGEIEPDAMMQLFMDQFEDDEDNTCWASFIDRLSGHSHVLRYCAKEDAEPLWAVSTGSLTFDDIPLCIYCKGQLRYEFQLLAPLLHYFRVENEQDPVDWATIVVYTCRESCDESVSYKEEFVCVQFSPPARRTYRSTP >SECCE5Rv1G0310710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:173711355:173715715:-1 gene:SECCE5Rv1G0310710 transcript:SECCE5Rv1G0310710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLIRTACLRARVRAMATLPTAAAFSSTRAPFPCPSPSRARRLLVAARFLPCAPPPPPPPAGARALLTSAAAAEAAVEVGGVKIAREDVVKEDDPTNNVPDTIYSKIGLQLHRRDNHPLGILKNTIYDYFDKSFPGQFVKFDDLCPLVSTKQNFDDVLVPADHVSRSYNDTYYVDAQTVLRCHTSAHQAELLREGHTHFLVIGDVYRRDSIDSTHYPVFHQMEGFRVFSPDDWSGSGMDGTAYAATDLKKTLEGLATHLFGAVEMRWVDTYFPFTNPSFELEIYFQGDWLEVLGCGVTEQEILKSNGRTDHVAWAFGLGLERLAMVLFDIPDIRLFWSNDQRFTSQFSKGKLGVKFKPFSKFPPCYKDMSFWINGEFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDMQWNVREEVKSKLRVELR >SECCEUnv1G0531760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17800811:17801787:1 gene:SECCEUnv1G0531760 transcript:SECCEUnv1G0531760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARVVALVLLAVGSGLLSVAADTTTAPSPQPFVWQKAHATFYGGADASDTMGGACGYGNLFSEGYGIRTAALSTVLFNDGAACGQCYKIACDRKRADPLFCKPGVTVTVTATNFCPPNDALPNDNGGWCNTPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCVKRGGVRFKINGHDYFNLVLVSNVAAAGSIMSMDVKTNDSEDWMPMARNWGANWHSLAKLTGKMLSFRLTNTDGHTLVFNDIVPKGWTFGQSFVSMLQF >SECCE6Rv1G0411310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:606485262:606485558:1 gene:SECCE6Rv1G0411310 transcript:SECCE6Rv1G0411310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEALISPELRDVLAKVAVFLLVQGLVYLILTNSSDVFSENKTLRSLSFRPMRSMSVRRVLAPLSDVPVGTDDDSPSPSLSWSSSWSSRLWGSRRED >SECCE4Rv1G0262740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:709686922:709688813:-1 gene:SECCE4Rv1G0262740 transcript:SECCE4Rv1G0262740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFASLALLGALHVATLMFPLLSLLALCLRRHKGLQRRYGAWAVITGPTSGMGRSTALELARRGMNLVLVGRNPAKLREISVVVSKAAPTVQIKTVTFDLSLVSTAQGDEAMRRLRRTVEELDVGVLVNNAGVLEPPAAFLHDADVEAWVKMIRVNLLALTEVTAAVIPGMVERGRGAVVNFGSMSSEALPSLPLYTMYSATKRYVARFSKCLHVEYRSKGIDVQCQAPFFVTGAMASGFSEAKLFASLTLTPDDYARAAVRWIGHGALCVPNLRHQIAWFIAYVVPDWLLEGLLLREHLWQRKELRSMRPLPRAPAGTRDLVSYTCTSGCLS >SECCE7Rv1G0473430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:157668635:157674956:1 gene:SECCE7Rv1G0473430 transcript:SECCE7Rv1G0473430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPAIRKPHLHRRQKEEATPSPPPPAPAHSPSPGGFALSDRPATGTPAPWTTSSLLARISTSKQTDRAGDSDQIQPVRVAEFPQVVRNAQANLLQKNFAGKSMLVGGIDKETSLAWMICGNELFVWSYLAAVAKDCLVLEIPTSLMGNKDGKPLSGNQWTVCILRWHSSGPSTRNSGDMLHRRSSTGVILCNRRTQAIAYWPDIYGESSKSPVLSLFGHSDTSASDGISGCYRFNSLIAASVPGAAHECIAIASEPTGALWLFQCSPLGIHRREVHRDTLGDNGTDHSQKNNGGRSLVWLPSNESSEAAERKFFLLTSQGIQCWGISLLHGINVKILGSQEIVGSDGELGIKKDIAGQKNIWLLDMQIDERGKEFNILVATFCKDRVSGSNYTQYSLLTMLYKSNQKFPSGNNVVKCERFLEKKAPAQVIIPKARVEDEEFLFSMRLKTGGKPSGSVIILSGDGKATVAIYWRGSTRLYQFDLPWDAGKVFDASIIPSPDDRDEGAWVVLTEKAGIWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRSQAFSASIVPRRVSSEAWSAGDRQRPALTGVAQQAVVDEEAEMLLNRLFHDFILSGAVHEALQKLRVAGAFEKEGEMNVFVRVSKSIVNTLSKHWTTTREAEFLASTIVSSLAEKRQKHEKFLQFLVLSKCHEELSSKQRAAMLSVMEHGEKLSGMIHLRELQNVLSQQSSSTRLSPQSKTSTAGALWNLIQLVGEKARRNTVLLMDRDNAEVFYSRVSDIEDLFYCLSHQLRYIITGEEHPSVQMQRALELSNACVTLVQAALHYRAEYKDWYPFPEGLITWNSQPVVRSGIWNLASSVMELLREPGSADMPMKSNLWSQLEGLADMLLEGYIGVLTAKFERGEDHGVLAQEYCERRDELLGSLYDLAKQIIEAKYQESSEGNDNPDLKESIFREVTSSILATAKRHEGYQTLWQICYDISDTVLLRNLMHDSVGPHGGFSFYVFKQLINSRHYAKLLRLGEEFQEELANFLKDRSDLLWLHEICLNQFSTASETLHTCALFSSPGEDADLTSTRKSLSFVERRRLLYLSKIAATAGKDVDYEVKVAQIDADIRILNLQEEIVQQDPEYAQGKHTSKLLPPSELIEMCMKGGRELSLKAFEVFAWTGSSFRSSNKGLLEACWTNAADQDDWVELSQASVSEGWSDEVIQESLQGTVLFNASRLCYSPDAVVFDGTFEEVLPVRKEDVHARGLEAKCFSVEEVLMQHDAFPDAGKLMMTAVVMGKELSYAVPADEPVDMDS >SECCE6Rv1G0418650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:663991479:663992609:-1 gene:SECCE6Rv1G0418650 transcript:SECCE6Rv1G0418650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGERDDDFLNVLRALRDAARSVEAGEDDGPALRALLALEAAADDLLAGDPELHPLCRLLATIRALSSWRASEEAAGGGVVGALRARCRRCKARRGVARAAGAVAAEIQAWADRELAARLVAAVREGASGDDARARALLAELEARLLGAGSAGRFDARLQDAVLRHGVFGAVEARLGDPGEVGDGCAAAALALVRFNRDVFVGPVLMGRAVDALVTAAASSPAALRALNGLVAAIRTPLVDELHARGELPRLVALLGGHTARTPALELCLRVAYYGRREVLDALLAEGLVKRLLCLQRTAADTTDTTDTTDEEDERAMASSAVAWLAVQVEAGQGLRPREKRAAKLEILRRVREAAVSPAEEAGVLTSVLWGATP >SECCE2Rv1G0073160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:64723564:64727365:-1 gene:SECCE2Rv1G0073160 transcript:SECCE2Rv1G0073160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGKRETWRTTMVLAYQSLGVVYGDLSISPLYVYKSTFADDITHTDSNDEILGVLSFVFWTLTLVPLLKYVSIVLRAHDNGEGGTFALYSLICRHANVSLLPNRQPADQDLSTYSLERPPEEAAHGSRVRRWLEAHRSLKTALLVMVMIGTCMVIGDGVLTPVISVFSAVSGLELSLSKHQHEYAVTPITCAIIVFLFALQHYGTHRVGFLFAPIILAWLICMSTLGVYNIIKWNPQVYMALNPVYMLKFLKKTKKSGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILGYMGQAAYLTRHHNFDSSYQIGYYISVPEAVRWPVLVLAIMASVVGSQAIISGTFSIINQSQALSCFPRVKVVHTSAKVHGQIYIPEINWMLMVLCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVMMLCWHRPPALALAFFIFFGSIEALYFSASLTKFLDGAWVPLLLALILVAVMFVWHHTTVKKYEFDLHNKVTMEWLLALCDRLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPRVLPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLASFIRLDALFRCSDARSDADYERENAFTVIGSNPLRRRISYDDTHDSASSVEIRVESPAGSNGTNTIELTSVPAVAPRVVKRVRFLVDPGSPDVEDKQMVEELHELCEAREAGTAFIMGHSHVKAKPGSSLLRRLAIGYGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >SECCE1Rv1G0040500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:561047712:561051879:1 gene:SECCE1Rv1G0040500 transcript:SECCE1Rv1G0040500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYLAREASKVWRKVCAETTTELPLLREKWPLLLAGIVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKNYLSECTFVFIFFSFFLWTFHPFICHSKRFYTILIWRRVLAFLVASQVLRIITFYSTQLPGPNYHCREGSNMATLPPPNNVLEVLLINFPRGVNLGCGDLIFSSHMIFTLVFVRTYHKYGSKRFIKLLAWIMAVVQSLLIIAARKHYTVDVVVAWYAVNLVVFFVDTKLPEMPDRTNGSPLIPLSSKDKDGRPKDEKDVRLKDEFHKLLNGNNHGDPTDRRQRVQMNGRHAEEDMSMLSDAAPNGT >SECCE2Rv1G0065640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:9436120:9437499:-1 gene:SECCE2Rv1G0065640 transcript:SECCE2Rv1G0065640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLTLLAAALLAATVSVSLTAASGAAVEFPKEALPTSSGYLPVDSRTNASLFYAFYEASHPLTAPADTPLLLWLQGGPGCSGLVGNFFELGPYLVAPDAASLSRNPFAWNRRFGLLFIDSPLGTGFSAAPSPAAIPRDQSAVAAHVLAALQSFLDASPPSFRARPFFLSGESYAGKYVPAAGAHILAANPGQPAGRRVNLRGAAIGNGLTHPVAQLPTHADSAYFTGLINARQRGELEALQAEAVALARAARWREASDARGRVRSWLQNATGLATLYDFGRQRPYATAAVARFVNRAEVKAALGARRDVAWEQCSRAVREAMHEDMMKSVKPEVEALLRRRTRLLLYQGIRDPWIGVVSQEAWMKELSWGGLRAFQEAKRVVWRTGGADEDTELAGYVQRSGALTHAVVYGAGHMVPADNGRAAQEMIEGWVTETGVFGNGVSPPGLMPAGGQIAAS >SECCE1Rv1G0002110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:7588557:7589057:1 gene:SECCE1Rv1G0002110 transcript:SECCE1Rv1G0002110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTQAQRQRLTLNREQFMKVVPRWCYARHDEWASLVDRWLGDDAEFVAKSSKGRANHGKDGTHSQGSRNHWGFKAKKEEKLKRPLSDMESWKMARERSDRKDGES >SECCE7Rv1G0494470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:584368433:584377704:1 gene:SECCE7Rv1G0494470 transcript:SECCE7Rv1G0494470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAKRGAKGIKWDRDPQLGDLVLAKVKGYPFWPAKVSRPEDWNQEPTPRKFFVLFFGTKEIAFVGLQDLQLFTEEVKNDLVNQAREKRFPKRHAKGLEEALVEICKAYDELPKSSETANDVLPDRTLDMSEKPTESLVKPPDDGEVPRVEQMEVDGSVDNLNTLRHGSGTEENVKDGHDRKDIVRTVINRKKPVEKDSDHPKKKKPVTSKSAVNMHLEQMEVDSSVDNLNNIRHGSGTEENVKDGGHDRKEAFPTVANRNKSVEKNSDHPKKKKPVTSKSAINMHLEQARSPTSLFSGRETEDKKVGKEGHPTEGILLDPTVEIVCALEVPKKDKTKMQLKNADREENKHVDGPGISGRTTPEALPGTVPTNSADKESGGFKKLKPMMKQSLMDKSQRKCPSKVMLDKPNKQLTVKSPVVLSSNKKSLPGSGQRKPEGSTDMRPAKRPKLVDRNETVKTGAKSELRLPVDNGKDNSVKNEKFTSVGARNNTFPETVTADGRTRKSGLVVSPLPRPHSERMEQAPGSATNLTGFDTAKKGSSMKEDGSRVGRPLAQPRRRACRFDDDDEEEQRTPPHKTVAKSISTHLTPTDKIHQTGIRGIPSSQFGNVSAKKTGLAREEKPRSVGRSPVKPEPIYSPSQGKVHARPQMTGRKSATISVDTSSALGNKINPADRKSSDQLKNPGSSEVKKPQGSSSKAVHQTSGNSHSQSHATLEKNVLLSKSENAKVKAKPSTQIAMTAENRLSATLSDERSVKLDHSKEDRSNFVDKADFAESNADSDKSIKRLIAAAQAKRNHLASGQGNSDGSSADNAVLASAAYVLPGLSPSPVFHIPSASRNAISEGDIMQSQDSICEPGHRVDLKKPAETDHEHEKSPKPKQSSGSLGDGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKHGIAEEIVELLIRKIENEPNLHRKVDLLFLLDSITQCSHSQKGVAGASYVPSVQAALPRLLCAAAPPVAGARENRRQCLKVLRLWLERKIMPENVLRKYMNDIEVPNDNTHAGFLLRRPSRAERSVDDPIREMDDMLVDEYGSNTTIEFSGILSANVFVNDEDFPRIDGSLPVISLRVGRGGIQESEEIIAPNSVEEHMMVLESATSDAVMEDASVLPRNSQQIEGSALIEHDSKQEAGSEEALTNQYELPPLPEGPPPLPLDSLPPQPLPEGPPPLPLDSPPPPPPLPPSPPPATPPPPPPPLSPSSPPPPPPLPSGPPPQPAPPPPHPSIPPPVLSSPSSLGYQHAMPEYFRPPNGNQLTGNSSIQGVGNTPNFMPAVPVNAQAPVNYTPSLPPDYGSNNIFLPQQASNGNYQFQPGVSFHQGAFSAFPSAQTPPVHPHTHHTHMNPMGQQSVPPPCNSYGAQSFPNSQSQYTSEEQWRMTSGNFSPDDQHNTWLPGGRSLSCSDGSFMQDGYPRSNIDRSSMNPMSHQHAVLNHLPSGAPHPGHVVPHMLPAKPDIHGLNCWRPSG >SECCE1Rv1G0042190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:578257281:578258528:1 gene:SECCE1Rv1G0042190 transcript:SECCE1Rv1G0042190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTARSGYEGQEQQHRGRRRRKPSDGHVVAQLLDSPLPTPRRSCCGSAAGTPRSARAASPQRTHVPFSWESSPGVPKAGGASLERGWLPPRPPPGRGGQGRAYHGGNTTTDATSSDDDDDSFSDALDRISSSDRLAALSARLSSIDGRAASRRLSSFIMDRFLPAANAIARTSTEKRAKKSPRRAARRSDHDGDDDEAMATARLETHTPRRERHVPALQQEEESWNGTPPQEMAWRHEEGARGDETPPRACGFTVLFPWCVKPVLCGFPWSPARPRHPRASPPRRSTTMGDVLVKELGLRNGDPSHWYEEKSGGSGKEWSSPGGPGLGMSILGTSKRYCADARKALSRLARSATDGGGGGSPRMSRERRSGKAASSPLHSTSGRMPQLQLQVKPPSESWLCHARGSTTVNSRR >SECCE6Rv1G0452510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:877615761:877616282:1 gene:SECCE6Rv1G0452510 transcript:SECCE6Rv1G0452510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAVLFLLFAVFAAGASAATFNIKNNCGSTIWPAGIPVGGGFALGSGQTSSINVPAGTQAGRIWARTGCSFNGGSGSCQTGDCGGQLSCSLSGRPPATLAEFTIGGGSTQDFYDISVIDGFNLAMDFSCSTGDALQCRDPSCPPPQAYQHPNDMATHACRGNSNYQITFCP >SECCE7Rv1G0510110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:787071070:787071581:1 gene:SECCE7Rv1G0510110 transcript:SECCE7Rv1G0510110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE1Rv1G0030550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:428709342:428710788:-1 gene:SECCE1Rv1G0030550 transcript:SECCE1Rv1G0030550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFQRAFGDPMCSEDSNVVQQGIEKCPFLRNINEPTSFSLTSVNFPAPATGAKGPIFEDGPNFDTAFRVFHGRDGVVPLSEGAFAHIEKPLPKPTPEFNPLAAKAATISLSGFGGFFSFGDFSNKRNKQNSNKKNPNNLPQNKGQSNNNHEAMSNDWLENGQCPLAKSYRAIGGVVPLVAKLLTPPAGMKLTCPPAIVAARAAISRTAFAKGLRPQPLPTKVVVIALLGMAANVPLGIWREHTTKFSVQWFAAVHAAVPFIGMLRKSILMPKSAMALTIAASILGQTIGSRAERIRLKRAKLAAEGHGHEHAARLEAPVSLKTGSSGAVQLWDPLGLRVKGVVSPALVPTVGAMY >SECCE5Rv1G0356550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:740332400:740335155:1 gene:SECCE5Rv1G0356550 transcript:SECCE5Rv1G0356550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLGCCGMARSLTENKTRTALFVLVVFGLCSFFYLLGVWQRSGFGRGDSIAAVVNEQTKCLRLPNLNFETHHSASDLPNDTFSSQVKPFEPCDAEYTDYTPCEEQKRAMTFPRDHMIYRERHCPPEKEKLYCLIPAPKGYVAPFPWPKSRDYVSYANVPHKSLTVEKAIQNWVHYEGNVFRFPGGGTQFPQGADKYIEQLASVIPINEGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPKDNHEAQVQFALERGVPAYIGVLGSMKLSFPSRVFDMAHCSRCLIPWSGSNGMYMMEVDRVLRPGGYWVLSGPPIGWKIHYKGWQRTKDDLRSEQRKIEQFAELLCWKKISEKDGIAIWRKRLNDKSCPRKQDNSKVAKCELTSESDVWYKKMEACITPLPEVKSVSEVAGGELEPFPQRLNAVPPRIARGFVPGFSVQTYQEDNKLWQKHVNVYKKTNDLLDTGRYRNIMDMNAGLGSFAAVLESPKLWVMNVVPYIADTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHANGVFSLYQNKCKFEDILLEMDRILRPEGAVIIRDTVDALVKVEKIANAMRWETRLADHEGGPRVPEKILFAVKQYWTADSKSRR >SECCE2Rv1G0125610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:842106676:842110472:1 gene:SECCE2Rv1G0125610 transcript:SECCE2Rv1G0125610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPAAAASSPAARAGGGKDDELADLARRILDVLSRYSDRLPFDLDRQKLRSLTTLAAIAITIVFAWKLLRSPPEQPRRPRRRDAPSSSNTSSRSQPGALVGTDACSSVDSRAHEAINQLFQPVNLTLEQLVRHKLSEGRRVTCQLLGVILEETTPEELQNHVTVRPSVLEVLLEIAKICDVYLMEHVLDDESEEKVLSALSEAGLFTSGGLVREKVLFCSTEIGRTSFVRQLEPDWHIDSSPEIVHQLSRFIKHQLHISPQQTERVSPNVFSSASLEQFFGGLDQR >SECCE6Rv1G0407580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:559223149:559225493:-1 gene:SECCE6Rv1G0407580 transcript:SECCE6Rv1G0407580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONSTANS-like protein, Heading promotion under long-day conditio [Source: Projected from Oryza sativa (Os02g0724000)] MIMGALCDFCGEQRPTIYCRSDAASLCLSCDRNVHSANTLSQRHMRTLLCDRCASQPAAVRCLEENTSLCQNCDWNGHGATSLAAGHLRQTINCYSGCPSSEELARIWSFDFDAPSAAAEPNCEEGISMMSINDSGVSNHSGPQQDCSLLDIANTSLMSDPPTGEKLKSGDEMNLRPLPPTHQPTQSVSMAPKVPSVTDDDMFNDGRIYENFCVDDNNLTFENYEELFGTPHVQTEQLFDDAGIDSFFEMKEMPAADCDQQLRPMQPECSNAVSADSGLCVPARQAISTISLSFSGLTGESNAGDHQDCEVSPLLLMGEQPWLPPGPEGSSASGSRGSALSRYMEKKKRRKFDKKIRYESRKARADVRKRVKGRFVKAGEAYDYDPLSETRSY >SECCE1Rv1G0039210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:546654513:546656218:-1 gene:SECCE1Rv1G0039210 transcript:SECCE1Rv1G0039210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGLKPKALGDDDAPLPAEAPTAPATGAAEQDVALPSVVEEAQSPAEEASRDVAAGQQSEEGTSTAAETKEHDEPKETVGTELPKEKETVAEEAGGGGELPTSTPASVA >SECCE2Rv1G0139240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:926198396:926199430:1 gene:SECCE2Rv1G0139240 transcript:SECCE2Rv1G0139240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSTMASSLAALGHTITEKLARDNFLVWKAQVLPHVRAAGLMGYLDGTMAEPPAVLISETEAAGGKKELSSAPNPAYALWYTQDQQVLTFLLASLSREVLLQVHSIVSSTGVWTAILQMFASQSKARHIQLRGQLGNTKKGDSSAAIYFSKMKSFADELAAAGKPVDDDDLVSYILQGLDSDYNPYVAALSTRTGTDQQIGLTELYSLLITAEARLDGQSGGNSSSYSINLASKGGPRNNSGRPTGGSYGGGGTSSNFSDNGVLQSSGGGGIEKCQICKREGHAAWRCYKRLARPSTPLPSDKAAAMATAAAARNLPTLLLPPMASIPIGISTPEPLIM >SECCE1Rv1G0016070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:134369828:134370235:-1 gene:SECCE1Rv1G0016070 transcript:SECCE1Rv1G0016070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSNATRMAAIFVVLMIMSSTLSSSCCYARTIEDGANSPDCSYLEKCQDRCQTACSIGGKPSTGAYCQNNQCCCA >SECCE3Rv1G0181910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:619712235:619716421:-1 gene:SECCE3Rv1G0181910 transcript:SECCE3Rv1G0181910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSSQFPASTERESTTADDNAIPHDPGADSAGPAQSRLSLQLDQRSLHFSVNAWVLIVALIGIIPLATRQLQLKGYRLSLLGTTCTTGYAIFALYGLPRVGNTQAVQAWCHHVTSSKDFIPFMYCLMFVTSKLHLKLVLVPVICWALEHVARFLRRHFTNSSLYRAYLEPLCTWVEANATAVNFLIANAEILLGFLMILSLFSKQRNAMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTVNPYINNYTPFLHDPINAGMRWWFR >SECCE4Rv1G0229380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:147779061:147780503:1 gene:SECCE4Rv1G0229380 transcript:SECCE4Rv1G0229380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCSVARITSFAHFGLKFLPKLLALSPGSLGKFRKVLPAMETGTVLRKLPELLQDVLRKVSPPMETETVVRNLPELPQDVLMDIFSLLEIPDLMRAASVSSSWRSAYTSLCSQLKLYKRPQTPCLLFTSESAGENVACLYSLAEKRVYNLTLPDPPIRSRYLLGSSHGWLVTVDDKSELHLINPVTGQQIALPSVVTIGYIEPIFDNAGTVIRYKLRQQLYDPDLDPMVGPKMSPHAPGKLRDHVYIRVFIFPDPSTGSYIVVLIHGPVRQLSFARLGDCKWTSLPPGCDYHLCIYMDGLLYASTRAGRMDAFDLTGPTATRNIIADEIAIYSSEYNGEFCLLQAPWGDLLQVCRKTELIDAGYEELIVKTNKFLLHKVDMEAEELVEINSLHHSVLFLGRNQPICLSAEEYPQLKANCVYFADDEQCNWMYKTNPRDIGVLNLEDDSREEIVSPLWCSWPSPIWITPSLTVMNLSLYK >SECCE5Rv1G0335340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:572687215:572688512:1 gene:SECCE5Rv1G0335340 transcript:SECCE5Rv1G0335340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSLLLLLLVSACAAPSIADDDPMARRFERWMGRHGRAYTDAGEKQWRLEVYRKNVELIEEFNSGGHSYTLTDNQFADLTNEEFRAKVLGLGAPGRAGRHAPRTENFAPVTMPEKETDDGNSTLPKEVDWRKEGAVVPVKNQGGCGSCWAFAAVAAMEGLNHIKKGKLVSLSEQELVDCDAEAVGCAGGFMSWAYEFVMDNHGLTTEASYPYLGVNGVCQTAKLNETTVSIAGYRNVTANSEPDLLRAAAAQPVSVAVDAGGFVWQLYGGGVFSGPCTAQVNHGVTVVGYGEANSTGDEGSGKYWIIKNSWGAEWGEAGYMLLQRDAGMPTGLCGVALLASYPLM >SECCE3Rv1G0190650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:742300000:742301799:1 gene:SECCE3Rv1G0190650 transcript:SECCE3Rv1G0190650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPELDKQYSNIQLGEEVEICDIKLALKALRKKILSLDFHNSLHVHDPQNSFEYLEVLYKLRQLSENLGNLDPGGEAKDHKELTVYADDLFEMAMARLEEEFVYLLTYYKQPLEQELLSFRSTEDGSTDEFSSSSFSEEQSEGKSTQTGSSGGSEYIVADMIQPGALSAVKSIANFMFLSDYNNECCQAYISARQGAIDEFIGSLHIDKHSMEELMSTKWNKLSSSIKRWNRAMKAFVRVYLASERRLSSLVFGDLSETAVDLCFYEISFSSVMQLLSFYESVAIGPPKPEKLFRILDMYEVLDDLLPEAEFLFQAGGNDMVLAEYHEVLLQLGESARKTFAEFKYAIQSYTSSSAVPTGAVHPLTKYVMNYIKAVTVYSKTLDSLLKDADQQPIPNSCTHFTATALHLQSVAAVLEANLEAGSRLYRDCRLRNIFMMNNICYMVQKVKNSDLKSFLGDDWIRLHNRMFQHQATNYERASWSQVLSYLSDDGLCAAGGAASRKIIREKFKNFNLSFEDVYRVQTAWSVPDDQLREDVRISISLKVIQAYRTFVGRYSSFLDGTKQRDRYIKYRPEDLEELLLDLFEGTQKSLQHSGRA >SECCE5Rv1G0303070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:44472903:44473484:-1 gene:SECCE5Rv1G0303070 transcript:SECCE5Rv1G0303070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKTPKGKSGFFSVRQKPSGNFGVEFSDAGRRWWIGTYPSAHEAARAYDVAVWRAERHREHLNFPEIESRAEAEMLVPQGIKMKEIQTKKKTTKKPSVVVSAGETDKEAMARFAREHPKYVQAELEYYWKREAEQKKKGAKKEDEAGPSTVIPIESSSEEDWADFSEEEVGCDDPEKEEFWPQFRSSDDEE >SECCEUnv1G0536480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:64538213:64539076:-1 gene:SECCEUnv1G0536480 transcript:SECCEUnv1G0536480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHYADLSDSSSLRRALDHVLPDEVYNLAAQSHVAVSFEVPDYTADVTATGALRLLEAVRLSAKAKPMRYYQAGSSEMFGSTPPPQSEATPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAIGRIKVGLQTEVFLGNLSAARDWGFAGDYVEAMWLMLQQDKPDDYVVATEECHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPSEVDCLQGDASKSRKLLGWKPKVGFQQLVEMMVDNDIELAKKEKVLVDAGYRDPKQQP >SECCE3Rv1G0155730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:68879345:68881062:1 gene:SECCE3Rv1G0155730 transcript:SECCE3Rv1G0155730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNMDDDLVYMCSALVVAVLAIAAVQLLKPRPRLPPGPLNLPVIGSAHRLVNSLPHRTMRELAGVYGPLMYLRVGHVPVVVVTSKEVARDILKTHDAIFATRPKLMSGDIVAYGSTDLLFCPTPGDYFRKLRKLCVQEILSNDRIRSYQDVREDEVRSLVEDIRAAGPSAPLDLSRKIYKLTNGIVSRAAFGMKSSKAEDFVAAIKHSFVYSTGFSVPDLFPGFTGILSFLTGMRRNLEGVRDTIDGILEEIIDEREKILKSGSATASEKNLVEVLLGLIGNEDFGFPITRSTVKAVVLDIFAGGTETSGTSMEWAMSELMMNPKVMRKLQGEIREAFRGKEFISETDLRASGSCIKYLGLVIKETFRLHPPAPILVPRESTEACEINGYVIPAKTRVIINSWAIMRDPQYWEDAEEFKPERFEGTRMDFHGGCFEYTPFGSGKRMCPGFNYGMASMELTLVQLLHSFDWSLPEGVDRLDMTETVSLSLTRKTHLMLRAVPHAPPPSS >SECCE2Rv1G0124910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:835460757:835461515:1 gene:SECCE2Rv1G0124910 transcript:SECCE2Rv1G0124910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTASPHSSCISQPAMSAGESSWAMHIADFLVSTNNRQEMDHQGGEASDSDFSSGFSSSFDSLGDGSDADSFLTSDLMDEDDEDDSLQDTACSSAARPKVTSMHDMFMKSILTMDAKDMNTAQLAKYFLDAGSRQQATGAVQEVISGGNSNEKQMHELNDLRKRGLCLVPLSMLIDYLG >SECCE1Rv1G0017250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:151637429:151638825:-1 gene:SECCE1Rv1G0017250 transcript:SECCE1Rv1G0017250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLFQQLAPDDPHDKVDHYYTICIKLVKQRFEVLDSIRSGDDESLRSHAEFFINNLKETWARHYSGSKVQIKHFPIEYVKSTKQGNIYDCGVYTLEYLAKWEGRKVPTLNREICTELRKIYLWNWVTIVDFNKREGALAWFNSDVKAAIKRYK >SECCE3Rv1G0207850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:924747240:924748582:-1 gene:SECCE3Rv1G0207850 transcript:SECCE3Rv1G0207850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSKNTQHSPAVIFLLGAISATAVLVFFFTATAGPAWPAVTTELTPSPRGSQAVARSAPAPTPKASSPTNATGGDDDAFARMLRRAAMEDRTVIMTSVNEAWAAEGSLLDSFLESFRVGLNISHLVKHIVVVAMDEGALRRCRAVHPHCHLLLPDVDGLDLSGAKSYMTKDYLDLVWSKLRLQHRVLLLGYNLLFTDVDVAWFRDPRVHITAAADITTSSDFYFGDPDDLGNYPNTGFIYFKATARNARAMAYWHAARARFPENHDQFVFNEIKRELAAPPIGVRIRFVDSKHVSGFCQLGRDLNHIATVHMTCCVGLENKLHDLRRVVEDWRRYVSRPEWERRAGKIGWRFQGGKCIH >SECCE7Rv1G0525370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:890007872:890011565:1 gene:SECCE7Rv1G0525370 transcript:SECCE7Rv1G0525370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHRRRPPTPSDSSSSSSEFDDMLPVGAEVEVRSEDPGFAGSFYEATVAGHLPSSSSSDGGGDKCYTVVYSTLEGDDGEPLQEAAAAASVRPRPPRAAPRRFAVHDMVEALHSEGWWAGVVSAVAPAPAVAGGDLRRRLSRVYQVVFPTSRETIEFQEADLRPHRQFVAGRWVPAAKAYNASPFFSKGDQVEVSQSGTNFGESWNPATLLKVIGATNFLVKYIHNRKDGKSATEILDSQYIRPARAITRIDSKHRFSPSSRVEVLHEGSWWPGVILKVLGTGTDKKYVVMLDCHKTDLDDIDPMDALRVEITQLRPLCDWDGEKWVPCLKKESAKRPISAALYNDSDKISDEPGSHRDKKKLKNADMMPEQISPLSSVHKENVEITQKQGNVVLEPRFELSPPSLPPMASFGCLSSSSSLVPSCHPAQSSSHLQDSLFGGFGQPRPVPQGPPLRTHSPSSYFTSIEGLKKVLSVQDKQSTAGTGTEPFRQMEPMARIDAKTIEEGSNVVSVSEDRSKSHKGNRTRDSCCPLSAESVAVHESIMHTSGSESSAIQHVPIVKTSPLWAQLEALEIFRTTPQRPNLHQFQQHVPELHEGLALGLMISFANLAESINRLGVEDDSELLEEKMNCLAYLEASGFDVGDLRSRVEALIHLKNIRAELPDALKKLEEEIAREEADDQELGTQLHALAMAVHHLELHAYLVRDVIKSTVAQRMHNAMEISRLKAEANNLSTAVPR >SECCE5Rv1G0370420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:841968232:841973012:-1 gene:SECCE5Rv1G0370420 transcript:SECCE5Rv1G0370420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGDRRPSPPPPPQPHATLSYLSPSATPFTVGRPRGAATPDPGPNAPANPSPYPDLPTAPSLYDSWVEPPASYLDLEAGAAAGYRGFANSDGFLVPENTHNGLYTGNHFGTTVQQPHPFTTCSSEWMEEKYPGIYQRTSKALPSNFGSSVIHQPSVSPNMFACLDKKPCSTPQPVNQHSPYSAYDNYTAHLPSSSTYPLDYNLSMPPVSASPEVCATTKSLSPTTDGHIMENAFSSPYMNPCRLNLDYFDTMQNEQKDHFGHQTAFKHYGDWSNSDNGTRVIGSYPLSRRGGGENYPLGESSETGRPVQPGTYPLNRHGVGENYLSGDTFEAGRPVQPSSEVKSGFKSLQASSSNVSPSEHAFSQPRDLFIEPLEVNNPVVDSPCWKGTPTVQQLSFGVKHDEAPFSANGSGDLHDLHQSKKLSEFGTSNSVLFPKHHDTSNPENDSCLPYYVNYLSSFSLPSGSKKSEGHSVAQPSNVGDFDAMARSSHPSYVSVDQDTRREKHMICKTGDNSGNGVTPGQQGGVFLGKRTFEPIVLGRDFARHVVVMNEDSGKKVSSNVDAAPIAKARSLTKESLQGNTCVHKDVATLESLYSEMPMKIGLEHLTHCSAGVEESVKISSDKVTCRSKTQEDLIKSIYNFSVVLLSTCDSGYVLEESEHALVQSTIHNLSSLSSKISKAALKNDDVNGNCRQTKSDTTMCHGKNHQPEKFDGLDWENIGADFKTFILEDLTKLPEENAIGDPKDAQMLIYKNLWIEAEASMCKLKYELQIARMKLATKRYNHQTAAAPADSLGEAKASNLPKHKNSLCFEGIADSSKQQNHVKELNIGNAALLPQGGDKVDADVFARLKVLKLRDESLNCSHEVNAELQKGTSNYNRTDVVDDTVFDNAVDDRITSLVEDIIKERSEASGSEGGEADGATIAALNGFMSYNNNTRSLDEDTNIEQPESSESKIHGAFIDKLKDLMCCSDDISSPNEGNAHQLQTTSKSEFGQLEDVVMARLQVLKRREDNNSSAVKEGQEVFHSDDWAGHFETKRLGRGAHDELIQKTDLPDDAGSRAQFDEADSKTASQYVSALLEESPVASAPAEPATVHMHEEQLSNSPPEWEHVLKEDFFLPGNHLK >SECCE1Rv1G0032390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:455317861:455318700:-1 gene:SECCE1Rv1G0032390 transcript:SECCE1Rv1G0032390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTMLGFLAGLVADGKRTSSGFRGVHHRQCAAVLNEQFKLSVTGEQVKNHLKKWRKIWTKVVNLKNLSGALWDEDTCTIRLSEEHYAGHCMTHKADAPFLNTPIEHYHAMAAIYGTMGAKGLNARSGNDLSIDPEEEENSEVNTSPNVGESSDPKAPPKKKAKVKHVPEDPLSLILKDGFKLVADALVKSSGDDDDIPDNLWDVVSTLPDFDEEHVAHYYAHLVDNPKTARAFMKLSQTNKSVWVSRYVKKNF >SECCE5Rv1G0318350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:360036094:360039718:1 gene:SECCE5Rv1G0318350 transcript:SECCE5Rv1G0318350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGPSSASADPSPPEEEEEEEEEGDGSTGSRWVVVPGSEVLGADAPKVVGWEELQQELARLWSLSAALAAARDRKAGLAARLESALEARKAVLQQDNELAEMRQRLQSHADFMGELRMQTKEVSANVEDRREQLCVKIRTLTVADKTVGAAQSKLQEPCKLLSGERGHGRLKGLERMLRMRQQYMIGQVAQIYPVRPLNEQSPIVKPGLNSSIIRTGVGEAVSPNGSQNGQAHLAVLGLQLSKLSIKKTSYFSDKTEIQKSATLLGYVAHAVSLIASYLDVPLRYPLRLGGSHSYIVDHAPSVDPSIAPGVSSSTPSSTSMRTMEFPLFFEGQETTRSAYAVFLLNKDVEQLLNHIGAESLGPRHVLANLKQLTTIVQSQQYISD >SECCE4Rv1G0223830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:74458513:74460392:-1 gene:SECCE4Rv1G0223830 transcript:SECCE4Rv1G0223830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTQGFFAALKQEVARGLSPARARRRSDSADLDAAALRFSGPGEMLAPLMEGPDPESGDGDGRGGAGARREGWGRWVRGQLARTPSSVAAAAAGAGAARNDLRLLLGVMGAPLAPVHVCAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGHKLLASVRNTYAMGKVRMVATEFENAGRLVKNRNAARCAEPGRFVLWQMAPEKWYIELAVGGSKVHAGCNGKLVWRHTPWLGSHAAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCTDPETLKARTEGLAEIIRHVMFGYFSQRTGLLVHIEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVDGIMIAHSGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSVSETVELPHGEKNKFGPPPGHRAKVAALEKTDGNELAWRGTHT >SECCE3Rv1G0202220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:871815974:871817236:-1 gene:SECCE3Rv1G0202220 transcript:SECCE3Rv1G0202220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTIIFYFLCGVSWLYICSYIILMISATRTGRSTVPLSHRHGPCAPVPSKERSLSERLRHDVARAGYVHQATGGYGYGLQRSDPAPTLLGDALGSEEYVVTVGIGSPAFGHTLILDTGSHVSWVRCNTQFGTPFDPSASSSYAPFSCSAPTCAHLGGQWNGCSQDQCQYTVSYQDGSYTAGTYASDTLTLTGSEAITGFQFGCSHTDNVDARIDGLLGLGGGFSYCLPPSPRSSGFLTLGAPADESAFTMTRLLRKAGSETFYVVLLQAISVDGKQLDVPPSVFSAGSVLDSGTVITRLPTAAYTALSSAFKAGMTEYPPGPPNGILDTCFNLTGKINVTLPCVALVFDGGAVLELDADGIVDNGCLAFAATDDTSIVGNVQQRTFEVLHDVARGVAGFRAGAC >SECCE5Rv1G0339590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:609174485:609175996:1 gene:SECCE5Rv1G0339590 transcript:SECCE5Rv1G0339590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHDSDHGGCVHVLLLPYPSQGHINPFLQFGKRLASHAGIRCTLAVTRYLLGQGREPCPGAVHLAEISDGFDRGGFAEAAGDVAAYLARLESVGSRTVDELLRSEAEKGRPVRAVVYDAFLQQWAPRVARRHGAACASLFTQAPAVNVAYAHAGAGRLTVPVVAGTVLPELPGLPAQLGPDDVPSFMAKPDECPAYLDLLVSQFVGLDAADHVLVNSFHELQPLESEYMASMWRAKTVGPTVPSAYLDNRLPDDASYGFHLHTPTTAATKAWLDARPARSVAYVSFGSIAALEPELMAEVAEGLSNSGTPFLWVVRASETSKIPDGFADKVGENGLIVPWTAQLEVLAHDAVGCFVTHCGWNSTTEALSAGVPMVAVPHWSDQPTNAKYIEDVWRVGVRARPGAGGVVRREEVERCVREVMGSEEYRTRAAEWSVKTKAAMSEGGSSDRNILEFLRELGSRKSERSGAAEDP >SECCE5Rv1G0337480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:591785671:591787559:-1 gene:SECCE5Rv1G0337480 transcript:SECCE5Rv1G0337480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGKAPVPAKKKAADKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALHQFTRTLDKNLATNLFKMLLKYRPEDKVAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKARERLIAKEAAQRMN >SECCE4Rv1G0295380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898115188:898116777:-1 gene:SECCE4Rv1G0295380 transcript:SECCE4Rv1G0295380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALATTSSSAPLLMGAVSLVGALMFLLNRGGKKEQNLPPGPPAMEFLAKFINLRRSIFYVRPILRELHARYGPIFSVRLFKTFIFVRDRGLTHEMLVRGGATFADRPDPLYQPWPMFFDGGILINAYGTYWQRMRRNLVEALHPARVALFKQARSRTRDALLARLSAAAAGGGEVVAVREIFRRTLFELLAYMTLGPGLSTETLNDIFMVQKDAFGAVTSFSYFMAFVELTKRIFSKRWAALQEIGKRREAILSPLIQDRRLRASSSGGGMETDMDTDTDTDQDPPCYADTLLKVRLPDEGDRALTNAEITALCSEFMVAGTDTTASLMEWLMAEMVIRPDVQAKVYQEVSGDEELKESDLAKMPYLKAVVLEALRMHPAAHLIFPHKSMHDTEVGGYTVPKGAEVNFLVADCALDPTVWTDPEEFRPERFLEGGEGHGVDITGNKEVKMIPFGAGRRMCPGYSLATLHLQSLVATIVKEFEWLPAVEGQKIDMTEDLDGVIVIRDPLRVRLIPRRSSELVSTHSDLK >SECCE3Rv1G0161050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:112074798:112077318:1 gene:SECCE3Rv1G0161050 transcript:SECCE3Rv1G0161050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSIDVERIYFGGKEHPVRTRYGPVSVSVYGDEDKPALITYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHITPQGHELGAAPIPSDVPELSVDNLADQVADVLDFFGLGSVMCMGVTAGAYVLTLFAAKYRERVLGLMLVSPLCKAPSWSEWLYNKVLLNLLYYCGTSGLVNECLLQRYFSTEVRGSGQEPESEIVQACRSLLDQRQGVNVCRFLKAINGRHDLTEALKKLRCRTLIFVGENSQFHADAVHMTTKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMGYGMYRPSQLDSSPRSTLNPFCISPELLSPESMGVKLKPIKTRTSLNV >SECCE6Rv1G0405820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:536587731:536589921:1 gene:SECCE6Rv1G0405820 transcript:SECCE6Rv1G0405820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVERSEVELCSPPPAPVVATAPAGSGSADASTSKEKPARNGEPAITAAPPMGEEGTEYRGLPGSAGAAPAPSQASLSIVPLIFIIFYEVSGGPFGIEDSVGAAGPLLAIAGFLALPVIWSVPEALITAELGTMFPENSGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGVPALGGGAPRTVAVLGLTALLTLLNYRGLTVVGWAAICLGVFSLLPFLVMGFISIPKLRPARWLEVDLHNVDWNLYLNTLFWNLNYWDSISTLSGEIKNPAKTLPKALFYAVIFVVVGYLYPLLTGTGAVPLDREQWTDGYFADIAKLLGGAWLMWWMQAAAAMSNMGMFVAEMSSDSYQLLGMAERGMLPAFFATRSRYGTPLVGILFSASGVLLLSTMSFQEIVAAENFLYCFGMLLEFLSFVLLRVRRPDAPRPYRVPLGTAGCVAMLVPATALIVAVLALSTLKVALVSLGAVAVGLVLQPVLKFVEKKRWLRFSVNSDLPGINAAHQPAAPDEPLVV >SECCE6Rv1G0427660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:720354114:720355400:-1 gene:SECCE6Rv1G0427660 transcript:SECCE6Rv1G0427660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPKDLLLIAVLLCVLACTVAGDGGGRPLVTAVTRDAATSLYTIPVKSGHPLVLDLSGPIVWSTCDGGASHDTLECNDIDCMRAHRFHPPNCPHSGYGMPDAGNPYRCKCTAHPHNPVSGDTASGDMTRVALSANATDGRNPLSPVSFTAVTSCAPDSLLAGLPVGAVGVAGLARSGLAFPAQVARTQGVANSFALCLGNRERDCVAIFGGGPLFAANGRSITDMLGGDTPLRKHGESPGYYVSASRGIFVDGAQVPLDTYAPLTIGFSTTTPYAQVRRDVYRPLIDAFNQAMERDGAITAGARLPSPAGSPFELCYNSSRLSLTRFGYFVPTVGFGLEGGTGWAVQGINSMALVIGRHMACFGFVEMKEGDKAGYGGGAAPAVVLGGLQMEENLVVFNEEKQTMAFTGQINGRGLFCSNFNFTVPA >SECCE5Rv1G0318760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:367781083:367784908:1 gene:SECCE5Rv1G0318760 transcript:SECCE5Rv1G0318760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQVHLLQQSDKNALADRKHTRTSSDVSDPPTPRKIEDAKNISIYNNVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVSFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTATPLPWATRMSIALGAAKGLACLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRASREHSLVDWVRPKLSDKRRLHQIIDLKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQSGGGSDGTVVQAGGLPDYRVRRGLTGNSVHCKAIPNPKCSPAVPACRVR >SECCE2Rv1G0104290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:604433824:604434759:-1 gene:SECCE2Rv1G0104290 transcript:SECCE2Rv1G0104290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLITLFTKIIGVVTIALVSIVSILGLICLSRAVYFQLWINRRGYQRLNYFNGPWLTRITLVLVAFWWGIGEVLRLTFVNGEGRFTSDPTWQANVCKFYIISNLGFAEPGLFLLLAFLLSAALQKQELGTLNRKWNQKTIRAMFIICIPSFVWEACVVFLGPRVASDDGQTSKLGKFWYSASAVNNGNVACTYPLLSSIFLGAFYIILAIYVMFVGGQMLSLVINKGLRRRIYMLIFATMILLPRATLLGLSIVAWPGETAHETLVFTSFLVLMLAAMVGIVILVYFPVADAFALVDQGNIEMQADQETIL >SECCE1Rv1G0039030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:544823966:544824289:-1 gene:SECCE1Rv1G0039030 transcript:SECCE1Rv1G0039030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGVEQGAGRVLKEAAGRCLRCGGAADLVETEKVLKLFFVPAWRWKGKDPAYLCRDCGLLAPGSLGVGGGGEPLLPRAGQCGACSRAVDPQFRFCPFCGSAL >SECCE5Rv1G0310360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:168047816:168051801:1 gene:SECCE5Rv1G0310360 transcript:SECCE5Rv1G0310360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAPEVEKKEEEEQVVNPWEVSAGKGGIDYDKLVDQFGCQRLDAALIDRIARLTGRPPHRFLRRGLFFAHRDLNEILDIYEKGDKFYLYTGRGPSSEALHLGHLVPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTVEESKRLARENAKDIIACGFDVERTFIFSDFNFVGGAFYENMVKVARCVTYNKVVGIFGFTPEDHIGKISFPPVQAVPSFPSSFPKLFSGNDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPALIESRFFPALQGENTKMSASDPNSAIYVTDSTKEIKTKVNKYAFSGGQDSVELHRKLGANLEVDVSIKYLNFFLEDDDELERIKKEYKEGSMLTGEVKQLLVTVLSGMVERHKRARARVTEEMVDAFMAVRPLPNMFG >SECCE3Rv1G0164090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:156649006:156649392:1 gene:SECCE3Rv1G0164090 transcript:SECCE3Rv1G0164090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASGPAATFPARPAPPGAGRRPCSCAAAATGGARFRGADGKWWAPLLGWSGQPDYIDDKAAPAPVPEEEPAAAGAAGPRRFGVLTEEKARQLRLRMMETESFHDAMYHSAIASRLASAARDSDAKP >SECCE4Rv1G0261180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700347639:700351720:-1 gene:SECCE4Rv1G0261180 transcript:SECCE4Rv1G0261180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATRLLAAAALLAALLAVSAAAGAAAKLDLDDVDDSEVLEALLAVDDEEEQAAPPGAGAGAGAEAVRRTQSMVLVLDNDNAARAVRDHPELLLLGYAPWCERSAKLMPRFAEAAAALRAMGSAVAFAKLDGERFPKAASAVGVNGFPSVLLFVNGTEHAYTGLHTKDAIVTWVRKKTGTPVIRIESKDSAEELLKKGQTVALGLFKNYEGADHEEFVKAATAENEVQFVETNDRNVAKILFPGIASEEKFLGLVKSEPEKFEKFDGAFEEKEILQFVELNKFPLITAFTDLNSAKVYSSPIKLQVFTFAEAYDFEDLESIVQEVARGFKTKIMFIYVDTAEENLAKPFLTLYGLEGDKPTVTAFDTSKGTKYLLEADINAKNLKEFSLSLLDGTLPPYFRSEPVPQEKGLVEKVVGRTFDSSVLQSPHNVLLEAHAPWCVDCEAISKNIEKLAKHFSGLDNLKFARIDASVNEHPKLQVNNYPTLLLYPAEDKTNPIKLSKKLSLKDMARFIKEKLQISDVDIKEKLQAPDIETVAAADNVKDEL >SECCE1Rv1G0032970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:461471956:461474550:-1 gene:SECCE1Rv1G0032970 transcript:SECCE1Rv1G0032970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIGASVDAPAAGSGGYSGRVTPFVVLTCIVAGSGGVLFGYDLGISGGVTSMDSFLKTFFPEVYRHKQDSNVSNYCQFDSELLTVFTSSLYIAGLIATLFASSVTRRFGRRASMLIGGTVFIAGSVFGGAAVNVPMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAINNGFELSISIGILIANILNYCVVKLTAGWGWRISLSMAAVPAAFLTIGAIFLPETPSFIIQRDGDTDKARALLQKLRGTTSVQNELDDLVSASNLSRAARYPFRDIFKRKYRPQLTLVLLIPFFNQLTGINVMNFYAPVMFRTIGLKESASLLSSVVTRLCATFANIVAMMVVDRFGRRKLFLVGGIQMILSQIAVGAILAAKFKDHGLMDKDYAFLVLVTMCVFVAGFAWSWGPLTFLVPTEICPLEIRSAGQSIVVAVVFLMTFVIGQTFLAVLCRIKSGTFFVFAAWICVMTLVVNLFLPETKKLPMEQMEQVWRRHWFWKKIVGEEDDKEEEEKEKQSRKIDSPSS >SECCE4Rv1G0230850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:173833825:173840955:-1 gene:SECCE4Rv1G0230850 transcript:SECCE4Rv1G0230850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLHAILCSLLLLSLSCGCLAAESSEAAQTALLEVDTSWKAARKIPQTLFGLFFEEINHAGAGGLWAELVSNRGFEAGGPHTPSNMDPWSIIGDESSIYVTTERASCFSRNIIALRMEVLCAKCPAGGVGIYNPGFWGMNIEEGKSYNLVMYIRSLESVELNASLTCSDGLQNIAAAVIVDTNVSDWRKIEMQLLAKGTCRTSRLELTTSKRGVIWIDQVSLIPSDTYKGHGFRNELIHMLTDLKPRFLRFPGGTFVEGILLRNAFRWRETIGPWEERPGHYGDAWKYWTDDGLGYYELLQLAEDLGAEPIWVFNAGISRNDQVDTTALAPFVKDVLDSLEFARGSAKSKWGSVRAAMGHPERFPLKYVAVGNEDCDNTKPFYQGHYLKFYNAIREAYPDIQIISNCDGSSKPLDHPADLYDFHIYTNANDLFLQKDKFSRTSRTGPKVFVSEYAVTDKEDAGKGSLLASLAEAAFLIGLENNSDIVHMACYAPLFVNENDRQWNPDAIVFNSWQQYGTPSYWMQTFFGESSGAVIHPVRLNSSYSGSLAASAITWQDNEDIFLRIKIVNFGPNAVNLTLSATGLQAGVNTSRSAVTVLTSDDALDENTFDDPLNVAPERSGLPNAAEEMQAMLVPHSFTSFDLALDQYGKLAADM >SECCEUnv1G0565330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:393044491:393045011:-1 gene:SECCEUnv1G0565330 transcript:SECCEUnv1G0565330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLLLLVAALACVLGAAEAKLGKLVVSGVVPCNTGSLIDISTSPAFPNAEVELRCAGQVVAGATTNTNGSFTMEADLTSALAAFIGRCSLVVDTPLIKCDAQLPPAGKLVSYLQGPLTRLLGGIFHLFPAGFSFHSR >SECCE3Rv1G0180310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:571795629:571796182:1 gene:SECCE3Rv1G0180310 transcript:SECCE3Rv1G0180310.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGGARVRRRLQAVGRVAAYLGGGFLLLSTASTAAVRSLRFLSDTNQRKFAMQCGACEGKGTYGCRLCRGSSTVEWSPLYDPVFVNPCLCPTCDGTRVQRCLNCLGKGYA >SECCE4Rv1G0275650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:794784020:794785585:-1 gene:SECCE4Rv1G0275650 transcript:SECCE4Rv1G0275650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACFLARCVSVPAHLLAIPTPLAIASCGLRRLGAAGARPVYAAGRMLGSVRYGTGAALGAKEADAEVAAVPPSVPVRVAYELQLAGHRYLDVRTEGEFVSGHPAGAVNIPYMHSTGSGMAKNSGFLEQVSAIFRREDEIIIGCQSGRRSLMAAAELCSAGFTGVTDIAGGYSAWKENGLPINQ >SECCE5Rv1G0356730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741825363:741825848:-1 gene:SECCE5Rv1G0356730 transcript:SECCE5Rv1G0356730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNASMLPMVMACVLLFINSCHAARHLADTTPAAVPPSMAAVPGLPAVPTMPTLPPMPAVPTVPAVTVPAMPTVPAVTVPQVALPPMPAVPSVPKVTMPPMPAIVMPKVTMAPMPTVVVPKVTVPPMPAVPSMPKVTLPPMPSIPTVNVPMPFLAPPPSA >SECCE7Rv1G0520230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:868012710:868014309:1 gene:SECCE7Rv1G0520230 transcript:SECCE7Rv1G0520230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGATLFFVSLASLVILASWLSRKLVPSSKTRRPPGPWRLPLIGNLHQIVGSLPQVVFHDMSKKHGPVMYLRLGQVDTVVVSSPSAAEEVLREKDLSFASRPNLLVAAICFYGNIDIAMSPYGAYWRTLRKICTVELLSDRKVRHFLPVRDNETMSLVSNVREACSGGKPFNLGGLLMSCSNSIIAKAVFGEKCSSELQEQFLSAMDVVLKLSGALCIGDLFPSLRVVDVLTGLTGRIWRARRQQDKALDKMISQSKMRPGDHLLGLLLRIRDEGELDFPMEMDNVKAIIMDMFTAGTETTSSAAEWAMSELIRNPEVMAKAQAEVRQTLHDKNTQDHEEYMAELHYTKMVIKEAMRLYPVVPMLIPHVCRETCDLGGFQVTKGTRVMVNTWALGRNPEYWHKPEEFRPERFKDDTATNQSLRFDYLPFGGGRRKCPGDTFGLAALHLMVARLLYYFDWSLPDGVKPSELDMEIRVGMTLRRKNQLHLVATPYKACS >SECCE3Rv1G0193970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:776294437:776295504:-1 gene:SECCE3Rv1G0193970 transcript:SECCE3Rv1G0193970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAGGEGAMSESVLRKVLVSYCYVAVWIFLSFTVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRVFRVVDLPSSPAMTTQLYTSSVLPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSAMLNMLSISFGVAIAAYGEARFDLRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLGFLFLPWVFVELPRLRAVGMFEPDFFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKVAQADEEAGTLLQERDSHGERKTETQS >SECCE3Rv1G0202440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:874053013:874053923:1 gene:SECCE3Rv1G0202440 transcript:SECCE3Rv1G0202440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHTELALGLIGELQTAPLVAKTYQLVSDPRTDALVRWGRDNNSFLVTDVAGFSQLLLPCFFKHGNFSSFVRQLNSYGFRKVHPDRWEFAHESFLRGQTHLLPRILRRKKKRGETSCSSVIGGGEQHVVANIGEAVEEDEDDEGSEALLEEVRRLRQEQTAIGEQLARMSRRLQATERLSDRLMPFLARLEEDPPLHPAPSPLLALGDAAMDGARDGQWAEPMPLKLPTFEEPSTSSGGSGIGMGLTNSGTAVEIPFPFCLLGQCFF >SECCE3Rv1G0152650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:49411946:49415835:1 gene:SECCE3Rv1G0152650 transcript:SECCE3Rv1G0152650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPALSFQPSTLMGSKAADSASGFPDWVFLCDEPRFSERRNETTAKCRTGEGQAVEVSFWLVDPPGVSYFTFNCPGIDPSVFHEKEPPSLVCAAAAFVVFCLSFRGSIHHFVYRAAPAGKQSLQQLPDRDRDPNSTVFRRTGFGLLPCADGEHYAVAHIDRHCLGKGSDWWFHAHVFSSETRAWSSHRLSLRHLSKSERLMCCRHGLSQHIAVAGSLGWVDLMRGIVLIRNLLDGNPVIEFIPFPESRISFLDEDGHPELAPQYYCNVASCGDLIKFIEVEFDDPVVRTGGVGWRATAWNRNISLDKWEICSRVDVANISVDHSFSAVLPALWCDETQKLDLKKLIFYTPLPSRRDDDLFYMVAKVNGDDGTAWAIAVDMERAAVEAMAQFSLGRYKYHIAMYSSCDFPKYLNITPGADIYNPADKCSKRLSGKQCVVQVLRTLDWLQELDQCLEIERSTDNTCRSLLQFSPVSSLRSSMRPMIKYASYNGQGEAASKAVGFCRRALEDFDLALQGSPSDPSASIEAMRSKISHVIQALDNIMQIVPSTLIPKERMPGDASDQKRSKATSETREKQFEPKNTVYGWLLVRLMSFHREWYRLRRRWVIASSEQRAVVDTKDKRGKWQQRKLKPGNSHQREKRS >SECCE2Rv1G0092270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:349808405:349808850:-1 gene:SECCE2Rv1G0092270 transcript:SECCE2Rv1G0092270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPKQLLIQSKTKKGPSRISYSSIITWNLIVVLVVLSLYATYRHWHHRSAFEAEMDLPRAEVH >SECCE1Rv1G0006920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:37050407:37054679:-1 gene:SECCE1Rv1G0006920 transcript:SECCE1Rv1G0006920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPCFTTPPQAQPPPTRPVRSGPGPAPEVSRTRTTATRARMAGSRPPRAAASGETSFLINTGTPKPRRRSTALALSAFLLLVILTGLPEAAVAANMTEVCVKAAVGHPDTLGDCPFSQRVLLTLEEKKVPYQMKLIDVSNKPDWFLKINPEGKVPVYNGGDGKWIADSDVITQVIEEKYPTPSLVTPPEYASVGSKIFSTFVTFLKSKDASDGSEKALVDELQALEEHLKAHGPYVNGANVSAVDLSLAPKLYHLQVALEHFKGWKVPETMTSVHAYTEALFSRESFVKTKATKENLIAGWAPKVHA >SECCE3Rv1G0213710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961962231:961976052:-1 gene:SECCE3Rv1G0213710 transcript:SECCE3Rv1G0213710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGRRPGAGPGGGGGGEPSSSGAAATPASGGRRILRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSAANQAIMRYPEIQASFHALRNTRGLPWPKDHEKKDDADLLEWLQALFGFQKDNVSNQREHLILLLANVHIREMSKPDQQSKLDDHALDIVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGAEEAFLKKVVTPIYKIIEMEAERSKTIKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNFVLNTRDQANGENRPIRNDHWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMVIVAWNGGTPGDIFDAGVFKQVLSIFITAAVMKMGQAILDIVLSWKARKSMSLAVKLRYILKLLSGAAWVVVLPVTYAYTSDNPTGLNRTIKSWFGDGRNQPSLYILAVVIYLSPNMLAATLFIFPILRRFLEKSNLKVVALIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVVLLATKLVVSFYVEIRPLVQPTKDIMKVPITTFKWHEFFPHAKNNVGVVIALWAPIILVYFMDTQIWYAIFSTLVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNDHLIPNDSKRRGFRSAFSSKPYKKPEDGKEEDKIAARFAQIWNLIITSFRQEDLIDNREKDLLLVPYCKDREMDMIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMSSDPYFTYAIKECYASFKNVIYALVVGPRERDVIQKIFKVVDDLVAADTLIKDLHMSNLPTLSKKFIELLVILQKNNKEDLGQVIILFQDMLEVVTRDIMEDQLTELLEPVHGGNNRKHEGITPLDQQEQEQLFTKAVEFEFPVKASDAWKEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMDMPKAPKVRNMLPFSVLTPYYKEDVLFSSQALEEENEDGVSILFYLQKIYPDEWKNFLERVDCKNEEELRETEQTEDELRLWASYRGQTLTRTVRGMMYYRQALVLQSCLDMAPENDLMEGFRAADILSEESHLLTQSKAVADMKFTYVVSCQSYGIQKRSGDARAQDILRLMTTYPSLRVAYIDEVEETSKEGEASKDRSKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFTKKHDGVRYPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIAYGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEGLATGRRFIHNDPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVFEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVSPDKSWESWWEKEHEPLKYSGKRGTVLEIVLAVRFFIYQYGLVYHLNITKHTKSVLVYCLSWVVIFFILLVMKAVSVGRRKFSAEFQLVFRLLKGLIFIVFISTIVILIVIPHMTIQDIFVCILAFMPTGWGLLLVAQALKPAIMSVGLWGSIRALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRAARSKDDR >SECCE7Rv1G0473650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:159753515:159754386:1 gene:SECCE7Rv1G0473650 transcript:SECCE7Rv1G0473650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARIASLLLLLGVVWSRAQPGAEAAGTTVFTLRNNCTTTIWPATLSGNSAVAVGGGGFELAPGANVSFAGPTGWSGRLWARTGCVAAASGASLACATGDCGGAVRCTRGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVAATGARVNSSTCGYAGCVGDVNALCPAELQVAGKEGDQEGKTVACRSACEAFGTAEYCCTGAHGGPDSCGPTEYSRLFKAACPAAYSYAYDDPTSTFTCGAGAQYLITFCPAQQ >SECCE2Rv1G0138540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:922047375:922050849:-1 gene:SECCE2Rv1G0138540 transcript:SECCE2Rv1G0138540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSPSSQFHSQSQLLPATAILLLILLPAAVAEQPITLPGCPDKCGDMSIPFPFGMKPGCFLQEGFQVTCNRTSGRPRAFLASKMVSVIYYRMDAPGGVVVVPPDLAPALPVELFNISVVDSVVRVYYAVSSLCSINANDSVTQYHIAGWQWEDGRGPFRLSWERNVVVGVGSDVEPSIQVMLHGNWEGDIYEPTCTSNLKGQTEYATNGTCMGGGCCQVTLPKQAASMDGFEVYLKPKTSNPQWRTNPCSYGMIVEKSWYTFSTSDLVGYEVLPRRFPDGVPVVLDFAVGKGPCPADAACASGNSSCADAAGGKGYVCKCLQFYGGNPYIANGCQDIDECALPQLNNCSRGSTCKNKLGGYDCPCNSGLRGDGKQGPCTERFPLPAKIAVGIAALIVVVVVTVMTHQLLRLKKLYNQNGGQVLEGVKNIRIYSRAKLKRITNNYKQIIGEGHFGKVYKGTIGKEEVAVKKSIKVDKSMREEFIDEVRIQSRMRHKNIVLLQGCCLEMEVPLLVYEFVAKGSLYDVLFKGKDKDISVDIRLMIAHGSAEGLTYMHSAVENTIRHGDAKSANILLDEHFTPKVADFGTSKLIVRGRDEKADLVTGDMAYIDPEYMLNGTITQKSDVYSFGIVLIELITRRRATHDEKRSYVANFVEATLEKRARALFDNDITSEADIKLLETLSEIVVECVKPKPEERPEMKQVEHRLSQIKGQPA >SECCE1Rv1G0048840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637514288:637520017:-1 gene:SECCE1Rv1G0048840 transcript:SECCE1Rv1G0048840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGPALAWQQYRSLLRKNAALAWRHRRSSALQLLSSLIFIFLIFCIDRAVRSRFSYTTAYQNVRDPKPLVAPPIPPCEDKFFVKTPCYDFLWSGGGSARVPALVDAIRRNNPGRPIPAEKVLGFGTPDEVDAWLFENPMRCPGALHFQDINATQMSYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLILGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSIMGLYESAYWLSWLTWEALLTLISALFTVLFGMMFQFDFFLNNSFGILFILFFLFQLNMLGFAFMISTFVAKAASATTVGFAIFIIGFLTQLVTTFGFPYTNTYEAYYRTIWSFFPPNVFAQALNILGKATATPEDKGISWNQRSTCQSFETDCVITVDDIYIWLISTFFLWFILAIYFDNIIPNVNGVRKSVFYFLTPSYWTGKGGKMREGGLCSCFGSNRPADDASPTDEDVLTEENLVKEQAAGNEVDPGVAVQIRGLRKTYPGSFNMGCCKCRTTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDALIYGHSVRSTAGMSNIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPSSTIKSVAEQSLAQVKLSQAANVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGRLRCIGTSIRLKSKFGTGYIANVNFSGNGHTQSPNINGDAEALVNPNIESVKSFFKERLDVDPKEESRTFLTFVIPHEKEPLLTRFFGELQDREREFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGASIQIPKGARFVGIPGTETEEHPRGVMVEVFWDQDDNGTLCVSGHSDETPVPANVELTRPPSLSRRASVGRGGPVGYVIDENQVPTRR >SECCE1Rv1G0034260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:479245793:479246905:1 gene:SECCE1Rv1G0034260 transcript:SECCE1Rv1G0034260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome A-associated F-box protein [Source:Projected from Arabidopsis thaliana (AT4G02440) UniProtKB/Swiss-Prot;Acc:Q8LEA8] MTGAEQQQRGEIAVVLAAKRRRDGKEAAAAAEAEVEPLSALADDVLLQILGRLEGDPRDWARASCASPRLAALLRAACFPPRLSRALPAELLPADGAPAAWAALHKMSVCCPGLLRAGVLLEPSDDFGLELDIGPDLTVPASSSSSLEPTATSSLQPPKAAAQAEARPSDGTLAAADAAAWSLYDDLYLDAAYDCSETQIAAAPAPAAEAEEEEKPPAANAVRRGVVSGTRRRARRWLGPVGAHLASGSWTLSREQGNKLLASRFRGDRLYICEWPGCVHAEERRKYMVFRGVFQDFARSQVRRALRDTRRPTVAVDCAFCGCTEAWDLYSAFCLRSFYGYHDDGEPVVRAYVCENGHVAGAWTERPLYA >SECCE2Rv1G0075860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:86311259:86312577:-1 gene:SECCE2Rv1G0075860 transcript:SECCE2Rv1G0075860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAARSFLRSGSAASSLRSAAARAASRSGPAAPSRRLLSSPPRARLALRSPLEMSSACLESLMPMHSATASALMTSLLAAPARVGSCWISEAGNDDL >SECCE6Rv1G0378480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9194653:9197638:1 gene:SECCE6Rv1G0378480 transcript:SECCE6Rv1G0378480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKSAEKSYGGMAACTSSSELSENNPRQNFRLGDITWVKHDGSSWWPAQVIDEACASSKAKKKTSHDVLVRLYGTCLDLYVDPWKSNMEFEMFLKEENKTAMEAFRDVLQKELSHFNSPSDDEEEAVNTKAKTSTKKVRKLEGLEQDQEVRSNAKGGGAVQKGKKQKGVRPASALDKALSIDSAEGLRDKTPKQASVVRDKKSGKKASSGTSVAKKEGSSRRSGRASVKEYSDAAEDRTSQLHDTSASENAAEAGDMTSVNRSQHKTGLTVEGTHRKIKAMVRDLLLGDVIDRQLAAEMAYVDEVIFGICEATEAKATNGAAATTEREGGLSTKRAASGLEADSSRAAKKKPRKGKAAKPETKDPNSSPSRGSAAKVGSEQRSARQIKIMQSLGLIAPLGSPFDVKGSAAAAR >SECCE5Rv1G0351150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:696995151:696999221:-1 gene:SECCE5Rv1G0351150 transcript:SECCE5Rv1G0351150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECA1 [Source:Projected from Arabidopsis thaliana (AT1G79050) UniProtKB/TrEMBL;Acc:A0A178WA75] MATAAAAARLTPAILPRPRRRVPAPCASASSASGRANRRLRCEFVASVGNGALSGEDDPRLIDRQKALDAAMTDINNSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEIQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRHIGKIKSAKGDEDIGVKVRVRVQKSKVSRPYKQAEFEIMFGEGVSKLGCIIDCAELMEVVAKKGSWYSYRDIRLGQGREKALQYLRENPTVCDEIEKIVRAMIPEGTRHMAMLAFGQSSSQPDEEEVYDD >SECCE7Rv1G0471780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:133925169:133925606:1 gene:SECCE7Rv1G0471780 transcript:SECCE7Rv1G0471780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKYKLTLSTTILLAVLAAASASFRDQCVPGREITYNSLNACREYAVRQTCGYYLSAEREKRQCCDELSKVPEYCRCEVLHILMDGRVTKEGVVKGSLLQDMSRCKKLTREFIAGIVGREECNLETVFGRYHYCPTQYPPEVAV >SECCE5Rv1G0322900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:427095771:427103406:-1 gene:SECCE5Rv1G0322900 transcript:SECCE5Rv1G0322900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPILKSARDFEDDDPTVAAFAAARVGVLLRHSGSELTAAAAATDGEGNSTPSKKNAQEIPTPQFDDVETYDRDYTRTFAQPSCYIRGRGARAEIGEFVEYDLDNEDEDWLDDYNNERKNLNPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQLDVAMEALQYLSVRYAVFQAVYNYWRAKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRLVRRNLDQAKALMGALIKREETKREVMECEVNLQRVQMQYKHEAQLVDDGAALSGFQQASSRFGSSDDDYADSDDTATEQPYFRQPVPHPRYPDNKLSAIPTLRIKREPGLPVLKRRPQQNGWVFKRDPEEPVLLFTRPLDPGKLVAAGIKLPPDPPIEIDATVPPFRCRGRIGRGGRIIFDRWNPLLRTPTSIGQETTHFTPYGRRPPSPEG >SECCE7Rv1G0460820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:33845932:33846510:-1 gene:SECCE7Rv1G0460820 transcript:SECCE7Rv1G0460820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGPVVLQTDVHCSECAKKIRNAIGNLLGVERVWASPDTGLVVVAGTADPAALRRRIRRKMRRPVAILSDGSTPYAGPGPGPGPTTTPHWQYGTGDAPPSSVPPYGWGTAPPPHAYYSQPQPPPPPPAGYPYGGWASDPDPYGYGTRATVPTCSIL >SECCE7Rv1G0493490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:568689473:568702181:1 gene:SECCE7Rv1G0493490 transcript:SECCE7Rv1G0493490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPDESWARNTSPGDDASTSHAPHPEGASPDMLRNTPSNIARLEDAIENCAARRKYLARTKSPSDGEDVRWYFCKLPLGDRVLSASVPSTEIVGKGDYFRFSMRDCLALEASFLEREESLLGYWWREYAECSEGPTGSLVKADMSDSEYLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPLREDVSEQLELAYKYQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLVFDTGPKLGSNTIKLRRGFSSSGSANPTQDELRQQKEEETDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLADRYLTPYQRSTQRVLFIPCQWRKSLKLGGENTVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSGQLNQLYMKFLKRNPGYSGKVSLYGHSLGSVLTYDILCHQESLSAPFPTDYFNMEVSSDEGQVGKGPNTIDVHDSGVKEHDTPSTSGHSCVDNVNRVDEEGTRTDHSLTDKTVLPCVLENVPNNDDALESPIPVDGAQTEVENQVENHQMTCTEGATPAVSTKDADECISRSAKELHEVPDKDRLISSLEEEVSHLKAKLVELERQSDLVTQSISSVQSHQDKDANDTVSLAIGKLNIGQGSTSQSYRPRIRYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGVGRGQDYWQDKNVVEEMPCCRQMFNVFHPFDPVAYRVEPLVCEDYVNKRPVVIPYHRGGKRIHVGVQEFTEDVAARSQAIARQFKSLKVKAVAALLSLSKNDTEEDDESTKEEERSYGSMMMERLTGSPDGRVDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFIIKHLYRDIPEEPPTDGTGSAPIRLFYVRDPIAEDTPLTFSDHSSVKEFSRKVKTYSRKGEDDANCEAS >SECCE6Rv1G0437330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:783165801:783168772:-1 gene:SECCE6Rv1G0437330 transcript:SECCE6Rv1G0437330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSSGGAPEQATKPEEADGGGGPPSTVRFFPAAAQHTARQPPPIKLDGEDLPPPPPAAGEGVEEDMAAPRNLWQVYALGAFLVARWGWAKWKESKDRDDSADGQPPPPAASS >SECCE6Rv1G0386860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:102871838:102874001:-1 gene:SECCE6Rv1G0386860 transcript:SECCE6Rv1G0386860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCASELELEAFIRGRGLAAEQKPGGAAAGASGPYGLFSAADLSSAFGFADSQTLNGTIQNHLWPQSPNLGARHPAVSTTIESQSSLYAAASPTSATNLSIKESQAFGGTSGSDSDSESMFDDGGLCDNGTNPTDVKRMRRMVSNRESARRSRKRKQAHLVELETQVDQLRGDNASIFKQLTDANQQFTTAVTDNRILKSDVEALRVKVKLAEDMVARGALSCGLGHLGLSPAALNPCRVPDVLAGLDFLPGGADDACFGSLSPGEQVQSSPMQSMASLESLEHSSRMQHGGGSDAVDVWGWDSSSNGAMSK >SECCE2Rv1G0089500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:257882092:257885957:-1 gene:SECCE2Rv1G0089500 transcript:SECCE2Rv1G0089500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAKLGAATPSVLLPPSPRLHGLRPSPTAASCLPDTATGPPLSAGPDQELSGAPGQEEAAVSSPSQPRSRASSQLSRWSRARALRSGRRLELPSIRATAALSVPTKSPPSSEEEAAATEEDDDGDEVAGVGSEAAGNSIYMVSDGTGSTLEHSVNAVLGQFEHCLVDRRCATSTHLFSGIDDMDNLIEIVRQAAKEGALLLYTLADPSMAEATKKACDLWGVPSTDVLRPTIDAIASHIGVAPSGISRSSASRKGQLSEDYFQRIEAIDFTIKQDDGAQPQNLARAHIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGIDLPKALFEIDQDKIFGLTINPVVLQAIRKTRANTLGFHGQKSNYAEMEHVRQELDHANQIFVRHPTWPVIQVTGKAVEETAAVIVRIYHDRKQKCSMPRISKRVAPIRVYDSLSEMVNTLVEPEKVLAMDI >SECCE7Rv1G0473900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:162754555:162756728:-1 gene:SECCE7Rv1G0473900 transcript:SECCE7Rv1G0473900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGDVKQAIPRPAVAFGGVGSAGPSPLLRGWREFRRSGAPVRFLCFEGGAWADVEGEAAVPLRRAFLDGRVVAEAAYDGKEFLFDFLRMVRIDAGTAEEVAMGWIDDRGACFFPVPETGRKRKRGEPELEDGASSGVEEGSDESSDTVESGRVSKAARGAWGRAVRLEETDKFYQVVKKLFLSGISPRVGGGVAITAVRKVAQGPRSRAFQQQGQLLAAARGADGGNAKFAWYGAPSADVAAAVEHGFGRTNSRVLGHRAHGDGVHLSPPQFPYASAMLANADENGEAHIVLCRVLMGRPEAIPAGSSQLHPSSDNYDSAVDNMQSPQWYVVWSKDMNTRILPEYVVSFKCPTLHQMQGSSEATSQLKKPSPVARDMFPTLLAEIQHFVPSSKLQTLQGTYNRFKKGQMKKDQFIRFLRAFIGDRVLTAVAKKLRGY >SECCE5Rv1G0348270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673258628:673259743:1 gene:SECCE5Rv1G0348270 transcript:SECCE5Rv1G0348270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMVKCCLLLLLFLAVLLPEVRATSCHPDDLHALRGFAGNLSRGAVLLRAAWSGAMCCAWDGVGCDGANGRVTSLRLPGHGLVGPIPGASLASLTRLEELDLGYNNLHNISGMLTMLRGCQSLTTLILTKNFGGEELPGDGIIAGFKSLVVFDLGDCALKGRVPEWLSQCKNMEVLDLSRNQLVGTIPSWIGRLDHLCYLDLSNNTLVGEVPKSSKGLNTSGCSPGIDFTNMSLYLKHSGRSTLRRQLKHVPNVIAGTNNVVRSGSNNVVAGNDNTIIFGNNNAVSGSYQVVYGNNHVVTGDNHVVSGSNHAASGSHHVVIGKHNIVSGTHNDVGGSKNIVSGSKNVVSGSHNTVSGKNHFVTGHNKVVT >SECCE1Rv1G0056410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:686841925:686842962:1 gene:SECCE1Rv1G0056410 transcript:SECCE1Rv1G0056410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGAMPPCAPHLMFRRPDLAAPAPHAVMPPPAAAGTDKEDGVPRLKLSDLEWLADLGEGASGVVTKVRLRGGTAGPAFALKVAHYPDDTDAGREQDEVLRRACGAGPPSPYVVRCHAVLRGAGGEPACLLELMDAGSLHDVLRRRAGRGLPEPALAEVAARCALALAHLHARGVAHLDLKPDNLLASARGDVKIADFGVSRIFSRDGQRRPPRVSVAVGTTAYMSPERFAPNAQAGPRGACAADVWSLGVTVLELFLGHRPVLPAERTPSWKMFKEAICYGEPLGVPDSAAASDELRGFVAACVQKDPRRRATVPQLLAHPFVARRDVEASRRALREIIVETM >SECCE3Rv1G0195110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:789815430:789816032:-1 gene:SECCE3Rv1G0195110 transcript:SECCE3Rv1G0195110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVHPNMVRAPGAVDEAPASSAVEGPTTLTVWRKSLLFDCKGFTVFDAKGNLAYRVDSYASESGDEVVLMDAAGRPAFTVRRKRFSLQGEQWLVFSGEETRRPVYTVRRSGRGKTMAHVTACAGAGPSYEVEGSYARRSCVVYDGERRAVAEIRPKEVVGTDVFRLAVQPGVGVPLAMAVVVALEQMFARPSLLRSWST >SECCE3Rv1G0200320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:852311747:852313000:-1 gene:SECCE3Rv1G0200320 transcript:SECCE3Rv1G0200320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPPTATPAEVPSYFLCPISLQLMRDPVTLPTGISYDRAAISRWLAAPATPAACSTSQRTCPVTRQPLEPELQLTPNHTLRRLIGSWIASISPGSDVEGEVAALRPVHRDELASLLSDAAAAQVGALRKLGELVAECEDTRAMLESQEGVFDALSRVLTGASACSTAREEAVGVLASLRIPEQELVRVVSRHGNLAESLTAVLRSSNLQSRAQAVRLVRSLADVSVPAWVIGLNQELLAEVIRVVRDRVSTRATKAALHALSALCPYGRNRVKIVGAGAVPALVELLLDEPERRVCELALAVLDRLCTCAEGRAELVAHAAGVAVVGKKVLRVSEAASERAVRVLRSVARHAATPAVLQEMAQAGVVGKLCLALRSELCGVKTKEKAHEVLKLHSRVWRSSPCLSPKFLALYPS >SECCE4Rv1G0227330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:122257247:122259480:1 gene:SECCE4Rv1G0227330 transcript:SECCE4Rv1G0227330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEITPAATCAFLLVLCVCCHLPPPAASLFFNYSTFSSQDQKDFRIEGDASFSVGWIDISANKFAGIGNSAGRVSYNAQPMLLWDKATGQVASFTTRFSFAIGIPDINNKGKGMAFFLAGYPSVLPYDSYGFDLGLTNQSTNATATGDSRFVAVEFDTFNDTQVSDPDATYDHLGIDVNSVRSVVTKSLPSFSLMGNMTALIQYDNVSSLLSLTLWLGDGRGRNYSLSSKVDLKSALPEQVAVGFSAGTSSSVELHQLSSWYFNSSLEPRAVTVVPPAPPSRGSGSGSGSHSSAVIAGASAGATLFLVLVIVAAVILICRRGNKKKQEVEEDDMGSEDEDGDPAVEIEMGSTGPRRFPYQELADATRNFAEEEKLGQGGFGAVYRGNLKEPRLAVAIKRFSKDSSMQGKREYTSEINVISKLRHRNLVQLVGWCHGRNELLLVYELMPNRSLDIHLHGKGTFLTWPMRMKILTGLGSALLYLHEEWEQCVVHRDIKPSNVMLDESFGAKLGDFGLARFIDHAVGTQTMTAVSGTPGYVDPQSMITGRASAESDVYSFGVLLLEVACGRRPVSLLHDPAEKNGLFRLVEWVWDLYGRGALLDGADERLDGEYDKTEVERVMVAGLWCAHPDPSARPSMRAAMAVLQSKDASQLPVLPGSMPVPTYGPLVSLPSGLSSFSVTQSTSTSGYGTHTSTSSDVSTTGSKDSSSLLKHQYS >SECCE1Rv1G0047200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:622100777:622105248:1 gene:SECCE1Rv1G0047200 transcript:SECCE1Rv1G0047200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADASPPETALPAADGPGDEDVDEEEGEEEQCRICCLPAEADRPLRHPCACRGSIRFVHDDCQLRWLATRRRRRCELCNNDISIRPVYAADTPARLPVSEFLAGFSDKLMDLLLLLICLVPVLVMHLITLGAWLFALARSFAQVHYLLSLRPSVASIVAQTAILILRLPGIVLRGAVGSIPFVASIVAQSAMFILRLPGIFLRGVVRSIPVANFCLNLLCAKILHPLFFGWALDICTSEMFGATMSQRFKLMLASSLASTVLHWYFGCIFWHLGLRFFRLLDKILRPGIAIPFVPYEAHEPFYKFYFKKLHTLFVGIISMVLVILVPIQIGGLLVPELFPFHISYFNCGAKGVSFWQAPRNYVDSVSHALLLKFFIYNTNTLVYLEWLVKKVTQYSFVTTGHALGLSDSSSVWPDGASGRDEIGSSVAPEDQYDRINEAKDGRRSVAVATILSLLLAWLTIVIYNLAVLIFPISIGHAITRLPLAGGLKSDDMLALAIGFGTISTVIAASRASFAYMTSGRTHLLALNRYLVVLLWLVIAPFLTGLLVDFSLISPFTGLDDDVPVVGLSYYWSLGCLFLKIWGKQARRTRARCLRAYFIDERWGPKLNQAKVDWDSGVTPMWWFLQDVCMPIVAKLLAALGVPYVLAKGVFPRFGYSVAMNSAVHRFVWLGSLGFYLAKVSCAKLHDSIRDASYVIGQRLEDVADGS >SECCE3Rv1G0211100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:947569255:947582437:-1 gene:SECCE3Rv1G0211100 transcript:SECCE3Rv1G0211100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQEEKLRCTKEPFIEDVGTRRIKSMRFSMFSGKEIRQSAEAQVWNNRIYEPNMKPAPNGLLDTRMGAANKQGECGTCHGSYTECPGHFGYLKLALPVFNVGFFNNILDVVKCICKGCSRVLLVEKDRREFLKKMRQPRAEPLVKFALMKKVRDKCKLSRCPWCGFINGVAKKGRMGLVIVHDCSKTLDGSTEELRSALSHKKEKLAITSIHTLDPATVLSLFRRMIDEDCELLNLGDRPEKLIITEIAVPPVPIRPSVFVGGGGGRMSNEDSITCILKNIVNTNSILKGTLQSGEPLVKCFDCWQHLQLQVVEYINSDAPCLIDSQHRGLIQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPILMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFIIQPDGTKLHLKYCDRRIAARDLKYGCIVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDSFYDRSSFTLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNASTKVYLNLGVREKICTKKCPLTVEDKTSEAVHDAMCPNDGFVYFRNSELLSGQVGKKTLGNGNNEGMFSVLIRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGESLNEKKGKTIGEGYQECHELIAQYSKGALKPQPGCSRAQTLEARISGVLNKLRDTAGDHCMSTLHWRNSPLIMSQCGSKGSPINISQMVVCVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFVYGDDGMDPVKMEGKGGNPLNLDQLFMKVMATCPQRGHETLSPEAISQMLNDKLSEQDPSAGGCSDRFKELLTKFVGNRIKMLRNTRRALHLDEDHVGRKDSSIEECVAANISGISAKQLQVFLDTCLSRYHSKIIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELLSGQDESFGVKVKRCIEKVVLGEVAAAIKIVLKSSQPNLVVKLDMQRIEAQGYEGISADSVQLSIINYPKLKLKSQHVRVIDEAKLRIYPDGTDRSKLQFELHNLKSMLPKVIVKGIKTVERAVVNPVKGRDKTIERYNLLVEGTDLLAVLGAPGVDAMKTKSNHIMEVNQTLGIEAARRSIIDEIQYTFESNNMIIDLRHMMLLADLMTYKGEVLGITRYGIAKMKSSVLMLASFEKTSEHLFNASYAGREDQIDGVSECIIMGIPMQLGTGILKVRQRLESLPEFKYQPAPIMSS >SECCE2Rv1G0080290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:128854353:128856068:1 gene:SECCE2Rv1G0080290 transcript:SECCE2Rv1G0080290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSEVAAAAASGVPSSSAEAPHGGGSGSGGCEVGSRPHDAEGDGRAAVQVTVTPMPPPPPPDVSAAPEVSARNLAASAAPEVSVAAPTASEVRAESVAASAAPEVSAAPSAAPEVRVENLPAHPQPGPRPRRRWWSGIPWTRLVLGGLLVVAVGYAFYKWGLPLLSDKVLLPIMRWEATSFGRPALAIVLVISMSVFPTVFLPSTPSMWLTGIIFGYGLGLLIILVGTGIGMSIPYWIGSLFLHRIHGCLEEKWPQQIALIKLAGRGGWFQQFRMVALLRISPLPYVMLNYVATVTQIKFTPYICGSVVGMVPDALVNIYSGRLILALADLKYDKRRMTTVEIVYNVISAVVAVLIGIGFTVYARRALDGIQSAEGARQPEPAVPAPELGGDRHRSSSAVPVDVV >SECCE5Rv1G0371440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:847170655:847172098:1 gene:SECCE5Rv1G0371440 transcript:SECCE5Rv1G0371440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMEKKTSPLLTPYKMGDFNLAHRVVLAPLTRCRSYGNLAQPHNVLYYAQRAAPGVLLVAEACAVSEAARGYPHVPGLWSEEQVEAWKPVVDAVHAKGAVFFCQIWHTGRVSPTEFQPNGQAPVSSTDKQVPPEVAHDGSVLEFAAPRRLEKEEIPHIVNDFRIAARNAMRAGFDGVEIHAGNGYLIDQFMKDGVNDRTDAYGGGLENRCRFAAEVIAAVCQEACAGRVGVRLSPFADYVDCVDSDPEALALHVIGVMNGLGVLYCHMIEPRMCVNERMIPRRLLPFRKAFRGTFMVNGAYDREEGDRAVADGYADLVAYGRLFLANPDLPERFRRNAALNKYDRSTFYTSDPVVGYTDYPFLEPED >SECCE1Rv1G0026490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:371319946:371320653:1 gene:SECCE1Rv1G0026490 transcript:SECCE1Rv1G0026490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMAAVASLVLALVTGTAGAVTFEVMNNASTTPGGQRFDVEYGAEYAKQVLSEASSFIWNIFNQTGTNEADRRPVNGGGAVALVVVDDTDGGIASTSGSTIELSEGYVARFTGDLKAEVTGVLYHEATHVWQWGLQDYAAHWWVFEGVADFVRLKAGYAPAHWVAPGQGRSWDGGYDVTARFLDYCDSVIKPGFVGELNGKMKDSGYTDGYFVEILGKSVQDLWNDYKAKYGG >SECCE3Rv1G0191050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:745440161:745445662:-1 gene:SECCE3Rv1G0191050 transcript:SECCE3Rv1G0191050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSPAAGALAGDEAIWRKLREAGFDEESIKRRDKAALIAYITRLESEVYDYQHNLGLMLLERKELVSKNEQLKASMESAEIMHKRGRASQQSALAETRKREENLKKNVGIQKECVANLEKALHDMRAETAEIKVSYETKSAEALEMMDTAQKKFDEAEEKLLAAKSLEAECTRARNAALRSLQDIEEREDQLRRYRLSSDHEYEAKEKDISLQRKSLNDMKKMLHEKEQVLLKEQSLLNQRDQNIIERLARVTQSEKKLEEDKVILEAERMVLMEEKNKLDLKMEAVASREEAIIQKESLLDKRESELLILQETIANKERVEIERLNHEQEMALERRKLEFETEMENKRLSFEAETETKRTLLDQRERALSEQELAFAQRNQNVDLRLAELASKEEAIVRRTNELKDEEGKLLSQREASFIELQKEREEVQKMKLCLEKEKVFFEEEKREAIQAQQNLAITQADRDDLLTLQIKLKEEIDNLRAQRTELVADADRLQAEKERFEIEWELFDEKKEELQKEAARITEERRLMTEYLNNQSDIIKQEKENLRAEFKKNSETLSCEHEEFMSKMQQEHASWLSKIQHEREDLTRDIDNQRMELLNLAKARQMEIDADLREREKEFEQKKSMELEHINSQKVVIMSKLDHVALELQKLEDERKEANLEREKREQELSEIKNTIEALNNQREKLQEQRKLLHSDRESITEQIQQLDVLKELKNDSENKQMSLIESEKSKMNYTVLLPCGEVHNSTPKNSSPPKLLERKLEVSPSVSTPVSWVRKCAQVIFKRSPEKSSGHDNGRLLHNGVPKNLRKTADINGSLADELGDQAGEIPEVVNGAKVGKKRNYLLSYDPSEALEPRRKYQRSTIQTVIGGEITLNNCPSVLEKCSKNEHDAAPLGVLSGISKDHEYSNKGPQNLRIPVDSASSDDLAFANGKADNSDFADEDEPSEEITVSATEPTTGALEGRDEHDEDTDDEDEEEEEEEKSLSAKKLWRFLIT >SECCE1Rv1G0023510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:306201357:306205021:1 gene:SECCE1Rv1G0023510 transcript:SECCE1Rv1G0023510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEEWERRKRGRRRRRRRGRRESDSSDPMEVLGEEVMGLVMELLDARSVARCTAVSRAWFGVAADNRLWAPKCAELMAGKAHIPRLTMIRTASKLSTYSMAIMDGKRNRITKEDLCDHAWEYRFTIAAPEYWRNLDPSWKRTGPPMRRYFHHDGYHSADPHDAVWGGHECEYTIITSFVGDGRIRDHYVRINRWPPMKVSRKEDWSWELSNHLYRYNSIPDAEKEGCTGPLFPVW >SECCE4Rv1G0254800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:642187490:642189996:-1 gene:SECCE4Rv1G0254800 transcript:SECCE4Rv1G0254800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVDASVPVAKQEDGTVNILGGKNVAVVFVLGGPGSGKGTQCSNIVEHFGFTHLSAGELLRSEIKSGSENGTMIDSIIKEGKIVPSEITVKLLQEAMIKSENDKFLIDGFPRNQENRLSFENVIKISPEFVLFFDCSEEEMVRRLLGRNQGRADDNIETIRKRFRVFEESSLPVVQYYDSKGKVKKINAAKPISEVFEDVKTIFQPYGPKAA >SECCE6Rv1G0428240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:725125840:725126286:-1 gene:SECCE6Rv1G0428240 transcript:SECCE6Rv1G0428240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFSRNQLMGSALVAFGIILFVGFFYATDVSKMLPLYENRLLSAIQNDRYYYLLVLLTLPMIIVAVYLHWLSMKMFKHA >SECCE1Rv1G0014770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:118253189:118258535:1 gene:SECCE1Rv1G0014770 transcript:SECCE1Rv1G0014770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gelsolin family protein [Source: Projected from Oryza sativa (Os05g0153000)] MSTMKGVDGEFLGVGDKPGLDIWCIMGTNVVPIAKSLHGKFYAENCYIILHTAEVKSGTRRHNVHYWIGEEAKEEDCLMASDKAVELDAALGSNAIQYREMQGEESDKFLSYFKPCIIPVQGSFSSRRRRSGDECDGTTMFRCEGEHVARLREVPFSRSSLDHKAAFIVDTPSKIFLFSGCNSCIQTRAMALDVIKHLRENRHCGRCEIGIIEDGKLAGDSDAGEFWNLFGGYAPIPRDVPDAANGEPMTTSTKKLFWINKRNLVPMETFVLEREMLKSDRSYILDCGAEIFLWIGMTTLVSEKKTSATALEEYVHLQGRSSIGRTVIMTEGHELADFKLHFQHWPKNVVQKLYEAGREKVAAIFKHQGYDVTEIPDDKPRQLISSNGCLKVWLVDRGCVTLLCTEEQEQLYNGDCYIIQYSYAEDGKDYHLFFAWFGQDSVQEDRVATVSLMSSMADSVKGRAVVGQVLEGREPELFFLVFKSLIIFKGGRSTAYKNSILQKSNRTELYQKDGAALFRVQGLRPDCIQAIQVHLATSSLNSSHCYILQDGASFFTWLGSLSSPSDHVLLDRMMDKLCPLKQSLLVREGSETDRFWTTLGGRSEYSKEKRVKGWPTDPHLYTCTFQQCLFKAKEVFSFSQDDLATEETLILDCGEEIYVWVGLHSGVTSKEHALDFGKMFLQAGIARDGPRSITDTTVYAVAEGDEPAFFTSFFNWDSSKQTATMLGNSFERKLAMLKGLSPKLESPDRSLRKFSSRRQGMLSEPTTPEQQQQQQPAARRTFGSASVGRTARERLPPPSSAASASPATPSWSLKSRAASSPSTPATARRLFPSSSLHAPGTAAAHLPSPTAIGSPGRRR >SECCE5Rv1G0314870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:278481879:278482070:-1 gene:SECCE5Rv1G0314870 transcript:SECCE5Rv1G0314870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAANKRSGVGSGATAAAEDEKGRKRGHGSTALFVAVDYAFLLAFAGFLSYLVVSQILPSTT >SECCE3Rv1G0167430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:201850135:201853568:-1 gene:SECCE3Rv1G0167430 transcript:SECCE3Rv1G0167430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSQDIQSTTELQMSPQGTNEVQSNQLNTMATDAPAGDPGTVAVANNDNRKVSREDIELVQNLIERCLQLYMTKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIVLFNHLLQHQYNLMKYPAPPNVPLAPMQNGMHPMPVNNLPMGYPVLQQPLMPAPGQPHIDPMVCGLSSGHVVNGIPAPGGYHPMRMNSGNDMVVDNGAPEAAHAGAMSSDMAVSPSSAASSHAPFTPSEIPGMAMDTSVLDSAFGSEIGNTGPLQLGADGSSRDSIRSLGQLWNFSLSDLTADLTSLGDLEALENYAGTPFLPSDSDLLLDSPDHDDIVEYFADAINGSQSDEEKP >SECCE5Rv1G0367940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:825221679:825232300:1 gene:SECCE5Rv1G0367940 transcript:SECCE5Rv1G0367940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHDLLNSRPPPNYVAGLGRGSTGFTTRSNIGPARAAAPATPDVGRGRGRHHLEDEGGDEEEGYDENQKFDEFEGNDAGLFSNADYDDDRVADAVWDTVDRRMNLRRKFRRDARLKQEIEKYRVSNPKITEQFADLKRKLTNVSVHEWESIPEIGDYSTRNKKKRFENLVPTPWAQTPVTDLTAVGEGRGTVLTLKLDRLSDSVSELTVVDPKRYLTNLNIMNISSNAEISDIKKARLLLKSVTQTNPKHPPGWIAATRLEEVAGNKLHSARRLIQRGCEECPKNEDVWIEASRLAGPDESKAVIARGVKAIPNSVKLWLQAAKLETSYLNKSGVLRKGLEHIPDSVRLRKAVVELANEQDARMLLHRAVECCPLHVELWLDLSRLDTYDQARKVLNKAREKLDEEPAIWITAAKLEEANENTQSVSKTIERGVESLQREGLDIDREAWLKESEAAERSGSMLSCEAIVKSTIGVGVDDEDRKRTWVAVAEEYTKRGSLETTRAIYAHALSVFVTKKSIWLKAARLEKSHGTREFLEAVLREAVKYNPKAKVLWLILAKEKWLAGDVPAARAVLQEAYAAIPISEEIWLAAFKLEFENNEPERAGMLLTKARERGGTERVWMKSAIVERELGNVNKERRLLEEGLKLFPSFFKLWLMLGQMEDRIGHGAKAKEVYENGLKHYPGCIPLWLSLANLEEMINGLNKSRAFLTMARKKNPATPELWLAAIQAELRHGNKKEADSLLAKALQERPTSGVLWAAAIEMVPRPQHKSKSLDAIKRCDHDPHVIAAVAKIFWHDKKVDKARSWFNRTVTLAPDIGDFWALYYKFELHHGNADTQRDVLKQCVVAEPKHGETWQATSKAVENSH >SECCE6Rv1G0385890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:86647814:86648957:1 gene:SECCE6Rv1G0385890 transcript:SECCE6Rv1G0385890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAETMNKPGAEVLEAVTSVFQPGKLHVEAIQVDENAAPTPPIPVLIVAPKDAGTYPVVVLLHGFFLHNHFYEHLLRHVASHGFIIVAPQFSISIIPSGDAEDIAAAAKVADWLPDGLPSVLPKGVEPELLKLALAGHSRGGHTAFSLSLGHAKTQLTFSALIGLDPVAGTGKFSQLQPKILTYEPSSFGMAMPVLVIGTGLGEEKKNIFFPPCAPKDVNHAEFYRECRPPCYYFVTKDYGHLDMLDDDAPKFITCVCKDGNGCKGKMRRCVAGIMVAFLNAALGEKDGDLEAILRDPAVAPTTLEPVEHRLD >SECCE2Rv1G0081260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:137799842:137802536:-1 gene:SECCE2Rv1G0081260 transcript:SECCE2Rv1G0081260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRATSALLHTYYTSSAAAGRVGARRLGGSFRVPSKAPSAFVLDEVARAAGGVRRRAATRAASWDSEKSPYETLELERDADEETIKVAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDDERRKAYDKEHFVNPLKASQAWMEWVMKKRKAFDKRGDMAVAAWAEQQQRELTLRARRLSRSKVDPEEERRLLAKERKASMEFYSTTLKRHTLVLRKRDIMRKREEEDKMSEISRLLAAEGLELDTDEDDDDTTFLK >SECCEUnv1G0549840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:209215650:209217968:-1 gene:SECCEUnv1G0549840 transcript:SECCEUnv1G0549840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPNIEMIASSLRSCSLNGGGRRPGRRHGHGHARGAEGSNDSEGVTVELNSDVALPYHWQQCLDIRTGQVYYINWEDGTRTTTDPRTPSLCSALSTPRSTCFTSHRPAFTSSSSSGYTSAASSVTGGYGYGYDGSDSYSEGYGDDEEGSSSSSWSSGVSSVFSSFSPTNEPASSDNGHAASHVLVAVGCRACFMYFMVPKSDGLCPKCRSSGLLHLGASYP >SECCE1Rv1G0050420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:646665886:646670470:-1 gene:SECCE1Rv1G0050420 transcript:SECCE1Rv1G0050420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRDEVAVADADDTRGRRVNDDVWVVAEEGDMSGTSPGDVNRPLLYRTMKVKGSILHPYRFLILVRLVAIVAFFVWRIEHMNHDGVWLWATSMVADAWFGFSWLLNQLPKLNPIKRVPDLVALADRHGEATLPGIDVFVTTVDPVDEPVLYTVNTILSILAADYPVDKYACYLSDDGGTLVHYEAMMQVASFAALWVPFCRKHCIEPRSPGNYFGMKARPYAGGMAGEFMSDHRRVRREYGEFKVRIDSLSTTIRQRSDAYNKGDDGVHATWMADGTQWAGTWIEQADNHRRGHHAGIVQVMLDHPSCKPQLGSSASTNNPINLSNVDTRLPMLVYISREKHPGYDNQKKAGAMNAMLRVSALLSNAPFVMNFDCDHYINNSQALRAPMCFMLDPRDGHNTAFIQFPQRFDDVDPTDRYSNHNRVFFDGTMLSLNGLQGPTYLGTGTMFRRVALYGMEPPRYRAENIKLVGKANELGNSTSFLNSIPYGAIQERSITPVMVDEGFMSDLATLMTCAYEDQTSWGRDVGWVYNIATEDVVTGFRIHRQGWRSMYCSMEPAAFRGTAPINLTERLYQVLRWSGGSLEVFFSHSNALIASRRLHPLQRIAYLNMSIYPIATMFILAYNFFPVTWIFSEQSYYIQRPFGTFIMYLVAVIAMMHVIGMFEVKWAGITLLDWWRNEQFYMIAATGVYPTAVLYMVLKLIRGKGIYFRLTSKQTEACSGEKFADLYTVRWVPLLIPTVAVLVVNVSAIGAAIGKAATWGFFADQAWHAVLGMVFNVGTLVLLYPFALGIMGQWGKRPCILLVMLVMVIGTVGLLYATLQQDGHPMAFLTRPSA >SECCE4Rv1G0287890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:857178034:857180179:-1 gene:SECCE4Rv1G0287890 transcript:SECCE4Rv1G0287890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLFGRSSRNQRTFRPKKSAPAGDKGMKLKRHIDATLGSGNLREVVRLPLGEDLNEWLAVNTVDFFNQVSLLYGTLMEFCTPATCPTMSAGPKYEYRWADGVKIKRPIEVSAPKYVEYLMDWIEAQLDEESIFPQKLGAHFPPNFRDVAKTIFKRLFRVYAHIYHSHFQMILKLQEEAHLNTCFKHFVLFTSEFELIDRSELAPLSELIEPIWRGH >SECCE6Rv1G0381110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:29715819:29716616:1 gene:SECCE6Rv1G0381110 transcript:SECCE6Rv1G0381110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE3Rv1G0189020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721019285:721020109:1 gene:SECCE3Rv1G0189020 transcript:SECCE3Rv1G0189020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVVFDFDKTIIDVDSDNWVVDGLGATELFDRLLPTMPWNTLIDTVMGELHAQGRTLRDVADVLRAAPIDPHAVAAIRAAYNLGCDLRVLSDANRFFIEAVLDHHGLRGCFSEINTNPSRVDADGRLRIAPHHDFRAAPHGCGLGTCPPNMCKGQVLDSIRASAAADGARKRFIYLGDGRGDYCPSLRLAREDFMMPRKGYPVWDLICENPGLLQAEVHPWSDGKDMEETLLRLISRVLVEESQLLPLDCKLESLPVPVQDGMPMLLGVKN >SECCE2Rv1G0077630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:100788425:100789015:1 gene:SECCE2Rv1G0077630 transcript:SECCE2Rv1G0077630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKENCFVFCLIISVLCALACGSIFLALDEYRKPRYYAAIDSLSGLDAATDLARPSLDPLFNITLGVASRGFRHSECIPPGTYVEVSYRGSPLATGTAVQLCARPRKAPREQSLVAWGRGVRVPGFRLDNLAADARLGVEAFEVTLQMPPTHDHVGKIVTCKARRVGDAAALRAPCDSSNMGHYWTPSPKDLHGGA >SECCE6Rv1G0450360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:867557872:867566208:-1 gene:SECCE6Rv1G0450360 transcript:SECCE6Rv1G0450360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTVVYEGWMVRHGRRKIGRSFIHMRYFVLETRLLSYFKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLSVYNKREKHHRITMAAFNIQEALIWKEKIEMVIDQQQGVVSPDGNTAFSSSQQNASVENGRKSSSSDRDSQYSHEEEEEEEDNNRSLMRRTTIGNGPPESLHDWTRGNDTGISDQGSPAQVFSRGHWRLVRCQNGLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSAFTWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAHIESGGFNISPLKSRNGRVRTQVQHLMQIDLKGWGVGYLPSFQQHSLLHMLNSVAGLREWFSQSDESQILPRIPVMDNMALSVSSKKGTKTLDNTVQTSLPADESRHSTVEEESDEDEDFQLPESELEPSTRELDADGKLLGLDEEDSGEIDFSGFSGNLRRDDRDNSRDCWRISDGNNFRVRSKNFIYDKSKVPAGKPLMELVAVDWFKDVKRMDHVAKRKGCAVQVAAEKGLFSLAINLQVPGTTNYSMVFYFVSKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGANYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGHTYEELPERLIGAVRMSHIELSSAVVPVLED >SECCE7Rv1G0471740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:133683446:133690392:-1 gene:SECCE7Rv1G0471740 transcript:SECCE7Rv1G0471740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRISPSMRSITISSSNGVVDSMKVRVAPQPPPPPPPLAPPPAGRRAAGGPGGGGGWGAAWYLRAVAFPAVVALGCLLPFAFILLAVPALEAGGTKCSSIDCLGRRIGPSFLGRQGGDSTRLVQDLYRIFDQVNNEESTSDKKLPESFREFLSEMKDNHYDARTFAVRLKATMKNMDKEVKRSRLAEQLYKHYASTAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPEKVVFHVITDKKTYPGMHSWFALNSVSPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGTVSSASDNPRVLASKLQARSPKYISLLNHLRIYLPELFPSLNKVVFLDDDIVVQRDLSPLWEIDLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVIDRSLDPEECAWAYGMNVFDLEAWRKTNIRDTYHFWLKENLKAGLTLWKFGTLPPALIAFRGHVHGIDPSWHMLGLGYQESTDIESVKKAAVVHYNGQCKPWLDIAFKNLQPFWTKHVNYSNDFIRNCHILEPLYDR >SECCE5Rv1G0360190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:768072086:768074600:1 gene:SECCE5Rv1G0360190 transcript:SECCE5Rv1G0360190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLRRALQLRRALPSPASSRALLPAASSRLLSVTASTQQNTADTAIDLSNDESRRRLVNSLMYRSKQRGFLELDLVLGTWVEQHVRSMDEDNIRSLLQILDLENPDLWKWLTGQEQPPETVNSNPVFAAIKSKVTDNLSKHSSPETRSAPGQPWVRGWDDKRGLDGPKYGNQ >SECCE4Rv1G0244500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:515688665:515690509:-1 gene:SECCE4Rv1G0244500 transcript:SECCE4Rv1G0244500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNLAIVAKELGDVSDFEVDGIPNLSENDVSDEEIEAEELARRMWKDKVRLKRIKEKQQRLALEQAELEKSKPKNLSDLALRKKMARAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYEDENSLLVNAKSSGTMNQYSLMDLQDGTLGSLLSALMQHCSPQQRKYPLDKGIPPPWWPSGNEEWWIALGLPKGKTPPYKKPHDLKKVWKVGVLMGVIKHMSPNFDKIRYHVRKSKCLQDKMTAKESLIWLVVLQREEHVHSIDNGLSDTRLIDLGDKNESSYSSCDEYDVDRMEEPPQSAISKDDVGVRQPAVHIREENGSSSGNKKHHDKRSTQTLPRTNETKKPVKRRRHTGQFSVDGSEVEGTQRNDNTPEVLSNTIPDTNSNQMEVVCVANPLTSFNHVSTNGGALQHQGDAQGNSVPPGVVVNNYSQAANIAPSSIYMVDQPLASESNDYTNSWPGNTFQPDVGLGSIGFSSSSHDYQSSAAKHSVPLSVDNHVPAMVTGALNNSYSHHMAGSGNSTSVAGDTQQIMSDAFYIDPDDKFIGSSFDALPLDFIGINSPIPDLDELDELLDDNDLMQYLGT >SECCE6Rv1G0427490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:719763655:719764950:1 gene:SECCE6Rv1G0427490 transcript:SECCE6Rv1G0427490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQMRQPKPLHFLLLLATASLCALARTVAAGDGGGMPLVTAITKDAATSLYTAPLRDTRPLVLDLSGPIVWTTCDGPSHDTLDCGSDACMRAHQFQPPNCPQTGYGEPDSRNRTRCKCTAHPHNPVSGDTASGDVTRVTLSANATDGKNPLYQVSFTAVASCAPSSLLAKLPAGAAGVAGLARSGLALPAQVADTQKVGNKFALCLPSAGSGAGVAIFGGGPLFLLPPGRPDINANLAGYTPLRQHGESPGYYISADKGIAVNQARLPLDDGYGAALLLGLRSTIPYTALRPDVYRAFIKAFDAATAERARITPAVAPFELCYDSTQLGSTRLGYAVPQIDLMLEGGRNWTVFGGNSMVQVNDNTACLAFVEMRQGEADKQGGAPAAVIGGFQMENNLLVFDEEKQRLGFSSLLWGRQTTCSNFNFTMAA >SECCE7Rv1G0466770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:81292940:81293929:-1 gene:SECCE7Rv1G0466770 transcript:SECCE7Rv1G0466770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVAGMEKERGAAAAVEEIRRLPAEVNWEMLDKSRFFVLGAGLFSGVSAALYPAVVVKTHLQVAPPPQAAAATVRAILGRDGLRGFYRGFGASLAGTVPARALYMAALEATKSSVGSAAVRFGVSEPAASAVASAAAGVSAAVAAQVVWTPVDVISQRLMVQTSAACRYAGGADAFRKILAADGVRGLYRGFGLSIITYAPSNAVWWASYAMAQRFAWRVVGADRSESYPALMAVQGASAAVAGGAAALVTMPLDTVKTRLQVMETDAAVARPTLGSTMRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYELLKRLSAKEGSLG >SECCE4Rv1G0239970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:432884963:432900866:-1 gene:SECCE4Rv1G0239970 transcript:SECCE4Rv1G0239970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMDLMRRMPPGSTETALNALLSLLPDHSLDLLSQVDLPLQVCMDKENNKEYILCEYNRDADSYRSPWSNIYEPPLEDGAVLSEEMRNLEVEANEVFSVYRDQYYEGGISSVYIWEDEDESFIACFLIKKDGQGKRGYMQIGSWDAIHVIQVGPEEEGAAHYCLNSTVMLSLTTDNKLSGTFNLSGSIRRQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNMGLPDS >SECCE6Rv1G0449590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863276922:863277546:-1 gene:SECCE6Rv1G0449590 transcript:SECCE6Rv1G0449590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSHCGRLLAVALLSVLLLWRPASAAEYPVGDGINGWDTGTNYAAWAQSRTFVPGDVLVFEYVKSQHNVYEVTEAAYRTCDATAARAVLATYDTGFDKVPLPEAKSYWFICEISGHCMGGMKLAVNVSAGSPGGGAPAPDAPPSPASGAACRSSWVATAAGLVMLGALHVLVN >SECCE5Rv1G0309370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:151744395:151747456:1 gene:SECCE5Rv1G0309370 transcript:SECCE5Rv1G0309370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVCATHGAMGSVLRKLGALLSDEYKLLTSVKGDIMFLKAELESIHAFLKKMSEFEDPDELSKCWIKAVRELSYDIEGGIDSFRLSLGVESSRKPRGCKGLLSRCMDLMTNSKTRHRMAKKIKVLKQSAIEVSSRRARYKVDDVFSRPSRTSIDPRLPAFFTEMTRLVGIDGPRDKLIKLLTEGECATAQQLKVVSIVGFGGLGKTTLANQVYQKLEGQFEYQAFVSVSQEPDLKKILRNILSQICWQECASNEACDEQQLINKIRQSLKHKRYFIVIDDIWGTPVWRAIKCAFPENSSSSRILTTTRIITVAKYCSSQHHDHVYEIKPLSATHSKSLFFKRAFGSEGGCPLQLREVSNEILKKCGGLPLAIITVASLLANKASTKEEWLRIRNSIGSALEKDLDMEEMKKILFLSYNDLPYHLKTCLLYLSIFPEDYEIKRDRLVRRWIAEGFINPAGGQDLEEVGEGYFSELINRSLIEPVEIQYDGRADACRVHDMILDLIISKSIEENFVTFSGDKKLNSLPYDKVRRLSLNYHAQEHIMVPSKMIVSHVRSLTIFGCAEAEHMLSLSKFHSVRVLDLENREVLEHNYLKHISRLSQLKYLRLDVRRITALPEQLGELQNLQTLDLRWTWVKKLPASIVQLQKLACLLVNCTELPEGIGNMQALRELSEVEINQNTSPSSLQGLGTLTKLRILGLNLNWHISNTNGGMKAYTDNLVMSLCKLGMLNLRTIQIQSYNFCSLDFLLDSWFPPPRLLQRFEISTEYYFPRIPKWVASLDHLSYLSIYVDPVDEETFQILGDLPSLLFLWISSRTARPKERLIISSNGFRYLKEFYFTCWDSGTGLMFEAGAMPELENLRVPFSAHDVFPLRSGLDFGIQNLHSLKHLHIEIVCYGAKVWEVEALEDAVKNAAGCLSDELTLEVSRWDEEEIVKDGEYKLAEEEVDADN >SECCE4Rv1G0271690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:763504773:763508390:-1 gene:SECCE4Rv1G0271690 transcript:SECCE4Rv1G0271690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARGLSRLLLPLPLPLRVLLLLLTVLAAAARDEGEARALAALRAALDPAGRVLGSWDRAADPCGGAFVGVACDREGRVTAVSLQGRGLSGTLPPAVAGLRRLRGLYLHYNGLRGPIPREIGGLAQLADLYLDVNHLSGPVPAEIASMASLQVLQLGYNQLTGSIPPQLGNLNKLAVLALQSNQLTGAIPATLGDLTQLTRVDLSFNNLFGSIPSKIADVPSLEVFDVRNNTLSGSVPAGLKRLNGGFQYVNNKGLCGAEFISLDLCTSTEDGLRPNKPEPFGPDGTVKTGQVPQSVDPHTTKSAKASAGVLVVGVLAVVIGAAFCGIFAFSYYRRQKQKIGSSLEVSDSRLSTDHFQQKEACRRSASPLISVEYSNGWDTMSGGGCGSSGEVGDSFRFNLEEVECATQYFSEVNLLGKSGFAATFKGILRDGSVVAVKSLNKTSCKQEESDFLRGLKTLTMLRHENLVGLRGFCCSRGRGECFLVYDFMVNGCLSNYLDVKEGSEASVLDWPTRVSIIRGIAKGIEYLHSKKSNKPSLVHQNISAEKILLDHHFAPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYANTGRFTEKSDVFAFGIVVLQVITGRRAVSQVKVGTATSDLEGLIDPYLGGIFSRTEAARLAEVALHCTSEAASQRPTMDAVVQQLSG >SECCE2Rv1G0136360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:911336214:911340859:1 gene:SECCE2Rv1G0136360 transcript:SECCE2Rv1G0136360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLPSRRRRELKLAVSLPLPGLLRCILVLVIAVRPCAASNFSDCGPSTSYPSSRVKDGDVCIFQLDLPIIGDLQSSWDAGVIDGALHLTTDDVYQPPVYYPPDPKRRAGCAILPVEVILWQPAGEPSDVNFKWQWPWRYDGPKLEASFNATFSMSANTSREGEGGLMFGILPPVLDGFHRATYAPLASSSTTFPDGRRVAIEVSQADYYYSQGTTSMYVSIEPEPDAASMAIYTVWIDYSAAAHNLSVYVAEGGKPKPGEATLHMPLNVTDVVRSSQGSLGYFGLFASKSRFLPTCQAVVYSWNITMEMLPEPIPEPSPDRVYSPAFPDHARELRREFLAILLTVVLPIAVVTAIVFVAACYFSSRYRALRMKLKLSEALRQLPGVPREFKHATIRKATHNFHEMMKLGRGGSGAVYKGTLRSGKRGQGLVDVAVKKFTRKDDRGYEDFLAEVDIIHRLRHKNIVPLLGWSYENGELLLIYEYMPNGSVDKHLFHEKQQHRGHQQPILPWERRYDIVKDVAAGLHYVHHEYERTVLHRDIKASNIMLDSAFRGRLGDFGLARVVGLDKNSFTDIGVAGTWGFIAPEYPVSHKATRQTDVYAFGVLVLEVVTGRRSLGKADAEFPLLVDWVWWLHQEGRLLEAVDAELRSSEAEFDADDAARLLLLGLSCSNPNPSDRPTLAKVLQVVTKMAPLPDVPLVKPAFVWPPEGTLLDDDVDDGFVGSSRDDSRYWEEEETMPSFMSSEITKRRARNVDQHKEAGEIESNV >SECCE6Rv1G0442880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:819699471:819704587:1 gene:SECCE6Rv1G0442880 transcript:SECCE6Rv1G0442880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHAVLPKMAPNWIINLYRDARKLGSNGVPNYRNSRATKPSTAAASRCTIPSVGERTVVCDFDGGLLRSTVLFPYFMLMACEGGSLIRALLLLCCFPLVWILGEHTDAGVEVMAFVTFVGLRPRDTDLVARAVLPKFYMESLNAHVPGPDMRQKAPRVVLEADGAMADLAVVGTSNPLNHLFIPYCKELYVVNTAECARTAQLPRERYPRPLIFHDGRLAFIPTSSAMLAFFLFLPLGIILSVIRINIGIVLPYRTSFAASAFFGVRFRTSGHRSTEASAKPRGVLFVCTHRTLVDPVMLAVALQKPVSTVTYSLSRLSEILAPIKTVRLTRDRARDAKTISQLLEQGDLAVCPEGTTCREPFLLRFSPLFAELAGDMVPVALDAQVTLLYGTTTSGHKWLDPIAFLANPTPSYRVEFLGRVPWHQTCAGGRTSVEVANWVQQQLGEALGFEFTGLRRRDKYMMLAGNDGVVSN >SECCE5Rv1G0331550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:539465501:539466622:1 gene:SECCE5Rv1G0331550 transcript:SECCE5Rv1G0331550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISAPESSRRLLWAALLVAPLAAVLYQFPIQILNPRAPEAKPSPATSAMDAGAELELEYDMPGVLRVHKSGRVERFDGVETVPPCPTGDPANGVASKDVVLDPAANISARLYLPSAVAAEPGKKFPVVVFFHGGAFFVHTAASPLYHRYCASLAAAVPAVVVSVDYRLAPEHPLPAAYDDAFAALKAVVSACRPDGAEPWLAAHGDAFRVVLAGDSAGANMAHATAIRLGKERIDGYGDKVSGVALLNPYFWGKEPVGGEPTDAGYRGGFERAWQVICSGKFGPDHPYINPAASPEEWRQLGSGRVLVTTAEHCWFVERARAYAEGIKASGWDGELEFHEAKGEAHVYFLPKYDSESAVKELAMVADFVRRC >SECCE2Rv1G0107330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:648143685:648144266:1 gene:SECCE2Rv1G0107330 transcript:SECCE2Rv1G0107330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNLTAGYVFQPTGRELVHHYLVPRAGLGGDFFPGFIEEGVDVLSMRPCELPFRENHIRDYGEVWGFFFAAKPAGEMCPTPGARGCWVQYGPEKAYYGEGGGEAVAFRRRFTYRITWKGGRAWSPTRWLMKEYRLNRDAAAFRRAHPDPEASDVVFVVHKVYRKPVLPPHDDSSSSEEEGSERSIVLKKRR >SECCE4Rv1G0222760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:62502344:62503576:1 gene:SECCE4Rv1G0222760 transcript:SECCE4Rv1G0222760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTERPCQSEWPNLRRGLLRRVLSRLPSLADRVRLRAVCRPWRTSATLQPPPRRPLPWLALRDGTALDFANNSRHRLRIPDDEGPCYSAGDNMFFLHHNDGRCFAVNGFSGAATPLPELAALLQSHMVNPCDEKKSDKFDCKSYDNMKIKKVVMSSVASLPNHHRVVAVLASNCSKSRVFISTCRPAGEINSCVVMREKSTILDIAFFQGKMYANVHMFQELVAVDLSDGCLDKPTPPGVQPQVKAYTSWIWPPDLLPDMYLKDLFDDPKSDEKVEQYLVESNGKLLMVRRRFLRAGHNKMTCRFQVFQADLRFGPRLGQWKKVRSLQGRALFVGTSCSKSFRACDVARARRDCIYFLVDHGNPLRHSAVYNMVNKTVMPLIPVSVWRSVKLTWDSKRFPAWFFPVEV >SECCE1Rv1G0012890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:97789390:97811476:1 gene:SECCE1Rv1G0012890 transcript:SECCE1Rv1G0012890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEEAARPRPTRVVEALRGRIVQAVKENRVTIIVGGTGCGKSSMIPQFLLEENLEPIMCTLPRRFAVVALAQMVAQSRDWELGEEVGYHIGHSNMTNLISTRSKIVFKTAGVVLEQMHHKGFAALQYKVIILDEIHERSVESDLVLAYVKQFMMTTNDSRLVLMSATIDSTQYVEYFKDIGKVELIAVPSSSCSNFQRKVQYLEQIVDILKMDSETESPRTKYCPGLDCKAEAYLSPDVYQLINKLLLHIHESERDTEKSILVFLPTYYALEQQWDVLSGCSIFKIHILHRSIDTDEALETMKASKSCRKVILATNMAESSVTIPGVAYVIDSCRSLQVYWNPVRRINSVKLVWISKSQAEQRKGRTGRTCDGQIFRLVTEPFYNTFPDHESPAILSLSIREQALMICCAESEAMNDPTVMLQKILSPPESAVIKDALETLVQIDAVQPAFSGGYQPSFYGYLLNSLPLSLHASVLTLKFGEMGYLHEGILIGIMLDIQPLPILQPFGDQALRKKIRDDYFDERSSQQIGKKEGTLIGNLRAFQFWQCMFKDKFRLEYLINIAKNEESNASGPLILKNEQDWCRFHNLVPKALNNISEIYDDIMSTLHRFRPPFLLKISPPKYLQTSEFHHACRDPELPEPEHMPSFSLEADNSQLDSQRRCASQPYISATDFGATDIVNDLKKAIKEMKIQLADEQVGGNAQPAFGAEKWVEYVDNTFEEYKGPFTNSDDDPRPCKFFLTLKGCIKGSSCSFPHDCDSLISSSVTSKINPEELPWHADRGWTKLLTGGENGHILVVNDKNLKFSRELRKIVACTPELHSAEHNSVANNLSIVLNVTDPSHLTIGGEHELPVPWTKLQRIILLDDYGSGEAIKHQLLQKFFEYIAIKIWPETLSGLQVIVIMNNTKFVKIQVEKMARECFFFLGESFLLNGVPLGWFPCIRQQDGRVAGPVAYVFNMHPPSPRRFCNTRELSAKSSAETS >SECCE6Rv1G0418370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:662552222:662552749:-1 gene:SECCE6Rv1G0418370 transcript:SECCE6Rv1G0418370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASRPSGPVLLSPIPNYHSASLTRVKLSAGGATGSPVKSVSASFPRSSPAGGAARVRRSCMCSPTNHPGSFRCSLHKERKQEAVPAVPAVSSRQACPPSPPPMGSGCSRRMVNVLAQRVPMGSGHWARRALAPSHAVQQLQHRKRADRFRAGASRLSAVSMAGGRGGGSNQ >SECCE5Rv1G0305500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:73076048:73079097:1 gene:SECCE5Rv1G0305500 transcript:SECCE5Rv1G0305500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIGPCLLAVVLLAAAWISDASSSNKQAHSSQQYVNCMPCSRTYVADAYVDALTSQLSQHRDLTEVSDTADLCKGLADELGVPMLSEVNRQLVGEGSHRRLIYSVKFGNSKDAMVKFLDGYDANLVIIEKLPSGVFADPFELQHFVERKVFLDVAVFGDTNLELPSALSNRSAVEIHFDLKPSTSMDYNLVIELPLHARYPPLDASGYATVEFGSPDLLLRYQRKETQPDPCVWVLQKLHAPPVEKAAWRIPCGDEAHTGFVSSLTFISALVCSMSIVLAASLTP >SECCE7Rv1G0459060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:24162128:24163095:-1 gene:SECCE7Rv1G0459060 transcript:SECCE7Rv1G0459060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCWLMLLFLVFLLPATSAASCHTDDLRTLRDFARNLTSGGVILRAAWSGTSCCRWEGVGCDSASGRVTVLRLPGRGLAGAIAGASLAGLAMLEELNLANNRLIGTIPSWIGELGHLRYLDLSDNPLIGEVPKNFIRFKDITIAGRSLGKAFTNMPLYVKRNRRTLQQQPQPNTISGTNNSVRSGRTNVVSGNDNTVISGNNNNVSGSNNTIVTGSDNTVVGSNHVVSGNKHIVTDNNNAVSGNDNNVSGRVLQKPFSTSSSTLHHSKYFSATSRIISQVMHTLYGLI >SECCE5Rv1G0335310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:572593877:572594514:-1 gene:SECCE5Rv1G0335310 transcript:SECCE5Rv1G0335310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSTMRPRCADQEDMPNKWESTRLDKTKEKEVKTPSCWCGDECKVKSPPPLCKYFTWIDHEVPEDVKKYQLQDCLRRQRLFEESLQRAEDEERRERERKERKKREEERARKEKAAREEERARKLARARDAQEEDEARDKKGKWPRVTQ >SECCE7Rv1G0454290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2059852:2060394:-1 gene:SECCE7Rv1G0454290 transcript:SECCE7Rv1G0454290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNMVAPLLVLNLVMYLIVIGFASWNLNHFINGLTNRPGVGGNGATFYFLVFAILAGVVGAASKLAGVHHVRTWRGDSLATSASSALVAWAITALAFGLACKEIHIGGYRGWRLRVLEAFVIILMFTQLLYVIALHSGLFGNQFGGGAGGYPAEHGGYGAGDPHNKGMGTGGVARV >SECCE7Rv1G0462820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:48849071:48849724:-1 gene:SECCE7Rv1G0462820 transcript:SECCE7Rv1G0462820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFWDTDEWNWKKIGIWAAILLVLGGVLVVLILAFAVIKPPTAMAEDALLTRFDLAASPNATAGTAASKSPLQLLSYNATATVSMRNPNLHYAISYGAMAVAFSFNGTRFDESGTVAALDLAARKETTLRLKVGGVDRALPKLPAAGAAEFARQKEAGRFEVEVRLDTVMQYKGRKTKCPLAVICPLSLQLVDPDVAATSFQKTKCTILRAKISGC >SECCE1Rv1G0026870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:375438571:375440676:1 gene:SECCE1Rv1G0026870 transcript:SECCE1Rv1G0026870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEQQIEHSHLAIRGLNLHVAQAGTGELGTVLFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYSDLIEDLLALLDALSVPKAYLVAKDFGTMLAYEFALRHPNRTCGVICLGIPFLHGGSSFTAMPEGFYILRWREPGRAEADFGRYDVKRVVRTIYILFSRSDIPIAKEDQEIMDLADLSTPLPEWFTEEDLAVYTSLYEKSGFVYPLQMPYRSLHKRQPIEDPKFKVPVFVVMGEKDYVIKFPGVEAVLKNGTMEKFAPDLKITYIPEGSHFVQEQFPDKVNELLVGFLKDHPVA >SECCE2Rv1G0082430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:151123388:151126145:1 gene:SECCE2Rv1G0082430 transcript:SECCE2Rv1G0082430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNEVDMGYEDEPPEADIEEGVEEDPENNEDAPDDVVGGEGEEKEQEKTARPRNTTKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >SECCE4Rv1G0251060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:598899177:598901575:-1 gene:SECCE4Rv1G0251060 transcript:SECCE4Rv1G0251060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMERHFYMSKGEGESSYAKNSRRPVIVMSETRPVIEKSVKEVYTALLPQTMVVADLGCSSGPNALIFVSTVINAIADHSSKLGGEQGDHVEVQFFLNDLPGTDFNQLFGSLEQFKRSAEMDRNGEDTLPPYYVSGLPDSYYARLFPRQSVHLFHSSYCLHWRSQVPEGLEGEREVHLNGENMYIAKNTPPSVVKLFQEQFRKDFSLFLRLRHEELVFGGQMVLTFLGRKDEDVYSGDLNHLFGLLSQSLHSLVEEGLVEKEKVSSFNLPAYGPSVGEVVASVKESCAFDMAHIKLFEQNWDPYDDSEGDGVLDSARSSVNAARCIRSVMESVVASHFGEDILDALFEEYTRRVARHLDKEKAKFTVVVLALKKI >SECCE4Rv1G0284150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838484029:838485013:-1 gene:SECCE4Rv1G0284150 transcript:SECCE4Rv1G0284150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWPNSNESSDDDEYMSEFSSMQMEYFQTPDTVIDPSFSGLVTESDRRCFLHRQTAGKFVAFEGTDTGRRFIGCATEAGVNCGVLEWVDAPWPVIFQRCLTKLWDMYHEENLGRVQDKDAHEIEVEKLKKELDSLGNQYSQLVDDVSKLFDYQDGQKSHDMDYKSQAINELRMKKDQLQEQENIELQMEKLKLKKEQRCILQSQADIIQNTRKAMKEIQVERDLLKEEKKKLEHIIAELLKAGHGCKEKLDKIKEVVMEE >SECCE4Rv1G0283840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836599290:836603017:-1 gene:SECCE4Rv1G0283840 transcript:SECCE4Rv1G0283840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRAVSSPGETALPYAYAPLAPSDVAAEERRGGGVRWRACVAVLAASAVVVLVVASALAGSGRVDRVVGGDVVADMPPLAETARSRGRDAGVSEKTSGAADEMVGLLGAGGDADGFPWSNAMLQWQRTGFHFQPEKNWMNDPNGPVYYRGWYHLFYQYNPEGAVWGNIAWGHAVSRDLVHWRHLPLAMVPDQWYDINGVWTGSATVLPDGSLVMLYTGSTNASVQVQCLAVPADPNDSLLRNWTKHEANPILLPPPGIGDKDFRDPTTAWFDESDKTWRTVIGSKDNHGHTGIVMTYKTKDFVNYELIPGLLHSVPGTGMWECIDFYPVGGADGSEELYVMKESSDDDRHDWYALGRYDAAANKYTPIDAEMDVGIGLRYDWGKFYASKTFYDPSKNRRVLWGWIGETDSERADVAKGWASLQSIPRTVELDEKTRTNLIQWPVVEIETLRINSTNLGGTTIDTGSVLPLPLRRATQLDIEATFHLDTSAVAAVNEANVGYNCSTSGGAANRGALGPFGLLVLADGALKEQTAVYFYVSRGLDGGLQTHFCQDESRSSLAQDVVKRVVGFTVPVLDGEDLSLRVLVDHSIVESFAMGGRSTATSRVYPTEAIYAAAGVYLFNNATGAAVTVEKLVVHEMDDSYNQIFTADDASTAL >SECCE4Rv1G0222270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:55634745:55635464:1 gene:SECCE4Rv1G0222270 transcript:SECCE4Rv1G0222270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSVRGCGPASASSVGLSPRRAVRRSSFLPPLPRRASSVRALRAAVADAPAPHRGLELRREGRDEAGLLEAVIGGDGEEVEEVVGEERVEGWMRESIAEIVRHVGEAPFLVHLFSDGREGVTVRREPASAEAWPDVRRRWGPGGQRRPDGIILVEQVAAAAVEDGAEAARQVWGLVVQARGMECAACYVLDTCRVRSPAGFCTHFCLARAQCFGEPLELQLRNAWLNRLSGHRR >SECCE4Rv1G0285750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:846125114:846125827:1 gene:SECCE4Rv1G0285750 transcript:SECCE4Rv1G0285750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDGHGPRASTNGPPPLPCVGVVVVPDGPPFHAKQWTEVPRAGQAGDPSSYAVAGKLLVAAAGALAGVLLALVALHAYGGARRRRSRDRLRLRHSLHAISDGAVHGGVAVAPSPRGLDPAVLRALPVAAAGDGAGDCVVCLAVLERGEAARALPRCGHRFHVGCIDAWFRGNSTCPLCRADVEAPDDDAEAEVRVDVETGDAAVKGGAPATRRLSSGTDLDKTRRAFASTRSASF >SECCE7Rv1G0519030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863112027:863114489:-1 gene:SECCE7Rv1G0519030 transcript:SECCE7Rv1G0519030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQLQPTMATTSTCFRASSPATVRCSATARRSANYPPSSWDYDSLLQLSPNNGGQADQVDKLKAGVRERLVATSRGEHQAAKIGLVDTVQRLGIAYHFEEEIESILKSVHREPHRCSWDDNASDVASATLRFRLLRDGGFPVFFPPESLKTLKRASDDVHGLLSLYEASYLAFRGEETLDEARSFSKKALIKLLPYLDHHLRRSVVRSLDLPIHRRSPRLEARWFIDHYAREQSNSDPLLVRFATTDFNNVQSVHQEELVGLARWWKGTALSEKLGFARDRLIECFHYANGIAWEPNNGACREVLAKVANLILHLDDVYDVYGTLDELVLFTDAIGRWEESPSERLPEYMQALYSVMYNTSHEVAENVLDQHGCDARHVLQKAWRDMAESFLVEAKWHHENRKPTLREYLDNGSISSSAPLLLQHSFPLLHVEEKLTTMSLAKVGSYPKLVQSASLVLRLCNDSATHSAELERGDAPSSIAIHMSENGSSEQQSREAMEDLTMEAWKLINEDAYKHCQISRSFAKTCVNLARISHCVYQGGDGFGAPDGQKKKQIRELFLDPL >SECCE7Rv1G0516590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:846690955:846692765:-1 gene:SECCE7Rv1G0516590 transcript:SECCE7Rv1G0516590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVLERRGRLPQHTYLDYSITNFLAAVLIALTFGQIGGDTPETPNFLTQLTHPQDYWPSIMFALAGGVVITLGTVATQYGWAYVGLSVTEVMASSLKVVIGTTLNYFLDGRINRAEVLFPGVGCFLIAACLGSLVHSSNAADNQEKLSNSRNYSSGNAAKEDLTQHLLQEEEEPKDCEEAKPAVPNKAVEKAEAGTADFLIDLEDKRSIKVLGSNTLVGLAIVTFAGVCYSLFAPAFNLATNDQWHTLRDGVPHLVVYTAYFYFCLSSLVVGVVLNVWCLYRPVAGVPGSTLRAYVADREGRGLALLAGLVSGLGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTYTLLGSMLFMFVVAMAVLMASSAHRKPL >SECCE4Rv1G0229140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:142890165:142893769:-1 gene:SECCE4Rv1G0229140 transcript:SECCE4Rv1G0229140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMQTSDSSHHGIVENSPYRTPFGRHVEGGNLGGSWYFSRKEIEENSLSRKDGIDLKKESYLRKSYCTFLQDFGMRLKVPQVTIATAIVFCHRFFLRQSHARNDRLTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDPAAVARIKQKEVYEQQKELILIGERAVLITLGFDMNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPNHITAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVVPPPSQGNDTEGSSASVVNPRAVGKAPGAAEERHAHENHQAPKQSSMAAPPEKQNSNQRIPKVEAKDGIASSNEGPNMSCSMDAMKKIDKDKVKAALEKRRKSKGDAGRKVDIMDDDDLIERELEHGVEMAVKDEKKHDRRQSWPQPLHQNTTRTAEEGELSMDSQEYLSTVTELEDRKRKDVYDQRSYDHGERDLKRVRS >SECCE4Rv1G0224690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:83125957:83128193:1 gene:SECCE4Rv1G0224690 transcript:SECCE4Rv1G0224690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRCFYAALVQILLCLMLCLPCYSTVTDIQCLKRLKASVDPSNKLHWTFDDNKEGSICSFNGVECWHPNENRILSLRLSSMDLKGQFPDGLENCSSMTSLDLSSNSLSGPIPVDISKRLPYITNLDLSYNSFSGEIPESLANCTYLNVVSLQNNKLTGAIPGQFAGLSRLTEFNVANNKLSGQIPSSLSKFSSSNFANQDLCGKPLTGDCTASSSSRTGVIAGSAVAGAVITLIIVGVILFIFLRKMPARKKEKDLEENKWAKSIKGAKGVKVSMFEKSVSKMKLNDLMKATGDFTKENIIGTVHSGTMYKATLPDGSFLAIKRLQDTQHSESQFASEMSTLGSARQRNLVPLLGYCIAKKERLLVYKHMPKGSLYDQLHHEGSDREALEWPMRLKIAIGAGRGLAWLHHSCNPRILHRNISSKCILLDDDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYSHTLVATPKGDVYSFGVVLLELVTGEEPTRVSKAPENFKGSLVDWITYLSNNSILQDAVDKSLIGKDSDAELLQVLKVACSCVLSAPKERPTMFEVYQLLRAVGEKYHFSAADDELALRPQDADSKKVDELIVAK >SECCEUnv1G0562700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:367960154:367961635:1 gene:SECCEUnv1G0562700 transcript:SECCEUnv1G0562700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAAVVIVVIVVQVAVLWPFASAQLRADYYAGVCPNLEGIVRSSVKQSMVKSPVSAPATLRLFFHDCAVTGCDASVMIVGSTGDNGNADDYSLKPEGFQTILDAKAAVDNDPQCRYKVSCADIIALATRESVSQSGGPNYTAELGRYDGRKSTDKSVKLPHPGDNLDSLNAFFSTLGLSQTDMIALSGGHTLGAADCGFFKHRTGGNDQSMNPSFDAQLQGTCAKQNFASLDDMTPIGFDNFYYRNLQTGRGLLGSDQVLYTDERSRGTVDFYAANQGTFFSDFVVAMTKLGRVGVKTAVDGEIRHDCRYPN >SECCE5Rv1G0329720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:520797730:520798884:1 gene:SECCE5Rv1G0329720 transcript:SECCE5Rv1G0329720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDVKYRPALYPNGSVKKLRQAPPPPAAAVEAVPTYKECLKNHAAAIGAHAVDGCGEWMPVVELNTADPASYKCAACGCHRNFHRLVMVEGSPPPPPPPQPALLPAPPMPMPMPATVLHGLPQRGHGHGHETPEDRLPGVDGDDSDSDSDGSEYDDERSVSPPLQPPPAHLTAPVAQQPPPYISSAPHPHMLLSLNSSAPGAPQGQSRLPAQLSPATAPPPHGMMPARKRFRTKFTAEQKQRMQELSERLGWRLQKRDEGVVDEWCRDIGVSKGVFKVWMHNNKHNYLGGHSARRSASAAASSAATTPTAPAAGGPFRLAPASPAPGAPFNNPSASHSSPAPTATGFNMNGTASTASTATATPTPIFAAGRKLNGASSPQSA >SECCE3Rv1G0184930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:668280989:668283483:1 gene:SECCE3Rv1G0184930 transcript:SECCE3Rv1G0184930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g10920 [Source:Projected from Arabidopsis thaliana (AT4G10920) UniProtKB/TrEMBL;Acc:Q0WP62] MDEKTQDKVEAAVLEILRGSDMESLTEYMVRTAAADRLGIDLDLPLHKRFVRRLVEDYLKSLAEEEEQKQKGGSRKEGKKKRQRQEEVEEQEEDEEEEGEEEEEEKGGDGKKEFDDNGDLILCRLSKNRRVTLQEFKGMTLVSIREYYMKDGKELPTSKGISMTVQQWETFSKSVPAIEDAIKTLGESD >SECCE2Rv1G0115050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:745573488:745574633:-1 gene:SECCE2Rv1G0115050 transcript:SECCE2Rv1G0115050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGVAARKRFGGVGFALGCGCKDPKAVAVAVAASSPRSGTEASTATTATSRRASRTHPSASTSTGTLTVPSASSSFLWEDADADADEVECKRQSSATTPSFSGLLRELSELERSIKSCARTKSPPRKHFSPPPPPPPPPPPPPPPLPSRPVQRRAVRSDDKPSIQEGHGDFSPPRPRPLPPPSLQVQTQQHRRAKSVDKASKQEADAHFTPQPPRPLPPPPPLEPVRSAKTEEEKSKSKEDGKRSPTPQAAPKHRKAVSCDNSNGFGGGSSGRLDGSVAVVKQSEDPLSDFRRSMLNMIVENGIVTGDELRELLRRFLALNAPRHHDAILRAFAEIWDEVFAPAAADREPVPVPAARSAAPRQRTPPRRRQPLPPPAWRV >SECCE5Rv1G0309500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:153421047:153426704:1 gene:SECCE5Rv1G0309500 transcript:SECCE5Rv1G0309500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHEAAEAASIRAEAREALNLHSDGQHEAALARAVVLAAVHPGSAVALNLAGLIHRYAALVARNDRGAHRDDEDDDENASALEKHHRHAALDAFSAAARLAPGCVVTNADHANALADCRRYEDAQKEFLRMLDTVANNDQADPALYNVVYDMSGDSSRKGRRRDAVKSASIAMERFAERINHRIMPLEAAKLLDASNLGGPAADEARDRAKLLAETYPYSPRAQLLRAYIDLAPVRALDPAMDKKQLLRRALTTVSEAAENFDCSLMVALFHAKLLFVLDEFDAAEGECRRALRIERPNDPSWDDIPPMAALGADSDARVSYVKKQLRVLLKQIVVVAALYWSSMKNALQGQRVVSVTVDALHAHYDGIDKSAAKTISDATRFLKNQESWSFWICLNSRCDGKKFTDTSSLWQHMCSKHRDELWGKLQSLIDPEYCENTSQDDHLLVGITLSRQSDTFLLPRVQDMFESLLLSPSVGIQAEPFAEMRQRKCKEGSEILGSIREKLRMLPEDTLSTEFQDCCSGIEKLWLKFLEVTLLDYREIVLPLARSYQWIELKKRIPLYLKHPGTRRIGFADDNIDIICGTSGQSVKEMASTSSSQQSLTVSNKNNADKELSILSVIIRSLCNLRHFRDKLLMEPLVWIPSVESPCIAQQFYEIFSSWEKNDHHLSDVVLTYMKTLLCGVVDCSTFNEKVGITFASEIVATILIELHMSETCSRFRENKETERHVVNLITCGDCICPTHYLFGIKFDAQLSCRCGKSSGEYLYTTLFHKLDARSPQTAKIKSFAELPVLLDEQFREDNKCEHCGSLQNIDLFLSNTPHFFTIVLNWLGGSESQDALSEVLAAITSPLDTEFFCRSAHSAAMYAVTSMICYADDRYVCFARDEDKWVIYGFENVEREDSWEHLLERFKDCKLQPEVLFFEVIK >SECCE3Rv1G0200150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:851676115:851677038:-1 gene:SECCE3Rv1G0200150 transcript:SECCE3Rv1G0200150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASELLPAVLLISVVSLAGLADGAPRAGGVAIYWGQNGNEGTLAQTCASGNYKFVNVAFLYTFGKGQTPLLNLADHCDPASNGCTGVGADVKSCQRLGIKVMLSIGGGVGSYGLSSRADARLVARYLWENYLGGTSASRPLGDAVLDGVDFDIESGGSAHWDDLARELKKYSGKGRSRKKVYLAAAPQCPFPDASLGGLGGALGTGLFDYVWVQFYNNPPCQYTTDGGVGNLARAWEQWTAIPAKQVFLGLPAAPEAAGTGFVEAADLVSKVLPVVKKSKKYGGVMLWSRFYDGKTGYSDKVKPGL >SECCE6Rv1G0415820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:644536886:644538631:1 gene:SECCE6Rv1G0415820 transcript:SECCE6Rv1G0415820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMKPISFLLLKLLLPLGLSVAPPFVAGNEPQFSYSGFSNTDLTLDGAASVTPNGLLMLTNGTSRSMGRAFYPDPLRFHNLSNGAVQSFSVSFVFAIVSIYKDLSSNGIAMFIAPSKNLSSAMRMQYLGLLSNQNDGNQTNHIFAVELDTFQNWELQDMNDNHIGIDINSLRSIQSHDAGFYHDKNGTFQSLSLDSQEVMQVWVDYHGEKMQIDATMAPLGMAKPTRPIVSANYNLSSVLTDVAYIGFSSAEGKITKHYVLGWSFGMNNPAPAINLTMLPKLPLGPRSKGGRRRLRVLEIILPLATATLILSVAAAVSLLVRRHLRYAELREDWEVEFGPHRFSYKDLFRATEGFDNKNLLGAGGFGRVYRGELPRSKLRIAVKRVSHDSRQGMKEFIAEIVSIGHLQNPNLVHLLGYCRRQGELLLVYEYMPKGSLDKYLYGEVDNSTLSWDQRIWIIRGITSALIYLHEEWEKVVVHRDIKASNVLLDDELNARLGDFGLAKLYDHGVEQETTRVVGTIGYIAPELARTGKGTPLTDVFAFGVFILEVTCGQRPIMQSTQDEQVMLVDWCLSMCSKDH >SECCE6Rv1G0415480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:641958205:641958937:1 gene:SECCE6Rv1G0415480 transcript:SECCE6Rv1G0415480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQQQHGRVDEYGNPVAGHGVGTGTGAHGGVGTGAAAGGHYQPMRDEHQTGRGILHRSGSSSSSSSEDDGMGGRRKKGIKDKIKEKLPGGHGDKQHTAGTYGQQGTGMAGTGGTYGQQGHTGMGDTGGTYGQQGHTGMTGTGATGGTYGQQGHTGMAGTGAHGTTATGGTYGQQGHTGMTGTGAHGTGGTYGQHGTDTGEKKGIVDKIKEKLPGQH >SECCE4Rv1G0295430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:898294203:898295792:-1 gene:SECCE4Rv1G0295430 transcript:SECCE4Rv1G0295430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALATTSSSAPLLMGAVSLVGALMFLLNRGGKKEQNLPPGPPAMEFLAKFINLRRSIFYVRPILRELHARYGPIFSVRLFKTFIFVRDRGLTHEMLVRGGATFADRPDPLYQPWPMFFDGGILINAYGTYWQRMRRNLVEALHPARVALFKQARSRTRDALLARLSAAAAGGGEVVAVREIFRRTLFELLAYMTLGPGLSTETLNDIFMVQKDAFGAVTSFSYFMAFVELTKRIFSKRWAALQEIGKRREAILSPLIQDRRLRASSSGGGMETDMDTDTDTDQDPPCYADTLLKVRLPDEGDRALTNAEITALCSEFMVAGTDTTASLMEWLMAEMVIRPDVQAKVYQEVSGDEELKESDLAKMPYLKAVVLEALRMHPAAHLIFPHKSMHDTEVGGYTVPKGAEVNFLVADCALDPTVWTDPEEFRPERFLEGGEGHGVDITGNKEVKMIPFGAGRRMCPGYSLATLHLQSLVATIVKEFEWLPAVEGQKIDMTEDLDGVIVIRDPLRVRLIPRRSSELASTHSDLK >SECCEUnv1G0541060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:93197734:93199260:1 gene:SECCEUnv1G0541060 transcript:SECCEUnv1G0541060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSISQELLIPTALVLLVSLCLYFRSSSRSKNRSVLPIDWPIVHMLPAFIANLHNLYDYCAVGLAESGHNFRVHLPQGHMFLTCDPVNIRHIFTTNHANFPKGVEFAAIFDIMAGSFFTIDGEPYHRQRVKFHSMLGNPRLVASMVACCRDKMENGLLPLFTHMASTGTPFDMQEVVSRFMFDLAAKPLFGVDTSLLSLDMPPMDVAIAMDTVMEVAFFRHVLPASCWKAMRWLNIGPERKLDAAHTVVRVFVTDMIKKKINRGCIGNEEEQESADILSSYINDPDYADVELLRAMLLTFMLAGKDTVGVTLLWTFYMLAQNLNIVSIIRSELSPIALHKIDTGTGAMLIFDPEETKSLVYLRAVLYETLRLYPPGPFERKTMAVDNIMPSGHEVRAGETILISLHSMGRMEDIWGKDCRDYKPHRWLSEDGNKLRYEPSHKFLSFNSGPRICPGKEIAVIQMRTIVAAVVWNFDMEVVQGQSIEPKLSCTLQMKNGLIVKLKKREI >SECCE7Rv1G0517430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:854195205:854198235:-1 gene:SECCE7Rv1G0517430 transcript:SECCE7Rv1G0517430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQGVAQEESRRSSGERADEDCCSSGPVADAAVPSEDSSEGHSSDSSGSQCAGSDGGGKEVPEVYSKSGQNDENSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAVPSRSVQPLQWRNLISGLILSRKKLMARAVTFPQRSKSTGLKRYLGRMRSGKNQMDCSAIAPEIFPEIEKWRPSWRSFDYDELCAATDRFSSDNLIGKGGHAEVYKGQLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASLLHGAKGGLRWKARFNIALGIAEGLFYLHEGCHRHIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKCTHQVVFPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLVIWAKPLLELNNMKGLVDPSLDVGYDPEEMALTLAVASMCIHHSANLRPSMKSVVRFLKGDRESLELMGKPKPTKPPMFDSCDSEDYTRTSYLNDLDKHKQLALEQ >SECCE7Rv1G0476680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:209185655:209187919:1 gene:SECCE7Rv1G0476680 transcript:SECCE7Rv1G0476680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKGKRTIWRLSIIPDFFKAVVNFIRMFFLTMFSIEKTDSYKKGYGSGKKWDGGPGGGGSGGGPYGGGGGGGGGPRGPRTLSDLRSNDQSSLPACGSCCG >SECCE4Rv1G0290660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873559798:873560336:-1 gene:SECCE4Rv1G0290660 transcript:SECCE4Rv1G0290660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSPAAAEKEASKSPKKKTTTKSPKKKVAAKE >SECCE5Rv1G0329150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:512840178:512844205:1 gene:SECCE5Rv1G0329150 transcript:SECCE5Rv1G0329150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGLVAGSHNRNELVLIRGHEDHKPVRALSGQVCEICGDEVGRTVDGDLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVEGDEDEEDIDDLEHEFNIDDDKQQQHGALQNSHITEAMLHRKMSYGRASEDGGEGNTTPMVGIPPIITGNRSMPVSGEFPMSAGHGHADFSSSMHKRIHPYPMSEPGSAKWGDEKKEVSWKERMDDWKSKQGIYGAADPDDMDADVPLNDEARQPLSRKVSIASSKVNPYRMVIILRLFVLCVFLRYRILNPVPEAIPLWLTSIVCEIWFAVSWILDQFPKWYPIDRETYLDRLSLRYEREGEPSMLSPVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLSFESLSETAEFARKWVPFCKKFNIEPRAPEFYFSRKVDYLKDKVQPTFVQERRAMKREYEEFKVRINALVSKAQKVPDEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIRESMCFLMDPQVGRKVCYVQFPQRFDGIDAHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPSGPKRPKMVTCDCCPCFGRKKRKGGKDGLPEGVADGGMDGDKEQMMSQMNFEKRFGQSAAFVTSTFMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSIYCMPKLAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKGGNLKWLERFAYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPPISTFASLFFISLFISIFATGILELRWSGVSIEEWWRNEQFWVIGGVSAHLFAVIQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLVINIIGVVAGISDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVIIWSVLLASIFSLLWVRIDPFTVKAKGPDVKQCGINC >SECCE7Rv1G0459680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26749897:26750856:-1 gene:SECCE7Rv1G0459680 transcript:SECCE7Rv1G0459680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGLGAEQPTATTLFSLASARPLAGVALEEELKNKSVCPTPQGWVLAQHRDAAAATTYLLDPRSSQRIDLPHLAIQQGLIPYCSCLLAGDPAATPGCPVLVVEPIATSVWHCRVGDGEWARHDYDIGTLGDEHFVEKRVIAPIAACRGRFYFNAVPAETRVLELPRPGHGAPAFGRARVFMVGTEDGELYKVVLLHRGGAYDEARVLKMDFSESRWRPVEDLGGRAFFVAPMYFGASCAAGGGLVRPDCIYSLVGVAKNTFRIFSLKDGSSEVRRLEKEEQPAVPHETVGKSRPCWVLPTHPTLC >SECCE4Rv1G0261120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700292903:700294806:-1 gene:SECCE4Rv1G0261120 transcript:SECCE4Rv1G0261120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSKKAEDRAVADAAWGAGGGAARRSHGMGRAGVLLIVCLLTLPLMVFLFGGRAGALAVWQNAAKLTAMGGGLLNVSRLSAAGADDLFDGLLSPGSDRRACLSRYQSPHYYKHSPYAPSPHLLRKLRDYEARHSRCGPGTPAYAKSVDHLRSGGSSSTEDNECNYVVWIPYNGLGNRMLSLLSTFLYALLTDRVLLVHSTDDFTGLFCEPFPGATWLLPPDFPVADMSWLGVGSNQSYGNLLDGNKISNDPAKATARSVPPYVYLHLAHNLRRSDRLFYCNDDQLVLAKVNWLLVRSDFYFVPALYDMAEFQGELRRLFPAKESVAHLLGRYLFHPSNSVWGMITRYYHTYMAQAEERIGVQIRMFPWATIPVDDMYNQIMACSRQEHILPDVVDGDAAASRSSKSKAILIASLQADYYDRIRSTYYEHAAKGGGMVGVFQPSHEERQVMGQRTHNQKALAEIYLLSFSDVLLTTGASTFGYMSSSLAGLRPTMLMIPEDGKVPEPPCVRAVSMEPCCHMTPDVECRGKAVNKEELSRHVKECEDVGKGIKWIKGIKLFD >SECCE4Rv1G0285920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:847168129:847169479:1 gene:SECCE4Rv1G0285920 transcript:SECCE4Rv1G0285920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTDAPAHHFVPDKYVFPPEKRPALLPDGDSPSVAFPVIDIHPDALSDDRRRCSVAAEIIQAGKEFGFLQVVNHGVGEDVVQGFRAAAAGLFALPAEEKLPYCSYDMRKHFRLATGTAFHRNQTCYWRDYFRIRCYPITDEVTCHWPSMPPTFGTSLAEYSTAVHELSQTLLRLTAEGLGLDDGFFGGDISGGVTQMNVNYYPPCPDPTVTLGLFPHCDRHLLTVLSQGDVAGLQVKHDGRWLLVPAMPGALVINFGHQMEIITNGLLSSVEHRVVTNTTKARLSVATLITPKMECRIGPAPEMVDEVMNPAKYREFMFSEFMEAYAAADASRERVLESFKIHH >SECCE5Rv1G0375900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869998599:869999552:1 gene:SECCE5Rv1G0375900 transcript:SECCE5Rv1G0375900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQLALALLLFLVVASTSPLALANGDLDYGGGVKKSEAVGGYTAGAEKKPEVVSVNAEKKPEVVSASAETKPEVIAGYADAKKKPDIAPNNVDAEKKPVSASGYAGMEKKPKGATKVAEEKKSEVSSEKKSKRKSEPSQPSITEKKPKTKSEKKSKGKNDASGYTGAEKNPKEKVDTPKKEKPKKEEVRNIIPNTYAKPKEEPKKEEPKKEEIAASSRDAYTEPKKEEPKKEELVAAEPKKAESKKKEPKVPEVDTTANYAAPKKAQPETTATVASDSYTAPKNAQPETQSASTADTYTGPKTAHTAAASTTGGYA >SECCE7Rv1G0522130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876176059:876176790:1 gene:SECCE7Rv1G0522130 transcript:SECCE7Rv1G0522130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHGDIYYCTGCTDPSCSSISSLDSFPSDDLSSGEMVETRRGTPPPHPWNQFQAGCMDTAADPSCSSTSFSSLDSFPSDLSSGEMIVDTGIRTRPMKPAGSAAPSYIGVRARPWGRFAAEIRDSTRGGARVWLGTFHSAEAAAMAYDQAALSSRGEATALNFPLERVQDSLRELGATAMVGGSPVLALKRRHSKRKRRSRAEIANDAAADMKRKQKRFIVELEDLGAEYLDELLRIGCNTVY >SECCE2Rv1G0120220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:797632159:797632479:1 gene:SECCE2Rv1G0120220 transcript:SECCE2Rv1G0120220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFRGGLVVEGDDQVLVELLSGKEMQTRIPLAMQEEIMALLDHFSTYQLQHIYREGNQVAHVLCKEAYQRPGVWRSGIVPHAVWEKALEDMHGVAHERIWKKKVC >SECCE3Rv1G0208770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:934810455:934811165:1 gene:SECCE3Rv1G0208770 transcript:SECCE3Rv1G0208770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGDDDVKVLGTAASMFAIRVRMALHVKGVSYEYLEQDLFHKGELLLASNPVRKAVPVLIHVGRPVCESLAIVEYIDEVWAGAASLLPADPYDRAVARFWAAYIDDKAVPTWIGIMRAATEEDRAEMLAAALAAVAPLEDAFAQCSAGKPFFAGDSIGYLDLALGCNLFWIEALRHMFGVTVIDAGKTPRLAAWAERFVETEAAKKTAPPMENMLEEAGKLRAMWAAAAAPAAK >SECCE2Rv1G0142110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:938469621:938470316:-1 gene:SECCE2Rv1G0142110 transcript:SECCE2Rv1G0142110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETFVTDVAFEDDVITTTVTSSGDAVVAWLRRIRHAYRWVYHKLIVGLDVEWRPSFGPGYNRVALLQLCVGRRCLIFQLLHADYLPRSLESFLADRDFRFVGVGVQDDADRLRNDHGLVVNHTVDLRGLAADGMGRPWLRQAGLKDIVSSVMGANMEKPQRVRTGAWDAYRLSHEQIKYACIDAFVSFEVGRKLLTGDYSCSDSDSQEEEEEDGSYYSSDEYGSSEEDY >SECCE6Rv1G0447420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850559578:850561121:-1 gene:SECCE6Rv1G0447420 transcript:SECCE6Rv1G0447420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSCRNKFILYGLLFGSWLLSCLLHFQFLHIPIFCYTFKPSSSHRGAADGPTTGLPLSPQPAVVDHPSCDGRRVFMLHLPSRFNLLRGCLEGSPELEDACIVMSNAGLGPELAPLSGNHTNGVIPNGGWFNTNHHALEVMFHTRMWRYECLTDDPAAATAVYVPYYPGLELNRYACDTSATVRDAPSAEFLRWLSSRPRWATLGGRDHFLVASKTTWMFRRGGGDDSQGCAGNGFLNRPECLNMTVLTIESNIWERRDMAVPYPTYFHPSSSSQVAAWQARARGAHRPWLFAFAGGRRPNGTLALRDRVIDQCASSSRCGMLDCSHGLDLDGSIACQSPEKLMSLFLSSHFCLQPYGDSFMRRSSIDAVVAGCIPVFFHEASTFEKQYRWHEPDRGSDHFHRRYSVFIYHDDVLAGKIDIEEVLSRFTDEEVAAMREEVIKMIPRFVYTDPRVSFEGDMRDGFDIAIDEVMARMMRIENGEDLGW >SECCE4Rv1G0272380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:768734774:768738269:-1 gene:SECCE4Rv1G0272380 transcript:SECCE4Rv1G0272380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAATMAASSSAVSLDRSAPAHPRRLRMPAARPAHRGAVRLWGPRGAAARATSVAAPAAPAGAEEVVLQPIREISGAVQLPGSKSLSNRILLLSALSEGTTVVDNLLNSEDVHYMLEALEALGLSVEADKVAKRAVVVGCGGRFPVEKNAKEEVKLFLGNAGTAMRPLTAAVVAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTNCPPVRINGKGGLPGGKVKLSGSISSQYLSSLLMAAPLALEDVEIEIIDKLISVPYVEMTLKLMERFGVTAEHSDSWDRFYIKGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTVTVEGCGTTSLQGDVKFAEVLEMMGAKVTWTDTSVTVTGPPRQPFGRKHLKAVDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGATVEEGPDYCIITPPEKLNVTAIDTYDDHRMAMAFSLAACAEVPVTIRDPGCTRKTFPNYFDVLSTFVKN >SECCE2Rv1G0081160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:136752260:136757676:1 gene:SECCE2Rv1G0081160 transcript:SECCE2Rv1G0081160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMELSTAPENGTAAAAAACNGGAAPANGGVERRLRSSAASASWAAHLPLEVGTRVMCRWRDQKPHPVKVIERRKSAASSSPADYEYYVHYTEFNRRLDEWVKLEQLDLDTVEADVDEKVDDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGKYEIDTWYFSPFPPEYNDSAKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRCGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYILCECDDRGCHMVGYFSKEKHSEEAYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKSNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQG >SECCE5Rv1G0332880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:552834832:552837568:1 gene:SECCE5Rv1G0332880 transcript:SECCE5Rv1G0332880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEETKVKKQRNEECIINSLPGDLIERIFLRLPVSTLLRCVGVCKHWHNFIWDPQFVASHLQCAPRDALLFFPQESASGRPYPSDAILIDEAWSPSTYAVPVIGPDDFLFGSCNGLLGLYTKTSTIKIANLATGECLRLEKPAKNVKGDHFSFYSFGFHPVTKQYKITHFLGDCVEGRPHNKDRFGVIQVYTLGDERWKDIRTPEALSLITVRNSGVVNVDGKMYWLTEDMLASWQHAVISFDLREESFATIQLPAAREDHDRYGPRKFWIRDIDGKICIVTTQTSRYDPGALLGEMQIWTLDNTAEEQRWSQKYNIKYTPNYIPGPHFVHRDRILTQRCNNNVCSCELFGENFDVNQSKWVHLLDFSPRKPYNMQSYICVKSLVRLDAYKKAGIVRRPKQREGWELKKWETWKRMLGKDADMRSQIHKYEHDLLELAETSSKMCKFLQNKQYNIEEHVLTELNQVLQRKPDNPDQPLSIRRLNWVEQNREQQKLMARLSKIKHMIKVVKQAMDNISSVTMLDQGTTVADVST >SECCE3Rv1G0194380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:780752663:780755366:1 gene:SECCE3Rv1G0194380 transcript:SECCE3Rv1G0194380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRAIALQINTQTPPFPAAGAPSSSSLPSSLLHFLKRPASFPFLLSIFVLLTWISLHFHQPTPSASLQRPTVVHDPQANLVRYPAALHPTPIAADERGWLLDPVAAAREAGLPNGALVCLSLHVGLIQPGGLRGNHRHHTCNETFVIWGAKTKFRLENPDVKDKGYGEAIIAADEVAIVASARSTAHALINMDVRPTFFLGCQDTPINPNSSNTDYKVWEDL >SECCE6Rv1G0404170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:512268466:512268807:-1 gene:SECCE6Rv1G0404170 transcript:SECCE6Rv1G0404170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALPSFLRQSSKDNPHRISQSTSSSPPFFSGSGVLVRAFVRDHHLDQEEMEMKKIACAVLVAASATVALAADAPAPAPGTAVAGSAAAAVPAFGAVLGATVLSFFAYYLQ >SECCE1Rv1G0000810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2540636:2544188:1 gene:SECCE1Rv1G0000810 transcript:SECCE1Rv1G0000810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHMWTPLILLQLLLAAAATAATTATTPGCRPSCGGVDIPYPFGIGVGCFRKGFEIECINNSPVLAGTSLRVVNLTLDPDQSQVMLPMGWQCYNASDPGDTNSNWDYGETTMNKDGVYRISNTHNMLVVVGCNTFAYTASKRTEGGTANYAYYTGCMSYCNNSASAQDGLCDGVGCCRVNIPPGLTDNYFNFREFDHSGMMDYSPCDYAFLADRNYTFLRSHLKMDTKRTSSVWLDWAIRGNSSVSGDIPSCKQAANTDQYACVSPHSDCVDSINGPGYNCKCSNGYQGDAYLPNGCTNVDECADPAKYPCYGVCTDTQGSYECNCRLGYRSHDPRTERCTQKFPLAAQISIGVISGILVLALIIFIYVLRKERREARDFYRKNGGLTLKDSANIKIFKRGELKAILKSQNIIGKGAFGEVYKGDIDGALVAIKKPIGDNVHENKQFPNEVIIQSQVMHRNIVRLRGCCLEVDTPMLVYEFISNGSLEDFLHKDKSKLLNLDVRLSILQESAHGLAYMHSQTHATILHGDVKPANILLDEKFTPKISDFGISRLIAKDKEQTANIIGDMTYMDPVYLKTGRLTDRSDVYSFGVVILELISRKKATHSDNNSLVGSFQECHQRGETALKLFDPEIATTGNFEILDKLANIAMECLHLDVDQRPSMTDVAERLLILQRSRKL >SECCE2Rv1G0117830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:777446278:777449611:-1 gene:SECCE2Rv1G0117830 transcript:SECCE2Rv1G0117830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGQQQARRKKAQVLDGSDIRELVENKEAFAKFVENKFRHLDADGDGRLSLKELQPAVADIGAAIGLPAKGSSALADHIYSEVLNEFTHGKQDSVSKPEFQHVLSDILLGMAAGLKRDPIMILRMDGEDLTEFVESTAYEAEAVAIFSQIESGDVSLRRCLPAALRQLTVDHGMPPASDSLVVEKIIEPALHELPADQLDRPASQEVFFQEFKKYLGVIARRLQECPVIVAHTENTFDGAGIRKILSNKFEFDKLLDSVWADVPKEHKDRTSKKYLRVALDKLAASVNLPPYGAVDQVDAVVNEAFKMANADDGKAVDEAEFKKLLTEILGAVMLQLDGNAIAVSTNTVVHEPMSTSSTLLSPSPSPPTVSSPSE >SECCE4Rv1G0255630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:649083326:649083736:-1 gene:SECCE4Rv1G0255630 transcript:SECCE4Rv1G0255630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3.3 [Source:Projected from Arabidopsis thaliana (AT5G10980) UniProtKB/Swiss-Prot;Acc:P59169] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCEUnv1G0570220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:462655733:462656029:-1 gene:SECCEUnv1G0570220 transcript:SECCEUnv1G0570220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFRKRIGSEDWARGSRPRTRRLSADCSSCSRGESGSPRAGRGTDRESPLRGLSPSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE6Rv1G0401320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:464820246:464824387:1 gene:SECCE6Rv1G0401320 transcript:SECCE6Rv1G0401320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRRASKARKSTINYHRLPLNKHQLFDADAFVSKDRCANQSQSTQSGSRHTDRLFTTPQLVSALSGIWNLVGHPESSGTTDQRPVSEEILHKEDPVCFTSDREGHTLTSCTESSTGLNSKTCLSTPISTHEDLRLVKKMLMLRSRSNMIGASATWRHMHLTSKLGNMHYQNIYPMQTKKLGACATSSSMDIKEDDCFGSGDNCCSQTGDMPAEQCTSSSEEDNITYACENSLHDDRFNAEVSREYSNMSACSSEQVCLKEARIMLEKQISSTCEHIRPEGLTCTSCVVGDAIVNPPNVHQHTYREDISQQHFVDKRSSEFESSLGHRFHGAVGANKHAAAGAIAGTVVSISLHPVDTVKTIIQANSSGQSSFYHILRRTLVERGVLGLYGGLASKIACSAPISAIYTLTYEIVKGSLLPTLPKDYHSIAHCAAGGCSSIATSFIFTPSECIKQQMQVGSQYQNCWKALVGCLQRGGIASLYAGWGAVLCRNIPHSIVKFYAYESLKQFLLNASPANAKLNSGQTLICGGFAGSTAALFTNPFDVVKTRVQLQALSPVRKYEGVLQALTHIFEQEGLRGLYRGLSPRLLMYVSQGALFFTSYEFLKTIMFPEQELQANNV >SECCE5Rv1G0302930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:42942598:42951653:-1 gene:SECCE5Rv1G0302930 transcript:SECCE5Rv1G0302930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNITGILEKMTGKDKDYRYMATSDLLSELNKESFKVDQDLESKLTNIVLQQLEDASGDVSGLAVKCLAPLVKKVSEDRVVEMTDKLCDKLLNGKEQHRDIASIALKTIIVEVTTASLSEKILVSLAPQLTNGVTSGKSAEIKCECLDILGDVLHRFGNVIAKDHASMLTALLTQLSSTQASVRKKSVSCIASLAPCLSDNLLAKATSEVVQLLKNKRAKSEITRTNIQMIGALSRSVGYRFGPHLAEAVPLLISYCTSASENDEELREYSLQALESFMLRCPRDISPYCDGILNLALEYVSYDPNYTDSMEEDTDDEVQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPQMLSKMYQEACPKIIDRFREREENVKMDIFNTFIELLRQTGNVTKGQGDIDESSPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFAVLKELVVVLPDCLADHFGSLVPGIEKALNDKSSTSNLKIEALAFTRIVMASHSPSVFHSYIQVLSGPILSAIGDRYYKVTAEALRVCGELVRVLRPNFEAHSIDFRPYISPIYKAILGRLANQDQDQEVKECAISCMSLVIATFGDDLQRELPSCLPILVDRMGNEITRLTAVKAFAVIANSPLRIDLSCVLDHVVSELTAFLRKANRALRQATLGTLNSLVVTYGGQIGSSSYETIITELSTLISDIDLHMAALALELCCTIMVDRRSIKNVGLAVRHKVLPQALILIRSALLQGQALQALQKFFASLVQSANTSFETLLDSLISTAKPSQSGGLSKQALSSIAQCVAVLCLAAGDQKCASTVEMLKGILNDDSSTNSAKQHMALLCLGEIGRRKDLSNHVQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIARQSVDHTGQSELQDSNIVKILALLFNHCESEEEGVRNVVAECLGKIALIEPNKLIPALKERTCSPAANTRATVAIAIKYSIVERPGKIDAIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQLNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPLEKTIVHRPKGDAVKQEIDRNEDMIRSALRAIAALSRISGSDYSMKFKNLMHKITATPSLAEKYNSVRSE >SECCE3Rv1G0192570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:760703200:760703844:1 gene:SECCE3Rv1G0192570 transcript:SECCE3Rv1G0192570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLWNDKRERELLESLADLYAIIKATEKLERAYVRDLVSAADYEADCLKLISQFNSLSSSLAGVVTIPRFVQAYRLDCPAALNRLVQSGVPATIELRAATASSSAPAATAASASAIAQCVQSFITAMDAVKLNMLANDQVRPLLHDLSTSMGKLGTVLPPDFEGKVKVNEWLAKLHKMGAGDELTDQQARQLNFDLESAYSAFMASLPTAGL >SECCEUnv1G0571060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:492971333:492971710:-1 gene:SECCEUnv1G0571060 transcript:SECCEUnv1G0571060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKNQLIRHGREEKRRTDRTRASDQCPQKQGVCLRVSTRTPKKPNSALRKIAKVRLSNRHDIFAHIPGEGHNSQEHSIVLVRGGRVKDSPGVKSHRIRGVKDLLGIPDCRKGRSKYGAERPKSK >SECCEUnv1G0547650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:172263223:172265894:-1 gene:SECCEUnv1G0547650 transcript:SECCEUnv1G0547650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCGRGALRLVLIAVSAAFLTYNAVLSSRSLLPLPTASFPAATTASSRRLAAGAGSGARRRLFHTAVTASGSVYNTWQCRVMYHWFKEARRQAGGADMGGFTRILHSGKPDEFVHEIPTFVADPLPDGADQGYIVLNRPWAFVQWLQKADIPEEYILMAEPDHLIVKPIPNLSRDGWAAAFPFFYIEPKKYEKVLRKFFPENQGPITNIDPIGNSPVIIEKESLSRIAPTWMNISLAMKKDPEADKAFGWVLEMYAYAVSSALHGVGNILHKDFMIQPPWDLEIGDSFIIHYTYGCDYDMKGKLTYGKIGEWRFDKRSYESKPPPRNLPLPPNGVPQSVVTLVKMVNEATASIPNWESYAAE >SECCE7Rv1G0523520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882492211:882492816:-1 gene:SECCE7Rv1G0523520 transcript:SECCE7Rv1G0523520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLAATSKLSMAVVVAVFLLGSAACTAHGLRRVVSSSSDEPCNEMTLYYHDILYNGVNNTKNATSAAATKPTALTTTHWKNGTYFGTLVVFDDPMTVGKALPVAGEEPAARAQGFYFYDKQESYTSWFGFSIVFNSTAHKGTINLVGADLMDDKTRDLSVVGGTGDFFMARGIATLRLDASEGTVYFRLQMDIKLYECYV >SECCE6Rv1G0381340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:32217274:32219943:-1 gene:SECCE6Rv1G0381340 transcript:SECCE6Rv1G0381340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDNDNNAPPATSSDSMSSGKDNKGSKASSRLVLGGAIDKGKGVPKVEEEEEEGCRSKGKIATAPVVAARRDGRSGGRRGDRELHIITERERRRRMSEMFTKLHGLLPTLPDKVDKSSIVMEAIHYIKSLEGTLSELEKEKLERDFARGTPAAAANGGISSSAAAAMPMAMATPMTGLSGVGIWQSGAAPAPSMPLVGAVTAAPALVPLQTWSGPNVVLSLSGNNAYIHMSVARRPGVLTMVTAVLEKHGIDVVTSGISSDRSQCMFTIQARINRMSNQFGDNVAFDDIYKLAVSEIMVWLSE >SECCE7Rv1G0463590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:54932970:54933815:1 gene:SECCE7Rv1G0463590 transcript:SECCE7Rv1G0463590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVAGMADAPGAAPPPYVSPSAAFPIAIVIAIGFMVTSVILVSYYLLVVRCWLRASGASLLPRSRRDELVDRVSAVFFTDHDADQLPGGVDPDVVAALPVVRYRAAGKALECAVCLSEFAPGERLKMLPACSHAFHIDCIDTWLHHNVSCPLCRTEVTAAAGKACCDDHDALAARDRRIDTGPSGPGGSCRFPKQGVAVQEPIRRSMSMDFLPGDRGRKPRKEAELPSHADVAGSSSSVAATATAAGVGETSGRFRRLMSSFGLGRSSRSTALPIHLDP >SECCE2Rv1G0080090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:127004231:127006312:-1 gene:SECCE2Rv1G0080090 transcript:SECCE2Rv1G0080090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSDVRHGLKRRFRPPASPAKAPASPSAAPRRGSHADASPVSHASLLLRLRSGPAPAEARRLHAAVLVGGHHHGAVLSAQLVHVYSRLGQIEHALRLLDGMPRRNSFAWNAAIKGLVDAGRFSEALETYQAMVDDGSAAADGYTYPPVIKACAVLGAVEQGRMIREHVEAAVACGDATPNVFVQCALVDMFAKCGCLGEARSVFESMHGRDVAAWTAIIGGAVHAGDWLDAMCLFNRMRSEGFSADSVIIATVIPACGRVKELRAGTALHGCAVRCGVADDNCVSNALVDMYCKCGCLEMADYLFRSIDFKDVVSWSTLIAGYSQNGMYHASVTLFTEMVASRLKPNSNTMASILPSLSGLKLFRHGKEVHGFSLRHGLDQSKFLGSAFIDFYSRQGFIREAATVFELIPKKDLVIWNSVVAAYGVNGDTDSALGAFRALQKVGFKPDHVTVISVLPVCNHHSRLIQGKALHAYVVRHDMSSICSVSNALIDMYCKCCCLEKGKDIFQLMTERDTATYNTLISSLGKHGHEDQAIMLFDQMKRDGIDPDKVTFVALLSCCSHGGLTEKGLHFYNSMLQDYNISPDKEHYSCVVDLYSRSGKLDDAWKFISSLREGTEIDVLGCLLAACRVHNRMDIAELVAKRIFEKNPSDPGYHILLSNIYADAGMWSDVTKIRTIIEERSLKNKTGNSLS >SECCE3Rv1G0163770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:152078023:152078682:1 gene:SECCE3Rv1G0163770 transcript:SECCE3Rv1G0163770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGAGREEAETAMEVAAAAAAAAGRRGCIRSTRGPWTVRRQARGGAVKTSLRHPTPRERENNRQRERRRRHVATRIYAGLRANAGYALPKHADQNDVLRALCAEAGYLVDDEGNVTRCRERSSGAGTGGGASGSSDHLQPSSHSGATEATAGGIHQPMPEPEAEPKHKISLELTLSFTYM >SECCE7Rv1G0500950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:676824839:676825597:1 gene:SECCE7Rv1G0500950 transcript:SECCE7Rv1G0500950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSMAPRRLWHVVRAVLFMLRKGMSKRKLSMDLQLLLHRGKIAGKALSRLMSASGHHDQAASRSAAEAPPPASLSRRALDPALAAYGPRGAGREVEFSCSNTPSYPPIQLIPTKRRRRNNRRTHRGANGAEPGWYNYDAADIAKVFEVLNDEQLLKEVVGDDAAAPLAVVATPSPALWSSFGRSPAPVRQLRITDSPFPLRDDAADLEGGLVDQEADEFIKKFYDQLRRQQNLAAATPEYGYAGPAAGVAY >SECCE6Rv1G0415720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:643715354:643716490:-1 gene:SECCE6Rv1G0415720 transcript:SECCE6Rv1G0415720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVGKSLARDGPGSVKLLPEADDDLWDAYNLIAAGDAVEAVTVRKITRSGGRDSERIKLTLEVAVESTDYDKDGSVLRVRGKNLTKNEHVQIGQYHTLEIELRRPFVLRKDAWDWPALDTIRKSCDETAANADLAVLLMQEGLAHLFLVGRSVTATRARVEVPIPRKHGAGAVAAYDTALKDFFQRVLAAFVQHVDFDLVQCVVIASPGFTKDQFRDHMLLEAARRGELRAITEHKARIVLAPAPSGYPHSLKDVLAASSVMSLIKDTRAALEVPALQEFYAMIAKDSARACYGPKHVEVAHERLAIQTLLLTDTWFRNSDVAARRKCVDLAESVKKIGGKVCVFSSMHVSGNQLEQLTGIAAVLRFPMPDLDDIEM >SECCE3Rv1G0166570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:188209411:188214244:-1 gene:SECCE3Rv1G0166570 transcript:SECCE3Rv1G0166570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSNSNVQKAEELKLRANDAFKANKFSQAVELYDQAIDLNGSNAVYWANRAFAHTKLEEYGSAVQDATKAIEIDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKRICPNDPDATRKLKECEKAVQKIRFEEAISVGDTERRSIADSLDYHIIEVEPQYTGARIDGDTITLDFIKQMLEDFKKQRCIHKRYALQIVLQARDLLRAVPSLVDVNVPNGCHFTVCGDVHGQYFDLLNIFELNGLPSEDNPYLFNGDFVDRGSFSLEVILTLFAFKCLYPTGMYLARGNHESKSMNKIYGFEGEVKSKLSDTFVELFAEVFCCLPLAHVINKKVFVVHGGLFSVDGVKLSDIKAIDRFCEPPEEGLMCEILWSDPQPQPGRGPSKRGVGLSFGGDVTKRFLEDNNLDLVVRSHEVKDEGYETMHDGKLITVFSAPNYCDQMGNKGAFIRFSAPDLKPDIVSFSAVPHPDVKPMAYANNFLRMFQ >SECCE5Rv1G0334460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565965085:565967962:-1 gene:SECCE5Rv1G0334460 transcript:SECCE5Rv1G0334460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSDGPDVPAGGEIWGTLEELLLAFAVCRHGTASWESVATEVQARSPLAARPRLTPGSCRLRFHQLHRRFSAGGGAEEEEQEEGEVGPEAEASAADGWLDELRRLRVAELRREVERCDLSIGTLQSKVELMKVERERSLSSGEAKPEGVTGDENVSSEEPGRSCRESNSTDLKRPGAAAKAEEAAKEELSGESKESAVSLQCRRRKASADEEADEPLAALLDRVAARFGPVFDQLQESQESESYRGTIRRHVDLEAMRRKLDGAAGTGYASSAELYRDLLLLCANAAVYLPRHAPDHAAAALNALRLVSAQVSASLREPPTTQQPAKREPASGANPAAAPAAGLESRRAEADVVGPLIQKAAKPLIFCRKRSSVAKAAAAAAVARKEEAAEKRDVEEEAGSDGEKKAALAAAAKGKAWGAGTKKTRGPGKNSALSRKKAAEAAAEEAAAAAAAEKKDNGDSDGAAAGGLPKKRIAVDFLKRLNQGKSSPPKKRGSPLTKRKRSAPAPEEEEEEQQTKARRGPGRKNTGRGGSKAGAKASAPKKSVGRPQKRGAAPATPPPPSKRAKVNRSERSSSSSRRGGRK >SECCE5Rv1G0297620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:4281463:4281702:1 gene:SECCE5Rv1G0297620 transcript:SECCE5Rv1G0297620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGDAHARGSAEADASLRRRRTTSGAAAGGGGGASTMLQFYTNEAAGRKMSPNAVLIMSIGFVAVVDVLHVFGKLYR >SECCEUnv1G0560210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:345525845:345526117:1 gene:SECCEUnv1G0560210 transcript:SECCEUnv1G0560210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGRKIFGFMVKEEKEENRGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRRRLLAYLAKKNRVRYKKLIGQLNIREQ >SECCE2Rv1G0101200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:557001317:557004508:1 gene:SECCE2Rv1G0101200 transcript:SECCE2Rv1G0101200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKAVRAGAAAAVVPDIATQLDLASACINVAESMMAAPAAAGKPPLVVVGHRGKGMNALASPDERLREVKENSVRSFNDAARVAGVGYVEFDVQVTKDGCPIVFHDNFIFTEQDGKISGKRVTDLPLDEFLSYGPQKDQDKVGRPLLRKLKDGRILRWDVRSDEPLCTLREAFEGVDTRVGFNVELKFDDDLVYQEEELAVVLQAILKVVFEHAKDRPVIFSSFQPDAAQLMRRLQDQYPVYFLTVGGTQIHADARRNSLEEAVRLCRAGGLQGIVSEARAVFRHPSAVARVKESDLSLLTYGQLNNVPEAVYMQHLMGVDGVIVDLVQEIAEAVSEFAAVAAPEHSSEEGQAGSLGSDRAAPAKRTPNFSQREISFLLRLIPELVQ >SECCE4Rv1G0222520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:60152699:60154579:1 gene:SECCE4Rv1G0222520 transcript:SECCE4Rv1G0222520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEANRMAVESCHRVLGLLTQTQDPAQLRSIALGTDEACAKFRKVVSLLGNQGGTHPRAKLVSRRQTPGFLSQQKSFLDNNTPVVVLNSAHPSTSSAQVYPRNGILDSQTPNPIGGPPKLVQPLSAHFQFGDSSRYNQFQHQHQQQKMRAEMFKRSNSGINLKFDSPSGTGTMSSARSFMSSLSMDGSVASLDAKSSSFHLIGGPAMSDPVNAQQAPRRRCSGRGEDGNGKCAATGRCHCSKRSRKLRVKRTIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPTMLIVTYEGEHNHTRMPTQSAQA >SECCE5Rv1G0297690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:4858243:4859382:-1 gene:SECCE5Rv1G0297690 transcript:SECCE5Rv1G0297690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPADWSGLHQDTLSRIFLSLGCIGDRVRFSAVNQHWRGVALQNPPPLPWLLTPSTAGTSCYRIFGGFADPQPPLAGAVCGARFCGSSPGGWFVVLLHRWHGHTLLNLRSGERVPLPDHVRVILSNGRTPPNSNFLRCPIMIRATAMSVPPPSAACVVAALTTGQTTMAFWRPGMDCWSPAPRGAPCDAQDLTYHDGCFWAVDPWEQLFCYRPEIAGADGALTVQHLVYECCADQMTLAAPGEMVSRYLLPAASSEDLLMVKRFVDPARGGTRRFEVFRLDKQLGRTSWRLYKMEGQVLFVGRSCSKAFDTGRSGNSGYIYFLDDVYGGRPMSVLQQNEYPCTDMGGWSCSPDDEEIKRCLPWAHPSDSSPSIWYLH >SECCE4Rv1G0226150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:104889412:104890525:1 gene:SECCE4Rv1G0226150 transcript:SECCE4Rv1G0226150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHELLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGMDPHTHRPLNAIAAAAMPAQQQQLRAAHEQRHFAVATPGGHHLQPQQQDPFAALSSSAEPACSHSSDDEPAGSATPPPPSRRHLGIDLNLSISLAPYQPDDPAVKQEAATTASHDNATTSTSAACMCLNHLGFQGAGEGCRCGGASSVPMQQQASAQHMFRFIAPLEGGGQ >SECCE5Rv1G0347320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666407169:666411904:-1 gene:SECCE5Rv1G0347320 transcript:SECCE5Rv1G0347320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRTVAILVLTVAAVCLPCISAEDQLAVGDKLLAGDKLVSDSGAFALGFFSPDGSAAAPATGSYLGIWYHSIPGPRTVVWVANRDAPAAGAPTLALANDSSLVLSDADGRVLWNTTGVGRSPSQAAPAELGNDGNLLIQLPDGTVVWQSFDHPTDTFLPRMEVRLNHTGEAGWLVSWKSPRDPAPGRFSYGLDPSTSLQLLMWNGTRPYWRNPVWTGHAVSTSYISAGTVNTAVVATEEEVAITFTVPVGAAPTRYVVTSSGSFRLLSWNGTTSAWDTLQSWPSSVCTTYRHCGAYGYCDVTAAPVACRCLDGFEPASAAEWSAGRFEQGCRRKQALPPACGSGGEGFVRLPSMTVPDKFTLDAGNRSAEECAARCAANCSCVAYAYARELGRCLVWAGELVDAQMIGAPGETLYLRLRVPAAATSTARTVVKIALPVLAAAVLLSCIVFVWFRRFRGKGTNFTESQNKLVPRTGTANTSSEIGEVDHTGDLEFPSIRFADIVAATGNFSKTFMIGRGGFGKVYKATLEGGQVVAVKRLSKDSDQGAEEFKNEATLIAKLQHRNLVRLLGCCTEGTEKLLIYEYLPNKGLDAILFDSARKSVLDWPTRLGIIKGVARGLLYLHQDSRLTVIHRDLKASNVLLDAEMRPKIADFGMAKIFGDNQVKANSKRVVGTYGYIAPEYSTEGVFSVKSDVYSFGVLLLEIVSGIRISTSNGIMEFPSLIVYAWHLWREGKADKLVDTSMAESCSQDEALLCIHVGLLCVEDDPSRRPLMSSVVSILENGSASVVASAFLPAPNQPGYLGMMEEKIQRTNLENSRNTIAMTVLQGR >SECCE1Rv1G0047600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:626738728:626743044:-1 gene:SECCE1Rv1G0047600 transcript:SECCE1Rv1G0047600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLTRSIAATLSGEPDPDSDASESEASSAGRPAAEGSPTRDPEDEEPEQPITPSRGVKDDISELTETLTRRLWGVASFLAPPPPPPEPESPRAAPAEGDGEGGDVDGDGIAGIRSDLAEIGGRVRSGISMLQSNLAVAEISKIASSLLPFGEEEEPDEGEPVAGGTEEVLVFVKHISTRPETWLDFPLFVSERYADDFELSNAQYVHALSMEHLVPSLSDLKVQICSTDMSEACFWKIYFVLLHSKLNKQDAELLSTPQILEAREELLQSLQTKNKQGSETTGEPSENANESAAPAEEKVIEPSIIQDKEVSISEVRSFEEPTSDITPEIEAEKFPVSTTEVEIIDKSVIEEELLSVKDKIKAPLIESRPHADIDEDEVDEWPDDDSAEEPEAVAAASNRASLGQEEDVSFSDLEDDDDDDGNKGSGK >SECCE7Rv1G0522080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876002956:876007340:-1 gene:SECCE7Rv1G0522080 transcript:SECCE7Rv1G0522080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIASRLLRRSSPTLGLLRSYTHVRNYSSQLSGLIPVGPQSSKLTRRNNYFPNASPYQLWSRSFASDSGDKFEAVVPFMGESVTDGTLANFLKKPGDRVEADEAIAQIETDKVTIDVSSPEAGVIEKFIASEGDTVTPGTIVAIVSKSAAPAETHVAPSEEAPQKESPPAKAEEKSPKAEEKPTKVEPPKKQAPKPTPSKTSPTEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVKKHGVKLGLMSCFVKAAVSGLQNQPIVNAVIDGDDIIYRDYVDVSVAVGTSKGLVVPVIRDADTMNFADIEKGINNLAKKATEGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVDGSILARPMMYLALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDI >SECCE4Rv1G0220280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:37302124:37306250:-1 gene:SECCE4Rv1G0220280 transcript:SECCE4Rv1G0220280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome A, Photorecepto [Source: Projected from Oryza sativa (Os03g0719800)] MSSSMPASSSSSRNRQSNQERVLAQTTLDAQLNAEFEESSDSFDYSKLVEAQRDTPTVLQEGRSEKVIAYLQHIQRGKMIQSFGCLLALDEKSFNVIAFSENAPEMLTTVSHAVPSVDDPPRLDIGTNVRSLFTDQGATALHKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVNPTEFPATAAGALQSYKLAAKAISKIQALPGGSMELLCNTVVKEVFELTGYDRVMAYKFHEDNHGEVFAEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDVRARSIKVIEDEALPFDISLCGSALRAAHSCHLQYMENMNSIASLVMAVVVNENEEDDEVGSEQPAQQQKKKILWGLVVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFEVQKQLREKSILRMQTILSDMLFKEASPLTIVSGAPNIMDLIKCDGAALLYGDKIWRLGNAPTESQIRDLALWLSEVHMDSTGLSTESLHDAGYPGASALGNTVCGMAVAKINSKDILFWFRSHTAEEIRWGGAKNDPSDMDDSRRMHPRLSFKAFLEVVKMKSLAWTDHEMDAIHSLQLILRGAVDGVAKSTGKAGLDEQIGDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLVNGWNQKAAELTGLRVDDAIGRHILTLVEESSVSVVQRMLYLALQGKEEKEVRFEVKTHGAKRDDGPVILVVNACASRDLHDDVVGVCFVAQDMTVHKLVMDKFTRVEGDYMAIIHNPNPLIPPIFGADEFGWCCEWNAAMTKLTGWRREEVLDKMLLGEVFDSSNASCLLKNKDAFVSLCVVINSALAGEETEKAPFGFFDRSGKYTECLLSVNRRENEGGLITGVFCFIHIPSHELQQALQVQQAAEQTSLKRLKAFSYMRHAINNPLSGMLYSRKALKNTDLNEEQMRQIHVSDNCHHQLNKILADLDQDNIMEKSSCLDLEMAEFVLQDVVVAAVSQVLIACQAKGIRVSCNLPERFMKQLVYGDGVRLQQILSDFLSISVKFSPVGGSIEISAKATKNSIGENLHLIDLELRIKHQGLGVPAELMAQMFEEDNTEQSEEGLGLLVSRNLLRLMNGDVRHLREAGVSVFILTAELASAPTAMGH >SECCE4Rv1G0280290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:819242911:819274595:-1 gene:SECCE4Rv1G0280290 transcript:SECCE4Rv1G0280290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMRRRPSRGGGGPGAAAGNWERLVRAALKRDRAGGAGASAAAAHAGAGAGLASAVPPSLGRTTNIEQILQAADDIEDDDPNVARILCEQAYSMAQNLDPSSDGRGVLQFKTGLASVIKQKLAKKDGGSIDRQNDIEVLWNFYLEYKKRRRVDDMQREQEIMRESGTFSTEMGARAMEMKKIYATLRALLDVLEILVGQTPSDRLGRQILEEIKKIKRSDAALRGELMPYNIIPLDASSVGNVVGFFPEVRAAIAAIQNCEDLPRFPYDTPQLRQKDIFDLLQYVFGFQDDNVRNQRENVALTLANAQSRLSLPNETEPKIDEKAVTEVFCKVLDNYIKWCRFLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANVRFLPECLCYIFHNMAKELDGILDSSEAEPAKSCVTNDGSTSYLEKIITPIYLTMSAEANSNNDGKAAHSAWRNYDDFNEYFWSRSCFELSWPPAENSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWIFLILMFQCLTIIAFHHGKINISTIKVVLSAGPAFFILNFIECCLDVLLMFGAYKTARGFAISRLVIRFVWLAAVSTFVTYLYVKVLDEKNSRNNDSTYFRIYVLVLGGYAAVRLVFASLAKIPACHRLSNYSDRSQFFQFFKWIYQERYYIGRGLYESIGNYARYVIFWLVIFACKFTFAYYLQIRPLVVPTNIIVQLHDLQYSWHDFVSKGNRNALTILSLWAPVVAIYLMDIHIWYTLLSALVGGVMGARSRLGEIRSIEMLHKRFESFPEAFAKTLSPQRISSRPVPQDSEATKMYASIFSPFWNEIIKSLREEDYISNREMDLLMMPSNCGNLMLVQWPLFLLTSKIMLANDYASDSKDSQKELWHRISKDEYMAYAVKECYYSAERILNSIVDGEGKLWVERLFQNLNDSIGQDSLLVTINLKKLQLVQSRLTGLTGLLIRDETADRKAGVTKALRELYEVVTHEFLAPNLREQFDTWQLLLRARNDGRLFSNILWPNDLEMKEQVKRLHLLLTVKDSAANIPKNLEAQRRLQFFTNSLFMDMPEAKPVSEMIPFCVFTPYYSETVLYSMSELCVDNEDGISILFYLQKIFPDEWANFLERIGRGESSEEDFKESPSDTLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGYSALEYIDTQGYQLSPDARAQADLKFTYVVSCQIYGQQKQRKAPEAADIALLMQRNEALRIAFIHEEDGVSSDGQAIKEYHSKLVKADIHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKVRNLLEEFRGNHGIRYPTILGVREHVFTGSVSSLASFMSKQETSFVTLGQRVLAFLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFYTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDHSISRQARFLGNTALDAALNAQFLVQIGIFTAVPMIMGFILELGLMKAIFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFADNYRLYSRSHFVKALEVALLLIVYIAYGYTKGGSSSFILLTISSWFMVISWLFAPYIFNPSGFEWQKTVEDFDDWTNWLFYKGGVGVKGENSWESWWDEEQAHIQTFRGRILETILSLRFLLFQYGIVYKLKITGHNTSLAIYGFSWIVLLVMVLLFKLFTATPRKSTALPTFVRFLQGLLAIGIIAAIACLIGITDFTIADLFASALAFLATGWCILCLAITWKRVVKTLGLWDSVREISRMYDAGMGAVIFAPIVFFSWFPFVSTFQSRILFNQAFSRGLEISLILAGNKANQES >SECCE4Rv1G0276320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797708949:797716726:1 gene:SECCE4Rv1G0276320 transcript:SECCE4Rv1G0276320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEKARLVDIMSLLLLRRRSYTLVNSRDQDTVLDNTPGDLLVALTQLIQRALAAAYYPAKWFGAVVEFLLNFVAANGGLFGIIGSIMRCNLVIPLDREAPNFRTLIALVDGRTELKPSPQATASNIRQLWVKNGECTQGGTFEVTVMAAKIAYENAAYIENIVANVWGFNFVGFYSCWNKFLEVSATQAFVMTDRGKDAGVVMVAFRGTQVFSTQDWSTDVNLAWLHLGAMGHVHAGFLKALGLQEEDGEDAARAFPREAPAVVPAGKVVAYYELRRVLREQLAEHPRARVVVTGHSLGGALAVLFPAVLALHGEWDIIGRLGAVHTYGQPRIGDDAFIDFFQAKVAAAYLRVVYRYDIVPRVPFHAPPVAGYSHGGTCVYYDGWYDGRVLSREEVPNPNYIDPRHAPSMYRDALGDLLRVMFLWVKAGGEYREGAVSLLYRAVIGMLVPGVASHSPRDYINAVRLGRIRSAAG >SECCE6Rv1G0377940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:4069651:4073817:1 gene:SECCE6Rv1G0377940 transcript:SECCE6Rv1G0377940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDPSTPPKASKPPEQEQPPATTSGTTAPVYPEWPGFQGYPAMPPHGFFPPPVAAGQAHPYMWGAQHMVPPYGTPPPPYMMYPPGTVYAHPTTPGVHPFHYPMQTNGNLEPAGAAPGAAETNGKNEPGKTSGPSANGVTSNSESGSDSESEGSDANSQNDSHSKENDVNENGSAQNGVSHSSSHGTFNKPMPLVPVQSGAVIGGVAGPATNLNIGMDYWGATGSSPVPAMRGKVPSGSARGEQWDERELKKQKRKLSNRESARRSRLRKQAECEELGQRAEALKSENSSLRIELDRIKREYEELLSKNTSLKAKLGGDSVPDMNERGDANGGSHQKEP >SECCEUnv1G0537600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69436636:69438420:1 gene:SECCEUnv1G0537600 transcript:SECCEUnv1G0537600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQVHITLGDQTGTAMIVSWVTPSELGNGTVRYGCSPDKMEMSAQATHTRYHYFNYTSGFIHHCTLNNLKHGVKYYYAMGFGHTVRTFSFTTPPKPGPDVPFKFGLIGDLGQTFDSNITLSHYEANGGDTVLFVGDLSYADYYPLNDNRRWDSWARFVERSVAYQPWLWTVCNHELDYDPEIGETTPFKPFTHRYPTPYRAAGSTDPLWYSVKIASAHLIVLSSYSAYSKYTPQWKWLADELRRIDRAATPWLIVLMHSPWYNSNKYHYMEGETMRVQFESWIVGAKVDLVLAGHVHAYERSHRFSNIAYNIVNGNATPVRDMNAPVYITIGDGGNIEGLAGNFMEPQPAYSAFRERSFGHATLEIKNRTHAYYAWHRNQDGAKAVTDSVWLTNRYYLPTDDSK >SECCE5Rv1G0346030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:659957084:659958349:-1 gene:SECCE5Rv1G0346030 transcript:SECCE5Rv1G0346030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRGPPSPAPVATTTAITAIGDDLLCEIFLRLPSLPSLVRAALACRAFLHAVRSSPSFRRRFRAVHPPQILVFFNAGIHSLIPLDGRSDHDLAAAVHGSDFRFIRLPKGRDDSRWNILNGCRCRCGYVFLCNENTDQIAAYNPLKRALYIFPYPPQETCDDPHCPDFSIIFSEDDQRSFRVVSVQPKLARFSVFSSDSRDWQSISWVDTSTLQRVDNGGDNSVLPSYTDTVMMDKFDRLAYWKDKNTGYIVVLNTATLQLSRMDLPHPLKDMDSTQFELGRTNAGKLCMVCVDGFGAENTMISVWIWRADGDGVDKWMQHKVFPLNKFIDVTMCSAEYGVITVQVAVVIDGFLFLCIDFGRLTKFFMSFCLETENANEFFNHTFHNDIQPYIMAWPPTLLSNKVSTCLVHVACTWVTWE >SECCE7Rv1G0516080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:842906855:842907706:-1 gene:SECCE7Rv1G0516080 transcript:SECCE7Rv1G0516080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPPITDLDGVDGAYHNDGSIAGYRYGNSDKLISPPDYIARWFYQKVALSCGPSPSHAGGDCIAMAIYGNNQISFAIATENRWRHATTTPGETGKDSYADCVHHNGRFYTVTMHGVVDAWDLDKRPPHQPSKQAIIAGGDGRHRRILTRFLVSTPWGGLLQIRTQRRADHPRSRRVIVEVFEVDVEERRLVRLSPATAFREHAVFVGLSESVCLRARECPGLRPNHVYFATPWLTQEANFGLQGWKGVVIYDLESQTFEQVLPASKHSAFCPQQVWFIPNI >SECCE7Rv1G0478110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:232860907:232861467:-1 gene:SECCE7Rv1G0478110 transcript:SECCE7Rv1G0478110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGKRSRQQAESTSVSLLDLDSGDMARILLLFSGHHQHHAHYGVAGPSSPERVFECKTCNRRFPSFQALGGHRASHKKPRLADGAGAEPPKPKVHGCSICGLEFAVGQALGGHMRRHRAVAAAGAGVGLGLSLGLGHGPNEDGNKKAAAAELTLDLNEPALEEEPADRAMLGLAVGFPVVVDFRR >SECCE7Rv1G0501250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:681113793:681114520:-1 gene:SECCE7Rv1G0501250 transcript:SECCE7Rv1G0501250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFVMGLKSDFENIHTQLLGRTTPPTLTEALASLIAEETRLRSLGTTSAQTLHTSVLAFPQRSGASKATPSVVVCSFCKKAGHHRDGCFKLHPELLAEFQARRALNQQCRAPQAPYQQQARGASASALSQPAVAATQPWILESRASFHVTSDHSQLVSCQPVRDGASVQTADGPSEQEGHWNWPSP >SECCE1Rv1G0004280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:17160025:17161500:1 gene:SECCE1Rv1G0004280 transcript:SECCE1Rv1G0004280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAPATPLDIDEIPFADLLLLLLSPEAASAAGDDDDADGRRRRLLATVWAALGPGGTGLLAVSGVPRAAALRRRLLPLARRLALMDHPSRANLLKKHGLGSDVPLKKPDRSVSSFAQLLRQHDPGKLHSPESMSETAGDMEVLAGVDGFGENKDVDIENLGELFKELGMCMMELGILVARACDIVIGGNQLEQSITEFGSAKARLIHYHSELDNRIIKERSSTRRRSLANNAAAVAARSVSDHIDTLCCQLSGSEDGSCIKSAEENSLISLVNLWQEWHYDYGVLTVLTAPLFLRSALGQECPVSEECPLPDGHSHLQLFSRRRIFSVRCSQESLIVQIGEAAGILSGGKLRSTLHAVSRPLGLPNISRETFVVFLQPSWGKTLPFSGHSSADEDDSSDHTESAFRDDGSAGSCSEHTLMQEILKKIPPLSSRLKEGMTFAEFSRQTTKRYYGGGGIQQNS >SECCE2Rv1G0069120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:27191530:27193423:1 gene:SECCE2Rv1G0069120 transcript:SECCE2Rv1G0069120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKIHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYSCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSSNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTAQVIIMNHPGQISNGYAPVLDCHTSHIAVKFAEIQTKIDRRSGKELEAAPKFLKNGDAGFVKMIPTKPMVVETFAQYPPLGRFAVRDMRQTVAVGVIKSVEKKEPTGAKVTKAAIKKK >SECCE7Rv1G0518110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857286163:857286674:-1 gene:SECCE7Rv1G0518110 transcript:SECCE7Rv1G0518110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKAKGSTSIKALLVEDIGVCRLVLSTILLRLHCEVTLAMNGKEAVDLFLEGKKFDIVLFDKDMPIMTGPEAIVKIRAMGETSVKMVGVSADDHAMEAFMSAGADLFVPKPMRMEALGHIIQEVINKKKNGMV >SECCE1Rv1G0042760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:583946794:583947246:-1 gene:SECCE1Rv1G0042760 transcript:SECCE1Rv1G0042760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVEFVGDGDDVGAVLARYSLDVSAGCGGRRSTLLDEYERLAFEAQLKSAILRRCYSEPSPARFVHPADGATPAPALAGTDGDVRPEDPAAGRRSWRLHEAVARWLESLKPVLCWLWSVLERRRWKEHDAPRGPPATLPRVQLLDYLC >SECCEUnv1G0547910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:177766745:177769587:1 gene:SECCEUnv1G0547910 transcript:SECCEUnv1G0547910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLAEMAKEEVAMLIGVPDGIKDLSIKLGDLKNFLADADRRNITDESVRGWVGELKRAMYLATDIVDLCQLKAMEQGQTKDRGCLNPLLFCMRNPLHVHDIGTRIKLLNQNLDDICKRGNSLKFIKLESYEDKNTTRSLATNRKTDSLIERSGVVGEKIEEDTRALVEVLTREAASDMSERFMVVAIVGVGGIGKTTLSKKVFNDDSIKGKFTKKIWLSITQDFNDVELLNKAIIAVGGDLPAAGGARDRDLLVGALNNAIRDKKFFLVLDDMWGVDAWDKLLMAPFSYGGPGSRVLITTRHDTVARSMKAFHPYHHVDKLAPQDAWSLLRKQVLMSEENEPEVDMLEDIGLQIIAKCDGLPLAIKVMGGLLCKKEKTRRDWQDVLNDDIWPVSQMSKELNYPIYLSYQDLSPYIKQCFLHFSLKPKKTLINDSEIVSMLVGEGLVEGDTYSRSLEEGNKYYKELIVRNLIEVDTEYPSQLICNMHDVIRSFTQFVARDETLVGHNGDTIKTNLRSPNYLRLSIETKGVGSNEFEWRYLREQKLLRSLILTGNLKSQPGDSLTNFPSLRLLHIESANIAALVESMYQLKHLRYLALKRTDMCRLPENIHEMKFLQHISLDGCGSFLKLPDSIIKLQGLRYLDIDDTRVSTILSGF >SECCE7Rv1G0478460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:237578734:237579579:-1 gene:SECCE7Rv1G0478460 transcript:SECCE7Rv1G0478460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRAHGGAAMVRASSAASAHSFAEEEYIDLDLSSHGEYEFRICRSKPAAPCPDELVRRARQHKVAPRPGGKLLDADAGSTGGCGRRGTATVAPLQHSSHAPPHAEGSGRRRKPGRAMQARLQASRAFFRSLFARTWCSDERCRGGRSGSRAAHDRDKGVGVSGMPAFGQINRSYVSGSAAPTTLRSSIEREKLMEEEEHVRLRKSFSGVIKWRPVASASAARRNSCGSAPALKRSSSCRSESEGLIQGAIAYCKRSQQQRVLLARKSVSDAALCSFRAPS >SECCE1Rv1G0040580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:562160360:562167073:-1 gene:SECCE1Rv1G0040580 transcript:SECCE1Rv1G0040580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTGNPGMLYHELQVRQLCGLHALNAALQGPFFSESDLLEIAADLDAREREVMSRAGGVGAGDFLAEGKGSHNVSNNGDFSVEVLKRALEVWDLQFVSMYSQAAAGSRSNPELETAFICHLQNHWFCIRNVDGEWYNFNSLYPAPEHLSQFLLSAYLDSMRGPGSSMYVVRGIFPRDCPSDNNGFGRWLRPEEARVITHSRFKAQQKQKNNTAIVMASRRYLTVGVAAGLRGLEVPGTKTEVPRQQPYEEKGMSMMQEENDDDLKAAIAISLMPFEAPATSSQAAQEERNLKNAVSKDTTMEEPGSSNSEGPAEEG >SECCE3Rv1G0149230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:23320167:23322728:-1 gene:SECCE3Rv1G0149230 transcript:SECCE3Rv1G0149230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGVVILLLLLAPKPFLAAADFCDNVKAAGAALSQNASSSPVQFATATFGRAPDVVYALALCLADAVVGSACGDCISNWFAQVNQTQCNKVGANYGGCIVVYGASADILAAPSNATGGYGDNTPPFQDWNIRNVTTGDVPRIVNLTRELLAKTAAMAAATTPKLYATGVMDMETVTTYPNVYSLAQCTPDLSADDCSACLRRLLGMVNSTMALRMGGRMGVTRCFFRYEASPFYGTQPMLSLPSSSPPGSVPTPTKRRSMLWVIPVAVVPLTAAAFLFFICYCRRLKRQRKVSRGAHNLEWQGKNSDFSLFEFEQLLEATSNFSEESKLGQGGFGAVYKGQLTDGSEIAVKRLASHSGQGFIEFKNEVQLIAKLQHTNLVRLLGCCSQEEEKILVYEYLPNKSLDFFIFDENKRALLDWTKLLAIIEGVAQGLLYLHKHSRLLVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNDTEEDITRRVVGTYGYMAPEYASKGIFSIKSDVFSFGVIIFEILSGKRNSGTQQRGGFINLLGYAWQLWEEGKWIDLVDASLVFDSHSKIRRCINIALLCVQENAVDRPTMVDIVSMLSNETMILAEPKQPAYINVRVGNEETSTAPESYSINDVSISITSPR >SECCE6Rv1G0378290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:7168276:7173658:1 gene:SECCE6Rv1G0378290 transcript:SECCE6Rv1G0378290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLRCGDCGVQLRSVEEAQAHAEATSHTNFAESTEAVLNLVCADCGKPCRSQTEVDLHKKRTGHAEFTDKTMEAAKPIDLEAPPKPASEAMDVDASGSAEPQELVSPEVNKEMLGELEAMGFSTARATRALHFSGNSTIEGAINWLSEHQEDPDIDEMPMVPANTEANKPSLTAEEKKIKAQELRERARKKKEEEERRMEREREKERIRIGKELLEAKKMEELNERKRIIELRRLEKEEEKRAREKIRQKLEEDKAERRRKLGLPEAPAASKPSTPPPVEEKKSAFLPVRPATKAERMRDCLRNIKQQNKEEDAKVKRAFQTLLTYIGNVVKNPDEEKFRKIRLTNATFQERVGSLGGIEFLELCGFEKPEGEEILFLARDKVDKAVLNVAGSELNSAITNPFFGVL >SECCE7Rv1G0496340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:611511596:611512034:-1 gene:SECCE7Rv1G0496340 transcript:SECCE7Rv1G0496340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNYQRRSQNEDEFMLFVLPTMGATEKQPMHTSSLSRALYVNEILTGHESLCKRQFRMEVDIFHALVAKLRSSIAQKQSADTLEQWLMQLQSSHPSTYAHLRFSRITS >SECCE7Rv1G0471600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:132382287:132382738:1 gene:SECCE7Rv1G0471600 transcript:SECCE7Rv1G0471600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCVGHGARTVASPPTATPPHRGRRQHLLRPRSSGKKSPQEEEPGGGSAKNGLAGALPGKTVLLRAGAVLIALGFVDAGYSGDWSRIGAISKDTEELLKLGAYAVVPLCLALALRVPGDGGGES >SECCE6Rv1G0397360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:390340102:390341013:1 gene:SECCE6Rv1G0397360 transcript:SECCE6Rv1G0397360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitinase (EC 3.2.1.14) A [Source: Projected from Oryza sativa (Os02g0605900)] MARLLALGAAAAFLLLAVASSMAAAQNCGCAASQCCSRWGYCGTASEYCGTGCRSGPCTVPVTNNVSVPAIVTPAFFGALVAQAAADCAAKGFYTRDAFLTALGGYPAFGRTGSDDDSKREIAAFFAHVNHETIKFCYIDEINGPSKNYCDPTNTEWPCAAGKGYYGRGPLQISWNYNYGAAGQSLGFDGLKDPDAVARSPVLAFQAALWYWMNRVHDVIVSGQGFGATIRAINGALECNGKNPSAVNDRVAYYKQFCQQFGVDPGTSLTC >SECCE7Rv1G0525740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:892520323:892522999:-1 gene:SECCE7Rv1G0525740 transcript:SECCE7Rv1G0525740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSAAVHLAARAFSPTPSAPRRGPAKSAASFVSVPAGRRRGRRRVAAAALGDMDMRPAIDENPEGVLSGEWPGNFSLASYDDLRQYLESQILSTDKMSPTAKLGEVMSRPVEVATPDQKLAEIDALFATQSGLPVVDGEGRCIGVVSKKDKARASNGLDSTIGEVMSSPAVTLTLEKTVLEAAALMLKHKVHRIPVVNEQQQVIGIVTRTDVFQALEASKA >SECCE4Rv1G0242960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:492985458:492986669:1 gene:SECCE4Rv1G0242960 transcript:SECCE4Rv1G0242960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVFLRAAAADLTAGKPSLVGVPASAPLSAAAAAIPSSPEAAVAVWRDGASPLAPLAATVIGLISSLDVVAFVASHARGGGTAADAMRTPAGDVVPREPALVREVEPDARLIEIMELMKQGAKRVLVRKNFTEGCPINKQPFAPFYKAAPKITGTPRAAATQTVSRSWSSTFGCDKYCCLTREDIVRFLINCLGALAPIPLQSISSLGAISRGYCHVDASSAAIEVVWKIPSDPRAVAVVRTNSDGSHVILGEISAHKLWKRDHVAAADAMARLSALHFATGIDENGAAPAATNGVGVGARRGEVENDIVPSPRSMRFSSRRIGFSACLASQMVPSHRKNTVLTCKTTSSLAAVMAQMLAHRATHLWVVQGGDTEEAILVGMVGYMDIFNAVTSGVLVLPA >SECCE4Rv1G0243360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:501075182:501077567:1 gene:SECCE4Rv1G0243360 transcript:SECCE4Rv1G0243360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMAADLHRNFFLAPSPHHLAELRIDPPHSAVAFSAPGGVAGPGGRKRRCLLPPVSPRKKLLVELHPFDSSPSPSQPPSPRLSPNTAPPLLSRTGSPAGDFSFPSVRPCIGGSGGGNGGNIFAFLEDTPRTPSPTGSSVSALSFLAPPGQPTTPTSGGLTFMDSPKKPTAGPTANGGFMFTVSPEQPPTPASSPAGGGLASLSTEPSLSPREYHGGRAVASFPSPKHALTGSTDSGGLAFFPSPGPSIGHASSPTSPSFVFSASRTLAPLVRKSEGGRKKRPRRQQGIVSTLRGSLPQQAIEPPQKVVKTYALVTAGETSRSSIQSGSSARPCCTFFTSPVKAAPVNGETSSSSRKQEARKACSEASSSTSPCGSRSTFVPSPAKHSSAGKASQQHREVEVSSVATAAAPAACTGAQVVVRVTCACGVHKEYCFDHCH >SECCE5Rv1G0323910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:440981936:440986382:-1 gene:SECCE5Rv1G0323910 transcript:SECCE5Rv1G0323910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRVAIVGAGMSGLTACKHVLERGFRPVVFEAEADAVGGVWAHTLASTRLQTPRPQYEFTDFPWPPEVADLYPSHDQVIEYLRSYARRFGVLECIRYGSRVTALEYSGAVDEEELMAWDQWAGNGEAFGSGRGEWRLTVQRGDDVETHVADFVVLCVGRYSGIPNIPSFPPEKGPEAFDGTVIHSMDYSNMDDAKATELIKGKLVTVVGYQKSALDIATDCSNMNGPTHPCTMIVRTKRWIIPDFYAWGVPVPFLCFNRFSELLVHKPGEGLLLSLIATFLSPLRWILSKFVESYYMWAVPMRKHGMVPEHSFFQALSSWLIAIMPDKFYDNVEQGSIVLKKANEFSFCKEGVVLQGEAAPIKSDVVIFATGYNGDQKLRELFTSPLFREIVVGTPVTAVPLYRLCVHPRIPQLAIVGYSESMGDLHTSEIRSKWLAHFLDGGIRLPSIRSMEENVKEWETYMKRYSGDYFRRSCVGSIGIWHNDQLCKDMGWEPRRKKGFLADWLIPYEPADYAGVNLRN >SECCE5Rv1G0355740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:733876010:733884511:1 gene:SECCE5Rv1G0355740 transcript:SECCE5Rv1G0355740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGKEETAERVVLAVNGVRREAAGVDPSMTLLEFLRTRTPVRGPKLGCGEGGCGACVVLISKYDPATDEVTEFSASSCLTLVGSLNHCSVTTSEGIGNTRDGYHPVQQRLAGFHASQCGFCTPGMCMSIFSALVKADKAGAAGEPAPPPGFSKLTSCEAEHAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNSFWKKGTDRADVGKLPEYSSGAVCTFPEFLKAEIKASVDHQTNNVPAAIAGEDGWYHPRSIQELHSLYDSNWFDEKSVKIVASNTGAGVYKDEDLYEKYIDIKGIPELSVIDRSSKGVEIGAAVSISKAIEVFSDGTPVFRKIASHLSKVASPFVRNTATVGGNVIMAQRLQFPSDIATVLLAAGSTVTIQTASKMLCLTLEEFLEQPPCDAKTILLSIFVPDWGSDNVIFETSRAAPRPFGNAVSYVNSAFLARTSGDAASGELLIEEICLAFGAYGVDHATRARKVEEFLKGKSASASVILEAVQLLKQVISPSEGTTHSEYRVSLAVSFLFSFLSSLANDLDEPAKAITPNGLSTNGTMNGNGASSPEKQSKVASDDLPIRSRQELVFTEEYKPVGKPTTKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAQIKGVNFKSSLASKKVITVISAKDIPAGGRNIGSSFPGLGDEALFGDPVSEFAGQNIGVVIAETQKYAYMAAKQAVIEYSTENLEPPILTIEDAIQHDSYFHPPPFLAPKPVGDFEQGMSEADHKILSGEVKLESQYYFYMETQTALAVPDEDNCITIYASTQIPEVTQNVVADCLGIPYHNVRIITRRVGGGFGGKAMKGCHVACACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYDVGFKSDGTLTALHLNLGINAGISPDVSPALPAAIVGALKKYNWGALALDVKVCKTNVSSKSAMRGPGDVQGCFIAEAIIEHVASALAVDTNAVRRKNLHDFESLTKFYGDAAGEASTYSLVEIFDKLASSPEYRTRAAAVERFNGGSRWKKRGISCVPITYEVRLRPTPGKVSIMNDGSIAVEVGGVEIGQGLYTKVKQMTAYGLAELCSDADELLDKVRVIQADTLSMIQGGFTGGSTTSETSCEAVRLSCATLVERLKPIKESLESKSGVPAPWKALITQATMASVNLSAQAYWTPDPAFVKYINYGAAVSEVEIDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYTTNADGLVVNDGTWTYKIPTVDTIPKQLNVELLTSARDKKRVLSSKASGEPPLLMAASVHCAMREAIRAARKDFSASSPLTFQMDVPATMADVKELCGLDVVERHLQSLSSAAAET >SECCE2Rv1G0119930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:795209218:795211741:1 gene:SECCE2Rv1G0119930 transcript:SECCE2Rv1G0119930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASARALAAAVSALLAVAVLLASPVAADEGCTRRPVVFAFGDSNTDTGGTAAALGSYLPLPEGRTHFRRSTGRLCDGRLVIDYLCESLNMSYLSPYMEALGSDFTNGANFAIAGSSTMPRDRPFALHVQVQQFVHFKQRSLQLISHGEKAPVDAEGFRNALYLIDIGQNDLSGAFSSGLTYDHVVRHKIPAILSEIQDAIVTLFYDGAKNFWVHGTGPLGCLPQKLAEPRNDDDNGDLDDSGCLRRLNNASYEFNDQLCSVCHKLTSQLKGATIVYTDVLSIKYDLIANHSGYGFEEPLMACCGYGGPPYNYNVNVSCLDPGYRVCEDGGKFVSWDGVHYTDAANAVIAAKILSAEFSTPNVPFGYFCQT >SECCE1Rv1G0038760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:542488870:542491296:-1 gene:SECCE1Rv1G0038760 transcript:SECCE1Rv1G0038760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPMQPRPQFMMHGPGGPMAPPQQQFGLTETRPPLAAMLRPRFNIPGLNPSAAAASAAGKISSTYDLVEPMRFLYVHVVKARDLPAVSPTGSIDPFVEVKLGNFKGTTAVLPGHHNPSWQQVFAFSATHLQSHLLEVAVKAKDLAGGDDMVGRMAFDLSEVPVRVPPDSPLAPQWYRLDGKRGEKLHRGEIMLSVWLGTQADEAFPEAWHSDAHGAAGPSAVASTRAKVYFSPKLVYLRVAAIGAQDLVPHDTSRPMNASVKLQLAGQVRRTRPGGPPGTPNPMWNEEFMFVASEPFDEPLLVTVEDRVGPGRDEPLGRIMLPLNAAMPRHDHFGKPVEPRWYSLMRPSDDGEKKEGKFASKIQLRMSLDFGYHVLDESTYYSSDLQPSSKHTRKPSIGILEVGVLGARNLIPMKAKDGRSTDAYCVAKYGPKWVRTRTIMNTLNPQWNEQYTWEVFDPCTVITVVVFDNSQIGSKNGDARDESIGKVRIRLSTLETDRVYTHFYPLLALKPSGLKKTGELHLAVRFTCTAWVNMMAMYGRPLLPKMHYSQPISVMQLDYLRHQAMQIVSARLSRAEPPLRREVVEYTLDVGSHMFSLRRSKANFYRITSLFCGFASLAKWYDGIRSWRNPITTMLVHMLFLILICYPELILPTIFLYMFMIGLWNYRFRSRHPPHMDTKLSQAEFTHPDELDEEFDTFPSNRPADIVRLRYDRLRSVGGRVQTVVGDLATQGERAHALLSWRDPRATAIFIFLSLVVAIVLYVTPFQVLLVITMLYLLRHPRFRSRMPSVPFNFYRRLPAKSDSLI >SECCE2Rv1G0081510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:140737495:140742066:1 gene:SECCE2Rv1G0081510 transcript:SECCE2Rv1G0081510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPSSNSKPAKTTPHILLIPYPAQGHVNPFLRLAKALHARGLHVTFVHTEHNHGRLLRSRGLGAVTGAADGFRFETIPDGLPRSEHDATQDIWALCEATRRACPGHLRELVERLGRTEGVPPVTCVVADGAMGFAVHAAKEMGLPAYLFFTPSACGLLCYLNFDQLVKRGYVPFKDESCFTNGYLDTPVDWITGMISDLRLRDFPTFIRTTDADDVMLTINIKQCELDAPAADGILLNTYDDLERAALDAIRERLPNTFVVGPLNPEVSPPSYLPSLTSSLWKEDDRCVAWLDTQAADDSVMYVNFGSITVVTRDQMVEFARGLADAGSPFLWVVRPDMVRDGDDDDGKMPVSDGFAEEIAGRGLMVGWCDQEAVLGHRATGGFLSHCGWNSTLESLCAGVPMLCWPFFSEQVTNCRYACEEWGVGVQMPREAGRGEVEAAVRELMGDGDKAATMRRKAVEWKEKAVRAVAAGGSSQRDLERFIDEIARVKG >SECCE2Rv1G0103980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:597631563:597635842:-1 gene:SECCE2Rv1G0103980 transcript:SECCE2Rv1G0103980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFKRTPFFLLDPPKDLDPKEKVFQVRFTKEIFRDYQEYLNRLNLYRKRVWTCKVSGKSNLTYEEALVSEQRAAEKAQQLPRELMSPVLQMIQYSTLNLTDLVNKIYGSLQENLFEGLELHAKKDGSEAACKILKVIGSGKTTSYEVGWIGQDNAITSTSVLRADDLIRKKAPCGRNMLKIFIRESTSQNSPWIVHMDLAKKYGIPTEPPEDMNGEGLSKARKRLANGTAEDASKRSKKDEEQVVPVKYPIDDLLVKPVADDPLLSKRCPPSTDFKVPISSVGDLLMVWDFCMSFGRLLCLSPFSLSDLENAICHKETNLVLLVEIHTALLNLLINDEGEYFEFIQNKNRKSKVSLVTWKEYLCDFMEMTSIFSNISTVRRGHYGLVPTSLKLEILRELVDEAIATIAVKEKLDERIDQQQALAAEKREIARKSKEEQKLIMEVATEKEMNQTNAVQDGNENVNSQLVAKEGKERKNAPTSKMGDAKLHLDGNENVNSQLVAKEGKERKNAPTSKMGDAKLHLGRHLEKELLDQSVRTSPLGKDRYYNRYWFFRREGRLFVESADSKEWGYYSTKEELDALIGSLNIKGIRERALKQQLDKFYNKISNAVEKRLKEVTHQLLVEEAVLRRSSRVHAHPKDSPSTSFLEYVNTWKPIQKRNRSKDDRAT >SECCE1Rv1G0040800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:566188971:566191536:1 gene:SECCE1Rv1G0040800 transcript:SECCE1Rv1G0040800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSQASLLLQKQLRDLAKNPVDGFSAGLVDDGNVFEWQVTIIGPPETLYDGGYFNAVMTFPQDYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWREKRAEFKKKVRSLVRKSQEML >SECCE3Rv1G0173340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:331043967:331044295:1 gene:SECCE3Rv1G0173340 transcript:SECCE3Rv1G0173340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTSTSRPSYTQYGPLPMERCPDCLRSVTLIRLTSKEVKNGNYGREFVKWESKPEGQIVKKCTHFEWVDDYVKRI >SECCE3Rv1G0185860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:679005786:679006547:-1 gene:SECCE3Rv1G0185860 transcript:SECCE3Rv1G0185860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQAAVIRDAVGLDDPLVALTLGSIYAAAPTPPPKPRRPSPPTPPPSQPASATRRRLNDSAPGQRRRPSNDPPSDDDAAADAPPAPFPWATERPAQHDTLDSLLRRGVTTVEGEARCRRCNRTATLAYELAPKFREVREFVVANRHSFDDRASDAWINPVLPDCAACGEKRCVWPVIAADKGEINWLFLLLGQMLGCCTLEQLKYFCKNTGRHRTGAKNRVLYYAFLEMCRQLEPRGPFDDTVADGNGFLHS >SECCE3Rv1G0166330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:185337522:185341010:-1 gene:SECCE3Rv1G0166330 transcript:SECCE3Rv1G0166330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETETAAAAAATATERKPRRLRGHKKGAVTCCVASSARPGVVASSGEDGCLCWFDLRTKDVLLTIEAANKPISSICFKSGNEDHVYVSAGNEILSFDIRMGSQSKPMETYNYNRDEINQIAVSSKGFLAAADDSGDVKIVNTTQKCLYKRLREAHTSICSSVQFIPWRPWTAITGGLDSKLAVWDFSKGRTLFSIDYGSPELQNSSSSGSTGQCFNPAFVHSVAVSEEGILGGLYKVCAVARGDGAVDVVDLEYELAPAKSKGPSRAGGSTMSSKIIDLGDGSNNQSQGRRIHLDYSMGGHTAAVSCVAFSAFGEKGKFVVSGGNDASLKVWDWSKGFSPETSSNGELVLDIDVKKKVNWLCTAPTDSDNLIVCDTSKVVKVYNFP >SECCE7Rv1G0454990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5206973:5207440:-1 gene:SECCE7Rv1G0454990 transcript:SECCE7Rv1G0454990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSRLVFMATLFSIGYLAATGRRDLGDERSHDANASANAPVLRSSLDESKISVRFCLVRDCKTKDVGWTEACYCCLVLSDMPCWHKLHECQANCPACHPNC >SECCE5Rv1G0342430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:632288300:632290432:1 gene:SECCE5Rv1G0342430 transcript:SECCE5Rv1G0342430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin ligase BIG BROTHER-related [Source:Projected from Arabidopsis thaliana (AT3G19910) UniProtKB/Swiss-Prot;Acc:Q9LT17] MEDSKGSGGGGGERPGADHNPSPDQPPPVAASGGGDDAAAAAAAALAEDEARRPFTALSQVDADLALARVLQEQERAYMMLRMNGVGGGGEGSDYGSSEAGSYEYDEDAEEDYEEELENHLRVHHHEHPGGGEGDADEDLEADGVGEGEDEGEDDGSEESEYEEEGFDEDEEVEDVELDPAEYEDDEAYARALQDAEEREVAARLMALAGISDWRAVEHVEDHINDAQDSWQEADPDEYSYEELVALGEVVGTESRGLSADTLASLPSVTYKTKDMQDGNTEQCVICRVEFEEGESLVALPCNHSYHPDCINQWLQINKVQCCFRLGYC >SECCE4Rv1G0254100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:632797562:632798116:-1 gene:SECCE4Rv1G0254100 transcript:SECCE4Rv1G0254100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMAMDMTNQEQLMHTISQLDNALTYLASPSTSPPHEPPVLVPPYPSYATSAVTPSVVTMPVLVPPPAAAYPEHTATRRLVGIHSAADVERPRRRNGRVSSEPQSVAARLRRERVSQRMRALQRLVPGGARLDTASMLEEAVRYVRFLKSHVQALEQAAAALHGRREDVTGGDFYHHCPRYA >SECCE1Rv1G0006680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:35169561:35170057:1 gene:SECCE1Rv1G0006680 transcript:SECCE1Rv1G0006680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEDELPAMGGDKLILRGLQFHGFHGVKQEEKKLGQKFTVDVDAWMDLAAAGDSDDIAHTVSYTDIYRIAKGVVEGPSRNLLESVAQAIAGSTLLRFPQISAVRVKVGKPHVAVQGVVDYLGVEILRRRREA >SECCE1Rv1G0035770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:501307639:501309165:-1 gene:SECCE1Rv1G0035770 transcript:SECCE1Rv1G0035770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPALLSHFFLSVSSIPGNRCKPGFFNVSDLLRTNSAVARVTDNILSTRSPEITISKLKIRFILMQPDCSTIGKSVAHAMATHKVGAAEFEIVTQKTHKHCSSADLLNHGKQFNDFLAACPGAFAGLRRLWLRNMRFGELDITNILSACKLLESLRLTHCDSGMNSALQLEHAQLIELEVDFGKFEIVMLTCLPKLQRVSYKSWFNSHKDPLYFGCVPQLSKLRLAKTGTQSTRTLELSQLLGNVPSVSNLHLDFESEKIWVLPESPKLLRPALSKLQQVNLDNLPEGCDLAWTMFIVEAAPSLKELCITVWDHWCNMVMRDKEFRKRSGYCEKAQVEWKPHAPDFKHKNLVKLTIHGFQPKDIFVRFIRCVMEHAVNMAEISLHDRKVCLRCGGSDPEMKCPSRYPRNADECKQIIEELGMSLPAMVRFMS >SECCE2Rv1G0129850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:870925173:870927301:-1 gene:SECCE2Rv1G0129850 transcript:SECCE2Rv1G0129850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPGNIVAQLIQEEHRSKWTARSNHNVKCFTEDEIRRFTNNYEIMLGRGSFGEVYEGVLEDKSMVAVKKFIYNVKENFAKELIVHREINHKNVVRLVGYCVDENALMVVTEYIPKGNLSNILHHDNIPIALDTRLRIAIECAEALAYMHSQMYTQVIHGDIKPANILLDDGLGAKISDFGISRLVNTENTLYTLNVMGSIGYMDPLFAQSGRLTAKSDVYSFGIVLLELITRKKARTEDGEIGLVETFSQSLSKGIRRVREMFDPEIATSSDMKTIEEIAKLAGKCLKMELIKRPEMLEVAERLRKLKKAPRQVQERLALFSWIRKNKQDPAETPSLESSSRSQNMTTVAPVKMTPSQELTPTAALLQKSSSQNVGTFIISSTLTGQSFELEDLLQASAEVLGKGTVGTTYKVMLYSGYELVVKRLKDVVLPKAEFEQHVTQIGAIQNKHVVPLRWYYYSKDEKMVVYDVIRMGSLAKVLYGDQGSSPAPLDWEQRSAISLAAARGVEYIHLAGPSSCHGNIKSSNILLTGTHDACVSEHGLIALGMSSSVSGYRAPELVNNRRVSQKADVYSFGILLLELLTRKAPTNSRKDQDGVDLPRWVRSVVREEWTAEVFDVELIGREQKHGEEECMVRLLQLAINCCSQDADSRPTMSEVVQQIEEIQQSLTPDQEPHTS >SECCE1Rv1G0046060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614281639:614282952:-1 gene:SECCE1Rv1G0046060 transcript:SECCE1Rv1G0046060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQLRMLADAMYRGYWALGASNYFSLEETTTMGEEDGEDLNPSPLKRLRTVHSIARKNRAMHLLELQGTLESLEDVVAGMMEFVVLLGGCDRMLRRPYDAYLFSDKIMFGRHTEKQKLLNFMLQHGPPGGVPAVLPIIGAPAVGKRTLVAHVCKDERVRSHFSSILHLNGDSLGRMAEHGGLMPGNILVVVELVSDVHEQDWANFCSAVTTSMDKGSKVIIISRLKNSERLGTVEPIFLNTLSYEEFSYLFKALAFGSANPAQHPRLARIADELAREFQSEWSIVTANLFADIMRRNLSVHFWLCILSRLRRVVEKNISMFGEHPKLLAGRRHQIDITEFVSHPAASPLCIVPSYTSGSSRTEVDVGREFLPRVGLGDLLMDPGVRPQGDFNVVAWESRLPPYASFVHFATSGNGAPGAAEQSTPLTGRKRAAVHL >SECCE6Rv1G0441980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:813563705:813564181:1 gene:SECCE6Rv1G0441980 transcript:SECCE6Rv1G0441980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGGGVVLSLRGPSASATPSAGRLRRSASSPAVRCGATRERMPAAAPYGFLEEDHYRTLRLEPGASRGEVKKAFHRLALQYHPDVVRRGGGDGRHDDAIDFERINAAYQTVMRNMREAEATLEYWRRRYGLADEDLDRYRHYLNHDDEDDWFSDF >SECCE5Rv1G0306360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:90997105:90997380:1 gene:SECCE5Rv1G0306360 transcript:SECCE5Rv1G0306360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAGTNQEDTLMDWWLHAKQNTPTPMRKGLASIALLTPWMIWKQRNECIFDNAQPLVPVLVSRIKDEAEQWARAGARGLRIILPTTWDVH >SECCE1Rv1G0062260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:719106561:719106904:-1 gene:SECCE1Rv1G0062260 transcript:SECCE1Rv1G0062260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLHIACALLLAGVVLLGQNQGGMEAVACPQYCLEVDYITCPSSGSQKLPARCNCCMAPKGCTLHLSDGINQTCS >SECCE1Rv1G0013180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:101168790:101172998:-1 gene:SECCE1Rv1G0013180 transcript:SECCE1Rv1G0013180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVTVSTGVMKPLLTKLFKLLLDEHRKLKGVRGDAKFIGDELRSMKAALEVLADEEQLEPAMRIWRDDVRELSYDMEDCIDGFIACVDDHELDGRTTLNKFVDMLKKPKHRHQTASEIVKLKARATEASARHKRYNIIRPAPCICSCAIDPRLPALYAEVDELVGIDGPKDDIIDWFQWEATSTQLQVLSIVGSGGLGKTTLANQVYHAMESQFPCAAFVSVSRNPNTRKILRDIAKGLGFSDYTPDDDVQQLISKLRQHLKDKRYFVVIDDVWSTDEWETIRLALSNSNHGGRIITTTRNSEVASCCSSRGGHVYEMEPLTFDDSKRLFFRRAFGPEDLSYPHLEKVSNEILRKCAGLPLAIITVSSLLADQHAEDEWKRVLAAIGSALAKDSGADKMTKILSLSYFDLPHHLRACLLYLSIFPEDSTIWKQHLIHMWVSEGFIHEKQGRSRYEIGESYFNELINRSLIQPVVAALGKVESCQVHDIVLDFITCIAAEENFVTKFSDVEHGHNSYDRVRRLFIGNTSNEKVAISTSPILSHVRSLIIYAHDPQVSLLAFPVLRVLDLGKCWWLEEHHVANIEKLLLLKYLSLANVTLLPKKIGELQYLETLDITNTGILEMPLAVTSLQRLASLNVHYHIRFPDGMIGKMQSLEELETLGVHSYEQGKPLEEFSQLTKMWRLKVELGMFELWEGTGQIEDLHGYLGTLVSSCNLRHLNICKLSSGVLAAKTYFPLSLESWCPTTPCSLQELHITYCYIDKVPNWMSLLRNLRELEIYVVSLRPEDVRILGSIPTLLILILKTFNGTDGRILIHGFSNLKYFHLELLYCGTSLEFEEGSMPRLEHLELEFRVHQMDCLNGSPNFGIQHLSALRKVEVCIFCSFGNKDNAMAGLECCFGKFIGILIEADIEMLPNCSSFLLQYGNVAYGNVACEHYTKIVETDHCEKEDEAGRGKVGSAGAPHWHVGTNYFRVSFG >SECCE2Rv1G0083990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172394310:172394621:1 gene:SECCE2Rv1G0083990 transcript:SECCE2Rv1G0083990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNPAPVFLLALLLSCVAMSSTARKLQEQETAPPTEEAPSAPHLTVPGLPDYELPPMPKFELPPFPEMHLPPFPGTPWRPATRTPTLTGFFFPLPEPEANP >SECCE5Rv1G0347350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666502618:666505851:1 gene:SECCE5Rv1G0347350 transcript:SECCE5Rv1G0347350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRSVILVLAVAVCLLCASAEDQLAVGERLLDGQSLVSDGGVFALGFFSPDDATGPARRYLGIWYNGMPGPRTVVWVANREAPASGNLTLALTNHSSLVMSDADGRVLWNTTGAGSSSSSSSSPPPAVLTNEGNLVVRSPDGTVVWQSFDHPTDTFVPGMKARLSHRTGKGDRIVSWNSPGDPAPGRFSYGLDPGTSLQLLMWNGTRPYWRAPVWKGYAVSSMYLSAGTVLYTAIVDTDEEISVAFTVSSGAAPTRYVVTSTGRFQLLSWNVTASAWATLQSWPSSVCTTYRHCGAYGYCDATAPVPSCRCLDGFEPASAADWSAGWFEQGCRRKQALPPCAAGGGGGGMGVGFVAMPTMNVPDKFSLDAGNRSAEECAARCAANCSCVAYAYANLQSSSAKGELSRCLVWAGELVDAQMIGARWGGETLYLRVPADAASTSPGGKARRNAVKIAVPVLAAALLLTCIFFIWFWRFKDKRRKTESQKTLMPGTRTGTTNTSSEIGEGDHTGDLEFPSIRFADIVAATGNFSKALMIGRGGFGKVYKATLDGGHVVAVKRLSKDSEQGAEEFKNEATLIAKLQHRNLVRLLGCCTEGAEKLLIYEYLPNKGLDAILFDSGRKSVLDWPTRLGIIKGVARGLLYLHQDSRLTVIHRDLKASNVLLDAEMRPKIADFGMAKIFGDNQVKANTRKVVGTYGYIAPEYSTEGVFSVKSDVYSFGVLLLETVSGIRVSATEGIMEFPSLIVYAWSLWREGKAGKLVDPSMAGSCSQDEALLCMHLGLLCVEDDPNRRPLMSSVVSILENGSASSLSLPTPVQPAFIGMMGGKSQRSDLENTRNTMAMTVLQGR >SECCE6Rv1G0399790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:443559722:443561986:-1 gene:SECCE6Rv1G0399790 transcript:SECCE6Rv1G0399790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPSDGDEALVNAVLTDDELRAVLTRLGPESERDAFGLVCRRWLRIQSSERRRLRARAGPSMLRRLAARFPGILELDLSQSPSRSFYPGVIDDDLDVIAGGFCNLRVLALQNCKGITDVGMVKLGEGLQCLQTLDVSHCKKLSDKGLKVVASGCQKLRQLHIAGCRLITDNLLHAVSKNCLNLEELGAAGCNSITDAGISALADGCHKMKSLDISKCNKVGDPGICKIAEVSSSSLLSLKLLDCSKVGNKSIYSLAKFCCNLETLIIGGCRDISDESIEALALACCSSLRILRMDWCLKITDASLRRLLCNCKLLAAIDVGCCDQITDAAFQGMEANLFRSELRVLKINNCVGLTVVGVSSVTESCKALEYLDVRSCPHVTRQSCEEAGLQFPGGCKVNFEGSLSESDPSVDRFF >SECCE2Rv1G0142530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:941424983:941433884:1 gene:SECCE2Rv1G0142530 transcript:SECCE2Rv1G0142530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFLHSPAEVAKVQLVQFGVLSPVGIRQMSVVMIEHAETMERGKVKAGGLSDPRLGTIDRKLKCDTCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEEDTKFQQALKIKNPKNRLRRIYDACKSKKICSGGDDLEGQDQQDTEEAVKKRGGCGAQQPNITVDGMKMVAEFKATKKKTDDQDQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPEFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNDLPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCRKMTKRDTLIEKDVFMNILMWWEDFDGKVPAPTILKPRPIWTGKQVFNLIIPKLINLIRFSAWHAETENGFTTPGDTVVRIEKGELLSGTLCKKTLGASSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADADTMEKINETIANAKIEVNGLIKQAQEKELEPEPGRTMMESFENRVNQVLNKARDESGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFVDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKDEFDNVFRYELNDMNWKPNYMLPDHVEDLKTIREFRNVFEAELQKLEADRLQLGQEITTTGEGTWPMPVNLKRLIWNAQKTFKIDLRKPSDMHPMEIVEAIDKLQERLKVVPGDDAMSIEAQKNATLLFNILLRSTFASKRVLKEYRLTKESFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVFLKPGVNSRKESAKNVQCALEYTTLRSVTHATEIWYDPDPLGTLIPEDVDFVRSYYEMPDEDVDPDKMSPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRVRIANDEAPPKGGEAQGESAEDDVFLKKIEGNMLTEMALRGIPDINKVFIKKGKVNKFDEMEGFKGEEEWMLDTEGVNLLAVMCHEDVDPSRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGGCGLYLNDQMLKQAIELQLPSYIDSLDYGVTPARSPVSGTPYHDGMMSPLLSPNFRASPLTDAQFSPYVGGMAFSPMPSNYSPSSGGYSPSSPVFSPGPGHSYSPTSPSYSPASPSYSPASPSYSPASPSYTPGSPSYSPSSPSYSPTSPSYSPTSPSYNPTSPSYSPTSPAYCPTSPSYSPTSPAYCPTSPAYSPTSPAYCPTSPAYSPSSPSYNPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPSSPTYSPTSPSYRPTSPSYSPTSPAYSPTSPGYCPTSPSYSPTSPSYSPSSAKYSPSNIYSPSSPRMMSPYSQTSPNYSPTSPSYSPTSPSYAQPSPSYSPTSPMTTSGGPSPDYTPTSPNYSPTASYSPTAPGYSPISTEPQTSDKDNETAP >SECCE4Rv1G0265590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726341653:726344910:-1 gene:SECCE4Rv1G0265590 transcript:SECCE4Rv1G0265590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKENLDLSDLNSSLPAAAAALSAEDRVGLVNALKDKLQSLAGQHADVLESLSPNVRTRVEYLRGIQSQHDEIEAKFFEERAALEAKYQKLYEPLYAKRHDIVNGVVEVDGVDKEPTSENAAEGGDSDAKGIPDFWLTALKTNDVLTDEIQERDEPVLKYLKDIKWSRIDDPKGFKLEFFFDTNPFFKNSVLTKSYHMVDEDDPILEKAIGTDIEWYPGKNVTQKILKKKPKKGSKNTKPITKTEECESFFNFFSPPQVPDEEDDIDEEAADELQGQMEHDYDIGSTIRDKIIPHAVSWFTGEAVQAEDFDDMEDGEDDEDDEDDEDDEDDEDEDEDDDEDEDEEELSKPTKKVAGKPKGPAKEQPTECKQQ >SECCE2Rv1G0110920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:696313737:696315221:-1 gene:SECCE2Rv1G0110920 transcript:SECCE2Rv1G0110920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATCAADLAPLLGAAAMNATDYLCNRFADTTSAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKHFFGLKDMPQTGFDYSFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSVDGWASAARTSGPLLFKSGVIDFAGSGVVHMVGGIAGFWGALIEGPRIGRFDHAGRSVALKGHSASLVVLGTFLLWFGWYGFNPGSFVTILKSYGPPGSIHGQWSGVGRTAVTTTLAGSVAALTTLFGKRLQTGHWNVVDVCNGLLGGFAAITAGCSVVDPWAAVICGFVSAWVLIGLNALAGRLKYDDPLEAAQLHGGCGAWGIIFTALFAKKQYVEEIYGAGRPYGLFLGGGGRLLAAHIVQILVIAGFVSCTMGPLFFALKKLGLLRISAEDEMAGMDLTRHGGFAYVYHDDDEHDKSVGGFMLRSAQTRVEPAAAANSQV >SECCE2Rv1G0143250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:945669315:945677887:-1 gene:SECCE2Rv1G0143250 transcript:SECCE2Rv1G0143250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLDALAARVMNNIMDMGQDKIRMLLGVSQEINKLQGNVEHLRNLLTDAERRRITDKSVQAWVTNLKNAMYEAEDILDLCQLEAMDREEKQHSTGLCLRLREKLPFLGCLGDKLQGILQPFLFCVQNPGFANEVGGRIKNLNDELLTIRNGVAGFNFNIDLSSYEERRRPLTSSASHPRRENAQFVESDLVGDQIKRNTEDLVQQLIPTDRHDHDSIVKVVAIVGQGGIGKSTLAKKVFASEAIKEEFKIKIWLSVTQQFTKVDLLRAAISHAGGKHGESNDDETLLVQALTDTLSNNKFLLVLDDVWNQEAWDDMLRVPILIAGRQQPGSRVLVTTRKEDVARSMGASILHVNKLDDEDAWRLLKKQLPQSKVSVESDFDGLIDIGMKIVRKCDGLPLAIKVMGGLLSKRDAKERDWEFVLRKNVGWKEEWESQDELNYSVSLSYDDLSSELKQCFLYYSLLPKGSGFPKKRVISMWISEGFVQHDERSGSDQLDLEEIGGEYHRELEDRNLLEPDDSSENMWKYTLHDVVRSFAQFMAKEEASVVNKDKVDIRNLLPENQNFRRLSVFHSKLEFSILEKQERLRTLLIDCHIIPAGGSLANFASLRVLDIRSGESDWLVDSLCELRHLRYMSFCNTNISRLPGDIHKMRFLEHIGLWKCTKLEKVPDSITKLGSLRYLSLAGSSVEVIPKGFGGLTSLRSLYGFPVKMDGDWCTLEELGALSHLRSLSVKGLENVPCSSVAKRANMSNKKSLEYLELNYYKDEKEEEYEVREIGVEQQERIESVFDELCPPPARLETLGIRRYFGRRLPNWLQSPAATTFKSMKIIGLQHITYCTRLPDGLCRMLGLEKLGIWNAPSIEHVGPEFQTHEDAARGVAFPNLRKLYLNGLSGWKEWEWEEEEEQSKAVAMPALEDLSLIDCKLTHLPPGLATNRRHNLRTIVLENLSLLEYVENFPSVVELNVYSCPELKRISGLVKLRTVDINDCRKLKLLEGVPVLDSMELDWEHWEVSGPDATCTPKTKGHQQAQYQVLPEDLVITRW >SECCE7Rv1G0498690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:644310753:644311910:-1 gene:SECCE7Rv1G0498690 transcript:SECCE7Rv1G0498690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFEASAMSDLIPGLPEEVARECLIRVGFDQLPAVRRISRQWKEEVESPDYGRLRRAEGLARPVLAMVQAQPERVQPGPAQKHASASAANGGPANNYRMVLLDPVEGRWAPLPVLPGPTGSLPLFCQVAAVDGPQGRKRLVVVGGWDPESWAPTDSVYVYDFLTGEWRRGAPMPGPRRSFFATAVVGGAVYVAGGHDEEKNALRSALAYDPDADAWAALPDMAEERDEPRGLCVGGRFLVVGGYPTQAQGRFAGSAEAFDPATAAWATVQEGLLEDGACPRTCCVAPGAERMYMLRDGNLVARDGGASAGWRTVASVPEDARTASTVSAIPGGRVVVIGSGCHGGDQTVYMLRDEDGKAASWARAPAPPDFSGHVQAACFLEI >SECCE3Rv1G0162940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:141488343:141498334:1 gene:SECCE3Rv1G0162940 transcript:SECCE3Rv1G0162940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH7 [Source:Projected from Arabidopsis thaliana (AT3G24495) UniProtKB/Swiss-Prot;Acc:Q9SMV7] MQPRRQQQSILSFLHPRQSPAQEALGAGTPPEKPPRPPAASSVEGIMERLVRPPSQGRNKDAAQIRNAERALPGKNEDTSNERPSASFPVPYNSKYSRGTMLFAEHSMDTTPPQEPLKFSARSSTDEFVRASTLFPELGSDQTLLQECSKKSSSDCPSNQYVQANSVFEAFDVQTPSQDPLKRIFSGPFHAADTPLSEYRSYPIPLQHPLKKLSSGSSSGEYLRAVTPLGLDSNDTPTAKHSKKLFSGSSDHSYIKATNLFPEFDSNGTPLQNHSNKFSVSMNGKHIGAPATLFPELDSVLLKPETPVTRAVAPRGKRVQQDQCMTANNSQSPLWGSSKKVKSAHCSPAGKMVHDEMAESARSKFEWLNPLNIRDANKRRPDDPLYDKRTLFIPPDALRKMSTSQKQYWTIKCKYMDVLLFFKVGKFYELYEVDAEIGQKELDWKMTISGVGKCRQVGISESGIDDAVEKLLARGYKVGRIEQMESAAQAKARGPNSVIERKLAHVSTPSTAAGSNIGPDAVHLLALKEVTLASSGSRVYGFAFLDYAALKIWVGSLQDDDSSAALGALLVQVSPREIIYESSGLSRESRKSVSKYASAGSVKMQLTPLPGTDFSDASQIQMLVHSKGYFKASTDSWLSALDYSVNRDAVICALGGLIGHLTRLMLDDALKNGEVLPYNVYQTCLRMDGQTLVNLEIFSNNFDGGSSGTLYKHLNHCITASGKRLLRRWICHPLKDVDAINRRLDIVEGFIQHCGVGSIVLEHLRKIPDLERLLGRVRSTVGLTSAVLLPFVGEKILKRRIKTFGMLIKGLRVGIDLLSALRREDHGIPALSKSVDIPTLSSLDELVHQFEEAIRNDFEQYQDHDIKDDDATTLAILVELFVGKASEWSLVINAISTVDVLRSFAAMALSSFGTMCRPRILLKDKSPILRMKGLWHPYAFAESGTGLVPNDLSLGQDLLGHNRFALLLTGPNMGGKSTIMRATCLAIVLAQLGCYVPCISCELTLADSIFTRLGATDRIMSGESTFLVECSETASVLQNATEDSLVLLDELGRGTSTFDGYAIAYAVFRHLVEQVRCRLLFATHYHPLTKEFASHPHVSLQHMACMQRPRSGGNGEMELTFLYRLASGASPESYGLQVATMAGIPKSIVEKAAVAGEMMKSRIAGNFRSSEGRAEFSTLHEDWLQTILAIGGVKDAHLDEDTMDTMFCVAQELKSHFRKVGS >SECCE7Rv1G0521260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873306555:873306842:-1 gene:SECCE7Rv1G0521260 transcript:SECCE7Rv1G0521260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAQMRMVAVSMMLAILFIAAANAEPAPAETCIDNTDNVGLATDCICSKNCACAGKCILKGGDGDKIQKCFVECVLKNDCNCNAKGHSAAAPK >SECCE6Rv1G0414940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:637890847:637891959:-1 gene:SECCE6Rv1G0414940 transcript:SECCE6Rv1G0414940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKALIIGLIGCLCFHSSVLAAREINDDFLMVARHESWMTQYSRAYKDATEKAYRFEVFKANVGFIESFNAENHKFYLGINQFTDLTNEEFKGTKVNKGYKPSLQRVPTGFRYGNLSLDALPATVDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKLKTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESNYPYTAADDKCKSGTNDAATIKSYEDVPANNEGALMQAVASQPISVAVDGGDMTFQFYKGGVMTGSCGTDLDHGIAAIGYGKTSDGTKYWLLKNSWGTTWGENGYLRMEKDITDKRGMCGLAMEPSYPTA >SECCE3Rv1G0205710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900987239:900988360:1 gene:SECCE3Rv1G0205710 transcript:SECCE3Rv1G0205710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLSKKLLVASMVAAVLLVAAVELCSAIPLEDNDLESEEALWDLYERWQTAHHVPRHHAEKHRRFGTFKSNVHFIHSHNKRGDRPYRLRLNRFGDMTRAEFRATFAGSRVSDRRRDGLARPQSVPGFMHAAVNVSDLPRSVDWRQKGAVTGVKNQGKCGSCWAFSTVVSVEGINAIRTGKLVSLSEQELVDCDTADNDGCEGGLMDNAFEYIKKNGGLTTEAAYPYQAANGTCKAASSPVVVRIDGHQDVPANSEEALAKAVANQPVSVAIDASGKAFMFYSEGVFTGDCGTELDHGVAVVGYGVAEDGKAYWTVKNSWGPSWGEKGYIRIEKDSGAEGGLCGIAMEASYPVKTDSKPKPKPRRALGAWESQ >SECCE6Rv1G0411020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:605021435:605024126:1 gene:SECCE6Rv1G0411020 transcript:SECCE6Rv1G0411020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKRARSPRAPADGDDDKRRATKWGGAVRPKMVLVGFLVTLALLAFTFGGRSPPLPSAPSSPSTVPKAGGRHVVAGAGGVTPKKTVIPPKNVTAPATTPSQDKLLGGLLSAAFDESSCQSRYKSSLYRKSSPFPLSPYLVKKLRKYEAYHKKCGPGTQRYRTAVEQLQAGRNADSAECKYVVWFPCNGLGNRMLTIASTFLYALLSGRVMLLSVPAEQEGLFCEPFPAASWVLPGGFPEGNPMKLNVGAPESYVNMLKNGVIRHDTPAASLPAHVYLHVEQTRLRLSDNIFCDDDQKLLRKFTWMILKSDTYFAPALFLTPMYEKELARMFPQKEAVFHHLGRYLFHPTDRVWGIVTRYYEAYLARVDEKIGFQIRIFPEKPVKFENMYDQLTRCIKEQRLLPELGEPDQAANATTTGNDGKVKAVLIASLYSGYYDKIRGLYYENPTKTGEIVAVYQPSHEEKQEYASNEHNQKALAEIYLLSYCDKIAMSAWSTFGYVAYGFAGVKPWILLRPDWDKEVSQVACVRSTSVEPCMHSPPILGCRAKKEVDVARVKPYIRHCEDVGFGLKLFDS >SECCE2Rv1G0107970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:656609212:656614292:1 gene:SECCE2Rv1G0107970 transcript:SECCE2Rv1G0107970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMQTSDSSHHGIVENSPYTTPYDRRVEGDQLGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDFGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRQTIATVCMFLAGKVEETPRPLKDVVLISYEIIHKKDPAAVARIKQKEVYEQQKELLLIGERLVLVTLGFDMNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPADGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVGPPPSQGNDTEGSSASVVNQRAPVKAEEPPAHETHQAPRQSSVPGRHGHDHPQPEKQNSNQRIPKSEARDGTANSNEGTNMSSSMMDAMKKIDKDKVKAALEKRRKSKGDAGRKVDVMDDDDLIERELEHGVELAAEDEKKHDRRQSWPHPAHREDHQNTARKVENTEEGELSLDGQEYRSPGPDNRKRKDAHEHRSNDRSAERDVKRPRP >SECCE4Rv1G0250550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:593609606:593617200:-1 gene:SECCE4Rv1G0250550 transcript:SECCE4Rv1G0250550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, class IIc [Source:Projected from Arabidopsis thaliana (AT5G22800) TAIR;Acc:AT5G22800] MLLPMRRLASSPSLPAVYPHISPARRSLGLRRQHGRGASARRTSATPVVCCSGSLPVASQSSQSTMTGANPVTVAGTERSSEWSGDAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKDAIKWAWELTTKEYGLPPERLWISVFEDDDEAFSIWLNEVGVPKERIKRMGEDDNFWTSGATGPCGPCSEMYYDFHPERGSLNADLNDDSRFIEFYNLVFMQYNKNDDGSLEPLKQQNIDTGMGLERMARILQNVPNNYETDLIFPIMEKAASMALVSYNKADEAMRTNLKVIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRLIGMRGDGHENSEGAVLPSLAETVISLSSEIDPDVESRRKSIIGELQREELRFVQTLGRGEKLLDELLDEALGSAGDIGDKPCLSGKDVFLLYDTYGFPIEITAEIASERGVTIDMKGFDIEMENQRKQSQAAHNVIKLSVGNETEIVKSIPDTEFLGYESLFATAVVKGLLINGNPVENVSEGTDVEILLDRTPFYAESGGQVGDNGFLYVYGEEGGKQNAVIEIKDVQKSLGNIFVHKGTIKQGSVEVGKKIDAAVDGKLRQGAKAHHTATHLLQSALKSVIGSETSQAGSLVAFDRLRFDFSFHRPLTEKELLEIESLVNQWISDATHLETNVMALQDAKNAGATAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCSRDNYMRHLCSSLKVKAEDVNSRVETILEELRTARNEASSLRSKIAVLKAASLASKAVLVEPHNVRILVENMGDVDADGLKSAAEHLVGTLQDPAAVILGSSPGDGKVSLVAAFSPGVVKLGVQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPDALEKARAEIVAAVSSKAN >SECCE5Rv1G0358050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:753200041:753202677:1 gene:SECCE5Rv1G0358050 transcript:SECCE5Rv1G0358050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGADPPRYRRLRKASDAAAHPPPKRMRSSDEHSSCCEDKISSLPDEMLIMIVDKLDARTAITTTVLSKRWRDLPAHSHTCYDLGVDDILPPRYHRLKQMVVEAKAGYVAERNALKLASSHAFRDRFFAVNDWMWKVRRLTTHLQRYERWAMRRYVKRVNAFLLPRTNVQQRSIKKLRLQTFGTSNCNDQWIMSAIGRWGVEDLELVVDNSSWCYDFRLLDKCKNVRLKRLVLSNCYHHYAPKSLMFQRLTALTLCKECSHISNVCYILRNCVQLVDLSLKYSGQNQGSLHIRVPKSKLKNLQLDNCNVGQVYLTSLPCLEAFVCRGQPIELHYGEVPRLRHVSLNFLQTGDNAKDGSLCPLSKLFLGSPPPLEYLALQLRGRQMWIKPAAIRSQLNHLKKLFFANVAMNWDTFWILTVLAASPALESLHVHFDNSSGKASAAGSLDVQVEHHQHHYHLKELVVVGFDGVAWQTGFVKRIMRASPILKHVHLLDGHVVQDEEREFVGLEIVRRRREWHGCERSEVLKELTDGISSPHLKIVLE >SECCE7Rv1G0471940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:134536620:134551134:-1 gene:SECCE7Rv1G0471940 transcript:SECCE7Rv1G0471940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKRPGHAAAAWLALLLLLGAPPRGCVAQSGGVLTRGSFPDGFVFGTASSAYQYEGAVKADGRGQTIWDTFAHTFGKISDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWARILPNGVGQVNQAGIDHYNKLINALLAKGIQPYVTLFHWDLPQALEDKYNGWLDRQIVDDFVAYAETCFAAFGDRVKHWITVNEPHNVAVNGYDVGIQAPGRCSLLLHLYCKSGNSGTEPYIVAHNFILAHAKVSHMYRNKYKAAQNGQLGMAFDVVWYEPMSDAAVDVEATKRAQEFQLGWFADPFFFGDYPATMRKRVGERLPRFTTEESAFVKGTLDFVGINHYTTYYTKQNDTNIIALLLNNTFADTGTISLPFKNGKPIGDRANSIWLYIVPRGMRSVMNYVKDRYNRPPVYITENGMDDSNSPFKSRKDALEDTKRINYHKEYLANLAASIKEDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYFVDYNDKLKRYPKSSVQWFKSLLSSS >SECCE2Rv1G0080150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:127478117:127479472:-1 gene:SECCE2Rv1G0080150 transcript:SECCE2Rv1G0080150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPGGTKKRLKRGLWSPEEDEKLMNHIAKYGNGCWSSVPKIAGLERCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIHLHSILGNKWSQIAAQLPGRTDNEVKNFWNSFIKKKLRQRGIDPATHKPLAPASAAAAATPVSRSAVFSEAELILSSPVGGPHMPPLVSAESYVYSRGSMDGAGGAHAAVGGGGCSDDGSLSSLSGYNNNNNNQTADFAGYLDTDALHGAVIPSVSSSSTLNSMAGVSPGGAANTNGNATDELCCNNNPSNSGSGFESSTTQSSSNHHLPWLELGSISSCAEDASGAGAGADHYGAALDELKWSDYVFDGGYPQYHQQQGQCIYGDSSKAAADTQFDAHGLGINWCLN >SECCE2Rv1G0080100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:127154994:127159178:-1 gene:SECCE2Rv1G0080100 transcript:SECCE2Rv1G0080100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHATAWTVWAALAAAVLASCMFNALVRLVWRPRAIARRLARQGVRGPGYRFFVGNLGDIRRLRAAGAGIVLDVSSHDFLPISQPQFRQWIPLYGRVFLYWFGSTPNMCVADLGVAKQLLSDRTGLFPKNRMNPIVRLVGKGLVMTDGDVWHRHKKVLHPAFNVDKLKVMTSTMVDCVLSMASRWEAQLASQGKKDCQEIELTSQFEELTADVISRTAFGSSYREGRKIFLALNEIQFIAFSTLWTDYIPGFKFVPTKKNMRLWKLNKMMRSTLVEIIENRLAAKDTDGYGSDLLGLMLEACASERGQAPLLSMDEIIDECKTFFFAGQETSLHMLNWTMFLLGTHPEWQQKLREEVLRECGGDHHVPTYDTLSRLRLVNMFLLETLRLYSPVPLIRRRTRTEVDLGGITVPRDALLTIPIATMHRDKKVWGEDADEFNPMRFDVSGGAARATSHVNALLSFSMGPRTCIGQSFAMVQAKAEVAVILRSFALSLSPSYVHAPTDVITLRPKYGLPMIIRRLDA >SECCE2Rv1G0120460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:799077210:799079682:-1 gene:SECCE2Rv1G0120460 transcript:SECCE2Rv1G0120460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPSKIESMRKWVVDHKLRAVGCLWLSGISGSIAYNWSRPNMKTSVKLIHARLHAQALTLAALGGCALVEYYEQNYGSSGPKVDKYTRHYMSHSHKD >SECCE1Rv1G0038840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:543349151:543351583:-1 gene:SECCE1Rv1G0038840 transcript:SECCE1Rv1G0038840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESDSGSSAPLLRAGSGHRHATGGWRSALFIIWVEVAERFAYYGISSNLISYLTGPLGESTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYITGLGMLTLSSMFPSPESQHCSFTADGRRECPRSSLQTAFFYVSLYLVAIAQSGHKPCVQAFGADQFDVTDPGESSSRGSFFNWWYLGVCGSATVTVAIMSYVQDNVSWGLGFGVPCVIMLLALVVFLLGTRRYRFYDSGHGEGAGALSRVGKAVKAWRKRSPEGECAEDAVLMEEVRGLARLFPIWATCLLYGVVFAQPPTLFTKQAATLDRRIGSSSLEIPPAAVQCFLGVSVITCIMLYDRVLVPVARRITGVASGITMLQRIGTGMALALATLVVAALVEMRRLGAAKDAGVVDRPGAVVPMSLWWIVPQYVLLGAADVFAMVGMQEFFYDQMPVELKSLGLALYLSVLGVGSFISSFLISVIDGLTRRDGGTSWFADNLNRGHLDYFYLLLAALSALELLAFLYFSATYIYKRKTVNVH >SECCE6Rv1G0426830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:716116075:716118382:-1 gene:SECCE6Rv1G0426830 transcript:SECCE6Rv1G0426830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVAGSPGTWSGMALRLSQCVFAAASTFSMVSGFGYSNYSAFFYLNLALILQFMWSLSLACKDIFALRNKKDLHTRDNLLTIVMVDWFLAIFLFSGACASASLTIFFMRDVNFCGAYSRLACWQFALSVVLAFITWLLQAASSFSGFWLLVSFF >SECCE2Rv1G0116470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:764871709:764873703:-1 gene:SECCE2Rv1G0116470 transcript:SECCE2Rv1G0116470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPTSSTWEEGSQSDYADLDDDDMPLEPQRGGGLRPLNLGRDDDDAASDVSSECSGEPGSPYGSPYPRWPVCKLAARMPPPAPLLQRLGTEPRRGGARDRKAGCTELQLMKERFSKLLLGEDMSGGGKGVSTAAAISNAITNLYATVFGSCHRLEPLPVEKKSMWRREMDCLLSVCDYIVEFFPSKDILPDGTTREVMATRPRSDIYVNLPALEKLDDMLLEILDGFQKTEFWYLNDKAHKDSCDDSAPCRPASHRGEERWWLPVPCVTKSGLTEPARRDLRQKHDCASQIHKAAMAINNGILAEIKIPESYTQTLPKCGRASVGDALYRGMSFPGKFSPEYLLDCLAISSEHEAVEAADRVEAAMHVWRRKAGHGHARSPWGAVKDLMESDKNVMLASRAEDALLCLKHRFPGLSQTTLDASKIQHNKDVGQAVLESYSRVLESLAYTIVTCIDDVLFADEAARKI >SECCE1Rv1G0037090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:518599531:518610704:1 gene:SECCE1Rv1G0037090 transcript:SECCE1Rv1G0037090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter binding protein-like 7 [Source:Projected from Arabidopsis thaliana (AT5G18830) UniProtKB/TrEMBL;Acc:F4JZI4] MDASDSGGGGAAADAGEPVWDWDNLLDFAIPEGDSLLLPWDDPLGIEGDPTTEGALLPAPPPPQPVEPEPAPPPPPPPPPPSPPVEAGGSRHGVRKRDPRLVCPNYLAGIVPCACPELDEMAAAAEAEEVAAEVLAGPRKKPKAASRGSSGAVVKTGGAGGGSGVTGRGGAAEMRCQVPGCEADIRELKGYHKRHRVCLRCAHASAVMIDGVQKRYCQQCGKFHILLDFDEDKRSCRRKLERHNKRRRRKPDSKGTLEKEIDDHLDLSADGSGGGEIREENIDGATCDMFETVLSNKVLDRETPVGSEDALSSPTCTQFSLQNDQSKSIMTFAASAEACLGAKQENAKLTNSPVHDSKSAYSSSCPTGRISFKLYDWNPAEFPRRLRNQIFEWLSSMPVELEGYIRPGCTILTVFIAMPQHMWDKLSEDTANLVRNLVNAPSSLLLGKGAFFVHVNNTIFQVLRDGETLMSTRLEVQAPRIHCVHPTWFEAGKPIELLLCGSSLDQPKFRSLLSFDGEYLKHDCRRLTSRETFGCVKSGAPTFDSQHEVFRMNITQTKPDTHGPGFVEVENVFGLSNFVPILFGSKQLCFELERIQDALCGSSKYKSANGEFTGITSDPCKHLELQQTAMSGFLIEIGWLIKKPSPDEFKSLLSKTNIKRWICVLEFLIQNNFVNVLEMIVKSSGNIIGSEILSNLESGRLEHHVTTFLGHIRHARIIVDHRAKHNEETQLQTRWCGDSVSDQPSLGTSVPLGKENVAASDDLCLPSTNAECKEEESVLLVTNEAVSHRQGCRPELNARWLNPALVAPFPCGVMRTRLVATVAVAAILCFTACVAVFHPDRVGVLAAPVKRYLFSDCPPWHHSGC >SECCE1Rv1G0032560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:456707961:456711577:-1 gene:SECCE1Rv1G0032560 transcript:SECCE1Rv1G0032560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPAAAATAAATEVGAPASPPPPAAEEADASAEAEAVPEAKRWPGWPGDNVFRMVVPVLKVGSIIGRKGELIKRLVEETKARVRVLEGPVGATERIVLVSAKEDPGLELPPAVDALIRVFKRVNGITDGAAEGTQTAAAPGVCAARLVVPGAQAINLIGKQGASIKAIQEGTGATIRVISVDERDRPFYVTDDERTVEIQGETEKVLKALQAVSNHLRKFLVDHSVLPLFEKTNAPVSQDRSAETWNDMPHHSIVSTQVNQQPEVRDEYVLPMKRDHLYLEREPLVEHNIHRSGVSLYGRDPALSALRPSGMHGAGPLLTQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEIKGTSSQVQSAQQLIQDSLAAHREPVRSSYAGLDPLYRSSYPYGSSAYPSSSLPSYSSMDGSGYSSSGLGGYGSTYRY >SECCE5Rv1G0333320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:556189070:556189943:-1 gene:SECCE5Rv1G0333320 transcript:SECCE5Rv1G0333320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAVVSNPQPVTASLGAPRRPGRGSVRVRSTRNGSADNLDHLRRPPTATTARQPRQQGNGNPAPRRRVIQTTPFGLWDSFPDARTLDQMMRTMERIMDEADDDDGRRPFVVPGAAVSRPPRPERADDAAAGHRRGRTPWEIKERAGDYLVRFDMPGMTREDVRVSVQDRTLVVVAEKAAKQGEADGEKDKGNEEEGEEEEAWPAASYGRYRTRVELPENVEVERIAAEVRDGVLYLTIPKVSPSGGKVVSIQVQ >SECCE6Rv1G0403780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:509891885:509893592:-1 gene:SECCE6Rv1G0403780 transcript:SECCE6Rv1G0403780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRAPGPRKDEVVTREYTVNLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTNDVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEVPQEGLKGLGTKVVEDED >SECCE1Rv1G0048810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637389225:637391050:1 gene:SECCE1Rv1G0048810 transcript:SECCE1Rv1G0048810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKKESPKLNQRMVSSLSKRTAAAHSWHDLEIGPEAPLIFNAVVEITKGSKVKYELDKKTGMIKVDRILYSSVVYPHNYGFVPRTLCEDGDPIDVLVLMQEPVIPGCFLRAKAIGLMPMIDQGEKDDKLIAVCVDDPEYRHFNDLKELSPHRLAEIRRFFEDYKKNENKEVAVNDFLPPETAQAAIKHSMDLYAEYILQSLRN >SECCE2Rv1G0105410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:620051398:620052006:-1 gene:SECCE2Rv1G0105410 transcript:SECCE2Rv1G0105410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLWRQPSLEFDVKVVRLAGVEARLEGGLFVRYYVPAGDGRRRIRVDTREVPCGAGGDDVLWGERVRFQLAGNGGAVAAPGKVAFELRWRPRPSSSGLAAFLGSGRPSSRVLARAELALSESAESWLRLSPAGRELGGGCKAPKLLVEVKVVHAVAAADRVAVQARKFGVVNECCRGGERCGSCGWVGTEEDMFLAATFTQ >SECCE3Rv1G0176950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:451951577:451968921:-1 gene:SECCE3Rv1G0176950 transcript:SECCE3Rv1G0176950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGPAERRDEGQQGGIANGNGVAPPPSRMAGRPSGAAAYPDRRLRLNPNTEHKPQDYTDVRGEYAPAVYSALERHLPPSLLEADRDVKLHFMRDILARYWPHGERNKVHRHKEYRQKIVHLYKPLHQELYSMHPSAFFLPTFLEAVRTNTEESIASIMTEPTPGVFSFAMLQPNFCNMLLEEVENFEKWVHAMKFKIMRPNTMNKYGAVLDDFGLEVMLNQFMEEFIAPISKVFYPEVGGGTLDSHHAFVVEYGKDRDVELGFHVDDSEVTLNVCLGKQFSGGELYFRGIRCENHVNSETQHEEMYDYSHVPGRAVLHRGRHRHGARPTSSGLRMNLLLWCRSSVFREMKKYQMDFSSWCGECQREKRERQIQCVKATKLAFLRGAGGAMI >SECCE4Rv1G0231010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:175334174:175334395:1 gene:SECCE4Rv1G0231010 transcript:SECCE4Rv1G0231010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYTIYKDHITLGDYEIHDGMGLELYYN >SECCE5Rv1G0318150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:358282393:358286169:1 gene:SECCE5Rv1G0318150 transcript:SECCE5Rv1G0318150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTIKKARSKKTKGSRKGEASSSSSANPAVASGPAQVWQPGVDALEDGEELQFDPEAYNYLRGFSIGWPCLSFDVLRDQHGLVRSEFPHTLYGIAGTQSEKASWNYAGIFKLSNIKGKKREPIPFSALDGDDDMDSDSSSDEDDEEINEDTEPILHLQKVAHEGSINRIRSMNQKPEICATWGDTGHVQVWDFSSFIASLEDPGTVTHKDNGIIHNHVPLKVFSGHKDEGYAIDWSPKVTGRLVSGDCNKFIHLWEPTSSNWSVDTEPFVGHSASVEDLQWNPTEENMFASCSVDGTIAIWDIRIGRKPCYAPVKVHNSDVNVISWNSLAGCLIASGCDDGSISVHDIRAIEGGEPGKSLVAHLAYHKHPITSVEWSPYEASTLAVSSADNQLTIWDLSLERDAEEEAEFKAKMKEQANAPQDLPPQLLFVHQGQKDLKELHWHPQIPGMIISTAADGFNVLMPSNIDATIPTAGASIPMLM >SECCE5Rv1G0341120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:620784507:620786915:1 gene:SECCE5Rv1G0341120 transcript:SECCE5Rv1G0341120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMSVSVSASAAASSSLPPLSARRRSPPASLVPARGLRSRPRPRLLSSARTTPVLLPAAAMATDGAAPAPAASSKRDLLIFDAEEDLAVSLAKYTADLSAKFAAERGAFTVVLSGGSLIYALRKLTEAPYLETVDWSKWHVFWVDERVVPKDHEDSNYKLALDGFLSKVPIPTGQVYAINDALSAEGAAEDYETVLKQLVKNGVLAMSKTTGFPRFDLQLLGMGPDGHIASLFPGHPLVKENKKWVTHILDSPKPPPQRITFTFPVINSSAYVAMVVTGAGKAGAVQKAISDKKTSDLLPVEMAVLDDGEFTWFTDKEAVSMLQN >SECCE1Rv1G0049730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:642891271:642893995:-1 gene:SECCE1Rv1G0049730 transcript:SECCE1Rv1G0049730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor A [Source:Projected from Arabidopsis thaliana (AT1G29330) UniProtKB/Swiss-Prot;Acc:P35402] MNAFRFLGDMTHLFSVIVLLLKIYANKSCSGVSRKTQELYLAVFVARYLDLFTDYISLYNSVMKVVFITTSAAIVWYMRRHPQVRRTYDRDQDTFRHVFLVAAAFALALIFNERFTFREICWAFSIYLEAVAILPQLVLLQRSRNVDNLTGQYVLFLGAYRAFYILNWIYRYFTEGHQSRWIPWIAGLIQTGLYADFFYYYFLSWKNNVKLELPA >SECCE6Rv1G0389390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:150171927:150174020:-1 gene:SECCE6Rv1G0389390 transcript:SECCE6Rv1G0389390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMAVEQVASYLLCIVLTLLLPPLLLKLVRLGGNNGLNLPPGPWKLPVIGNLHQVARGGPLVHRTMANLARRLDAPLMCLQLGELRVVVALSADAAREIMKTHDASFATRPWNTTTRLLRADGEGLVFARYGALWRQLRKLCVVELLSARRVRSFRRAREEEARRLVATVAQSASSGEAVNVSERITTVVADSTMRAMIGDRFERREEFLEELAEIVKVGAGFSLDDMFPSWRLASAVGGMTRRAELNHRKTYELMDCVFRQHQQLREAATEGAAKEEEEEEDLVDVLLRIQKEGGLEVPLTTGNIKAVILDLFNAGSETSANTLQWAMSELMRNPLVMHKAQVELRNSLQGKATVTEDDLAGLKYLKLVIKETLRLHTVLPLLLPRECRETCNVMGYDVPKGTTVFVNAWAISRDPKHWDEPDQFKPERFESTTVDFKGTDFEFVPFGAGRRICPGITFAQANMEIVLASLLYHFDWKLHGKALPSELDMAEEMGISVRRKNDLYLRPVVRVNPCAPVY >SECCEUnv1G0530410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:12834230:12838011:-1 gene:SECCEUnv1G0530410 transcript:SECCEUnv1G0530410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTSPVQLPVLLLLLLMILTAPAAHRAAATPPADPVQCSSGDCTFSTAYGVFPDRSTCRAAAVAYPTSEAELVRAVANATAAKTKMKVTTRYAHSMPPLACPGLGDGRGLAISTRWLNRVVAVDAARAEIMVESGVTLRELIAAAAAAGLALPYAPYWWGLTVGGMLGTGALGSSLWGKGSAVHEYVVGMRIVTPAPAAEGYAKVRVLAAADPELDAAKVSLGVLGVISQVTLALQPLFKRSTTFTERDDDDLAEQVTKFGYQHEFADIAWYPGHGRAVYRIDDRLSMNASGDGVLDFIGFRPTSAAVIKVNRLAEEASERVGNGGSGGKCLTARVTHAALSVAGYGLARRSGGLFTGYPVVGRQDRMQASGGCLTGQEDKLQTACAWDPRVSDSSFFHQTTFSLPLSRAGAFVQDVARLRDLNPKALCGVELYDGILIRYVKSSTAHLGKPAAAGESGDMVDFDMTYYRSRDPGRARLHEDFLEEIEQMGLVKYGGLPHWGKNRNLAFAGVASKYPGLRRFLRVKSAYDPDGLFSSGWSDMMLGAGGAAAPTTDAPGCALEGMCVCSRDAHCAPGQGYVCRPGKVYKDARVCTKV >SECCE2Rv1G0088900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:250257632:250260328:1 gene:SECCE2Rv1G0088900 transcript:SECCE2Rv1G0088900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g42310, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42310) UniProtKB/Swiss-Prot;Acc:Q8L844] MPASLLPPTFLPHHRQRQRLRLPVPSCTTSSVSVSVSASRYDFEPLLAYLSDPSTVASLTSPSPPPSVPAPERRLAASYSAVPSHEWHALLRGLAASDASLPLAFALLPFLQRHRLCFPLDLLLSSLIHSLSVSGRLLPHSLLLSFPPSLSDPPSPLLLNSLLAASAAASRPAIALRLLALIREHNFLPDLASYSHLLASLLNTRDPPDAAILERLLGDLRESRLEPDAPLFSDLISAFARAALPDAALELLASAQAIGLTPRSNAVTALISALGIAGRVPEAEALFLEFFLAGEIKPRTRAYNALLKGYVKIGSLKNAEHVLDEMSECGVAPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPSSYVFSRILAGFRDRGDWQKAFAVLREMHASGVQPDRHFYNVMIDTFGKYNCLGHAMDVFNRMREEGIEPDVVTWNTLIDAHCKGGRHDRAMELFKEMRESNCPPGTTTYNIMINLLGEQERWVGVETMMSEMKEQGLVPNIITYTTLVDVYGKSGRYKEAIDCIEAMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMRADGLEASTVVLNSLINAFGEDRRVVEAFSVLQFMKENDFKPDVITYTTLMKALIRVEQFDKVPVIYEEMITSGCAPDRKARAMLRSALRYMKHTRVA >SECCE2Rv1G0141180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934470096:934471257:-1 gene:SECCE2Rv1G0141180 transcript:SECCE2Rv1G0141180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAMVLAIMGLLAALVCPAAAAAAAEFISMPTDNVGDHSYGVHATFCPNLENIVRDKVAEARRRDIGVVAGLLRIFFHDCFPNGCDASLLLEPSHLYFSERSMGHNQGLHDGALRLIQTIRDTVRDARCTDVSCADITMLATREAIRLSGGPDYGVQLGRKDSIDPATFNDVDKSLPRPNADIGKLIDVFQSRGLDKTDLAALSGAHTIGKASCSSLASRTGNLAWFVEAIKRNCDNSGDKKHMLDVDTPNDFDNKYYSNLLAGKGVLSTDMELLKDQFIEDLVKKWATDKPYFFWKFGEAMAKMARLPVGGNSEYRNNCFRRNSMRETVNLTAEGFAASA >SECCE3Rv1G0211740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953195413:953199705:1 gene:SECCE3Rv1G0211740 transcript:SECCE3Rv1G0211740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAAISLAAGGSATATAASLSRRPAPDLHSRRLLWARAMSHVAPRLSSATVGAPAPEHGHRPRAVAAQAGARQALISLSDKTDLANLGNGLDSLGFSIISTGGTASSLEAAGVNVTKVEHITNFPEMLDGRVKTLHPSIHGGILARRDQQHHLKALNEHGIGTFDVVVVNLYPFYDKVTSGTITFEDGIENIDIGGPTLIRAAAKNHQDVLVVVDHHDYPALLKYLQEKQVDPQFRRMLAWKAFQHVASYDSAVSEWLWKQSSGGDIFPPSFTVPLSMKSALRYGENPHQKAAFYSDRSLSLVNAGGIATSFQHHGKEMSYNNYLDADAAWNCVSEFENPTCVVVKHTNPCGVASRQDVLEAYRLAVKADPVSAFGGIVAFNTTIDEDLAKEIREFRSPTDGETRMFYEIVVAPGYTEKGLEVLKGKSKTLRILEAKRSGKNMLSLRQVSGGWLAQESDDLTPEDITFTTGSERAPTDSELSDAKFAWLCVKHVKSNAIVIAKDNCMLGMGSGQPNRVDSLRIAFRKAGEAAKGAALASDAFFPFAWKDAVEEACENGIGTIAQPGGSMRDKDAVDCCNKYGVSLLFTGVRHFRH >SECCEUnv1G0527950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:2971190:2975962:-1 gene:SECCEUnv1G0527950 transcript:SECCEUnv1G0527950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSSSSCCYLHRRRLHLALLYMMIFFFPAVSRAQMPGFLSIDCGGAANYTDARGLRWTSDAALIATGTPINTTSTSPQGQGQEGQETQYTTLRAFPSDGAKHCYALPVATRARYLVRATFLYAGFDGDDAFPEFDLYLGATRWSPVVVYDGARLVTREAVVLAQSASVSVCLSNATTGRPFISTLELRPLNGSLYRTDAEARAFLALAARINFGAPSPDPVRYPDDPYDRIWESDMVRRANYLVDAAPGTVNVSTDKPVFVATSERPPEKVMQTAVVGTLGELTYRLNLNGFPGDGWAFSYFAEIEESVVPETRKFKLFIPGLPDVSKATVDVGENAPGKLRLYQPGYYNVSLPFVLSFAFRKTNDSSRGPILNAFEIYKYVEIEPGSPDALAMASLAARYTASGDWANEGGDPCWPSPWSWVRCSSEPQLRVVSINLSGKNLTGSVPPELVALSFLAEIRLDDNMLTGPIPDLAASSNLSIIHFENNQLTGSVPSYLSSLPKLTELYLQNNKLSGYIPKALKSRGIIFNYAGNIDLKAGNQEKHHLVIIISALLGVSLLLAVSLCCYVLTRKANNKKNSPPEDDLTKAAPPAHKLQKSDAPSCEIATETCHPFRLCDLEAATKNFENRIGSGGFGIVYYGKLPDGREIAVKVPTNDSYQGKKQFTNEVSLLSRIHHRNLVAFLGYCHEDGRNILVYEFMMNGTLKEHLHGRDKHISWIQRLEIAEDSAKGIEYLHSGCTPSIIHRDIKTSNILLDKQMRAKVSDFGLSKLVAEESHASTNVRGTLGYLDPQYYISQQLTEKSDVYSFGIILLELISGRPPISAMTFGDHFRNIGPWAKFYYESGDIEAVVDPAISGEYRDVHSVWKVAETAVRCIDADARRRPCMAEVVKEVQEAIALERPPSSAEALERRASFPFSPAGPRSGTVRSHDMIMDNLMREDDESSSFPNTLRHPELR >SECCE5Rv1G0335080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:570340444:570344688:-1 gene:SECCE5Rv1G0335080 transcript:SECCE5Rv1G0335080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Iojap, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G12930) UniProtKB/Swiss-Prot;Acc:Q9LDY9] MRGAAIQGHGLARAPPAAVPLLHPRPRRAVGGGGGGGVAPRHRLRSDLLPLLLPTAAPFRARSPPSSSSSNVNPGTGEGADELLEELLQKHGEVVYSSGGASSAALASEADEDAECLSLAVSLAKVASEVKASDIRVLFVKPIVYWTEFFIILTAFSNAQIEAISSKMRDIGEQQFSRVASGDTKPNSWTLLDFGDVVVHIFLPPQREFYNLEEFYGNATPIELPFETQLQ >SECCEUnv1G0531190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16342257:16344938:-1 gene:SECCEUnv1G0531190 transcript:SECCEUnv1G0531190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLPPARLRAAPSDAPVTSPRRPAVILPGLGNNTGDYAQLAAALRDGHGVPSVVARVSRPDWLRNAAGLADPSYWRGTLRPRPILDWYLKRVEEAVSEAKELCSPDGKLSLIGHSAGGWLARVYMEEFGTSDISLLLTLGTPHLPPPKGVSGVIDQTRGLLNYVEKNCAPAVYTPELRYVCIAGRYIQGAPLTGNSIAVTTDELVTADTPSEVAEAVMVGADNKSAPTGPTFRARFVGQGYKQVCGRAEVWGDGVVPEVSAHLEGALNISYDGVYHSPVGSDDEERPWYGSPAILEQWVHHLLS >SECCE3Rv1G0198930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:838333922:838335862:1 gene:SECCE3Rv1G0198930 transcript:SECCE3Rv1G0198930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGDMLLNQELAAEEYGTFESMPPSTFIMPEGTHAAAGNGAEAVPVEIPVVRRQRSASRNHQAPSRGPWTEEEDELLKRLVDEHGEHKWAIISEHLPLRIGKQCRERWTNQLRPGIKKEHIWTEADDILLIKAHKIHGNRWLTIARYLPGRSENAVKNHWNTTKRSLKSKRRFKKKTSQQAAPGQFTLLEEYIRNKMMADENVAPPSPSSGLGYDGQVVPNAAGVLDVSSPPGMGMYIHPANAAAGSLSQGGIMNLSSALPDLNAYGGEMQERYYYPPQSSNNNNSMLHHGPEPAFQQMFSAQGRMHAACTNLCGAYYDSETGRSSAGGSGDLDEDVVQMASREFQTSEEEATLDLTGFN >SECCE5Rv1G0347200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666168406:666169684:-1 gene:SECCE5Rv1G0347200 transcript:SECCE5Rv1G0347200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVAADGDNAREDDQVAVDGPCLGTAVDPALVANGDGGAACEEGSVVGAADGVDEIASGGGGGSSAAGLGQGAEVAGGYVAVVARDSGQGTAKPASTGASDQGMALLASATRVCPDGMVPNSSYLLRIKLFGNPKKARKDILCFCFEKEVDCDLTNYKGLVESIVKQYPPRYMEVAHMQYYDGDLKTCPEVKSDQDLMLMFEKHSETKVLDMIIAYRDPSEPYEPITEWQSDVHSEPDNNIEHEDDTTNKRYAKRGKRVTRTMELTKNDQNGPKVPFDSPAMGTRSKKSYLASPAMSTRSKRRLSS >SECCE6Rv1G0416060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:646445170:646445478:1 gene:SECCE6Rv1G0416060 transcript:SECCE6Rv1G0416060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELTAAQLRAYDGTDASKPIYVAIRGKVFDVSAGRGFYGPGGDYALFAGREAARALAKMSKDAGDVSGDLSGLSDKELGVLADWESKFQAKYPVVARLAA >SECCE4Rv1G0294670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:892351301:892352965:-1 gene:SECCE4Rv1G0294670 transcript:SECCE4Rv1G0294670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCVVSYNNLDGPIPPEIGNLQQVTQLDLSSNKLSGQIPDTLGECQELQILLLDDNSFTGNIPKSLSSLKSLIVLNLSHNILSGFIPIELSNLSFLSHLDLSHNSLRGEIPREGVFANVTAISLGGNWGLCGGVLGLDMPLCHGVSRRSETEYYLVRALIPILGFTSLVMLTYLVTMKKTSGGTYTLLLSFGRQFPRITYKDLKRATGSFSEANLLGRGSYGSVYRGKLTQAKIQVAIKVFNLDIKCADKSFVTECEVLRSLRHRNLVPILTACSTIDNNGNYFMDLIYEFMPNGNLDTWLHSKISGCSSKCLSLAQRTSIAVGIADALAYLHHDCERQIVHCDLKQTNILLDDDMNAYLGDFGIASLVGHASSNTSLGLKGTIGYIAPEYAQSGQVSIRGDVYSFGIVLLEMLIGKRPTDPLFQNELSMVNFLERNYPDQVLQIIDARLDGECKGYIQANTGTENAVYKCLLSLMQVALCCTQLMPRERMNTREVATKLHSIRTSYIRATNQEQVILH >SECCE4Rv1G0228100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:131727445:131727717:1 gene:SECCE4Rv1G0228100 transcript:SECCE4Rv1G0228100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISILGIRGILLNRRNILIMSMPIESMLLAVNLNFLVFSVSLDDMMGQSFASLVPTVAAAESAIGLAIFVITFRVRGTIAVEFINCIQG >SECCE4Rv1G0245410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:529118062:529120643:1 gene:SECCE4Rv1G0245410 transcript:SECCE4Rv1G0245410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFGSKDNSSGRHHHHCNQDHSKSAAPGGKDRFAKFGDDYHTLEQVTDALSHAGLESSNLIVGIDFTKSNEWTGKVSFHNRSLHAIGNTPNPYEQAISIIGRTLARFDEDNLIPCFGFGDATTHDQKVFSFYPENQPCDGFEQALGRYREIVPQLRLAGPTSFAPMIETAIGIVDSSHGQYHVLLIIADGQVTRSVDTGNGQLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTEIMSRPIPTSKKEAEFALSALMEIPAQFKATMNLQLLGKQRGFPHRSVLPPPVSVYRQPSGCSAVKQAQSTSSGYGSPQKNASAPRQDSDVGDQQTCPICWSEAKNLAFGCGHQTCADCGKDLKVCPLCQRAISTRIRLY >SECCE2Rv1G0141380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935495685:935497281:1 gene:SECCE2Rv1G0141380 transcript:SECCE2Rv1G0141380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPGPRRGRPLLLSLFLIFFFPGTMRRAESAREFTLINQCKTEVWPAVTAGESFGGGGYALRPGESVVLAVPVGWSGRVWGRTGCDFDSAGNGTCETGGCGTSLQCASASGAAPATLAEFTLASTDYYDVSLVDGFNLPMVVTPTPTSANWTGCAPAGCDGDLRRGCPSELAVKGDGGKVVACRSACDVFGTDQYCCRGQYANPVACQPTFYSKKFKAACPAAYSYAYDDPSSIFTCAQSPDYTITFCSSNMKQSVCSYRDSRLVCSGSGRNTSSAPMLVLLLLLLSTFLALQFATPM >SECCE1Rv1G0017150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:147985665:147987677:-1 gene:SECCE1Rv1G0017150 transcript:SECCE1Rv1G0017150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETERSSTESSAASGLDFEDTALTLRLPGSDPDRKRASTSDPDCPSPTAAASDSPPSPKAQVVGWPPVRSFRKNALAAAASSKTKFVKVAVDGAPYLRKVDLKAYAGYTSSASYDQLLATLQDKFMSHLTVRKLGNEEMKLVDAVSGTEYVPTYEDKDGDWMLVGDVPWRMFVETCQRIRLMKSSEVVNLAPRAGR >SECCE5Rv1G0327890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:498269717:498270394:1 gene:SECCE5Rv1G0327890 transcript:SECCE5Rv1G0327890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGAKAATTTIVRLRELLHKWALGARGDADGCEEEEEEETHAPAAGAGAGGAPSSIPPFVLRRLTRTATVDSDDESCHSPEAAPDVPRGYCPVYVGPEQRRFVIPTTYLGHPVFRLLLEKAEEEFGFQHEGALAIPCDTEAFKYILQCVERHDKGLAADDVDVDVDEEGKLRPVL >SECCE7Rv1G0512940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:817551897:817554314:1 gene:SECCE7Rv1G0512940 transcript:SECCE7Rv1G0512940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIIMVTFLALALCFTTAVGAAATVSARRPLRGNDTLVSAQGKFELGLFSPAGSSGDRFYLGIWYKNIPGRTIIWVGNRASPLSDVASAELRVSADDGNLALVGSTTASASPVVVWSLNLSSSLLPGSNTTAEIRDSGNLVLVDGGNSSNVLWQSFDHPTDTQVPDAWVGENKLTGEYQALTSWRNAQDPAPGMFSDTLDPNGTSEIFFMWNRSYVYWRSGVWTGSFFARLPEAPKNFIYKTTYVETPAYRRAINVLYDNATMARHVLDLTGQLKLYVWMPTSQSWQVLWTAPMVSCDVYALCGTFGLCDQSGKLPCGCLPGFAPVSERNWTLNDWSGGCRRSWPLTCAPNGSTTDRFLALPDVKLPGDSPAVGAAKSKLECESTCLKSCSCQAYAFSAGECTVWHGEIRNLQRLYVDSDSPGSDVYLRLSERGLQDLRSVDRKKMGRNLWLVLGIVLAGVAALGGSVILAWRIVLARRRRLTRMANVNGSSMAVYSYGDLRAATNNFSERLGGGSFGSVYRGVLKRHKGDNAIHVQVAVKKLESLGGRQGDKQFRTEVSTLGLIHHVNLVRLLGFCSSGNEKMLVYEYMPRGSLDALLFCDGACLSWHERYNIMVGVARGLAYLHHGCQECIIHCDIKPENILLDEDMSPRIADFGMAKLVGRDFSRVLTTMRGTIGQPISAKADVYSFGMVLFELISGRRNSKGYSGVEALGSGSSDMWTFFPVWAAGKVMEGEVGALADPRLHGNVRPEEVERACWVACWCIQDREAQRPTMAQVVQALEGAVQVHAPPVPRALQHLVTLT >SECCE4Rv1G0290060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:870528016:870528378:1 gene:SECCE4Rv1G0290060 transcript:SECCE4Rv1G0290060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGKVAEWIPKRTMPRKPAARRQSRDSGASEPILPSEAARKSWSSASGGNGAGGGGAPPAHSKSRATAFLSAHMRLRPRVNVVAVLCEKVVYPLMWLVESVVLVGRLCFFLMRFGFKQL >SECCEUnv1G0558150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:330256092:330262453:1 gene:SECCEUnv1G0558150 transcript:SECCEUnv1G0558150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACAPLAMGTCVFLICAMAIVAYTTSSYLSTALLAVSDLRPYIVSLTTNGRGGTNFGGPLHTSLHMCRKPKLPPNPLPPFYCCPPTSTSPVRRPVHAVGAKYMAKYERAIALMKALPPAAHRPAFYQMANIHCAYCTGSYRQTTHPELDMQIHFSWFFFSFHRAYLYFFERITAKLLGEPDFALPFWCWDVPDGMRMPPEFANSSHGPPSLVDLGFLGVESNRTDEQQIQHNLWTMYKQMIGNAALLSLFHGQPFRAGQCDKPGPGTVELLPHNMVHTWIGDIALTNVENMGTYYSAGRDPLFYPHQNNIDRVWEAWREVDTSHGYRGHVDFTDPDWLNSSFLFYDEESHLLRITVRDVLNTEKLRYKFDGVDMPWVDARPPTTPNVSKNKALLKSVRCPLSLHKVVTVEVRRPQILRSTQEKVAREDVLFDVYLNAMAHEKVEPNLHELARSYMCLSHPRTDGTGKGMIVETSMRVALNVLLEDLDADGDETVTVTLVPRHGKVKIRSLRIVYMVE >SECCE1Rv1G0009130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:52804533:52805051:1 gene:SECCE1Rv1G0009130 transcript:SECCE1Rv1G0009130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYHLTLLSVFFFLLLTGPVVADPAGGKKVGKKVIMTVRYPAADSGEKITISTRLIGEGGVGDSHFHLDGDDYEPIIFATKNLVSALEKVGDPQASPSEL >SECCE5Rv1G0355760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:734065093:734065888:-1 gene:SECCE5Rv1G0355760 transcript:SECCE5Rv1G0355760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNNASWSSGLCGCFDDVGGCCLTFFCPCVVFGRIAEIVDMGATSCCASGTVYAALASVTGMGCLYSCGYRSRLRERYGLKETPCGDCCVHWFCEACALCQEYRELKTRGFDMALGWQANMEKMGKTTAPQTHAGMTR >SECCE3Rv1G0184970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:669321863:669322531:-1 gene:SECCE3Rv1G0184970 transcript:SECCE3Rv1G0184970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARSVMLQALLLAVTLAAAPGVLSDPPPLQDFCVADLKATTAVDGFPCKAPSTVVDDDFFSDAMVAAPRTDTNPFGVNSTRATVSVFPGLNTLGLSITRTDLAVGGLNPPHSHPRGSELVLVLKGEVMVGFTSATNRLFTKVVKENELYVVPRGLQHYQLNVGTGDAVFMAMFDAQSPGLVTPTLALFATEPAMPMEVLTKTFLMGEDEITTMKSKFAGF >SECCE5Rv1G0320870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:394004198:394005388:1 gene:SECCE5Rv1G0320870 transcript:SECCE5Rv1G0320870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEQEHPETSELEEEVEKDEDAAIVELVTELKQEGTTLFRLRDYDGAAFKFDEAIRLSPRAPRAYNENDIASLHSNVAACYMHMNAHRPEDDYHYHQAIDRCNMALDASPRYTKALLKRARCFEALDRLDLACVDVQEVLTLEPNNAVALELLESLREEMEEKKFLLEQEARSLDELIKVISASEKVAKQFSCTMATAADPAKKALSTETDDNDTEGILIHGDQEDEQASYDDNGGEEAPHCQSEEEEEAHHGDQSGQHKQEDGDNPEHHAIAGAENSAGSGATRCVEFVLGEEGDVRRIALLPQDGGLAQLMDIARSKFPDLKEFSVNFKDDRGDLVTVDSTTDQSTWFDEEANSRSQGPLRLYVTEGNRERVPCPDQPIREHHSVDPCLSHDQ >SECCE4Rv1G0254500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:638313499:638323072:-1 gene:SECCE4Rv1G0254500 transcript:SECCE4Rv1G0254500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRNASHGAAAAGRDAAQSDSPSTYTAATPRSRFAAEFSPEAFDEPGAGEAPPAKESVTATVRFRPLSSREIRQGEEIAWYADGETIVRSEHSPNVAYAYDRVFGPTTTTQQVYDVAAQHVVSGAMQGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFGIIQETPNREFLLRVSYMEIYNEVVNDLLNPAGKNLRVREDLQGTFVEGIKEEVVLSPTHVLSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTVESSPCCESNEGETVTFSQLNLIDLAGSESSRAETTGARRKEGSYINKSLLTLGTVISKLTDGKATHVPFRNSKLTRLLQSSLSGQARVSLICTVTPASSNSEETHSTLKFAHRSKHIETQALQNKIIDEKSLIKKYQSEIRQLREELEQLKRGIFTATPLKDVTEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLGRIQRLTKLILVSTRATQTTRSSQHPGTRRRHSFGEGELAYLPHRRRDIVVNSDRNELVMPTEGFGEALEMSPKEEKQSRKGLLNWFKLRKRDSGSATLACSDGGKSSLTKSFTAPSTHLEDGINFLSEQRTWNSMLDENVPSDFLSVDLGIPSDSSPLEEIPSIQSVSRKSEILDDVDLLREQLKISSGEAVLHANVVKHLTEEAGISGMNKQIEKEIKVVNDEIKCKQQIASLESQNAPSVADSQGKTDTSEVAPYYAEILKQLNEKTFQLEVKTADNRILEDQLEKKASECEELQDTVVYLKEQIVQALQIDEFLPERNRLQQQTGKDIGSQVHNDNPLSSVASEKPLGNTSQSEIDELKQKLCELTEAKAQLEVRNQELVEESTYAKGLASAAGVELRALSEEVTKLVKQNEKLASELESVRNSTPRRASHSPKAARKASHIERHEPGGKRDANSSIQREQALEAMLVEKEQREADLEKKIEESKQKEAFLEGELANIWSLVAKLRKAEGNCEDVMHDLIVDHEP >SECCE5Rv1G0316720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:328439049:328443382:1 gene:SECCE5Rv1G0316720 transcript:SECCE5Rv1G0316720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACR12 [Source:Projected from Arabidopsis thaliana (AT5G04740) UniProtKB/TrEMBL;Acc:A0A178UNY1] MALATSHHRHLVRPAAAAPRVPLGSLCFAAPRSLPRICCQSINSANVLGASSMTADDAVPQPVVLIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGSVATDSSVTQTKFHIMRLGRKVEDPDMLETIRLTIINNLLQYHPESSEKLAMGEFFGIKAPEKKVDVDVATHVIVQDDGPKRSMLYIETADRPGLLLEVIKIITDVNVDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLVNCLRYYLRRPETDEDSY >SECCE2Rv1G0139780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929039547:929040968:1 gene:SECCE2Rv1G0139780 transcript:SECCE2Rv1G0139780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTLLAAASCLLLLAAVALRRSTRRAHARRTLHRVREAAVAHRALNKNADAFLDRAEGFFPVVLGTGLRRGERNETIVTASHGPHWRALRCNLSADVLHPSRVASLAPLQQEAAQDLLAGLLAKVQGEAASVVKIRGDLNRAAFSLLARMCFGDDVDGQCVESMEREMLDLLATVGELIPVVDGSWLPQLLHRRQLTHLMGALDRQKLMYLPLIDARRQPESRVYRGGGVAYPYVDSLLDLRVPNGEGGGRRALRDDELVCLVSEFLGSGSGSTVACVEWTLAHLIDRPEVQDKLRREIDGTDGGRTVTIRSLRRGMPYLNAVVLESLRMHPAAPFMMRGTHGDGAAVVGATTVPNGDGLMVQFVLGDIGRDSKVWTDPDEFRPERFLAGGEAEGVGPLPGPKEVRMLPFGIGHRHCPGTGFAMLQVKCFIAALVREFEWADDCGRVDMTELDVFFKVMKKPLSARVMRRT >SECCE7Rv1G0459590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:26511169:26513576:-1 gene:SECCE7Rv1G0459590 transcript:SECCE7Rv1G0459590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPLHSSALSKYINARISAELKTREGTAKSEPARNFFGNLFSPGGTAKSEPARNFSGNLFSPGGTAKSEPARSSFGNLSSPGGIAKSEPVHPSKPLDIAFWTVMGTTAAYLGLMLYAMLNRPDELGTDEEYRNFSIKVLDDSNKGGTGKSRTMDKVNKVLGDSSKGVTRKSRTLDEVNKVLDDMVKAVDDLKRAATKTSAKPSDVSGKGGNVDDVNKGTTNSSRKSSYVDAEKGSVSMKSTTGFRDVKGVDEAKAELEDIVHYLRNPKLFTSLGGKLPKGVLLAGPPGTGKTMLARAVAEEAGVPFFARSGSEFEEMWVGVGPKRVRELFSEAKKQSPCIIFIDEIDTIAGQRQVNDRNGARETLNQLLVEMDGFKQNDGIIVLAATNFPQSLDKAVIRPGRFDRHVQVPNPDVEGRRQILEACMSKVKAKGVDLMTIARGTPGFSGAALTNLVNEAALKAAKDGSEAVTMDHIEYSKDKIMMGSERKSAVIPDNCRNMTAYHTGGRALVAMHTDGAHLIYKATIIPRRNSLGMVIQMPEEEDAYKFSRKKMLAKLDVLMGGKVAEEVIFGESEVSSDALSALREATQLATDMVTKYGMSKRMGPVCYGNNDGKQTATLSWQTTALVNEEVKELLVKAHKNAENIITAHRNELNVLADALLEHGTLTGDQIRQMVNGVKIGNAQNQETPSSSLP >SECCE5Rv1G0363450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:793166756:793169696:-1 gene:SECCE5Rv1G0363450 transcript:SECCE5Rv1G0363450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGNSALNATEAVMKRPRSVASRRPRPKEQLASEYKDISCAPSRSISPEDEAAAEASGHRRKELYLNVPEGRGSTHHRNALSKKIKKEEGSAGDNDIGHNRSSKPNDAKHITHGVLALASSRNPGSSDASQLPSRDTSVPVENRVRKVKLKVSGLNRIIPKQEPVEVGMPGTLDVSFHRQKQKDSGEQKHHSTRKDSHGNHVDGKRGDKHDISPSSDLVRKSKRVPKKRTLDSDDEDGELRYLEKLKVAKAAPEQLMATDQPLAYGYGEDGLRKKKLSKVSKNKSTPYEVDHDFTMSQFSRDARKKVKLEDTSDFIVEEEFGLDEPDRLIEADSPSGVKIEAPGLTTRQRALQGRGGHGESMIEFPDGLPAAPSSRRQKDKLSDVEIQAKKAEVAQRRKMQVEKAEREQQAEAMRKILGIDTEKKKEERKQKEREDKETQAKFEEYKRSCIQCVMRPEGTVVTFPDTMGLPSIFNSKPISYPPPREKCAGPSCSNPYKYRDSKTKLPLCSLECYKAVQGGGGTMAC >SECCE2Rv1G0109860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:681677930:681680210:1 gene:SECCE2Rv1G0109860 transcript:SECCE2Rv1G0109860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPDAKEGGPLQEAAGAGADSGPRDGSVECGVASPFRWLRRLSRELHWSFVLAVFAVYGACQGVGNAVGVVAAAYYSKDVLRLQPSAAQFYQGIVDSPWVVKPIWGLLTDVVPVAGYRRRPYFLLAGVIGATSMLMISLESNLGLIPAVLALTAQSAGAAIADVTLDALVAQNSITHPPLAADMQSLCGFCSSFGSLIGYSISGLLVHSMGAQGAIGLLSIPSALVFSAGILLKESKVTNFSYRQVHKKFYKAIQSMGTTLKCPEVWRPCLYIYVSLNLSLDIQGGMFYWYTDRLTGPGFSEEFIGVIYAVGAVGSLLGVVLYQSSLKDYNFRSMLLWGQVLSSLTGMLDLALVTRLNTKIGIPDYVFAVIDCGVSVMVGKLQWMPVLVLCSKLCPPGIEGTFYALLMSIQNVGLLMSAWWGSLLLHTLNVTRSEFTNLWAVVLIRNVSRLLPLMLLFLVPQSDQNSTLLPAEMLHDEECTETVKDGSGSAQFSVLVADDSSYNPSSGVAEDERIKVIDDVGDDVEMMPLMNKTRTIG >SECCE6Rv1G0407050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:553578792:553579259:-1 gene:SECCE6Rv1G0407050 transcript:SECCE6Rv1G0407050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAVSVLFLIAGVVVMLALHVLVIVWAVRRGAVLRLRGAARGRDQEQAEAAGLTADELGELPCQDFKAAAAVGTGAGECAVCLEAFQGGDRCRVLPGCHHGFHAQCVDSWLRQSRRCPVCRAEVACRGKAAGAVADGAATSEIVAERLGGADR >SECCE3Rv1G0162270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:128345411:128346961:-1 gene:SECCE3Rv1G0162270 transcript:SECCE3Rv1G0162270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVSWWVRGFLGKYPEIMVSFACFLFLLFFRYRRRDGLPTNWPVVGSVPAITVNAGRVHEWLTEFLRVAPGMSHVAKGPWGSPVDILITANPADVAHVFTTNFGNYPKGEDFAALFDVLGNGIFNADGDSWAFQRRKTHALLSDARFRAAVAASTARKLDEGLVPLLDGIAAGAAVVDLQDVFMRLTFDLTAMFIFGMDPGCLAADFPRVPFAAAMDEAEAVLFYRHVTPIAWLRLQTYLNIGHHKKMTKAQQVLDASIAKFVSLRRERAASADTNGDGGDAADLLTLYMACQDEVGKEGNEFDRFLRDTTLNLMVAGRDTTSSALTWFFWLLSNHPDVEAKILAELRENLSSGGHPSAADLKRLVYLHAALSESLRLYPPVPFEHKAGARPDTLPSGTAVLPSRRVIVSFYSMGRMESVWGKDCLEFRPERWLTAVGRLRHEPSYKFVAFNVGPRTCLGKDLAFTQMKAVVAAVLPRFRVEVAPGAVVRPKLSIILHMKDGLKVRVYKRQDDAR >SECCE6Rv1G0417960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:660360258:660363878:1 gene:SECCE6Rv1G0417960 transcript:SECCE6Rv1G0417960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEGFEDAQIERPADFAMERVCENTVSIDFKQAKMVTAIGESWHNFVPAIRSGDWSDIGGRDYMEDAHVCIPNLAKNFGYNMVDDEVISFYGVFDGHGGKDAAQYVRDNLPRVIVEDAAFPLELEKAVRRSFVQTDSQFAEKCSLQDGLSSGTTALTAMIFGRTLLAANAGDCRAVLSRRGAAIEMSKDHRTCCLNERKRVESLGGYVDDGYLNGQLAVTRALGDWHLDGLKEMGEPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDFFSNQNSVDFARRRLQDHNDLRRCCKEIVEEAIRRGATDNLTAVMVSFHQEAPPQIRVNRMGRVERSISAEGLHSLRVLLEGQ >SECCE1Rv1G0042810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:584375010:584376046:-1 gene:SECCE1Rv1G0042810 transcript:SECCE1Rv1G0042810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVTPAGCSTLSELVVQGRDSAAVLEALLRGASSPDNAGIQELAAEILRCCDRALAALHGGDLAGGSKRKSGPSGADSQMRPRRRMRVTSGEKAARVERRRTAEDGFIWRKYGHKEIHNNKHPRLYFRCTYKQDIGCPATRQVQQAEDDPSLYVITYFGDHTCCQGDAAGADLEADDVKMQPFVIDFGSATASSGSPWLSPPSDTDNVRRSNSGASHSSQAVCSPDEFVVKEAKIESTSEDSHSTYPAPAAELSSSADFSCASPAWDPLSGCLDWDHFGDSPFDCFSEFMDFDAISLFQ >SECCE7Rv1G0513700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:825128496:825129044:-1 gene:SECCE7Rv1G0513700 transcript:SECCE7Rv1G0513700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMLTANVQQIRAMVASAEALGVPPGSAMFRQALRSVALVSEEKIATKVDHLKKATRWSDADVGIAVSKWPILLSWSKETLQRKSDFLVAEVGLEPAYIARRPAMLSFSLEGRLRPRYYVTRFLKENGLLDHDRDYYGMVLFSEMVFVEKFICPHEEAALHLPEDYAAARRGEMPTTFRFV >SECCE4Rv1G0274200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:783226206:783233485:1 gene:SECCE4Rv1G0274200 transcript:SECCE4Rv1G0274200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSGSTGRPKGVCGTEKGLLNRFLWMQRRNPLCSDDVLLFSTSVSFVDHLQEFLSAVLTSTTLVIPPNNWSANPASLANLIKAYCISRVTIVPSLMEIILPTLEKNISCGYNSLKILVFSGEILSLVLWKRVCEILPETTIINLYGTTEVSGDCTFFDCKDLPAILEREEITSVPIGFPIANCEVFLVTHAGMADEGEICVSGACLFNGYLAEFLRSNHTEGSESSTYYKTGDYARRLKAGELIFLGRKDRTVKNYGQRFSLEEVESTLKEHPAVSDAAVTFQSKGSPDYKAYLVFKNKDGIVKDSLHYREANSSQDIMASIRSWLIKKVPPAMIPSFFLHVKSLPLTSSGKVDYVKLSSLECALEPCGIEQIKSGSGPVNPYLQVIKKAFCDALLVDEVSEFDDFFTLGGNSISAAHAAHKLEIDMRLLYIYPTPSKLLHALVVEHSNLVSPTDEPRPKKGLNDPIAANADDSYHGGKTRINGKRAHYQISGSYGDETDGQLNKYPFSPDDRYQVNVLYLDTCLKDRNSVMGSKWILHFCLHKKWSIGRCNRFMHDVEGKLQLEDVCSYVSYNKRGYLQELWNIPLDSCVDASPLLVLNNGMINIFIGSHSHLFLCIDGCNGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFHDMLTGKLSWTVQTDGEVKMQPVVDRTRNLIWCGSYDHHLYALNYKDRCCAYKVSCGGSIYGSPAVDMAHDRIYVACTSGLVTAISLEVPSFRIVWQYEAGAAIFSSLAIDHQSGNVVCCLVNGLVIALNSHGTVVWKATVGGPIFAGACVSSALPSQVLIPSRDGSLYSFDMTSGDLLWLYDAGDPITASAFVDEVLASTPSAASERFACICTSSGKVRVLGIRADAKQGKDGYSVQEFAAMDLPGDIFSSPLMVGGRIFVGCRDDRLHCLSITNPFNRSE >SECCE4Rv1G0264200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:719596392:719598297:-1 gene:SECCE4Rv1G0264200 transcript:SECCE4Rv1G0264200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATALSTLGDRAAVLAELLHPGRYPDEADEILARLLFCTHHTLPDPPVSAAARLSALPSDDAVDRVSRLPDALLRDIVSLLPVKDAARTAALSRRWRGVWRSAPLVLADADLSPSPAAPAVSRVLEAHPGPFRCVHLTGSCTEESRHLLAPWLQLLAAKAVQELVLVNRRFPLDVVLPATFLRTATLTRLHLGFWKFPSTAGLPPGVSFPNLRELGLYSVVVESRDLDFMLDRSPVLEMLCLEGNMFTLRLCLVSQSLRCVQIIGSFLEEIFVVNAPLLERLINLEGWTHEASCTKVKIGHAPKLQLLAYLQLDTRNHVLETGNTIIKAGTRVSPRTMVTSVTILALEVRFGVRNDAKMIPSVLGCFPNVETLHIKSGKPDQSTGKLNQKFWHDSGSIECIRSRIKRLIFHDFQGGRSELAFLKYFVESALMLKQVVILLSAGSTSAEEVHSKMANVKRADEISLMLVTGYSDLQGRYKRGSEFSLGEPFSLMKFPLAK >SECCE1Rv1G0048690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:637138112:637139201:1 gene:SECCE1Rv1G0048690 transcript:SECCE1Rv1G0048690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAKLQVLMACALLLLAVGCQASPFWPLEIGYYHDKCPQAEAVVKGVMEKAISQNPGNGAAMIRMLFHDCFVEGCDASVLLDPTPFSPTPEKLSPPNDPTLRGFELIDAIKDALEAACPGVVSCADIIAFAARDASCILSRGKVNFQMPSGRRDGTFSNASEPLKFLVPPTSNLSDLVASFVIKGLNTEDLVVLSGAHTIGRSHCSSFVSDRLNTPSDINGFLATFLRGQCPADATPGGKDPTVMQDVVTPNKLDRQYYKNVLSHTVLFTSDAALMTSAETARMVVENAKIPGWWENRFEKAMVKMAGIEVKTGYQGQIRKNCRSINHY >SECCE2Rv1G0076280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89767911:89768494:-1 gene:SECCE2Rv1G0076280 transcript:SECCE2Rv1G0076280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKPDAPFLNNPIEHYHAMATIFGTTGAKGMNARSGNDLLSIDVDDEENGEVNGEINTSPQVGESSHPKGPPKKKAKVVKVLEDPLGAILKDGFKLVAEALVKSGGDDDDIPDDLWDVVSNLKEFDEEHLAHYYAHLVDNLKTARAFMKLSETNKSVWVSKYVKKNF >SECCE4Rv1G0227170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:117619425:117621810:-1 gene:SECCE4Rv1G0227170 transcript:SECCE4Rv1G0227170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQEGKRAALARGEDIIGALPEDLLQHVLSFLPAQQAVRTCVLARRWRDLWKSVAGLGITGGQESEVTFVQELREFVNHLLLLRCRAPIDTCELRFAVVSDDDVPRVSLWIRHIILCQVRLLRLDISRDGYGVYFYVDNLPLASQHLTRLELIDTGLNDSFLDFVGCPALEDLVIINGCFVHVRKISSKSLKRLAIADSSFNQHARTRIYAPSLVSLRLEDNWDRTPVLESMPSLKAAFVRFIKESVDRCFYSNSWDCHNEDCQGCYDLQAVNSDDSVLLKGLSEAENLTLIDEHDTFIFKRDLIWCPTFSKLKTLLLNECWCVPDDFHALACILEHSPFLEILTLHFFSKGPNHKVEIKGGYNAVESSAAISKHLKAVEVKCNSVDAELLKILKFLGTLNICFEGEKALAEDIWPISS >SECCE7Rv1G0472620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:144883402:144886129:1 gene:SECCE7Rv1G0472620 transcript:SECCE7Rv1G0472620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT3G25900) UniProtKB/Swiss-Prot;Acc:Q9SDL7] MAGVVEELVKKAGGCAVIDGGFATQLEALGADINDPLWSAACLITKPHLIKEVHMQYLEAGADVIISSSYQATIPGFLARGLLLEEAEGLLRTSVQLALEARDEFWKSTLRSSKPVYNRALVAASIGSYGAYLADGSEYSGSYGDDVTAEKLKDFHRRRLQVLASAGPDLIAFEAIPNKMEAQALVELLEEEDIQVPSWICFSSVDGKHLCSGESFGDCLEILNASEKVAIVGVNCTPPQFVEGIIRDFKKQTEKAIAVYPNSGEVWDGRAKRWLPVECFGRKSFDVMARRWQEAGASLVGGCCRTTPSTIRAVSKALKSRNGQ >SECCE1Rv1G0058570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:701083509:701083751:1 gene:SECCE1Rv1G0058570 transcript:SECCE1Rv1G0058570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSDSEWSKKENKMFEEALAYYGVGAPNLWEKVASAMGGTKSAEEVRRHFQFLVDDVKNIEHGRIPFPKYKTQGFWT >SECCE2Rv1G0129710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:870074322:870077102:1 gene:SECCE2Rv1G0129710 transcript:SECCE2Rv1G0129710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLIFIFLLSISLFEGWMVQSVPYDHTASLKCLSNPMRSLYKGGILQNGDFDSGLMGWLVPPGVKAGVNSSRSGNKFADAKNKGQPSRGVYQKIHMKTNHHYSLSAWLQVSSGTAVVKAIFKAPNGAYIGGGAVVAKAGCWSMLKGGMTAYSSGPAEFFFEVDGATVDILMDSVSLKPFTFAEWKNHSTQSADKARKSAVKVVARGADGTPLANAELGVQLLRPGFPLGNAMTAEILHMPAYEKWFTSRFTVASFENEMKWYSTEWRRNHEDYSVPDAMLALAQRHGIRVRGHNVFWDTNNTQMAWVNPLSVHELKAAMQKRLRSVVTRYAGKVIAWDVVNENLHGQFYEERLGGNVSAQVYGEVAKIDRSATLFMNEYGTLEWAMDLTAMASKYAAKMEQIRSYPGNAGIKLAVGLESHFETPNIPYMRATLDMLAQLKVPIWLTEIDISPKTGPYQAEYLEDVLREGYGHPNVEGMVLWAAWHKHGCWAMCLTDNNFTNLPTGNVVDKLIAEWKTHPVAATTDANGVAELDLVHGEYKFTVTHPSLESPMAHTLTVDASSSALEHAIDIKV >SECCEUnv1G0545800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:147065150:147066400:1 gene:SECCEUnv1G0545800 transcript:SECCEUnv1G0545800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGGRSETQARGRRQQHQKLPLDVLVDIAARTDPATLVRFAATCLDMRDRLKDDSGLRGRLRLRHGHCFVPLLLHGHLVYGYEQQRSYEQELFVVDTIAVDATKLRRHMAGFGSPLVSRDGLVLIRVAGQQLRVCDPATGSSHTLPSVPAFPVDVANIQPDPRAMSYVLRVGGDDDGGASPVGLHFHVVMVYLELSKNHYHLQLQTFSSEQGAWGRCIKIRAPNMHGSHLRRGLGKALVIGGAVHWLCVVDTGAYIIKLNAKATHVTVTKLPKSFPHDGEHRQLLATLTAGGSPVVLVTDRDKILAWVPSKQTAKWQQRPRVVIEITEAILRFLDRTGGSCKRPTRLVQLDIVWFAERSGTVLINTCCGFFWVNLRSMEIVRRVSNHGVTYMSENIPYEMNLTDWVPTSSSTL >SECCE1Rv1G0011580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:79442209:79454120:1 gene:SECCE1Rv1G0011580 transcript:SECCE1Rv1G0011580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRKFFYRKPPDGLLEITERVYVFDSCFSTDVFDDDKYGQYIGDIVLQLRSHFADASFMVFNFREEERESQQSLLASILSIYDMVVMDYPRQYEGCPLLTMEMVHHFLRSGESWLSLGQHNVLIMHCERGGWNVLAFMLAGLLLYRKQFIGEQRTLEMVYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSSEWPPGDRPLTLDCVILRNTPGCNGEDGCRPIFRIYGQDPLLGTDNTPKVLFSTPKRSKYVRHYKRADCELIKIDIHCHIQGDVVLECISMDADQEWEEMMFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEILFSEMDTADHLDPMEMAGIGEKEGLPIEAFAKVQEMFSIVDWLDPKGDAAVQFFQRLTTYENIQLRQGLVSPSKKDSSIRKEIGHLELGSPTKNIQLRQGFLSPSKKDSSIRKEIGQLELGSPTKNESDNARNKSSNAENSTGYMNKKECDGIHKLASLDPASIYQGKLGNSVVPESINSQVHKEMTHVVDITTERSSSLEKPDEQSSPVQCSSPSMIMSQRFPLSRSSSAFPSNSPPRSLSACPRFFSVPSALGITALLEDHATFGGSENCGSTIIAPTMSDLSSATVKVPSKPSSGQHPTTGTPVVTKGMLPPSPSPPPPPRPSGPVLFVASDAFMLSEAEDDLSEPSPKHSGPSLLPHPSPPHEESTSQLPGTTTLPANHQQSSSNNAQESSPTSTALATSSTSFRLPPPPPPPPPPPASPVGIVGPPLSAPKSSLSRPPAPPPPPPLASTSTPVRPPAPPPPLPLASTSSSVPPPAPPPPPALASTSSAVRPPAPPPPPPLASTSSAVRPAAPPPPPTPPLASTSSPIPPAAPPPPPSPTSSAIRSSAPLPPPPPGIASTPPPPYHSSKQSPPAPPPPQAPMFSKDANHPGASGNVVPPPAPPGLNANLFGTKGRGPAPPSGPMSKSLQSGQTMSRRSNLKPLHWVKVTRAMKGSLWAEGQKADEASKAPVFDMSELENLFSAALPNSDSRRSDKSGSRASGAKPEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILALDDTVLDADQVENLIKFTPTKEEIELLKGYKGDKQDLGECEQFFMELMKLPRVDSKLRVFLFKIQFRSQVSDLKRNLNIVNSSAEEIRGSVKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLTSLELAAKIQLKSLAEEMQAINKGLEKVEQELTISENDGPVSEIFCKTLKGFLSGAEADVRALTSLYSNVGRNADALALYFGEDPARCPFEQVVTTLHNFVKLFTRSHEENCKQLELEKKKAHKEAEPEKAKGESESEKDNLSHPIKELDISLQSQPQTASAK >SECCE2Rv1G0129110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:866813413:866814741:-1 gene:SECCE2Rv1G0129110 transcript:SECCE2Rv1G0129110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITVLSSKAVKPDYGACGAPPGSTAEVVPLTVFDKANFDAYISVIYAFRPPAPPNAVLEAGLARALVDYREWAGRLGVDAASGDRAILLSDAGARFVEATADVALDSVMPMKPTPEVLSLHPSGDDGPDELMLIQVTRFACGSIVVGFTAQHLVSDGRATSNFFLAWSQATRGVAVDPVPVHDRASFFKLRDPPQVEYEHRGVEFKPYKEAEEKNDYVGHAGDGDVVVVNKVHLSLEFISKLKSRASVGAHRPHSTLRCLVAHLWRCVTKARGLAGSVSTSVAIAVDGRARMSPQVPDGYTGNVVLWARPTATAQELVTRPLQHAVELINREVARINGGYFESFIDFASSEAVQKERLVATADAAEMVLSPSMEVDSWLRIPFYDLDFGGGQPFFFMPSYLPVEGLLILLPSFAGDGSVDAYVPLFSRDMDTFKNCCYNLE >SECCE6Rv1G0400790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:457427433:457429431:-1 gene:SECCE6Rv1G0400790 transcript:SECCE6Rv1G0400790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESIWALNLQPQGGERGKTTRTEMIAAPVSGDIPTGAPPSPAPVAEGSPPYGSVVLGGTFDRLHDGHRRLLKASADLARDRIVVGVCTGPMLAKKEYAELIEPVEKRIKAVEDYIKSIKPELIIQVEPIEDPYGPSIIDDKLDAIIVSKETLNGGLSVNQKREGKELPLLKVEVVDLLSGDTEGEKISSSALRKLEAVQAQQQKATIANQKGV >SECCE5Rv1G0340800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:618746818:618753377:-1 gene:SECCE5Rv1G0340800 transcript:SECCE5Rv1G0340800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HAPLESS 2 [Source:Projected from Arabidopsis thaliana (AT4G11720) UniProtKB/Swiss-Prot;Acc:F4JP36] MAPPRRPPPSQPATHPVFLLLATAALVAPAVGVEILSKSRLERCALDSGAGGALSCDRKLVLNLAVPSGSSGGESSLVAQVVEVEESDAQAMQTVRDPPVITINKSAVYAIYPLNYIRDVAYKPEEMFTRTHKCESDAGADVVGVCERLWDQNGHVIEHTEPVCCPCGPHRRVGSSCGSIFDKMIKGKANTAHCVRFPGDWYHVFGIGNRLTDYSIRVQVKKGSSVTEVIVSPENKTVVSKDNFLRVNLIGDFVTHESIPTFEDFYLVTPRKGGGDGQPQVLGDEFSRWMLLERVRFTLDGLECNKIGVGYEAYRNQPNLCASPLWSCLYNQLWNFKESDDNRIYRNQEPQYIVQGRFDRINQHPDAGVHTFSIGITEDLNTNLLIELSADDINYVYQRSPGKIIGINVPTFEALSQVGTAKVTIKNIGKLEASYSLTFDCSSGISYVEEQFFILKPGQVLIRSFYLRSSSDQASKYRCSAILKGSDFSELDRAECQFSTTATVLDNGTQIGPPKQQKKGGIRGFIEAIETLWRNTWDSVVDFFTGRSCSTKCSSFLDLSCHIQYICIGWLVMFGLLLTTLPAVAVLLWLLHQKGMFDPLYDCWEDVFGPPEAAHPKHAGGRGHHAHTHHHHHHHHSKHPHAHAHKKHRSGVPGQHHHHVLHKHAAADGHRHAAALGVQHRDAGHKHHRHGKAPLRERDDGRATEYKEQRGHHERHGGREGRHHSGAA >SECCE6Rv1G0440970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:808352526:808354581:1 gene:SECCE6Rv1G0440970 transcript:SECCE6Rv1G0440970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >SECCE3Rv1G0153110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:52371637:52373176:1 gene:SECCE3Rv1G0153110 transcript:SECCE3Rv1G0153110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLRKLKKGISGKRGDPLVAQHGQTPYDEWEEQQRYSPSPLVSSSPRTPLVSASPRTPLVSPSLRTLQASPSPRAPPVSPTGSPKTPGGSQKKPVLGKVKSKAKKWMHLLHHKKKHPSHDEMMMWTPRIGPSSDDTYGRGGQYDDDERLGTPSTALHPPSFAELDFEPEVYVQASSRQNTPAPSPTACQEQPFFKVSSRFESEMKEANEMLMESKKLRVKTSKQKTVTFAPILEYGPEIENKVWSDKEHPESTTEMFRKACTTVFQAALNMVSRIQDTMVAYNVDKRHMVGKLVSVNRYLLLKLEPSPDDEALAEVITEAVLNLLDAWTENVERPLMQRAKKISSWFLQEGRVELPPVSLSTRPCAAEDAEEFY >SECCE3Rv1G0197890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:824660997:824662135:1 gene:SECCE3Rv1G0197890 transcript:SECCE3Rv1G0197890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVLEVHLVDAKGLFGSDFLGKIDPYVIVQYRSQERKSSTSRDEGRNPSWNEVFRFQINSSAANGQHKLFLRIMDHDNFSSDDFLGQATINVSDLITLGMESGSSQQNPAKYSVVSADNSYHGEIRVGISFTATKVEEDGAQVGGWTHSSRE >SECCE3Rv1G0189890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:732134970:732135515:1 gene:SECCE3Rv1G0189890 transcript:SECCE3Rv1G0189890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECIVDVELQQEREHCVTELPEVDGAELLVELLDASLAAEEEEEEAAAAARGKHHRRLGFPAYDVGEGWIDDGLQELNSIHAHQEAGCEDCGLDGIVVSGLDGCGCSPGPYVLDDGGSAVGYWAEEMEGAAFGFFNGDCVGEWYSDGMAVEWDEGRSYCSFYPSYGAEACAEQPYISPLWE >SECCE2Rv1G0129050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:866387730:866388166:-1 gene:SECCE2Rv1G0129050 transcript:SECCE2Rv1G0129050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMEALEKKGHKSLAKPVKPPYNTPSRHKRSKSDLEEKNARMHSLLRRKCLITQSRMVGTQTLVRRTKPTRESIPRVTRKTS >SECCE6Rv1G0425170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:705179975:705182314:-1 gene:SECCE6Rv1G0425170 transcript:SECCE6Rv1G0425170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNFRKRSLESDAADNSDDEDSRRVALEEIRYMQKLRERKLGIPAESVATGAAAATTDASSTRGRGGSGAGGAGEEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKKGKTIEVNDKDDKDEVDELYVVPDHLKVRKKNMEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQERRLAGKTKSDANIPSSYSADYFHRGRDYAEKLRREHPELYKGQDSQANETGGKPTDSNNPGGPPAGRREAATDELLLERFRKREKFRVMRR >SECCE6Rv1G0400640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:455796976:455802956:1 gene:SECCE6Rv1G0400640 transcript:SECCE6Rv1G0400640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWCFALLLLLCAAAGADAASKGKWDPLIRMPGEEEPAAARGGEGSEEEEDGVGTRWAVLVAGSSGYGNYRHQADICHAYQILRKGGVKEENIVVFMYDDIANNALNPRPGVIINHPEGEDVYAGVPKDYTGEAVTAKNFYAVLLGNKTAVTGGSKKVIDSKPNDHIFIYYSDHGGPGVLGMPNLPYLYAADFIKVLQEKHASNTYEKMVIYVEACESGSIFEGLMPADLNIYVTTASNAEESSWGTYCPGMEPSPPSEYITCLGDLYSISWMEDSETHNLKEETIKKQYEVVKKRTSDMNSYSAGSHVMEYGDKTFKDEKLYLYQGFNPANTNITNKLFWQAPKAAINQRDADLLFLWRRYELLHGNSKEKANVLREISETVQHRKHLDNSIDFIGKLLFGFENGPSALQAVRPSGMPLVDDWDCLKRMVRIFESHCGSLTQYGMKHMRAFANICNNGISGTAMKEASISTCGGHNSARWSTLIEGYSA >SECCE2Rv1G0067470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19038820:19044121:1 gene:SECCE2Rv1G0067470 transcript:SECCE2Rv1G0067470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT4G22750) UniProtKB/Swiss-Prot;Acc:Q94C49] MHRSAGVAMAWNVFRFCTALRGLGSIMILLVLAIVGVTYYAVVLCNYGPALLLGGGATLAALAVLLLFHFLLAMLLWSYFSVVFTDPGSVPPNWNLDFDEERGETAPLSSSDFNSQMNPQQSMALGDTGNPRMRYCRKCNQLKPPRCHHCSVCGRCILKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDVEIPGSPSALATTFLTFVLNLAFSLSVLGFMIMHISLVSGNTTTIEAYEKKTSPRWMYDLGRKKNFAQVFGNDKKYWFIPAYSEEDLRRMPALQGLDYPVRTDLDGQEL >SECCE1Rv1G0016790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:142801463:142805519:1 gene:SECCE1Rv1G0016790 transcript:SECCE1Rv1G0016790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSGGVRLQCAEADWSCCFLSLSLPPPSPCDADSNGGFNLAWTLHQSFHPPAGLFARVGHKVGVGFPASSSGAASPGNPRDPYRKYVSPEAVETSLPVPGEGVGLRGKGKKKAVKIKIKVGNSHLKRLISGGIAGAVSRTVVAPLETIRTHLMVGSNGNSSTEVFESIMKNEGWTGLFRGNFVNVIRVAPSKAIELFAFDTAKKFLTPKSGEEQKIPIPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLHAFVKIVREEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKVYKKVFKTNEIGNVPTLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRKVYKNMLHALLTILEDEGVGGLYRGLGPSCMKLVPAAGISFMCYEACKKILIEEEDE >SECCE3Rv1G0166200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:184076629:184079987:1 gene:SECCE3Rv1G0166200 transcript:SECCE3Rv1G0166200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLLEKALLGLFAAAVLAIAVAKLTGKRLRLPPGPSGAPIVGNWLQVGDDLNHRNLMDMAKRFGEVFLLRMGVRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRVGWEEEARLVVEDLKANPASATEGVVVRRRLQLMMYNDMFRIMFDRRFESVDDPLFNKLKALNAERSILSQSFDYNYGDFIPVLRPFLRRYLNRCTNLKTKRMKVFEDHFVQQRKEALEKTGEIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQQKLREEIVAVLGPGTAVTEPDLERLPYLQSVVKETLRLRMAIPLLVPHMNLSDAKLAGYDIPAESKILVNAWFLANDPKRWVRADEFRPERFLEEEKAVEAHGNDFRFVPFGVGRRSCPGIILALPIIGITLGRLVQNFQLLPPPGQDKIDTTEKPGQFSNQILKHATIVCKPLEA >SECCE3Rv1G0194940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:788056379:788057536:-1 gene:SECCE3Rv1G0194940 transcript:SECCE3Rv1G0194940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAMVKAESTAAAAEGSSGRRDAEAELNLPPGFRFHPTDDELVVHYLCRKVVGQPQPVPIIAEVDLYKFNPWDLPERALFGSREWYFFTPRDRKYPNGSRPNRSAGNGYWKATGADKPVAPKESGGRTVGIKKALVFYSGRAPRGVKTDWIMHEYRIAEADRAPGKKGSLKLDEWVLCRLYNKKNNWEKVKVEQDMAVEAGPNGEVMDAQATDALSDSFQTHDSSEIDSASGLQQRGFMDMAQGQPRGGMVTVKEDSDWFTGLTMDDLQTCYMNMGQMVNPATMPVQDSNSYLQSMSSPQMMRPMWQTILPPF >SECCE3Rv1G0189150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:721747292:721751046:-1 gene:SECCE3Rv1G0189150 transcript:SECCE3Rv1G0189150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe-S cluster assembly factor HCF101, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24430) UniProtKB/Swiss-Prot;Acc:Q6STH5] MAAMRTLHTAPASFIVPPAPHLVSPTPTPRGALPAKAPPAAAATIQSHARPPLSPRVGRRRPCARAASSRAGAVVASVEDAKRDVLIALSQIIDPDFGTDIVSCGFIKDLEISETLEEVSFRVELTTPACPVKDMFEEKANEVVAALPWVKKVNVTMSAQPAQPVYAGDLPEGLKKISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADIFGPSLPNMVSPENRLLVVNPESKSILPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDADEKRYYPFGKGSGAQVVQQFGIPNLFDLPIRPTLSSSGDIGIPEVVADPQGDVAKIFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFFLHPATVRRNDRSAQSVDEWTGEQKVQYGDVPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLDMLERLVDVPLPATAAVASS >SECCE6Rv1G0401950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:475620733:475632083:-1 gene:SECCE6Rv1G0401950 transcript:SECCE6Rv1G0401950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPDRKRRLSGSFAQGVDAVPPAKRPALPPSSSDKKLDFAVIKYRNQKLSEQLEVHKSEYRALEGKFDDLKQKQKSYHEIQDLVNKSWQHLVRDLKAISVCKSGSQNSSCSAVPSNESMDGACIRKDKDFLSRLVETGATESSGCHLENHVHSSTTDVLQNILFSSNDSWHANEKLPLDHFTALPEDERIRELRSTASEISVELNDAIRALGDLHLKHRQLTGKHHEERYLNARSKAEQKRLKEELASAAAELEESNHKLAVLKAQGDTTHGTPILFPTLGNKSLPQDNVRDKQKELQDLGASHKEFTGLISQRLAEIRRLHEERIEILNKLATFQNILTDLKSISSSKVFQVLKDQLQKSQAELDHCRTLLEKLQVNKDKLIWQEREINVKVDLSGIPHRVSHNCESTLAVLDQNLRKVVDEKNMLALKLEESSREPGRNQIISEFKALVSSLPGEMGAMQTELSKYKDDASELHSLRAEVRSIYDILARNEHAINESLCKSTRAGSEVRGLLSKVCELRQTNCELKLFVEMYKRESTDSRDVLESKDREYCEWARAHSLKSSLDDSRLEQRVKAAIEAEATSQQRLASGEAEIAELRGKMESARRDIGSLSESLKSKHEEGEAYLSEIESIGQAYEDIQTQNQQLLQQIIERDDHNTKIFMEGVKVKQAQDTLHLELCNLNRNLRQAKGLMDLYKDKIAHLDDKLKVWSEQTARLSEDGRRHSVSSGNAQSKLADVLGEAEQFRQSMDQVQSKVGRSRLEVTGLLVELEMDRFSKRRTEDDLESLSRKASSLRAKTEGSSVLEKVHQEVNEYRGILKCGVCRDRQKEVVITKCYHLFCNDCVQKLLRNRQRRCPSCALSFGANDVKPIYI >SECCE1Rv1G0019120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:223115048:223115446:1 gene:SECCE1Rv1G0019120 transcript:SECCE1Rv1G0019120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSAGGVATEINAVNYVSPRSWLSTSHFVLGFFLFVGHLWHAGRARAAATGFEKGIDRDLEPVLYMNPLN >SECCE5Rv1G0350440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:690552329:690553216:-1 gene:SECCE5Rv1G0350440 transcript:SECCE5Rv1G0350440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYGCPSPPALPTNDDLGMHRLQEIFGTPNYMRRISQGASDQSQFVADTDDRIASSRRLDSTATDRIPNLSYPSLPPSHYQWPTVIPRQLPPGDERAYVHVYHPPNQTVQEQHRLPHEDGATKVVCLTEMLPDHRLEEDEFYERFLEDVDEEACMFSNLVNVVVPRPGPSNVGPVVAGVGRVFLEYSCLDSSNLCKIEMDGRLWDEKRIVARFYPEDKFAAGDYGYEPPTDNTPSVP >SECCE4Rv1G0255450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:646250662:646252949:-1 gene:SECCE4Rv1G0255450 transcript:SECCE4Rv1G0255450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAPSCSTRFRSPASAVWFLPAAVFILLLLLLRRPTMEPYAPATPPGPVSSRRAELYGRMARDLDERGPAFLKGGETSQSLTLSDLFDVRDGAVVPRLKAADPPVRANVLYLDPEFAAEIAKAVKEVFLPYFDNTIWFQNSSMYHFSMFHASHHLEPILAIKDEIEAEVDAVKRVTEAVCPLKISLDRVVLTSTGVLLGLWQVESGTDPADIRSKLREALPRAPQKQLYDPVLLHTSFARILGPPKLPQQEDTSSFSHVKFFHGLVAQVNGKIRGFQAKVSELWYVEEYDVLALALNGEMKVRRLHLGCDEG >SECCE5Rv1G0334560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:566888266:566888682:1 gene:SECCE5Rv1G0334560 transcript:SECCE5Rv1G0334560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLIESHRAGAEVIKGDEVCKKKSVELLEELGLPKGLFPMDDIEEIGYNSESGFVWMLQKKKNEHNFKKIGQTVSYDTEVTAFVEKGKIKKVTGVTIEGMSLVEVYVDDSSADKVTVKTDTGLSDTHDASAFALGE >SECCE5Rv1G0325650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:467744968:467747511:1 gene:SECCE5Rv1G0325650 transcript:SECCE5Rv1G0325650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGQAMMEPQVVELEDDSINFWASLGVSTHVHGVDQMALHNVHILDHQGQSLPPAVVSHPESVCKELFPVEADPCLEPRVGMEFESGEAAKTFYIAYAGRVGFSVRIARSRKSKCSESIIMLRFVCSKEGFSKEKRVVEGKKTRKRLASIREGCNAMLEVLRRGDNKWVVTKLVKEHNHEVGMPSTVHYIATESDTIVDPYIDMEFESLESAKTFYYSYAIRAGFEVRVRQSRKSQDESLKMLKLVCSKHRYHSGRENNGDDTKRDPSRDGCNALFEIIRKDKGVWRVSKLILEHTHELNPAPASSVCCVRSQGEILVIAKNFADTRNLLLNGQDSQPRREIRYNDLGPEDAQSLLGYLKKVQAEDPAFFYAVQLDKNEDTVNIFWADASARMAYYHFGDAVRFETAYRSNKDHIPIVIFSGVNHHVQPVIFGCALLVDESEESFVWLFEKWLEAMHVRPPVSFVTELNQEMAAAAAKVLPDTYHIFCEKHILGTVKEELHSIYPGLDHFITDLRKCIDGCRIEESFESSWESVLMKHGFRNNEHLQSLYDIRKRWAPAYTKQSFHARNLLAQSCENLEKIIDTYFSSKTQLQVAVQQLEQAICNFHAKEAQADYLTMFQLPALRTASPVEKQAGLVFSRKVFDIFQEQFAESFGYHAERLEDSMLHKYRLTVDDGDEEAHIVSFSPDQSTVCCSCCLFESCGILCRHALRVFIIEGVRFLPKAYVLKRWTKHAKSTVTLDNYIELRGYCEDPSTSRYNDLCYDAIKCAKEGSTSTERYEIAKEALCKALDEIMPTRNIREQNSQNCTTSLRSPVKKLGTSKGTSGKSIKRPALKNSLVESNDSR >SECCEUnv1G0567120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:411614054:411614964:-1 gene:SECCEUnv1G0567120 transcript:SECCEUnv1G0567120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKKVEPVGFGFPAQVRPGRTRVGWIGIGVMGGAMATRLLAAGFAVTAYALTSAKAEALVAAGASVADSPASVAASSDVVFTMVSDPADVRAVVLDRATGALAGLRSGGVLVDCTSSSPSFAREIALAEHAAGCHAVDAPVSGGDVGARDGTLAILAGGDEAVVAWLAPLFAHLGTESLAFADAAGLDARLFLDAVSMGAAGSRVMDIFGARAVNRDFASGPGSARYIIKDLGMALEVGDGQEEEAAALPGAALFRQMFSAMAANGYGDLCVRGLITVVERLNGIRK >SECCE4Rv1G0284840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841174012:841177187:-1 gene:SECCE4Rv1G0284840 transcript:SECCE4Rv1G0284840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALTSLSPRPARTSPSPATPPHRRPPPPRGRIQLTSAVSTAASDGDLAADDYHSTIRSLNSHGRRHVPRKSLGQNYMLNARVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLAAGATVVAVEKDKHLATLVRDRFGSTEQLKVIEEDITKFHVHSHFLPILEEKSRGTKKYAKVVSNLPFNVSTEVVKQILPMGDVFSVMVLMLQDEAALRLANASIQTPEYRPINVFVNFYSEPEYKFKVERANFFPQPTVDGGVIRFKIKDAGEYPPVSSNKSFFSMVNSAFNGKRKMLRKSLQHLCSSADIEAALTNIGLPPTARPSELMMDDFVRLHNHLEKV >SECCE1Rv1G0003950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:15803804:15810368:-1 gene:SECCE1Rv1G0003950 transcript:SECCE1Rv1G0003950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAQPMMLFIVLLLGSIPKGGCFHAIEEQGNSTISWPYGIHPEFFLPKGNLTSDKNSKITYFSSHTWSSGGGNKHYYGVEATLDVYGFDLQNGQESASMISILYRGDGHPSSLNGIQLGWHIFPSLYKDSRTHFYTAWISGGTSGKGCMNMICPGFHKTSSSIAPGNVISPVSRIGGQKRYITLRIFKEKYSGDWHIHFGAKGDPKPVGYFPKSLIPGLVNKPLEITFGGYVNHKKPRLSPPMGSGYPSTSGNAASLSNLKLIDAEGISYIVNVDLPSTDDGKGCYTPSKIDSGQFFYGGSGCID >SECCE7Rv1G0502110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:693143911:693148104:1 gene:SECCE7Rv1G0502110 transcript:SECCE7Rv1G0502110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADDAAAARRRTVITEYRNKLLNCRELETRVRTGRENLKKAKKDYDKTEDDLKSLQSVGQIIGEVLRPLDSERFIVKASSGPRYVVGCRSKVDKEKLTAATRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRTEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKD >SECCE4Rv1G0261910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:704897701:704898111:1 gene:SECCE4Rv1G0261910 transcript:SECCE4Rv1G0261910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLVRRIRGERA >SECCE3Rv1G0164570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:164651377:164658541:-1 gene:SECCE3Rv1G0164570 transcript:SECCE3Rv1G0164570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH:adrenodoxin oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32360) UniProtKB/Swiss-Prot;Acc:Q8W3L1] MGRRLLLPHTRRLMLFLRPMRYSASATATAGSSRDPLHVCVVGSGPAGFYTAEKMLKGHEGVQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANPRCSFFGNVTLGSDVSLSELRKTYDVVVLAYGAESDRSFGIPGEDLRGIHSAREFVWWYNGHPDMCNLAPDLTNTDTAVVLGQGNVALDVARILLRCTTELASTDIAGYALDALRSSTIRKVYLVGRRGPVQAACTAKELREILGLKNVKFCIKEADLATSAADKEEMRNSRIKRRVYELLSKAATVHQENNDNDQKELHFEFFRKPTKFLPSEDGSTVGAVELEKTLLKDDGATGKQVAVGTGEFEELKCGIVLKSIGYKSLPIEGLSFDKYRGVVPNLRGRVLCSESETATVEPGLYVVGWLKRGPTGIVATNLHCAEETVDSILEDDRKGVFTDPSGPKRQGRRGLLEILEQKNARYVPFDGWEKIDSKEKADGEPKNKPREKITRWNELLEAAREG >SECCE7Rv1G0518520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859509127:859509648:-1 gene:SECCE7Rv1G0518520 transcript:SECCE7Rv1G0518520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPLFLILILAVATTAVSEQETTTHIKVYWHDVYSGPSPTAVEVARAPSTNSSKTLFGGVYVIDDALTDGPDLNSSSLVGRAQGMYVSSGKDELAVLMNMNFIFTAGGRYNGSSIAIMGRNSAASGAVREMPVIGGTGIFRWARGYALATTYAFDISSGDATVEYNIFILH >SECCE7Rv1G0492230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:546348707:546352526:1 gene:SECCE7Rv1G0492230 transcript:SECCE7Rv1G0492230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGRRLPPWTSPRGAAEAAPQRWSPCTPAGSGNHVTPPLSAGCCSYRVTPPVSGGGCSRPPRAPPAVDSPYLRAKQAQLIEKDPNKAVPLFWAAINSGERIESALKDMATVLKQANRAEEAIEAIRSFRGRCPNEAQESLDNILLDLYKKCGRTKEQIEMLTVKLRMVDEDLASGRWKTKLSKSHGRVVYLSLRDEKARLLGNLAWAYMQSEKYEEAEMLYRQALAMEADYNKECNLAICLMKMGKVAEAKYLLQPIPYNCKDENHVRSFARATEMLRELESQTLPSPITQMKSKDSPILLAADVESLEYLHPQIFSSSSTQLNYEELQASVSVDTEKREDCNSQVLPSPVTQLKRKGPQILVATDGEKNGQSLQEYQYLSRLFNDAATPQSQLEKLRKRLVRKDRPNISTHNQVQTPSSAECLPNPNGAMDASENPVQEGKGLVDGGRKAWADMVEEDEQQLGDGKNTTVQGESSKDANEQRDKTPSSSQGSSSLKTPVAGVRLQSSSAGSWRRNDSRISTDENVNRNFVRTAPAWKQHKVQDRSNRVCQMLNTMHLNEKAPRTEQTPWRSSAAQRSLFHGHVPFGDNGHSHGANHTEATNRWPKNAASTRPWRPQNRLRVFQEITNEMKQNVA >SECCE5Rv1G0326070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:473224391:473226852:-1 gene:SECCE5Rv1G0326070 transcript:SECCE5Rv1G0326070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHGLLSSLRSHLRSPPPPSNIQPRRGYHVELGAREKALLEEDVALKRFKSYKNSVKRVSKIGNVLTLVVFAACSYEIVALATSTQ >SECCE7Rv1G0476340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:201950208:201956708:1 gene:SECCE7Rv1G0476340 transcript:SECCE7Rv1G0476340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEADDDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSVDEVKKQYRKLSLLVHPDKCKHPQAQEAFGALAKAQQLLLDPQERGYILDQVTSAKEELRAKRKKELRKDSASKIKSQVDEGKYEEQFERSDEFQKQLIIKVREILTDKEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEKWEETRDQRVSSWRDFMKTGKKARKGELKPPRLKTEDPNKSYVQRPVKRG >SECCE7Rv1G0477660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:224329416:224332090:1 gene:SECCE7Rv1G0477660 transcript:SECCE7Rv1G0477660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGQGQGQGQYGDPNINSMASSQLHHYQAQQRVQQLPDNSYPGRDHGQAAGDNQYTTQKVRQSQWDRGGPNIPNQISPYAYNEGQSAEGKRSFYDGQQSDLKVGLEKQPRKELRDQPRTDKIEARHDDYNLPRTFESLEQSFREDIVVLSKELNDAEDAENTRHRERLNEINAQYHEKLLALRARQTAYREEFLRKESLERQQQYQKASISNYANNVVPREPRGYPATAAATPPPPAAPSGGSYGEARRGYSSGQYESFGERPDYPEFHGGDQGRDHGFEHRGHQYPGGRAYNSGGRRF >SECCE7Rv1G0455030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5272601:5272929:-1 gene:SECCE7Rv1G0455030 transcript:SECCE7Rv1G0455030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDTASAARVCRRCKAKYSPSGNTPQSCRFHPSYFVCRRHDDQKRNYELKEGDPPYAAKFYDCCGAEDPDAAGCATGFHLSYDDPE >SECCE4Rv1G0263170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:714669202:714673107:-1 gene:SECCE4Rv1G0263170 transcript:SECCE4Rv1G0263170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPVHLRLRVSITPPHPLPLPYSRRFSSVTSGLATARHRSLRCGSRRRAPVCAARSEAAGTVGFDEELGRLLELLPGELRRRVEDHPELPALVEVVMDLGRPPLARFPSGDFLLSHRPISFDDLRQATAKVGDFGGDNRAGISRTLHRISAIRNRQGDIVGLTCRVGRAVPGSANLLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYEKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQEMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVASAHGVTIENLTMNPSLDMLVGGIQSVTLGDEEASRRRVQKTVLERKGPSTFAYAVEIVSKTELRVHRSLEATVDALLAGRMPNVEIRKLGSTGSVQQEISVQKDQFRRGPYEIVSQSEVASFSNARTSLDSAFNLDSANGHIENSNVSEAGFNLYAYGISEEIALQAIKQLELEDMITLTYNISEADAVIALQSKLKKNSQIQAVLKSEDIPVFFAKTNSLVQITRALRVLVDDHVDGLVDVEDKQEVRSSEETDALEEARLAVEQVVIPKGESVQLLPRRSTIISSQVNLVETFNLKWEVTGKEPNACLRILPQFADREEGTVSEQEASETAPGLTDSDGSSDGMDYAQSGVTRLPFLPE >SECCE3Rv1G0159990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:101489695:101491611:1 gene:SECCE3Rv1G0159990 transcript:SECCE3Rv1G0159990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNFVPDAWGWISSLPPFSQWRTNTMSLCICATPSALASSQPASMSLSATKTPPTQPSHLTFSISANYREPIFLWTSKPVHLKTKAQQSLEEQDMVQLFVDVVNQVLRYGPDNSSKPSFRFPGAQHLHGGSFSDTFNIVFLSLAFLVCIYEAPRDLRRGCLDSLRTQLTSPKCRGAAKTLVKMLGANLEDQWMQTMNLAVTNWMVELRSSHHTPRAASPLFSYALQASGLWKVQLYCPVIAMGMEDPAAAAAQDERLLFSLTYQQLEGVVQLAYKTVRRDNWIDIEVKVDNIRCDVDSLVSETLMAERGYGSEEKHFPSRVMLQITPMQQTDVLSVSVSKSSDNPTHEFGIEKGFESSFDPPNSFGLKASVAESLTLAMKPWKFEQSVHGNTATLNWFLHDGMNGREVCASKPSKLSLLQPRAWFRDRYSNAYRPFTKQGGIIFARDEYGDSVNWKVCGAALGKTMDWEIRGWIWLTYWPNKQRTSHSETRWLEFRECLQLPLTKFP >SECCE4Rv1G0229110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:142688634:142692803:-1 gene:SECCE4Rv1G0229110 transcript:SECCE4Rv1G0229110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMQTSDSSQYGVVENSPYRFPDDKRVEDGNLGSSWYFSRKEIEENSLSRRDGISLKKESYLRKSYCTFLQDLGVRLQVPPVTIATAIVFCHRFFLRQSHAKNDRLTIATVCMFLAGKVEETPIPLKDVILISYEIIHKKDPGAVARIKQKEVYEQQKKLLLIGERAVLVTLDFDFNVHHPYKPLVEAIKKLKIAQKELAQVAWSFVNDGLCTSLCLQFKPQHIAAGAIFLAAKFLKVKLPADGEKVWWQDFDVTPWQLEEVSNQMMELYGPPPSQGNNTKSSSASLADQNAVEKAPGAAEEPPAHNNHPASRPSSLPDHHHPERQNSNQRIVQAEARASNEGPSMPPSGMDAVEKIDDLSERELEHGVESVAEDDEKHDRRQSGPRPPHREDHQKAAHTTEDTEEGVLSKDSQEHHSLEPDNPKPKEHRSRDHSSERDLKRPRP >SECCE2Rv1G0116370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:763382069:763383438:-1 gene:SECCE2Rv1G0116370 transcript:SECCE2Rv1G0116370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAGNGKEEARKTGLEGTGLPLPGGSHGSMRCAGSDPQLRQMLDSLKSSKSSAVINYGASWCGVCSQILPPFCKFSTEFKNLTFIYAHVDECPETTQNIRYTPTFHFYRDGERVDEMFGAGEERLRDRLWLHS >SECCE7Rv1G0521170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:873122815:873126245:-1 gene:SECCE7Rv1G0521170 transcript:SECCE7Rv1G0521170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVAAALVPSRTPALRLPASTTTSRPSSVRPLAPTAGRPARVLSLARRAPVAAAALGVSQDTGVAMPGADIVTQNDLLIVGPGVLGRLVAEKWLKEHPGCKVFGQTASTDHHSELTEIGIIPSLKGSAISQKAPYVIFCAPPSRSDDYPGDLRAAASNWSGEGSFLFTSSTALYDCSDNSLCNEDAASLAIAIMKKRPRGRIFLGCDNKPLSRQEIMDAVNKSGKFDTEFQGFTGTDGPLGKRMENSKTRADIGWEPKYPSFTEFLGVDS >SECCE4Rv1G0224130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:77500336:77502603:1 gene:SECCE4Rv1G0224130 transcript:SECCE4Rv1G0224130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDIRLSIGHQTRFALRLASAISSPSHAEGSTGNAAFSPLSLHVALSLVAAGAGGATRDQLAAALGSAEKGGAEGLHALAEQVVQVVLADASGAGGPRVAFANGVFVDASLKLKPSFKDLAVGKYKAETQSVDFQTKAAEVAGQVNSWVDKITTGLIKEILPSGSVDNTTRLVLGNALYFKGAWTEKFDASKTKDEKFHLLDGSSVQTPFMSSTKKQYISSSDSLKVLKLPYQQGEDKRQFSMYILLPEAQDGLWNLANKLSTEPEFLENHVPTQKVPVGQFKLPKFKISFGFEASDMLKGLGLQLPFSAEADLSEMVDSSGGLYVSSVFHKSFVEVNEEGTEAAAATASVVTLRSLPVEPVKVDFVADHPFLFLIREDLTGVVLFVGHVFNPLVSP >SECCE1Rv1G0056200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:685434825:685438259:1 gene:SECCE1Rv1G0056200 transcript:SECCE1Rv1G0056200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPNLEARDYIGLGPAAAPAPASSSCSSSEPAPHLALRLGLPGCHSPEAAVDAALTLGPSPATAPVPHRGGAKRGFADSLDRSPAAAALAGGEEDKKQAEAAAAGAGAPPAAKAQVVGWPPVRSYRKNTLAANATKTKAENQGRSEAGCCYVKVSMDGAPYLRKVDLKTYSSYDNLSLELEKMFSCFITGKSSSCKTSTRDRLTDGSRADALQDQEYVLTYEDKDADWMLVGDLPWDLFTTTCRKLRIMRGSDAAGMAPR >SECCE5Rv1G0372480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:853119322:853119561:-1 gene:SECCE5Rv1G0372480 transcript:SECCE5Rv1G0372480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAARRDGERRSFWWAILAMVMLLLGVAALYGAMMYQPQLRLHVCDAKLLGGPVVYDPLTLNTTLQGLSINVTLCWK >SECCE7Rv1G0461220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:36638003:36639943:1 gene:SECCE7Rv1G0461220 transcript:SECCE7Rv1G0461220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGAVGWWDEWQLRILVLASLFMQYLLYLCVWLRRSPSMSRARVLVWIVYIGSDAVAIYALATLFNRQKRTLDGGSTALEVLWAPVLLIHLGGQPFISAYSLEDNELWKRHTITLVSQVTVALYVFCKWWSGEKRLLAAGVLLFLFGILKFAQKPWALRTASFNSMHASLDVEHKGSTFSLEDYVKAAKECVLDTEKSGGRYGYGLLSNYMFVDRSAPYALRIKVLSGFMRCEYEHEYKELRARLGDTFSMLYTRVQSFATIHGSAFMFFLPFLALCSIVLLATSHKNGHEEKDITVTYILFCGTAMLEFLLPCMVLSYMILAQCISSFGGGFIMKHFEGWHDMVSQCNIMSFCVRKKKPTLLMKLATFNFLREFVNQNCYIQKKARAYQITGVVRQHMEDGWKNYICDVESYRKFSELRGQWALRRHKHIGWSLNMNFDKSVVLWHIATDLCFYHPNTSHQCRQQAEATLRSREISNYMIYLLLIRPEMLMLGSRSNLFTLASNQIGKDTTEEILAGEILSVPPSSDNLISDARKLAQELMVLDSEEARWILIQGVWVEMLCYSASRCRGYLHAKSLGDGGECLTTIWLLWAVMGLETLADRNHRPEFQDEEEKVEEIELEEALPVHKKDEKKNHILPSCSQV >SECCE3Rv1G0188000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:708186459:708193031:-1 gene:SECCE3Rv1G0188000 transcript:SECCE3Rv1G0188000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide 3'-phosphatase ZDP [Source:Projected from Arabidopsis thaliana (AT3G14890) UniProtKB/Swiss-Prot;Acc:Q84JE8] MLAPLFLRNPSLLFLPAVVRIARGAMSASPAAKATVSVEYAKSGRSSCKGCSAAIAKGALRLGASARDPRGYDSTKWYHVACFPASSHPLGPVEEVQGFDSIKDDDREELRELEKNNKRDQAAVSPLEVPSPKKAKVSPKAEVEEKGSLSVEYAKSARSTCKACNASIAKGTLRIGVSAHDPRGFDSTKWYHVACFPTSSHPLGPVEEVQGFDSIKDDDREALRELEKNNKRDQAAVSPLEVPSPKKANVLPKAEVAEKGSVSVEYAKSARSTCKACNASIAKGALRVGVSAHDPRGFDSTKWYHVACFPTSSHPLGPVEKLKGFDSIKDDDREELRELEKNNKGDQAAVGPVEISSPNKGNSHISLPEVEVAEKSSPGNKTVGTAIHFSASDIKKTYKDATLPTHWKAFDTVIFREQDDGLHASAKIAAFDFDGCLAKTSVKIIGADKWSLQHKSIPDKLQNLYNDGYKLVIFTNESNIERWKNKRQQAVDSKVGRLDNFIECVKVPIQVFIACGTGKGKGTPDDLFRKPNSGMWWLMAEHFNSGIAIDMDQSFYVGDAAGRENDHSDADIEFAKAIGLKFHVPEEFFGP >SECCE4Rv1G0292770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883819374:883822487:-1 gene:SECCE4Rv1G0292770 transcript:SECCE4Rv1G0292770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPDAAFTTGILLVLVLLVSAGVSHATTESEAEALLVWKVSLGDPDALSSWTKAAGLCSWHGVSCNDAGRVESLGLRGLGLAGTLDKLDTTALRALTVLDLNENNFVGSIPVSLSQLHCLVVLDLGSNNFNGSIPPHLGNLSRLVDLRLYNNNFIGDIPYQLSRLPRIVHFDLGSNYLTNPEGYRRFSPMPTVTFMSLYLNYLTGIFPKFVLESGNITYLDLSENDISGPIPNSLPEKLPNLKYLNLSVNAFSGPIPVSLSKLGKLRDLRVARNNLTGGIPNFLGSISQLRVLELSNNPLGGTIPPVLGRLQMLQHLGLNGTGLVSTIPPELGNLSNLSFAELSANELTGVLPLALAGMHKMREFGIELNKFTGQIPPDLFTSWPDLIAFQGQENSFTGKIPPELAKATKLKLIYLFRNNLTGSIPVELGELVSLSQLDLSVNSLTGPIPSSLGNLTHLTRLALYSNQLTGMIPPEIGNMTALQVLDVNTNHFKGELPTTITSLRSLQYLALFDNNFSGTLPPDLGKGLSLVDVSFENNSFSGELPQNLCDGLALQTFTANHNNFSGSLPPCLKKCTSLFHVRLDQNQFTGDISEVFSVHPILDFLDVSGNQLTGKLSHDWSQCTYLTILSMNNNRLSGNIPAALCGLTSLQLLDLSNNDFTGELPSCWWKLQALVFMDVSSNGFWGKFPASTSLSKFPLQSLNLANNSFSGDFPSVIETCCSKLNTLNLGNNMFLGAIPAWIGKSVPLLRVLSFPSNNFSGVVPSAISQLSNLQVLDMSNNSFSGYIPSTFGNLSSMMEQLSSWTTSSSERHDVVLLSRISIFSRRAMPPDTLSSVDEYRERVNIFWKGREETFQKTIELMTGIDLSSNLLAGDIPEELTYLQGLRFLNLSRNHLSGNIPASIGSLELLEFLDLSWNELSGAIPHSISNLPYLGVLNLSNNCLTGAIPTGSQLQTLVDSSIYGNNLGLCGFPLSVHCLDEGTQDHRQQLGSDVGLCYSVIFGVVFGFWLWFGALFFLEPWRVSFSHFLDKLCSN >SECCE6Rv1G0377470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:1868162:1868398:1 gene:SECCE6Rv1G0377470 transcript:SECCE6Rv1G0377470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMAVGAAGAVDDVKSSWPEVVGWDGFTAMIKIKADRQDVTIEFHTVGDNVAPDEDDHRVRIFLDHHIVAQTPVVG >SECCE7Rv1G0495070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:593829511:593835126:-1 gene:SECCE7Rv1G0495070 transcript:SECCE7Rv1G0495070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPPVFSTLTTSFSHSPPPLLRRLQTLTRALASSAPQPMASSPPLKKVLVPIANGTEPMEAAITIDVLRRAGADVAVASVEPGAAQVAASWGVKLAADALLADLAEADFDLISLPGGMPGASTFRDCKILENMVKKHAEKGKLYAAVCAAPAVALGAWGLLNGLKATCHPSVMDKLPSEVQAVESRVQIDGNCVTSRGPGTTMEYSVVLVEQLYGKEKADEVAGPMVMRPQHGAEFSTKELNSTSWNVGENPQILVPIANGTEEMEAVMIIDILRRAKANVVVASLEGTLEIVASRNVKMVADVLLDDALKQQYDLILLPGGLGGAQTYAKSDKLIGFIKKQAEASKLYGAICASPAIALEPHGLLKGKKATSYPAMWSKLADQSECDNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERALELAKSMVFV >SECCEUnv1G0566310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:403203035:403203280:1 gene:SECCEUnv1G0566310 transcript:SECCEUnv1G0566310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTPADVPHSWVVPSSGVKCDAVPGRSNLTSISVQREGVYYGQCSEIRGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN >SECCE1Rv1G0062150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718467994:718469682:1 gene:SECCE1Rv1G0062150 transcript:SECCE1Rv1G0062150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYDKTIAMAASLAASLMLVRSLANELLPSEVRDALSSALASLRSRMTWQHTIVIEETEGWSSNRVYNAVKAYLATRINANINMQRLRVSSGEDAEKMVVSMEAGEEMADVYDGAEFKWCLVTREVSGDPNNGGGGAREIRSYEVSFNKRHKEKALKEYLPFIVATAKAIKDQERSLSIYMNERYDEWSPIDLQHPSTFDTLAMDKKQKQSIVDDLDRFIKRKEYYRKIGKAWKRGYLLYGPPGTGKSSLIAAIANHLRFDIYDLELTGVNSNSDLRRLLVGMTNRSILVVEDIDCTIELEQREEDDEEDSKSNSTEKKAQDKVTLSGLLNFVDGLWSTSGEERILIFTTNYKERLDPALVRPGRMDMHIHMGYCTTEAFQILANNYHSIDYHVTYPEIEALIEEVTVTPAEVAEVLMRSDDTDAALDDLVELLKLKKKDVNEIKTGSKQAEENNDANEIKTGSKQAEKKDTNEIKTEGMQVDRKKDEDEMKTESVQVEEKKDDKEVVVKNEFIENGSG >SECCE2Rv1G0104360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:605188881:605190283:-1 gene:SECCE2Rv1G0104360 transcript:SECCE2Rv1G0104360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPLMTPYQMGPFEFSHRVVLAPMTRSRSYGNFPQPHAIKYYAERATEGGLLISEATGVSADAQGMSAIPHTPGIWTKDQVQAWRPIVDAVHAKGAIFFCQIWHVGRASDMQQEPISSTDKPIERNPENYSMDFSTPRSLTEEEIPAVVNQFRIAAQNAADAGFDGVELHAGNGYLLDQFMKDGVNDRADGYGGSLENRCRFALEVVDAVANQIGPYCLGVRLSPYSSCLSCRDSDPDELAVYMARELNRRDVLYLNVVEPEMAGEDNGGALGAVSHRLQAMRDTFDGTLMVGGGYGREEGNSAIADEYADLVAYGRLFLANPDLPERFRRNAPLNKYNRATFYTDDPVVGYTDYPFLGDGGEMVPVAKGNGVI >SECCE1Rv1G0031740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:445753122:445753466:-1 gene:SECCE1Rv1G0031740 transcript:SECCE1Rv1G0031740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPRALLLLAVGLMIVASASAHGGYGSCPRDGLKVKACVDVLGLLKVNVNQPRNEHCCSLLDGLVGLDAALCLCTNLHANVLGLNLDLPIDLRLILNNCGKVCPTDFQCPHH >SECCE7Rv1G0457820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18763563:18764180:1 gene:SECCE7Rv1G0457820 transcript:SECCE7Rv1G0457820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAASLLPSLLPTPPTKPCLIILPASFASKPKPGRADSVERWDAHKKDKKPRSPASSCSSSSSPGRASSCERWDINKQLSSGSRTSSSSSSSSQRSSTSSGGSSSPCGRWDSNKQLPPSRATSADRWDTHKKPRPAQAAAVSWTGDEDQEDDTTAMVLKPAASRIGHMFSGPNFVASPDPSMLPMPPAFFRSRNPGVLTVQAF >SECCEUnv1G0533220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:27291198:27291593:-1 gene:SECCEUnv1G0533220 transcript:SECCEUnv1G0533220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCFFPRDAVAGRHQSKAAAEALEQLHHGGRVLSREEVGGAVRVKIVVSKRELKKMVAALGTGGEAAAAAASADRRSRQRAAGGGGTDAEQRLQSLRRRSMRRAAEETRRMQASGEWEPGLQSIPEEAY >SECCE1Rv1G0031690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:445397369:445398571:1 gene:SECCE1Rv1G0031690 transcript:SECCE1Rv1G0031690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPRRRRPMAAPPPRDPGKNPRGVLPGDRIFASLAPYITFADHLRLRLVCRAWRFFSRRVGRRPPPFPWLMLPEPASASQSAPAPASVRRQFYDIPGGRPYAYDVPGEGYHRCVASSACGWLVFVSVDAPRRLVLANPVAGARLVLSWPFKEKNAEGRFHAALTSSPADRRACFLVLATDRLVAYCRPGQQDQGWLTLRAPGFRYDPAASDIVTVGAMVYLVDGRRKVWRADLADPEPKVERRNTACQLPFGESSMRHYLVESLRHVHLVLADEHNARVALFRLDWDKKMWMQDRVRGDRVLLLGRGCSASVPAAAAGRPPGMLLFAHQPSLSLVDVGGCGAGLTWFWAESWVNDGSDDMLVLKKKMHHRQGEFTAGDSFWFFPAIDQDERAMVASQA >SECCE3Rv1G0181940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:620000842:620001145:-1 gene:SECCE3Rv1G0181940 transcript:SECCE3Rv1G0181940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAVKPTGLEGQAKEPTKVSATKGPAKLSATATKPAAAKGGIKKAESKPREPKKRQVKSTKPAAAKN >SECCE5Rv1G0314900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:279838752:279841837:-1 gene:SECCE5Rv1G0314900 transcript:SECCE5Rv1G0314900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHPMLVPKRINYVAPMLASALILLLLLSGYFELPSITSSLSTQFSPTVPASGTTGSRRFETALDSVGSRERSAFTSTLAAFSAWDAAVGCPRIRAKIGAANATTASAVASITGGAGWGGARCEEMKTRHVGVFVKGWTWIPDSLDGVYTCRCGVSCVWSKAASVVDRPDALLFEGATPPQQRLKGLPLRVYLDLEASRKPTGFEDIFIGYHANDDLQVTYAGKSFHTSRSYHVSTEKTNEALIYWSSSRCLPHRDKLAEDFLSLVAHHSFGKCLNNVGGPDMALSMYPICSNNGNGSPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFIPPNSAIDASKFSSLKELASYVKALANDPVAYAEYHAWRRCGVLGNFGRTREMSLDTLPCRLCELVSKRGGRSADSFD >SECCE3Rv1G0147800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:16127578:16128696:-1 gene:SECCE3Rv1G0147800 transcript:SECCE3Rv1G0147800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGAAPTEGRSRASPDKAGESTKKARLDLPDGHVKQEVAAAAYNPRVELTVRIDKQVLHCPLCTLPFKPPVFQCKAGHLACSGCVAQLPFVQCKACVDGGGFFDPCPAVDAVVSSTRIECPNVGCQWNVTYHEVVEHQKACPHAPCQCTVPGCGYFGAPQALAGHLNTVHSVPIRIVQYGKVSQLQLSVSTQRVVLLGDDNCVFLLTMGALVAGMTTVSVVCARARAATWPRFTCKMWVNLETPTAAANSGREDMLLVAMHMRSSSSPGAVVTAGEPTFLTVPPMYLVPAAGDGASMEVPLHIRIDKLPPWSNASV >SECCEUnv1G0533330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:28971879:28972949:-1 gene:SECCEUnv1G0533330 transcript:SECCEUnv1G0533330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTCCKKLVEDIGGWIKVYDDGTVERSPPPPEASQLATAIAPYDVPRNGVTVHDIRASPPLRLYLPEAAPLAGRRLPVLLHFHAGVFCLTDPTWSLYHCFYARLAASIPVAGIVSITLPLAPEHPLPAAIAAGFAAIDWLKSLAQPGLLAEPVPEPTSDPVGKLKAVADLSRVFLIGDSNGANLVHHVAAGFNSAEPSYWGPVRLAGAILLNPGFSRSTPSRSELADVQVDPYMDYKLADRFLALALPKGATRDHPYIWPLRDDAAAAVLAVPPLLVSVATLDTMRDRQVEYCNMMRRAGKDVEVALSPGVGHMFYLNQGAPEPADEEAAARIAELIKAIGGFVGRRHGCVARM >SECCEUnv1G0541510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98003423:98005652:1 gene:SECCEUnv1G0541510 transcript:SECCEUnv1G0541510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFNGSFIFPECILIFGLILLLMIDLTSDQKDRPWFYFISSTSLVISITALLFRWREEPIISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLIATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGVWNPLFDSDSPTPVFAFLSVTSKVAASASATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIIKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPRISMNPILAIA >SECCE1Rv1G0059070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:703700807:703701052:1 gene:SECCE1Rv1G0059070 transcript:SECCE1Rv1G0059070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIAAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQAEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >SECCEUnv1G0538960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74692414:74692680:1 gene:SECCEUnv1G0538960 transcript:SECCEUnv1G0538960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLKNAYKEKMRRMDQAEAAAAIDGAKSGKDGKETAGAAGERKKAAGGGGASSRGGFFGLMKKKVHPKPKAAATSS >SECCE7Rv1G0499920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:659358713:659362880:-1 gene:SECCE7Rv1G0499920 transcript:SECCE7Rv1G0499920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPLAVAVAVRGDGRASRRAARWAAAAPGRVALVHVIPSLAFVPTPTGEQVPVERMAAGVVEMFSQDRRARAQDVFLPFRRLFGSKTVETVVLEGGSVAEALARYAAESGVRNLVLGSASLSWFRRILWLQDLPNTVLKAMPCSCNVFIVSRHGLTIKLANQTQTDNSNTCSKIQSVSHRAFALQLRSQLQDKQSLYDLPDVNTPKSSGVSSSDSSSQARSSLSNSTSAAQSLESHRRRLFGSLCRKTPGRTGDTDFGATDQLKEFPYVSLSSTEESQRIDEVAKPRMELQDKPMMYVEACENHVHAKKKIQVLSNGCSEDLQKVQDALQWEDFFEQEAAPEKNKHFRAIEEAEMMKEAFTREAYSKHNAETVTNMVTTEKAKVLDALLSTGKSCRRYSRHEIELATENFSDAKKIGEGGYGIVYRCTLDHTEVAVKVIQQDSRGKIDEFFKEVDILSRLHHPHLVLLLGFCPEIGCLVYEYMENGSLEDQLIDNGGRQPLHWFLRFQIIFEVARGLAFLHGTQPEPIVHRDLKPGNILLDKNYVSKIGDVGFAKLISDLAPDGFTEYRDDTVIAGTMYYMDPEYQLTGTVRPKSDLFALGIIILQLLTGKHPNGLILSAEEAIRKGTFSEILDVSQNDWPIAEAEMLAKLGLHCTALRCRDRPDLEQEVLPELENILSRVTSSRNLEGPSTVVPSHFICPISQEVMDDPCVAADGHTYERRAVEAWLKEHNISPITKHMLPSLTIIPSHSLHEAIQQWKHSFR >SECCE3Rv1G0173090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:318512552:318515534:1 gene:SECCE3Rv1G0173090 transcript:SECCE3Rv1G0173090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAVCAPPSGLGRHHGFPSPPSTVCRRRGRASYTIRACANSGDADDSGGGLLPRMVLHDSLDAAGVVTDHARAAREGFATQIGRLTRLNAETSIAISRGADLARAALCIAAEDDSLVSHSSVPLPVDAFIARLDDLSTGFLAAGFLPPSGAPPEVFFDHLDRYLYVHKGFRRTNVALDARAMYLHSTLTCRSGSALMLSLIYSEMLKTLRLYGLLDFDEEISFPHDLSGLPRGYDKRKSKFCDEPNILTAKSLLVEILQTLKGMFWPFQSNQSSSLFLNAVAANHHGPGNVGGSQARSHGNISAIEMAAAKSAQHRLMRGVWTNVRFGDMRRALAACERLILLNHNPCELRDYAALLYHCGYYKDCLQYLTSYQNAMVGQPQTNPLEMLEDDAVNTLTARVNLILAEDGWDSHRPAASYWTKNSEPW >SECCE5Rv1G0343350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637768819:637770813:-1 gene:SECCE5Rv1G0343350 transcript:SECCE5Rv1G0343350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAQEKKLMLRSSDGEEFVVEETVAMESRTIKHMVEDDCANDIIPIPNVKAEILAMLIKYCKQHVQKRGAEATYSTAKASEQDLETFDKEFIDVKQRILFDLILAANYLDIKGLVDLGCQKVTDIIEGMTPEEIRNTLNIKNDFTKEEEDELRRKNSWAFE >SECCE2Rv1G0116140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:761591710:761593620:1 gene:SECCE2Rv1G0116140 transcript:SECCE2Rv1G0116140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1 [Source:Projected from Arabidopsis thaliana (AT1G12480) UniProtKB/TrEMBL;Acc:A0A178WLC1] MSGAEPSPAAASNGQHAAVDIRAAAQPEEPRQTSMSGPLNLRSDRRPPAQRPFSRQVSLGSGVTVMGMDRGGRNGGRPGQRALPRSGKSLGVLNHSGGLGPDGAARRGGDFSMFRTKSTLSKQTSMLPSRIKEELDGVDLDHVEGQPAARPADVDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPIGCFGVCLGLGSQAILWGALAASPAMGFLRVTPMINVAVWLLATAVLVATSVTYALKCVFYFEAIRREFFHPVRVNFFFTPSIAAMFLAIGLPRAFAPARLHPAVWCAFVAPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWEEAGKFLWAIGVSHYIVVFVTLYQRLPTNEALPMELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMALFLYMSLVVRINFFRGFRFSIAWWSYTFPMTTASLATVKYAEAVPCFTSRALALSLSLMSTTMVSLLLVSTLLHAFYWRSLFPNDLAIAITKDRQSGAGRPHGKGRKPGKRVNDIKRWAKQVPLSVVSSITKSNSADRDEEERTD >SECCE6Rv1G0418130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:661265316:661266644:-1 gene:SECCE6Rv1G0418130 transcript:SECCE6Rv1G0418130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGYEVAVKRLSQGSGQGIVEFKNEVVLIAKLQHKNLVRLHGCCIQEDEKLLIYEYLHNKSLNAFLFDAARKHMLDWSTRFKIVKGIARGLLYLHQDSRLTIIHRDLKASNILLGAEMTPKISDFGMARIFGAKQNHANTTRVVGTYGYMSPEYAMGGAFSVKTDTYSFGVLLLEIVSGLKISSPQLKTNFCSLITYAWRLWEVGEATELVDSSVVANCSLDEVLRCVHVGLLCVQDHPNDRPLMSSVMLMLENEHTLLPTPKQPAYFTLSNSECREATDNIEKSVNAMSITTLEGR >SECCE2Rv1G0105270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:617874754:617882366:1 gene:SECCE2Rv1G0105270 transcript:SECCE2Rv1G0105270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGLSGLRGLDGFRSLAGATSTAGKATNPKPSSDAGGSTYGSFANLKITAEKLVKEQASVKSDLEMAHTKLRRATEQINMLEAKLQQAVNENMKLKVKQTEDSKLWQGLDSKVSSTKMLCDQLTETLQQLASQAERAEEDKKFFEGMLGKNSKALDELNCLLHDSSAKLECAEQSIMSGKQEILRIKQEKEEMDQSYKEQLYANDTTIKEKDSLIKQLEGSVEENKSRLSCADSRLQCMEQELKLKQDVCICLKENLACAEKEKNDLELRNQRYSLEVERLYKDNKDANELLSSFVAKVAELDKEHASVSSHVTRLLSSFDKYYGMVQEEKLLITRSSKDKLEHLQNQFVDLTSENSGLKSEIGELKSRITELQKTQEAVMVQHVEECQLAEDKIRRLESEAEISASNVNCLEKLSSELQGRVQKLMEDFSLAENQKEELLQKTLKLESDNQELLGRVQSVLDEKSNDTESLHSEIAKRDQQVDTQEKQISELRSVLDEKEQLYISSVEREKSLEEQKLQIQASLAATECQLTEAKKQYDLMLQGKQIELSKHLKELSLRNDQAINVIRKKYELEKVEIINAEKEKAEKLIREMEHKCNEKILENKRESDRCLMRLKEEHAAVVARIQQDNELKESTLRTYHKEELQRIRSQGESELRERLSSLRQEHEAQIKTVNIRHEEDCQKLQDELELQKSKEEKQRALLQLQWKVMGESQQVDQEVNSKKRRDPYVRKESQLQLPGPGPETKRKDVNISGVIHSPISNVLRKVEKASQDVTNHRKVTHHEYEVETANGKITKRRKTKSTVMFGEPNTQKSLQNTADKDVTKTRKVVAGSRPHPANIGELFSEGSLNPYADDPYAFG >SECCE3Rv1G0198440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:832227366:832227821:-1 gene:SECCE3Rv1G0198440 transcript:SECCE3Rv1G0198440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAARCLCLLAMVLVAGLGAARGAGECGRVPADRMALKLAPCAAATQNPGAKVSPGCCAQIRSIGRSPKCLCAVMLSATARQAGVKPAVAMTIPKRCAIANRPIGYKCGPYTLP >SECCE6Rv1G0382600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:44675673:44681420:-1 gene:SECCE6Rv1G0382600 transcript:SECCE6Rv1G0382600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPACRPSAVYPVAVVAPPPQVGLADAPPPGVWTRIPQGTPGTLGGVGLRLLQAFFAAASIAVMASTDVFPFCSAFSYLIAVASLQCLWSIALAFLYIYALLVKRSLINLQAVRIFFIGDWITGTLTLSAACASAGITILIDNDVKECEALPCLRLRTAVTMAFISWCALVPSFLFNFAYTITALARVGMWG >SECCE3Rv1G0206320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:907313489:907319914:1 gene:SECCE3Rv1G0206320 transcript:SECCE3Rv1G0206320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 2 [Source:Projected from Arabidopsis thaliana (AT4G21150) UniProtKB/Swiss-Prot;Acc:Q93Z16] MAWRLPPLAVLLLLVAAAVAPLSSAVRPVSDAHRSVAAELFAASPDDLETTYEAVRTFKILGVQRDKGLDGKACKLTAHTLSSSSSPAKDLFHAAQIAGVLGCSVDAGVYDDVASKLKAVIKDTNSLLEFYYSVGGLLSLKEQGHSVVLSDADSTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALAGVVSLSDAEVDPSMIGVVKNDIAKLFGTIKSYDDGTFYFDEKYVDGSEYKGPITTSASVVRGVTSFANVVSGKLNIPGEKILGLAKFFLGIGLPGSAKDCFNQIESLSLLENNRIFVPLILSLPSKVLSLTSKDQLKVEVTTVFGSVAPPLRVNLVQVLGSDSKVITTDSKELQFDLDNNVHYLDITPLKIDVGKYALVFELTLQDSEHETVYTAGGRNTESVVVTGLIKVDKAEIGISENDAGSAESVEKLDLLKDTKVSLSANHLQKLRLSFQLSTPLGRTFKPHQVFLKLKHESKVEHLFVVPGSARQFKIVLDFLGLVEKFYYLSGTYDLELSVGDASMENSFLRALGQLELDLPEAPEKAPRPPAQAVDPLAKFRPQKEIEHIFRVPEKRPPQEVSLAFTGLTLLPFIGFLIGLMRLGVNLKNFPSLPGPAAFASLFHAGIAAVLLLYVLFWVKLDLFTTLKYLSFLGVFLVFVGHRALSHLSNTAAKQKTA >SECCE1Rv1G0001240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4311740:4314268:1 gene:SECCE1Rv1G0001240 transcript:SECCE1Rv1G0001240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLCILALGLLLSHTPCCCSSPPSSDTLAEGRVLAVGDKLVSMNGKFALGFFQPAASTVSKYQNSTGSSWYLGIWFNKIPVFTVVWVANREESIPHPNINSTKLKFSSDGNLVITINGADAVTESLVWSTHMVNMTQSSSINTTTSGAAVLLNSGNLALLTNSKVMLWQSFDYPTDVGLPGAKLGRNKDTGVSHQFISKKSLIDPGLGSYSMELEDTSGIVLKRRNNPLVKYLIYATSGSSSLIPMLKSLLDFDPRTKGLINLAYVNNNQEEYYTYTLLDESSSSIFVSLDISGQAKLNIWSQANRSWQTIYSEPPDPCSPPATCGPFTVCNGNAHPSCDCMESFSHKSPHDWEFEDRTGGCIRNIPLHCSTSGNNKNMTSSTDMFHPIAQVALPYNPQIIDVATTQSKCEEACLGSCTCTAYSYNNSRCSVWHGELFSVNLNDGIENNSEDVLYLRLAAKYLLPGSKKNQREPNIRVVTAPSIIGFGFLMLMLLLLIWRSKFKLCGLPIYDNPGSAGGIVAFRYTDLVCATKSFSEKLGGGGFGSVYKGVLNYSTSIAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDHRLLVYEHMLNGSLDGHLFKTINVNVVVLNWNIRYQIALGVARGLSYLHQGCRKCIIHCDIKPENILLDASFVPKVADFGLAAFVGRDFSRILTSFRGTVGYLAPEWLTGVAITPKVDVYGFGMVLLEIISGRRNSSPETSYSTSSSNSDQNIKYFPVQAINKLHGGDLKSLVDPQLHGDFNLEEAERVCKVACWCIQDNEFDRPAMGEVVRVLEGQRDIDVPPMPRLLAAITEQSGDATSM >SECCE2Rv1G0140210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:930486620:930487395:1 gene:SECCE2Rv1G0140210 transcript:SECCE2Rv1G0140210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGFGITVVVGEMLYALSYHFRAKQHYFGVMSWGSTAPDVLQNPTEGWSWKTLPSPPPTFHRQRSTAASTPIHYTRMDAPSSCPQLTSRPHLAKWALPFTGQAHFDSELNAWVGLRRDGYISACQVASPSCHSTTPTLQLDYQTTKEKLFCKDGQIRMKASLTYMGTSKFCLVECVKREGVRKGQALGDHDGCVLHITIFGLKYNHKGELQITDRRSTRSFIVSRHKDHFGPVAFWM >SECCE4Rv1G0258340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677376168:677378944:1 gene:SECCE4Rv1G0258340 transcript:SECCE4Rv1G0258340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVKAGSRPPWLGLGAAVWLQASAGTSSAFALYSHALKVALGADQSRVALLGVACNVGDSLGLLPGVVCNKLHPALLLLVAAASGFLGYGVTWLAVSGAAPALPYWLIWIALCMGSNSGAWMSTAALVTNMRNFPLSRGAVAGILKGYSGLSAAVYTAIYTGALHGSAANLLLFLTLGVAIVCLLAMYFVRPCEPSLVENSSERVHFLFVQINSALLGVYLVCATTLDRFVTLTPALNYSLIAIMVILILAPFAIPVKMTLFRSVPRKGTSAAADNDHTEPFLLPSSSEHNFGKIEDEDAADIDLLLAEGEGAVKQKRRRPKRGEDFRFREALLKADFWLLFAVFFIGVGSGVTVLNNLAQVGTAAGVVGTTISVSLFSLGNFFGRLGGGAVSDYFVRSRTLPRTVLITCTQVVMIINYLVFALGLKATLYISVAILGMCYGVHFSVMVSTSSELFGLKQFGKIYNFILLANPLGALVFSSIAGYVYDHEAAKQHSVAAEAGSDHVTVCYGPSCFRLTFFVLSAMACLGTFLSVILTVRIRPVYQTLYGGGPSSQPRSSAH >SECCE3Rv1G0160070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:102457882:102463999:-1 gene:SECCE3Rv1G0160070 transcript:SECCE3Rv1G0160070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSMSLNMRSASRRDLPPPQKTIERFEKMVEGGSYYEAQQMYKSTSARYITCQRYSEGLDILQSGATVQLKHGQITCGAELAVLFVDTLVKGQFRYNEETFDRVRKIYEAFPRINMPHFLGDDYDDDGQKLSEAISAAKVRSEGCSSFLRAALRWSAEFGTSRNGSPDLHVMLGEYIYSESPETDMTKVSSHFVRGNDPKKFASMLVNFMGKCYPGEDDTAIARSVLMYLSLGNLRDANLFMDGMKEQLKSADLELPKTDLIEFIKYLLQTLERDAYPLFRTLRQKYRTSTDRDTVFEELLDEIAAKFYGVRQQNPLEGLFGEMFKV >SECCE6Rv1G0391400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:219823579:219834578:-1 gene:SECCE6Rv1G0391400 transcript:SECCE6Rv1G0391400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKDGAEMEEGTLEIGIEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEQSKHAGEGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDATH >SECCE6Rv1G0450850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:870035841:870036113:1 gene:SECCE6Rv1G0450850 transcript:SECCE6Rv1G0450850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGRKIFGFMVKEEKEENRGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRRRLLAYLAKINRVRYKKLIGQLNIREQ >SECCE1Rv1G0037900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:531861693:531863755:-1 gene:SECCE1Rv1G0037900 transcript:SECCE1Rv1G0037900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDIDEGSVSAATGGGGGEVGGEPVAGVSDEAAVDSHENDLVMPGFRFHPTEEELIEFYLRRKVEGRRFNVELITFLDLYRFDPWELPAMAVIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENSRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPTTDADLFYKSEISLCRVYKRSGIDDGHGRPSSSNVQASSARPGTSRTIIPPAGQQVSSPSATPLSPTQQPSFHGIHGQGECSSAPLPAIMEQATPHNNAPQLHQPPPPPPPGPSAYASAMSSTMSVAPAQRGCTYSLMALADAPMMGSSSTAGDELSRLVGHSQAYPNHPAVGSHFLPSPSQPQMPPLGEMPVSPADKLWDWIHPDTTGSRDYGSSSFK >SECCE4Rv1G0219470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:31215554:31218632:1 gene:SECCE4Rv1G0219470 transcript:SECCE4Rv1G0219470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDLVSDDDEFELDEGNDVQVGVSSYPALRNADAPGPSTLVRQDADGMANGAAPSALLVGKCVEMGFPKEMVLKGIKEIGARDTSALLSLLLAYTADDDSVGSCSTSRSTPQRVEDDDDEEEEDDDFDFDAGQRDPYSDGSGDEDFLEEMSQWDKQINSLVDMGFPEDEANMALRRCGLDTPMHVLVDSIYASQDDHQVMDENRFSSSVGRKKARSTEDSKKRKRYGGGAHGSQPPWDGGHEESISLPKPMVGFGLPGDRPRSVGRWLPAHSMRAPFFYYENVALAPKGDWAEISRCLYGIEPEFVDSKYFCAASRKRGYIHNLPTEGRSVLRPIAPKTIFEAFPRYEAWWPSWDPRRQLNCLQTCMASATLTERIYRTLADSSDPPTQADQKYVLAECRKWNLVWIGKNKVAALDSKEMEFLLGYPRDHTRGACKKKRDKGLGNSFQVDTVAFHLSVLKDRFPCGMNVLSLFSGIGGAEVALHKLGIRMKTVVSVEICDVNRNILRTWWEQTQQGTLIEFRDVRSLTHEKIASLIRQLGGFDLVIGGSPCNNLAGSNRYHRVGLEGDQSVLFRDYVRILNSVKSIMANVG >SECCE6Rv1G0448430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:856023420:856028801:1 gene:SECCE6Rv1G0448430 transcript:SECCE6Rv1G0448430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branching enzyme-I precursor (Starch-branching enzyme I) (1,4-alpha- glucan branching enzyme I) [Source: Projected from Oryza sativa (Os06g0726400)] MLCLNSSSPSAPPRPSRPAADRPAPGISGGGNVRLSLVPAPSPLRWSWPRKAKSNFSVPVSAPRDYTMATAGDGVGDLPIYDLDPKFAGFKDHFSYRMKKYLEQKGLIEKHEGGLEEFSKGYLKFGINTENDATVYREWAPAAKEAQLIGDFNNWNGSGHRMTKDNFGVWSIRISHVNGKPAIPHNSKVKFRFHRGDGLWVDRVPAWIRYATFDASKFGAPYDGVHWDPPTGERYVFNHPRPRKPDAPRIYEAHVGMSGEKPEVSTYREFADNVLPRIKANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDIVHSHASSNMTDGLNGYDVGQNTQESYFHTGERGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYNHHGINMSFAGNYKEYFGLDTDVDAVVYMMLANHLMHKLLPEATVVAEDVSGMPVLCRSVDEGGVGFDYRLAMAIPDRWIDYLKNKDDLEWSMSGIAHTLTNRRYTEKCIAYAESHDQSIVGDKTMAFLLMDKEMYTGMSDLQPASPTIDRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLADIDHLRYKYMNAFDQAMNALDDKFSFLSSSKQIVSDMNEEKKVIVFERGDLVFVFNFHPNKTYDGYKVGCDLPGKYKVALDSDALMFGGHGRVAHDSDHFTSPEGVPGVPETNFNNRPNSFKILSPPRTCVAYYRVEEKTEKPKDQGAASWGKTAFGYIDVEATRVKDATDGEATSGSEKASTGGDSSKKGINFVFRSPDKDNK >SECCE3Rv1G0164850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:167092087:167092600:-1 gene:SECCE3Rv1G0164850 transcript:SECCE3Rv1G0164850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPWTVPLKRLTSKEEKNGNFGHEFVKCESKPEGQIMKKCHHFEWMDDYIQRLQGLGLLDSRGNAIREFNLPHDSAAPAAAARPEYPAVVDVQLKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE1Rv1G0002630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9823611:9826953:-1 gene:SECCE1Rv1G0002630 transcript:SECCE1Rv1G0002630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLGSKMPSCSTPLLYLYYLLLLPCLLLLQEAHAARHGGISLRSQHMALLHWKSTLGSPPLQMSSWQENTSPCNWTGIMCTAVRHGRRMPWVVTNISLPDAGIHGQLGELNFLALPFLTCIDLSNNSLHGALPASINSLSALSIVDLTYNKLTGKIPYKIGDLQSLRHLGLSFNRLTGRIPTSLGNLTMLTDLLIHQNMVSGPIPEEIGRLVNIKILQLSNNTLIGMIPKTLGNLSQLNTLLLYGNQLSGPIPQELGRLVHLQSLQLHSNAFSGQIPISITNLTKMKTLFLYRNQITGPIPLELGILLNLQALDLCNNQISGSIPRSLGNITKLVLLSLFGNQITGSIPQEIGNLKNLEYLKLYQNQISGSIPKTFGMLQSLKELQIFSNKLSGPLPKEFGELINLFVLGMSRNSLSGPLPANICSGGRLQRFSAASNMFNGPIPRSLKTCTSLVRINLERNQLIGDISHHFGVYPQLTKIRLTSNRLSGRISPNLGACTQLIELNLANNMITGSISPILSNLSNLVELRLDSNHLSGEIPPEICTLTNLYSLNLSSNQLSGSIPKQIEKLSNLGYFDISGNRLSGLIPEELGACMKLLSLKINNNIFCGILPGAIGNLAGLQIMLDVSNNNLSGVLPQQLGKLEMLEFLNLSHNQFSGNIPSSVASMASLSTLDVSYNDMEGSVPIAWLLQNASASWFLPNKDLCGNLSGLPPCYSTPVAGHHERKILGWLMPIALVVGFGIVAAIAVIIMLSCNKRNRQEGVTAEARGLFSVWNFDGRLTFDNIVRATEDFHDKYIIGTGGYGKVFKAQLQDGQLVAVKKLHQTEEELDNERRFHSEMVILSQIRQRSIVKMYGFCSHPAYKFLVYDYIQHGSLHDTLENEELAKELDWQKRIIVANDVAQAISYLHHECSPPIIHRDITSNNILLDTTFKAFVSDFGTARILKPDSSNWSALAGTYGYIAPELSYTSVVTEKHDVYSFGVVVLELVMGKHPRDLLDGSLSSGKQAMLVKDILDQRPTIPTTTEQNHLALLIKLAFSCLESSPIARPTMREAHQTFIQRPSSSSCPVPFSELTLQEIRDAC >SECCE2Rv1G0068780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25317494:25321739:1 gene:SECCE2Rv1G0068780 transcript:SECCE2Rv1G0068780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDANLQDVENRVKIMLKLLGEEADSFGKRAEMYYRRRPEVINHVEDVYRAYRALVERYDHLSKELHKANHTIATACPEEVQYAMLEEEDDNFPRAIMPINSRKIQKSTVDDILKRKREGTPGRNRVVHERPDPHMSKEKAEAEIGRLQKAILVMQTEKEFVKSSYESGIAKFWEIEKQIADMQEEICHMQDEFDAHAAIDDDEARALMTITALRSCQGTVAKLVEKFEELIRNAKMESEKIVSLRERFYALSRIIDPSKEEADSAHMTANDRVYPITREILELQTIYDKIEDFFENNSESSVEEMAYKVDELVDKIINLELKFPKQSAQIKQLKEENEKINNKLDDLQDEMALRDDPSDSSEELKLVEDELNRTKALEGSIIEEEVLVSTAFSEVFTCITNISKAFVPIGAEDLPESSAAAGDRATLSEDVCMENVTAENSNTDGGEISGIEATGDNLGTRSPKNQDDSEVVHHNSSGSTDGLHDSNNGAKESIPMGNCLQEEFRGNESLQAGNHVDLIVGPDNGNSLNKLATDSSSKEVDQSSSGGMNVAQGQIVEGEYSTTAVSQNHLLPSESLNALNKENDSNEEGSSAEVAESSFGGDNRTQDLKIDGDENPVPGNSLTQEEGLGVGDGESPKAIAEISLAVSANLDRGATGENTLPEGAHSSSDVGKNLDLCHVDEAKSGEELPKQGGQLVSPVSILGLNKHGEVESSEEGVQNSLGHVNAYSSEVRDETSVSVPARDTEETGAAYPVISEVPTEDSEDKVNHTSDDSQLEKKELNAKMLASEESILNNHGGSSGHEKSTLISQEDSQSRQEFLLDGLEGRDTLLLADYTAVLRNYKETKRRLAELEKKNQEHLEDTKAVIRELRNANSMKYVEIQSLKGQLDYSSETPPASKMGADRSDHPFVRELSIVKETDSSYTGAPESASAVEIKFRTEIDELVDENLRFLTRYSMACHQVQDFNTRYQELQNEMETSESKKMGGGEPDAAMAEPEPAEKKLRELRTEVDVWFEQNVLLDRDLQLKTASLCGLQEEIAEALRSSTEADGAKFTPYEAAKFQGEVRNMQQSNKKIESELQAALERMRELEGEVNVTLRKLRESFELSSRRSSRREADSSYQNQFKHFPSRHRVPLRNFLFGTKPKKKSLFACINPTYQRQFSDF >SECCE3Rv1G0165570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:175666024:175675639:1 gene:SECCE3Rv1G0165570 transcript:SECCE3Rv1G0165570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRSPLLAAAAGAALAALAATSANSPSADGLGVASTLHGVASTLHGVARSSRAIYMIGFVVVDYKYSLRGLVPGSADYRGKLSEVHLRSAKKLLKLCEVNRGFYVKAGQFVSSLRQVPKEYISTLSCLQDQATPCKYQDIKIVIEQNFGKDLHDIFLEFDEHPIAAASIAQVHRGRLNNNQEVAVKVQYPGLERRMKLDIMTMSLLSKYVSLIFPDYRFEKILLEFERTMSMELDFTQEAKNSERTASCFRKNDVVKVPHVFWELTTKEVLTMEFCTGHKVDDLDFLRKADISPTKVAKALIELFGEMIFIHGFVHGDPHPGNILVSPRGQGRFSIVLLDHGIYKELDPKFRLDYCKLWKALISLDVPKILELGEQFGVGKYAKYFPLIFTGRTIDSKSALGTQISGEERTRIKQDLNSLGMDDISSFMESLPPDFMVILRTDGLLRSILGSLGSPRHVRLLAYAKCAIYGHEEQSRLESGAVNRILLRVKTNISYLHLRILIELANLLVQFNDYKHKVKDKLSWMLQKIGRDVLGWYKPLM >SECCE2Rv1G0106710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:638600837:638601372:-1 gene:SECCE2Rv1G0106710 transcript:SECCE2Rv1G0106710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVSMSCDKSRSKAMTMAAKAYGVSSVGITGDSKDMLEVVGNGVDPVCLVGCLRKKYHDVRIVKVEEVKEDKKKDEKKEDPPPYWYYHHPYPPRMVVCDEPTTPCAIM >SECCE2Rv1G0068000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:21324298:21325758:-1 gene:SECCE2Rv1G0068000 transcript:SECCE2Rv1G0068000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNRGGGGRDRLSELPDGVIGHVLSFLPTKEAARAAALARSWRHKFAYVDAISFVEHVAHYGPSDDDDYTFFVDSVERRSKNGPLLDNINAALLCRRRCAGDLNAAPRAFRVQFGCYDNWDKAMVSQWLTHLLRRTPPELHLDLRLQLTVIGEYQVGACHNADDGCTAHSPYDADPFTLPARLFSCAALRTLCLAGCALNPPEVIHLPLLETLLLSSINGQDCGTSIQRLISCCPRLVDLTLERCGYTTPYLGGSYTITVLDKHLRRLSLRCCHNLVKVTVDASVLREFEYRGAVPAEAFLSLQGAHNISSCHILGFCGDSVYRRDSPRLIRMFLEQFTGTRRLHMVSTHLGSDMEFTLFPSLTKLELTGCLHPTSVEAMTRILERAPSIQILSLFMYPHEVKDELTVPDVLIPCLRDRLREINLVHYQGHEAQRCMAKLLLSNAIVLERLCVVFPRGPRELQIRLKNEIKEWVVNRSAETVFL >SECCE2Rv1G0109510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:677972102:677976928:-1 gene:SECCE2Rv1G0109510 transcript:SECCE2Rv1G0109510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAKEPSPTRAWWSRDTVAVVTGANRGIGHSLAARLAEHGLTVVLTARDGERGEAAAAPLRDCGLPVVFRRLDVSDPASVADFAAWLRDTLGGLDILVNNAAVSFNEIDTNSVEHAETVLNTNFYGTKLLTEALLPLFRRSPATSRILNISSQLGLLNKVRNPSLRRLLQDEETLTEGKIEAMVSQFLAQVKDGTWGEHGWPKVWTDYAVSKLALNAYTRVLARRLQSGGERVSVNCFCPGFTRTDMTKGWGKRTAEEVADFGARLALLPPGELPTGTFFKWRTPQLYSKL >SECCE6Rv1G0382560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:44421688:44427885:1 gene:SECCE6Rv1G0382560 transcript:SECCE6Rv1G0382560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTNPILTEAKRHDAGGKKAAAVSAAMRRSGSKGRGSGGGEAEGKAAVAVDGDKSSQHALKWAADHVLSRSQSFYLVHVRRKNTSLNPAGGKQFSTSHVQEDVAACFLAQLDLQTKELMLPFQCFCSRRGLQCRDIILDGADVSKAIVDFVVQHNVDKIVLGASSRSAFTRTIWKMDVATSVTKNAPNFCSVYVIAKGKLSTFRPATHAIENDTSKEDMKSDAPGNGPLAVQSEPTPKFPGEEPSYRLMSTRAAAHIGTHFDEAAQHGNLKALVRQRSADSHLSKTSSYPSEFIRVTNKQGNQLSPEYPENRRETLFLLNKDNEHPFQAPHEEYLGIDDNALSLEYNAYGPLTPTGECASSASNYQADDVKADLRQFQKRNVNMLRNYKELPLGTEDGTENLHAVDEREDGPLLGRQGAKPNSEVRGPKQNLLTLETLSSDPQHRERITEEFMDHSAQKQVNPMLRRLPPQFYSPRNDRYGSAPEEKHILEVNSKPLPRPIETKRILECLPTRLECRLYNPKEIAKATNNFSEDLKVGEGGYGPVYKATLNNTLVAVKILHSNVTQGLKQFQQEIDLLNNLRHPNMVQLVGACPEYGCLIYEYMPNGSLEDRLYCRSNTPPLPWQLRFKIAVELATGLLYLHKMKPEAFVHRDLKPGNILLGEDFVCKIADVGLARIIPRSMDDTKTQYRMTDAAGTFCYIDPEYQKTGMVSTKSDVYALGIIYLQMITAKGAMGLAYAVSDALEEGTFEELLDSRVTGWPVEEAKGFAELALKCCELRHRDRPDLESVVLPELVRLHALSVPSEDPSMGQSHQRSASDKDLILVDGLSEILTEGSAKAGSFSA >SECCEUnv1G0560130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:344947614:344949099:1 gene:SECCEUnv1G0560130 transcript:SECCEUnv1G0560130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDALLPSILLILFVLSIVVTQAPFFRARRLIGDDGGGRATVCTKDAATCGCDFSDGKWVRSGATDAMTYGEDCPFLDPGFRCMRNGRNDSSFRHWRWQPRRGSCHLPKFNATDMLERSRNGRIVFVGDSIGRNQWESMLCMLAAAVPAGSRIYEQFGKPLSRHKGYLSMVFADYNLSVEYYRAPMLVMVDRLPSASDGAIRRAIRLDSLPRHAARWAGADVLVLNTGHWWNMHKTIKSGNYFTLGDRFNMTTDIKEAFRRSLQTVKDWVLTNPRLSKSSYIFFRSYSPSHYGNGTWDTGGSCADQWDPLAMITSESDQQEHLWINTMISSAAQSMRRRHGMNKDAIFLNITYMTGMRGDGHPSRHREPETPSDAPEDCSHWCLPGVPDTWNQMMYGHLVSMGYDMRSIKR >SECCE3Rv1G0144290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3449130:3450520:-1 gene:SECCE3Rv1G0144290 transcript:SECCE3Rv1G0144290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVAVTAALILCILVAHAHGCYAKHHAAPAAANSSWLDAKATWYGAPNGAGPDDNGGACGFKNVNLPPFSSMTSCGNQPLFKDGKGCGSCYQIRCIKSDHPACSGVPKTVIITDMNYYPVSRYHFDLSGTAFGAMAKDGRNDELRHAGMINMQFKRVPCHYPGLTVTFHVEEGSNPFYMAILVEYEDGDGDVNQLDIMESRPSGGKMAPTGQWVPMKESWGSIWRMDAHHPMQGPFSLRITNESGKTLVADQVIPADWKPNKTYNSLVQFH >SECCE5Rv1G0297320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:1489950:1490627:-1 gene:SECCE5Rv1G0297320 transcript:SECCE5Rv1G0297320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTFILTLLLAISATDAITVQLLNKCPYTVWPAAIPVGGGSRLDPGQAAAIQAPPGTAGGRIWGRTGCNFDASGRGSCATGDCGGVLACAAGGRPPATLAEYTLGTGGSPDFYDVSLVDGFNVPMSFGPVGGSCHSISCAADINASCPPELKVDGGCVSACVKFGAPQYCCTPPLTPATCGPTDFSRFFKGHCPDAYSYAYDDKSSTFTCPVGSDYQVTFCP >SECCE1Rv1G0001360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:4572458:4573993:1 gene:SECCE1Rv1G0001360 transcript:SECCE1Rv1G0001360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILFSAIVGDLVNRSASFVVSKCFQQQPDIDKTLQRLERVVLRIDTIVEEAEGRRIANQGMLRQLKMLRQGMYRGHYILDGLRFRAIDEEEENKEGALSKLSPAKRLRFSRSTATTSGSICNNMEAQLFGTNNNIQEELQRVVDNLEDIMAGMMEFLFLLKLYPRIVRQPYGAYLLLDNCMFGRQMERHRVLHFLLRSSASPDLDVLPMVGPIRAGKTTLVENVCKDECVRDHFSMVLFLQEGCLRDEGVIDLKEKSINGLVKHQNSSSSPNRLLVIVEIAEDINRGTWRRLKSSIACMTPSGGSKIIITSRSDRIVSLGTTEALRLDYLPQEAYWYFFRSLVFGSTNPDEEPKLATMAMEIALEQRQCFTSAHILAEILRNNFNARFWHTVLHCVRACVQKHLLMFDQHPNLRLREDEPIYYWRMEKSCGYFLISKYHQSDSSAKVPRIWMRDILLEGGATLPRGEFEAVAWTSRIPPYYNYTISCKMQASQVAEGRKKRVHQEEEQLI >SECCE7Rv1G0507770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:765425828:765427549:1 gene:SECCE7Rv1G0507770 transcript:SECCE7Rv1G0507770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRGDTKPVSGGGFSENGKFSYGYASSLGKRSSMEDFHETRIDGVDGETVGLFGVFDGHGGARAAEFVKQNLFSNLVKHPKFFTDTKSAIAETFTHTDSELLKADTTHNRDAGSTASTAILVGDRLVVANVGDSRAVICRGGDAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPIVDSQEAAKKLLVEATRRGSADNITCVVVRFLDQQPPTAAATNGSAAPAAPGK >SECCE5Rv1G0305730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:76138081:76138362:1 gene:SECCE5Rv1G0305730 transcript:SECCE5Rv1G0305730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMSKSPPSSPPPAAEHDEGDGDAAAAVRVTSRLYLHRPGPGAGPLEKDAVLRRIRHRRRANRLRDTLRSLLVLQQQQPTAPEPEDAFTSP >SECCE2Rv1G0139950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929425546:929427709:-1 gene:SECCE2Rv1G0139950 transcript:SECCE2Rv1G0139950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRNSGQHMDNDEETRQILRDILYRCESHEFSMKLFAHALYAKPKRSNGELSKLHKNLCDGATQKSLPSMMFKFSYMELPKEYRSCLLYLAIWPQGHTMRRSTLIGRWVAEGLITTKDWSWSSSVIEAEKCFDTLVARCLVCPAGFGATGKVKSCMVDKQVYGFITKIAMKQGILETRLSHHLARHFSIFSDVRLRRSETIKDFLKKSSEFSNLKVLDLEGCDCFPQNQHYLSHICRRILMLKYLSLRGTDVTSLPSEIHNLLELEVLDIRETKIPAHATRNILLPKLKRLLAGHVDSSRSSAAKPKNYLKRLLGDHVDSSSADFSSVQIPEKIEKMLGVEILSNVKVKKKRDLKDIAGLNELKKLGVVINQESHLEKLAEAISDLLNQSLRFLSVTLDITRHKGTPSNLTLKENVPNVLESLSINGSTITHEGKLLPLLTTNAKQLAKGTSLSEIKFENGAASNLEKIVFSSTNEVSISGVDYLQKLEELELNNITNKAMLHSLLVNAKHITKVTLRNTLLEQGDLEILACERKIHYLALSGKFSNGNQLIFKECDFHKLNVLIVDSDDITEISFSDGSPNLEKIVWSFKNIVSLSGIEKLHALKELELNGDSVPNKVKEDIEILKSRLDYKHREKPKRQENKTEPDGAAKCPSLWKAKNWCWRN >SECCE2Rv1G0086870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:216456247:216457719:1 gene:SECCE2Rv1G0086870 transcript:SECCE2Rv1G0086870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGQGGGMGSAVNVGIAVQADWENREFISNISLNVRRLFDFLLRFEATTKSKLASLNEKLDSLERKLEVLEVQVSSATTNPSVFNN >SECCE7Rv1G0518540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:859582029:859583399:1 gene:SECCE7Rv1G0518540 transcript:SECCE7Rv1G0518540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRSAVGLALRQSSPTARFCGKVGRRNPASNITTTRNYDASRRFEFSKDDFAGVTGVEYMIGAFACGIALVFRHYTTPPYGKKSRYNTANCVTKSTSDTCQHVQPGLEQTE >SECCE7Rv1G0492950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:560585589:560590669:1 gene:SECCE7Rv1G0492950 transcript:SECCE7Rv1G0492950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVETSADHVAPAASDHGAAPYRASEEGGDAGEREMRDLEELLSKLNPMAEEFVPPSLAAHPMPPPPYAGYYPNGPPAAGFAPLASPGHRGVVAFPAADGRGRKKFGGYGGGYPHGGKRRVNSRTSQAQRDEVIRRTVYVSDIDHQVTEEQLAALFINVGQVVDCRMCGDPNSVLRFAFIEFTDEEGARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYQHNTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRPMMN >SECCE7Rv1G0513690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:825126515:825127105:1 gene:SECCE7Rv1G0513690 transcript:SECCE7Rv1G0513690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSVALWKDKAYARRRAVRGGRHDAVDVDVMVRGGGGGGGGSGYGLLAGGGVPEAEEAAVVAAPRASGALGTPARPIWQRRVLMGVKCRLPKFSGMILYDERGRPVGGGVRDRARDQEKHAAAIDNILRDLHWSSRSPAGSLIPASARSS >SECCE3Rv1G0211280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:948680656:948684090:1 gene:SECCE3Rv1G0211280 transcript:SECCE3Rv1G0211280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCMPTMACGTTGTGTGECTGSFSSVSSTGEGLGEEFRLPYHGKPPFLSGSPPGIGEDDGDLRLVIAGTSDRLSAPPAATKKKKKRSLPGTPDPSAEVIALSPRTLMATNRFVCEMCHKGFQRDQNLQLHRRGHNLPWKLRQRGAEGGAPPRKRAYVCPEPACVHHDPRRALGDLTGIKKHFCRKHGEKKWKCDRCAKRYAVHSDWKAHAKVCGTREYRCDCGTLFSRRDSFVTHRAFCDALAQENSKLAQPAMNMATVASALQGQQHAHHHNLMLPSTNADDLDMDTDEASAYEPDIKSPHLKMFSDYAADAAAADNPLGCMLSSLGATPSAFSPSSRINMLGLQAGPSDAAAMGGCYSPGNNSLASMSATALLQKAAQMGATTSSGYGVSFTPGHPGLASTMAGLDRFPCAGGPTRAFGSYDGVVGFGVGGLMPGQLYNDAANGGTTRNVAPVASGADNPIYDERRRAAAGDDVRVVDYMGVEHQRTGYGSVSSASPFVDHTGPWA >SECCE6Rv1G0436770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778981207:778981929:-1 gene:SECCE6Rv1G0436770 transcript:SECCE6Rv1G0436770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGFQHGAVRVNRNKLLRVAGGSAGDAAAAALDLAGVAYARAPSKPTNASRDMGRCRRPRCAGCHVHPAAKARDKAKGAHKLRASDVALNHRLVSWRVVDGGPSAAAAARTGGAPDYKGASASAVLAYLAGGNSWHAEDDEDDDPDGADLQAPPRGISDLYDLIVGLQAGAAPAPGGQEDGNNIALAEGDEIEEQDAEITDDVDDEEEEEEEDDGFCVVQGITIALEFSDGEEDWIVV >SECCE6Rv1G0382490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:43375602:43386748:1 gene:SECCE6Rv1G0382490 transcript:SECCE6Rv1G0382490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCVAVLLFCMFQLIHGQLGFISIDCGIPMNSTYQDSITNMVYVSDYGFITTGENRIISADYMEPSLAKRYSNLRFFPHGPRNCYTLRSLVMGNKYLVRAAFYYGNYDGLGKPPVFDLYLGINYWHEVNYTDAGSFNWMDIILVAPTDYLQVCLVNKGTGNPYISGLDLRPLNTTLYPEVNASQSLVLVNSNRFHMGPTDNSIIRYPSDPQDRIWTTYNAIPNCTKISATSPIHNNLRAVYDVPPSVMQNAATVNSSRIDFSWNPSDPSANISSKYFFVFYFAELQHVPSNAVRQFDIIVNNKTWNRRPYTPTFLFANYFSGVVQGMESYSVSLVATKNATLPPIINAMEMYLVKPITAVATDPGDVKAMMAIQDNFGVVKNWMGDPCTPKAFIWRGLNCSYPPANSSEIMGLNLSSFGLVGAISANFRDLKALQYLDLSHNNLSGPIPNFLGQLSSLMFLDLSSNDLSGPIPYSLLQRSQNGSLSLRVGGNANLCGNGTSCRPVRKKINGTLLAAIVVPMVIAITLFVILFLLRQALKGKAKRKATGHEDGSALLGSREFSYKELKHITNNFNQEIGKGGFGPVFLGYLENGYPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDRNHLALVYEYMPEGSLQDHLRATSTSKPLTWKQRLQIALDAAQGLEYLHIACKPALIHRDVKSRNILLTTDLGAKIADFGLTKAFSDSETHITTQPAGTIGYLDPEYFWSYHITEKSDLYSFGVVLLELITGHAPVIPISDSMSIHIGEWVHERLDHGNIDSIVDAKMGGDYDINSLWKAADLALHCKQDVSRERPTMVEVVAQLKECLELENRCSERRGSLSLDDDNLTCPGEGSALEVQEEETQRQQGGEIQAAAGPAMR >SECCE2Rv1G0099640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:524471170:524471754:-1 gene:SECCE2Rv1G0099640 transcript:SECCE2Rv1G0099640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRSAAGVMLPPIRLAVERARQEALQRELDGCQLLAGIWCHGFTVAQLRSIRASLPSTARLLVAKNSDLAAAVEGTRWESLRPCARGMNAWLFVRSDEIPPALRPYRDFQKEWRLQLNDFTGAVHEGRLYGPDDFAQLEAMPTRVQSYQYLLGCLQMPAVSVLAALRARQEAMAQAEKPPTEEASPAPASEK >SECCE2Rv1G0079960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:125134904:125136736:1 gene:SECCE2Rv1G0079960 transcript:SECCE2Rv1G0079960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGGAAAAPRPEHLAAHARLVKSADADAFVVSTVMRAYLRASLPLQALLVLRGLLPRAPRLLANSFSLSLALQACAASAALASSAATRPLGASLHARAVRSGFAAADLFVRTALVEMYAKSGRAELARAAFDEAPRRDVFLCNVMLAAYVARGEVVEARKVFDGMRDRDLVSWNTMIHGYAVRGDVSMAREIFDATSDRDAFSWSSMISAYAKGRRYKEALELWRELRAARIAPDCITMVSVLSACSDMGALTIGAEVHQFVKSHRVEVDMKLGTALVDMYAKCGDIENSLKVFRAMPVMDVLTWSSMIIGLANHGLGHDALSLFSEMLSEGLQPNEITFVGVLLACTHVGLVSDGKKYFSSMTDVHGVVPRVEHYGCMVDLLGRAGHVGEAMQLIRSMPFEPDAIIWRTLLGACRIHKNVEIAEEAMAKLRVLDPLADGHYVLLSNIYAQANSWEGVAEMRKTIKRENIQRVPGRSSIEWENTVHEFVSGDRSHPRIEEIYKMLEEMIDRLIQAGYRPMTSLVLQDIDEQSKKRALAEHSEKLAIAFGLLTTPPGSTLRITKNLRACEDCHSAIKLISLVYGRKLIIRDRNRFHHFSEGRCSCKDYW >SECCE3Rv1G0206190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:906002550:906004337:-1 gene:SECCE3Rv1G0206190 transcript:SECCE3Rv1G0206190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVKRALGFGGGYGQSKPVISYHTQSPDSVTTVLTEISHMSLNEKQAGYNDAAVQKTASLTELCSEDAEVQKHGYGQQAYGSDVGGFDALVRDNTAQKHGGYGGEQKASSYQHESGAGGYGAHHHESSAQKHGAYGGQKASSYQHGGYDAVSHDSTGATKTHGYGEQKAYQHGPEAHHDSSAKKHGYGEQKAYGGHHQDSKVQKHGYGEQTAYDSAVQKHGYGDQKAYDSKVQKHGYGEQTAYDSKVQKHGYGEHKAYDSAVQNQKHGYGEHGSAAAALHHDSYGAGKKHGYGEHGSAAAALHHDNYGAGKKHGYGEHGKAYQHGAAAGGYDAVHHDSSVQKHGHGYGYGEQKAAYQHGSDAGGYGGAHHGSATQKHGYGGGQKAYGHGADAGGYDAAFQYGGAKQTKHGYGGGGQMGYQHGPDAGGYDSAFHHGGAVQKHGAYGRQKMSYQQGCDGGIAGYDALVQKKHGSYAYPQQGCAGGDVAGYDALVQLRQMQKQQQYNQAGCESDEESEEDSDCEEEEMLGHGGYGVHKYAAGSTVPVGTQYYSAYERRQQLGGGSQYEAYESSTTQGYSGGGGYGGGWAQPKKHSYY >SECCE5Rv1G0333910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:562856487:562860687:1 gene:SECCE5Rv1G0333910 transcript:SECCE5Rv1G0333910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLFFVSLVVVPLVLLLLPLSRSATVVTHLPGFHGRLPFHLETGYVNVDEETGTELFYYFVESERSPDTDPVVLWLTGGPRCSSLIFYEVGPMKFVLAPYNGSLPQMAYNPYSWSKMANIILLDSPVGTGFSYARDLEGYRDVGDFSFSMHVVIFLNKWFIDHPHYQSNPFFVGGSSYAGMLTPIIAQHISQEIEHRKLPRINLKGYLVGNPFTGSDYDKNFRAQYAHGVGIISDQLYEAVIGNCKGSYIRPRNKLCDMALNTIEDLISEIDEGYIVGVKCVWDLLRQRFLSEEYAQLSELSPEQPTINCFAYRYYLSNIWANDDSARDALGVKHGTIGEFKRCKKSMPYAFDVSSSIEYHFNLTSRGYRALVFSGDHDLVIPFLGTHAWIRSFNLSIVDDWRAWHLGGQSGGFTITYANHLTFATLKGGGHSAIEYRPKESLAMTQRWLDNKPL >SECCE3Rv1G0157770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:83737879:83753084:1 gene:SECCE3Rv1G0157770 transcript:SECCE3Rv1G0157770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHQQPLLPAPSGGGLLVLYASQTGHAEEAAGRVGREAERGSCPSVHVLSMDSFDPSRLPGERFVVFVVSTAGQGDPPNSMKGFWRYLLKKNLDAGWLQGFRYAVFGLGDSGYKEYNVAAKKLRKRLSQLGAKSIIHYGTRGLCLQPGAVGIGLLPYENALGPWLLSLWKSLNRTNPLLLPRMPDIINPNLNNLGDAKVKVIYYSSHDTPQDPIVSDSKKLIGRARSMHPALNFHNDGEPQYMLKMVTNQRLTKKDPERDVRHFELEDPSSAVRYQVGDALEILPSQNPSAVNAFIKRCNLDPDCYISIRAKGGDEVSKGLPVNGLMDRVKLKTFVALTMDVASASPGRYFYEIMSYFATAQHEKESLHCFATTEDEKERLQKKRLQKERLEEFASPEGRDILYQYNHKEGRTVLEVLEDFPWVHMPFEWLVQLTPPLKKRAFSISSSPLAHPNQIHLTVSVFFCELKDLTVSVVSWLATPFRRKHGLCSTWLAGLDPNEETLVPCWIHRGSLPPADPSTPLVLIGPGTGCAPFQAFVEERAAQRARQPTAPILFFFGCRNEDGDFLYRDFWSHHAQDNRVLSAKEGGGFFAAFSRDQPEKVYVQHKIREQSARVLNMLCSGAAIYIAGSSTKMPADVRAALEEVVREKGGGDTGWLRKLERAGKYNTETWS >SECCE2Rv1G0102910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:585259731:585260762:-1 gene:SECCE2Rv1G0102910 transcript:SECCE2Rv1G0102910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGIETADAYARYEAIASSLPTSACQGLGAAAYKNHRGFWYPAHQMAPTLAARDTFVARPTDIVVATLPKSGTTWLKALVFAVAHRHTHTPVADGAGQGQQRHPLLGSSPHDLVPFLHYVYEKHRSAAAPLLEAMPAPRVLAVHAPLSALPASVAGSGCRVVYMCRDPKDALVSLWHYLRKANRPGATAASFEELLTAFCDGVSPFGPAWEHIAEYWRESLARPEQVMFLRYEHVKEDTVGSVKRLAEFLGCPFTGEEVAEGVPEAVAALCGIEQMRSVEANRTGEYGVHWKFSNSAFFRNGEVGDWKQHMTAEMAQRIDGIMDGKLQGTGLSLITEPKKM >SECCE4Rv1G0287470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:854889433:854892994:-1 gene:SECCE4Rv1G0287470 transcript:SECCE4Rv1G0287470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAFPMMLVLSLLLYPDGISESLAARPPVVNIGSILQLNSTTGGVAAIAINAALEDINADPTVLNGTTLKVESKDTNCFDGFLGMVQALQFMETDVIAIVGPQCSAIAHIISYVANELRVPLMSFASDATLSSIQFPFFVRTAPCDLYQMAAVAAVVDYNHWKIVTAIYVDDDYGRNGIAALDDALTAKRCKISYKIGFPANAKKSELINLLVSVSYMESRVIILHTGAEPGLKLFSMANRLNMMGNGYVWIATDWLSAYLDANSSVPAETISGMQGVLTLRPHIPSSKMKSNLISKWSRQSQKYNHSDLRVNTYGFYVYDSVWTVARALDAFFDDGGSISFSNDSRLRDATGGTLHLEAMSIFDKGKKLLEKIRKVNFTGPSGRVQFDASGDLIHPAYEIINVIGNGMRTIGFWSNYSGLLSTAPPEALYSKPPNTSLANQQLYDVIWPGQTAQRPRGWVFPSNAKELKIGVPNRFSFREFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYRFVPLGSGTENPHYDKLIQMVETNELDGAIGDIAVTMKRTVNADFTQPFIETGLVILAPVKRHITTSWAFLQPFTLEMWCVTGLFFLVVGVVVWVLEHRINDEFRGSPREQLITIFWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIKGIDDLKNSDDPVGFQVGSFAQDYMVNELNISRSRLRALGSPKEYAKALELGPKKGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSRGWGFAFPRDSPLQVDLSTAILSLSENGELQRIHDKWLKTGECAADESELINSNQLRLESFWGLFLICGVACVISLLIYFGIMLRKYMRHEPKKSLRRFISFVDDKEPPKNRKKRSMSLPASATATAPMAALDVERPARPVRNGSVIDIEG >SECCEUnv1G0529810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9197378:9203485:1 gene:SECCEUnv1G0529810 transcript:SECCEUnv1G0529810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENWVEEGSKNTSAPASFSGSPPPSCQTSSTRRSRQRNIFHLLSHREVSPRTKHQAKRHWNKPPTCGAGYSELRYSATDAKHDLFSWAESQSLHRWSAKYCPLMPPPRSTIAAAFSSDGKTLASTHGDHTVKIIDCQTGKCLKVLGGHRRTPWVVRYHPLHSDILASGSLDHEVRLWDANTSDCIGSQDFQRPIASIAFHARGEILAVASGHKLFIWNYNRRGESSAPTIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDSADSQLTHATSTGYSNYPSALFFANINSRGYPHLESNMSSPCLIWPAFLRDDGSLYIPRNDLASGSSNVQQSSSSLAQNVLASDAENQQSDQFVTPMDVCPGEPSASSDIQMPSTSNSLQLPAIARPAGSAVDRMPMNPFTTSGLDVQMFLRNSEGGNHHHDLFGDSRSWELPFLHGWLMAQSQTGASSSIPIPAGSTRGSNRHYASRPHALASVPGVGNSLLGPQIDEAEARAASLGVGSELATSLFSAGAAELPCTVKLRVWRHDIKNPCVALETKACCLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDQGNQLPVQYESTGAGTSPTRHPLPSHGVIYELRVYSLEEATFGEILTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLRSIVMDAETTGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADATSMGLNCFIEENMLEVQRYALEG >SECCE2Rv1G0128600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:863078594:863078983:-1 gene:SECCE2Rv1G0128600 transcript:SECCE2Rv1G0128600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNVITIPSVAWLRRAVRRWRARRSTSAPVPAGHVAVCAEGARFMVRLAHLSHPAFLELLRQAEEEYGFPSGASGPVALPCGEDRLRGVLRRVSSSSDSEEHRRSSFRRCRRGYSRPLLQWVAVEKPVL >SECCE6Rv1G0407180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:554944333:554946162:1 gene:SECCE6Rv1G0407180 transcript:SECCE6Rv1G0407180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNCDFNSNLLEKEAGRISFPWARPCDGCHAAPSAVYCCADAAYLCASCDTQVHSANHVASRHDRVRVCETCESAPAVLACHADAAALCTPCDAQVHSANPIAQRHQRVPVLPLPAVATPAASGFAEAEASVTAHGDKEEGEEVDSWLLRRDSDDNNCANKIDRYFNLVGYNMYYDNITCNPGPGELYRMQEQQHVQNSYREKERCECVVPPQIVMASEQQESDYGTIGAGQTASVTAMTSTYTASISNDISFSSMEVGIVPDNTRPDISNSNTLTSSEAMELSGHSLQVPVHFSSMDREARVLRYKEKKQTRKFQKTIRYATRKAYAEARPRIKGRFAKRSDIEHEVDHVLSPTALPDTSSYNTVP >SECCE4Rv1G0254680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:640578982:640581857:-1 gene:SECCE4Rv1G0254680 transcript:SECCE4Rv1G0254680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSIWTVASLLPVLVVLADSLGMQPAPGCQTSCGGMNIPFPFGIGTGCFLPGFDIDCKDNIPVLPNADYSLQVLNLTLTPRPEATVMLPVAFQCYDTSANNTGPTSDKSSGTVFISSAFRISNTRNELVVLGCNTFAYTNSGPWGRSTYSVYTGCLAYCNDATSAKDGDCTGIGCCRVDIPAALNDNSMRFLGTENTDDRSWSHVGMEFSPCDYAFIVEKTKYNFSAADLNMDGKSTSKPLVLDWALRDKDKNTNVTGKMSCAEVTKQPDYACVSKDSVCVDSPNGPGYFCNCSKGYEGNPYILGAGGCKNINECERRSFYTCHGVCRDTIGDYECKCRAGYASHGDAKYNECNQTFPFVARLSLGICLGVFFLIVGILVAFIMLQKRKLDKLFENNGGKMLENVKGLKIFTKDEMKKITKDNAEFLGNGSFGKVYKGTLPDNTMVAVKHSIKVDEATKEEFAGEVEIQTNMIHKNILKLAGCCLEVDVPMLVYEFAANGSLQDVLHVKKNQKLSLDSRLDIAIGSAEGLRYMHCHATQAMRHGDVKPDNILLDGKLTPKIADFGLTKLLKEEYFAKTIVGCMGYIDPVFMKTGLLTQKSDVYSFGAVLLELITGKRNVYDQTCSLIIEYRKVYEKEKSGRAMFDTDIATKEDIVILEEIGKLAMECLKEDLEDRPDMTEVAEQLVMIRRNKKIGKSNSRTPHNNGDITIDVSPDNTEVSGTTSAKVTPIHTDSLPSP >SECCE2Rv1G0099900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:529095262:529095597:1 gene:SECCE2Rv1G0099900 transcript:SECCE2Rv1G0099900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCLAFLLLLGVALALAGPANGDMSAAFAGTGASYSIDAAVRQLMSPSSMKLEDGVDPELSVDLEVHRRVLAGISPGALSRNRPACPGACPAPGGSYTNRGCQKKYQCRG >SECCE2Rv1G0086000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:201229730:201239485:-1 gene:SECCE2Rv1G0086000 transcript:SECCE2Rv1G0086000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDPSSAAVSKTQEISVPPVEGVAGGGTSYGWVDGGLQGSHLGSSVIDPTKVHSADLLHVWSMPSTANVSQQEAPRPLEHVNLLSARNERESFQIALRPKVSWASSGIAGPVQIQCTDLCSSSGGRLVAGQSITLRRVVPMLGVPDALVPIDPSSPQINLLPGETTAVWVSLNVPCGQEPGLYEGEICITAVRTDSDSKADSLPKSERYQLYKELKTCLDITESRDHSSSEEMMLRLSSTSTTLRGMLVLPAFQDFHENNGLGDMMDEDVMNNVAVRVKLSLTVWDFTLPLTPSLPAVFGISETVIEDRFCLEHGTKGWYDALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKANDYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKTEAHWSKAYFYLWDEPLNMEQYEVIRNISNELRTYTPDVRILTTYYAGPSGSELAPSTFEAFAKVPNVLRPHTQIFCTSEWVLGTREDLVKDIIAELRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRAWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSKEPVASLRLERILSGMQDIEYLNLYSSKYGREEALALLEKTGAYLGPDRYAHDHGPVDVMRGEVYRTCRS >SECCE5Rv1G0334420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565805722:565806750:-1 gene:SECCE5Rv1G0334420 transcript:SECCE5Rv1G0334420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLPSSSWHALLCLVLFSAVVASSSSAMDCDGDDRAALLRVKAQLGDPVQLSSWLPATNCCAWEPPAVFCSAAGRVTGLALSSLDGVRAPVPPALGELPELVILQVQSVRGLSGRIPSSFANLTRLEDLNIAGTSISGPVPDFLAGLTSLRTLVIADGKLTGPIPGCLGSLPGLRYLDLSGNMLTGGIPPGLLHGSFRFLILTNNQLTGEIPSDCGDDDVDTLDLSHNRLVGDPSPFLFGITKPVAKVDLSWNELEFDMSDVSFPHHLTFLDLSHNRITGSVAKSLMDVKLEHLDVSYNGLCGEIPAGRFMSAHGAESYDQNRCLCGTPLPPCTAGMLGR >SECCE4Rv1G0248340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:570841239:570843966:-1 gene:SECCE4Rv1G0248340 transcript:SECCE4Rv1G0248340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRAGPICLHLAGIAGRRVRRLPQPPAPTATCSTSAFASSSFHHNLGTFLDCIGVPPSRSLSNQAGGDGGIGGECWSCGAKGAFLSCGSCRSVQPVDPAVDYFRIFGLDRGYDVKDTNLEGKYKDWQKKLHPDLVHSKSEKERDFAAGQSALVIEAYRTLSKPLPRALYLLQLEGIHVDEEKTINDPELLMEMMEIREAVSEAGDSHTLKKIQSQMKSKLETWSKSFQEAFDKRDFDGAVEATQRMRYYERAMEETVKKL >SECCE7Rv1G0521810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:874925643:874933222:1 gene:SECCE7Rv1G0521810 transcript:SECCE7Rv1G0521810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATTMLAALVILILVPSARGLDRAEFPPGFLFGVTTSAYQIEGAYLEDGKGLSNWDFFTHTRSGGIKDGRNGDVADDHYHRYMEDVEIIHSLGVNSYRFSISWARILPRGRLGGVNSAGIAFYDRLIAALVQKGIEPFVTLYHFDLPREMETRYGGWLGAGIREEFDYYADVCFKAFGDRVKFWTTFNEPNLFAKLAYMVGRHPPARCSAPFGTCESGNSHLEPYAAAHNVLMSHAAAVHNYKKNYQATQGGSIGIVIAMKWYEPLTNTTDDIMAARRALSFEVDWFLKPIFFGDYPREMHDLLSSNLPKFTSEEKILLHKNKVDFIGVNHYTTIYVKDCISSPCDLKNYETYEGNALVLATGERDGVAIGKSTAFNGYYDVPEGMEQIVKYVNQRYKNTPVYVTENGYSQHSNNTMDELINDGERVNYLQGYLTCLSSAVRKGANVRGYFVWSIIDNFEWTFGFTIRFGLYHVDFETQKRTPKMSAKWYRDFLMGSRPTDQAQTLRADS >SECCE1Rv1G0017920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:169325064:169325459:1 gene:SECCE1Rv1G0017920 transcript:SECCE1Rv1G0017920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLSSMRQDVIQEFVALYQRLGPYLPIEPYLVDEALRSYLDHIHATDSFLILQASYQDMRENEGGSVFFENDVSHNRDFIDAESSARRCMEVEQRIRWEEIPKSKACLKKAEHEHALDLFKSEDLRREL >SECCE4Rv1G0265430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725916462:725918502:-1 gene:SECCE4Rv1G0265430 transcript:SECCE4Rv1G0265430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLHKEARSRTMPLGSHDVVPRAMPLFHQTIKEHGKLSITWFGPVPRVTITEPELAREVLSNKLGHLEKLNLGRLQRMLHHGVGSHEGEKWVKHRKIITPAFHLEKLKRMLPAFAACCTELVQRWEVLAAGDAPCEVDVWPDMKNLTGDVISRAAFGSSYHEGRKVFQLQGEQIELIVQAMDKMHIPGYLFLPTKGNRRMNQIVAEIERVLKGIIAKREKALKAGEATCGDDLLGLLLESNMAHCRTGDTNASITTEDLIGECKLFYFAGMETTSLLLTWTMIVFCMHPDWQDRAREEVLHVFAHRTTPDYDGLTRLKVVTMVLYEVLRLYTPLTSVHRKTCKPMDLAGVRYPAGMLFMVPFLCLHHDKNVWGADADEFRPERFANGISKAGASDDAPPFFPFGWGQRTCVGQNFAMLEAKMGIAMILQRFSFELSPSYTHAPFPVGLLHPEHGAQLRLTRRP >SECCE4Rv1G0289400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:864996337:864997434:1 gene:SECCE4Rv1G0289400 transcript:SECCE4Rv1G0289400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVVAIVLAATTSGALAMDIKDKDLASEDSLWELYERWSEHYRVARDLDDKTRRFNVFKENARMIHKFNQGDAPYKLSLNLFGDMTDEEVHRTYGRCSNIKSNGRQHRQQGRFTDNAINTRKGLPSSVDWRRRPSAVTNVKLQGAHCGSCWAFAATAAVEGINSIRTRNLTSLSTQQLVDCNKENGGCKGGFAKLAFKYIMQSGGIETDANYPYVGHEDGHCSVPKPNHNRVVTIDGYKQVAPNDVVALEWAVAAQPVVVGVDSNSTAFQRYGRGVFVGPCGTNLDHEMTVVGYGTTDKHEYKNPIRYWIVKNSWGADWGENGYIRIARNVRGQPKEGICGILTDASYPVKFSRKGGTDIMKRN >SECCE2Rv1G0123180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:821659538:821661847:-1 gene:SECCE2Rv1G0123180 transcript:SECCE2Rv1G0123180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGIAMARAGSLVAKLESKICYHRDAALEYMEIKAVVGEVIEEKEMLQQDYHALKNELEAANKTIEEVNKVLAAGNEETSLKEKELELVKKKLQDWEAKTNLPQLQNCCASEHVQPSNSKGQTRSMRHQEVPSQGPLGIDADKPDLAEERPGSMLVNSPIVGQTSVVPPSTDDDTVALREHLIKQFREIDKYGGRIIGIKEMGKLNVKAFEIACAEKVRTTKVTDASSKLYSLWQQRITDVSWNPFNMVTVEGNHQEVLNVNDDKLQELKKEWGEGPYKAVIDALMEMKEYNCLGDRSTVYELWNYRAGRKATLMECNEYMGERVRELTVVKRRRTRRVI >SECCE3Rv1G0172720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:302439135:302441539:1 gene:SECCE3Rv1G0172720 transcript:SECCE3Rv1G0172720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQSVCRGAGRWRRAVAWWGAVVVLGHLVSCGSAGLLDTNPGLAYNFYATTCPSAEATVRSITWAQVAANQAVPGQLLRLHFHDCFVKGCDASILLDNAQSEKTAPPNGSLGGYPVIDAIKAQLEKACPGVVSCADIIALAARDAVSYQFKASLWQVETGRRDGPVSLASNTGALPSPSAGFNGLLQSFAAKGLDINDLVALSGAHTIGKASCSSVTPRLYQGNATSIDPLLDATYAKTLMNACPNTPASTSTVDLDGATPFKFDGSYYTNLQNKRGVLASDAALTQNAAAATIVNDLTNPIKFYAAFSMSMKKMGRVDVLTIKNGQGKIRTKCNVP >SECCE2Rv1G0137850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920223709:920224968:1 gene:SECCE2Rv1G0137850 transcript:SECCE2Rv1G0137850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQGLPASIQGIRWRILVASLLPRSPTAAAGDDVVAIEREAAGEPVARRTRSRRALRRLPEDIVVWEILVRLPATDILRCRAVCRSWSRLASAPDFLLAHHRRQPSLPLAMLYGSTDSSSTEAGHRVLGRGRSRPVLGFDDHDGCMLHASCDGLLLLSLSNGSFRICNPATRQCGSLPGLPTADYIKIAALYSHRKSSEYRILYRKAAVYYILTVPLGSPRCIVVPSDSPSMKLRYLAPPVVFRNHLHWGPDWPYYDVGLLVFDTVVESFRSMRCPSGATGHSAHLCDMEGSIGFSCLDYLRLVVTIWVLEDYEREVWAFKHHVKFPAEILCRSSIAYTHHCVLSHEGDVLVYNICDCRMFHCDGDGKLLEEFQWDYNSQRLKFIGVRFKESLVEHDLFSRRGAACVEQPSFFKRL >SECCE6Rv1G0410660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:601612531:601615026:1 gene:SECCE6Rv1G0410660 transcript:SECCE6Rv1G0410660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] MEEGNEAQPPPLPPPDAPEAAGHLALIPMEQDEDQAAAAAATAPMEDDAAGGDAAASAEPMEEDAPTSSPTPSAPSTTAAVDDSTVARKRRRRKKQFPGMIPTAGVRVLRASSSSGATAAHLAGIPRRRGRPPTNSSLRLARELDSEATIALAAGFPADTLSEDEVAAAVIPLIGGADQANYLVVRNHILALWRSNPLSPVASNAALASIRAEHAPLVAAAHSFLSDHAYINFGLAPSIVSLPPIPPPSHPPPSVLIVGAGFAGLAAARHLMSLGFKVAIVEGRLRPGGRVFTKTMRSSAADYPDIAASADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPDGRPVDPGMDDRVEAAFNQLLDKVCQLRQVIADSVPHGVDVSLGMALEAFRAAHGVAAEPEERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSQFVRALADGVPIFYGQNVRRIQYGCDGVLVYTDKQTFRGDMALCTVPLGVLKKGDIDFVPELPVQKREAIQRLGFGLLNKVVMLFPFDFWDGRIDTFGHLTEDSSQRGEFFLFYSYSSVSGGPLLVALVAGESAISFEKKSPMENVERVLDTLKKIFSPMGIEVPNPLQAICTRWGTDKFSYGSYSHVAVGSSGDDYDILAESVGDRIFFAGEATNRRYPATMHGALLSGYREAANIVRAARKRAKKVDLSEKIVVSYEVKDIVKDDNIDLDDLFRTPDVAFGGFSVLHDPSISEPDSASLLRVGIGARKLGSGSLFLYGLIMRKNVTELAAMEGDEQRLSTLYRDFGTKLVGLDGLGDAGESLISRIKAASKK >SECCE6Rv1G0444850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:832071245:832072415:-1 gene:SECCE6Rv1G0444850 transcript:SECCE6Rv1G0444850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRALRAVLVLAGKVAVITGAASGIGKATAAEFVRNGARVIMADIQDDLGRAVAAELGPYAAYTHCDVTEESQVAALVDLAVKRHGRLDIMFNNAGISGKILTPTPLGFRDLADFDHVMATNTRGVIAGVKHAARVMVPCRRGSIICTASTAGVVGGVAFPPYSVSKSAVLGLVRAVAGEMARSGVRLNAISPNYIPTPRLMAFMAQRFPGMSDQERRLVIERDMNEMDGPVLGVEDVANAAVYLASDESKYVNGHNLVIDGGFTVGKEPNMPSPGQ >SECCE6Rv1G0417870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659832913:659833645:1 gene:SECCE6Rv1G0417870 transcript:SECCE6Rv1G0417870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVMAALWDILAKAANVAQMSGLHAAMLVAVGMSLLRIPQSRRECAKLERCSRRLHALLQWPTGCGAVLLCSEMGGPVLKALVDAAGLVASYEKSTLWHRVRRGRGMAAQLRDMRDVVDSYCGLLLYVNAHLLLQPATHRPPSDTTYGVHEVNENDTPQSRSVLLAVTNSPGVPETRGAQRHDNDGEGGTGSRTDDTGPAGRERSVTA >SECCE5Rv1G0358020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:752648077:752651982:-1 gene:SECCE5Rv1G0358020 transcript:SECCE5Rv1G0358020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAARAADHAADLLHKLSLDPKGVVAGQGKDAQKKVSAAPNGRLNGVVASPNPQVASAGQWPAMGQQDYKNANMYGAGADAYQYYYGGWGDYSVYVGLDGAESLNPGAYGDMYCYPQYGVASSGYDGQMYGSQHYQYPSTYLQPQITSTTKPAYKPKVGKSDPLPQKDASAAPAAYQKPGLVDASKANSSSTDSSTGLKKTTYPVKPSGRSASYQNHGDKAAYPSYGGHTQQKLSVGNSTSTASNPKTKGLLGQNSAIGPQTPGYMSSMYSSVMYNANAYGPDYWYGSPLYGSGMYGGWNVLSDGKYKPRPKTYGSYRFGNENIDGLNELKRGPRSTVIKNEQGAGEAAVAPAKGQELPTGDASNTVVQDQYNKADFVETYTDAKFFIIKSYSEDDVHKSIKYNVWASTPSGNKRLDAAYQAAKDKPSNSPVFLLFSVNTSGQFIGLAEMVGQVDFNKTVEYWQQDKWTGCFPVKWHIVKDIPNTLLKHIILEYNENKPVTNSRDTQEVRLEQGLQVLKIFKDHVCKTSMLDDFGFYDNREKIMQEKKSKRQQPLEKIMNKKPLSISNTENQDVDGKQGIMNKMPLSTSNTENQDVDAKQGLQELKVQGEKNPVVENGVAAGAVNGVAPADAGPAVVAVGC >SECCE6Rv1G0432050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749436634:749439916:-1 gene:SECCE6Rv1G0432050 transcript:SECCE6Rv1G0432050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Orotidine 5'-phosphate decarboxylase (EC 4.1.1.23 [Source: Projected from Oryza sativa (Os01g0951200)] MDAAALETLILDLHAIEAVKFGAFVLKSGITSPIYLDLRALVSHPRLLAAIASLLSSLPATRPYAILCGVPYTALPIASVLSVDRGLPMLMRRKEVKTHGTAKAIEGSFRAGDTVLIIEDLVTSGASVLETAAPLRAEGLVVADAFVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLRHGKVTEEKAAEVKQFLDANRKVTVPGAAAAAAKPKVARKGFPERAALAKNPMGKKLFEVMEAKQSNLCVSADVGTAKELLELADKVGSEICMLKTHVDILSDFTPDFGTKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILEWADIVNAHVIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAQGDYTAAAVKIAEQHSDFVIGFISVNPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYTTPFSVINDRGSDIIIVGRGIIKASDPEQTAREYRVQGWQAYLSSL >SECCE4Rv1G0294260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:889734666:889738466:-1 gene:SECCE4Rv1G0294260 transcript:SECCE4Rv1G0294260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASEATMKSLLRKLGGLLAQEYALIRGVRGDIQYINDEMASMQAFLVDLSSTSPQGHDHRMKDWMKQIRDVTYDIEDCVDDFAHRLSHDPGGEVICAFVRSNVYEVWTWRSRRNIASNIAELKVRAQQIGERRKRYGVENPKSGVRESGAAATGRFQAAENQQASLELVGTKKPVGVDKDMKKLGEWVTLQQPAAASLTDPSSSAPTSQTGAKKQDQGILSILGFGGVGKTTMAMALYQKFGDQFDCRAMVTVSQSSDMEEILRSIHSQVMPQSKDGNEQQGSSGVGTSEKKRLVAAIGSMWDTVMPKGQQDDEQCGGSTSDIARDLRKHLEEQSYLLLVDDVWSASMWDKIKKSLSLPNCKSGSRIIVTTRFQAVATACITDEGSDLVHKVVGLSDDQAKELFMAESNMSEENQKKIPPGLWEMCGGLPLAIVIMAGHVACNPDKVEKDWMEVCTRLVLDSGKPLGQDGVTMILSHCYNDMPAEIKTCSLYLCIFPKGRKISRKRLTWRWLAEGFVSEKDGLSAEDVAETCFNHLIKRKIIRAVEHSSNGKVKSYQVHDMVLEYIVSRASEENFVTVVGGHWLMAPPSNKVRRLSLQGGDSKYKKGMDGMNFSHVRSLTLFGSLTRLPSNSLKLGIVQVLDLEGCNDFKKKHAKEICKMLLLKYLSLRRTEVDKIPKKIGKLQYLETIDIRETNVTELPSTVCQLERLVNILGGNKRTRKALKLPDDLKKETMKSLRILSGIEIDERSVAVVADLHHLTELRKLAIYKLNIRNGSKLFRELSSAIEYLGGYSLHTLIIDDGSSEFLKSLSTLSFPPKFLNALELSGKLVELPQWIKKLDALTKLTLSVMVLTTDALRQLSKLKTLFSLTFSHTSGKVDSEIGATIGENKADGEITVPASGFEELKLLRFSAPLVPLLTFRDNAMPKLERIELRFSNLGGMQDIENLTSLKEVHLIMHDKAGDVTKLIVDEMATAAKEDDKGPRIIVDQYHE >SECCE7Rv1G0514300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:832035840:832040630:1 gene:SECCE7Rv1G0514300 transcript:SECCE7Rv1G0514300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEQESRWAAAQGISIGEDLVPAALRHLEFLAAVDRRRWLYEGPLLHRAIHRYKACWLPLLAKHTEAVVTDEPLVVPLDCEWIWHCHRLNPTQYIKDCKRLYGRILGSNNVKSSIQAKSKDQAEKVWTELYSGEPFELEYTSPSDDFVYVDDRTGGGISYDLISAVRRQSSFVYQVGTPNMRDHRFLEEALARYKGFLYLIKVNQEKGMKLFRVPTYDVDLMWHTHQLNSVTYYSDMLNLLGRVLEHDDTDDDRAEGKKLDTGFSGTTEQFENNFGLRYWKVGAMYRGSLPSPVTSMPQIFSSEDDSVFGVDKAEKHLNILETTVVELYLQIVDIKNLPSAIPEKSVYVWFTKTQPDVFISDGGRLDISTKTGKSIGAGFQCEPTGELILTAMVDQTYFKASSSKKSESLGKVSISLQELTQPDSKLSFERWFELKSRGAYAGSPPVSLRVAASCTVPRQAPQVLSMVNVKPFSLKACLLPHSMKDQNMSSWTRFVYDCGTELIRLQIREHKAKSGMDLIRELVGVTKSSKQTFQLAEFTKNQWSFNNSNLSITHDLKPSKDGCIHELKYGNKLIKLYRGRRLAYELKCCSQHAEDTTAVTAVKFSAEHPYGKAVALLDTESEFITVDEDWFLLPWVAISFLFLNSIGKDGLKLIEGAMVHKGGTVEPDTTVTSEMVKGGAADATAAQCGPCGTAGGGDMVMVSDKAGHASCGGSVTSSGKVADSKCGGCGSDCGGGSGVSVVTMSYKKGHASCGVVAGGENGHIESAGCGSGCGSSCGGSMVIESSQERNTKSGGCGSGCGGGGCGGMVMESSKTGFVKSGGCGSGCGGGCGSGCGGGCGSMVMESSKTGFAKSGGCGSGCGGGCGSGCGGGCGSMVGC >SECCE4Rv1G0282810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:830497450:830497875:-1 gene:SECCE4Rv1G0282810 transcript:SECCE4Rv1G0282810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDGMKRVFWMTGDYKSHPDDGYDKTAVPVVENISYQDGAPFKGICMANVTAEMTKERKVSWNCADVEGVSAGVTPAPCAPLQGTHTGSCPFPTDTLAVDQITVQQCSYSIATPAASSVPGAE >SECCE2Rv1G0123280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:822907125:822909234:-1 gene:SECCE2Rv1G0123280 transcript:SECCE2Rv1G0123280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g17670 [Source:Projected from Arabidopsis thaliana (AT3G17668) UniProtKB/TrEMBL;Acc:Q9LUN0] MPATPAAGPGCAGLPAQAPTSSLPGFEGPPRRLGVRRPAWVVRTESNVRRERPKRPDPPCTICKGTGTINCRNCFGRGRINHVDLAVLPKGEWPQWCQICGGSGLDYCHRCHGSGEYREPMGFHFTVNRK >SECCE2Rv1G0106740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:638688388:638688906:-1 gene:SECCE2Rv1G0106740 transcript:SECCE2Rv1G0106740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIQLSLSCEKRRSKALTVASRAAGVTSMAITGDARDQLEVVGDGFDPVCLVSCLRKKLGHAQIIKVEEVKKPEEKKEEKKGDPKPAVPVYPPPCYYQPSYYHHQYQPPHMVICEEEPSNCRTM >SECCE1Rv1G0005860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28527885:28528160:1 gene:SECCE1Rv1G0005860 transcript:SECCE1Rv1G0005860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFKHVREVDCYSNISIAYRILFTVPVTVASAERSFSKLKLLKNYLTSTMTRERLNAFATFCIEKKLSDEIDIYPIISDFAARKLEKKKR >SECCE7Rv1G0471260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:128849233:128852057:-1 gene:SECCE7Rv1G0471260 transcript:SECCE7Rv1G0471260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVNYCDWGGSSRGIRAFMESHLPALKEKNPQLEVVTQLVRGQHPNLKGIYKNHNERVVCVRNLAPEDIMLQASRLRCSLGRKVVKLRTRHVTKRPSVQGTWTTELKM >SECCE4Rv1G0250110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:589541304:589543504:1 gene:SECCE4Rv1G0250110 transcript:SECCE4Rv1G0250110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPCLPAVRPPPRAVRARRERPRGLRPALTVVAAGTRTSGAEARGSLVLALVSQALAASQRRAVDLVTETAKYALPSGRFEPRTLEEALMSVPDLESVPFRVLKREEEYEIRQVESYYVAETTMPGRTGFDFSGSSQSFNVLASYLFGKNTRSEQMEMTTPVFTRKEEGRGETMDMTTPVITKKASDENKWKMSFVMPSKYGPDLPRAKDPAVTIKEVPSKIVAVAAFPGLVTDDDISQRESRLRKALQKDNQYRVKEDSVVEIAQYNPPFTLPFTRRNEIALEVERLDRAS >SECCE6Rv1G0398770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:425668162:425674517:-1 gene:SECCE6Rv1G0398770 transcript:SECCE6Rv1G0398770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQRMGNFKYLVMAPVVVHGAYRVMKKGWGDIDVAYALILPSLLLRMIHNQIWISLSRYQTARSKHRIVDRGIEFDQVDRERGWDDQIVFNGLLFYVGYLAMPSVRRFPLWRTDGAVATALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFGEHIVYFTLFAIPMLSTVYMGNGSALVFVLYIVYIDFMNNMGHCNFELVPKWMFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYSTMDKASDELYESSLKGTEEIPDLVHLTHMTNLQSAYHLRVGFASIASKPSDNSEWYMWTLWPLAWLSMVVAWIYGSSAFVVERIKLKKMRMQTWVVPRYNFQYGLTWDRESINDLIEKAILDADVRGVKVLSLGLLNQATQLNGNGELFRQKYPKLGLRIVDGSGLATGVVLKSIPSDAKQVFLHTGTSKIARAVAMALCGRGIQVIMNRKKEYDMLKSQMPENRASYLKCSSNDITKIWLVERIDDKEQRMAPKGTVFIPISQFPLKKVRKDCTYLSTPAMKIPDTMQNIHSCENWLPRRVMSAWHIAGILHVLEGWSAHECGDDMMDSEKAWSAAIRHGFVPLTNA >SECCE2Rv1G0071960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:48066820:48068504:-1 gene:SECCE2Rv1G0071960 transcript:SECCE2Rv1G0071960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSHVATADGKQASHRLAVVTGGNKGVGLEVCRQLAAQGVTVILTARDEKRGKDAVESIRRESSLSNVVFHQLDVRDDSSVAALARHIESRYGELDILVNNAAVVGVAADEEGLKALNLDAETWTSGRAATLLKDVFQNTYEVAQDCLDTNYYGCKRVTEALLPLLKVSKFGARIVNVSSLASELKRMPNEELRKDLSNIDIWDEARIEAVLKSFMDDLNNGRLEEAGWPAMLPAYSVSKMVINLYTRILARRHTEMRVNCVRPGFVRTDINWNLGTLTPEQGARGPVMLALLPQDGPTGCYFDQTKMVNVW >SECCE2Rv1G0124800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:834621433:834626253:1 gene:SECCE2Rv1G0124800 transcript:SECCE2Rv1G0124800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVGIPAASWVVGKALSPLSGGILEAWGASSMLGSNMEALKMQLLYAQAMLNNVRGREIHNPALGELLDKLRQLAYGAEDVLDELDYFRTQDELDGTYHAAEAHAAGCVQDLALNARHTARACVNKLKLPLCSRATRRDNQDDGGKQGHLSGLHFCGSREISSSPPSPANQVVQEVHGRCMPKVVFSAREAAHTIGKHFSCYSFPPAHDDDSNSNMPGNGWRFLCGTWSSSKAPERKHDTQVSKLKFDRVEMSIKIRNIVEQLKPVCAMVSTILNLELLGSSSTPGQDTGMNRAKTTPEIIEPKLYGRDSQRKIIVDEIVNGECCELTVLPIVGPGGIGKTTFAQHIYEQMKTHFHVPIWVCVSFDFNVNRLAKDIVNKIPRVNNENNNCSDEELIMQRIKGKRVLLVLDDVWTHHENEWKKLLNLFKKEGAKGNMVIVTTRIPEVANMVKTTECSLELEHLCPKDIMYFFEECVFGDQKPWVDHPELSEVGSKIVNKLKGSPLAAKTVGRLLRNKLTLKHWTNVLESKEWESQSNDNDIMPALKLSYDHLPFHLQQCFSLCALFPEDYEFGSEELVHFWIGLDILHSYGQKRKRIEDIGLCYLTDLVNHGFFKMNKNEDGRPYYVIHDLLHELAVKVSSYECLSIYSSNVRHIQIPPCVRHLSIIIDDTDVKDRVSFEDYDGNLRALDKRLKVEHLRTLMLFGDYHGSFVKTFAGLLREAMALRVIFLSEASYNLEDVLHNFPKLIHLRYLRIKPSLYCQDLCLPSALFRLYHLEVIDLQSVECRVSSTRNISNLVKLQHFLVPKNKLQFHSDIYGVGKLKFLQELRQFIVGKEGDGFELSQLGPLTEIGGSLCIYNLGNVQTKEEANESKLKNKTHLRELVLHWGGCLSNNDPVKEDNVLGSLVPHSNLQELCIRGHGGTKCPAWLCENLSVKCLESLCLDGVSWKNLPALGEMWMVNELGEEYQGCSIAPPGFHNLKRLELRSISRLKKWVGNGACPLFSHLEVLIIKYCSELIELPFSHPPTCCQAEREEKMGWFTGLRELVLVGCPKLGLLPPIPWQNSAPCSAKLERVGSDFEQLIYKEHKSTLEINGKDGQGDVLWNGVNFSYLTNLKELHMSKTPPLLLDHLRVLTSLKRIEIHHSSGIVLPPVEGECHGKYRFPVEDLEITECNTSGKELTLLLSFLPNLSKLNIRYCKNITGLGVAEDTETVSGEQRLQTRVGEQEIITAAAAAEGLLLLPPQLQELWISGCPNASLLSSPLHDHHHVVAAGEGGLQRLHSLRSLDVYGCPEFLSCYSSSSSSSCPFPTCLQYLNLDGLKHMDTLQSLSNLTSLTKLYLNILGDSRDEGLWPLLAHSRLTELDLFTESDFFTGSNPSWPHDKDVFFHSSKLFNLGINTKTGVLAVPVCSLLSSTLIKLDLFLDGEHMTEKQEEALQLLTSLQDLHLYEGYKMQCVPARLHKLNNLKKLGIMDFEVIQSLPDSFPSSLQELKIHRCAAIQSLPSGLPSSLQELQIHDCAAIRSLPSSLPSSLRELQIHHCAAIKSLPSSLPSSLEKLKISYCSAIKSLPKDGLATSMRELDVHQNNSKELKRECRKLIGTIPIIKA >SECCE7Rv1G0513370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:821226512:821227107:-1 gene:SECCE7Rv1G0513370 transcript:SECCE7Rv1G0513370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGRLLPLLLLLAAAGRSLARGGIFGAASPPSSPGGDQKCSRTCESAYCMGTIEAPLMRYGKYCGVSYTGCPGEPPCDALDACCMLHDACIQTTDDYLNMWCNQSLLDCVATVRTAAAAAGGGVEAVLTTFEGNRCNATDVADEITSILEAAVYAGSILHRAPAPAPAR >SECCE4Rv1G0230360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:163118438:163123291:-1 gene:SECCE4Rv1G0230360 transcript:SECCE4Rv1G0230360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKVPLLEPAWPPADIDRRSNAGEDEGEKEEGLGQRLVEENRKLWAVAGPSICTRFSTFGLTIISQAFIGHIGPTELAAYALVSTVLMRFSIGVLMGMSSALETLCGQSYGARQYHMLGIYLQRSWIILLACAVAMLPIYVFTSPLLVFLGQDPAICVVAGTIALWYIPIMLSSVWSYTLQMYLQAQSKNAIITYLAFLNLGIHLLLSWLATARFHLGLAGVMGSNIIAVWIPVFGQLVFVFFGGCPQTWTGFSSAAFADLGAVFKLSMSSGVMLCLEMWYNTILVLLTGNMKNAQIALDALSICLNINGWELMISVGFLGATGVRVANELGAGSARRAKFAIANVVVTSFFIGFVLFVFFLFFRGNIAYMFTESRAVADAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNITSYYLIGIPLGVVLGYVVGFQVKGIWIGMLVGTLVQTVVLVFITLGTDWQKQVKIAHARLKRWYMDEETRLQGSAGK >SECCE2Rv1G0137460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918214250:918216030:1 gene:SECCE2Rv1G0137460 transcript:SECCE2Rv1G0137460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVVIGLVLLLDILAFVLAIGAERRRSTAYVSVDSAARQFCVYGTDASTWYGVGALALLLVGQAVAMVASRCFCCGRALSPGRWRFFSGLFFVLCWLTFVIAEVCLLAGSVRNAYHTKYVSGYYTDGALPCAMLRRGVFAAGAAFAFLTTLFVMLHYVFYSKARAAPPPIINGGGIGMTRI >SECCE7Rv1G0514020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:829545891:829547670:-1 gene:SECCE7Rv1G0514020 transcript:SECCE7Rv1G0514020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSHIFKRTRGGQQQNDSFNEDLPGAQNITRFSYKELDRATSRFDQSNKIGEGGYGPVYKGTLRDGTAVAVKVLSLQSRQGAREFLSELITISDISHENLVKLYGCCVEGSHKILVYNYLENNSLAQTLLGSRHSSIQFNWRTRVNICIGVAQGLAYLHDGVRPHVVHRDIKASNILLDQDLTPKISDFGLAKLLPSDVSHISTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLIEIVSGRCNTDTKLPYEDQILLEKTWAYYDQGQLDEIIDSSLGDDLDVDEACRFLKVGLLCTKNVTKRRPDMSTVTRMLKGEEDVESHEITKPDVIRDFRDLKLRSKATSSSLLTSIVARSSPSSSSSAGNTTRTSITFTAISDRP >SECCE2Rv1G0127250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:851928863:851929366:1 gene:SECCE2Rv1G0127250 transcript:SECCE2Rv1G0127250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSKKEKNGNFGREFFKCESKPEGQIVKKCYHFEWMYDYIQRLQGLGLLDSRGDAIGEFNLPHDSAAPAAAACLEYSTVVDVELKAELKKMNKNFKQLIELKKQSNLIALGILTLGIFYLMAISR >SECCE4Rv1G0220570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41022043:41023704:1 gene:SECCE4Rv1G0220570 transcript:SECCE4Rv1G0220570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIQQQQQHNQQQQRHHHHHHHHHPIAPPPMPMPPAPAPPHAQIPGPLPFGAAGSAAWPQAEHFFSDVFGASAADAVFSDLAAAADFDSDGWMESLIGDAPVFQDSDLDRLIFTTPPPPVPPPAEADAEAVARAENAPASLPLVAAAPHAACSSPGSADVSCSAPILQSLLACSRAAAANSDLAAAELAKVRAAATESGDPAERVAFYFSDALARRLACGGAAPLETASDARLASDEVTLCYKTLNDACPYSKFAHLTANQAILEATGAATKIHILDFGIVQGIQWAALLQALATRPEGKPSRVRISGVPSPYLGPQPAASLAATSARLRDFAQLLGVDFEFVPLLRPVHELDQSDFSVEPDEVVAVNFMLQLYHLLGDSDEPVRRLLRLAKSLSPAVVTLGEYEVSLNRAGFVDRFANALSYYRSVFESLDVAMARDSEDRVTLERCMFGERIRRAVGPPEGADRTDRMAGSTEWQALMEWCGFEPVRLSNYAESQAELLLWDYDAKYKYSLVQVPPAFLSLAWEKRPLLTVSAWR >SECCE7Rv1G0498860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:647151099:647166280:-1 gene:SECCE7Rv1G0498860 transcript:SECCE7Rv1G0498860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGNGDGGKERRAENGNGGGVCLEMPEIRYTRLFINGAFVDAVSGKTFETRDPRTGDVIASIAEGDKEDVELAVKAAREAFDHGNWPRMPGSERGRIMMKYADLVEQHAEELTLLESLDAGKPRTMTRVVDIGTSASSLRYFAGAADKIHGETLKMSRQFQGHTLREPMGVAGLIIPWNFPAIMFFCKVAPALAAGCTMVVKPAEQTPLSALYFAHLAKQAGVPDGVINVITGFGPTAGAAIASHMDVDMVSFTGSTAVGRLIMEASARSNLKPVSLELGGKSPLIIFDDADVDLAVDLSISANFFNKGEACVAASRVYLQEGIYDRFVKNLAQRLESWVVGDPFDPRVNQGPQVDKEQYEKVLSYIDHGKREGANVLTGGNACGQTGYYIEPTVFTDVKDDMIIAKEEIFGPVMCLMKFRTVEEVIAKANDTRYGLAAGVVTKDIDVANRMTRSIRAGVVWVNCYFAMDADCPFGGRKMSGFGKDASMHALDKFLAVKAVVTPVYDSPWL >SECCE1Rv1G0023840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:314439430:314448748:1 gene:SECCE1Rv1G0023840 transcript:SECCE1Rv1G0023840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVPDEPVVSKRSGLLFERRLIERYIEGHGKCPVTKEELSMDDIVLVKTNKVVRPRPLQAASIPGLLGIFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDESRALLALAERQIPASMAGVAPAAVVSNGKRAMEDEIGPDGKKIRPGINPVMIDELTECNTMLSAQRKKRQVPPSLASIDELERYTQISSHPLHKTNKPGILSMDIHPSKDIVATGGIDTNAVLFDRTSGQILCTLAGHSKKITTLKFVPRDELVVTGSADKTVRIWQGGEEGNYSCIHTLKDHTAEVEAVTVHATQKYFVTAAKDNTWCFYDISTGSCLSQVGEASGQEGYTSASFHPDGLILGTGTTEAVVKIWDVKTQSNVAKFEGHAGPVTAMSFSENGYFLATAALDGVKLWDLRKLRNFRTFSPYDSDTPTNSVEFDFSGTYLAVAGSDIRVYQVANVKTEWNLIKTLPDLSGTGKVTSAKFGTDAKYIAVGSMDRNLRIFGLPGDDQMDDDAKPSAE >SECCE7Rv1G0454120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:1508097:1509602:1 gene:SECCE7Rv1G0454120 transcript:SECCE7Rv1G0454120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAATHASRALPRRRHRRAPAPSTRLAPPLPAPPRPATLDRVLSDLEAQPSLLTPSLLGPLLAALPLHPSPRRRLAALRGLLPVSLLRRHPDLALRLLHLHASLGLLAYAHHIFDHLLPARARRDRAFPWNCLVAGYASAGRHDDALALYLQMDEEGAPRDGFTFASALRACAAARSAELGRAVHRDAVGAGLAADVSVCDALVEMYAQCGDLEMACQVFDAMPERDAVSWNVMLAGLLGHAGLSPQATEVWRRMLGEGHEPDSVVLSTMLCHHHPDDDDDGKLGPEVHAWVIRHGLETEFSVANALIGMYSRKNELGHALSVFESMPVRDLASWNAIISAHSQDFRVLMMFRRMVDSGVRPDESTFTAVLSACDNLGLVEGGMRLFSEMENKYRIQPTVQHCTSVVNMLGKAGMVNEAYEFMSKRARLSVEPTVLRALLHASSVHGNIRIGEITAKKLFDLEPDNARNFVTLMKMYESTGRLEELEKVKKMMRDKGL >SECCE4Rv1G0281120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825191801:825192997:1 gene:SECCE4Rv1G0281120 transcript:SECCE4Rv1G0281120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEGTFERNTKPRLDDHPGAMAASLLTDDLILEILSRLPARSLHQFKCVSVPWRHLITDPTNRRKLPQALAGFLYMAVSNNRRIHHHFASVYGAVALFDPALPYLHPNKDEGITQVDACNGLLLYRRYKKNRAIPWTEDACHFVVCNPATGRWVELPHPPQPQEPANSRNHTAGLAFDPVVSSHFHVLCFEYNFPGAYITGVNIYSSRTRAWSRRDCGMVEKLILCSKCVYVGGMLYLIGNLKHINGEYVLVGVDMEGKVWKTIRLPYGRSFGTIGVSQGCLHYAIASVDDNNKILVSEIALWCLKDHDSKELVLKHTASLDKLMSMTGLVYQVAEIHPDCDTIFLVQFGGDTLVSYDMRHQKVGSILNLKKNSIHKFLPYVPLFSESLADADGH >SECCE7Rv1G0516750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:847291077:847294153:1 gene:SECCE7Rv1G0516750 transcript:SECCE7Rv1G0516750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPARGGRGGRGFGGRSDGGGRGGRGFGGRSDGGGRGGRGGRGGRGGRGRGGGGRGGPGMKGGSKVVVVPHKHDGVFIAKAKEDALCTKNMVAGESVYGEKRVSVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGARVLYLGAASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTQSPEAVFASEVEKLKLEQFKPSEQVTLEPFERDHACVVGGYRMPKKPKCYP >SECCE5Rv1G0369700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:837216287:837218946:1 gene:SECCE5Rv1G0369700 transcript:SECCE5Rv1G0369700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARKIGRSVSLCSDNVDRLAWSPLPGIAVDTLFLLVIQALAIILVSNLIHSLLRRYNQPNAISQVLAGMVVGGMGLRNAIVHVDVDNVEDMYNGYIAATRILYMFLVGLETDIASLRSTARRCVAFTYATVAASLLLAAIVSSGMYGSMMHSPVRTPEMLAATLMVALTNTSSVSVARIAGDLKLTVTENGRLLVSAAIGTNIICVIGDGVLRSTRMARENSMDFSQGFVALTGVGLALWLVRPTVTRVNQRNVGQHHVRTRDLAFMISAIWIVGNFPQKLGFDGLPTSFALGLVFPREGPAARSVTDALVPPVNGLLIPFYFATIGMRMNFNTMSGAIILPGVLMMLLGLVGKAMGAAVASAYLNIPLGDALRFSVLLNVKGHVDTMNMQFAKSEGVWAEQALYAMIIGNLASTLVAGPAAAVLVRKEKEAYAMTHQALESLRDESELRMITCSHSAHSMPALLSLVELMVMEPDTQPAVQVVHLFEGSQKRAAAAAAAASPTAPYHPPIVDEYDAGREAINDMNTVVDLYLRSTGIAFQQIDVVGSSASRDVDAVCRYAGDARAALLLLPCYREQRYDGKMACRLEERRELNVGVLGKAPCTVGLLMDRPYRSSGASFQLPTSVDTSTRTLLHPCSDRAVRHVIAAVFLGGADDREAVSVASRLAENPNIGLTVFRFVKLSTYDTVTSSTSRAAVAAAGEGLSRPFNDAEVDERFMWRFYEKYAATEMAMYVEKVVESPADVVETLDAMAGMFSLVIVGRGGRQPKELLVGLDEWAEAGRELGAVAEILASNTSMEMGSVLIMQQHRVFVQVPR >SECCE7Rv1G0457140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14462931:14463692:-1 gene:SECCE7Rv1G0457140 transcript:SECCE7Rv1G0457140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHLLHPPEPDTNGGAAAGAASAAAAALLLPGDPAAEAAAAEPPAPPYSKRRRRPSVRLGDIGAQATASDAQLPRRHRKPSSHSRPPRRSHPDDALDPSARGRAGGGPKPGQRRPRTAWIPAPHGGADGYEDEEGHHHYYDDADQSDSAAARAARVSGSREASVDESDGVADWGLPNGGAACYGGGGGVRAWLDGLGLSRYAPVFEIHEVDDEVLPLLTLEDLKDMGIGAVGSRRKMFAAIQKLRSGDTVS >SECCE2Rv1G0075210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:83126755:83129215:-1 gene:SECCE2Rv1G0075210 transcript:SECCE2Rv1G0075210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKAWEVVPTCVLACRWRHLWESAPCVDLHVRYISCNGDPPQEFRDFVHRLFLLRDVSAPVDTLRLQLSHEDGASVWIRATLKRKARVIHLAGHHKRAASLDGVLFVSCHLKILKLSYARLDDSILRQLSARCKSLKELDLKDCLVTGIGIASASLKTLIMLKCKFNSGSSIVAPNLVLLRLITPNVQVPSFINFESLVTATILLDDHFLSNEFEHISDKDDCDETTDDDGNDDRRENYTIHHASSLSDDDDFGYNGDSDNFEYGYGFPKERYGHSRYNDNYNYGSDIDSDDNTYEYCVIANDAKYGYKGKGKLSSEDGNYGKFSGGNYSNILGGHHMLESLSTATSLELLTDAGEVVFSRELRRCPTFSNPKTLSLGEWCMTADFDALIFLLQHSPTIARLFLQLKLNFGMRKALATGIKLEGRSFTCKDLRMVKIKCSKNIGRVHTLAHMFRENGIPLENIYVRRSGNAYLRGQKFSRDLAKQELDDWM >SECCE2Rv1G0112640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:711956774:711958796:1 gene:SECCE2Rv1G0112640 transcript:SECCE2Rv1G0112640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFAEPAIDPPLAESYRTLLRSDKLDRGGIAPAPAPESVAVLERDLPMIDLKRLTSDDAGERKACADAMASAASEWGFFQVINHGVGRELLEEMRREQARLFRLPFDTKEKAGLLNGSYRWGNPTATSLRQLSWSEAFHVPLASISREDCDYGKLSSLRGVMQEVADAMSRVADTVAGALAEDLGHDAAGGEPTFPAGCDGTTCFLRLNRYPACPFAPDTFGLVPHTDSDFLTILCQDQVGGLQLMKDARWVAVKPRPDALIVNIGDLFQAWSNNRYKSVEHKVVANSKAERLSVAYFLCPSYDSPVGTCGEPSPYRPFTFGEYRRKVQDDVKRTGKKIGLPNFLKQSPVDGMNHSLSS >SECCE7Rv1G0472510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:143325393:143327135:-1 gene:SECCE7Rv1G0472510 transcript:SECCE7Rv1G0472510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock 70 kDa protein 8 [Source:Projected from Arabidopsis thaliana (AT2G32120) UniProtKB/Swiss-Prot;Acc:Q9SKY8] MAEQFYTVASDSETTGDDKAQQSFPDVAIGIDIGTSRCSVAIWNGHQVELLKNTRSQKGMRSYVMFKDDTLSAGVTGGATKEHAHEERDILSGSAIFNMKRLIGRMDTDEVVQASKTLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHLKHPVRNAVLTIPVAFSRFQQTTIERACAMAGLHVLRLMPEPTAVALLYAQQQQQLMHDNMGSGIEKIALIFNIGAGYCDVAVSATAGGVSQIRALAGCTVGGEDILQNVMRHLLPNYDSLCDNAGPTTDRIKSMGLLRMATQDAIHKLASQESIEINADLGNGLKVSKLLSRAEFEQVNQAIFEKCERIIKQCMSDAKLTPEDINDVILVGGCSRIPKIRSLVLGLCKKGDSYESIDDLEAAVSGAALEGAIASGVTDPSGSLDLLTIQATPMNLGIRADGDGFAAIIPRNTAVPARRDMLFTTTQDNQAEALIAVYEGEGKQAEENHLLGYFKIAGIPPAPKGAAEISVCMDIDAGNVLRVFAGVVKPQGEATPPFMEVRMPTLDDGHGWCGQALAKMYGSKLDLAALPKKLHP >SECCE2Rv1G0140050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:930024871:930025604:1 gene:SECCE2Rv1G0140050 transcript:SECCE2Rv1G0140050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVSEAIEYLHHHHGQVVLHCDLKPSNVLFDEDMTSHVADFGIAKLFVGSNNSMVSTSMPGTIVYMAPEFAYMGKASRKADVFSFGIMLLEVFTGKRPTDPMFFGESSLRQWVSRAFPARLVDVVDAKLLQGDEITSRVFHHQTNTTESSSSSIACNGNFLVSSFELGLECSSDFPDQRASMSDVVVRLKNVKKDYSASMVATRGTQQQRH >SECCE1Rv1G0048170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:632416307:632416891:1 gene:SECCE1Rv1G0048170 transcript:SECCE1Rv1G0048170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAPVAEGRAHGAWSSAPRALSHRSSSRDVAATATVRLGARRRRAVGSRVILCLASGGGGEWEPAGSPWDGRMVDEGMATLRRRIREVEHGEDEEEEEPEEEEEEEEEGGGVGLFVPPAEWTELERRHHGLYVAGVREALGILFALLVRARPGLGAGVVALVLLSVPASVLLVSAELVRAVHSISAAVLSGRM >SECCE3Rv1G0162030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:125833660:125834148:1 gene:SECCE3Rv1G0162030 transcript:SECCE3Rv1G0162030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMMFGLDNPMMTALQHLLDIPDGEAGGPGAAAGEKQGPTRAYVRDARAMAATPADVKELPGAYAFVVDMPGLGSGDIKVQVEDERVLVISGERRREEKEDSRYLRMERRMGKLMRKFVLPENADMEKISAVCRDGVLTVTVDKLPPPEPKKPKTIQVQVA >SECCE6Rv1G0394610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:300473472:300477580:1 gene:SECCE6Rv1G0394610 transcript:SECCE6Rv1G0394610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFNPFGGKVQTGLEGRTIDVRNVKITVRNPIAQGGFSCVYLACDVLHSSKQYALKHIICNDSESLDLVMKEIQVMNLLKGHANVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGTGYYEEKKALLIFRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDKPEDRGVEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQVLNGNYRIPEQPKYSSSVTGLIRDMLEASPNARPDITQVWFRVNELLPLELQKSLPDGASVAISMGLQDDGAYKRTHVTPKRNPPAPPREQSDNSSSHGSANAGDAPLGAFWVTQHAQGSQVADNRNPLFNEEPIKPSPSSKYDQSRVDISTTTPGDRHGHSGQALRNTTSNIVSSNGLKGGSDINLFMEPQGYVKNKASQPQSKTISGNDPFNSFVADFDANNLHSGTTATGKTSELEAEVSNLKEQLKKTTSEKAEMTAKYEKLSAICRSQRQEIQELKRTVAETTPPPSSKVSSRIPELGSQRKERIEGTVWELEQGMLSGNSTVPSSVAKTWQAFPEAKAQARPKVDHATNGRQNLTQITNAGPSPDVWGFGTSSGSTAAATQMNRTNALGSSSQRLSTGAAKKVDQPSGWAGF >SECCE6Rv1G0377350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:1112462:1117434:1 gene:SECCE6Rv1G0377350 transcript:SECCE6Rv1G0377350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRESRGTERGGELDEIPGDHINRLPDDLLCAIISLLPTKDAGRTPAVSPRWRNLWRSAPLSLVIHSPVNPHSPSSVDPSAVSTIVSRHDGPTRRFFFRGGVLGPQAEDWFRSRALASLQELDIKLLPAQPGARFPLPPSALSSPSLLVVQIAYCSIPVPDEILTAMDFPLLKHISLLHVTISGDVFHGLLSACRALESLYVSNVDAAGCLRVTSPTLRSIAISKEFRGKSELIIEDAPHLVRFLLPYAYGRDGDKCVAIRVVRAPKLEVLGPLFLVISRILVSQSQGISPGSSANSMRNVKVLALRCAGQELDEVLNVLRWFPCLEKLYIVFHGQREMDMNNEPPCNGLHQVECLQDHLKTVVFKAFVGHENQVNFARFFVINGRVLNKIEFEGWFIDYSSTSLGYRQNQLHAGNRASQDAKFEFRQYINPQRRLQEHIHDLSVADPFQTTI >SECCE2Rv1G0076840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:94941593:94944031:1 gene:SECCE2Rv1G0076840 transcript:SECCE2Rv1G0076840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLGSNRAAALLLVLHCCCAPLLFPSPAAAAHGHHPAGDGVVISEADRQGLQAIKQDLSDPRGFLRSWNASGLGGACSGAWTGIKCVNGNVVAITLPWRGLAGTLSARGLGQLTQLRRLSLHDNAIAGAVPSSLGFLPDLRGLYLFNNRFSGAVPPEIGRCLALQSFDASGNLLTGVLPASIANSTKLIRLNLSRNAISGEVPAEVVGSSSLLFLDLSYNKLSGHIPDSFGGGSKAPSSSSRKEAVTGSYQLLFLSLAHNSLDGPVPESLVGLSKLQELDLAGNDLNGSIPAQLGSLHDLRTLDLSGNALAGEIPESLGNLTATLQSFNVSYNNLSGAVPASLAQKFGPTSFAGNILLCGYSASSPPCPVSPSPAPASTSQGAAGRHGLRKFSGKELALIIAGIVIGVLILLSLCCLLLCLLTRKKKSSTSTGARSGKQSSSKDAAGAGAAAAAGRGEKPGASEAESGGDVGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGQKEFEAEAAALGKVRHPNLLSLRAYYLGPKGEKLLVFDYIPKGSLSAFLHARAPNTPVDWATRMVIAKGTARGLAYLHDDMNMTHGNLTGSNVLLDDDSSPKIADIGLSRLMTAAANSSVLAAAGALGYRAPELSKLKKASGKTDVYSLGVIILELLTGKSPADTTNGMDLPQWVGSIVKEEWTNEVFDLELMRDTAAGPEGDELMDTLKLALQCVEVSPSARPEAREVLRQLEEIRPGPSEEGHVASASNE >SECCE6Rv1G0421870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:687164550:687165128:-1 gene:SECCE6Rv1G0421870 transcript:SECCE6Rv1G0421870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVATLAKLLPTGTVLTYQALSPSFTNHGKCETSSSNQWLTAALVAVLAVVCIFFSFTDSVIGHHNGKFYYSVATTYGFNVFNFSDEDERREWSSLDEFPRRRLRLQMLDFVHSFFAAVVFLTVAFSDVELQNCFFPDAGRNNQELLKNPPLGMAFLSIFVFIIFPTKRKGIGFNDTTPRQKVVHPSLYEV >SECCE2Rv1G0116680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:766523706:766525115:1 gene:SECCE2Rv1G0116680 transcript:SECCE2Rv1G0116680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKAKNPAESVAVVAVPFPAQGHLNQLLHLSLELASRGLELDVHYAASAPHVRQARARVHGWGDEALRSIRFHDLGISTYVSPPPDPTADSPFPSHLMPLFEAFTAGARAPLAAVLRELSASRRRVVVVHDLMNGFASEEVAKLPNGEAFGFYPTAVSSIVGRIDAGHRLLRDNGLTHLPARMPEEFVDYASKRARAARSISDGAGIVVNTCRALEGEFVDAVAEQMAADGKKLFAIGPLNPLLEATASNQGKTQRHECLNWLDKQPPSSVLYVSFGSTSSLREEQVAELAAALHGSKQRFIWVLRDADRGDIFTDAGDNRHSKLLSQFTKQTKGTGLVITGWAPQLEILAHGATAAFMSHCGWNSIMESMSHGKPILAWPMHSDQPWDAELVCSYLKAGLLVRPWEKHGEVIPATTVQEAIETMLVAEEGLAVRQRAEALGEAVRSSAAQGGSSHKEMEDFIAYMTR >SECCE5Rv1G0343090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:635146262:635149299:1 gene:SECCE5Rv1G0343090 transcript:SECCE5Rv1G0343090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSVRKIASLDTHVALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKDTSGKETIKLTIRALLEVVESGGKNIEIAVMTKKDGLRQLEETEIDEYVAEIEAEKAAAEAAKKSGPKDT >SECCEUnv1G0536290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63330930:63332519:-1 gene:SECCEUnv1G0536290 transcript:SECCEUnv1G0536290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMALLLTVCFLGFFLPAPSVASPSNNNNDFLRCLSTNIPSQLVLTPSSPSFTPLLVSSIRNARLVAPATANPPLCIVTPTNASHVQTAVRCGRRHNIRVRVRSGGHDNEGLSYRSTTPNGEAFAVIDLAKLHAVHVNPHEATAWVETGATVGELYYRVATAAPGLGFPASVCPTVGVGGIISGGGMGLMMRKYGLSADNVLDASMVDANGNLLANKKAMGDDLFWAIRGGGGGNFGIVLSWKLRLVPVPPKVTFFKVAKTMEQGAVDTVTKWQTLAPALPDDLSVRVVIQKSQANFESLYLGNCSTAVATMRSRFPELGVTSADCKEMSWLQYTAYIYFGDAINSKPLEALLLNRSTTLGPFVKNKSDYVKKALTKETWKKIFLWPNGVASGQLILEPHGGIMGRIGAAKIPFPHRSSVLYNIQYVELWNGKEAGGNVTPNWIGSLYNFMTPYVSKNPRGAYVNYRDLDIGANKVVDGVISYDSAKVWGESYFGPKNFRRLAKIKRKVDANNYFQSEQSVPPLPFKE >SECCE1Rv1G0008490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:47169661:47172187:1 gene:SECCE1Rv1G0008490 transcript:SECCE1Rv1G0008490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGHQIRPLEETMKLIIDTDPGIDDSVAIMMAFQEPGVEVLGLTTIFGNCTTAYATRNALILCEKAGRPDVPVAEGSAEPLKGGKPQVADFVHGSDGLGNVSVPEPTTKKAEQTAAEFLVDKVSQFPGEVSVLALGPLTNIALAIKMDPSFVTKMKKIVVLGGAFFAAGNATPSAEANIHSDPEAADMVFTSGADIAVVGLNITTQVSFTEADLSELRSSGGKQAQFLCDICKFYSDWHLHSYGDPAVFLHDPVSLAALVRPELFTFRKGVVRVETQGICRGHTSMDMGLKKFKSENAWSGYTPISVAWTVDKAKVVAFVKELLKN >SECCE3Rv1G0160220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:103674586:103684740:-1 gene:SECCE3Rv1G0160220 transcript:SECCE3Rv1G0160220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPQLHFILLLLAYYCPTRIAANSGNGTTVQCLPDQASYLLQLKHSFHNPNLSSWQHGTDCCHWESVGCEKASGQVITLNLSDRNLQSISGLSPALFNITSLRNLSLSGNDFGLTNLPRFGFERLVELLSLDLSNARLAGQIPIGIAHLENLRTLDLSYNYELYFNEPSFQTVVGNLSNLRELCLDEVDISRDGETWSNSLANSAPQLQFLSLYACGLSGHIHKSFSRLQYLEEITLSQNSISGEVPEFLADFSSLSTLDLRYNEFEGQFPTKIFQLESLRSIDLSWNTRLSGRLPNFPVENSLELLDISDTNFTVSIPNSFVNLKFLASLALSMGEVANGTLALISKLPSLQSLMLHGSGSEKPNLSWIGNLERLRYLELEHYNLSSPIPSWVGNLTSLTSLLLRDCSLYGRIPIWIGNLTNLSRLYLTSNNLQGDIPKCLFNHPNLEELNLGSNQLSGHLEDVSAPQSSPLSYIALSSNQLNGHIPKSFFQLTKLEDIRLASNKLEGTVELSLLSGLKYLRMLVLSDNMLSVIDREYPFPSLPNMRDLYLVSCNLTKIPSMLRYQYKMRDIDLSRNNIDGVIPCWMWENGKNSLRLNLSHNIFTSLEKCRPLNPAMRSLSFLDLSSNRIEGNLPIPLISGIYGEVLLDYSNNSFSSITPAVDIHINSSIYLDLSKNKLDGDIPASICGANHEMLDLSYNNLTGTVPSCLVQHGYVKMLKLRNNQLDGMLPENIGEGCMLQTIDLNSNRIEGKMPRSLSNCRSLEVLDIGNNHIVDSFPTWLGVLSSLRVLILRSNQFYGSIGSLTKGVAARNFFSGMQIIDLASNNFSGNLHTEWFEKLETMMSNSSSEGDVLAFKNTRFSDKLYQDSMVITFKGSDLTFTKILTTFKVLDFSNNAFDGPIPDSVGKLIALHGLNMSHNAFTGRIPSKLGDLAQLESLDLSRNKLSGVIPRDLTSLTYLAVLNLSYNNLTGMIPEGQQFSLFTNSSFEGNEGLCGRPLSRKCNNSGAETPSSSASSQYSVGTIVLFVFVGSGFGVGFAVAVVLSVVCQAKRWNCNRFLFHQ >SECCE7Rv1G0463040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:50743843:50750231:1 gene:SECCE7Rv1G0463040 transcript:SECCE7Rv1G0463040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTLPSLSLSSPSNGGGGGLTPALRLRAAFRCWALGRRWAGAAAAIASPNSVLSEHAFKRLGLGGGSDDEDEEGYGSDQEGAAVEGLQQGDADELAISRLGLPAQLVATLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKQIIEQDEGRTPGRGRIPRALVLAPTRELAKQVEKEIMESAPKLSTVCVYGGVSYNTQQNALSRGVDVVVGTPGRLIDLINGGSLQLGEVRYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLFAIPLTTTSKRTILSDLITVYAKGGKTIVFTRTKRDADEVSLALTTSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKVGNAILMFTTNQRRTVKSLERDVGCKFEFIGPPTMEEVLDSSAEHVIATLRGVHPESIQYFVPAAERLSEELGPTALASALAHLSGFSQPPSSRSLISHEQGSVTLQLTRDPEYARGFFSPRSVTGFLSDVSPSAADAVGKIFLIADERVQGAVFDLPEEIAKDLLTMELPPGNTLSKVTKLPVLQDDGPATDSYGRFSNSDRGSRNRRGSSRGGMGGGSRGRGSWDSDEGFRRGGRSSSRPDNDIWSEDDFSGGARRSNRSSSPSGGRSSYGGRGGSSSFGDRSSSFGERSSSYGGRGGSSFGSRDRSFSGACFTCGQSGHRASECPNK >SECCE1Rv1G0027260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:380558916:380560169:-1 gene:SECCE1Rv1G0027260 transcript:SECCE1Rv1G0027260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYGTQDKCKACDKTVHFIDLLTADGIPYHKYCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFKKNFPTCAKANNEQSKVPNKYGSVFCGTQDKCAACKKTVYPLEKMTLEGEPYHKTCFKCAHGGCILTTASCASLNGILYCQNHFWQLFKETGSYSNLLKPASAKNADEPEAAKEEAQAPETVEDQESS >SECCE5Rv1G0321870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:411821665:411824945:1 gene:SECCE5Rv1G0321870 transcript:SECCE5Rv1G0321870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G48385) UniProtKB/Swiss-Prot;Acc:Q67ZB3] MSDMESVATLMESTSLKIQQLQRAFAELESQSAVTMNFKWKQLEDHFRGLEQSLKKKFDELKKQEKEFQETVAKSEKMLEQQEAIVVAKELTSLERLQEKRDAALAMIFGKSKLSLNTNYITPLKKPQSNYTVGTLDVKWPKHSPEGNARMQDGNAAVKPRSELAILCEEMNVKGLHKFISDNRKNLTSIREEIPSALKGASQPYVLVLDSLEDFYSGDNLVLDGKKDGDLLGVRRTCLMLMESLVQLQADDITGLLSEGQMFATNVKDRAKKIAFEWKSKLDSLDIDASNGNCLEAHAFLQLLATFGIFSEFNEDELCKLLPSVSRRRQTPELCRLLGLSQKMPGVIGVLVDSARPIDAINLAYAFGLTEQFEPVQLLKAYLREVKKVSHAKNGKMSPGAQNEMNERELSALKAVIKCIEEHKLEEQYPVDPLQKRVIQLEKAKADKRRAVEAAKPQSKRPRANGSLYAPRVTSFPEKSFYQATPERHPYPYERQFVYGAEAHHHPTMMNAAPYTISPAHTPYYGNGYPVQYQVPYIH >SECCE7Rv1G0492910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:559391550:559395230:-1 gene:SECCE7Rv1G0492910 transcript:SECCE7Rv1G0492910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-1-like protein, Male meiosis and anther dehiscenc [Source: Projected from Oryza sativa (Os08g0117000)] MSNSNVTVCVRFRPLSHKERKANDKVCFKKLDTESFVFKDERDEDVIFSFDKVFYDDAEQSDVYNFLAVPIVADAVNGINGTIISYGQTGAGKTYSMEGPSILHCNELKTGLVQRVVNDLFECLRTSEDITTWTVKLSMVEIYLEKVRDLLDLSKDNLQIKESKSQGIFIYGATEISIMNSSDALERLSEGIANRAVGETQMNLASSRSHCVYIFSVQHGSTADDKVRAGKIVLVDLAGSEKVEKTGAEGRVLDEAKTINKSLSALGNVINALTTAKPNHVPYRDSKLTRILQDALGGSSRAALLCCCSPSSSNAPESLSTIRFGTRTKLIKTLPKLIPTEVDSVKKPTSYSHDQDDPRGRAPSKAGSSQSELSDPSLDSCDHDDLRDRILSKLRLSLKEEDVDLLEELFVQEGIIFDPDTAVPDIDLAFEDVASRQIVSLVQAVEELTETVQELTDENEKLRHQIEFAQEIAVRAQCATAERSRGALFGFVPAALLRPFGFVPD >SECCE4Rv1G0296670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904126160:904127133:-1 gene:SECCE4Rv1G0296670 transcript:SECCE4Rv1G0296670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVREVLYMYCLARQAYDRFVSVCGNPVQAQNAVALLVWLDQGTISAIHHVPGIDAGAVGIVAEEANAILECLRYQRPVLPPIPLISALCMQGGVSIEPRFFAFHQDLVVRGVSHFLEGAGKFIFDDRLQVLLRKSETGLVGNPPELMAPYSPLPVDVPEDCCSMMFITFSKGMPLLHEEIFDYFTQKWGDCVVRVLMEKTTGGTMPMYGRIIFKTEAVVQMVLNGERLVKISIDQRQIWLRKYVPKPTNIADGSIRP >SECCE7Rv1G0460220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:30607707:30612164:1 gene:SECCE7Rv1G0460220 transcript:SECCE7Rv1G0460220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTHAHASAATAHALLRRTRTRCFAGANRIRPTTRNFSGAPVGAADPQDRAEKVGGVKVGDDELDVAIVGGGMVGLAVACALSNMPLTKHLRVAVIDSNPSLNSRSYLKKDGMPDSRVSTVTPATISFFRDIGAWEHILQQRHAFFGKMQVWDYTGLGYTRYSARDVGKEYLGCVVENKVLCNSLLLRLQEQKEGLEKMIYPTRLVSLAFPSKTRHVGLAGVKPQSTEADSVEHTSEELRRSSFVKLDLSDGQTLFSKLVVGADGSKSNVRQIAGIKTTGWSYPQSAIICTVEHTAENDCAWQRFLPSGPIALLPIGDNFSNIVWTMSPEEASRHKSMSPEDFVMSVNRALDFGYGPHPSSSSLDHYMEKLFSGIGDSAASTKECFEVPPRAIGLISERMAFPLSLMHSHDYVSKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAEVISKGVSVGADIGDLSLLSRYENDRKAANIAMAAVLDGFQKMYSVDFGPLNVLRAAAFHGAQYISPLKRNIISYAMGNKRSPFS >SECCE1Rv1G0008560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:47667461:47668639:1 gene:SECCE1Rv1G0008560 transcript:SECCE1Rv1G0008560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHKKVTAAKLTDDLVVDILSRLTYKSFCRCKCAYKAWSALSSDPDYRKNLPKKVTTGLLYQGHNKSAIPLVSLCPDDGEIDGIVADVPQYEHLEFLDCCNGLVLCKYRSSYTSTNVCRFVVCNPATREWRILPDTHPGTDDPGYVTILAFDPSWSPQFYIFNFHLKHDRGLLLGTSKLEIFRSESSTWLEDDTLDRDINISERTHLFVNGMLYVTVGYEVLMFEGLEAMNDGIPPYHQTISLPPEASYLSTFTNGCFGKSSGILHYALPHENGRSIVVWSLYDFGHHSWTWIKMGHLSMTDAFGKDEFVHYDDGGDGGDDKWFWNCDYRIVDLDLERGLVFLHDQKANKLLSYNINAGKLNEIQDAFGWDRYYVYVPCYSELPAQERSVD >SECCE3Rv1G0166100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:182971153:182972226:1 gene:SECCE3Rv1G0166100 transcript:SECCE3Rv1G0166100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGHVNLAMVFLMALHGLLPPSTHAALQDGFYGTSTNCTVDVEAVVRGVVEQRVSRSADGGSGAGLIRLHFHDCFVKGCDGSVLIDPSPVNPNPEKASPANGGLRGMDVVEEAKRQLETACPGTVSCADILAFAARDAAYVLSSGAISYGVTSGRRDGLTSDAADASQSLPPPFAQLDDLVRAFASKGFARDELVALSGAHSIGRAHCSSFRDRIHPAVHETMDSSYGADMQSRCPEDAGAEEWVAQDQATSGDLDGRYFENVLAGRVPFNSDRALIDDGETRRMVEDNAGDQGEWAAKFAAAMRKMSELSGTGEGEIREFCHVTNRG >SECCE2Rv1G0111570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:701854076:701855068:1 gene:SECCE2Rv1G0111570 transcript:SECCE2Rv1G0111570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLCSQIKLNKAYVRRQVHENRLTSLPNSLHWSPLQPGHFKNIALRCTKDLPWEASLPYASAEDDASIVMGTNVVEAIDTEEAPEIPILQSDQDVVDVQNEPSRQLATFKLPMWLLGPSVLLVTGIVPTLWLPLPSVFLGPNIAGLLSLVGLDCIFNMGAMLFFLMADACGRPENNSFDLTRQIPTSYRMWNLIASALGFVAPLALLFASHRGALRPHLPFIPFLVLLGPYLLLLSVQMLTETLTWHWRSPVWLVAPVVYEGYRVLQLMRGLQLAGEIAAPGWMVQSLRCLVTWWVLVLGIQLMRVAWFAGVSFASDPSYGSSDDVNR >SECCE1Rv1G0024110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:319711031:319713169:1 gene:SECCE1Rv1G0024110 transcript:SECCE1Rv1G0024110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGASAAAVVPRLLAAKEESGKSFSDIAAETGLTNVYVAQLLRRQAQLKPDMAPAFRAAVPALTDELVELMMRPPFRSYHPDIIHEPAIYRLNEAVMHFGESIKEIINEDFGDGIMSAIDFYCSVDKIQGADGKDRVVVTFDGKYLPYTEQRSEHMMSRLNRNAS >SECCE1Rv1G0056320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:686440758:686445211:1 gene:SECCE1Rv1G0056320 transcript:SECCE1Rv1G0056320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQLTPLLLALLAAAAAEGARPSEVAVGALFTYDSVIGRAARLAIDLAVDDVNDDRTVLAATRLSLIAQDTNCSGFLGTIEALELMEKNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFIRTTMSDYFQMNAVASIVDYYQWKEVTAIFVDDDYGRGGVSALGDALAAKRAKISHKAVIPPNSNTQVINDVLFRANMMESRVMVVHANPDTGMRIFSAANKLQMMASGYVWIVTDWLAAVLDSSASGDLKGMSHIQGLIVLRQHTPESDAKDKFTTKWNNAARSRGITSGLNSYGLYAYDSVWAVARGIDKFLDNGQQVNFSTDPRLHRSKDSILQLSTLKVFDGGEQMLQQLLLTNFTGLTGLVQFGPDRNLVRPAYDILNVGGSGSRLIGYWSNHSGLSVAAPETLYQKPSNASSVAQRLYNVVWPGDSTTTPKGWVFPNNGQPLRVGVPIKASFKQLVAGGRGSDHVTGYCIDIFNAAIKLLPYPVPCQFITIGDGKKNPNYDDIISMIADNSLDAAVGDFAIVRNRTKMAEFTQPYIESGLVIVARVQRAPSSAWAFMKPFTLEMWCVTCALFIFVGIVVWILEHRTNEEFRGSPRRQIITIIWFSFSTMFFSHRQNTGSALGRFVLIIWLFVVLIINSSYTASLTSILTVQQLSTGITGIDNLISSGLPIGYQAGKFTKNYLIEELSIPESRLVALNTIQEYADALRRGSEDGGVAAIVDEMPYVEIFLSYHCDFRIVGQEFTKEGWGFAFQRDSPLAADLSTAILQLSENGQLQRIHDEWFTRPSCSTDDSEVGATSLGLGSFWGLFLVCALICLLALLVFFIRVCWQYSHYSSSEAAAEPNAADAAAPTAVATDAVERQRRPSRLGSFRELIEFVDKKEAEVRRTMKRRPSEKDNQAAGSSDAQPVA >SECCE5Rv1G0299700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19666758:19671908:-1 gene:SECCE5Rv1G0299700 transcript:SECCE5Rv1G0299700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMVTELDKPGAEIASTKKRRTCRVADDEGSPVPESHRKKASKNDVETMSSASEFSKEPVGKQSMTEERPRPTGDNYEELSSDSTEKNTGGILADEADKETNSVDHSLQQAEEPNQLHDDVENNEMANRNPSESDSDSSSGSDSDSELGKYFYPKFEELEAARKPEPGMKFKTLEDANGFYSTYALLTGFVAKRNSNYRRKKYHIECNRSGKPTPARNPNRKRKINSFERTNCQAKVIVKLTKGQWEFATVRNEHNHPLSPNPSLARFFLSQKHMSSDEKSFLKVLQQSKIPPSKILRIFRRMRSSFGNISFKKQDPVSSVRSSSENIPSKKKDPISLQCTEQRKTENSDVESALKHFKELELRNPSFFYIRQTDEENIVRSIFWTDARSRMDYDIFGDFISVDTTYTSNRHNLPVATIIGINNHGRTLLLGCALLRDGKAETFKWMFQTLLQVMGGKIPGSIITNQDEPLGRAIAEVLPQVRLRFWKCDVMGKAHERIAAFMAARGNIKLELDSLVDNSLTEMEFEEGWSSLIERYDASKNEYLQFMWRIRKIWAPVYFRQDFYPFAESLGRGEGMNIVLFRNHLLPKDRIEKFIERYEEIQKMTLKTDDEDRRQTGTVPPCFSLQPIEKHASTIYTRQIFLKVQRELLHSTAFDVHVVKKGSVYRLERVFNYENPEFDRSAFEVFVDPASNTFICQCAKFARDRLLCCHIFRLFTQLGINEIPAQYIVPRWTDKFKEEKAKQYAEKCLEKADSTARYEMLMSKMADLSKKICSDGAKCDTFMLEFDSLQEKLLAVERENPRNNDKSMEIVTTS >SECCE2Rv1G0118460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:782644356:782647320:-1 gene:SECCE2Rv1G0118460 transcript:SECCE2Rv1G0118460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTATTTAEQQQHPRKAVGLAAHDASGHLSPIRISRRKTGDDDVAIKVLYCGICHSDLHTIKNDWRNAIYPVVPGHEIAGVVTEVGKNVAGFAAGDKVGVGCMVNACRACESCEEGAENYCARVVLTYNSRDRDGAVTRGGYSDLVVADARFVVRFPDALPLDAAAPLLCAGATVYAPMRRHGLGAPGSHVGVIGLGGLGHVAVKFARALGAAKVTVISTSPGKREEALERLGADAFVLSTDAAEMKAAAGTMHDIVNTASAAASLHPYLALLKPHGKMILLGIPDKPLQVSAFALIGGGKTLAGSCMASISETQEMLDFAAEHGVTADVEVIGAGEVNAAMERLAKGDVRYRFVIDVGNTLKAD >SECCE1Rv1G0037070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:517495939:517497607:1 gene:SECCE1Rv1G0037070 transcript:SECCE1Rv1G0037070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble gibberellin receptor, Gibberellin signalin [Source: Projected from Oryza sativa (Os05g0407500)] MAGSDEVNRNECKTVVPLHTWVLISNFKVSYHMLRRPDGTFERDLAEYMDRRVPANPKPVEGVSSFDHVIDQSVGLEARIYRAVAAGNAAAEGAAALTLPILEFLGGAPSPDPLPVIIFFHGGSFAHSASSTTIYDNLCRQFVKLSKGVVVSVNYRRAPEHRYPCAYDDGWAALKWAQAQQFLRSGEDAQLRVFLAGDSSGGNIAHHVAVRAAEEGIKIHGNILLNAMFGGNERTESERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLKGLPFAKSLIIVSGLDLTCDRQLGYAEGLREDGHDVKLVHREKATIGFYLLSNTDHYHEVMEEIAEFVRANLL >SECCE7Rv1G0475360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:186578953:186579760:1 gene:SECCE7Rv1G0475360 transcript:SECCE7Rv1G0475360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAKEGMKRGAWTGKEDETLASYVKAQGEGRWNEVPQRAGLRRCGKSCRLRWLNYLRPNIKRGNISIDEEELIVRLHALLGNRWSLIAGRLPGRTDNEIKNYWNSTLGRKVLPAPHSADRMVATPDTSAGSGSPTEASATLSSSGPGTSDEAATPSALPATLWAPKPVRYTGHPFFLRDWPLPLPVAETRTVANGDACSGSSSVTSEFPAVRPLLGGGDWMDEVRALESFLESDEEWVNSVEMPD >SECCE4Rv1G0243690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:505178469:505179722:-1 gene:SECCE4Rv1G0243690 transcript:SECCE4Rv1G0243690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFPPWPLTSTVRPLPAARRRPPEHHHAPLAVPSSSSHHVRLPPPSSSRSELRHTQTDLARAHASASRRIVPRPRTAPDADAAAALMVARAEAGDFARAQAIWAQLLLSSAAPCLAAAAPRLLPAYARVGRYDEILLTVQELSARDPAAARDLYPLAVSCLGAAGELALMEDAVQAMARAGLRVDSATGNAFVRHYAASGTVPEMEAAVGRLKKTGLLISVDAIRAVASAYIANRKYYKLGEFVRGVGLGRRNAGNLLWNLYLLSFAANFKMKSLQRAFLEMTAAGYRPDLTTFNIRAAAFSKMCMFWDLHLTAEHMRRDGVAPDLVTHGCFVDAYMERRLARNISFAFRRLDGAGEPVVATDGIVFEAFGKGGFHATSEALLEAAGGKRRWTYYTLLGVYLRKQHRRNQIFWNY >SECCE4Rv1G0225850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:101111791:101117679:1 gene:SECCE4Rv1G0225850 transcript:SECCE4Rv1G0225850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGECSNSNPSASSPAAAGVWAKLVPADSAYPEVAVAEDDAVVCSLVAPAAGGDELAWCEIRRGGDASSATIRNLSSDAIIVDGRVIQQELVDIKPGSKIVPGPQEEGHLVYTFDITAAKDHDKNNVKILLDVENAKCSICLNLWHDVVTVAPCFHNFCNGCFSEWLRRSSSKSRDKSQSAACPQCRTPVQSVGRNHFLHNIEEAILQAFSSLQRSDDEIALLESYASVKSNLVLGKQKNQSRKRPLPPASDENNDAEFPCPQCGTEFAGFRCSPQAAHIQCNRCGGMMPARPNTSIQKCLGCDGAFCGAYWHSQGVNSSHCNLICNQETFRMISQHHISRLPDTLHGGNPYEKDITERCIQKSGKTLQAVISEWIAKFDNKELDRSRLQLNNAEAITSRTHLCNHCYNKFVDFLLYWFRVSTPRNLLPADAADRDSCWYGFMCRTQYHRPDHAKKLNHVCRPTRGNP >SECCE4Rv1G0228720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:138837509:138843250:1 gene:SECCE4Rv1G0228720 transcript:SECCE4Rv1G0228720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13b [Source:Projected from Arabidopsis thaliana (AT3G18770) UniProtKB/Swiss-Prot;Acc:F4J8V5] MAASASEPPMVEQVITEFFAKSLHIILESRSPYESSRSFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVMLRRDNPNTTCAEGGKIIERWVIQYETSKPGSGNGNGSKNHSRKSRNSPAEDHSLYRSTYKGSTVLLRSLHLVVRLLPAYNLFRELNSSGRIRPLNLSHKISSFVEPFTRAEDAEMKHYAFTPIETLFGCLSLSVSYVPVLEVVAAPEPTTHMSTELIMDYVGSPTTDFLRTFNSLPSDGIAPACAATTRRHSWSIDPGARPSVSPSPLLHDNPLTRLHPHSTISSGKKRSTGFEDCYPSPPLSPSPSRSPSASYPKNSLFRYDSAPVAIPTGRDGGGSGLPPSPSLKGKHQLPSQKYNLTRSPDGNSSASRDLVRFGEFENNTAMQKVLSFDKYDLGYFHGLKFTRTASKLFITDELDERELAFAWEDRDIIIDQLNRVGISDREGQETNQDAGGSMTRTPAAAIGTLVRMLKTAPGLRASRPSIEAPPPVPQESSVQRVMTEEHGDAASSSALLQSKTAADALEELKKYKAIRESILHRAKALPQDDDAETGEKPADGDP >SECCE1Rv1G0032050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:450058354:450059341:-1 gene:SECCE1Rv1G0032050 transcript:SECCE1Rv1G0032050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALSVRAIALAAVLAAAYVSCAAAEQPAAVNLNTSAVSYSSAWLPARATWYGAPNGAGPDDNGGACGFKHVNQYPFSSMTSCGNQPLFKDGKGCGSCYQIRCSGDRSCSGNIETVMITDMNYYPVAQYHFDLSGTAFGALAKSGLNEKLRHSGIIDIQFRRVSCNFPGLKINFHVVDGSNAVYLAVLIEYEDMDGDVVQVDMKEANSGSWMGMRESWGSIWRMDSNHRLQGPFSMRITSDSGKKLVANNVIPANWRPNTDYRSFVQFSS >SECCE6Rv1G0389550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:155535407:155541809:-1 gene:SECCE6Rv1G0389550 transcript:SECCE6Rv1G0389550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRMGSSAGGGSGVRRTASSWRGASGRSDAFGRSAREEDDEEALRWAAIEKLPTYDRMRKGILLPGGGAGQEVDIQGLGLNERKNLIERLIRTAEEDNERFLHKLRDRMERVGIENPTIEVRFQNLNIDAEAYVGNRGIPTFTNFFSNKIMGVLSALRIVSSGKRPISIIHDISGVVRPGRMSLLLGPPGSGKTSLLLALAGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLSELSRREKEANIKPDPDIDVYMKAISVEGQESVITDYILKILGLEICADTMVGDGMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIINSLRQSVHILGGTALIALLQPAPETYELFDDIVLLTEGKIVYQGPRESVLEFFEAVGFRCPERKGVADFLQEVTSRKDQHQYWCRSGEPYRYISVNDFTEAFKAFHVGRKMVSELRVPFDRTRNHPAALTTSKFGISKIELLKACISREWLLMKRNSFVYIFKIVQLIILGTIAMTVFLRTEMHRGSVEDGVIYMGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYGLPTWLLKIPISFLECAVWICMTYYVIGFDPNIERFFRHYLLLVLISQMASGLFRVLAALGRDMVVADTFGSFAQLVLLVLGGFLIARDNIKAWWIWGYWCSPLMYAQNAIAVNEFLGHSWRMAVDPTDSNDTLGVQVLKSRGIFVDPNWYWIGVGALLGYIMLFNVLFIVFLDLLDPLGKGQNVVSEEELMEKHVNRTGENVELLPLGNGSQNSPSNGGGEITGTDTRERGMALPFTPLSITFDNIRYSVDMPQEMKDKGVTEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKNQDTFARIAGYCEQNDIHSPHVTVYESLVYSAWLRLSPDVDSEARQMFVEQVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLIDYFEGIQGVKKIKDGYNPATWMLEVTTLAQEDALGVNFAGVYTNSDLYRRNKALISDLSTPPPGSTDLYFPKQYAQSFFTQCVACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKVGKRQDLFNSLGSMYAAVIFIGIQNGQCVQPIVDVERTVFYREKAAGMYSALPYAFAQVFIEIPHVFLQTIIYGLIVYSLIGLDWAFIKFFWYIFFMFFTFLYFTFYGMMAVAMTPNSDIAAIVATAFYAVWNIFAGFLVPRPRIPIWWRWYSWACPVAWTLYGLVASQYGDIADVRLEDGEQVNAFIHRFFGFRHDYVGFMAAGVVGFTVLFAFFFAFSIKVLNFQRR >SECCE3Rv1G0191160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:747043587:747044015:-1 gene:SECCE3Rv1G0191160 transcript:SECCE3Rv1G0191160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFKRVFTEFDQDGDGKISAAKLLLCMKAALGGDMSAEEVRALMVSADADGDGLLEEEEFLRLVRETESGQEEEGDRCREAFGMYEMEGRGGITPLSLKLMMRRLGLHLDLAECEAMIRQFDLNGDGVLTFDEFKTMMMMA >SECCE4Rv1G0269610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749639120:749640157:-1 gene:SECCE4Rv1G0269610 transcript:SECCE4Rv1G0269610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLLRATVALVILVLLFMPGAMAATAASFDASRTQQLPLPPGEVHGPESVAFDAQGRGPYSGVSDGRILSETCTTSRYGTEADVESRCGRPLGLRFNHKTGDLYVADAYKGLMRVPPGGGEATVLVDQIDGMPLRFTNGVDVDQVTGKVYFTHSSMNYDRSDHELVTKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIEGVDAGKSEPFSDLPGYPDNVRPDRKGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGSKKVRPTEIMERDNGKNYLGSVELPYVGVVKRK >SECCE5Rv1G0306600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:96558673:96560380:1 gene:SECCE5Rv1G0306600 transcript:SECCE5Rv1G0306600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGPLPKRTKFTAPKTQEVLPAPEALEAPGHGPPPSAHNQQPPPGVGEDEESADRISNLPDAILGEIVSRLPTNQGARTQILASRWRHIWSSAPLSIDCEGLAADNEVLAGIVSRTVSAHPPPCRRFCVPSCFLGDRASTVDKWLQTPALDNLQELEFWFKPYYRPQPLQQPPPSSMFRFSATLCVATIGNCNLPDSTVQGLHFPLLKQLGLELVSISECSLHSLIASCPALACLLISHGFGFRCLRINSLTLRSFAVKNYRRNNDQLKELVVENAPCLQSLLHLDFDYGLHISVVSAPKLETLGCLSDGFYISKPDDLSKFVFGSTVIQGLRVDRLTTVVHTVKNLAVNMKILSLDTVIELMRCFPCLEKIYIESESKKEKNAGHRKHRNLTKCPNIRLKTMVFECYEGIKSDIEFASFFVLNATVLELMTLQIGARDYNEQFLAEQRRKLQLENKASRGARFHFTTDKCARGVWDVHHVRDLDLTDPFVC >SECCE1Rv1G0058880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:702154000:702155364:-1 gene:SECCE1Rv1G0058880 transcript:SECCE1Rv1G0058880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGEGDEHGSSTVQSHPPATVGREEDTPPHSTPQLHTIAPVASKYHLQKKKQKREQEQQPVPSLPEGALVEILSRVPYRSLCRFKCVSKPWLALCSARDILKRSPQALSGFFYKNAGVLKFRNLNGRGPPMVDPSLPFLRQMYENVLLEQLCEGLLLCSCWNSCSAGEGDYVVCNPATQEWTVLPPVVFPAQECSHPGKKGIPMTYLGFDAAVPSRFVVFATPYNADRLPGQTAIYSSETGQWTYVQSKWSSVTHVDCSRRTHVFLNGTMHLATLCNSVIPTVNVEGKVWKEIQMPVDWTSSNIVLTGQSQGRLYAWQVDYCDDGELDIWVLEDYGTGKWTIKHTVNVSELFGRHCHKDGDFYQMFAIHPDCNVIFLTNQKKMTVSYDMDNQNVHVICDEFMIGLPYTPCFAELPSAGH >SECCE3Rv1G0177630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:475509908:475552617:-1 gene:SECCE3Rv1G0177630 transcript:SECCE3Rv1G0177630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPPAGGGAVGGRGLRRGVGFRSLKLLNVSMEEALPAEPVGVAYGRLANGLTYYVRSNPKPRMRAALSLAVKVGSVVEDEDERGVAHIVEHLAFSATSRYTNHDIVRFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWTLLFEGSKYAERLPIGTEKVIRTVTHETVRNFYHKWYNLSNMAVFAVGDFPDTQAVVELIKEHFGQKASISCPPPVIPEFPVPSHREPRFSCFVESEAAGSAVVISCKMPAGEIRTVKDYRDSLAESIFHCALNQRLFKLSRRRDPPYFSCSSAADALVRPVKAYIMTSSCREKGTVKALESMLVEVARARLYGFSEREISIVRALMMSEIESAYLERDQMQSTSLRDEYLQHFLREEPVVGIEYEARLQKTLLPYISSAEVVNFAENFSTSSSCVIKIVEPRAHASLEDLKAVVLKVNTLEEQRSIPPWEEEQIPEEIVRQSPVPGIIVDKVEHLGIGATEMILSNGMRVCYKCTDFLDDQVVFTGFAYGGLSELSEDEYTSCTMGSTIAGEIGIFGYRPSVLMDMLAGKRAEVGTKVGAYMRSFSGDCSPSDLETGLQLVYQLFTTKVEPREEEVKIVMQMAEEAVYAQERDPYTAFANRSREINYGNSYFFKPIRISDLKKVNPIRACEYFNSCFKDPSAFTVVVVGNIDPAISIPLILEYLGGIPKVQDTVQPSRENLKGLPFKFPETIIREVVRSPMVEAQCFVQLGFPVVLKSTMMTEDIHYVGFLSKLLETKIMQVLRFKYGQVYSVNVGVFLGGNKPSRSGDVRGDISVNFSCDPDMSSKLVDFVLEEISYLQTEGPSEEDVWTILETEQRAHENGLQENYYWLDRILRSYQSRMYSGDVGSTFMVQDEGRVKVREALTPQAMQMALRRVISFPCKKQYTVVILMPKSSRWNSLISVVSWSSGGFSKDAKILAGMAGALVLAASLWRYSRGALRS >SECCE7Rv1G0501420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:682632447:682639914:-1 gene:SECCE7Rv1G0501420 transcript:SECCE7Rv1G0501420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLLLSHSRCHPHPHRLLPLFRFSTSNSGDSPPPTIKPVSYAPKPQAPPEEASSPDAQSASPGWQESPPRRFEQQPPMPPRQFTRQEVRFVKDMGPAIAPVSYPSRVAPLPGDRPAEEGAGEGVNEQDLRGEAERIEMNAERTRRAIFGVPVEEETVPYPTLIPVAKRPQKVAIDLVDALREIKNSANEKKRNFTETVEAHVMLGVDPRRGDQMVRGAITLPHGTGKTVRVAVFAEGPAAEEARAAGADVVGGDELIEEIRKGGGKLSFDKCIATPMFMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKINFSEESLRENIGAFVNALLLAKPVGLKKTSKYVGYVKKFTLSSTMGPGFPVTIPSLSAAADDYSKVHVS >SECCE7Rv1G0459030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23963561:23964427:-1 gene:SECCE7Rv1G0459030 transcript:SECCE7Rv1G0459030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCWLMLLFMVFLLPATSARSCHADDLRALRDFARNLTGGGVILRAAWFGTSCCRWEGVGCNRASGRVTTLRLPGRGLAGPIAGASLAGLAWLEELNLANNRLIGTIPSWIGELEHLRYLDLSDNSLIGEVPKSLIRFKDITIVGRSLGKAFTNMPLYVKRNRRTLQQQPQPNTISGTNNSVRSGRTNVVSGNDNTVISGDNNNVSGSNNTIVTGSDNTVVGSNHVVSGNKHVVTDNNNAVSGNDNNVSGSFHTVSGSRNTVSGSNNTVSGSNHVVSGSNKVVTGDE >SECCE1Rv1G0051440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:653578735:653583681:-1 gene:SECCE1Rv1G0051440 transcript:SECCE1Rv1G0051440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13860) UniProtKB/Swiss-Prot;Acc:Q93ZM7] MYRAAAAAISRSSSSALRRQLARGGFGGGEQRQWARGYAAKEVTFGIDARAAMLRGVNDLADAVKVTMGPKGRNVVIERPNRSPKVTKDGVTVAKSIEFEDSAKNVGASLVKQVADATNKAAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRKGINKAISAVTAHLKSKAWMIDSPDEINQVATISANGEKEIGDLISKAMGIVGKDGVITIADGKTLDNELEAVQGMKLTRGYISPYFVTDQKTQKCELKDPLILIHDKKISNMNSLLPALQISIQNRRPLLIFAEDVDGEALSMLVLNKHRAGLKICAVKAPGFGENRRSNLDDMAVLTGGQVISEDQGLDLDKVELQMLGTAKKVTVSLDDTIILDGGGERQQIEERCQQLRESLENNTSMFDKEKAQERLSKLSGGVAILKIGGASEAEVGEKKDRVTDALNAARAAVEEGIVPGGGVALLYATKELDNIITSHEDEKIGVQIIKNALKAPLMTIAANAGVDGAIVIGKLLEQDNLSLGYDAAKGEYVDMIKAGIIDPVKVIRTALQDAASVSLLMTTTEAAVAELPSTKARIASRMPDMSGMGF >SECCE6Rv1G0403270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:499949200:499952630:-1 gene:SECCE6Rv1G0403270 transcript:SECCE6Rv1G0403270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLDDQEAVALCRGRADLLAVAVRQRDALGTAHAALAGSLLSVSSSLHLLLVSASARPRPGLTLPAAAKTVDPPAPQPSSPPHSSSHIDFAPSSASESGSVASSPPRRVDGARHEQLHHPHQHPHPHALHYPHYGYGYEPEPPFGYPPGSLQLYYARSRPPPASVAVEQRAPASERVYFGSSDPAAGNARYYSYGREATAAGRVAAPPPSPPRASSWDFFNVFDDYQVHDNYCYDATGAAGTTATTPYTPSRCSRDVREEEGIPELEEDDSVVKEVSSEHYMPGSGGARSRRSSVGGMSSSEEENCVVDKGVLAGGSMARQQAPAQPNVAAFARTHSKSSESADIAGEIKAQFVRAADAVWVLAPILEVERRSYQYHPRSSVYHVSSRMVSTAAFPNSGHGGEELDVGGWKKVAEGGKSLSVTLQKLYIWEKKLYNEVKSEEKMRLLLAKNSKRLKFLDQKGAEAQKIEATQNLVRKLSTKIKLAVRVIAKVSKKINRVRDEELWPQIRALIQGFVDMWQEKLDCYQIQCEAISEAKNLDSAISGGISRDLAMELEVDLVKWIVNFCSWVNAQRSFVKALNGWLALCLNYQQEETPDGAPPYSPGRVGAPLVFVICNTWSQAMDRVSEKEVVTAMQALVSSVRNQCEHRAVEQGELIVLTREREKWNRVLERKSVEINREADTLNRKLALVPGRQSLLPTAQTYRAHFLEADSLQVSLSRVLQALESFASSSLQAFRETLRHAEEEMMSRESAKVSS >SECCE1Rv1G0028070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:392954108:392956746:-1 gene:SECCE1Rv1G0028070 transcript:SECCE1Rv1G0028070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRGRPSGTDGSDFSYRMVVDSRYQRVADGRSRLARLILVQTLHQVAGGALLLLSLSKGTEINKFAVLSLTAGLLAILLGEFGRRRTVAVFLRLYTSLSSIAIAFSVTCIIRSDLFVKITKQNTGAITSYEMFDVVRVALGVLLQLVVIATTTRLLQNMSPPKRTS >SECCE4Rv1G0219620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33053951:33056780:1 gene:SECCE4Rv1G0219620 transcript:SECCE4Rv1G0219620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTSAAGSGPLGRGLISCLHSRNCRAEDAPLLSDQDLPLAIDKLIAGFYEEAFSRLPCDAMPDLLRLLTTDCGGSCLGLLDPVSNIILNILALLPKDAAPAPAAEPSTSPSPPATRRSKRSRSIPGFGGWHEVVSGSYHILLAFLMAYFGCLEKEQAVRYLYRADANLLLAVMLIQHDLYADEALDPESDRTQAALESAATIAGHPSPTTLARLMSIRLQDDNFALLKKLFSADAQGIPLTVEDIWATHRILHMMMSPVCTASIIHTKRGLVVHVRHMLEARCSETISFSTTADARTATTTLGWDGNPISSLQSGVLPDKLQDCLGKAIADGQKHNIKTPCGAGDTCDYLQSLKMYLYGMIHNLYIKALKLLPSPSGSLMRSILKAGHCYGCMDPVSNIIVNSIWYNTCGCNLPVSEARDMVEYNDVLDPLCLLRAQVHSLKGLMELAAFVDPQFSVPACALELLCSTKCDIASMLPSSTESSEKNPFHESAKAAGHTRPLVLGELHQLLLLMPDTRSELLSFITEAQTSGTVLHVDDMTQSISLIWNRNRSGAQTVQAPELCAGALTAVSSERSDYEDERSCFRSKIEQLLKEYTTQQFLGSEYKLDTILGVEERNKGYRPCGYIRYHVNFTATCDFRLQRTLFYAEFSLSSREPEPEFCCPLPYENAGRCYYGVLSARKIVYPDDAKYIPDDITVRGTSSADGMLGMDLVYFSPMLDVEIAENLNVLHSEEEEEKRKKMNKKRRGMCRPN >SECCE3Rv1G0188280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:711764484:711778615:-1 gene:SECCE3Rv1G0188280 transcript:SECCE3Rv1G0188280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYHRILPRLLSLPSSPTSKLLIRPSRFPPRRPFLPRCAALSQLAPPQTVDHSDAEESADHLDAEEPQEPQEPQEVQVKLPLDRLFLPPGASVTPGDEEVVTARVLKGSNIVLGTYARGDAQVVNADFIKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKINDSWYLVDLPGYGYASAPQVARKDWDEFTRNYFLSRDNLVSVFLLIDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGKRPEENVECFQNLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWRKH >SECCE4Rv1G0293250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885189696:885190260:-1 gene:SECCE4Rv1G0293250 transcript:SECCE4Rv1G0293250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGACEMRVSNEGVDATECGCKLMPVIRVCNEGFDAGRRFLSCPYEGLNSCGYLKWMDDAWQGRSRVVIKKLADDNQKLQNALLDKEHDIQRMKKERNKLAKQRKSREKIDLFVVLVVFASLVTYALVAIVSRGSV >SECCE7Rv1G0480100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:265600527:265602746:1 gene:SECCE7Rv1G0480100 transcript:SECCE7Rv1G0480100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGRGAYGIVCSVMNFETREMVAIKKIANAFDNNMDAKRTLREIKLLRHLDHENIVGLRDVIPPAIPQSFNDVYIATELMDTDLHHIIRSNQELSEEHCQYFLYQLLRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRAPLFPGRDHMHQMRLITEVIGTPTDDDLGFIRNEDARRYMRHLPQFPRRSFPGQFPKVQPAALDLIERMLTFNPLQRITVEEALEHPYLERLHDVADEPICTDPFSFDFEQHPLTEDQMKQLIFNEALELNPNFRY >SECCE3Rv1G0211730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953146902:953147246:-1 gene:SECCE3Rv1G0211730 transcript:SECCE3Rv1G0211730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFLTIPASSVSSESSLFTGGRILDDYTSSVKHYLVKALVCGGSYIKGVHKDLNVMDVEEEDDDEDVEKVKLPKSVADCNY >SECCE2Rv1G0064240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:2542193:2542879:-1 gene:SECCE2Rv1G0064240 transcript:SECCE2Rv1G0064240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSTTVIQMPLSPNTNGQPMAPATPKHVGDVPTTAPATASATDKVMSSAANLAQLLPTGTVLAYQALSPSFTNHGKCFSSNQWLTTALVVVLTISCVLFSFTDSILGRDQKLYYGIATPRGFNVFNFSDEEERLQWTDAEFRRLRIRALGFMRAVFTALVFLTVAFSDVGLQNCFFPGAGRNTEELLKNLPLGIAFLSSFVFMIFPTKRKGIGYSDTTPRAKLT >SECCE7Rv1G0473030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:152938072:152939648:-1 gene:SECCE7Rv1G0473030 transcript:SECCE7Rv1G0473030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALAAFRLLLLLLLFSLGALFLLRAWRLVDKKASAQRQKNSPRTRPYPLLGHLPQFLANRHRILDWFTEVLARQPTCTLVFRRPGGIRGVITANPANLEHIMRASFDNYPKGPRFASMLHDFLGRGIFNADGEAWRAQRKAASYEFNTRSLRLFVARSVHSELHGRLLPLLRRAAGSGGHLDLQDTLERYAFDNICRVAFDHDPRQLPDGDARPEVESTGIGSSRFADAFRDAANLSAGRFRYAVPGFWKIKKALNLGSERRLRESIAIVHGFADRIIRSRREEMSMGCEKHDLLSRFMVSQGESYTERALRDVRRIRDEVAAVRARRAIGDLGRAGFDLDELREMHYVHAAITESMRLYPPVPVNSLHAQAADVLPDGTAVGAGWFVAYNSYAMGRMESVWGEDARAYRPERWLDPAEGTFRPESPFRYMAFHAGPRICLGKEMAYIQMKSIVACVLEEFELAVDGAYRPRQVASLTLRMADGLPVRVKARLN >SECCE2Rv1G0133020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894904174:894904446:1 gene:SECCE2Rv1G0133020 transcript:SECCE2Rv1G0133020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHGAAKARANIRGGISQGGKQLPASAAAAAERRRAEKAEEGLRTVMFLSCWGPN >SECCE2Rv1G0117010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:769047334:769048359:-1 gene:SECCE2Rv1G0117010 transcript:SECCE2Rv1G0117010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19800) UniProtKB/Swiss-Prot;Acc:Q8L4R0] MSSTTAGAALLLRPTSATTNPILRFAIPCPKSHLLRLHSPRRRLPVLRLSLTPPDTAGSNSAPSPSPPPPSPTPPPPLFANWTPPRAIWRGLSALLLAGQVFHRVLTGRVHRRNLLAQLRRVGPGSAGVSLLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALARELTPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGSQPIDYLVVPRVLACVLALPVLTLISFALGLASSAFLADAVFGVSTSIILESARKALRPWDLISALIKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVISLVGIFIADFALSCLFFQAGAGDSLKHAMG >SECCE4Rv1G0278830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:811118584:811120816:-1 gene:SECCE4Rv1G0278830 transcript:SECCE4Rv1G0278830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEPKR1 [Source:Projected from Arabidopsis thaliana (AT1G12580) UniProtKB/TrEMBL;Acc:A0A178WE53] MAAGGDGGAKYNSYKAPGLRGAILEAAHASCLGDRYALGEQLGWGQFGVIRSCSDMVTGEALACKSIAKDRLTSPDDVRGVRLEIEVMARLSGHPNVVDLKAVYEDQDSVHLVMELCAGGELFHRLQERGCFPEHEAATLFRYLMEVVAHCHSKGIVHRDLKPENILLVSKSPSSPIKLADFGLATYTQPGQRLSGTVGSPFYIAPEVLAGGYNEAADVWSAGVILYILLSGIPPFWGKTKSKIFECIRSTELRFPSDPWDRVSDSAKELITGMLRRDPSQRLTAEQVLEHSWIQEHADDSRDSCGSCHVIGLEREEPGSCSFSTPMATRSRDVSFSTGGPITCQGLSEEPCSPTFSCRSSFSAFSAARAPAPSCGALLFSFGDSPEPMKDAAPVVSMPSFSFFCGIGSDEPEPPAASGEDTTAEKEAHCVEDATAAGLTAASSTALTRMAEAALRGAAATRANPPSRSSRRNHTIGAGEREHQHDLDMAVAESVIRWASCTHLSTTLSLRASLVC >SECCE6Rv1G0441570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:811492346:811493327:-1 gene:SECCE6Rv1G0441570 transcript:SECCE6Rv1G0441570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYFRGQRQEASSDAPRPGYGRDRGGRKRLTAQKRKEIKEAFDLFDTDGSGTIDARELNVAMRALGFEMTPEQIQQMIAEVDKDGSGTIDLDEFIHMMTDKMGERDARDELHKAFRIIDQDANGKISDMDIQRLAIEAGEHFTLDEVREMIQAADENGDGEVDMEEFMKMMKRTGFGTGF >SECCE7Rv1G0496060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:607232960:607233334:1 gene:SECCE7Rv1G0496060 transcript:SECCE7Rv1G0496060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVLEGEEPKFAVQIVEQVVKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE6Rv1G0411780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611040195:611044118:1 gene:SECCE6Rv1G0411780 transcript:SECCE6Rv1G0411780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAAHVAGDSAEADRASSSASSTGSASRRSRPHKGIHLRRRRRPLSARRGEDGGDGGGSGSGSKGAGDGVQDLALPLGMSFAAVLAQVLNKSGASGSRLQPDFLSKMCTSAVKESLTNIYGDRFDSFMGNFEKSFGSTLRTLHLLNEAPACEQDIPRCSHDDGHPVAETKLSSAACEQDIPQCSHDDGNPVAETKLSGADSQGPIPDVQQNTLLNSMNKQIILHTGVNQQLIQLPRSRSSPECDQDILNVFERSLNEQVRSNELKELEIGLNMRKLQLKQSHLALSSYSQMLEKIKISMGFQKAAFREEKLKTQMQDTRHAELLKRLIDMLLTAVVFMSACFGYGTYIYSYQRITAVTAACAAASRESKSWWMPNSVSAFNSGLLFFRCHLIAATRISFGMLMILLIGWLIFQRSAMTGPNMPITFNLVLLGGVCGFVGRFCVDTLGGDGNVWLVFWEILCAIHLLGNSYPSLLYRGLYGPISVMHRPKALGLPYWVRRYIFYAVLSLILPCLAGLLPFASLSDWREHAVEYMKSRFTGSDIET >SECCE6Rv1G0414370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:631724293:631727707:-1 gene:SECCE6Rv1G0414370 transcript:SECCE6Rv1G0414370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTKGDGPAIGIDLGTTYSCVAVWRPVHQRVEVIANDQGNLTTPSCVAFIDAWRLIGDAAVNQADMNPANTVFDVKRLIGRRFSEESVQGDMKLWPFKVISGPSDRSMIVVQYMGEEKQFAAEEISSMVLVKMRETAEAYLETTVKDAVITVPVYFNDSQRQATLDAGTIAGLNVTRIINEPSAAAIAYRLDDTLVTGEAKTVLIFDFGGGTLDISVIHIDKGTFTVKATSGDTHLGGVDLNNKMVEHFVQEFLKKHKADIRSNRRTMMRLRTACERAKRMLSSMAQAKFEIDSLHDGIDFYGTMTRARFEELNMDLFRKCIEHVEKCLRDAKMDKSQIHDVVLVGGSTKIPKVQQMLQDFFDGKKLCKKINPDEAVAYGAAIQAAILNGEFDQKVVVLLDVTPLSLGIELVGGVMSVVIPRNTTIPVKMDGPYTTEYDNQTTADIYVYEGEGALTMENKFLGSFTLTGIPPAPRLMPQLKVTFEIDTNGVLTVVAEDRTSGNKNCITIINNKGGLSKEEIERMVRDANKFKSEGKKEMVKVKKER >SECCE4Rv1G0268550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:743490740:743491154:-1 gene:SECCE4Rv1G0268550 transcript:SECCE4Rv1G0268550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFIGCLVVCAQCALDNKQTMVNQYDHAANTSTNAIIVNSTSVGEGKKPEKFCSLNLICYFNFELRASCYCCEIKNGDCYKTKSECLQKCHSYNG >SECCE2Rv1G0101730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:568622598:568623663:1 gene:SECCE2Rv1G0101730 transcript:SECCE2Rv1G0101730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQHLSHAFPHAYNSFIALDSEMTAGSVLFPENLKRGPELDGAGNTLFGDVPRVDPTWHDSGFVQRKRARVVPEASSYVENQRGQGLVPVGDVLLTREMASGSASTSGRMINAAGPPQDLLSQLYRQGMEIDAVLRLETDRMRAGLEEARQQHVRALVSAAERAAARRLRAAEAALELARCRNAKLSERLTQICAEGQAWIRVAKSHEAVAAGLQATLEQLLQSPCAAVAATGANEEGDAEDARSCCFETPAGDDAAASKASAAACRACGEGESCVLLLPCRHLCLCSACDAAVDTCPLCVTTKNASLHVLLS >SECCE5Rv1G0321790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:410299280:410300461:-1 gene:SECCE5Rv1G0321790 transcript:SECCE5Rv1G0321790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRHAMPVVLVLAHAVLALALHGAAAGSGEVPAVIVFGDSTADTGNNNFIQTIARGNYAPYGRDYAGGVATGRFSNGRLPADFVSEALGLPPSVPAYLDPAQTIHHLASGVSFASAGAGLDNITAQIPSAMTLSEQIDHFRRYTERLRRAKGEAAARRIIAGALYIFSIGASDFLQNYLVFPVRGYSFTPPEYEAYLVGAAEAAIRAVHGLGARAVTFAGLPPLGCLPLERAVNLHRPGDCNGAYNMMAVSFNRRLEGMLGRLGRDLPGARVGYADQYGLLSAMIARPWEYGFENSAQGCCGAGYAETGALWGLDSALACDDAGKFVFFDAVHPSEKAYRMIAGTILNAMSHSRSHRFH >SECCE5Rv1G0357720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:748898143:748898523:-1 gene:SECCE5Rv1G0357720 transcript:SECCE5Rv1G0357720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASLLRGRAAWLPRPPVAAAATLVRRRPEPESRLRAVDGRGYARMARRMTPTRPDGYSTSDSEADAYGDVEDLEDLEPAAAADGEEEDASDGEGWDGFTLDMGTRSIVEDDEEEDEEEEGEK >SECCE5Rv1G0363560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:794279550:794281253:1 gene:SECCE5Rv1G0363560 transcript:SECCE5Rv1G0363560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRRLSWVVLRKHVPVFDAEEKEQEDKIMAKASKDWGDIEDGSWILDQFSLDAHLVEPPELSTLSVRAKIETQERGIICLLDSVVENYLVMTLVFGDRAYGLVYDAAKNSLSLLPDTMDSFAGHDFASRVDPVPFFFLRPPAVLPRDDGSYDLFNLGFRFATRDRVLQGSSSIFRWSSHAPGNKWTKQEACFNPHTQLPRQHPVPPAYKVDAAFTFKGKVFWADLMLGAIVADTTSEDADHVELDFIHLPKECQGRDFSRSYPKDRRTMGPVGDSIKLISIVTISGDNPRSDNTPPADVVLRSWTLSPDLHSWTRDEDMELPLPLLWQSEAYKRERLPQAMPQCPVLKADEDGVLYLLLGDYYLDWERKARLCREIECVISIDMGTKSLLSCSHRPIKDGLPAPAPWEVEPSKDFHPDLPTLLAAKFCASHTGWSDDLLHKEKRNRLIYSERRKSSSSRRAFIGLALASIVLIIYLQPWGSLSIWT >SECCE2Rv1G0119020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:787511796:787515143:1 gene:SECCE2Rv1G0119020 transcript:SECCE2Rv1G0119020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDEKSATYTVDDALVSSGFGKYQILILSYAGIGLIAEAMEMMLLSFVGPSVQLEWKLTAHQESMITSVVFVGMLIGAYSWGVVSDNYGRRKGFLFTAIMTSGAGFLSAFSPNYVSLMALRFLVGIGLGGGPVLGSWFLEFVPAPSRGTWMVVLSAFWTVGTIFEASLAWVVMPKFGWRWLLALSSAPSLLLLLFYAITPESPRFLCMKGRTMEAVDVLEKMARLNGAQIPSGKLVSDKNIELDEVSESATLLAATAKAAKEEENDNIKEDEGSDFGGFKSVSKLLSPKLLRATLLLWMAFFGNAFSYYGIVLLTSELSNGNRICAKEEVESVHSNNSSLYKNVFISSFAEIPGSFVSIMIVDRIGRRLSMASMLFTSCVFLFPLVFSRTEILTRISLFGARLCISASFTIVYIYAPEIYPTSVRTTGIGVASSVGRIGGILCPLVAVALVHNCHQTTAILLFELVVFLSGVAVMFFPFETKGCRLNDTEADMH >SECCE4Rv1G0240280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:445131454:445132921:1 gene:SECCE4Rv1G0240280 transcript:SECCE4Rv1G0240280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYSGDRSSSRPTTTTFDSYQFDFGANASRSSTSRPLRDQRPGGATNPSPRPTTTNTWSHQPSKTSWTHQPSPSAAAALGSGPVSMVGDISGRSWSATAPSSGIGLPQSNNLNLFSDLLGPALGSIRAQSNAPLRSAAAQPSKPASANPRTNSSSFSMGGMASTLPKTTGAPIASGSYGVGGRPMKPVGMAPATASQPMAQKKDPFGNIDPFAAKPGSMNAAKKAGSAKSDQGFGTFQDVSSGANAGFSSFQKAGAGSSGFQSAPAPVSAVLNSSVDHFDTLFPSSASAPTASAASNGGGDMFGEMDGWVDVESEYVVGGDSNGTTTELEGLPPPPSGLTASAAKAKGMDNYKGGQYADAIKWLSWAVLLIEKTGKNAGIAEVLSSRASSYKEVGEYKKAIADCSKVLEQDKDNVSVLVQRALLYESSEKYRLGAEDLRLVLKIDPGNRLARSMIHRLNKMAD >SECCE7Rv1G0502300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:694820829:694822446:-1 gene:SECCE7Rv1G0502300 transcript:SECCE7Rv1G0502300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTGRGGSRLSALPNDLLLHIVTFLPVTEAARAATIARSWRHLWRSYPLVLKDADIPEHARDAAIPRVLADHPGHFRAVILYDCRLASLDRELPAWPRLLVDKRTEKLVLAYNWDVDQPNPARLLPADILRCDSLQELTLDYWMFPSGTEVVLPHLRILAMVRIGISDQELESLIAASPVLESLRLTLNSPKHVRLRSQSLLCALVGLSRVEEFTVVDTPLLERLYLFLPPTSGVVGFRIACAPNLRVLGYLDTRAHRLQIGNSVIGSDTVVNASTVVRSVKILALTVNFSVFGEVKMLASFLRCFPNVDTLHIESALYDPSVTADEPSGEHHANFWQQISPICCLRSHVKKMVIHDFRGDRNEFEFVKFIAMNARELQSLLLVSHEGILSSADKVNEIKDELQFLQFPTGISAVLQVSPKAGTGLRFEKASNLTIDDPFDC >SECCE3Rv1G0179440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:540778538:540779186:1 gene:SECCE3Rv1G0179440 transcript:SECCE3Rv1G0179440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTMGLKKALRWLPRSSASPRLEEDENNNERNGLLRSHRDQNRVVPVTDLHVDEQPKAGAHVEPKTVALKVSMHCHGCARKVEKQISKLHGVVSIRIELGMKTVTVVGNVTPMQVLETVSKVIKYAHILPPP >SECCE3Rv1G0187840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:705710813:705711431:1 gene:SECCE3Rv1G0187840 transcript:SECCE3Rv1G0187840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAGAPQVLPVHQEDEDLFETSSSFSCDSDDEAQFSDGEDQFVPASPPVRRLNSDGVYDLSSMKAELSAKKKGLSKYYDGKSQSFACMSEVRCLEDLPKKSAYKKIKSCRSSIDLDGNQTACPVSAPNTSSKGIAKQNPASSCANLMMARTSGANMLYRPPAIPVNKSACHQ >SECCE4Rv1G0249470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:583474271:583481574:1 gene:SECCE4Rv1G0249470 transcript:SECCE4Rv1G0249470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVTRKSDSWVTDLAITDCGGGDRSDLGVRPINGGRGAAMEQRGDGLDGTPMSPPERVPTPSSSRSAGWRRFSSPGPLRCSTPGSIGYEDGEDSDRDRYFSPGSEFSQDTSDTDSMSTNISRMYTFRLGNSSPLYSPMKRSGQVGTSPPSGVNGHASQNSPMYSLNSGHGYDDGDYLMDSPSCDDENHDNIIQPIDFESNNGLIWHPPPPQDEGDDSEISFFQYDDDDDDSAIGDGKTFERVNHECGGSDDLPGTKGKQNIAHKEFLRNALHGHFRALVSQLLQGHGVDLVDGWSDIVSLLAWQAATFVQPDTSKGGSMDPTNYVKVKCVASGTPNDSTFIKGVVCSKNVKHKRMVSKHENPRILLLGGALEHQKVTNKLASINSILEQEKEYLKNAVAKIEAQRPHVLLVEKSVPMYAQQLLAKDISLVLNVKRSLLERISRCTGAKIASSIDNVTSARLGQCQAFWIERVAESLAPKDSNRKSIKTLMFFDGCPRRLGCTVLLRGTSYEELRKVKLALQFAVFAAYQLSLETSYLADEGATLPKTPSDLPARPLEKHMNGGDISSSNSQQNLNDLQIVGDRTSEKGCIVPLNFLDGSSKVLTIDQYLLKPNFGQEEYIPGTDDTFSHPPTLFIDNDCEAPLVGKGTPTDLECPDDVHRDEMVGRIYRVESELDNGCHHTSDEEHAGVTVPDHNENPTEYFPTSENAQSILVSLSVACPKKRIVCKQSQLFRIKFYGNFDKPLGRYFREDLFYQTSCCESCKEPAESHVQCYTHQQGSLSIGVRNLESVKPLPGRNDGKIWMWHRCLRCKQKNGNPPATHRVVMSDAACGLSFGKFLELSFSNHTTANRVACCGHSLQRDCLRFYGFGSMVAVFRYSPVDILSVNLPPSVLDFAYPVPQDWLIEEAGDVANRKDNFYRVIFDNLDCIEKTVSAQEDVSMKAGLYKHVVDLKDLIKVEWKKYDVLSGFGSIQNLQTIGEHVDVLELNRLRRELVLDAHVWDRRLHMMHSLTKKNCTAATDAQCPKKFPESVLEDSKAEISSTQENMEKSLEYSQSSSFITDSGKPLLRREHGDTTVSCSGLTNIDEVYHQSVEGSASSSGLHIVPRPCELQSNGVVADELKLEKTLEKSESSPSNLSDRIDLAWTGSGVETLLVVPTLMNGSSYQNVMAPIRIKSFDSGINFRNGLSPVDDSNVSIRRAYSQRPPRALERTGRGLSPTFTNKLSLPGMVDGEGRFLLSQSVSDVVVPVYDDEPSSMIAHAMTVPEYRSFMLPVLNLHNELDRPSVLNSVDQNSTSRSWSDVPQMNSKDIHLTVSFEDEDSCSVDKAKFSVICYFAKQFDSIRKKCCADELDYIRSLSRCKRWSAQGGKSNVYFAKTLDDRFVIKQVTRTELDSFEDYAAEYFKYITESVSSGSPICLAKVLGLYQVVAKNMKDGKELKMELMVMENIFFKREISRIYDLKGSLRSRYNPDTSGNNKVLLDLNLLETLHTKPIFLGSKAKRRLERAVWNDTSFLASVGVMDYSLLVGIDEEKKELVMGIIDYLRQYTWDKQLETWVKASGILGGSKDGMPTIISPDQYKKRFRKAMSKYFLTVPDQWSP >SECCE1Rv1G0055070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678143674:678144051:1 gene:SECCE1Rv1G0055070 transcript:SECCE1Rv1G0055070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLPLPRTCREARVVDATVAAGARRVKRKARPAAVKNIVHGADQHGVEEKEVAWPECRVEDGGVRLKVLMTRREAAEFMARLEEQAAAESESRTGEILAAGGGVMSPCEVAWRPRLETIPETY >SECCE4Rv1G0253930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:631236025:631240987:1 gene:SECCE4Rv1G0253930 transcript:SECCE4Rv1G0253930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWNSVGLGVLYQVIGWIAFVAWSFSFYPQVVLNYRRKSVVGLNFDFLVLNFTKHSSYLIYNAALFFSPFIQKQYRDKFGDKEMIPVAANDVAFSLHAVALTSFTLYQVFIYERGNQKVSKVCISITAFVWSAAIVCLIVAWPKSNWLWLIDVFNSIQVGMTTVKYIPQAVMNFRRKSTVGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLETVFFDVLFIIQHYVLYPVKRDENDSGYPVLPVQVKDGKDGTAV >SECCE4Rv1G0225000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:86954452:86958563:-1 gene:SECCE4Rv1G0225000 transcript:SECCE4Rv1G0225000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MASPPTVPAAPAGLESMEGLALDTIIAKAGARPAAVLACASTHLRAAVAEDAVWRSFCARDLGLDAPLDPEDRPLPSFKDAYKVWSESFGMYPLPLVKRVKLFWSSLKGWISENFPEALRTLNKGASEAQIRSAEDDLGFKLPMPTKLLYRFCNGQLPFSKNNFEDVRMAPLGIIGGYMFYNHSVNVHLSSLEQMVEETKEFYLNLEEEGLPVGPNLALVASSWYHPKTFLLNCSSGELYVGTANLSAGEMMPCVPKSLIKPTNSDMPQDGLLLWLEEHLRRLQNGMIKIRPLRTSRYICLYPEASPLCSSAVTNGVKVRASAVFVPEHPHGGLVGTYLYSYSIRLSVPEACMLGGVYFASCQLQSRHWIIRCGDTVVSDMHGEGVIGKYPLLLPGQDEFVYESCTPLDGRSGSVEGSFTFVPGRVTRAEGKPFDVTVAPFPLEVPDYIF >SECCE5Rv1G0325770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:468998294:469000531:-1 gene:SECCE5Rv1G0325770 transcript:SECCE5Rv1G0325770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWKKKLGHTFSRFVSSKPTFNLAKPKPTPAPPPPLPPPPHSSHPIPPPPQPAMPPHGRRPAPPSPGGHVFPQAASTVLPDPARFFAPGLLAGPLPTNCFFQNFTLKNGDQPEYIHPYSVRSAAAGLTVCYPARSHSPTFDIQTFAADLTVSSPSDAAAAGQPHRVVAFDDLSVTLDFSPSLRAFLVRGCPFVTVATAEAAGPVDVSVASVHAFLEAAPCDDARTKWRLRMNSGQTFLLYASAPISLSQASVTQLAAPGFSGVIRIAYLPDAAMEAVLDQYSRCYPTAGEAALNRPFCIDYTWRKQGWGDLLMLANPLHLRLLSDDCPVRVLDDFKYRSIDGDLVGVVGDSWVLKTDPLSPTWHSTRGVNDDGVDEVVAALRKDVDSLASSPITTTSSYFYGKAVARAARLALIAEEVGCPDVIPAVHRFLKATVTPWLDGSFQGNGFLYDPKWGGLVTKQGLQDSGADFGFGIYNDHHYHLGYFLYAIAVLAKIDPSWGRKFMSQAYSMVADFMTLSRKCGASYTRLRTFDLWKLHSWAGGLTEFGDGRNQESTSEAVNAYYSAALLGLSYGDTHLVSVGATLTAFEMLAAQTWWHVREGEGIYEDDFSSNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPLLPISEALFPDIEFVKDLVSWTTPALARDGVGEGWKGFVYALEGVYDKESALAKTRALASHDDGNTLTNLLWWLHSRGNVDNTVVGSGRCCWYRQYGH >SECCE3Rv1G0147310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15109494:15110466:1 gene:SECCE3Rv1G0147310 transcript:SECCE3Rv1G0147310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDGGEPSNKKSRLQEEEEPMAVKEEQQERRESQGGGDGALTVVEEAMQVPWVAAEVNPLFYLCFACQLPLRPPVHQCEGGHRVCGRCHGDRCMACDPPAAYIPFPFMDDALGAVRLPCCYKADGCGRKLMYHEAADHALRCAFAPCHCPAHGCSMWASPPALLDHIAAAHSWPVTEVGYGSPFRIAVPAPWRGTHLLVERNDRRLFLVTLSEFGDATAVSVVCVREGTAPRFRSTVWAEVASNTEENLFRRQSTVPSSSGGGDLPGAGPPVCLLVPPYFGSDSEDLFLGVRIDKL >SECCE4Rv1G0281340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825695856:825697070:-1 gene:SECCE4Rv1G0281340 transcript:SECCE4Rv1G0281340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTAFLNGELKEEIYMDQPDGFVVPGQEGKVCKLLKSLYGLKQAPKEWHEKFEKTLTAAGFVVNDGDKCVYYRYGGGEGVILCLYVDHILIFGTKLDLINAVKDFLSRCFEMKDLGVADVILNIKLLRDENGGITLLQFHYVEKVLSRFGYSDCTPSPTPYDASVLLRKNRRIARDQLRYSQIIGSLMYLASATRPDISFAMSKLSRFVSKLGDDHWHALERVMRYLKGTASYGIHYTRYPRVLEGYSDSNWISDADEIKATSGYVFTLGGGAVSWKHVKRSLKSVRKLRNSGVITLDYIQTSKNLADPFTKGLSRNVIDNASMEMGLRPTA >SECCE4Rv1G0228640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:137746016:137748392:1 gene:SECCE4Rv1G0228640 transcript:SECCE4Rv1G0228640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRAASSLPGPPSPSTIMLRRPRLLRGVSSPAASSLRRAFRSAASLEAILSHSHPSKTSSEDQAGPGHLALYNYPTFAGAYSALAADLFHRRLGRRLLVLPFSSVEPFRAEDFKGAGFHSCYLLDFIGPRNFALELSRFIPSVVAFDHRQSTLARIPQLGRCPTNLDLRIDTAKSSARAVFDYFSNKLTSENPDPETCENLLGQEDEERVLNVIKYVEDADLRRWLLPGSRAFHTALRDERAKLNCVSNPHVFEQLMQLDVSDLLARGNSLVQNRLEAARELIHNPFKIYLGQGLYGECLAIRADGDSKLSHEIGLELSRMSAGAGLRPIGAVVFMQRGLLKICLRTTDNTTNTADIAKAYGGGGKPSSSSFALRMDEFNAWTRENS >SECCE6Rv1G0435110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:769724398:769731695:1 gene:SECCE6Rv1G0435110 transcript:SECCE6Rv1G0435110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRGRPQQQQYPPPAAGGPARGAARGPGPFPQQQQAPQAGGRDDAAAGRGRGRGARAASSPAVATGPLGRLAPELRQAMQEPSPPAPAGPSEPPPTPAAQPVPAQAEATATAIPASSKAIRFPLRPGKGSAGSRCLVKANHFIAQLPDKDLHHYDVSITPEVTSRVVNRAVIHELVSLHRAAYLGGRLPAYDGRKSLYTAGPLPFVSKEFQIALLDDDDGSGSQRRQRNFKVVIKLAKRADLHRLGMFLAGRHAEAPQEALQVLDIVLRELPSARYASFGRSFFSPDLGRRQPLGDGLESWRGFYQSIRPTQMGLSLNIDMSVTAFIEPLPVIDYAAQLLRSDIHSRPLSDAERVKIKKALRGVKVEVTHHGNMRRKCRISGLTTQATRELTFPVDEGGTVKSVVQYFQETYGFAIQHTNLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQNQIRALLDETCQYPRDRERDITQMVKHNAYQEDPYAKEFGIKISDRLASVDARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWMCVNFARSVPDKLARDSCHQLAQMCQDSGMDFALEPVLPPMGARPDQVERALKARYHEAMNILGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKLNKQIYANIALKINVKVGGRNTVLVDALSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTRYAGLVSAQAHRQELIEDLYKVRQDPQKGPVSSGMIRELLISFKKSTGEKPQRIIFYRDGGQFYQVLLFELNAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDKNSMDRSGNILPGTVVDTKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPESSDSGSMASGRGPASGSSASRGTRAPGGAAVKPLPALKDNVKNVMFYC >SECCE6Rv1G0423740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:697394999:697396696:1 gene:SECCE6Rv1G0423740 transcript:SECCE6Rv1G0423740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGKRADRLSALPDDILVNILDRLNAREAARTSVLSRRWTQLCAKLSRLIISAQHFLPKGVLCTDISDDELVRINAAVVQAMESILTRRNPGEHTIRLLSTTFYLRDDVPISMGRAVGRAMAAHLVENAKFSVMTGKYDIIDLDEDDLVTAGRKFMLFFDACPSAFGGLTILDLENLRFGESDISNVLVTCKRLKHLRLYNCDSGDCSTLQLEHAHLSELSIVHCRLAQVQLNWLPQLTKMVFEGWLRFQYPLFIGHVPLLEAVSLTDLALSHSRMVELSEFLSGTSIRDLKLGFNSEKIWVQPECPTQSLASVFRQLRFVNLASLPEGYDLTWTMFILEAAPLLKELYMTVWDHACLTETDEEKRKVLSYSENKGVEWDSAAAGFQHHSLATLVIVGFESEDCFVSYVRRVMEAAVNLADVFLYSRPECGNCEEKPNRYPWTKRQRVSLKRRLAAGIESFAIFHFGAKRPGHVAKMEYPQCSLLEAKRSFT >SECCE3Rv1G0190940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:744705114:744705770:-1 gene:SECCE3Rv1G0190940 transcript:SECCE3Rv1G0190940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVGEPSSSSASGAGKDSGSFECNICLELAQDPVVTLCGHLFCWPCLYEWLHVHAHFPECPVCKANVEEDRLVPLYGRGKTSAEPRPSSSDGPQIPSRPSGQRRATAPPPDQRDHYPHPNQSPWFPGGGAMAGGRWGNYTFSAAIGGLFPLLNFQVHGFPTAYGPAAGIPYGYGHDFHGWHGHGFPPQAPQGQVDVYLKMLLVLVGVLVIASLIAF >SECCEUnv1G0565720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:396184086:396185549:-1 gene:SECCEUnv1G0565720 transcript:SECCEUnv1G0565720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLALVLCLLAVPVLAFLRSYNRRARAEATIHIVSDPGVAHRALIENADDLSSRPAAIFPVFLASLRDGRRNDNMTTASYGPHWRALRCNLASGILHPSRLASLAPLLQEAAEALVGDLSARGEGEGEGEGERAAALRVRGPVTAAAFALSTRLCFGDVVDGGHRRAMGRVTRDSMVAIGELSSRFDGSMLSQLVNWRALRRISALLDRQADLYRPLIAAAAARRQSDSRLCGGGVFQPYVDSLLDLRVPADDGDARRALRETELVGLLFEFLGAGTGSVVTCVEWALAHLIEHPEVQDKLRREINDDADAGGKSLRAGMPYLNAEVLESLRMHPPVPLILRAAHGEGAKAVGGAAAVAVPGGGLRVMFNLGHIGRDKKMWAEPDEFRPERFLAGGGTEDVGPTPGSKEIRMMPFGAGNRQCPGVGIGMMLIKCFLAALVRDLEWAPPDCTGRVDMTELDGFLKMMKKPLSAQHTYPFHVAEASRR >SECCE1Rv1G0027050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:377290014:377290602:-1 gene:SECCE1Rv1G0027050 transcript:SECCE1Rv1G0027050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKILELDPSHQRAGRVIDDIVRLEKRIFPKHESLARSFHDELKRRNTGLIYSTSGVGDEEEVAGYAMYTCTTSLCASITKLAVKESCRRQGLGEALLQAAVDRCRRRRVQRVSLHVDPARTAAVALYRKAGFQVDATIEGYYSAQRNAYRMYMDL >SECCEUnv1G0534760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:45240662:45243325:1 gene:SECCEUnv1G0534760 transcript:SECCEUnv1G0534760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSDRGEGDLEIGLASPGSEGGGVSPVAPGRRALESCLSGKRLDQSPSPSRAVRRPALVMSSSGKRLDQSPSPSRPVLAMSHSSNRLDQPPGRPVLAMSCSSNRLDHSPSSSSPTPAKGPALVMSGSSKRLEQSLASPSPSPKAGAAAPPVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLDAVRQIIAEIDAQMTGTGEEFDSEVAEIRAAVLNEPNEVGETALLIAAEKGFIDVVVELLKHSDKESLAGKNKSGFDALHVAAKEGHQDIVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHLEVVNLLLERVSGLVELSKANGKNALHFAARQGHVEIVKSLLVSEAQLARKTDKKGQTALHMAVKGTSAAVVRALVNADPAIVMLPDKNGNLALHVATRKKRSEIVNELLLLPDMNVNALTRDRKTAFDIAEGLPLSEESADIKDCLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDDHGVAIVVHAVSFKVFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTTVAFISSSYIVVGRHFKWAALLVTLIGGVIMASVLGTMTYYVVKSKRTRSIRKKVKSTRRSGSNSWNHNSESDSEIDRIYAI >SECCE4Rv1G0224140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:77503614:77505577:-1 gene:SECCE4Rv1G0224140 transcript:SECCE4Rv1G0224140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os03g0610900)] MDRAALTVGPGMDMPIMHDGDRYELVKDIGSGNFGVARLMRNRADGQLVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLLSGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILSVQYSIPDYVHISAECRDLIAKIFVGNPATRITIPEIRNHPWFLKNLPADLVDDSTMSSQYEEPEQPMQSMDEIMQILAEATIPAAGSRINQFLNDGLDLDDDMDDLDSDADLDVESSGEIVYAM >SECCE5Rv1G0354380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:723081322:723082779:1 gene:SECCE5Rv1G0354380 transcript:SECCE5Rv1G0354380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRLTGLEARKVFARMLSSVTGGSDAALDAFDPAKRLCKLIISCRQASGLEIELDHSDLRVTPDVAERVLERLDNAGMLAYRFFEWARKQRRCGGCAHTVRSFHTVVASLAKIRQYQLMWDVVAIMRRQGVVNVETFGIIMRKYARAQKVDEAVYTFNVMEKYGVVPNLAAFNSLLAALCKSKNVRKAQEIFEQMNGRFNPDAKTYSILLEGWGRAPNLPKMRHVYSEMLDAGCQPDIVTYGIMVDSLCKAGRVEEAVFVVQDMSSRGCQPTTFIYSVLVHTYGVEMRIEDAVATFLDMQKDGIVPDVVVYNALVTAFCKVKKFDNAFRVMDDMEGHGITPNSRTWNIILNKMISLGKDEEAYRVFRRMIKRCQPDSDTYTMMIKMFCENDRLEMALKVWKYMRLKQFLPSMHTFSVLINGLCDKGEVSQACVLLEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLEFLVDKMKILIQEPLFD >SECCE6Rv1G0447810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:851762334:851768000:1 gene:SECCE6Rv1G0447810 transcript:SECCE6Rv1G0447810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYITDYLKQVVQDELGTMLGVSGEIDKLGDRLLDLKNFLADADRRNITDETVQVWVAQLKRAMYEAADILDLCQLKAMEKRGPSYVQAGCCNPLLFCMRNPFHAREIGTRIKALNQRLDSIKERSAAFNFINLGSYEDCHSSNVHISRHGNPSRETVGDFDRSAIVGEKIEEDTRALVAQIMQTGKDVNNDIKVVAIVGVGGIGKTTLAQKVFNDEAIQGEFSKKIWLSVNQNFSDVDLLRRVIIEAGGDAQPPESAKTSLHETLKNTLIDHKTFLVMDDVWNHRAWDDVLKIPLVNAAASGSRVLVTTRDEGVARGVKAIWPYHHVNILAPEDAWSLLKKQACSNEIDEDHINTLKDIGLEIIQKCGCLPIAVKVMGGLLRESGGLRRDWQRVLDDSKWSTTKLPDDLNQTVYLSYEYMPSYLKQCFLYYSFLPKSRIFNIDQVVAMWISEGFIEGNSSDLEELGRNYYKELVSRNMIEPDNLYAELWVCNMHDVVRSFAQYMTKDEALIAQDGDNDILGKLGSQKFLRLSIETNRSQLGELDWKSLHAQQSVRTLISTVQIKMMPGDSLVTFSSLRTLHIESADMAGLVESLYQLKHLRYLALVNADISALPENIGKMKLLQFLVLVGCIKLVNLPDSIVKLGQLRLLSLPGGCMVPRGFRGLTNMRRLIMFRAHVDGDWCSLDELGPLSQLRFIELVQLENVSAASFAANARLSEKMHLMRLQLLCTSKLGDDGLVKEKEGVSKEEQQQIEKVLDKLCPPPGVEYLKISGYFGRQLPSWMMSTSMVPLNNLKTILFDDLACCTQLPNGLCQLPSLQFLQVSRAPCIKHVATGFLQAAAASFPRLNKMILLGMVEWEEWEWEEQVQAMPCLEELVLNNCRLRHFPPGLASNASSLKILYVQYVKHLSYIESFPSIAELTVNDCPDLERITNLPNLQKLTIMNCPKLKVLERIASLERLVLKDYTMEKLPEYMRDIKPRHLQLFCRLWLLYAVAAGQSGTEWDKFSQVKHVKAYARDGDNQRKWYVLYTRGDNCKLDSNISRSTVFEETLSSSMVDVQGFDSLYKMKRSTFSYVCGLVKIPFLEDMMAKDHTFVDGRVLSLQDRVAIALRMLNSGEPSGVVGSTLGVNESTVSPVTQVFVEAMCQRAMHHLEWPGSSKMEKIKHKFDKIHGLPNCCGVVHAAQITFGSQDHDREENGDVLLQAVVHPDMRLANIWWNLSAGSMNQLIILQDSSLFKSCEGGWLNGSKLKVSSRGGLEVGEYIIGDARYPLRPWLLTPYPLENDCSLSDSKVEFNRRHSAATAVALSALASFKDTWKCLQGQGWHPSNKHEIFSTINTCCMLHNIVIDMEEEEEEEEGAGMPSDQEYNYIKQVRQVADEDAVGVRDALSQHMIESRVHTKAAEEEQEASAVASGSGDGNKEQEVHRRRKADRGKEKVHDS >SECCE2Rv1G0086990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:218148248:218150583:-1 gene:SECCE2Rv1G0086990 transcript:SECCE2Rv1G0086990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty-acid-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT3G63170) UniProtKB/Swiss-Prot;Acc:Q9M1X2] MVSLRFPTGTFARLPPPPPPHRAAITAAIAAAAAAAAAAGFALTAKSAGRPLPRPTHSAPLWASLSLADSGAPGNVESRTGAAFPSETAGGRRLLGVGLRKTTILGLKSIDVYAFGVYADDNDLKQLRDKYQKLPVSDLKENDELIKDALERDIRMTVRLQIVYGRLSIGSVRSAFEKSVGSRLQKFGGSDTKELLQSFVSLFKDEYKLPKGSVIELSRESNHVLKISIEGEDVGSIQNKLLCQSILDLYIGDDPFDKNAKINIQGSLASILKA >SECCE4Rv1G0216300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10351998:10353010:1 gene:SECCE4Rv1G0216300 transcript:SECCE4Rv1G0216300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLQRLRHMLLATGGGHHQLAAMPAGGPCYGAAVTGQPYADLFTPPPTTMPAADQYSELLALAAADLARKGGDGAQEMTSGNKRRRVDEGASSSVLGEVLAAQAQQQAVAVDHILHRHARKMWAALAEQRRSHLRLIVSTVEARAAKRLKAKDDEIERVRGMNWALEERLRSLYMEAQMWRDVAQSHDAAANVLRADLQRVLDAQAVRAGGDGEGRDDAESCCWGENQAPVCAEEEAEVGTPAPAGVGRCKGCGEGAAAVLLLPCRHLCVCAPCAASAQACPSCGCAKNGSVCVNFS >SECCE3Rv1G0212500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:955808795:955812872:-1 gene:SECCE3Rv1G0212500 transcript:SECCE3Rv1G0212500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATGAMASLLLKLVELLKDEYNLQKGVKKDVEFLERELRSMHAALLKVSEVPRDQLDKLVKIWADEVRELSYDMEDVVDGFLVRDEDSEPTADLHKLTRFMKKMGNLFKKGRSRHQIADAIKDLKDQVQEVADRRDRYKINDLVPSPAATTTVDPRMLAMYKDHKEIVGIDVIRDEVIKKLIYGKSKKQLMTISIFGFGGLGKTTLAKAVYDTIKEQFDLWAFVTVGRNPDVKEVFKDILFQLDKKKYTEFSGALTLSEMQLIDLIRGLLNNKRYIIIIDDIWDIKAWEMIKCTLMDSDCGSRIITTTRIFQVATRAGDLYKLLPLSENRSEELFYKRLFGGKEKCHYDQPAEVTKRILHKCGGVPLTIITIASLLVSKPTEEWCRVYNSIGFGYEDNEHVENTRKILLFSYYDLPCYLRTCLLHLSIFPEDATIMKDTLIWKWIAEGFVMEKPEIGLFELGERYFNELINRSMIQSLGDGCAIYACQVHDLVLDMIYSLADEENFATILTSNKQHTSSQRIPRRLAIQKRVIDEDDPMGNISMPQVRSFNAVKCHFSVMPLLESFKVLRVLAIDGCTSFHLEHLGGLVHLRYLELSGMDITNLPGGIEDLKFLQTLILRRTKIRVLPRSVGLLKQLKCMLIDDTLMTVPGWIGNVTSLEVLSWYHVSPQFVKELRKLTELRELRFELERDDGWLINDLIESLGYLKKLQVLEVSLYFMRRLEAAGWVNCNGYVPSRQLRHLKLDLTLRHLPWWINPTVLPNLSTLYLPSCRLGKRDQETLGRFPELIDLGLLHIGPYIMGSVAFPKLRSLGIEYSMPTFLHGAMPSLEAIWLRMSVVEWKKYEFAFDFSSLEKLPCLRSVFVFIITDGAIVKHISELMLTLRHAVNIHPNRPILKVPYCYLLI >SECCE7Rv1G0483170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:318165635:318167577:1 gene:SECCE7Rv1G0483170 transcript:SECCE7Rv1G0483170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAGSVVLLISFLSVIAPLPSEALNVRGHLLKSKTFRSPPILLGPGSVSNKYYHDVDFPRGHLAVKSFNAEVVDENGVPVPLHETYLHHWVAVPYYALKNSQSSDEQKVPAMLKGNDGVCKRALTQYFGLGSETRHTATWVPDPYGIETGNQEKAPEGYEEKWLLNIHAIDTRGVIDKPSCTECKCDFYNVTIDEYGRTVSKNYTGGLLCCYDQTQCQLKEGFNGKVRKVFLQYTVTWLDWTDAVVPVKIYIFDVTDTAMLDGSPEHSCKVEYQVEECSAENRANHECIHTKTTRAVLPRGGDIVYSVAHQHSGGAGASLHGQDGRLLCESLPTYGTGKEAGNEANYIVGMSSCYPKPGSIKVSDGEVLTIVSNYSSDREHSGVMGLVYILVAEPQQPAPASSLCFSFPAPWCLPAWMSSNM >SECCE2Rv1G0084880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:181914633:181915456:1 gene:SECCE2Rv1G0084880 transcript:SECCE2Rv1G0084880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSGVALAVADAVWAQIKAAGHATDEHLSILDHLFGKNMLRACKILDEGGVRRVTGAPSGRSLFLVMGESKRKEEYICFPEHLCTCYSFFYDIVGRGEQLCCKHQLAARLAEAVGKHQEMEVTDEELAHMLAKL >SECCE6Rv1G0437760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786193640:786194998:-1 gene:SECCE6Rv1G0437760 transcript:SECCE6Rv1G0437760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 2 [Source:Projected from Arabidopsis thaliana (AT1G71090) UniProtKB/Swiss-Prot;Acc:Q9C999] MDPQVAVQGGDWVSAVTPLLKLLCLTVIGLLLAHPRAQVVPKATFKLLSKLVFALFLPCLIFVHLGQSVTLHNVLHWWFIPVNVLIATAVGCALGYAVALVCRPPARFFRFTVIMTGFGNTGNLPIAIIGSVCHTADHPFGPGCHREGIAYVSFAQWVAVLLVYTLVYHMMEPPMQYYEIVGEGNEIERVHDEEPQVSNFSRPLLQEAEWPGMADKETEHSKTPFIARIFASISGSSQNTFPDIDFTEEGGISGAGPSSPKSLRCLAEPKVVRRMRVVAEKTPIQHVLQPPTIASLLAIIIGMVPVLKAFVFGADAPLSFFTDSLEILAAAVVPSVMLILGGMLAEGPNDNALGMRTIVGIIVARLLILPCIGIGIVILADKLHLLVENDHMYRFVLSLQYSTPSAILLGAIASLRGYSVKEASALLFWQHICAVFSLSIYLVVYFKLLSYI >SECCEUnv1G0556520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:312015506:312016540:-1 gene:SECCEUnv1G0556520 transcript:SECCEUnv1G0556520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAPQQQRYFCHQCDRAVSIAAPASLDDEVFCPLCAGGFVEELLDDEDPPNPSPPHHHHQMPPQSPFFPLSSLLDLRNPSDLAGVLGPPSPSAPRAAASNNPFDVTDFLHGHLGGLLSGGATIQIVLEGSSFPGGFASFGPAPAGPAAGVSLGDYFMGSGLEQLIQQLAENDPSRYGTPPAAKAAVAALPDVAVSADMMAADGGAQCAVCMDDFLLGAAAKQLPCKHVFHKDCILPWLDLHSSCPVCRHELPTDDPDYENHQRQAAAPAAAAAAAAAVAPASPGGGPSPRVMERRFRISLPWPLRAAFGAQQAESSDQDAAGSADYNDDPDASGRSYDDLD >SECCE3Rv1G0186480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:686084931:686094909:1 gene:SECCE3Rv1G0186480 transcript:SECCE3Rv1G0186480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate synthase 1 [NADH], chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53460) UniProtKB/Swiss-Prot;Acc:Q9LV03] MPTAQGIGLKHAAPPGTGRRARRSHSASAHGRSTRQAHGAMSLEVGFLGGGAQRTEERVAPRPPRAAARDAESIRPMSLLPESSIGLYNPAFERDSCGVGFVAELSGVDNRATVVDAIQMLERMAHRGACGCEKNTGDGAGILVALPHTFFREVTKDAGFELPPPGEYAVGMVFLPTDEKRRERSKTEFKKVAESLGHSILGWRQVPTDNSDLGQAALDTEPAIEQVFLTKSSSSKADFEQQLFILRRLSIVSIRAALNLKRGGERDFYMCSLSSRTIVYKGQLMPSQLQGYYYADIGHENFSSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLKGNKNWMKAREGLLDCEKLGLSQDEMSKILPIVDATSSDSGAFDGVLELLIRGGRSLPEAVMMMIPEAWQNDVNMEPDKKALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVVMGSEVGVVDIPAQDVLRKGRLNPGMMLLVDFDNHTVVDDEALKAQYSKAHPYGEWLKRQKMYLKDIVESVPETDRVAPSISGSILPTNENKECVGINAIVTPLKAFGYTVEALEMLLLPMAKDGVEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLEITEKQCNRLALKGPLVSIDEMESIKKMNYRGWRSKVLNITYPKKSGRKGLEETLDRICAEAREAIRKGYKILVLSDRGFSSDHVAVSSLLAVGAVHQHLVANLERTRVGLLVESAEPREVHHFCTLVGFGADAICPYLAIEAIWCLQTDGKIPPTDSKEELVEKYFYASIYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIHKCFEGTPSRIEGATFEMLARDALRLHELAFPSRAPPPGSADAKALPNPGDYHWRKNGEVHLNDPLAMAKLQEAAKVNSREAYKEYSKRIQELNKACNLRGMLKFIDSTSKISLDEVEPASEIVKRFCTGAMSYGSISLEAHTALAVAMNKLGGKSNTGEGGEQPSRMEPLPDGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPRARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMAQLGLRTINEMVGRSDMLEVDPEVVKNNEKLENIDLSLILKPAAEIRPGAAQYCVEKQDHGLDMALDNKLIALSRAALEKEVRVFIETPIKNTNRAVGTTLSHEVTKRYHMKGLDPGTIHVKLTGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSTFSAEDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGARTVVEGIGDHGCEYMTGGIVVILGKTGRNFAAGMSGGIAYVYDVDGTFSARCNNELVDLYHVEEEDDITTLKMMIEQHRLHTESVLAKDLLSKFDSLLPKFVKVYPRDYKRVLEEMKAEKAAAKPTKTPKVANGVSVTTKKIQTEKSSSRPTRVANAKKYRGFVTYEREGVSYRDPNERLKDWNEVAIESVPGPLLNTQSARCMDCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECSIIDKGFEEGWMVPRPPLQRTGKKIAIVGSGPAGLAAADQLNKMGHFVTVFERSDRIGGLMMYGVPNMKTDKIGVVQRRVNLMAEEGVTFVVNANVGSDPLYSIERLRSENNAVILACGATKPRDLSIPGRELAGVHFAMEFLHANTKSLLDSNLEDGRYISAQGKKVVVIGGGDTGTDCIGTSVRHGCSSIVNLELLTKPPSKRAADNPWPQWPRVFRVDYGHQEAATKFGNDPRTYEVLTKRFIGDENGKLKALEVVRVKWEKVDGRFQFKEIEGSQEIIEADLVLLAMGFLGPEENIADKLGLEKDNRSNFKAQFGHFATSVDGVFAAGDCRRGQSLVVWAITEGREAAASVDKYLSRDEQNAAEGVTGSGAGLVQPIAA >SECCE1Rv1G0056460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:687051257:687060367:-1 gene:SECCE1Rv1G0056460 transcript:SECCE1Rv1G0056460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin Putative 5 [Source:Projected from Arabidopsis thaliana (AT1G79830) UniProtKB/TrEMBL;Acc:F4HQB9] MAWWSDKLSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDAEEGSGSRTSNSDGIGFFNPVMAFMGHNGEETSTEVSEKQPSPKHSPALEENHRVATEQPTSEADASQVPVTTQSPKQPSELQENVSSSAESPVSKADGSEQSATPHSPTHSSEAEEKHDGSTEAPASEGGTSEVSETAQSSTHPSVAEETYDGSVETSNSVEKETQGHQDTEYSDPHDEPLPSQPGQSVRDMPVGRTSSPDKLDQSSVMGTEESMDAGKEDTDDGNTLPSQPADSMLASSDDVNEAKVVQEFDVQKEIISPPESSDIADEATHGEVKVHDGETNTAENGEEKDQTEAHAVSVVENEDNATAKLENLSSKSIIVDNDPDLQNELVPASAYTPVGPVEVDSHANDSRKEGKIQDSVTTTNSLESVGSVVELEKLKRDMKMMEAALQGAARQSQSKADEIARLMNENEQLKSMINELKDNKSVEEEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIITQVMAEGEELSRKQGAQEATIRKLRAQIREFEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAESRVNTEAKVELESRLREACEKENMLINTIEELRNALTRQEQEAAFREERLKRDHDDLQKRYQASELRYNELVTQVPESTRPLLRQIEAMQESAARREEAWAGVERTLNSRLQEAEAKAAASEEKERSISERLSQNLSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAALQEGRAKQLEEEIKELRARHKKELQDAAEHRGLLETDLEREKAARAELEKTSSRDLPKIPLPDQTKNAPQRKLSSVSSMEESHFLQASLDLSDSASLERRLSADSNMSYYLRSMTPSAFESALRQKDGELASYMSRLASLESIRNSLAEELVKLTEQCEKLRNEAAALPGLKAELEALKQRHFHALELMGERDEELEELRNDIVDLKDMYREQVDLLVSQLQTLGARV >SECCE2Rv1G0070520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:35769701:35770177:1 gene:SECCE2Rv1G0070520 transcript:SECCE2Rv1G0070520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHASSKSNREVVESLYASLTCGDAATVTVLLVADLDWWFHGPRRCQHMRHILTGEAVGAMAFRFTPTRVVEVGCTTEGKDGWVVAEGWAGVGERDYWVHAWCLHAGVITTFREYFNTSVTVRELGRPAKEDIVWAVWESQSPKPKGRSMPGLVLAI >SECCE6Rv1G0450410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:867740375:867741712:-1 gene:SECCE6Rv1G0450410 transcript:SECCE6Rv1G0450410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARDLRVAVSALLLLLLLSAGVLAARAQNEIDDESEFSYICGSENGPENWGKIKEEWATCGTGHMQSPIDLSDHHTSQAPNLGYLNHSYLPAEASIVNRGHDIMVKFNGDAGSLWINGTAYHLRQVHWHSPSEHRVNGRRHSLELHMVHLSPENKTAVISILYKIGRRDHFLHDLEPYLKGMADTKENEVKVGMVDPWDARGDGEAYYRYMGSLTTPACDEGVIWSVIKRVSTVSSHQLKLLTNAVHDGFEMNARPLQKVNGRDISSFSPDDHHERYYAAADQ >SECCEUnv1G0549860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:209413376:209415760:1 gene:SECCEUnv1G0549860 transcript:SECCEUnv1G0549860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSKVEVNLRRLLEAAPRQQNEAKLVHYITTARELLEQLGAEITPEGISSVSKAKLSEYSEKIEALAATLASLVPENEILVDESREQDSSYEREKIGSPISLSSGLRRRSTAQMEVGPSSHERKERETGAPIKLDAEAQAHIEKHRKLQEDLTDEMVDLARQLKESSLLMNQSVQDTEKILDSTERAVEHSLASTGRATVRASEVYSLASKTTCFQWLLIFLMTCMFVMVVLLIRIT >SECCE4Rv1G0296320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:902669048:902671609:-1 gene:SECCE4Rv1G0296320 transcript:SECCE4Rv1G0296320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRQLGGEIRSSLLTLGHLKQLELSYNDFGGQPIPEFIGALGCGRLTHLDLSYSNFGGRIPPHLGNLSNLVSLKLNYMAHGSYSPDLTWLSRLRKLQVLIITEVDLSAAVHWTHAINMLPSLIDLDLSSCTLHNTMPPPSHSNLTSLETLRLDGNSFNTSLGAKNLVWDLPSLQVLDLSNSGIDGPIPDAAGNLTSIQHLLLYSNNFTGTLPLTFKELKTLEQLFLQDNFINMDVAELLHRLPSDALKELVMDNNNLTGSLPARLCHFNSLNTLFLDSNELSGEIPVGIRELKSLSRLSLSSNNLHGTITEDHFKNLTTLEFLLISENSLTVKINSTWKPPFNLTSAGLRSCIVGPQFPDCLNQPTITYLDVSNTSIHDNIPAWIANSNTRYMDLSRNRLFGMLPTFSQLTQLEVLDVSSNHIIGPIPPLTNSLRFLDLSINNLSGTLPSDIGASNLQVLLLFDNSFSGTIPCPLFQLQYLVFLDLSKNLLNGTLTKCYQGLQTSNTTLVNLNNNRLSGAFPSFLQSCRELQFLDLAYNKFTGSIPTWIGSKLPQLALLRLRSNMFSGGIPNQLTMNKGLQFLDIACNNISGSIPHSLENLIAMTLTSNNGGGLFKLTDYKILSVAAYAHAYTDSLLVNIKGQQLAYTKDIVYMVNIDFSCNSLTRQIPQEIGKLVALKNINFSWNSLTGIIPQCIGELHALESFDLSHNELSGEIPTSLSSLTSLSHLNLSYNDLTGTIPSGNQLRTLEDQASIYIGNPGLCGPPLTRNCSRADIIPYAPQEHDEGMSDVVSLYLGMCLGFVLGLWVVFCGLLFKRKWRVCWFSFTDHIYDRAYVQVVMGWTLLARKIHQG >SECCE7Rv1G0525480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:890522152:890522436:1 gene:SECCE7Rv1G0525480 transcript:SECCE7Rv1G0525480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEILQFVMAVDCYPNVSVAYRILLTVPVTVASAERSFSKLKLLKNYLRSTMLQDRLNGLAMCCIEKDILDNVDLDCALNDFASRNARRNFF >SECCE7Rv1G0482440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:305363656:305365575:1 gene:SECCE7Rv1G0482440 transcript:SECCE7Rv1G0482440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGLLMDQGMAYPGVHNFVDLLQQNGAEKNLGFGSLMPQTSSGDQCVMGEGDLVDPPTDNFPDAGEDDSDDDVDDIEELERRMWRDRMKLKRLKELQQSRGKEQAAGGGGVGDGLKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRAWWKEKVRFDRNGPAAIAKYQADNAVPGSESELASGTASPHSLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGISPPWWPSGDEEWWPELGIPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEISTWLAVVKQEEELFMRLHPGARPPASAGGIASAISFNASSSEYDVDVADDCKGDEAGTHKMAMADPSAFNLGAAILNDKFLMQAPMKEETGDMEYVQKRSAVAAEPELMLNNRVYTCNNVQCPHSDYGYGFLDRNARHSHQYTCKYNDPLPPSAENKAAPPAPQVFPAAYNQQNHGLNNMDFGLPMDGQRSIAELMNMYDTAFPANKNMGNDEVTIIERPNAITPVTQMDEGFFGQGNADSIFSDVSNMMQQQPQQPQQQQPQQPQQQFFIRDEAQFGNQMGGISGASDFRFGSGFNMSGTVDYPQKNDGPNWYY >SECCE2Rv1G0102820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:584041412:584043282:1 gene:SECCE2Rv1G0102820 transcript:SECCE2Rv1G0102820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRSSSAAAAHRFFRISRSTLSTLPYASRPAAVEPFSRSITAISGGDNAFSWNFRRLLSSNEKHMPAMSDPQIESALKDLMAASWGELPHSLVEEAKKALSKSTDDAAGQEALKNVFRAAEACEEFGGVLVTLRMALDDLCGLTGENVGPLPDYVEDAVKSTYNRYTTYLESFGPDEHFLRKKVENELGTKMIHLKMRCSGIGSEWGKLLLFTGAYIVLSYS >SECCE3Rv1G0209200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:937163674:937165866:-1 gene:SECCE3Rv1G0209200 transcript:SECCE3Rv1G0209200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPRAMLALAAALALLLSCSDVVVRGQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTMNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAVQFISSHWPYWNRTAGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSINIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHSKSVYLTPGQKALNWTQGPKGDLKPW >SECCE5Rv1G0334310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565179278:565180611:1 gene:SECCE5Rv1G0334310 transcript:SECCE5Rv1G0334310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTETKAGVGFQAGVKDSKLTYYTPEYETKDTDILAAFRVSPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVAGEDNQWIYYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALLERDKLNKYGHPLLGCTIKPKLGLSAKNYGRACYECLRGGLDFTKDDENRPFVFCAEAIYKSRAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTRGFTANTTLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHSGTVVGKLEGECEMTLGFVDLLRDDFIEKDRARGIFFTQDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNECHSRGIDHYHT >SECCE3Rv1G0209140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936548636:936552561:-1 gene:SECCE3Rv1G0209140 transcript:SECCE3Rv1G0209140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 27 [Source:Projected from Arabidopsis thaliana (AT5G50870) UniProtKB/TrEMBL;Acc:F4KAG5] MVDVSRVQKELTECNRDSDISGVSIALHDGGSSITHLTGTIAGPRDSPYEGGTFRIDIRLPGGYPFEPPKMQFITKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSAPAPDDPQDAVVAQQYLRDKATFVSTARYWTEAFAKSDSTGMEEKVQKLVEMGFPEDMVRSALMSVNGDENMALEKLCSG >SECCE5Rv1G0361980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782121765:782122844:1 gene:SECCE5Rv1G0361980 transcript:SECCE5Rv1G0361980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAEANIAGFLSEQDSGYFRYVAPCTFYGSPLPKEEQGEEKKEKKKKPPQFMLVFMCIPVSPGKSRVIWAFPRNVGVWLDKVIPRWYYHIGQNAILDSDIYLLHIEERNFAAVGVENWQKAVYVPTSSDNMVIAFRNWFRKHCKSQVGWAAPTIGQLPETPTKDKLMERYWSHVAQCRSCSTALKNMKALEVALQVASVAVIGFLAVAKGTLVTSVVQRAAVVSLAVLCFAASRWLASFIEKNFYFQDYVHAYK >SECCE1Rv1G0037970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:533486594:533490291:-1 gene:SECCE1Rv1G0037970 transcript:SECCE1Rv1G0037970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAMDERIPPAPFFQYSPSGVHSSPHHHNPMRSSASERERYIAELLAERQKLVPFLQVLPFCNRLLNQEILRASSLPPNPNFVEPERFDYGSPLRLAGHPMNGQPMDMEGWTGMHAEHMGIHHSPSMGWNGGPGVVGGPVVKKLVRMDVPAEKYPNFNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDTVKENKLRDKPGYEHLNEPLHVLIEAEFPADIVDARLNQAVVILEDLLKPVDESMDYFKKQQLRELAILNGTLREESPSPSPHLSPSVSPFNSTGMKRAKTGR >SECCE4Rv1G0294770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:892448456:892450068:-1 gene:SECCE4Rv1G0294770 transcript:SECCE4Rv1G0294770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERKPPAVSSAAVWKVLDDDNLLIEILLRLGFPTTLVRAALVCRRWHHHVSDPAFLRHFCKLHPPRPIGFYRGAGFFPMPLPYPPELADVIHRVASYALYGGMLDDGIWIKDFRDGHVFTKGIGARGVTLRVHRPLCTKRRMSIVPPFPQRDNIYSIDDTRDAVLSKEEGNTLSYLYVSMEYTMRTKYFNVRVYVLRDDVWRMHTLAMTKISSPQYCGKPMLANNKIYFFVNDKSDWSGVLLLDLSTTSFSTIQFPQGVKYDSLNTKLSRADDASSVYLIELKEFQLRIWLCKGDNWSLLDTICLQDMVANLRVPDRIIDDEQNPPIWIREVGDNAEFMFLMMGECLLYLDTKCRTLRIWSEYAPSDPIHPFMMIWPPKFPVLKDDPTRMVTFL >SECCE5Rv1G0320140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:382161142:382164303:-1 gene:SECCE5Rv1G0320140 transcript:SECCE5Rv1G0320140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDDLAALREQTALASSAAVSVSDLDLAYQLQLAEAIQASLRLDALSSNPSSSKGKAPIAAAAGSSSSSSSRSQPAPAPLEPSDASYALAVHAADLARAEEDHRYAEACRAYHARAAASACVAAHDAIFARELAAVPEDQWAHDGDNIERPLDSTKPLFRVMFKGMASKEVVGSRDWDPRVAILAVALCDSQGKVVLRIQKPVEGFVGGRMMLEAMALTEGLQAALGLGIQSIRILNDYKALHNHMRGIWRPKQAKIAEMIDQVLSVAKKFKQCEISLVERGKLDYVMKLARDSILSQIAKAVAVNASKEKRETCAICLEDTDVSKIHVVEGCAHRFCFCCMKEHVKVKLLHGTLPACPQDGCTTKLTVEGSKIFLSPRLLDIMVQRIREGQIPATQKIYCPYPKCSALMSLSEMKHPLQESSSKYTIADAATLRKCVKCRGSFCISCKVPWHDRMTCYDYKRRYPQARPDDAKLQNLARQQLWRQCVKCKHMIELSEGCYHMTCVCGYEFCYTCGKEWKDKKATCSCPLWDEDNIIRDGMEESDDDDDYDEDDDDDYYDYYAGEGQHYDQHNAGHHHGGGAQNFYDYNNNPGHHQPRHGGGGARNFYNHN >SECCE7Rv1G0497610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:627865085:627867663:1 gene:SECCE7Rv1G0497610 transcript:SECCE7Rv1G0497610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAAPPAAPQPCDHARRPGSALRPPPRALSGRRRCCQVIRATASSRGRPAATGSGQLESTALGASAGGEEGDVIRRLQNGPDVRGVALEGEKGRAVDLTPLAVEVIGESFGEWLRDQRREREGEEGEQLRVSVGRDPRLSGSRLSAVLFAGLAKAGCAVFDMGLATTPACFMSTILPRFNYDASIMMTASHLPYTRNGLKFFTKRGGLSSVEVEGICDRAAHKYVARKMGLGGGGLGMPLVVMRVDLMSAYAQHLRDIIKERVAHPTHYDTPLEGFKVIVNAGNGCGGFFAWDVLEKLGADTTGSLHLEPDGMFPNHMPNPEDAKAMSLTRGAVLAHGADLGVVFDTDVDRSGVVDDAGGAINGDRLIALISAIVLAEHPGTTVVTDARAGDGLTRFIEARGGRHCLYRVGYRNVIDKGAQLNADGVDTQVMMETTGHGALRENHFLDDGAYMVVKIIIEMVRMRLAGLEGGVGGLIRDLEEPAESVLLRMDIVGEPRSAKQRGVEAVEAFKKYIEEGKLSGWVLDDCGDCSVDQGCLVDNNDHPIDVDAYMYRAKFYDESQRPLGWAHIRQSVHNPNIALNLQSCVPGGCRSMAVDLFERFLLTSGVNEFVDTCEVEKFVK >SECCE7Rv1G0508070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:768541371:768542423:1 gene:SECCE7Rv1G0508070 transcript:SECCE7Rv1G0508070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPRRTVVCCVLPLLLLLAGAARAAGNFYQDVDITWGDGRGKILGGGDVLTLSLDRASGSGFQSKNQFLYGRFDMQIKLVPGDSAGTVATFYLSSQGSAHDEIDFEFLGNASGEPYTVHTNVYSQGKGGREQQFRMWFDPTADFHTYSVVWNPTHILFYVDGTPIREHRNREAATGVAYPRSQAMRVYASVWDAEEWATQGGRVRTDWSRAPFVASYKGLAASGCASQDAAACARSNGAWMYQELDAMALDRLQWVQKNYMIYNYCSDTWRFKDGAPPECATK >SECCEUnv1G0556750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:314278715:314279923:-1 gene:SECCEUnv1G0556750 transcript:SECCEUnv1G0556750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDKRGELPPSEYTAGELPRDALYDILLRLPAKDVCRLRAVSPSWRALTSDPLFVKAHAARHTGPLLATTFVDGESCGVSIVDLLSGDVIKRIRTSDPNLRVQRTRLDRVCLVGGRHPLGVPVTLLNPATGAVISSPHDISMKYAGLLKTRKVHMDSCIFGKVPSTGVYKAFRFLEVHPLISRQQLCEVMTLRGSTRWRGRPGPPGPVFSDHTMKSAVIDGVVYFLMDFSNMYEEYWKVTFKPASIAAFNLETEEWMPPIDGPEQVSSLYDEEDVLPVFKDPRAVGILSITNLNGSLVAAQVHHSLPQSMDLWFLMDLEKGLWVKKYSIGYYRREDLFSYPLLVLDDERIVFVMQLTGLLQVYDPKTETYTDLWQLEDFKSMCIYTGNLLSQEAGFHC >SECCE3Rv1G0161170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:113604413:113605567:1 gene:SECCE3Rv1G0161170 transcript:SECCE3Rv1G0161170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSEGVSVPPWGNHLQMSGVDAGGGGGGDETPFLFAALRQYLPCNDVLGGAGAGAEDEDDAAAMAAAVDAYACDEFRMYDFKVRRCARGRSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDACEYAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRAMPSQHSSPRSTPLSPLAESYDGSPLRRQAFESYLSKSIMSSSPTSTLLSSPPKSPPSESPPLSPDGAASFRRGSWPGVGSPVNDVLASLRQLRLAKAQASPSGGWSGYPTSPAAYGSPKSAGLYSLPTTPMGPQTSVSSFMPNLEPLNLRFLDDEEPVQRVESGRALREKVFERLSRDGAAPRSCEVGAGAGGPDVGWVSDLIN >SECCE3Rv1G0191640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:749982305:749985525:1 gene:SECCE3Rv1G0191640 transcript:SECCE3Rv1G0191640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGKHLETGRADRSVWLMKCPTIVSRAWQEAAAAASDAGGPNPNPNPVVAKVILSFDPLSTDEDPNQFKMEMAQTDNGNTPKNYSLNMFKDFVPMCVFSESNQGKLACEGKVEHKFDMEPHKENLSDYAKLCRERTKNSMIKTRKVHVLDKEHVNVRTMISMIGGGPHSGPKDKKKPAPTRTPDVKRTRRDRGDIENILFKLFERQPNWSLRHLMQETDQPEQFLKEIMNDLCVYNKRGPNQGTHELKPEYKKSVEDTSAT >SECCE1Rv1G0020220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:255190227:255190514:1 gene:SECCE1Rv1G0020220 transcript:SECCE1Rv1G0020220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVIPVASGGIHVWHIPALTEIFGDDSVLQFGGGTLANRVALEACVQARNEGRDLAHEGNEIIRAACKWSPELAATCQVWKAIKFEFEPVDTID >SECCE4Rv1G0255460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:646467697:646468864:-1 gene:SECCE4Rv1G0255460 transcript:SECCE4Rv1G0255460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRQLGGADVDLYGVLGLKKECSDADLRLAYRRLAMTWHPDRCSASGSSARVEEAKERFQEIQSAYSVLSDSGKRLLYDVGVYDSDDDGRHQQDVSGMGDFFGEMAEMMSQAKPTESFEELQQLFVDMFQADLAAGGFACGFGGAPPMGRRVQAPSPSCTSGPTSAQARPSCTIGANKRCSSAMGSGTPPRACRPGSASGTGLSGSSSKDWRRGEEAPWTTTQEDASIGGRRKKQRLSTGHGESC >SECCE4Rv1G0236400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:349159808:349180404:-1 gene:SECCE4Rv1G0236400 transcript:SECCE4Rv1G0236400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIMKLLEDDEEDESMHSGADVEAFTAALNREVEGSASSSAAAAPSFQPLDHAAGTLPQKSNSVVDNSHGQWQGSVKNDTGNQESQQQEEKHLYCKKEQSSGPEAVPIGADNKQLHSNTQIECFQLKVKREPGNNAQQSTVGPQQPMQQIKSQQPPGTNQTISSPAMVGKPPVVTFHMLIPILRRYLDKDRDIQVQSIFAKLRKNEVSKEHFLKVIRTIVGDKLLKQAASQYQMQAAQAQQNPPANPSNYSMSSQVPGQQNESPRPPQFRPSSSGQMQSNMGYAGSEGNLQKPNETGNMSDRKGLQMLQSRPPNIQSIPLQATQDHVQRPQTFLPALGVNNIHSRQFPQSVGGPIVPLRPQMIDYSQRGQSVQGGVASVLGSVATRPALQNNQPSQQQPNKEQKTNSFTPTVHMNKETANQPSESAQSSFAALNAKQVNLGLLSSKVGGVLENQSSTLATPKSLTTTSSSQPHPSYGTPAELDMQIQPSTQAPPGAASKTPQKKPSAGQKKPLEAIGSSPPPSGKKQKGSGGFHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARKVVQLEEERLILQKGPLTKKLAEIMRKYNLKSIGCDVERCLSMCVEERLRGFISNTIRLSKQRVDVEKSRHHYYPLSSDVRSHILRVNREAREQWDRKLAADANRIRKQSDGDDNSVVSSEKDKAESRGTSKHAKTYKEEDDKMRTTAANAAVRVAAGGDDMLSKWQLLAEKNKLRGEGGDGSSDSLPGTMSPHKLSPKAGKGSREQQEIEKRGHFSMLGPGGGVRRSAHMKVARSISVKDVVAALEREHQMSKSSLLFRLHGRQPTEPASK >SECCE5Rv1G0318540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:362806926:362809436:1 gene:SECCE5Rv1G0318540 transcript:SECCE5Rv1G0318540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADHQHGHIDKEKEKELLSSVVGDIRCYSGSDPLRPWLRGIRRLEGSLPPATLREKLPRFLQKCAEEFQDEPRYRDDPRYLRVWIQMMDYVKDAKPLLKKLERNRIGLKRAAFYMAYAIYYEKRRRFHDAEQMYRVGIQNLAEPIEELHKSHDQFIYRMDLYKKRKDKEGMPIRVKPLPRCANQVDGQSRNYTELKSNPVQKLGSSSNSSLCHYPPLGHAKDGVPSRGTSGDNKNLSRCNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINLKEAMDDINSMFLEPVEPETMLKKRSKRDQPKFNQQASALHIFVDEEQLNSSDSNILHGKNTKSVHPKFSQQTSAFEIFVDEDCPNATNQNVGQNRKSNKENNQQTSGFEIFVDENEPKGNDQNVMCHKNTRCPRPLHDSARQQGTADFQKPFVGGFEILADDEDEQCANNDDGVWINSRSMHPHNKLSMLHAEQADKETRHGEGENPLIFGLRDDTIIRRFVGSAVVDEPKVENACHHGLVDPTINLKEAMSDINNMFGKPLNFQDGKKPNRKTNAVSERKAATVSGFSILADDDISKDPAAKDKPSNSCKFGSESGLFEPTITTRDVMSEINDMFGMSLDF >SECCE7Rv1G0457750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18657322:18664789:1 gene:SECCE7Rv1G0457750 transcript:SECCE7Rv1G0457750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHWLCCKGRRGEEDDGHEMEHSKTQGNKIDGKQKSSKPADQPEPDISPPTIDVPELSFEDLKEKTDNFGSSSLIGEGSYGRVYHATMDDGRQAAIKKFDASENEPNDEFLKQVSLVSRLRHENLVEMLGYYVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVKIAIEAAKGIEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLGAVAALCVQYEAEFRPNMSIVVKALSPLLQQRAAAPAASELAPAPGA >SECCE4Rv1G0283730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:836235537:836236648:-1 gene:SECCE4Rv1G0283730 transcript:SECCE4Rv1G0283730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWSRRVAPPPIMLNEQGIVNHVTENNKMKVCGSRRFGNDELYIGHVPSIAGIGFPTTLVVKKFQNMNPALQVDDNVKNRCKSEMILLASIAHHNIIKILDVSERDEAIMLVYKYEVNCNLDYWLHPQDGADRLLSWPKRMAVAIGMVKGLSHLHHGCNKPIVHHNINSNNILLGQKFKAMTASFGVAQMNMAGLERRLPITNPPPGSFGYAAPEYCNAACQLTEKVDIYSFGVVLLELVTGRVASGAGPNGLLATWARRNCNELMANHLERFKTEVDQGIPDQARYMKEMTTVFRLGVDCTVEDPHKRPSMQLALKRLRRGRGHGPLCGLFTSYLM >SECCE3Rv1G0184220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:660678007:660694281:-1 gene:SECCE3Rv1G0184220 transcript:SECCE3Rv1G0184220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69830) UniProtKB/Swiss-Prot;Acc:Q94A41] MSAVSWSIPAIPRAVPPPRAGPPGEAFLVAARPRAARSRAAPRRVRLARGGVVVARAGSAEVPVADSGEPAVVFSEKFPLRRCQTVEGKAWVRVEAEPDADGKYKIVIGCDVEGKWLLHWGVSYDGETGSEWDQPPSEIRPPGSVPIKDSAIETPLETSPNSEGHILHEVQIKFDKDTPIAAINFVLKEEGTGAWFQHKGGDFRIPLSGSLEDGDPLGAKQGIDVYPGAKPEGSDAQLKEAVPGDKGPSTKCISEFYEEYPILKSEYFEHFVSVAVTENSETDKSLVEFYTDITGDVIIHWGVCKGNTMTWEIPPEPHPPNTKIFRQKALQTLLEQKTDGTGNAISFLLDADYSGLTFVLKLNEHTWLRNLENGFDFYIPLTRVEQFGSTQEPHKAGEHKLDDKSAQTDGLISDIRNLVVGLSSRRGQRAKNKVLQEDILQEIERLAAEAYSIFRSPTIDAIEDPVYIDDPESVKPACSGTGSGFEIVCQGFNWESHKSGKWYVELGTKAKELSSLGFTIVWSPPPTDSVSPEGYMPRDLYNLNSRYGTMAELKQLVDIFHENGVKVLGDAVLNHRCAQLQNQNGIWNIFGGRMNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRNDIKEWLRWMRKEVGYDGWRLDFARGFWGGYVKDYMEATEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHMALERSEYWRLSDEKGNPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPYGMEMQGYAYILTHPGTPAVFYDHIFSHLQQDIAKLMSIRRRLKIQCRSKIKILKAEQNLYAAEINENLLMKIGAGHFEPTGPVNWIVAAEGHDYKIWEAAS >SECCE4Rv1G0279940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:817868687:817871367:1 gene:SECCE4Rv1G0279940 transcript:SECCE4Rv1G0279940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLEFQRMRVTLAIGVVGLCATAYILGAWQGTSSAIKAAPRPVYAKTQCDNAASRAPSNASDAPSVASVTSSGARLDFQAHHRVAFNESSLATEMIPPCQLKYSEYTPCHDPRRARKFPKAMMQYRERHCPTKEQLFRCLIPAPPNYKNPFTWPQSRDYAWYDNIPHRELSIEKAVQNWIQVEGDRFRFPGGGTMFPHGADAYIDDIDALIPLTDGNIRTALDTGCGVASWGAFLLKRGIITMSFAPRDSHEAQVQFALERGVPAMIGVMATDRIPYPARAFDMAHCSRCLIPWNKLDGMYLVEVDRVLRPGGYWILSGPPIRWKKHYKGWQRTEEDLKQEQDEIEDLAKRLCWKKVVEKDDLAVWQKPINHMECANNRNADETPQFCNSSDVDSAWYKKMETCISPLPEVQTEEEVAGGALEKWPERASAVPPRITRGSVSGITPEKFEEDNKLWAERAAHYKKLIPPLAKGRYRNVMDMDAGMGGFAAALMEYPLWVMNVVPSGSAADALGVIYERGFIGTYHDWCEAFSTYPRTYDLIHANRVFSFYEDRCDITYILLEMDRILRPEGTVIFRDTVEMLVKIQAITDGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEPAQKQQ >SECCE4Rv1G0268840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:745092795:745094828:1 gene:SECCE4Rv1G0268840 transcript:SECCE4Rv1G0268840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLLLILLLLSRDGEVASSQLDDAQFAYKGFAGAGLTLDGLAAVMPGGLLALTNFTQQTKAHAFHPAPLRFLGGSASTTNATAARSFSTSFIFAIVSGYDGLSDHGLAFVVAPTTNFTNANSGQYLGLLNATNGMASAPILAVELDTILSPEFRDINSNHVGIDVNSLVSRQAQPAGYYGDDGAFRELRLNSRNPMQVWVDYDGQSEQLEVTLAPVQVPKPRRPLLSEAIDLSTLMADAMYVGFSASSGVISGHHYLLGWSFSLDGPAPPLDFSKLPALPRLGPKPRSKVLAIVLPLASALLVAAALAAVFFFLWRRRRFAEVREGWEDEFGPHRYAYKDLHRATDGFTERNLLGVGGFGRVYKGLLSEPNLEIAVKRVSHESRQGLREFVAEVASIGRLRHRNLVQLLGYCRRKDELILVYDYMSNGSLDKYLHDPNMPAISWPERFSIIKGVASGVLYLHEDWEKVVIHRDIKASNVLLDEQMNGCLGDFGLARLYDHGTISQTTHVVGTMGYLAPELVRTGKATPLTDVFAFGVFLLEVACARRPIERGVRNDPVVMIDWVLEHHRSGSLLEAVDPRLAEKFDMEEVSLVLQLGLLCSHPLPDARPSMRKVTQYLDRGQSVPDLSPTYMSYSMLVLMENEGFDSYVMSYPPSATSNSTVSYGSSATVLAEGR >SECCE1Rv1G0010930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:67443293:67446052:-1 gene:SECCE1Rv1G0010930 transcript:SECCE1Rv1G0010930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRPGRPSLRPAAADVLRCLVLAGAMAVVAAGANADAAPAILDTVCGSRQAADPESFDVSFVNTLELIYQNVTRSGFGAASSGSGNFSVFGLGQCLAYLSPTDCQLCYAQSRVKLPHCLPADGGRIYVDGCFLRYGAGNFTAAATDTSDTFVCSNDTAPATPAFAVAAAALVRNVTASAPGARGYYYAGSASASAMPTGARVYAAAQCWRSLNATACAACTASARDRVVRQCLPGAAEGYGLNAGCVVRYSTQPFYLPAKAGGGGGSSTRHIIIIVFASVLSAMAVIGIVFIWTRMRPRRDDLHDDMDGSGEIIRTIMSSQLGFRYEELRKATDDFNQINKLGQGGYGSVYKGVLPDGREIAVKRLYLNTRQWTDQFFNEVKLVSQVQHKNLVKLLGCSVEGPESLLVYEYLCNTSLDHYLFDAFKKNALDWERRSEIVLGAAEGLSYLHSGSEVRIIHRDIKASNVMLDERFRPKIGDFGLARNFMEDQTHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKNHNSVASSAEGLSLMSQLWKHYNAGTLMELLDPNLRDQCSEAEALKVFQVGLLCAQASPNLRPPMWKVVEMLGSGDRVLPKPTEPPFINVKGSNAKSESSGSSMSLMSNSDKSPFSTNQLSVSGVQAR >SECCE1Rv1G0011400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:76348412:76350163:-1 gene:SECCE1Rv1G0011400 transcript:SECCE1Rv1G0011400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGPLRIWVVLVVAVCTKLCCASELTWPLGTAPEDKYPTERLSTVGLSPKIYGKFIAALRDRLRGPEDRYEIPLLRIPANVEMSQRFVLVELSNYGEMTVTLALDVINAYVVGYRAGGQSIFLRPDNTDAADAILNLFQETQRSTLSFTGSYGDLQRAADRSRENLDLGPSALDQSISAMYRYANPLSGADEDQGVLARAFLVVIQMVSEAARFRFIERLMGSRIRLNEDSAPHASITELENNWGALSGAIQESNQGMFARPIRLRNIDDSIVEVDNVANMARVISLMIFVCNPTSSSQLSGPDHLLVLKHVGPDTCPPIPEPTRQIVGPGGLCVDVRNGDYSNGNPIQLWPCRASTNENQLWTFKRDGTIRSKGKCMAANYGTPTGNYIMIYDCRPAVDVFTWIVWVNGTIPSGAVAGGLVLAAGSSASGTTLSIQENRYTPGQSWRPTSSTAAAAPPAAGPIVGILGLCLQANGAGDVQLRGCIGTAADQAWALYPDGSIRPQQRRTSCLAPPRVDLPLRVVNCDPNSSLQRWLFANDGTIVNPLSQLVIDAKGSASAGFQIILSGFSGEASQLWQPFII >SECCE5Rv1G0375540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868604977:868606272:1 gene:SECCE5Rv1G0375540 transcript:SECCE5Rv1G0375540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELLAAAPPAKRPSISSTSTTTHVTAADGTTTISSLGQDQLLDIFLRLPNLPAVVRAALTCRPWLGAVRSSRSFRRLFRALHPAPLIGLFIDIDGAAAPSFVPLRRSDPDVIAAVRRGDFLLTSLPVNDDEDTSWCITDCRHGYVLLWNKIVWKNPTIAAVNPMTWAMDIIPVPRDVWSGRSGRRRNFAALGFHLLSSEENPRSFRVVCVCSDKQRVRVAVFSPETRDWAVHPWVHVGGDNSLKSSAGTLVSGSVYWPFHGEGRMIRINTATMDVSFVDLPLQMKVEGSNFRAGETKDGQLCIVYASDDFLLHAWIRSLDGDGLEVWVLQNIISLSEEIDEIIEGCVLDLPVDLNVVQVRPGYVYLSAKCMTHPGTLRCWFISLSLETMDVELLVDGCFGGRACPYVMAWPPCLVGDDGSIGHEVEGSH >SECCE4Rv1G0271930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:764657753:764658304:1 gene:SECCE4Rv1G0271930 transcript:SECCE4Rv1G0271930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPAFIYPRCRAGVDRRVSLTTRNQNRPFYVCNENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTAVAATRAPEEEMEGKAHTDSELVVELRMLKKKVRKLEDQAQIALPICNYFWVVVGIVIALVVMLKMYEKA >SECCE2Rv1G0104770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:611420003:611426973:-1 gene:SECCE2Rv1G0104770 transcript:SECCE2Rv1G0104770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRRFSPPLHLPWLCICCLLLSPAASSPVAVTRLPGFDGPLPFSLETGYVEVDESTGVHLFYYFVESEKDPARDPLVLWLQGGPGCSGLSGLLFEIGPFRFDVEGYRGGFPTLLYRPETWTKVSNVIFVDSPIGSGFSYATSEEGLISSDTMAVKKLVIFLKKWLHEHPQFLSNPLYVGGESYCGIIIPTLALEIHISNKESGEEPLLNLNGYFAGNPVTDDRFDTAGKIQFFHGMGMLSDELYEFAKENCGGNYSNPSNALCAESIQAIEDCTKDINLSHILEPKCEAVWSPRIQQATARNGTSELTVGYPAGDDLLFPFKCRSDAQQLSYIWANDEGVRESLGIRKGTKGEWKRCERDLPYTRDVTSAVEIHLRLRREGYPALIYSGDHDSKVPFVATQAWIRSLNLSITDDWRPWYVDGQVAGFTRSYSTDLTYATVKGAGHTAPEFKPKECLEMLARWLSGDPL >SECCE5Rv1G0350770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:692433327:692433638:1 gene:SECCE5Rv1G0350770 transcript:SECCE5Rv1G0350770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE6Rv1G0411610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:608786187:608790753:1 gene:SECCE6Rv1G0411610 transcript:SECCE6Rv1G0411610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSAATSPPRDGGSEALTPAQGDMGSGGARRHFFPLTSLQIGDLQSYLAELTIFLCPDTKKFLIFLDNRPWLLDQNTKPAHLWQLMVTKSRFSPFANTRTRRKRDEGGNKLVFSEFPTSAPRVWNQSSRWYTLIDDTMRKKKLQVNKLKDSRLLNRELHRTLYGFIIFEVDWADVRGINYLNELQTDTSMVVEAKIMKRWEFDSVNQASSLITSWFSGNYSECQLLQDYLNSISPKGDVFHDALDDLTPELWDTESVKSDGDDSGDCVRVSSSSTSSSYTPPPCSGPYKRRRITRSDAGSDMSEEPYSEFVTSPRYSSYSSSSCCSDNDNGKPLVEPSTYKDVLILFRFNDHDLPFRLKDVILSDVRLLTLLEYGLPSWVIFLQSYPVFCKIYRPWMCPLARALYVLMSLITVLIGFYDLYKNVPMLKATASRLFGPLFDWIETWEMISRLKYLGTMLFLNNFQQAFTWSLKIVRATKSVFSVLTKPIMGPLLEVLEFTLPLWNLCAETVEYLSSAAMVAMDTSCSAVISTVQMVMWPFWFIFSTMFNIANSILYPVIWFVGEILAAPFRLVVALASFVADFFDDIVDALRQTWSTLSSLYQVGSATRAPALTSETTIWGSLWKDLLYQIFRAVRSIVYGFVAFFSTCNRHRLSIYNHIEVLLRRLSSALNGTRHTSSCEGAQKYTSQDHPQRKTKTR >SECCE1Rv1G0040120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:556876759:556879194:-1 gene:SECCE1Rv1G0040120 transcript:SECCE1Rv1G0040120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPSTSHSDLAHSHARTSQVRQTSSVVRSSIARRRMAVTMPSSVVAELEGTLLRDACTFPYFMLVAFEASGLPRFAALLALWPALRLLELLLGRGGLALRCAAFVATAGVPRAELEAVARAVLPKFMADDVDPAAWAAFGACEGRRVVVTRMPRVMVEWFAREHLGAHDVVGCDLEYSRLRRCTGLLKGGGDEAIADRVRALFRDGDRPDLGLARSEMARSFLPFCKEQLQPPLSVDDVARRPKCPPFRPVIFHDGRLVRRPTALMSLAILLWIPLGVLLAFVRITLGIVLPIQALPYVAPFVGGAVITRGRPPAPTTGASGSPTGVLFVCTHRTLMDPVALSFVLGRRVAAVTYSLSRLSEVLSPIPTVRLTRDRDTDAARMRAELARGDVAVCPEGTTCREPFLLRFSALFAELSDRIVPVATNYRVGLFHPTTARGWKAMDPIFFFMNPRPVYEVTFLNQLPAEATCAAGRSPVDVANYVQRILAATLGFECTTFTRKDKYRVLAGNDGIVGAKPAATATATKPGWQRRVREVLGFLRFTKID >SECCE3Rv1G0157090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:79235870:79239522:-1 gene:SECCE3Rv1G0157090 transcript:SECCE3Rv1G0157090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGIVVAICQHGGEFTSGPNGNLVYKGGEAHAVDVSREMSLDSFKDEVSKVFHVDVTDMSFKYFLPNNNRTLITISCDRDLQRMVDFTASAAQADVFLISRVENRSVVTHTGASTVKAGSNAHGDKRKRTASKKKASKSNKKTASATAIVVQANTNDLNQPTNDINQPTNDLNQPTNDLNQPTNDINQPRALVTLNDYNEDFPLEFGHDVAFPTTAGAVSSAPDDLNHDKLALVDTTQRESIGFFDDAINAYDGSDIIIDPPQELTNNPALFWEDIIKGVGQEFDNVKDFRAQLCKYSIGKGFVYRFIKNETTRVTVKCVADGCTWRLHASESSRNKKFVIKKMTDEHTCGGEGGEGQRRATRQWLTTIIKQKLRENSLLKPKDLVKEIHEEYGVMLTYSQVWRGREVAQKEMFHVQREASGHLPWYGERLMQTNPGSILDLSEFSENRRFIFAFHASLEGFASGCRPLLFLDKVPLKATNEYKLLVAAAVDADDGVFPVAFNVVEDDNYENWVWFLMKLRIALQYHNYPLDAMTFLSNGQKGLDAAVPHAFEGSHHAFCLHHIMEEFKGELKKGPWSQQIRDVMVEDFTRAAQACSIDDFNASIESIRNISTEAADWIIASKPEHWSDAIFRGCRYDHFSSNIVDAFNNWIPTKKEGSMVLMVDSLRTKIMETIEARREACKSWEGPLTPSIEYKAQDEMSKASKLTVLCSSETVFEVRGSGIFVVNLANCECTCRRWQLSGLPCMHVIAVCNRIGRSFYDYCSKFFTTDNYRRTYSATIYPIPDIDTLDFSAAAVVPPPRPRASDKPRRKRLNPNKTTTLIRLCSRCKQVGHNKATCEAAFL >SECCE6Rv1G0431820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:748030358:748031170:1 gene:SECCE6Rv1G0431820 transcript:SECCE6Rv1G0431820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNGNGNGAASSVTASLMLHGRVAIVTGGAGGIGSAVSKHLAALGARVAVAYVGDPAPAKEVVSGINAAHGDGRAIAVEADVSDAAQVRALFDAAAAAFGGELHILVTTAAVLDYSYPALAETSEATYDAAFTVNARGTFLCLREAANRLARDGRGRIVTFSSSGVGSLRPGYAAYAASKAAVETMTRILAKELRGTGITANAVAPGSTATPMFFNGKSEEEAARYIAEAPLGRLGLPEDIAPLVGFLASDAGGWVNAQVLRCNGGTI >SECCE5Rv1G0375100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:866696641:866697228:-1 gene:SECCE5Rv1G0375100 transcript:SECCE5Rv1G0375100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLPLLLVLLLASSAVVARARPGDNIWEHTVVYMHERLTGPNATRLITVPSPLGGDNFGQFGVVDNELRDGPDPLRSSLCGRFQALFALAGLVSPPGMQSAANFLFTAGRFGGSTVTVLGPILDFESTCERSIVGGTGVFRMARGYSFMRLVPEMSAPDEYSVYRLDLFIQVSSDRLPPLPPVDSVGAYNI >SECCE2Rv1G0109240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:673826267:673828053:-1 gene:SECCE2Rv1G0109240 transcript:SECCE2Rv1G0109240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILALGLAAVVLSGAGLASGDPVESVVTDAFFDGIKSKAADEGCPGKSFYTRQFFLDGAQANPDFGQGGTSDDGKREIAAFFAHFTHETALLRYPVMCYIEEKDRASYCDTNYPLWPCTSGKAYYGRGPLQLTWNYNYGAAGQSLQFNGLDNPDKVAQDQALTFQAAFWFWMTNVHQVVPQGFGETTRKVNSGECNGGDAPAMNARANYYVEYCKQFGVDPGNNLTC >SECCE2Rv1G0078950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:113398253:113399326:1 gene:SECCE2Rv1G0078950 transcript:SECCE2Rv1G0078950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSTSQEQDAARAERPRASPPALPCAVQLQVTAFSPLIDTINRRDGTVNRCLYSVADRILRVRASPRPDSSGVRSADFDVDASRGLWARVFSVSSPVAPQAPLPVVVYFHGGGFAMFSARQCYFDRLCRRICRSVGAVVVSVEYRLAPEHPYPAAYDDAVDTLRFIDANGVPGLDEGVRVDLSSCFLAGESAGGNIIHHTANRWAAVTPTPNSVRLAGLLSVQPYFGGEERTESELRLDGVAPIVTLRRADFWWRAFLPEGASRDHPAAHVTDENAELAEAYPPVMVLVGGFDPLQDWQRRYADVLRRKGKTVEVVEFPDGIHAFYLFPDLPDTARAIERMKTFVESNRTSPSAP >SECCE6Rv1G0434050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763555284:763559328:-1 gene:SECCE6Rv1G0434050 transcript:SECCE6Rv1G0434050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGWGKEEKAHDTRSAPTMWGWGEEEEEEAHDTRSSPIMWGDEEETDDEHGARSPPTTWDEKTDDELGDDDEDVPSGSSEDVPSGSSEDFSSGSSEDVPSGSSEDVASGSTEEGEPKYSTVDEVITALREAGLESTNLIFGIDFTKSNDWSGKHSFGGESLHAISRTPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDVSTLDHSVFSFYEDNRPCCGFEEVLDRYKQMIPYLDLSGPTSFAPLIYAAISVVENSNYQHHVLVIIADGQVATSKSYSILSPQEEATIQALIDASFYPLSIVMVGVGDGPWEEMEHVDDCIPARAFDNFQFVNFTDIMSTSKDMAKKEAAFAFTALMEIPTQYKAAQGLQPPEWHEEMADPWMILPPPMEVLERDDAVAASFAPPAIFQSTDVGHDASDEEVCPICLMNPKDMAFQCGHLTCKECGPTLSTCPMCHAPITHEALLVRRVQPSEAEDLPFHPIWYQE >SECCE6Rv1G0441410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810842212:810843467:-1 gene:SECCE6Rv1G0441410 transcript:SECCE6Rv1G0441410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIDFIELTDDNIIDLSSDEETVQEGQIATQHRAMLLDKQGVFVLAGEGSRGVQAVFVAAGEGSQDVQAVFVASSEGSQDGQAVFVAASEGRQEASEPGHALEATASSMIMEEAPLVAASQGNQDVQAVSVGASEGRKETAEPGHALEATASSMVTEKTHVDMVVLALPHAGSLNCLRSPTSGPFASLTSTTPKALTSEGGDTKLVRAKVKRPRKNHHAGTPKAVTFEGGDAKLVRLKAKHPKKKNHTEKPLLGKAVLALPHAESPNCPRTSAPFPSPTSTSLTTLSSEGGDAKLVSGKVKHRRKNYRPCKLTPQTESF >SECCE6Rv1G0412270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613528350:613529060:1 gene:SECCE6Rv1G0412270 transcript:SECCE6Rv1G0412270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSAQQQPQGAVGGDGGNAAAARQGGGGGRQQQQQYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLRGSAADLNFPVHLPFHVPAAAMSPKSIQRVAAAAAAAATAGSPLQPPHAVPNSGAAPPCGCGDSAPSFGSPEDQSARRSNADDDHDDEAMAHGDDGVDYDALADIDAFFQSPKCMDYSMMMDPCSTFFAPAPMAPVEWEEEGEISLWSFSSYN >SECCE7Rv1G0514450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:834076646:834083642:-1 gene:SECCE7Rv1G0514450 transcript:SECCE7Rv1G0514450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPSLQSLLLMAASTSAGAGGSGLLLAARRRFPAAFAAAGGHRVRLLHSFPSRTRLPRRAELACCFGTAPAEAVPAAAPAVPRSRNVRSIEERRACEERLGKVVEKMKKEGIDMSQWRLGTFQRTICPRCEGGSTEERSLSVFIREDGTHGNWTCFRANCGWKGYTQPDGVSKAYQAKKDLGSEESDQEVKANQPVKVIRKLREEDLRLEPLCDELVTYFSERMISAKTLQRNNVSQRKRNNKIVIAFTYRRDKVLVGCKYREVSKKFSQEANTEKILYGLDDIKQARDIIIVEGEIDKLSMEEAGYRNCVSVPDGAPAQVSNKLPDKDHDKKYSYLWNCKEYLDPASRIILATDADPPGQALAEELARRLGKERCWRVKWPKKNETEFCKDANEVLMFLGPQALKEVIEGAELYPIRGLFNFKDFFPEIDSYYLGIHGDELGIPTGWKCMDGLYKVVPGELTIVTGVPNSGKSEWIDALLCNINDQCGWKFVLCSMENKVRDHARKLLEKHIKKPFFDARYGGSVERMSQDEFKEGKEWLNESFHLIRCEDDCLPSIDWVLNLAKAAVLRHGVRGLVIDPYNELDHQRPPNQTETEYVSQILTKIKRFAQHHSCHVWFVAHPRQLHNWNGAPPNMYDISGSAHFINKCDNGIVIHRNRDPDAGPVDVVQVCMKKVRNKVIGQIGDAFLTYDRVTGEYKEADEAIVAKVVKQQIRQKSTSYQR >SECCE4Rv1G0259870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:690811319:690812074:1 gene:SECCE4Rv1G0259870 transcript:SECCE4Rv1G0259870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEEEVKLLGTWASPFVLRAQLALSFKGVSFENVDEDLGSKSDLLLRSNPVHKAVPVLIHNGKPVCESLVIVQYVDEAFRGPPLLPAEPYERAVARFWAAFIMDKLEAPWQKVFTAKTEEEKAEWMEQTLAAVDVLEAGLTECSKGGSFFGGDNVGYVDVVLGGAVPWVYWTEVLYGTRGSSIPVGSRSWRRGWSASARWTRPRLSWRMSRRGWSSSPR >SECCE3Rv1G0212660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956128982:956134281:-1 gene:SECCE3Rv1G0212660 transcript:SECCE3Rv1G0212660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGFLLGFLLGLLALAAAEAAALLWAARRLLRRQDAAAPPPPDGGGELPGEQPFPHEKKGSLWILEPEKLPKVSNERLSSGGPKETKEKKSIVEVFPAKKSAKIKGHSLTLSSPDGPETTIELLNCTIVAVSASSMSSRKWTKRYPIKLESQESEIYNGSKVCFLYAETSWEKESWCKALRLAATADEKKLNWHAKLSKEFGNYISSLNAEYPSFLKPTAISAEDHEVMDSEIKTDGSSKVRLFLKKLAKKASTKVPVDGKTSAASSTQGERKMLDKIRSYQGAPFIESFLGQQEDKFSSSSSQDTAKPSAPIAASSHTGPPPAFADVNGDDKAVDEGTLCWNLLSSRLFFDVHMSDEISNAIKARIQRTLSSMRTPAYVGEITLTEFSLGKLPPYVHAMRVLPLDLNELWAFEVDFEYSGGILLHIETRLEVEEPELQKDLMKTNFGTDSNGEVDSELLESIEQYGNQFRGSQNSVSPAEEKDEADASQSKSTGWTSTYISRLKNMLHSIADHVSQVPLSLAIKITSVRGTLRIHMKPPPSDQLWYGFTSMPDLEWDLESSVGDRKITNSRIGSLIGNRIKVSLRDSLVLPNCESISMPWMLAEKDDWVPRKDGPYIWLNHEPTEAKSHVAAVVPTHPEEAGPKDDASSKSAAPSLPPSSAGSEESLKSIDESTEDPPSPTEASHEQSRLAETASPPHPDATDEPRKPLLATEKLQEGATSESRAGSPLYTSLRAVIPAGEQQQVLSAAAASVGDDAKRKSGRRSRMMDLGKKMGDKLEEKRRQVEEKGRHIVEKMRENARTNSMERTTST >SECCE5Rv1G0338580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:599090002:599097180:1 gene:SECCE5Rv1G0338580 transcript:SECCE5Rv1G0338580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEPWGGSDDDPVAVGDYYYYDCSDGGSGGAGGGGEDEEGSDCAGDDYEVREEVASMREKRYIVLSENDIHERQEAAIRRVSSIFSIPRESACVLLRQYKWNISKLSDEWFADEERVRHFVGLPTNGAVLPDCQELTCGICFEGYSTSSLSSAGCVHLFCHECWEGYISTSINDGPGCLSLRCPEPSCTAMVLEETINRLAKDEEKVKYKQFLSCSYVEDNKKIKWCPAPDCTRAVEFLGDENYDVSCMCKFSFCWNCTEETHRPVSCETVSKWILKNSAESENVNWIIANSKPCPKCKRPIEKNHGCMHMTCRPPCKFQFCWLCLGDWSEHGSRTTGGNYACNRYEADKKKGIYDEAEAQRERAKNSLVRYTHYFERWASNQKSRQKAQGDLQKFESELAKLSDILGIPESQLKFIPEAWSQIVECRQVLQWTYAYGYYLDDKAKNDFFVYLQGEAESGLERLHKCAEMDIHAILPKAGETEPLPSLQDFNEFRVKLAGLTSVTRNYFENLVQALEAGLEDVRVTGGQSTSKKKARTASKKKPSTKGKSGRSKKARITTS >SECCE6Rv1G0432420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:751759176:751761491:-1 gene:SECCE6Rv1G0432420 transcript:SECCE6Rv1G0432420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAKPVLRVAAVCGSLRRASYNRGLLRAVAEVCEESVPGMRVEHVDISALPLLNTDLETPDGGFPPAVEAFRDRIRAADCFLFGSPEYNYSIATPLKNALDWASRGKNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELFVQAFQQPPKFDSDGNLIDAEIRERIKQVLLSLQAFTLRVQKKD >SECCE7Rv1G0508540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:773154738:773155571:1 gene:SECCE7Rv1G0508540 transcript:SECCE7Rv1G0508540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMSPLRRWKRFFGAFDTVDAAIEAADPDMCRDELRRARGDIFEGLCNTADDGKAEKLCGVLDGLMAESLETLRLTPVTPKVLATTDLAKAVRALQKHESERVRVLARGIVSGWRASALDDLAGDNFDVPQPKETVEQQHVGATTTERPSSIEIDQQHASADVGSKKKKKTVEISSKASGLAVGINMAKPKEVTARPHVNVSADPDAKAMEAAKRKLHERYQQASDAKRQRRVQLVEAPEMVKQRRERILAGCASSMLKRTFSVSHHTTGQLHGV >SECCE7Rv1G0473510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:158505762:158511259:-1 gene:SECCE7Rv1G0473510 transcript:SECCE7Rv1G0473510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAPGVSRKLKKVLETRTDSPDLLASLGALSTFYEHNTPQARRNLKSSVEQRALAINRHFLDASLPAQKALDRVEGEVHALDDSWKKIEEALSSCSASTGDIISTTERLQQELEVITQRQEIVSCFLRDYQLSNEEIHALREEDIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQVECKKLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELIAALLDPDAISDSGPANHRHSVREGDSSKGESDFTFVLDRIFEGACRPFKVRVEQVLQSQPSLIVSYKLSNTLEFYGYTISELLGVDTALCNTIWSLRDATQRTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGVSLLLELISTYNSMMVSASGKGLNFDPVISAILDPIIQMCEQAAEAQKSKGALTRRGRTNSEPIGSKRDSISVDAILSKKSSTSVLSGESSSKVYLINCLSAIEEPLMDQEVATSYVNNLRSMIETHTRALVDKEADSILSKCGLSSKMPYIKNYSSTDGKDDARPLADVVETSPQMLLECLKAFYGLVTGTEGSLPEFEQLQVPRLRSDACNGLARALAEAYELIYKAVMDPKNCYPDPRSLVKHSPEQIRTILEI >SECCE6Rv1G0377890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:3923215:3924331:1 gene:SECCE6Rv1G0377890 transcript:SECCE6Rv1G0377890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLASALLALATAAAVAVAVPAPPAVDVTATVAFGEGYTPLFGFHNILRSADDRTVSLLLDRSTGSGFISSAMYQHGFFSASIKLPSDYTAGVVVAFYTSNGDVFPKTHDELDFEFLGNIRGKPWRVQTNVYGNGSVSRGREERYVLPFDPTTEFHRYSILWARDAVVFYVDDVPVRDLRRARAARDFPAKPMALYATVWDASNWATSGGRYRVNYQYGPFVASFTDLALAGCRSPPEGCADALAASDPAVMTLAKQQAMRQFRERNMVYSYCYDTRRYPVPFPECDLVESERRRFKDSGHLRLALRRRRVGRRPARPGAANKADM >SECCE5Rv1G0363500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:793596700:793597647:-1 gene:SECCE5Rv1G0363500 transcript:SECCE5Rv1G0363500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTPSSPLSRATPPRSPTAASRLAVAPASPTTPQCAIPASPRTPPAPSRASTPPPTTPRTPRPEITLKEPSSSSQEKRATAAVRGPPSRALRAIRALLRSFPIIAPAACRPASALPRRHGKPHDGHAGGRARVTGTFYGHRRARIALAVQERPGSLPTLVLELGVPTGKLMQEISAGGHVRIALECEKKSKKSPDAGEGGGSVSLLEEAMWTAYVNGRRVGYAVRREATDGDLAVMQLLSTVSVGAGVLPGDVVDEPPGGAADADGEVAYMRAGFDRVTGSKDSESFYMVSPDGDGGAGAGGGTELSIFFVRV >SECCE2Rv1G0114120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:730631156:730634405:-1 gene:SECCE2Rv1G0114120 transcript:SECCE2Rv1G0114120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQHQGGGSHYGAPPPDMGPFSAQPAPGPVPLSVRPPPTQQHQQQTQPSYDELAGASGAGGSSFPDDDMLGDSGGHSGGGLGSGGNRWPREETLALIRIRSEMDTTFRDATLKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFQELEALHAATAAAQQQQQQQHQEHSPLVVSAAPPPQMHAFSAPQPMSAMPPPPGPIQPAPISSAAPAPAVEPPQPPPVSLQGLSFPSMSDSESDDDDDDSEDDDMTAETGGSPDGLGRRKRGGGGSKKMMAFFEGLMKQVVQRQEEMQQRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDASIIAFLQRIGGQAVHVPPVVIPMPTPMQVQTPPPPKKPRQHLPPPPPSQATHQPQPQPQPIPASPLQQQPPPQPPQHKETTHEEAGTPRSAPAPASAGLSLALVPVATEQQVVEAAGMGGGESGGGASSSRWPKTEVHALIQLRMDMDNRYQENGPKGPLWEEISAGMRRLGYSRNSKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLEAIYRKKHNGGGSSGAAANNAVASVPAVAEHQNLNRHEIEIEGKKINDNDKRNNGGVGAAQVPTSNGQTTPTTATFDLGVKKPEDAVRELNEQPHREFTTDETDSDDMGDDYTDDGEDGEDDGKMQYRIQFQRPNPVGTNNAPPAATTAPPAAPTSTPASSFLAMVQ >SECCE6Rv1G0446480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:844488884:844489510:-1 gene:SECCE6Rv1G0446480 transcript:SECCE6Rv1G0446480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPDIPPSRYHHQEDPPPPPNGSKAMSLLLRLCAMLLAVVSAVVMAASSECATHAPPDNSGAASLVTFTYTRFGAFALALYLHVSLDVAAVTAAAAANDKDSLDEEEEAAAGVNIPAIVLVVVDLLVHALLYSATAAAYTAAAAYSAKIGACARFAGQVERAKILSLAASITVTLAAVAKDVPLPFNVPPVLG >SECCEUnv1G0544330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:127995001:127995264:-1 gene:SECCEUnv1G0544330 transcript:SECCEUnv1G0544330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIMGDQVPNARLMERRKVGVLVPRNEKDCSFDREGVATAIRAVAVEEEGRRVLTANAKKLQEIVSDTECHERYIDRFIQQLRCYK >SECCE3Rv1G0193690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:773911566:773913765:1 gene:SECCE3Rv1G0193690 transcript:SECCE3Rv1G0193690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLALLVGINYAGTKAELKGCHNDVDRMHRCLVERFGFDEENITVLLDRGSSGPQPTGANIRHALAKLVGEARRGDFLFFHYSGHGTRLPAETGQDDDTGYDECIVPSDMNLITDQDFRDLVQKVPDGCIFTIVSDSCHSGGLLDKAKEQIGNSTRQNQTQSREAEEERSHSGGGFRSFLKETVRDAFESHSRHGGEDQDEQPTGDGLTKNRSLPLSTLIEMLKEQTGKDDIEEGSIRLTLFNVFGDDASPKIKKFMKVMLDKFHEGGSGEQGGVMGMVGSLAHQFLKAKLDGNEEETFKPAIEQDMGSAEEAYAGTKSWAPNNGILISGCQTSQTSADATIPGGTSFGAMSNAIQAILASDDGKITNKDLVMKARAALSKQGYTQQPGLYCSDEHVHVAFIC >SECCE3Rv1G0179860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:553123856:553127215:-1 gene:SECCE3Rv1G0179860 transcript:SECCE3Rv1G0179860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8A [Source:Projected from Arabidopsis thaliana (AT1G18550) UniProtKB/Swiss-Prot;Acc:F4ICA0] MPVSTRSQASAIKGGDPGARQSSSSAARVPMSAPGRDAAGRRASLLTNTHHGLKEKMRALNLYYEQHKQMLASSQGGAAMRRSRTIPHANIGEDRDENPREEEEEEGEGAKRHRDAFPPLPEAAVLRENMVRPEARPPSKNDNVVVFSRPLEPKEKENVALTANVMSCPVKKAAPSLSALHARKLSLGGGIGGKLKAVGEMGAANADATGSRIMVFVRLRPMSRKEKEAGSKTCVKIVNKKDVYLTELASENDYLRLKRVRGRHFCFDASFPDSTAQAEVYSTSTADLVEGVLQGRNGTVFCYGATGAGKTYTMLGTMENPGVMVLAIKDLFLKVRQRSYDGSHSIQLSYLEVYNETVRDLLSPGRPLLLREDKQQGTVAAGLTQYRAYSTDEVMKLLQQGNQNRTTEPTRVNETSSRSHAILQVVVEYRYMDGTSVVTRVGKLSLIDLAGSERAIATDQRSQRSLEGANINRSLLALSSCINALVEGKKHVPYRNSKLTQLLKDSLGGPCNTVMIANISPSNLSFGETQNTLHWADRAKEIKTKPLTAGNEEVFKAPDSDSDQAKLVLELQKENSELRQQVVKQQQKLMTVQAQSLAPNGSPQQCADPSSHITTTPCSTQRKVKRSILDGSCFSTPNSKRPADNPLVRDLQRKVKILESEIEKMKKEHFLQLKQRDEFIRGLINRKNSNGPEPATAERRVITRASLRKAEKDAGELKSPSHRFTSPMPTAKKRTFWDFGGDSPSVLAGNGRKTRSHVAAETPTRAPSMLLQPGFTRQRA >SECCE6Rv1G0432860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:754913184:754916962:1 gene:SECCE6Rv1G0432860 transcript:SECCE6Rv1G0432860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAIVPILLLLLLPFVGAQWLVCGDSGNYTSNSTYQANLKLLSTTLPNKAVTNSSLFATATVGHAPDIVYALTLCHGDITDASDCESCVAAAFKDAQLSCPYKKEASTYYDYCMLRFSNHNFLLANSTEDQMKWINLRNTLNFTTGGDSITHLLFMLLNSTAQAAANNSRRFTTSRLGVSIVPTVYCFMQCTPDLTPDDCAACLQLVLKHTLKYLDGTQGGRILGTRCSMSRIHQLRRLCAREAQANVQEQQRHNSRKRVLWIIAVVAPLLSILLCFIYSVVWMRRRRQGKVNLNDQAATNRPEEDELVWRLEEKSSEFTLFDFSEILHATHNFSKENLLGQGGFGPVYKGQLPDGMQIAVKRLASHSGQGFTEFKNEVELIAKLQHNNLVKLLGCCIKGEEKLLVYEYLPNKSLDFFIFGSNMTTFIDWNKRRVIIEGIAQGLLYLHKHSRLRIIHRDLKASNILLDQDMNPKISDFGLAKIFSSNDTQGSTKRVVGTYGYMSPEYASEGIYSIKSDVFSFGVLLLEILSGKRNSGFYQYGDFLNLLGYSWQLWERGTWIELLDASIAKEICTSDARRYINIALMCVQESADERPTMSDVVAMLSSESGILPEPNHPGYFNLRVSKTHEFFVPCSNNDVTITEEPHGR >SECCE4Rv1G0277060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:801438552:801439292:-1 gene:SECCE4Rv1G0277060 transcript:SECCE4Rv1G0277060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGRFYGGGLPRPRVFPGDRVDPPAPVTDALLCWAQDAHWSMGGLAAKRLRLQGRIEGNLVKLRRTARHDARVAAKSAKAKIRAAGHGPAPATLDDALGSDDDDSEDEAEVAAQEKALRREVVDDDEDSDSGESEGEGVPLVTIAAAAKRKRVRKLSEEFDRIAAAQLEGGGKKKPAAVAPAKALLRKKAAAAADSPAPATEAPAKKSLKRKAVAPAARTSPKRKAVEVPATRRTSPRSKH >SECCEUnv1G0538100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:71368833:71371633:1 gene:SECCEUnv1G0538100 transcript:SECCEUnv1G0538100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSLAPGERPHAVMIPYPAQGHITPMLKLAKLLHARGFHVTFVNNEFNHRRLLRSQSADTLRGLPAFRFTAIADGLPPSDREATQDIPELCYSTMTTCLPRFKELVVKLNEEAETSGGTLPPVTCVVADSTMSFALAAARGLGLPCAALWTASACGFMGYYHYKDLLDRGLFPLKEEAQLSNGYLDTIIDWIPSMPKDLRLRDLPSFVRTKDPDDIMFNFFVHETAAMSQASGVVVNTWDDLDAPLLDAMSKLLPPVYTVGPLHLTVRNNVSKDSPLTDIGSNLWKEQDAPLRWLDGRPPRSVLYVNFGSITVMSKEHLLEFAWGLANTGYPFMWNVRPDLVKGDDEAALPPEFFTATEGRSMLSTWCPQEKVLEHEAVGIFLTHSGWNSSLEGICGGVPMVCWPFFAEQQTNCRYKCTEWGIGMEIGDDVRRTEVEAMIREAMEGEKGREMRRRVQELRKSALASARCDGRSMRNVDRLIHEVLLA >SECCE4Rv1G0232330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:196288727:196289437:-1 gene:SECCE4Rv1G0232330 transcript:SECCE4Rv1G0232330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLSRLGVGLTVVSALLLLALAAELYYLLVHKRRQRRRAAAVSDAASSPSSSSRELLQLFCFKKPPALASTYAQEPSQAVAEAVADEDDDDDDETVEAQLMRLGSLVGPPRLLFTIKEETKEDLESEDGRSRSLGDLMHCSGTPYLTPASSPLPPAMEKSYNPLFESPAAASPPPKLQFLKDAEEKLYRRALAEEAKRARGSPSPAAGEEDGGYITIVVGKNTRVIPLPSPPGSA >SECCE3Rv1G0197710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:822201723:822205336:-1 gene:SECCE3Rv1G0197710 transcript:SECCE3Rv1G0197710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSADEPSSLLTEGNAVQVSVDAKLTVATGRGNCQQLKDLLSTEDSKTMLVVMAPSIQVSTVKPLPEVMNPLLLSSACSGTWQDLEFLLNRGQGQPHPSMNSSTKFHDLLTAYSSHSCGDKCASMQKASDDVEALLNLPSASTVSPLDGVTIEGGTALHVVATYGESDGFLRSADIIHSKANHLLFARNKNGDTPLHCAARAGMSRMVCHLITLARDENTGVNRVKELLETENSLKETALHQAVRIGNNDIVKLLMEENSELASFPKDGTSPLYLAILLEEDIIVDTLYNASHMKLSYCGKNGQNALHAAVLRGTELTKKLLEWNNDLTTQRDENGSTPLHFAAALAQQSQRGSICWQVLEANSAALYQSDCNGLFPIHVAASVGESGTITMFLNKSPSSAGLQDSMGRTFLHVAAEKRKVKIVSSSCRNRSLLWILNIQDNDGNTALHLAIQARSLRMFCALLGNRHTHLNLSNNKGQTPLDISLYGVSLGFFDENSEAKIHFALTVVNARSGGSRRDHFEENYNRQLKYDGTEQLEKLKESTQTLCIGVALIATATFTVTFALPGGYKADEHINGGTPTLAGRYAFDAFIIASTFSFVLSAMSMIGLMYSGYSILNPETRRIYLIAALYFGSTSGTCFITTFALGLYVVLARVSHKSAVAICVISPLAVLCKQMDHWLKWALLAQPLCTRIGPTRALLMVTTRILYSLLMEFWPIIFIFVWAIYTSSQL >SECCE1Rv1G0030130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:424212603:424213703:1 gene:SECCE1Rv1G0030130 transcript:SECCE1Rv1G0030130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQKLNFLSWNVRGLNDPHRRATVHETIASTTCHIVCLQESKLEDVDQFVASFLGGNRLRSFAQRPAVGTRGGGILMLWDDNVVDISDIQVSEFCLSVTVKMLFFDTSFRLTSVYGPTASNRKDDFFNELITQKPPPGTKWIAMGDFNQISRARDKNNRNVNRSRINRFRDAIDSCELREIHLQNRRFTWSNERQNPTLCKLDSVFCNADWDLHFGSHVLHAISSSLSDHCPLLLTDDRGPKRPRSFEFENFWTCLPAFRDIVLRAWTDPCHHAEPCQRLFFKLKHTGWLLSKWSRGIFSKAKLHLHAALFIILQLDTAQESRTLSMAERELRGRLKPRWRGLGRSSVRALQISGRATPIPDSSI >SECCE6Rv1G0452540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:877676827:877677616:-1 gene:SECCE6Rv1G0452540 transcript:SECCE6Rv1G0452540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSQDIVISHFSHPGHELVKRHHTGLFRCDMCWEDLSGTAYICRAGCDFCIHESCAGHSQTFSSPEHHEHPLVLVQTRRDATLSCDVCFGLCAPSSFLYRCPPCGFDMHPTCARLPQVVRSVRDPTHDLTLVVADGCCAACDTGAGRASYYRCTACNVDYHISCAASTGDNNSAHEAQDALDAQIVRSRIQEQTRNAILDLWSPAYTVRREYF >SECCE7Rv1G0481730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:287759888:287760505:1 gene:SECCE7Rv1G0481730 transcript:SECCE7Rv1G0481730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSATAVDERERKRKRAARGESTTSAEPGTEAQPSKWRTRREHEIYSSKLFEALRLVRGGSSSASTAPARGRAVREAADRALAVAARGRSRWSRAILASRRRRLQAAHRARLRAPATPPARHPSVAAAAQPQPGKAPALAKKAKVLGRLVPGCHKLPFPALLSEASDYIKALEMQVRAMTALAEVLASVSSSSASGSPSPPA >SECCE7Rv1G0466090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:76385324:76387456:1 gene:SECCE7Rv1G0466090 transcript:SECCE7Rv1G0466090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRSPPPVPAAAAAFRRSRAAVVVCASSSSSSSSSSAVSSAPKARFVARRSESTSVQQLARPLAEYMGLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCIRLLSCKLEGSPLVEAQNDKFSASMVNRVFCSSSSEDSSLQQLTSDATIEVAIDIPFPFQALPVEAIESSGRQVLEQLLRVMLPRFLKQLDKDYQAWASGDSSRKPLGTGEI >SECCEUnv1G0564360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:382697839:382699126:-1 gene:SECCEUnv1G0564360 transcript:SECCEUnv1G0564360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYDCKQVQVHNVSITSPGDSPNTDGINMGSSNHVNISSCSMHSGDDCVSILSGTTDVTVTNTTCGPGHGISVGSLGGAGDGPSLVERITVSNCSFFNTTSGVRIKSWQGGQGKATGFLFTNLTMTAVRLPIDIDQFYCPRGNCPERDGGVAIMDARFIDIQGTSSQQEAIKIMCSKSVPCHDIYLKNIDLSWANHTTPTQALVQNAYGSVVGTVKPQTQLAGN >SECCE6Rv1G0446150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:842646292:842647650:-1 gene:SECCE6Rv1G0446150 transcript:SECCE6Rv1G0446150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPASIPVPDELLEEIFLRLPTLNALACASATCTSFRRVIKGRAFRRRFRTLHRPPLLGFMDAGGFHPAQAPHPSAPLAGALAPCAADFSFVPAVVAPSSYYVSPGVQEDDGEGPRWRPRDVRDGRVLLDWRSFYPRSVHIWSYREDSARREVSILMDSRELGDDQLCDRLTWTKRERCNAADFHLAVCDPLSSRYVLLPTIPEDLAARPQDRLHGFEPVLAPNTRDDGEEEPFKVICIARYRTKLVLFALPSTTMQWFMVELPISPSLERMSCFDCVRCCFYWTKPSGWSDHLMVLDTRTLRFSTVDLLTGYHVELSDLPDECFAHRHPMAVVMGREGALDMFSLVCQHGSFALYHTSLKNNSQQWKLEKIIQLPGQYHSIRTIGAAEGFLFFRGAPEGIHIGNVDCYSMEVKTYEITKVCTKMENTYNPRPALPYFSFPPLLSEPTI >SECCE4Rv1G0276520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:798244515:798247247:1 gene:SECCE4Rv1G0276520 transcript:SECCE4Rv1G0276520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVILLALRKIGTALAKAAADQASVQFAKYGTQLLELQGSMGRVARELHIMHVVLCQMDIRSRNNQVYEGWLEEVQKVAYVMEDIVDEYLYLVGQENDVGCCFFLKKGFKKPRSLLSLNRIASKVNEIEKDLSHLADTKNRWVPVINSGDISNSNYIVKTSQDLANISRSLDEEDLVGVDKNKEKLKQWLAGDDLECSVISLLGMGGLGKTALAANVYKREREKFQCYAWVSISQTYSREDVLRNIIKEIFKDNVNYLSSTLGMDIMRLEETLKKYLEQRKYLIILDDVWTPEAVDDLSRVLFHNGKGSRLVITTREADVAVFASQGHILTLQALPEDKAWDLFCKKAFSRDTSHECPIELKPLSKEIASKCKGLPLAIVSVGSLLRVREKTMEEWRRINDQLGWEIINNSRLGHVRNVLYLSFVYLPTHLKSCFLYCSLFPEDYILQRKQLARLWLAEGFIEERGESTLEEVAEGYLKESVDRNMLQLVERNTFGRMKTFSSGLVRLCQKNCFGVTYHAECGGSLDMYGRRLVLHKPKKDSDQQISGIHQLRTVITLGNSMPSTTIPLLCKESRYLTVLELSGLPIEKIPDAIGDLFNLRHLGLRDSKVKMLPKSVEKLSNLLTLDLCESEIHELPSGIVKLKKLRHLFAERVIDPTWRELKCCGGVCIPNGLGNLTNLQTLQALEAQDESLRHLGELRQMRSLRLLNVKGIYCGLISESLVQMQYLSYLDVNASDENEVLSLNFFLPSLQKLSLRGRLAEGALDESPLFQAVGGQNLYELYLYWSQLREDPLPSLSRLSNLTRLCFTRAYSGEQLSFLTGWFPKLKVLVLTDLPNLSRLEIQQGAMASLEELILTNLSSMTEVPAGIEFLLPLQHLCFWEITGDFLTLLRQCSAIQGTQWQHSLRR >SECCE2Rv1G0085240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:188409167:188410480:-1 gene:SECCE2Rv1G0085240 transcript:SECCE2Rv1G0085240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESQETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVAKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNRVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYALKHSVSGEIIVKHLNKEQEADQSNFRDSETNAELEVQEKISLLEWFANEYKKFGCALEFVTNKSQEGSQFCRGFGGIGGLLRYQLDMRSFDEFSDDEGLYEDSD >SECCE7Rv1G0459980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:28246286:28249232:1 gene:SECCE7Rv1G0459980 transcript:SECCE7Rv1G0459980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQLPAMLVFIVSILLPAAATLAGASSGRSVSLPGCPGKCGDVPIPYPFGIGAHCAATSLSSYFNLTCNSTIHPPRPMVGNDEAVVEITDISLEHGEMRVLSPVNHICFTSETTFTKFLGGYELQPTPFLPSPSRNRFTVIGCNTLGLISGYKGTASQYVAGCYSYCEGVNNTTEGAPCAGMGCCEAAIPANLTSFGVKFEMNQSKVWGFNPCFYAMVAEVGWYNFRQQDLIGRLGFIDARAQRGAPVVADWAIRNASCPEKGKDMPNDYACISVNSHCVAANNGPGYLCQCSKGYEGNPYLLNGCQDTDECALRKQDTKYKDLYPCRKGVCHNTPGSYFCKCKTGTKSDGTDFGCQSLHSPADKMVIGLSVSATVVMALACLLLMHLQRKKHKKEKDEYFKQNGGLRLYDEMRSRQVDTIRILTEKEIKRATDNYNENRVIGCGGHGMVYRGTLDDQKEVAIKKSKVINDDWREEFVNEIIVLSQINHRNIVRLLGCCLDVDVPMLVYEFVPGGTLSEFLHGAGCRSPIPLDLRLKIATQSAEALAYLHSSTSRPILHGDVKSANILLDDQVNAKVGDFGASALKSMDKSEFIMFVHGTLGYLDPESFISRHLTDKSDVYSFGVVLLELMTRKRAIYTDNFNEKESLSYSFPLMFEKRRHLVMLDTEITDDAIMVVLENMAELAVQCLSPKGHDRPTMKEVAESLQMMRRLRLHSASDPENNHHTHSYGGSSSVVVPLEETTRGTIDMSELVKDLSR >SECCE4Rv1G0251490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:603698969:603700080:-1 gene:SECCE4Rv1G0251490 transcript:SECCE4Rv1G0251490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAASTNVARVLVCLEEAGAEYELVDIDFPGKEHKRAEHLARNPFGQVPALQDGDLFLFEPRAIAKYVLRKYKSEQVDLLRESNLEEAAMVDIWTEVEAHQYHPALSPIVLECFIYPTLRGLPTNQRIVDESLEKAKKVLEIYEARLSKHKYLAGDFVSFADLNHFACTFYLMDATPYASLFDSYPHVKAWWEDLMLMPSMKKLGASMTTRV >SECCE7Rv1G0464640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:63211857:63217953:-1 gene:SECCE7Rv1G0464640 transcript:SECCE7Rv1G0464640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant glycogenin-like starch initiation protein 3 [Source:Projected from Arabidopsis thaliana (AT4G33330) TAIR;Acc:AT4G33330] MKTGAGEAVKSPVAGLRAGAIVKLNAAFLAFFFLVYMALLLHPNYSHILDRGASSLVRCTFRDACPSTSQLSRKPGGRSVAAANKVVATERIVNAGRAPTMFNELRGRLRMGLVNIGRDELLALGVEGDAVAVDFDRVSDVFRWSDLFPEWIDEEEEDGVPSCPEIPMPDFSRYGDGDVDVVVAALPCNRTAQGWNRDVFRLQVHLVAAQMAARKGRRDGHGRVRVVLRSECEPMMDLFRCDESVGREGDWWMYSVDAPRMEEKLRLPVGSCNLALPLWGPTGIHQVFNASDLTAVDAGSQRREAYATVLHSSDRYLCGAIVLAQSIRRSGSTRDMVLLHDHTVSKPALRALVAAGWIPRRIRRIRNPRAERGSYNEYNYSKFRLWQLTEYFRVVFIDADILVLRSLDALFRFPQISAVGNDGSLFNSGIMVIEPSACTFEALVRGRRTIRSYNGGDQGYLNEVFVWWHRLPRRVNYLKNFWANTTGERALKERLFRAEPAEVWSIHYLGLKPWRCYRDYDCNWNIDDQRVYASDEAHRRWWQVYDQMGEVMRGPCALSERRKIEIAWDRHVAQEIGYADQHWKINITDPRKWE >SECCE3Rv1G0160320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:104001846:104002451:1 gene:SECCE3Rv1G0160320 transcript:SECCE3Rv1G0160320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRNTAAVARRRSLTDINRTTWIVQAIDDARPQYALAAEICRGFRPGFQPPPNAGQGASTALLAGGASTMSGLDRAKRLSRFHAVTGSLFSLCAAHLGLQGIAPWWQRWERHHADVAADAEAAVQGLQSAASHAYAAFFVIRGMLKPASPQDVTHAWGPAAEQLLRRAIDDLAMAEAAVERMRPAIVAQFFDASMLLHG >SECCE4Rv1G0282950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:831787301:831788711:1 gene:SECCE4Rv1G0282950 transcript:SECCE4Rv1G0282950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFRYTPFVGAVDTEFEFTSLYLHHIGSGKNPTQADIIVANATTGWGQTSVNNWTIYDGVGPSAKLAARAQGLCINAGNLHNTFTIRFETERFKDSTLQVMGISVEQEGEWSIVGGTGKLAMAHGVITKKLHQKIDGGDIIQLTIHGFCYSKMSTSGESGSSDGGGTSTLPPPPPPITPTKSGPWGGIVGSDVDSVKPTKVKSIIIRTTADSVDSFEYSYVDQNGKTIKAGPWGTGEKILAEITLGPDEILMEVSGEASVDYVYKLKFVTNKTDYGPFGKDIVSHIPFVAKAPPGKEIVGFFGSTREKYLEAIGVYIA >SECCE3Rv1G0200940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:858877554:858879610:1 gene:SECCE3Rv1G0200940 transcript:SECCE3Rv1G0200940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRALLLPRTDGAVPGVVDFRGGPAPRASTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINAWSGVATMLPLLVACVADAWLGRFRTIVLASILFVVSMGMLTLSSALPAFHPDGCTSFTSLSGACSPSPVQVTIFYVSLYLVALAEAGHKPCAQAFGADQFDQHHPDESVSRSSFFNWWYFGMCSGTAATTVVSSYIQDNIGWGLGFGIPCLVMVFALAMFLLGTRRYRYYTSTQASPFARLARAFVALLKGSKSSQCANTLAGDDAEFNAEHREEVRGLLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGATFRVPPAALQTFISLTIITFIPVYDRLFVPAARRFTRLSSGITMLQRIGTGLVLALVAMVVAALVEARRLGVAREAGLVDDPKAALPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFLSSFLISAIDGATKKSGASWFSNNLNRAHLDYFYWLLAGLCAAELAAFVVVSRVYVYKKRVAHHDHDHDDGGAVM >SECCE4Rv1G0263750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:718041355:718045277:-1 gene:SECCE4Rv1G0263750 transcript:SECCE4Rv1G0263750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVLPAVHRGAVVAHRGARAAAMGSSAAGAGAGDPGGNPTVGRLREIFRGAGDAADGWEKSWETGVTPWDLGKPTPIIEHLVKSGTLPKGRALVPGCGMGYDVVALASPERFVIGLEISNIAAEKAKQWSSSLPNADWFSFLVADFFKWRPSEPFDLIFDYTFFCALDPSMRLAWAETVSRLLKPDGELITLIYLISDQEGGPPYNNTVADYQKVLEPLGFKAVCMEDNELAIKPRKGLEKIGRWKRCGRPQSSL >SECCE7Rv1G0512960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:817693248:817695827:-1 gene:SECCE7Rv1G0512960 transcript:SECCE7Rv1G0512960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNEEQPHVEDRCIPWSDGMQLLEDAAERKHFLPTGLEGIDTLLGGGLRKGQLTEITGQSSSGKTQVCLYSAAHVAARHMGVVLYLDTSNSFSPSRIARILDELPISLIKEPKDVRLKRVMSSIICESVFDIFALFEVLDRLEVSLNGKVNNDGNKICLLIIDSVSSLLAPIIGGKNSQGRSMMISVVMILKKLAHKHNLSVLVTNHMVAGNGAPKPALGESWKAVPHIRLMVSRDRGSNICTATILKHTLLASGRHMKFQFLPS >SECCE7Rv1G0468300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:96147341:96149713:-1 gene:SECCE7Rv1G0468300 transcript:SECCE7Rv1G0468300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPNKRTIVESGDGGLGMGLAAFIANGEDLGPMIRHGFDSGKPEALTHSLRSIVKKKEVEIEELCRLHYEDFILAVDELRGVMVDAEELKSMLSGENSHLQEASSALLLKLDKLLELYSVKKNVGEAMTILKICVKVISLCMACNNYIAEAKFHPALKTLDLIEHGYLQNIPVKIIKRVVGRQIPLIKLHIEKKACGEFNDWLVHIRRMSKQIGQVSINHASLARQKEEEMRARQREAEAQGHAGPDQHMYTLDAENTDEETALDFDLTPVYRAHHIHVCLGIGEKFRDYYYKNRQMQLSLDMQISTSQPFLESHQPFLAQVAGFFIVEQRVLRTAERLLTESQVQATWETAVSKMTSLLESQFSRMGTASHLLLIKDYITLMAAVLRKYGYQTTPLIDILSRSRDKYHQLLLSECRRQVDDILANDSYEQMVIKKEYEYNMNVTAFHLEPSEVIPEFPYVAPFSSSVPEVCRIVRSFVEDSVSYLSYGGDMNLYEVVKAYLDRLLIEVLNDCLLNRMYARSLAMSQMMQLAGNISVLEHACDLYLLHCAQQCGIPKRVAERSRATLTARAVLKASQNAAYNALINMANSKIDDFMVLLDDVNWIVEETPDNANDYMNEVLIYLETLVSTATEILPLEALYKVVSGAVSHISDSIMTTLLNDGVKRLTMSAVLGLDMDLKMLEAFADEKFHITGLADMGKETTFRDCLVEIRQLVNLLSSSQPENFMNPVIRGKNYGSLDYKKVSIVVDKFKDSADGLFGSLSNRNTKQNARTRSLDVLKRRLKDFGH >SECCE5Rv1G0353620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718192353:718193798:1 gene:SECCE5Rv1G0353620 transcript:SECCE5Rv1G0353620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPVMVLPFPAQGHVMPLMELSHRLVAHGLEVEFVNTDYNHDRAIKAMGGERRAVDPDGIGIHMVSLPDGMGPDGDRTDIALLGSGLSAAMLGPLEEMIRSKKIKWLIADASMCWAMELATMTGVRIALFSTFSAAVFALRLHVPKLIDDGVIDECGNVKSNETIKLTPKMPPIEVAELPWVCLSSLPERRRLMFQILLKTNPVIPLAAAVICNTFEEIESEALDLVPNSLPVGPLAAPPASRSAGQLWPEDPVCLPWLDAQARGSVVYVAFGSFTVFDAARMQELAHGLELTGRPFLWAVRPNITAGIGEGWFDAFKRRVERKGLVVGWAPQQRVLSHPAVACFVSHCGWNSTMEGMLHGVPFLCWPYFANQSYICNVWGTGVKVHADERGVVTKEEIKNKVEQLLGDDGIKARAATWKDAACTSITQGGSSDQNLLKLVKLLTEQ >SECCE7Rv1G0498580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:641732936:641734648:-1 gene:SECCE7Rv1G0498580 transcript:SECCE7Rv1G0498580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASTATTEEVTRLEDLPESCVAHVLALTSPRDACRFAAVSPSFREAAESDAIWDRFLPPDYRAILLRCCSGRPPPLSSKKDAYLRLSDGAVLVDDGDTAVWLARGSGAKCVALSARKLSLPWDDGEFSWRWTPHPRSRFAEVAQLVHCTGLDIYGRLPAAALTPGTDYAAYLVFDVADEGHRGLSFPDQETTVAVGGRAASRHAVCLRPDDDEARKFRGVARASGDDGVRRPARRDDRWWEMELGRLRIDEAMAVKEDEVMVSFEVLDWYPKRGLIVEAVEFRPV >SECCE1Rv1G0039920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:554660443:554661439:-1 gene:SECCE1Rv1G0039920 transcript:SECCE1Rv1G0039920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQESWMEVLPVPPAPYFAGQAGGWFLQDQRGGGGGAWSPAENKLFEEALARVDGDAPDRWEQVAALLPGKTVADVMRQYDDLENDVCFIEAGLVPFPHYNANAASQASGFTLDWDGGGDLAFKRSCYMAGGNGKRGRGSDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNYVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNIPDDDHANPSPSPSSAHSASASAPEQFGALADSKPPPPLGGGQRHFMPHHLYGKVKLEAGSNGYHGDSVLMQMQCGQLQPLG >SECCE3Rv1G0197530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:819871397:819871807:-1 gene:SECCE3Rv1G0197530 transcript:SECCE3Rv1G0197530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAEKKPMETEKKPKAEKRVPGKDGGADKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE2Rv1G0125930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844233147:844236368:1 gene:SECCE2Rv1G0125930 transcript:SECCE2Rv1G0125930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEMQKTACVTGGSGYIASALVKTLLQKGYAVKTTVRNPDDMEKNLHLKDLQALGPLEIIRARLDEEGSFDDAVSGCDYAFLVAAPMDFGSADPERDMIDAAVQGTLNVMRSCVRARTVKRVILTSSDSAVSMRPLPDDVHVLDESSWSDVEYLRANKPETWAYAVSKVLAEKAASKFAEENGISLVTMLPVFILGAAPVSKPTSSVPVTLSLLTGDEAQMDIMMGMQSTTDCVPISHIDDLCHAEVFVAENESSSGRYLCCSHNTTVLQLARLMAEKYPQYNMKLERFDGSPEKPRVCMSSEKLIGEGFVFKHDDLGEIFDDLVEYGRSTEILPY >SECCE6Rv1G0406990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:553224823:553226277:1 gene:SECCE6Rv1G0406990 transcript:SECCE6Rv1G0406990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVCTLLCPDTSPLPPRPAPFKRATAVQCHAAPPSGDAALRAFQAHHAAGRALGANPALVPALSACARLLKTAAEAEQIHALLVKSGLPGSASGVYASTSLARVYARLGRLGDARKVFDGMPERTVVSWNVLLDGLVRAGDLDAAWELFVEMPERNVASWNTVMAGFVRHGWAQEAVELFVDMTQVYGLSPDEATMVGFVSAVQHLGLLGLGRNAHGYVIRREFSLDGALGVALINMYTRCGSMGVAYQCFSSVVIKNVEHWTSVIGGFAAHGHPEMALKLFAEMRQLGVKPNGVTFVAVLNACSHGGLVDEGFRYFDLMRSMGIRPSIQHYGCLVDLLGRAGFLEEAFNLANSLPEDQGFVTWSSLLAACQTHGNVDMAEVAAQKLADREPSHGSSYVLLSNAYARAGQWDDLKRTRSRMEEHRVVKKPGLSWIEVDGSVHSFVTADKLHIESEDIYHMLGDLKLNLLSAGSEPETFDLPEV >SECCE5Rv1G0334200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565028001:565030040:-1 gene:SECCE5Rv1G0334200 transcript:SECCE5Rv1G0334200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQVLPALLLALLALASSGGGAHASAGGGAHPATPVKAPFSRHSFPKGFVFGTGSAAYQYEGAVKEGGRGPTVWDKFAHTPGKIADGGNGDVALDFYHRYKEDLKLVVDMNMDAFRFSIAWSRILPTGSMSGGVNKEGIAFYNRLINEVIAKGLKPYVTLHHWDTPLGLEDKYGGFLSEKIVKDYVDFSDVCYNEFGDRVKHWTTFNEPWTYSTYGYATGVFAPGRCSPHVSKSCGAGDSAREPYIVTHNILLAHAATVELYRRKYQKAQGGEVGITLVCHWYLPYTNSTADKDAAKRRVEFMLGWFMEPIVHGDYPASMRSWLGARLPAFTPMQRAALRGSYDFFGLNYYTTYYAIATPAPANALLGSYDADNRSNVTGFRNGRALGPQAYTEFLFVYPPGIHELMLYAKRRYGNPAIYVMENGIDEGNNSSLPIKEALKDPARINYHYKHLLFLNLAIKQKVNIKGYFSWTFMDCFEWGDGYKDRFGLIYVDRNTLKRYPKESSKWMGRFLKK >SECCEUnv1G0534910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:48555046:48558580:-1 gene:SECCEUnv1G0534910 transcript:SECCEUnv1G0534910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVILCFWIWAASAAAAVAGSDMEALLEFGRGIRQDPSRRQAAPWNPTSSSDSDGCPVDWHGVECNGGQILSIAFDGIGLVGNASLSALARMTMLQNLSLSGNRLEGVLPRALGSLASLQHLDLSNNRFVGSIPAELTKLSNLGHLNLSSNGFGGALPLGFRSLRKLKYLDLRGNGFVGKLDDIFAQLQSPVHVDFSCNQFSGSLASISDNSSVASTLQYLNVSHNVLSGPVFESDPTPLFDSLEVFDASYNALSGNVPSFNFMISLKVLLLQNNKFSGSIPEALFRETSMVLTQLDLSCNQLTGPIRRVTSVNLKYLNLSSNSLQGTLPITFGSCSVVDLSRNMLSGSISVVHTWGDYVEMIDLSSNRLTGTWPGQTTQFLRLTSLKISDNLLAGELPTVLGSYPELIAIDLSLNQLHGALPKNLFTAAKLTYLNLSGNNFAGALPLPSSETNNSTTIDLSVLPVQTSNLSFLDLSNNSFGGPLPSGIGRFSGLVLLDLCLNNFTGQIPTSITKLKHLLHIDLSSNHFDGSIPDGLPDDLVEFNVSYNNLSGPVPSILLKFPDSSFHPGNELLVLPHSGSPNSAEGSGGRKHGMKRGILYALIACVIVFVTGIIVLLLVHWKISNWKSSEKGKGQTKNSVTQGQDAPQGRAEIPSSERHDVSLGSSPSAEYGGVTVPRGGMEGQHETQGVDQPTGSISSLKDSTASSMPSLISSPSDVRSQHHQSILRVHSPDKLVGDLHLFDNSVLFTAEELSRAPAEIIGRSCHGTSYKATLDNGYVLTVKWLKEGFAKSKKEFSREIKKLGSVKHPSIVSLRGYYWGPKEHERIIISDYVDATSLSTYLSETEERDFAPLSVGQRLDIAISIAHCLDYLHNERVIPHGNLKSSNVLLQDSSPTALVTDYSLHRLMTPVGMAEQVLNAGALGYSPPEFASTSKPCPSLKSDVYAFGVILLELLTGRIAGEIICVSDGAVDLTDWVRMLAREERASECFDRRIVETESSGGASNKLEDMLHIAIRCIRSASERPEIRTVFEDLSSLSSSSSS >SECCE6Rv1G0431250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746016042:746016920:-1 gene:SECCE6Rv1G0431250 transcript:SECCE6Rv1G0431250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTVRDVLYMYNVARQAYERFLSICGSPEKAQNAVALLVWLDQGTISAIHHIPDMAPDAVAIVAEEANAVLGCLRHQEAALPPIPLISALCMQGGVHIEPGFFAFHQDLVIRGVTHFLDGAGKFVFNNRLHVLLRRSETGLTVNPPELMAPYTSQPVDVPEDCRSMFITFSKGNSLHREEIFEYFRQKWGDCVVRVLMEKTKGGHLPMYGRIIFKTEAIVKLVLNGERLVKISIGRREIWLRKYVPRQTNAAA >SECCE2Rv1G0100150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:535189603:535194417:1 gene:SECCE2Rv1G0100150 transcript:SECCE2Rv1G0100150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit H [Source:Projected from Arabidopsis thaliana (AT1G10840) UniProtKB/Swiss-Prot;Acc:Q9C5Z2] MANPAPAAGGKSFLQAMSTVTEEASVPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPIREDDEEADADGANYQLEMMRCLREVNVDNNTVGWYQSCLLGSFQTVELIETFMNYQENIRRCVCIVYDPSRSSQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIQVSNSALVSAFMKELEPESPVSQCDFDRLKLSTAPFMERNLEFMIGCMDGLSSEQNKFQYYYRNLGRQQSQQQAWLQKRRQENMSRKAAGEEPLPEEDPSNPIFKPLPEPSRLEGYLVTNQISSYCNHINGVAGQSFNRLYLMKALQED >SECCE6Rv1G0382420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:42563207:42568103:1 gene:SECCE6Rv1G0382420 transcript:SECCE6Rv1G0382420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDGSGEEDEEEEEEGGGPWGADGCRGGGGFSLAIEGVLGGACGLVVSDALEPDFPIIYVNRGFEDATGYHAEEVLGRNCRFLQCRGPFAQRRHPLVDAIVVTEIQRCLEEGTEFQGDLLNFRKDGSPFMTSLQLKPIYGDDETITHYMGIQFFNDCNVDLGPLPGSMAKEAVRSLWIAPDNTLRPTPTGKGNFCSEYSHLFQLSDEVLCQKILSRLSPRDIASVNSVCKRLYHMTKNDHLWRMVCQNAWGNNATRALENVAGTKSLAWGRLARELTTLEAVTWRKLTIGGTVEPSRCNFSACAVGNRVVLFGGEGVNAQPMNDTFVLDLSASRPEWRHVNVGLAPPGRWGHTLSCLNGSLLVLFGGCGGQGLLNDVFILDLDAKHPTWREIFGLTPPVPRSWHSSCTLDGSKLVVSGGCADSGVLLSDTHLLDVTMERPVWREIPAPWTPPSRLGHSLSVYDGRKILMFGGLAKSGPLRLRSGDVFTMDLSDAVPSWRCITGSGMPGACNPAGVGPPPRLDHVAVSLPGGRIMIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVMEGSKAIVLGGQTGEEWTLTEIHELSLASSLV >SECCE5Rv1G0356510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:740037174:740037455:1 gene:SECCE5Rv1G0356510 transcript:SECCE5Rv1G0356510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVAVSAALLVVLVVAAVITPAHGGRPLVSDRSVQPVSVPFSTVAGPPSLSKPAGSHRHFDISMIEQPADGPAAAYYYGIDCDYKVPITGM >SECCE1Rv1G0051410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:653568815:653572238:1 gene:SECCE1Rv1G0051410 transcript:SECCE1Rv1G0051410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAMRQGGTGVRGLAAAARSSPALSFLLAAAAAAALVGGAYFWVVVASFRLPEPGALGCRPDGEGSWAIGVFYGSSPFHLRPIELEGRSSANGSAWPVANPVLTCASATDAGYPSNFVADPFLYVQGDTLFLFFETKTTTSMHGDIGVARSFDQGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKNKELRLYRATKFPLEWTLEKVLINKPLIDAALVQYKGTWWLFASDFTRYGTEKNAELEIWYSSSPLGPWNEHRQNPIYKSDRSLGARNGGRLFMFEGSLYRPGQDCSGTYGRKVKLYKVEKLSKEEYKEVPVELGIEEPKKGRNAWNGMRYHHLDVQQLDSGRWIAVMDGDRVPSGDSTRRSISGYLGFLLAIVLVTFVGFVKGAINCYIPPSFWAAPARRNELSRILPVYRFNQKVRRYSTSLGRYITATKARLNEKTWSNKLFFCVIALLGTVNVCVAVHFLLGGNGTEEAYTYQGQRSQFTMVTMTYEARLWNLKMFVEHYSRCESVREIVVVWNKGNPPGSDAFDSTVPVRIRVEELNSLNNRFRVDPLIKTRAVLELDDDIMMTCSDVEKGFKVWREHPERMVGFYPRMIDGDPPQYRNERYARGKKGYNLILTGAAFMDSEFAFRRYWSEEAREGRDYVHKNFNCEDLLMNFMYANASSGARTVEYVHPAWAIDTSKLSSVAISRDTQKHYDVRTKCLAKFSSIYGPLPQKWEFGRRQDGWDK >SECCE4Rv1G0227210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:118364754:118365336:-1 gene:SECCE4Rv1G0227210 transcript:SECCE4Rv1G0227210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDAGAAGSMASMEWEPKALSLHELKYAREAALYVLKTHSSEDAVRIFTEGLKPVLGVRRDSMADSDEDDDHGDEDYDMFNPYVFLDHDSTCCHHQYGRTAEERDVATAPF >SECCE2Rv1G0139380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:926676424:926677383:1 gene:SECCE2Rv1G0139380 transcript:SECCE2Rv1G0139380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTEAAAAGGKGRLMIRQVWANNLDSEFQAIRQVAERFPYVSMDTEFPGVIHCPSKHHATLTPSERYAALKANVDALHLIQVGLAFSASPDAPAAVAFEINLREFDPRVHRHNPSSVALLAGHGLDFAKQRREGVDARVFAALLMSSGLVCSGAGSPTWVTFHSAYDFGYLVKLLMGRKLPRTLPEFLGLVRVFFGDQVYDARHVMDSCAGLYGGLDALAAQLGVERAAGMSHQAGSDAALTWDVFRRIREVYFAGNGRQGPGVGNFAGVLYGLELELHLAADNGNGSRNNKNKCSDGNGAGAGGRGSNRRAVAALR >SECCE7Rv1G0517510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:854645471:854647039:1 gene:SECCE7Rv1G0517510 transcript:SECCE7Rv1G0517510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRAFALVPLLICVLSCHAAVSYAAAPVPAKEDFFGCLVKEIPARLLYAKSSPAFPTVLAQTIRNSRWSSPQSVKPLYIITPTNASHIQSAVVCGRRHGVRIRVRSGGHDYEGLSYRSERPEAFAVVDLNKMRAVVVDGKARTAWVDSGAQLGELYYAIAKNSPVLAFPAGVCPTIGVGGNFAGGGFGMLLRKYGIAAENVIDVKVVDANGTLLDKSSMSADHFWAVRGGGGESFGIVVSWQVKLLPVPPTVTVFQIPKTVREGAVELINKWQLVAPALPDDLMIRIIAFGGTAKFEAMYLGTCKALTPLMSSRFPELGMNASHCNEMPWIKSVPFIHLGKQATLSDLLNRNNTFKPFAEYKSDYVYQPVPKPVWAQIFVWLVKPGAGIMVMDPYGAAISATPEAATPFPHRKDVLFNIQYVNYWFDEAGGAAPLQWSKDMYRFMEPYVSKNPRQAYANYRDIDLGRNEVVNDISTYASGKVWGEKYFKGNFQRLAITKGKVDPQDYFRNEQSIPPLLGK >SECCE5Rv1G0364290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:800406995:800410568:-1 gene:SECCE5Rv1G0364290 transcript:SECCE5Rv1G0364290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRDVSYYDLILMIEEVGFQAIDFLYYAKKNRLGSSYLVHIYDQGLVMKMLSDPEIVKAVHLYVSKEKADDHIAPPSKQIDIAPSNHPNESVLLQDGGVSAEGAGQLTVQGPQRPLRRSKRLNVIQVTDQRDDEDGDCNNGEQFPPGHESQSLVDEEGQVQNQVHKEVRKRKRTSLPIVWNMPKGQRIVVKCNEDSQPIGDEGAILGKFLGTIARNGGFCPLNINDWRHVKKNSGEETILQCVQTKFVYPRSCEKWILKSIGRDWRKFKSSLKDAFFKPAIEKNPNIKRKALYKLCPEDVDNDQWRGLVKYWKSNEGKALAEKNIISRSLVKDSHNAGTKSYACWGEDMRQADPEKKRPHRSKVYLATHKKKDDADAKNKDRNKRLDRLENLITERPELAQNLNGRVAWEGDALQEVLGKEKIGQVHGMGLLPTPKQVYGRTPRYLKNINMTTNDGSPYEVEHDVWEEIAMMKEHIKRQDQIIKDMNNKGGNVNNGIEEENLQSNDNGISRLPVLHGKTKRIQCNEPVEARSSMQHDIPEDNNLSRSHEKVGDHDVNQLQTQQNSSSPQDLDIDSLIQVRETRDLIGESVSRPNQQGTRTEHPHPVRSKRRNTSSIKAASKVVLKTSTYPNKRNVAYGTIRSIDPRTKAGGIELGAEFALVRIDEPILDNEELVREVSDCKTIGEAFTSGYLIAWPSAFIRKKDS >SECCE2Rv1G0104010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:598189346:598191739:1 gene:SECCE2Rv1G0104010 transcript:SECCE2Rv1G0104010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNFPRSPLTLLALLLALTPLAASSSSFPLPTIAIAAVGTNTASPHHLACGLVSNGTGYQLSCASLTNRSASPRKYGYGGGGSTPFSALVAGDGYLCSVDPISSPPVSMRWWDLNESEEPSKRVYRGEALSAVAGGGEYVCGLVDKRIKCWRWEWGAVPEEAGFSALAVGGGFVCGLVVGTGELKCYGNGDAVGREPRGRYMLLAAGERHACAVEHGGMLGCWGEAAAVAAASPPKLIRAVSTVAVGDALTCVLWGNWTVSCWPEEEAALPPEIKHEQFVALEAKGKVVCGVLMSDYSLVCWGGDVAGGVRKVFDKVLPGPCAPVRSCPCGVWSGSAMLCGASGGAAICYPCGYTPPMNAQAPTSDPRASTSHTRKKRRPSDLVIAMISFGAGSGLVVIVAALSVAYCLRRRSRSRSSGSHDSGRIHAEPMTAPAPRVPRRLSLLLSKGPNTTVEQFPLAALRAATDVFSPSHRIGSGSFGAVYRASLPDGREVAIKRAERRDSGASSSAAAAAARRVNHESAFVSELSLLSRLNHKNLVRLLGFCADGGEHILVYEFMHNGTLHDHLHKRPAPLSPPLASWPSRLRLALGAARGIEYLHTYAVPPIIHRDIKSSNILLDASWSAKVSDFGLSLLKNLGTGDNAGVEEPCFTAGTVGYMDPEYYRLQHLTDKSDVYSFGVLLLELLSGCKVIQRYEGSGTPRNVVDMAVPYIESDRVHRVLDIRLPLPTPGEMEAVAYVGYLAADCVRLPGRERPSMSEVVGVLERAVAACEEHEDGPDGGEAALSRSCTDGSTTM >SECCE3Rv1G0187340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:697719728:697722079:-1 gene:SECCE3Rv1G0187340 transcript:SECCE3Rv1G0187340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MQAAITTTASANPHLKPLVSLLRPSPPHRVRVHPPRHRLNTRASAMASSAPQELTITRPDDWHLHLRDGDVMAAVLPHSARHFQRAIVMPNLKPPVTTTARAIAYRDEILKALPPGSSFVPLMTLYLTDRTSPEEIKIARKSGVVFAVKLYPAGATTNSQDGVTDILGKCLPVLEEMVKQEMPLLVHGEVTDPHVDTFDREKVFIEKILAPLVQKLPQLKIVMEHITTMDAVNFVESCKEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSRQYFLGTDSAPHDKRMKECSCGCAGIYSAPVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRRSPWKVPATYTYGSGVIVPMSTGNTLEWLPSDQPEE >SECCE1Rv1G0061410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:715480370:715481980:1 gene:SECCE1Rv1G0061410 transcript:SECCE1Rv1G0061410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPRRTILHLLLLTLCIGLSGSSLASTATAIDEFLGCLSTDIPSRLIQTPATPSYSALLLSTARNLRYILPDTSKPLGIIAATEHAHVQTAVRCGRRHGVRVRVRSGGHDYEGLSYASVHLHNEPFAVLDLAALRAIHVDPARAEAWAESGATVGELYYAVGAASRSLGFPAGSCPTMGIGGHLSGGGFGSLARKYGLSADNVLDAVVVDADGRLMDRSAMGEDLFWAIRGGGGESFGVVLSWKVRLVPVPETVTVFNIIRSRNQSAIELITKWQEMAPVSPEELYLRVLVLNQQATFQGMFLGRCGGLHGLMQDRFPELGMTEQDCEEVSWVQSTVFFGFSTTSVPPEQLLNRSSNPRYYLKAKSDHVQEPIPRDVWERIWRAWLEKPEAALLMLDPYGGMMSSISPSETPFPHRQGNLYQLQYYSSWYENGTAAAEKRMRWVRGLYKEMEPYVSKNPRAVYVNYRDLDLGTNELDGGNVTSYEKARVSWGDKYFKGNFKRLAAVKTMVDPHDFFRNEQSIPPLPAAKMIDSI >SECCE5Rv1G0356390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:739179375:739183562:1 gene:SECCE5Rv1G0356390 transcript:SECCE5Rv1G0356390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLAAGPSFGAPSPPPLPPSSGSSAAAPPAGGRDRKMVSAEQLVLDLCDPELRENALLELSKKREIFQDLAPLLWHSFGTVAALLQEIVSIYPSLSPPTLSPVASNRVCNALALLQCVASHPDTRIPFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDSEVIGFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDIGLRYICATAERFFAVATVLAQMVQALAEQPSARLLKHIIRCYLRLTENQRACAALNSCLPTVLKDGTFNNFLLDDNVTRRWLQQLLSNMSIAGMGGGSSHTGMGGGGSLGGMGGGGSLGGMGGGGSLGGMGGGGSLGGGMGGGGSLGGMGGGSLGGGMGGGGSLGSMGGGGPHGGLDHLMGL >SECCE6Rv1G0441030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:808608600:808609835:-1 gene:SECCE6Rv1G0441030 transcript:SECCE6Rv1G0441030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAFRIHCRASDHHSLALVDGSVVLAAADPGDDRQRWYKDVMYAGGLKDEAGNPAFALVNKATGDALKHSLGYHLPVRAIRFNRGYLDESILWAESRDVAGGFSRVHMVNNMEYIFDAERGGPQYGGPRDGMRLILFRWIRGDNQLWRISDAPAGRGSPMRVSCQSSDELSLTVRDGATVLAHIDLEDDAQAWIQSFRNTGRVTDSEGRSSFALVNKATGKALRRSHGNQKLQVVGYSPHSVDVALLWTRGVDLGEGYHCIRSVSDLGYVLDAAEGVPETGGAHDGTPVILFQANGGPNQKWKMTPFH >SECCE5Rv1G0364340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:800823308:800823901:-1 gene:SECCE5Rv1G0364340 transcript:SECCE5Rv1G0364340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >SECCE3Rv1G0197080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:815495160:815499309:1 gene:SECCE3Rv1G0197080 transcript:SECCE3Rv1G0197080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGGYADRPAPLDGITVDGGGGGRTPPVGLPRPPGFRGLMQQPSRLASGVRQFASRVSMKVPEVVPGIRPGGGRMTRMQSSAQMGLKGLRFLDKTSGSKEGWKAVERRFDEMSKASGRLPKESFGKCIGMGDSKEFAGELFVTLSRRRSIQPEQGITKEQLREFWTEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKSHAATYSSLIMEELDPDDRGYIEIWQLETLLRGMVSAQAPEVKLKRTTSSLARTMIPMRYRSPLKRHVTKTMDFIHENWKRIWLVTLWLAVNLALFVYKFEQYKHRSSFQVMGSCVCVAKGAAETLKLNMALILLPVCRNTLTTLRSTALSHVIPFDDNINFHKVLAGAIAVGTVVHTIAHVTCDFPRLVSCPSDKFMALLGPNFGFRQPTYADLLASAPGVTGILMIIIMTFSFTLAMHTFRRSVVKLPSPLHHLAGFNAFWYAHHLLLLVYVLLVVHSYFIFLTRVWYKKTTWMFLIVPVLFYACERIIRKVRENNYHVNILKAAIYPGNVLSLHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRSLFGECCEAQVTSKKATLSRLETTVVADSATEDTRFPKVFIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNLKSNDEVESIHGSEIGSFKNSGPGRAYFYWVTREQGSFDWFKGVMNEVADNDHSNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF >SECCE1Rv1G0048030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:631313632:631317686:-1 gene:SECCE1Rv1G0048030 transcript:SECCE1Rv1G0048030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTREQHILDQGLLDAQLELWHHTFSYVKSMALKSALDLGIADAIHRQGGAATLSQIAATATLHPTKISCLRRLMRVLIVSGIFSVDHPRDDGLEGEAVYALTPASRLLVCSASVNMVHITKMLLHTNLVSPLSDLGTWFQHELPEPDLFKLKHGKTFWELTNQDPAYNALVNDGMVSDSSFIMDIAIRECGAVFQGICSLVDVAGGHGGAAQAISKAFPDVKCSVMDLAHVVAEAPNGTDVEYIPGDMFESVPPADAVFLKWVMHDWGDEDCIKILKNCKKAITPRDVGGKVIIVDMVVGAGPQDPKHKETQVMFDLFIMFINGIERDEHEWKKIIFEAGFNDYKITPILGVRSIIEVYP >SECCE2Rv1G0104160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:602057147:602058861:1 gene:SECCE2Rv1G0104160 transcript:SECCE2Rv1G0104160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPKIPNSGWAAFDRRLRGTVDGGDGVDVNSFPSLSGSRGSSSASNSVIENNIMPKAKPFASVIRPPVEFSAVRNENGNKHLTDHTVGTNFGVNSASDNKIKLLKEAHSWADSNLIEDILAGVDNDVGRASVLLKSMVAPDLLPGEGRSGQAAFEMNKPHGSVSRNAIAENKHSNESQLLPLQMNVVSIPQEPELEEFDDDYLNHRKDALKMMRAATKHSQAASNAFFRGDHAAAKELSLRAQEERSAAEKLNNKAAEEIFHLRNSNNNIWKIDMHGLHASEAVTALERHLHMLEFQPPGNNPASTDELDKSEPTIAGSNEVAAEKVVVFLRPRQSVLEVITGIGRHSKGQASLPVAVRGFLIENGYRFEELRPGVFSVRPKFRRG >SECCE2Rv1G0112490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:710112043:710112453:1 gene:SECCE2Rv1G0112490 transcript:SECCE2Rv1G0112490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSMELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE3Rv1G0171240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:266393832:266394176:-1 gene:SECCE3Rv1G0171240 transcript:SECCE3Rv1G0171240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPPDRAARIIGFLKPYLLRMHFSNKYVTAQVIHTPTATVACSASSQEKLLRPNLESTRDVSAAAKIGKLLGERLLLKGIPAVSIHMKREQKYHGKVKAVIDSVRESGVKLL >SECCE5Rv1G0300880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28455991:28456578:-1 gene:SECCE5Rv1G0300880 transcript:SECCE5Rv1G0300880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQKRAGSLEDVVQQASEWALLTASYAATYPIVHAVDHYLVPCSQSLFRRCDQLTDEDTTLWIGMLCCATLEAAVAVLAVRLPCHRRWVRPTFAYLALALTIVIHCMYAVAVAARLFLVDDPQDLFIMIFYTVALVFFVAGDIRSFLALLRGEE >SECCE5Rv1G0343300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637416900:637420471:-1 gene:SECCE5Rv1G0343300 transcript:SECCE5Rv1G0343300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-dehydroquinate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66120) UniProtKB/Swiss-Prot;Acc:Q8VYV7] MAAASSSLLAAAAPSSSRAAISVRRPCAVPAAAAAASVPSSSRSSFTPLRASPARALRTRVVASAAPAMQPPAVSRVSTVVDVDLGDRSYPIYIGPGLLDEPDLLQRHVIGKRVLVVTNTTVAPLYLEKVTWALTHQNPNVSVESVILPDGEKYKDMDTLMKVFDKAVESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTETLNTLPDRELASGVAEVVKYGLIRDAPFFEWQEKNMAAILAREPSALTYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGLGYGEWLHGEAVAAGTVMAADMSYRLGWIDESIKKRTFDILDQAKLPVTPPKGMTVEKFKNIMAVDKKVADGLLRLILLKGPLGGCVFTGEYDRKALDETLRAFCDN >SECCE3Rv1G0181700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:617187320:617197940:-1 gene:SECCE3Rv1G0181700 transcript:SECCE3Rv1G0181700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLATAPPPRHRARRHRRKASGSATYGDVFGGGPRFAPPLAGAPADYADVFGGVAASCSIPYLDLPPASAGSDGGAGRYGEIFTRAGFGEYAAPYEDMFAEPEGMPDEIESWSGSSRSSIRKESAEMDAEPSLLYQHYPNVVYDQQFDEEQFSPISFPPDGEQQFNMSYNKATRGRLDDLVEMTTCMVEPSISYVVDSCNLSNDSATNHVPVLDNGAHANGEDKEMSPPPLPASGDSVANEKQHISPCLPIYENHYEDKKDHRRSSIHSASSEENNDATSNGENKETRPSQLPANGDPVTDEKQHISTCLLNSENLYEDEKDHKRSSTHSASSEEVPSPDYPFSRMSNTHIQTPPISVQPSSIPPSNFLNNKESKSNRDSEVNPNSAAADAIKEATDVKQNISTCLLNSENLYEDEKDHKRSSTHSAAADAIKEATDVKQNISTCLLNSENLYEDEKDHKRSSTHSASSEEVPSPDYPFLRVSNTHIQTPPIKVQPPSILPSNFLNKKESKSERDSEVNPNSAAADAIKEAMDFAEARLKAAKELMERKGDSFKLRKRPGHHRGTKSTEIKEDKSPEEVNIFEEKVTSRKLAEEENYENLAFLDKHRGSSAVKTADCYQDKKGVLSPGKFQQIIQSDSKLDQLGKWASDAEFYDLVSHHREYTTSTAACEGDNGPTTNPFTKHGHSEKVKEGVNAGDWERDGKLSGGNEITELGTIHVNVIADDTAALGVEHEAPTAPEGSLWEERVVYQETNDSHIKEHVGQSNFPKGHDDDGIFEASCMNGIPTKLHVVPDTSNLSLKVSIPVDHANGNQNCSDASTEETLLVGKHDKENNNKEGLEIECADETLCTSVRNQISDEHPEVPIIDETETSQAKVATLEEPAEYYEDQWSPKMSNTVHREAKTYEEDKMFSFVDEACLQSEQEKITEVPSETLTHKEMERFGIEEKESLHEDSQDEDVYWDAGSPEKEASITSDTDANENDEAEVLNVFVADSDLMENNVRTCATSAEHSDQLPEYQESLLEPQELANNVDIIEDFVSHGNEKEAKNTLLGNSEKTLVERALNHDTEGQTSTETGATRGLNDVYAEIIAKNNRVGNVLHSGAEDTTDDYSDHTTCSKDMHASFSEACASMHHLPQNAESISALMSDESVSFLVNLEENCIKADSEYSTIRDTVLQGKKTGGKIEERDSKDKISSVNLKDQQSFGEDSASKFVQKSRKETSDAQRIEGRADIRKAESEIEKDVSLRPDKDKEREYKMEKEQSKEKPIRELEEEKERERERAKDRLAVQRATREAHERAFAEARTKAERIALERITSSRQRASAEARVKEKASDEAVAEKASREARIKAERAAVERATAEARERAIEKAKAAADAKERIEKARFPYKDSFKATNQDTQFQKTAPNNHGRSTDSCNEVSEFESALRHKARSERHQRTAERAEKALAEKNMRDMLAQREQTEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQSVPLTDLITAAAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKEAWNKFNSAER >SECCEUnv1G0536410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63768179:63779541:-1 gene:SECCEUnv1G0536410 transcript:SECCEUnv1G0536410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAARELAASGGARVTVYEKEDWIGGCARTVAVGDGAGLVHLDLCPVVFNQAACPNMMQWLELLGVEIERSEISFSMSTKMDNGSQFICSTRNGISSLFAQKSNALRPSFWCMICEILKFKTDVLRYLEYHENNHDVDQNETLVQFVRSHEYSQLFQDAYLIPICASLWSSPSQGVLGFSAFSVLSVFRNHRLFQLFGQPEAFIVKGCLQSFVDKVRVELEDTGCRIKSSCAVKSVSCYDEGGYRVQEEDDSEDIYDKVILGIHAPAALKVLGAEATHEELRILGAFQYVYSDAYIHCDKCFMPQNISAWGAWNFLGETSRAVFVTYWLNLIQNIESAKTFLVTINPPRVPDHVLLKWCVSHPVPSVAAVKASIQLDQIQGKRGIWFCGAYQGHGFHEYGLKTGKAAAQGVLGKKGDLLVNPKQMVPSWTEAGARLLVARFLNRSISIGNLILLEDGGSMLSFGNASGRHHVKSVLRVHDPMFYWKVATESDLGLADAYINGWCSFVDKKEGLLNLFLIFIANRDAPKSSSSVVSKRGWWTPMLLTAGLASAKYFLRHTSRKNSVTQTRRNISQHYDLSNDFFSLFLDKTMTYSCGIFKREDESLEASQIRKLNLLIDKAKVERDHHVLDIGSGWGSLAIQVVKLTGCKYTGITLSEEQLKYAQGKVKEAGLEDHITFLLCDYRQIPARKYDRIISCEMIEHVGHEYLDAFFTCCESHLAQDGIFVLQAITMPDELYEEYIRSPGFIKEYIFPGGSLPSLSRITYVSASAKLCIEHLENINGDQYYLTLRSWRDNLMANKDEILALGFDDKFIRVWEYYFIYCAAGFRTRTLGDYQVVFSRPGNNKLALA >SECCE4Rv1G0214830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:1895895:1898747:-1 gene:SECCE4Rv1G0214830 transcript:SECCE4Rv1G0214830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGRLVRVRTALTMCSLLFFHHSWSAPAVPPTPAGTLCIPRERDALLDFKAGLTDPSNLLSSWQGAECCRWMGVLCNNRTGHVVTLQIAGEGTYGTIGGEIRSSLLTLRHLKELYLSFNDFGGKPIPDFIGTVGRGRLTHLDLSYSNFGGRIPPHLGNLSNLVSLGLEDMAHGSYSPDLTWVSLLRKLQILSISKVDLGAAVDWFHAINMLPYLMDLDLRWCRLQNSMPSPAHSNLTSLETLYLMGNSFNNSLGAKHIVWDLPSLQELSLFGCGIDGPIPDAVGNLTSIQSLDLGSNKFTGRVPLTFKKLKKLQYLTLGSNFINMDVTELLHQVPPDELQELTVYDNYLTGSLPVRLGHFSSLAMIYLDHNELSGEIPVSIRELGNLRSLSLASNNLHGTITEDHFTNLTTLEYLDISDNSLTVKVNGTWKSPFNLVLAGLRSCMLGPQFPAWLNQPTISYLDISNTSIHDNIPIWIGNSSAQYLNLSRNRLVGVLPTFSQLSRMEMLDLSSNQIVGQIPALPNNLLLLDMSRNNLSGTLPTDIEAPMLEVLILFNNSFFGTIPSSLLQLQQLVYLDLSKNLLNGTLPNGHQGLKTSNISLLKLNNNRLSGAFPLFLQRCGKLQFLDLAYNNFSGSIPPWIGSKLPQLAVLSLRSNMFIGGIPDQLVGMKGLQFLDIASNNISGRIPHSLGNLIAMTLTSDNSGGLFHLIDYRLSSMYMYAPAYSPAYTDSLLVNVKGQQLEYTKNIAYMVNIDFSCNSLTGQIPQEIGMLVALKNLNFSWNSLTDIIPQSIGELRALESFDLSHNVLSGEIPISLSALTSLSRLNLSYNNLTGTVPSGNQLRALDDQASIYTGNPNLCGPPLPKNCSQTNIIPYAPQEHDEGMSDVVSLYLSMCIGVVVGLWVVFCGFLFKRNWRVGWSSFTDHMYDGAFVHVAVSWASLARKIRQG >SECCE3Rv1G0170210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:249927259:249928068:-1 gene:SECCE3Rv1G0170210 transcript:SECCE3Rv1G0170210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGVNGTGGGGACAVCKHQRRKCEPNCELAAYFPANRMNDFRALHLVFGVANLTKLIKANATEAARRRAAETLTWEARWRERDPSEGCYREVSCLRRENAVLRAENAALRRRADQCACCATTLQQQQHHQQQILLVSAYNNGARPSGGVLHGASTGVVPGGFYSGNAGAVRAANGNGTMPARPHVSAPAPPQTTMVGYAQGDRHHAGGAPRPGAAGQAEPREKSSARCDAQRTAS >SECCE6Rv1G0443860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:825362518:825363750:-1 gene:SECCE6Rv1G0443860 transcript:SECCE6Rv1G0443860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTDIPDHLLAEIFLRLPTPQDLARASAACVPFRRLATQASFLRAFRRLHAPLHLAILNMDGFLPALPPHPSAPAARALALQADFSFSFLPSNRHWMKQDIRDGRVLLGRATRDYGNPVFRELVVCDPLHQRYDLLPPIPDDLAASVEHPLSNPFLAPLAEKETETVDSQETSFRVICLVRGKTKLVAFVFSSSIGQWQAAASKDWSDLALGSGDLAMMSLFHPYSLRRHYAYGCFYWDWLVIKMNLLLVLDTNKMEFSIAALPPREWSTKGVAIVEAREGGLGTFGLHGENEFASDLSYTNLRNRGESPSQWLMEKTISLDPGYRYYIKSVTERCLLLMRTGSRLGSPLEKPLLEFFSMDIKTLLLQRVCAKQCKLTLSETTIYAYFFQTGIYTNYPPSLLPPRTV >SECCE1Rv1G0017440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:155055874:155060063:1 gene:SECCE1Rv1G0017440 transcript:SECCE1Rv1G0017440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVGVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAANSTMNPKNSISQIKRLLGRKFADPELQRDLQSFPFRVSEGPDGFPLVHARYLGEEHAFTPTQLLAMVLSNLKTIAEDNLKSAVVDCCIGIPVYFTDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAEKFKEEYKIDVYQNARACIRLRVACEKLKKMLSANPEAPMNIECLMDEKDVRGFIKRDEFEQISAPVLERVKGPLEKALVEAGLTTESVHFVEVVGSGSRVPAIIRIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNEGFPFSIALSWKPDSQNNEPQQTVVFPKGNPIPSVKALTFYRSNTFAVDVLNVETEDSQVAQKISTYTIGPFQSSKGEKAKLKVKVRLNIHGIVSLESATMLEEEEVEVPVSVTSEVPKDANKMETDATDVNMQEPKGTTDTAEGATGDGAQDSEEKSAPMDTDAKAEPSKKKVKKTNVPVAELVYGAMGSAELEKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYEKYCDYVTSEDKETLMAKLQDVEDWLYEDGEDETKGVYVAKLEELKKVGGPIEARYRESEERGPAIEQLAYCIRSFREAALSSDPKFDHIDIPEKQKVVNECSGAETWLLEKKQQQDALPKHADPALLVSDLKKKAEALDRFCKSIMTKPKPAPKPQTPPPAETSPPEAQTPEQQQDGATEASEPASEGGAWEQPAADQMDTDEPDPSSA >SECCE1Rv1G0000520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2008765:2010360:1 gene:SECCE1Rv1G0000520 transcript:SECCE1Rv1G0000520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKDFRLFNQAMLARQAWRLLVNTDSLCARVLKARYYPNGKLEDTVFSTNASQTWQSIVHGLDLLKRGLVWRIGNGQSVRIWRDSWIPHPIGRPPITQQGRCRLRRVSELLDDNGAWRVELLQQVFWPVDVQQILKIKASPRLGDDFMAWGAERTGIFTVRSAYRLALEDKLRPSSVAASRAPDGRRAVWAFLWRCPAPPKVRVFGWRVATNALATWANKFDRHMEGKMPLQYEGAELRSSHVSTGPKLTRKWQPPPHGWAKLNVDGSFNHEDGRAGSGMVLRGHDGAIIFSACRALRSCPDPLHAELEGCREGIALALQWTELPIALECDCLHAISVTHISREQNNVSHVLANFGRTEDRTVVWLRSGPANIPNLCRDELLCS >SECCEUnv1G0564410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:383201903:383202475:1 gene:SECCEUnv1G0564410 transcript:SECCEUnv1G0564410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPPWKAMYLYVTSEARDGCDSAKQSVNGARGALASPEVLDTRDAEGRYTLLQSAVTHVEHASDSLSGFIVNAMVAEHLALHGCGAVPSQPVARVGDLRDHHDYEWLGLIRLEAAREHAQDALRGVEGAFTLLDSVRFMLLCGNPDAAGRREATEGQLRAAALELQAVVISVENMSALASFAIRDRIQ >SECCE2Rv1G0073840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:72383909:72385222:1 gene:SECCE2Rv1G0073840 transcript:SECCE2Rv1G0073840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSIYMLCFLLALLTPTNLTSVTGNIGFSLPLVSTHHKLNHTTGEIGELLLPEGDVNPMNIRPKVAPAGWPMFSVVVGVGSGRGQHFYKLALDFVGNLTWIRCKPCTPEEQQEGPIFDAALSPKYALVKPTSIHCKPPFVGYGHDKCSFYDTTSLAVVHGYLASDVFTFGDTGTGHRSLDGLIFGCAHRTTEFWNHGIVAGAMSLNKRSSSFKNQLAAHGFATSKFSYCLFYHGQHSAKHGFLRFGSDVPNHGHAHRTALMYNDQMLYFIGLEGVSLNGQRLTRINRGMFFRDQARKHGGTIIDVGTPETRMIRAAYDILEAAVVADLQHRLGVRRAGYRVPGSSLCFIVSIHGIYQKLPSITLHLAGGAELKIKWDLLFVTKMHHGAQHACFLIFPDEKMTVLGAAQQVDTRFTIDVDQRLVYFAPENCENDSS >SECCE2Rv1G0136930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:915284595:915286648:1 gene:SECCE2Rv1G0136930 transcript:SECCE2Rv1G0136930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAMSSALAGMKIHASDGEGCRNRRRENVGGAKTTRPPRLKIKSLTKKSRIRRDENRPPTPTRDERGAEELENLPEVKEEDAIALSRRIWDRRWAGRYGAFEDETSIGPMRFTSKPPTPEYLFTEETVQIFSIRVRAPTDGLKWPLRVYGHIATRDSMDQNRNYLFRRTRDNCQTLVQDDPSLLLTGPSRAIVYLDPITFEVQLKVKGKGESGDEMLAFGAFYYDDGSACERKLSINYDHKRCMLEYEVALRPRSVEATISLKVVEGSWPENHRGIVVAITSGIDEKIVLLRCRDREPPIDLDGSFELSRRVVSVDLREKLLVSVDASLSDFLMRATAVFKPACSGMSHGVCDLGSCKVQVTVAWSLFVIPVL >SECCE2Rv1G0111830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:704479106:704481329:-1 gene:SECCE2Rv1G0111830 transcript:SECCE2Rv1G0111830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRAGADEKEEGVMATDFFWSYTDEPHASRRREILAKYPQIKELFGPDPLAFLKIAAVVSLQLWTATLLRDAGWSKMLVVAYFFGSFLNHNLFLAIHELSHNLAFATPSLNRWLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGIDMDIPSQTEAHVVKNAVSKSIWVVLQLFFYALRPLFLKPKPPGLWEFTNLTIQVALDAAMVYLYSWKSLAYLILSTFLGGGMHPMAGHFISEHYVFSPEQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGAKLHKVKEIAPEYYDSLKSYRSWSQVIYMYVMDQTVGPFSRMKRKAPKKDS >SECCE4Rv1G0269990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:751741213:751743567:1 gene:SECCE4Rv1G0269990 transcript:SECCE4Rv1G0269990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSVPLGRRRRGLVSCAHSLNCRAEDAPPLPPDLEKEVYQLIVGFYDQAYDRLPCGRIPGLLDLLATGGCGTCLGLLDPVSNIILNTLALLPEGAAAAAAASTAPPATKKSKRLVRNDWHEIANRSCQSLLAFLMVYFGCLEKEQATRYLYWADANLSLAVMLIQHDLYVEGEEAVLDPESDRTQAALEWAATMAGHPSPGVLTQLMSSRLKDDDFHLLDKLLFSAADGPLTVADVGAIDRILRMMMSPPCVATIINTRKGPILHVRKSLDAVCSTAPATTEDTSITSTALSWDGKPISSLQCGLPDKLQRCLGRADGLEQYLKKTPCSSDACDYLQTLKMRLHSMIHNFSIKALKLLPTPSGSLMRGFLMAGHCYGSMDPVSNIIVNSIWYNSQGCPLSESDRSKIEQYNDILDPLSLLRTQVHSLKGLMELAAFAGPQFSTEACALELLCGARCDIVEMLPLSPEMLEKKNPFHEAAMAAGHPLPLQLGELHMLLLRMPDERSALLSFMTEARTGRTVLRLRDMTLRMRRTWCRYSAAKTGRIVQAPDLFPESQRLVSSMRSQYEEGRSWFRSKIEQVLKHYTTQHFWEPQYKLDIICGVEEINQSWPTSGKMCYRVNFTATSHLQLQRTLFFAEFLFSGGRETCCPLPNEYAGRCYYGEQTARKIVYPDDAKYIPHDITHEGTCHVDDMLEMDVVLGSEKDAKLVEKLNKMHTEE >SECCE5Rv1G0313260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:256748586:256778010:-1 gene:SECCE5Rv1G0313260 transcript:SECCE5Rv1G0313260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCPARILIFLLPTPSLIPPPPVRRPRRAWAGTARCAPEAAPGGGFVVIEDDLSELLQILPRDLRDNLQNEPRRDQLLEVILDLGRRPEARFLGNSGGQYLRDSEISQLELEEAQRAVGEFGGDNRAGIEGTLHRISAIRSRKGLVVGLTCRVGRAVNGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVPEPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGERLGNIIKNPVLSDLIGGVENVTLGDEEARARRTQKSILERKAPPTFPFLIEMRERNYWVTHRTERSVDMLLHGKKPLVEVRKRDNEFQVIIERWATYDGDGL >SECCE3Rv1G0179630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:545858998:545861011:1 gene:SECCE3Rv1G0179630 transcript:SECCE3Rv1G0179630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKREGGGGGKGGGGGAHQQRGGGGGLTPVTDVLSMHGGLREVSVSVVFSVWCLLFLLRSQFLNSQTDDPSDLYGERDNYCKVMPLEAYIFPADNASSPTCQSSSSSSSPHRPAEALPPSNASGGNSSTEAAFVELDEFRSRILQGKADNDSGRHHQRVADGAMHRLEPSGAEYNYAAASKGAKVLAHNKEAKGAANILDGDKDRYLRNPCSADDKFVVVQLSEETLVHTVALANLEHYSSNFRDVELFGSLSYPGEAWELLGRFTAENGKHAQRFVLAEPRWTRYLRLRLVSHYGSGFYCILSYLEVYGIDAVERMLQDFIASHSPDADAAKAAKAAADARKDSGHNDTAGSQVHARQVEGSGRNDSAGHVAKNNGSRVADGKPARVQAQGKEAVKQATGRVHGDVVLKILQKLRSLELGLSTLEEYTKVLNQRYGGKLPDLHNGLTQTGKALEKMKADVEGLVEWKDKVARDVGELRDWKSSVSRKLDHLVRENAAMRWDVEETRSIQETLQNKELAVLSISLFLACVALFKLACDRLLLLFASKEEEVEEDRAGTAGWMLVLAASSLTTLIVLLYS >SECCE2Rv1G0083250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:163806083:163806307:-1 gene:SECCE2Rv1G0083250 transcript:SECCE2Rv1G0083250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAGFIFGAAAAAVIAVGAYMFFWPASAVVMMKAPRSSGLLISRLAFEANPQRYYHLLRTAGAAVAAAAFAP >SECCE3Rv1G0212700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:956255034:956257650:1 gene:SECCE3Rv1G0212700 transcript:SECCE3Rv1G0212700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRATFADALAAARPYLRGEEDQCGDPALPALTAVLRAAGAGECWHKHGTFLAHLLEVYRILRLWAAPDAVARCGLYHSAYSNSYVNLAIFEPDVGRARVAAVVGDEAERLVHLFCVVPRQQLVHDDLLFHYDDADLAADLARSEESLRDARSRVFDEDEPWRRKIQRLLPADGITVKHIRTGEDVALSRRVAATFLMMTMADFSDQLFDWQDRLFNNTNGRLEFRGNTWTSLWPGTCKPGLWTTSISRMGVLYNLIVREEEIYVAHRTHTTGKEGDDSATRDEDIALVIPPVFDGCTKVLDADDQKAARDLYWEAVCTDEEATDRSKVEELLRQSVAKNPFVGEPRLVLAQMCLNAEMYEEAQEQAEEGLKLLLEWGSSWDKRMPWEGWVSWGRAMLTKAKEKDWPHTSFGILSLGLVK >SECCE4Rv1G0248900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:576978773:576980179:1 gene:SECCE4Rv1G0248900 transcript:SECCE4Rv1G0248900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPLRHVAMLPFMAKGHAMPLLHLSRLLLGRRLASAVTFFTTPRNAPFIHMGLIGAGAVDIVELPFPSEDAPQSTDELPSSTHLVDFVSAVAALGPAFADALAALEPRPDLLVHDGFIVWAKDVADQLGMPRLVTLGIGGFASYICGAVMTHKPHALVSSPTEPFPVHGLPDLRITMADLGPPFDVPEPAGPHWDFVCESCSSMYSSRGIIANSFSELESVYIDLWNKNRGFDIKMWPIGPLCLAVPEPAVQSKDDREISDWLDSRLAMDRPVLYVAFGSQAEVSRAQLEEIAVGLDHCGLDFLWVVRSKWLNPDDRFSDRFGDRGKVVEGFINQLGVLGHKSVKGFFTHCGWNSVLESITMGVPILAFPMAAEQKLNAKFVVDVIHMGLRVWPKEDANKEGRGLVVSGDVQVLARELILGEEGRRAAARASELSVSSRKAMEVGGSSYENLAKMVQEVSETHCQ >SECCE5Rv1G0299040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14645745:14648835:-1 gene:SECCE5Rv1G0299040 transcript:SECCE5Rv1G0299040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGMDASISALCGSLSQVLTHADDSSRALSDALSRRAIPLESATNAFLQGLDRRVEAAGADLARLESMAFGTVSVEELLGHCREALDIVSRHADAVEFRLVSFGYVAPEVEDQVDAEEEDGDLGELDVPGNGLLGGSSSVLRSARKHFDDDDELFEDSMSLKNFGISDACLATLSSQDIDFSASPKMPDRKPGSVDDDQKILEEAEEPTPPQIETDEQDGNAFQGMIRASKEEYDKLPPYMKTLASWEELHEAVSKLNAYFGSDKAQGSLNQDDVGSIGLGRKGRSYLLILLRLNQLAMETIDGSIFYNLRKNDS >SECCE6Rv1G0414070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:629749122:629750195:-1 gene:SECCE6Rv1G0414070 transcript:SECCE6Rv1G0414070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRFKTCRLLPGNVRNRELSLIQPHILRRLRNKRRSIKRNLSRRENLNSNIKSKTTRKLSLYYGDLPIREMHRGREQTSYIPFLLDQETRSDVIPFRLYFSDSLPQARQPISHRRVCLNNGLVTITHLKVSHGDLISCKENDARTRVFEIRRSFYIDISVGKIIVKFLSAMSVGKRRGKFLPARIWRRTKTEWFRLLTTQRGCRLLLKSMELQELRSYIQEEDFERTKKFGSAKVCLGSCFAEHNRMKRNFNSTYCSGSPFTRKIRIKRIELPTHYSEVNHRTLKAVVSYGPNIGHIPHDIRLKDPNLPLRSGNGSGQNI >SECCE5Rv1G0346520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:661646892:661648710:1 gene:SECCE5Rv1G0346520 transcript:SECCE5Rv1G0346520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGGMEETPAAVAAAKNCSGGVGEGTVVEVEVEEVPRFRRVCVFCGSSSGKRSSYRDAAVELGKELVARRMDLVYGGGSLGLMGEVSEAVHKGGGHVIGIIPTTLMGKEITGVTVGEVVAVSGMHERKAAMARNADAFIALPGGYGTLDELLEVIAWAQLGIHTKPVGLLNVDGYYDFLLAFIDKAVDDGFIRPSQRHIFVSAPDARDLVHKLEEYVAVEEEDPATPKLRWEIEQSGYNATLQAEIAR >SECCE3Rv1G0175950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:437492439:437533524:1 gene:SECCE3Rv1G0175950 transcript:SECCE3Rv1G0175950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVEEQMVVKAIREECPWESLPKRLQSTLHTKEEWHRRIVDYCIRKRLQWNTCFARRVYREGEYYEEMMRYLRRNLALYPYHLADYICRVSRISPFTYYCDILFETMKNEQPYDSIPNFTAADALRLTGIGRNEFIDIMNKCRSKKLMWKLNKSIAKDLLPTQPVDFPIEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANSYVIFDMKIIDDLYKRGLVYFDVPVYTDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLQADLYQLQAAASFACRLGWAVKLVDGDSVFEDKGEPPSPSNILSDDDEGSNASINSEKSNQQLLSIDTNGPRRISGTAHVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRWSLECLKSGGVSTDDNTDKDGETKTPTNLLHENVTAHLANANIEDGVEDGLGKVPQDNCSTGVLDNKDENILSVSMCLPERGENMVRTEAENDSTGMNVLMIKRKYTVDVLRCESLASLAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGMIHFGPPSYSAMTPWMKLVLYTAGHSGPLSAVFLKGQRFRLLPEPLAGCEKALIWSWDGSMVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVLVQPLSIDDLNASGNLVTVDIPLPLKNDDHSIASVVAQANLPKEQVFNLTSVLEDLSSKFQLTTLGYLRLLRLNNLVESDKFHLENGSYQWVPLSLEFGIPLFNPNLCERICGRVVASHILQKDDFTEHYDVMQNVRRRLRELCSEYQATGPAAKLYNKRGSSRDLPRTLINSISSRWDPTNDPSAPTNTRTPSEHERLKLAGRQRCRTEVVGFDGSTVRSYSLSPEHDEATTKHISDEQSSTHEGKPDQEDAHSKDVTLPGLNLIFDGVELHPFDIGACLQARQPLWLIAEASTVSSTFI >SECCE6Rv1G0399330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:436070128:436071988:1 gene:SECCE6Rv1G0399330 transcript:SECCE6Rv1G0399330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAPQGGEFSTKDYSDPPPAPIVGFEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQSDPTVNTTDAACSGVGILGIAWAFGGMIFVLVYCTAGVSGGHINPAVTFGLFLARKVSLIRALLYIIAQCLGAICGVGLVKAFQSSYYVRYGGGANELSAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHIPVSAGSSPNRIRRVHGPPGHHPHHRHRHQPGEKLGSCCHLQQRQGLG >SECCE1Rv1G0046540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:618257622:618258107:1 gene:SECCE1Rv1G0046540 transcript:SECCE1Rv1G0046540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSGALALKAATVAAVLAMLIVPSLGRCRGSPPPAPPPPSPTPPPPAQFPPTPAPSPGPISCKDCYSQCYLSCNASIPSSCSMYCDVEVRCNSCKTSAIEECRTSKNCTDSCDECNDPANLFKCAFSCTTRNCIGCHYGAGQMCDKACREECSAPKCVP >SECCE4Rv1G0293700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:886707822:886711646:-1 gene:SECCE4Rv1G0293700 transcript:SECCE4Rv1G0293700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFKIKGQKKEEAANSNGGPPVKKQSPGELRLHKDIAELNLPKTTKISFPNGKDDLMNFEATLRPDEGYYLGGSFTFTFQVSPSYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLNLLFSQPNDEDPLNHEAAAVLRDNPQKFQRNVQMAMSGGYVDNTHFPRCK >SECCE1Rv1G0046600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:618890214:618890696:-1 gene:SECCE1Rv1G0046600 transcript:SECCE1Rv1G0046600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSGALAVKAAAVAAILAMLVVPSLGRCSGSPSPAPPPPTPTPPPPAPLPPTPAPSPGPISCKDCYSQCYLSCNASIPSGCSAYCDVPGSCNPCKTTAIEECRTSKNCTGSCDECNDAPNFKCAFACTTRNCNGCHYAGTQMCQKTCSEECSAPRCVP >SECCE7Rv1G0454680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:3686953:3689567:-1 gene:SECCE7Rv1G0454680 transcript:SECCE7Rv1G0454680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMATAAAAALIVSLLCCMAMAAHASHVVYPELQSLEAKEVDKELRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIIWAHSVSTDLIDWVALEPGIYPSKPFDIKGCWSGSATILPNGVPVIMYTGIDPDERQVQNVAYPANLSDPFLRKWVKPDYNPIINPDRGINASAFRDPTTAWYGPDGHWRLVVGSKENLRGIAVLYRSRDFRRWIRAHHSLHAGLTGMWECPDFYPVAVAGGSRHHQSGVDTAELHDSAVAAEVKYVLKVSLDLTRYEYYTIGWYDHDKDRYTPDLGFQDNDYGLRYDYGDFYASKSFFDPVKKRRVLWGWANESDTVPDDRHKGWAGIQAIPRKIFLSRSGKQLIQWPVEEIKSLRAKHVNVSNKAVKSGEFFKVGGFKSVQSDVEAAFAIKNLEKAEKFDMAWRTDAQGLCKKLNSHVKGGVGPFGLWLLASNDLKERTAVFFRVFKNNDTSYVVLMCNDPTRSSYESQIYRPTFAGFVNIDITKTKKIALRTLIDHSVVESFGAGGKTCILTRVYPRKAIGDNAHLFVFNNGESDIKVTNLRAWEMKTPTMNKRLGQ >SECCE6Rv1G0451810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:873798846:873799259:1 gene:SECCE6Rv1G0451810 transcript:SECCE6Rv1G0451810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPGKAVILLQGRYAGKKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKVFLKLVNFTHLMPTRYTLDVDLKEVASGAPDSLTTKDKKITAAKSAKAKLEERFKTGKNRWFFTKLRF >SECCE6Rv1G0453070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:880055830:880061327:-1 gene:SECCE6Rv1G0453070 transcript:SECCE6Rv1G0453070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYIGDYLKQVVQDELGTMLGVSGEIDKLGDKLQDLNNFLADADRRNISDETVQVWVGQLKRAMYEAADILDLCQLKAMEKRWPSSAEAGCCNPLLFCMRNPFYAREIGTRIKALNQRLDSIKERSATFNFINLGSYEEHSSSRHGNPSRETSGELDRSSVVGDKIEEDTRALVAQITRSGNEVSNNIMVVAIVGVGGIGKTTLAQKVFNDEAIQGDFSKKIWLSVNQNFSEVELLRRAIIEVGGDAQPVGNAKATLQRTLKEALIGHKTFLIMDDVWNYRAWEDVLKTPLVNAAVPGSRVLITTRDEGVARGVSAIWPYHHIDTLAPDDAWLLLKTQVLSSEINEDHINTLKDIGLKIIQKCGYLPLAIKIMGGLLRERGGLRRDWQHVLDDSKWSRTKMPDELNYAVYLSYEYMPSYLKQCFLYYSLLPKSRNFNMDVVVAMWISEGFIHGNSNDLEELGENYYKELVSRNLIEPDKWYATLWNCSMHDVVRSFAQYMIKDEALVTQDGDNNILAKLGSEKFLRLSIETNQSQSAELDWKSLQAQQSLRTLISTEQIKMMPGDSLVTFSSLRTLHIESTYMAVVVESLHQLKHLRYLTLVNADISVLPGNIGKMKLLQFLDLYECTKLVNLPDSIVKLCQLRLLSLPEASIVPRGFHGLKNMRRLNMFRAHMDGDWCSLDELGTLSQLRVLRLTELENVSAASFAANARLGEKTHLIKLFLDCTSKLGDDGLVKEKEGVSEEEQQRIEKVLDKLCPPPSVEDLEISGYFGRQLTSWMMSTSMVPLNNLKTILFSDLACCTQLPNGLCHLPNLQILQVSRAPCIKHVGAGFLQAAAASFPRLIEMILDGMVEWEEWEWEEQVQAMPRLEELVVNKCRLRHVPPGLASNARSLKILCLDHVKQLSYIETFPSVVELIVYDCPDLEKITNLPNLQKLDIQNCPKLKVLGHITSLERLVLEDYTMEKLPEYMRDIKPRHLQLFCRLWLLYAVAAGQSGTEWDKFNQVEHVKAYARDGDNQRKWYVLYTGGDNYKLDSNISSSTIVEEPLSSCMVDAQGFESLYKMRRSTFRYVCTLVRIPFFEDMMARDHTFVDGRLLSLQDRVAIALRVLNSGDSPVTIGSSLGVNESTVSLVTQVFVEAMWDRAFHHIAWPGSAKMKKIKHKFDKTHSLPNCCGIVQTAHITFGSQNHEPGDEQNDDRLMLVVDPDLKFTDTQLGSQNQLSVLHDSWFFESCQEGTLLNGSKLKFSDGLEVGEYVIGDAGYPLLPWLLTPYHLEDKDLLSADVPPYQAEFNRRHSSASTLTLAALARLKENWKILDRAVGSFPVMKTVDVCCILHNIVIDMEEVEEEEVAGMEEENYIERVRQVEDEDAVRVRDILSQHLTGSGVHTTAAEEKKEAAAVVSSGRGDGNKEQQAQRKTSIRAGKGEGTP >SECCE5Rv1G0301270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:29801280:29802671:1 gene:SECCE5Rv1G0301270 transcript:SECCE5Rv1G0301270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNSTRSMSIAGGSLPAVFKRLLQFTVVSVAIAVAVQPLTSSLPPPVDPHADLSVVAGWDAPLLDPLDAGLRAEILRYGDLAQATYDAFDGRHWSPNCGTCLHGLRRMLPALGLAGHGYVATAFIYATCDVDIPRWLITLLHADAWDDHANWAGYVAVAGAEEASRVGHRDVVVVWRGTMSAEEWFMNLRTGLVPFDAADGDGAMVAEGFHTLYTSSNAGNKYGARSARDQVADELKRLVDHFRERGEEVHVTVTGHSLGGALALLSARDAAAAHPDVPVRAVTFSAPRVGNRAFSDGLTSRNVSVLRVVVKTDLVPTVPRTALEASVTGLLGGLWALAGLRHAFEYVHVGHELALNVSKSPHLKGSHDPVGSHNLELCLHLLDGHETAAGVFRQDDGAPRRDVALVNKRSAMLHDKERIPEKWSQTANKGLQRDGSGRWALPEREHDDMPANDVLPLSELH >SECCE2Rv1G0097620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:464872812:464873160:1 gene:SECCE2Rv1G0097620 transcript:SECCE2Rv1G0097620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRSFLGKLPRPSCRQAALGGTVASAAAAGIWYLQQNTDKPTPAPVLDKLVAKEEVMKKEPQQAAEEAAANKAQHAGEEAATKN >SECCE5Rv1G0365780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:809242510:809252023:1 gene:SECCE5Rv1G0365780 transcript:SECCE5Rv1G0365780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRPAPLLLLLAAAAVLGPGGGCEAVYIPYNTSAGVVNGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLKDENRKFIYVEQAFFQRWWRQQSDIIKDTVKGLVSSGRLEFINGGMCMHDEATVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGAEVGFDALYFFRIDYQDRDTRKGTKELEVVWRGSKTLGSSADIFAGIFPKNYEPPPGEFYFEVDDTSPVVQDDPLLFDYNVEQRVNDFVAAALAQANVTRTNHIMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKFSANEPWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRMMSGYYLAARQLEFFIGKSNSGSTTDSLGDALALAQHHDAVTGTEKQHVANDYAKRLSIGYKKAEELVSTSLGCLSESGSNSRCSSPTTKFGQCPLLNITYCPPSEMNLSQGKSLVVLVYNSLGWKREDVLRIPVMSDSIVVHDSEGREIESQLLPIANASSHLRDIHVKAYLGTSPAASPKFWVAFPASVAPLGFSTYFISSGKRSASISSTSTLNSQGSESKNLQVGQGRLKLQYDAAGALSHYSDSKTQVEANFEQKYKYYIGQDGGGDDPQASGAYIFRPKGVVPIKTDGQVPPMVMRGPILDEVHQQINPWIYQITRVYKGKDYVETEFIVGPIPVDDENGKELSTEIITSMATNKTFYTDSSGRDFIKRVRDYRSEWKIEVNQPVAGNYYPINLGIYVEDGSKELSILVDRSVGGSSIKDGQIELMLHRRLLNDDGRGVAEALEEKVCLDDQCDGLVIEGKYYLKIDPQGDGARWRRTFGQELYSPLLLAFAEQDGGNWANSHVSSFSAMDPTYSLPENVALLTLEELEDGSVLLRLAHLYEAGEHKDLSTPASVDLKRVFRDKKIGKIIETSLSANQERAAMEKKRLKWKVAGPPPKENVARGGPVDPSKLVVELAPMEIRTFLISFDHRLAAHPI >SECCEUnv1G0533440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:30458387:30461170:1 gene:SECCEUnv1G0533440 transcript:SECCEUnv1G0533440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVLFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQTIINDPFMLNSVILVLANKQDMKGAMTPMEVCEGLGLYDLKNRVWHIQGSCALKGDGLYEGLDWLSSTLKELQASGRLPSGGT >SECCE5Rv1G0309390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:151771813:151776238:-1 gene:SECCE5Rv1G0309390 transcript:SECCE5Rv1G0309390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTCCSGLPATPRRQAGHHTLPLPAACGLAFAPEFGSSSRRGRPPPLCCTSTARTRVVASCSVPRRNVLSTLLSASTVLLLGPRQITLAETTGGAFREYIDTFDGYSFIYPKGWIQVKGAGADIFFRDPVLLDVNMSVDISSPSSSNYKTVEDLGPPEKAAEGVLKQYLTEFMSTRLGVRRESNVLSASSKVADDGKLYYEVEVNIKSYASNNELAVMPKDRVQSLEWNRRYLTVLGVENNQLYALRLQTPERLLSEEEGDLRRVMDSFRVNKIQA >SECCE6Rv1G0418430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:662782584:662787827:1 gene:SECCE6Rv1G0418430 transcript:SECCE6Rv1G0418430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGLMTKKELGQTHDVLRFGVNDSVRGDLAPAHPVQATIHKESKFWDEKKKFGTEAIYGSAFNIRKDLDAQILSRFQRPPGALPSSMLGYEAMTGSLDDFGFEDYLNLPQDSDSLRIPDMHHGMEVRLGLSKGPICPSFN >SECCE2Rv1G0121160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:805416413:805417639:-1 gene:SECCE2Rv1G0121160 transcript:SECCE2Rv1G0121160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERLELSFARALLRFYPYAGRFAVAPGSKDDEEGESMAISLRCSGEGAEFVHAVAPGVAVADITVPLHIPRVVWSFFPLDRLLGVDAIADSRPVLAAQVTELTDGVFVAMAANHGVADGTTFWHFFNTWSEISRLSHGDVGLEISSPLPVHRRWFLDGCPVPIPLPFGKLEDIVGQRLDTYPPVEECFLHFSAESVRKLKKKANAEMAGTAATDTRAVCRARRLAPDQKTTYHLLAGCRGRVDGIPASYAGNAVEHATAISPAGEILERGLGWAAWLLNRAVASFDEASARDRLASWPRNPEFMRMSEVVTAPHPHATVATGSSPRFDVYGNDFGWGSPVAVRSGSANKLDGKVTVYEGRGSGGSIAMEVCLSPEAFPRLLADEEFMGMAGATD >SECCE5Rv1G0326450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:480086652:480088217:-1 gene:SECCE5Rv1G0326450 transcript:SECCE5Rv1G0326450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGVLHRLLVNKLCLGLLLVLLVVPIVILLLGTTPEQLKVLSQGFLQQQGLEHIGDNVSALVGPAAPAYNDHTHTSITKRNKWKKDCNYAKGKWVADEKRPLYSGNECKQWLSKMWACRMMQRKDFFYESYRWQPHGCEMPEFSGPNLLSRLRHKTLAFVGDSLGRQQFQSIMCIATGGKYSPDVEDVGWKYGLVKAPGALRPDGWAYRFPDTNTTILYYWSASLSELEPLNTTNSVTSYALHLDRPVTFLKKYLHGFDVLVLNTGHHWNRGKFNGNHWELYANGEPVGKGRLADLNRAKNLTLYSIARWVDSELASRPQMKVFLRTMSPRHFVNGDWNTGGSCGNTVPFSNGSEVLQDHSSDLPAERAVNGTQVKLLDITAISHLRDEGHISNRTLRAPTGMHDCLHWCLPGIPDMWNELLFAQI >SECCE2Rv1G0139100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:925203760:925207548:-1 gene:SECCE2Rv1G0139100 transcript:SECCE2Rv1G0139100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPLESELEAPTTRIRIRAILEADDALAGHRVVVGGWARAGREQGGGRFAFLDVNDGSCLANLQVKVDAITIAPRPLARLTATLPRARPAKHGVELSVERVIEVGKVDAAAYPLPKTKPGHPLDPAYLRDFLHLRARTNLISAVFRIRSELSSATDAYFRDNNGFQRVHTPIITTSDCEGAGEMFQVTTLFSQAEKLDKELKENPAASQVDIDAAKIIVKEKGDIVAQLKSAQATKQKIAAAVSELKKAKDSVSRMEERSRLTHGIPRSEDGSIAFENDFFKCPSYLTVSGQLQLETLACGLTDVYTFGPAFRAENSHTSRHLAEFWMVEAEMAFANLQDDMNRAESYVQYLCKWLLEHCRADMEFMVKNHDEAAIERLELVSSTPFERISYTKAVEMLKDADKKFENKVEWGIDLASEHERYLTEVVFKKPVIVFNYPKGIKAFYMRLNDDQKTVAAMDVLVPKVGELIGGSQREERLDVLEQRILDAGLDLEQYAKYLDLRRFGSVKHSGFGLGLERMILFATGLDHIRDVIPFPRYPGRADL >SECCE4Rv1G0251000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:598235538:598239550:-1 gene:SECCE4Rv1G0251000 transcript:SECCE4Rv1G0251000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREKGSPASLGRPCILIIVVAGVERFAFKGVASNMVNYLTGVVGMSTAAAAQSVSAWVGITSMLPLVSAVLADSYWDRYSTVTASSLLYVAGLVGLASWAMLQKWMPWATLFLPLYLISIGQGAYNPSLQAFGADQLDIGDEDDDSGSTAEEKGKVKSAFFQWWYFGICCGSLLGNTTMSYVQDTVGWGIGFAVPSAAMALSVAAFLCCTPLYNKISQPRSVDRPCSESVLRALKSLLAKVSAGKIRLASRHDGQDDGDDKNNASDLELQEKPLKLAKLTDPKEANGPGMAKIILRLLPIWAVLLMFAVIFQQPMTFFTKQGTLMDHRVAGGAFVIPPATLQSTITVSIIILMPLYDRVIIPLIGVVTRDSKGITVLQRIGVGMVFSVVAMVVAALVESRRRHMAAGQMNIAWLLPQYMLLGVSDVFSVVGMQEFFYSQVPDAMRTIGIGLYLSVFGVGSLVGTLLIAAIEVATAGGAGKGHGWFSDDPREERLDNYYWFLALLSSISFVIFTQLCRCYN >SECCE2Rv1G0115430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:750397776:750399904:-1 gene:SECCE2Rv1G0115430 transcript:SECCE2Rv1G0115430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQAPDIPVSYSSSSTSPASSVWESHRTIQMHAPFPSWSSHILAAAATDPATFCADSSSSAHTHLPSGHLWNQTDLSMGAHGSSNELGGSSGHGKDFLSLLEARTVMPEMLDDFSSAACDYLKGMDGSDYNSISGSGPYGFDSGGPYADPSTPPVRCDGIASSPLVYLGNNTLVQESMMGCMPSNNHEVKLDGDQQQELGAPMNAFLQKTLTGSVAIHGSSLGYSGFGSERVFPEGRVMQVSFDGRSSLDAISASGYRSKAESMSVRTGSRSGSGAAGDPKKRKSEERLGDNGKKSKQDTSSTSPPKAEVPDVKSGERDKIIALQQIISPYGKTDRASVLFETIKHIEYLHEQIQLLSEPYMKNITNEIPFQWGGKEEDLRGRGLCLVPVSCTPQVFQDNSLPDCWTPAYNSSRYH >SECCE6Rv1G0438160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:788166828:788167073:-1 gene:SECCE6Rv1G0438160 transcript:SECCE6Rv1G0438160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKKTIGVVALVAAMVILQLAIAPTAMARSLQGMKTDELLRAFEDGMGVNMYYCPGTCITSPCSGTSADCHCQWPSCVA >SECCE6Rv1G0431370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746299145:746299849:-1 gene:SECCE6Rv1G0431370 transcript:SECCE6Rv1G0431370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPHVSETEVEQELHRLEASISSSSSIITMCDGLRSLANIYDGLEEIICLPSNQACSSQQRKMLDEEMEGTLELLDLCSSMQEIFVEIKAIIQELQVALRKGNDAATQAKIQSYTRLVKKAKNLFKKNTKKTPADCKMVMLLTKARESSASLLESTLRLLSKQIEMPKQSLVSKAFHKKKAVVCKEEQLSELECSIGDLESGAGHLFRKLVQSRVSLLNILSS >SECCE5Rv1G0342070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:630675650:630676163:1 gene:SECCE5Rv1G0342070 transcript:SECCE5Rv1G0342070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCTDCPRTAPLKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCHHFEWMDDYIQRLQGLGLLDSRGNAIREFNLPHDSATPVAAARPEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE3Rv1G0179150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:528760911:528763779:-1 gene:SECCE3Rv1G0179150 transcript:SECCE3Rv1G0179150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLWRVRLSSFAAGAATASAVGFLLLYKDHLLARAAIARQVEDVKRISEKHYEALNHRISELKNRKESGTNKEASD >SECCE4Rv1G0241300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448336250:448336513:-1 gene:SECCE4Rv1G0241300 transcript:SECCE4Rv1G0241300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGRIFFGFMVKEENRGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRRRLLAYLAKKNRVRYKKLIGQLNIREQ >SECCE4Rv1G0293940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887668517:887670671:1 gene:SECCE4Rv1G0293940 transcript:SECCE4Rv1G0293940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLVPVGRRISLLEKNIKDRYRTGRIGTEDALHLFDELLPDARPSSIAAINCLLTVVGRDCPALVVSLFNRVARSKVAPCSITYGILVDCCCRAGRLDLGHAAMGHVIKLGFTADAIVNFSHLLKAICTEKKTSYAMDIVLRIMPMFNCVPNIFSYNILFKGLCNEKRSHEALELIQIMVEDGGSCQPDVVTYSTVIDGLLKEGLVGKAYTLFSEMLQREISPNVVTYNSIIAGMCKLHAMDKAQEVLQQMFDRGILPDTTTYNSLIHGYYSLGQCEEVDRIFEEMSRHGVQPDIVTYSIQMDYLCKSGRSAEARKIFDSMIIFCFMGMPWKKSFDEMYCLIDLMVGNGIAPNHHVYNILIYAYAKEETVGEVMHIFTKMRRQGLNPDVASYGTVIDLLSRIGRMDDAISQFNQMITEGLAPGITVFTPLISGFCTCGKWEKVPELFSEMLDRGICPNTVFFNTIMDRLCKNERVMEAQDLFDLMVHMGVKPDVRTYNTLIGGYLFDGKMDEVRKLLDNMVSIGLKPDVITYTILIDGYSKNGRIDDALVVSREMLSGKVKPCVITFNIMVGALLKCGRKAEAKDLFDGIWANGLVPDVITYSLMIQKLIKEGSLEESDDLFLSMEKNGCAANSCMLNAIVRSLLRKGEVPRAGTYLSKIDERRFTLEASTASLLTALASGGKGQEYKALLPEKYHSFLEQGTD >SECCE2Rv1G0076910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:95351605:95355712:1 gene:SECCE2Rv1G0076910 transcript:SECCE2Rv1G0076910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPADGAAYWLRWQVFVCGALIAVPTALAAALLPRLRRSVAPLRATDLWLPCWPRLHPGWLLGYRAFALAAAAALLVRDVVPHGPRVFFFYTQWTFLLVTIYFAVATAISAHGCWSYSKKSLRKADEYGDVENRDLSTSISGERKNGEIDKMASYYEQIANEKRAAFWGRCMQIIYQASAGATMLTDVTFWGLLVPFFYRDKFGLSMVTDGMHSVNAVLLLIDTLLNNMPFPWYRIAFFVFWSCSYVTFQWVIHASGALSWWPYPFLDLASPGAPLWYLAMAVVHVPCFSAYWLIVKAKRAYFPRMFPHAYVRTS >SECCEUnv1G0533820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:33621734:33623095:1 gene:SECCEUnv1G0533820 transcript:SECCEUnv1G0533820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDFLGPRSAPRHAMPLVARRDAEDDGEPWLLASPARVVAYPDVRAAAAAAHRHHPTAPSYQVRAPPPHPQAFPFAIPASSLQGPQVVHSAAYAPDQRCSAAQLTIFYAGSVHVFDNVTREKAEQITFMAAKAAQAGGSPPVVRRALRQSESAPVPDERKQMPLARACSDPVHPSPHALFVLPPRDVPLARSASLARFLERRKRKQRTANAATPYSRREISPASVDTFHMVSPGNTTLSGNTELSWFFGDEKAGSRDEEALDTELKM >SECCE5Rv1G0341950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:629386768:629387124:-1 gene:SECCE5Rv1G0341950 transcript:SECCE5Rv1G0341950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSSTALHSSIQPHPSLICSCTMPPKLIVIDVVDTMPHHLATSTPSPLLEVLEAHDPQHLSPEQQVLPRWRLHGGCDMQDAVAAQSRLNFGLLSRRGLEVHRRDLGFASTEGNGVE >SECCE2Rv1G0127420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:852786230:852787684:1 gene:SECCE2Rv1G0127420 transcript:SECCE2Rv1G0127420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAARPVQQPCHVVALPYPGRGHVNAMLSLCRLLAARGVAARVILTEEWLGLVGAAEPPLPELVRLTAIPDVIPSEHGRAADLPGFADAVNTRMEAPVERLLEEMLPTPEMAVVVADFLLQWAVPMALRRGTAACTFCPFSATNFAAVYHFERLMAGRSLAVDDPMWDQPIPGHGCLTMRESQPILCGEASRGRFEARIRSARTGQCVLFSSFYELEAGVMDALVSEPSSARMYSIGPCIPYTTLEGEPRGGCSYWAWLDTQPAGSVLYVSLGSFLSLPPSQLGELAMGLVASDVKFLWALHDQAQSQVRPLLGGNGNGVLVPWCDQLKVMCHPSVGGFLTHCGINSVLEAVFAGVPMLTLPVAMDQPTNSRLIVDVWKIGYSLKEKMQPDGIITKEAIARAVETLMGSSDLPESNGVRSRALLWKDASRRAIQEGGSSSTDLNSFLSCMF >SECCE2Rv1G0141750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:936603249:936604873:-1 gene:SECCE2Rv1G0141750 transcript:SECCE2Rv1G0141750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHTMPGQFYGLRTEMSIWASPNIENSQESGASIQIYCQDGGHYSLIQAGFHISPSLYHNRDIRFFTYWTKDSRSKGCYNSQCGGFVPARGAELVPGQAISPPSIYGKQDHYIRLSLNKDPNSGDWVVYRHDLETPSFLGHFPKELCPETPRIQALTGFVNYLKNAHGPPMGSGHLPDYDEKKSAYFNHIKKYNSKGQAFDPRYTGMVKLVDRKDCYDANDLFLEFKKGYTFNYGGPGGCIG >SECCE4Rv1G0251800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:607804894:607805648:-1 gene:SECCE4Rv1G0251800 transcript:SECCE4Rv1G0251800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEQGETSKAPLSRGVGRGVSILDLILRVIAVIGTLASAIAMGTTNETLPLFTQFIQFKARYSDFPALTFFVVANSIVSAYLILSVPLSVMHIIRSRAKYSRLVLIIFDAAMLALVTAAASAATAIVYLAHKGNVSANWLAICQQLDSFCERISGSLVGSFGAMVLLILLILLSAIVLARH >SECCE6Rv1G0421950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:687289359:687298714:1 gene:SECCE6Rv1G0421950 transcript:SECCE6Rv1G0421950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAEARLRELAPGPGAVISATEAATLAQCCAGLLGCGDAGAACSALDALCAAGGDAMRRHADELTPLVVGRLGDGDAAVREAARRFLVLLMEMKEMNARSENMQTTSSMPDVHRSSCATTEAESSGTNQVKRSSKQKISTRDMSLLAGEEDITRKSVEPIKVFSEKDLIKEIGKITSTLQPENDWSIRITSMQRVEGIVLGGAADYSAFPTLLKQLVPPLITQLLDRRSSVVKQACHLLIFLSKELLRDFEPCAELLIPALLKNVVITVLVIAESADNCIKEMLQNCKVARILPRIIEFAKNDRSAVLRGRCCEYAILMLEYWVDTPELQRSANLYEELIKCCIGDATSEVRSGARACYRIFSRIWPERSHQLFSSFEPSRQKMIIDDDAETHQKQLSPIEGIKLGHPQSVPCIPTAMDKVVMVDSQTSLSSGDLQSALVKISLQHSDMILKVPEEDSKDDLAIGSSFEDMSTLEKEKIPVRDPDERVSGTSSSSGNFPSATPFEQTPGILLTEATIVTTFQDKAECRPEVEHISSCQVKAPGDPSELLSRTPPDSSEESENLLNQRSSSASSNGISGGRLKTQQEERPCVRTPRKSLVSKQSPNNHTPNFRRPLLSKLMTNWFYASTRGDLDGKQLIIGEMANSMDVPSSLTGALSLGLNPKSDWMMRVYAFNFLQQHLQERGPKGIQEVAQNFEKVMRLVSQYLDDPHHKVAHAALSSLAEIIPVFKKPFEHYLDKMLPHVFSRLNDPKESTKQQCLTILKLAGESYSIDFLLPALLRSLDEQKSPKSKLAILDFAKASFVKCTVSSDIYSGSSFLKPWLGKLTLLFKDRNKKLKEAAVVGLSSVYCHYDPETMLSFIVTLSMEEQKQLTRAIKQLIPMIGSDLEEFLQQRRHKQKVPSFGRFAATDPHPRSYVVGRQHKSHQRDTYQSSDVRGDQVFSSAFQYLPNNQLEAYGRRTAKVESELGGDSYGHRAEMMGKKFSPARRSKDMMMNGSQSHEPSISQMHHQNLHQMSSSLLEMLDDPTESTRELALSLLVGMLEKQEKALENCIETLVVKLLHATKDSALKVVNLAHICLTTVVTQFDPLGCLRAIASQLVSRDEKILIVSINSLSKLVMRLSHDDLMAHLSTFLPVLLDAFENHSPYVRKAILLCLVDTYLQLGPAVAPHLERLGGAQLLQLVVATSASRLSRANV >SECCE2Rv1G0085560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:192993151:193002032:-1 gene:SECCE2Rv1G0085560 transcript:SECCE2Rv1G0085560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADVVRQTRELLIYVDLHAGSRGADPKREEEKMAELSKNIRDLKCILYGNGEHEPVTEACVQLTQEFFRENTLRLLIMCVPKLNLEARKDSTQVVANLQRQQVNSRILASEYLEANKDLLDTLISGYEDTEVALHYGAMLRECIRHQSIARYVLESDHMKKFFDYIQIPNFDIASDASATFKELLTRHKATVAEFLSKNYDWFFAEFNSRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVSSKDNLMILMNLLRDSSKNIQIESFHVFKLFAANKNKPAEVVNILVTNRSKLLRFFAGFKTDKEDEQFEADKEQVIKEISAL >SECCE6Rv1G0393130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:275717600:275746517:1 gene:SECCE6Rv1G0393130 transcript:SECCE6Rv1G0393130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGSQNGRARPPKSENIHGLVRAGDVAAVQRKLQENPALLNDKNPVMCQTPLHVAAGYNNTEIVKFLLDWQRQGADRVEVEAKNMYGETPLHMAVKNSSYESAKLLLERGVHTGAKANNGMSPLHLAVWHALQTGDCSTVNLLLSYNADCNAKDDEGKIPLNHIPGGAGNEMLLQLLTRHMEEQRKQKALMTWHEQQSMAEFEEAISQIVGLQELKMQLRRWARGMLFDEKRRAMGLGIATRRAPHMAFLGNPGTGKTMVARILGKLLHMIGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIKDAEGGILFVDEAYRLIPTQKSDDKDYGLEALEEIMSVMDSGKVVVIFAGYCEQMKRVIASNDGFCRRVTKFFDFDDFTTTELAEILLLKMNSLTDTSLLYGFRLHRSCTRGAVGELIARETTEEWLKQMNGGLVDTLLVNARENLDLRLDFSCNDAETMITITLEDLEAGLRQISRQRHLR >SECCE2Rv1G0103880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:595942940:595946082:-1 gene:SECCE2Rv1G0103880 transcript:SECCE2Rv1G0103880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFGIVVQGCSPSWRGIKPPRFPLMLASWAAGSSAFPGCSCAPAVCRNGSAVVPFAKKKRKGYSVEPPDGEEAKDDVPDEIEGEVEDINGEEEEEEEGDELDAGDDDIVDDDDSDSDDDYDFEDEFESDDEQDLYVGDGGAGGGISLAGTWWGKEALALAEEVSASFDGDLKIYAFKATANLEIRVRIEKMSTRYGSPTIDDIEAYTIAYRAKLDDAESAGRIPKNVSLEVSSPGVERVIRVPDDLERFKERSMYVRYVTTREDAATPQEGDGVFRLIAYDMDLCECTWGIADVKINRQQTGKGRPLSKKQREWRLQTPFESLKLVRVYSEC >SECCE7Rv1G0495580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:599403479:599404069:-1 gene:SECCE7Rv1G0495580 transcript:SECCE7Rv1G0495580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKKRPFTLMHCYLEFSKYPKWQTREVETSLKKQKKTIDASPGTATNDLADASSIRTDATSVRTDATSVRTDATLVRTDALEHEKRPDGVKKDKRGKADDSACKLSLETVWAAKQEKDEIKEAARNARYAQQLELRKEEIALKKKEDARNEREDARRQFELDERVMLIDTSGMTDEQKLFYQGKQKEILARGLG >SECCE4Rv1G0263210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:715164583:715165875:1 gene:SECCE4Rv1G0263210 transcript:SECCE4Rv1G0263210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVKPTATPSSKTLFQHEPADGGDAASGTIGGLPFHLTERILRCVSPLESAPFAAVCKSWAATISERLARPTPHLFALEVLDEDEYLLDLLFLPDLERRRGAIFSVPVGDEDSPAPVIPARLPAVVSHAEDNNIKLSGALPCGGLSFSEDNRVVLVNPITGAFQSIEMYPPRNRLLIQPTVRAVAGADAFFVSQYFQRIVTLRWREEEEWSEQNLLLPEEFTRSDAIDLVAYSGGIYYAMEFFGFTHTVDTRAPPPWRLTRLRAPSILKQYSPICRYRYLRNSHLLESEGEVMFVGPVLTPDEPLCLRTVRGFEVYKLDLERSRWVKVKRLADDRALFVSQQSSFSVRASETPGCRGNCIYFVSEFDETYIQDTWGVYSMEEQKVLFQRPVGGSPGKYKAARWFFPRVGASPVRTNCANSGKKINFQML >SECCE5Rv1G0375000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:865905468:865908520:1 gene:SECCE5Rv1G0375000 transcript:SECCE5Rv1G0375000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 50 [Source:Projected from Arabidopsis thaliana (AT3G06980) UniProtKB/Swiss-Prot;Acc:Q8GUG7] MDVAGAQARALPLLLGRPAASLRCSVSFSCGGARRSWAASAATAEGDDGGRDYERVAMDTPGAYRLVDRSTGKSVIVWGGVDDDGGEPGVPSPAVLSRVTADRSASKGAANGGSTAGVGSFGRLKAQKVQALARRSAAQLLKREGTSGRTSIARRNEPSSAGSDEDGSNSGRRKFVPDPARRAKPNGDSRDERSRAVRSLNSVLRQYKGDDDSEFPDEEAASGPKVWGKVADATSYRREDRRQKLPLDSGFFSRRTFKEIGCSDEILGAVRTFGFPQPSHIQAMAYGPVLEGRSCIIADQSGSGKTLAYLCPIVQNLRKEEAMGIHKPSPRNPRVIILTPTAELSSQVLQNCRSISKSGVPFRSMVATGGFRQKTQLESLDQELDVLIATPGRFLYLLQEGFVQLNNLRCAVFDEVDILFSEEGFEQVLHQLITVSPVTTQYLFVTATLPLDIYNKVVETFPDCEVLMGPSVHRTSARLEEILVDCSGNDNDEKNPETAFSNKRTALLKIIEESPVRKTIIFCNKIETCRKVENVLTRLDRKATQIKVLPFHAALDQAKRIANMKEFLKKQTTDSMFLVCTDRASRGIDFTNVNHVVLFDYPRDPSEYVRRVGRTARGASGDGKAFVFAVGKQVSLARRVMERNLKGHPLHDVPCF >SECCE5Rv1G0366720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815599039:815602512:-1 gene:SECCE5Rv1G0366720 transcript:SECCE5Rv1G0366720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPACAVGGRALVVSLNCLDDPTPERELLAGVAAVEHVSLSALGSGRVEAAAAVLLPSLAYLPRAAQRRLRPWQLLLCLGSPDRAADAAAAADLGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHVSSSPAAVAAGCLGAVQPLCRGMRRCRGLVLGIVGRSAAARCLATRSLAFRMSVLYFDPRYLAEGKMRRPSIVFPAAARRMDTLNDLLAASDLVSLHCTLTNDTMHILNGDCLQHIKPGAFIVNTGSCQLIDDCALKQLLLDGTIAGCALDGVEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAVTILQSFFFDGVVPTSSISDEDEEISEAGNEDDQDRGTKDNHSQVFDGEHQTDESHLTLNYEKKRATSHHKESQAPGQSSQNSGSRTEGRRSRSGKKGKKRPAHRRSQKTDDLSAVESDSNYSFRRDDDNATSGRDQVVSSSSRFASPEDSKYKQKSPAESPMEITSEKKLPVVLGRKYPDTLKDGYVVALRAKDNSGFHVARQRLAGGGGWILDVVSNATNRDPAAQFLVTFKNKDLMGLRSFVAGGKLLQINRKMEFVFASHSFDVWEGWVLEVEGSLLEGCKLINCRNSSAVLDVSIEVLAAASEEDGVTRWLD >SECCE5Rv1G0322460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:420847693:420853078:-1 gene:SECCE5Rv1G0322460 transcript:SECCE5Rv1G0322460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDARNGLTGTSQTKGSGVESPAKPLPPCCVKARAAAPESEAKCHATVVSGWFTETRSRCGKASKLQYYNNPMWPGETHSLKVEKILYQGKSPYQEVLIFESLTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREIARHGSVESIDICEIDQLVIDVCKDFFPDLSVGFKDPRVRLHVGDAVEFLRNSPEGTYDAIIVDSSDPIGPAQELVEKPFFETIARALRPGGVLCNQAESMWLHTHLIQDMLSICCETFKGSVHYAWASVPTYPSGAIGFLLCAKEGPPVNFLAPINPIEKLEGAMTAGRDIRFYNTEMHRAAFVLPTFAKRELEAYSGSTRRAQQEEKSAEPAKVAVVPHSEILTA >SECCE4Rv1G0224010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:76682663:76683001:-1 gene:SECCE4Rv1G0224010 transcript:SECCE4Rv1G0224010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCTLLLVVVAVIAVVYPVATSAAGNWSPIEDINNPHIQELGEWAVAEHLKQANDGIKFNKVTGGDYREEAGVRYGLIIDALDRNGKHGKYGAVLIERSSTRRLIFFTPAN >SECCE7Rv1G0508660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:773584854:773586351:-1 gene:SECCE7Rv1G0508660 transcript:SECCE7Rv1G0508660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLMVKKVVRPSSFDLDMHLDKSWKEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANVKVSSIAVAPLDSIHIVSSHANNRPSCPLCRGDVIGWIVISEARLHLNQKKRCCEEDCCSFTGNFNELQKHTQQKHPDSRPSEIDPARQVDWDNFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDDTGDDYEVLRRVRRRWWSFICCKAFCRYPRSRRRGRGRDSRGSGRRNSNQAHLENFNLEVPTQAVDLREIRFDEIDDEYIVTGAVPSMATPGRMASFHYRDTRYGR >SECCE1Rv1G0009120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:52786018:52786633:1 gene:SECCE1Rv1G0009120 transcript:SECCE1Rv1G0009120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSFVPISLLLLASLAAGAGAAAAADSGEGGPRISTQYASEEESRWLDRWTEKHLAQGSGEPIEIQPGTDEESAQLSRMFPGNAYIGHIEYDKDHPFGRIVVDAFHSKAGKAKPNDDLLKQDQEESRSYAEHDVKDL >SECCE1Rv1G0013900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108917451:108918948:1 gene:SECCE1Rv1G0013900 transcript:SECCE1Rv1G0013900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSHRSRLLLVCSVLVLCLVTRGARCDELTSDFYDWTCPGVYDVVQQQVFSAMREEPRMGASLLRLHFHDCFVNGCDGSILLDGSDGEKFALPNQNSVRGYEVIDAIKADLENMCPGVVSCADIVALAAGYGVLFSGGPYYDVLLGRRDGLKANQSGADNGLPSPFEPISSIVQKFKDVGLDTKDVVVLSGAHTIGRARCVLFSDRLTSTKSSADPTLDATMAANLQKLCTGGDGNQTTALDVSSADVFDKQYYHNLLSKKGLLTSDQGLFSADEDVVVSTTKALVQTYSDDGEQFFSDFGASMVKMGSIPLPAESAGEIRCNCRVPNKK >SECCE7Rv1G0501790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:688637067:688639182:1 gene:SECCE7Rv1G0501790 transcript:SECCE7Rv1G0501790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCRSLSSWVRRLVACMGSCLGCCGCAKPAPIIAVDEPSKGLRIQGRSVRKTNLSEGFWSTSAHGIGNSALQSQRSMSSISTAQQSSDQHGAGSSSNPNEFVNQGLVQWNQTRQQWVGNKKRKSRLEKPREPKISWNTTYESLLGSNKLFYQPIPLAEMVDLLVDVWEQEGLYG >SECCE4Rv1G0285280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843640942:843644207:1 gene:SECCE4Rv1G0285280 transcript:SECCE4Rv1G0285280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSRSRLLPLPVLLLVLAGAAAIVGEQDEQQPITHPGCPDNCGNMRIPFPFGLMPGCFREGFQVTCDHSFDPPRAFLLASSGMTANTITLTEVDSSALFDPSYPGLGYSYPNTSSWPVELMDVSVDRSEARAYGPITSRCSTSVTHFKLKEEAMSLERPFAVSEALNAVVGVGWSVSVRDSSRSTYTTTFACRSEIDASHLEHARNGSCAGRGCCEAALGSEPTFSIASGATPGVSAENNTLWKTSPCSYAMVIEKSRYTFSTPDLYGDKVLPKKFPRGAPVVLDFAIVGDAACPGNDQRPPLDYACVSNNSYCVNATVGQSRYALSYVCKCSEHYEGNPYIANGCRDIDECKFPDLYYCSSKGICVNTLNGYDCPCKPGMKGDGKLGHCAEKFPLVAKVIVGTIGSIFVIVVMSFLLLLHKEKKKTKEFYRKNGGPTLEKAKNIKLFKEDELTPYLKKSNFIGKGGFGEVYKASLGNELVAIKKTISGSLLENEQFANEVIIQSQVIHKNIVRLIGCCLEVDTPLLVYEFLSNGSLHDILHGDVKKPLNLDTRLSIAAESADGLAYMHSKTNTKILHGDIKPANILLDDRFVAKIADFGISRLIVRDKQHTRNVIGDLSYMDHVYLQSGLLTEKSDVYSFGVLLLELISRKKAMHSDNNSLVNSFLEAHKRGRRATELFDNEIAGGEDLKILQSLAEMAVKCLNGDVDQRPNMTDIAHHLLELNKSHNS >SECCE2Rv1G0090670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:284953196:284962827:-1 gene:SECCE2Rv1G0090670 transcript:SECCE2Rv1G0090670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAAAKRARENEGSAADGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVLVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQFFPHLALGFEDPRVALHIGDGVAFLKNAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVSRALRPGGVVCTQAESIWLHMHIIEDIVTNCRQLFKGSVNYAWTTVPTYPSGVIGFMLCSTDGPSVDFQHPVFNIEEDEYSTKSKGPLKFYNSEFHTASFCLPSFARRVIEAKAN >SECCE5Rv1G0317960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:355526229:355528214:-1 gene:SECCE5Rv1G0317960 transcript:SECCE5Rv1G0317960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFEGVDFVRLRSMQHGGAYVHAAKDGRSVRLHRTGPSWSYNAVWAVQRRVSASGTPYVLLRGAYGRYLGAPDASTRGSLCPFPPPCRVAAQRDFDEPEVLAIMWRAVATGRRGRGAFLLHDASGRYLRANSRCLLPCRSSVSVSSCSSLGTAVQWAVEAVPRLQARPYLPIARESEWGKLFALVCPPLSRLSVRWFSLEREIRWVRADDSGAFSEDDWASIRYTGRHTILMKGHVVNLLDPPESASSCTLCVRAGRYGQPSPLSINLPRSQEPLDVVIFRVGSSADDELIYPDVMAPEMARAAPADDDEPLL >SECCE1Rv1G0051850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:657334825:657335615:1 gene:SECCE1Rv1G0051850 transcript:SECCE1Rv1G0051850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQNQASFRAGEAKGHTQEKTGQVMGAAKDMAYEDKDRAADMAGHASGQGQGATEATKHKAGEATDKAAQTAQAAKDRAASTAQAAKDKTSETAQAAKDKAAGTTQAAKDHTVESKDQTGSFLGEKTEMAKQKAAETAEAARQKAAGAAQYTQDRTYDAAQYAKESAVAGKDKTGSVLQQAGETVVNAVVGAKDTVANTLGMGGDNTNANTTGSAGTTEKIVRDHH >SECCE1Rv1G0028490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:402312129:402317093:-1 gene:SECCE1Rv1G0028490 transcript:SECCE1Rv1G0028490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVDDKLAYFQAVTGISDTDLCTEILVANNWDLQLAVSSITGDPSSPEPSTYAPPPPPPLESDSIAYHPPAPAPQQQQPGIAWRLVTLPFYVVSGGVGLVTGSIRLGVWVASGVLSRSLSLLGLAQGGGARSLELPPSAAEAVDFVAEFEHEFGAGRGPRFVAEGFADALQRAQREYKLLFVYLHSPDHPDTPAFCGGCLCSEPVAAFIDENFVAWGGSIRRTEGFKMSNSLNASRFPFCALVMPSTNQRIVLLQQVEGPKSPEEMITILQRVVEECTASLVAARIEAEERLNNQRLREEQDAAYRAALEADQARERQRREEQERLEREAAEAERKRIEDEEAQARAVQEAAEKEAALARRRQEKAMALGAEPEKGPDVTRVLIRFPTGERKERRFHSSATITSIYDYVDSLDCLKAEKYSLVSNFPRVTYGPEKNSQTLVEAGLHPQASLFIEIEQ >SECCE2Rv1G0076820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:94872907:94873420:1 gene:SECCE2Rv1G0076820 transcript:SECCE2Rv1G0076820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPLKRLTSTEEKNGNFGREFVKCESKPEGQIVKKCHHFEWMDDYIQRLQGLGLLDSRGNAIREFNLPHDSAAPAAAARPEYPTVVDVELKTNLKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE5Rv1G0366800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:816007465:816008539:-1 gene:SECCE5Rv1G0366800 transcript:SECCE5Rv1G0366800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYADAAKFRGQNGCTKEGWNCMATRLNNQFPRANFIVAQLKFREQRLKKDYFIVKSIVEKSGFGFDPMTKMPTTIDEKWDELSTEQQKWRYKAFPYYDDLSAIYDGKTAEGKGCKRTTDQVEEKRSSATDLPQGETFTQQVLNAAGLNSPSPTLPAPGFEDQNYEWSQGIYGDDVEVFPVENTECMENISNQFPNEDINTLPDPPPMKKARTSKGNDEGKAKRGKDTAIDDLVAVRKEELKTYVDVKTKQIESYRDVKMALMEKKDPDKDPYCIANCIAKLKTIPDLSASEHLKMIEYLKGQRVDREIFMTVEHDVVLEILKKVLGRQI >SECCE4Rv1G0270050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:752348187:752348570:-1 gene:SECCE4Rv1G0270050 transcript:SECCE4Rv1G0270050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFYMMLLALAIVVLCSCSDAVAVNGGGATATVTPMDCKVLPAIPGICTPNKCMKDCQRSIGGNSVGECVSDGCRCTYCTIPPTGRLN >SECCEUnv1G0528810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6076115:6078496:1 gene:SECCEUnv1G0528810 transcript:SECCEUnv1G0528810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRIFSRKGASGFSWASTADQVTAGVSAAGLTAIVTGASSGIGAETVRVLAARGAHVVMAVRNLAAGDTVRQAVLAEAPAASVDLMELDLSSLSSVRKFAVDFAATGLPLNILINNAGVMATPFTLSKDGIEMQFATNHVGHFLLTNLLLETMKKTSRESNVEGRIVNVASEGHRFAYKEGIRFAKLNDEKEYSTIAAYGQSKLANILHANELARRFKEEGVNMTANSLHPGVIITNLLRHHSIIDVLHRTLGKLVLKNAKQGAATQCYVALHPGAKGVSGKYWCDSNLYEPSDKAKDAELAKKLWDYTIDLVA >SECCE5Rv1G0298670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:13387950:13391488:-1 gene:SECCE5Rv1G0298670 transcript:SECCE5Rv1G0298670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYFNGYETRIHKLLIHGFEDAPRKLIDHLLESYDNQAAKSFFLAGWCSEGVGASAVLKATAKLLKSTRSDPDTRKHFGKIIHVDCSLWKSRRSLQRAIVEELSLGHLLPMFDKEDEDDDYRGIKIGSRLEIPRIGSEINASLRNERFLMIFHYGGEEVIDLAECGIPNPEFGTYALGQLLWSGYGRFQLSERKDKLKLSSAYFKVIGLSHVGNLNVDGILLHSLREEAAEVIAFTGLDDINPTIVLDCFSYSLFLTEQLRGMPRSIYDIDAQAELKRRFQNLKIRKPIHGKPFSLDFAWDTHVCNYWICDGILQGDRAYEVGSALYEVILRMLCYPSNRTQLLTRCFDQQRFNRWISISSNQLGAQDISTIPVITSSCFLTSGGDSQLQLPNDLFVLASNLHVLKLCKCSFDFVSPPFQCCHNLRFLWLDHCTNTREDQGRGPCFPDLLVLDIRFTEFVFLAKMIDLMTNLREVNTKGVSWRYVSQAWEKLQNIHKLRVTESSDVIAMDTCASVDMMNMELLDLSGNIHLESLPAMTTARYLKMLVLDGCSSLQHVVFEGAPPLLESFSFDGYGPVEKWIHPIQLPEIELRPKSRNVPEAKVRRISLEGCARLRNVFLHALPNLEELDLSSTAIKTLDLGAMDVAQLRKLFLLGCEQLRSLLWDGRNPSLEVLHVDTRGKTRPMIHCGEQRPSIFKGHMAFTDGRFIWSVIKGLDRYDPKVHLHISTMIQSQVNISKSIEDIGTTLEGLVPVGPFLPYLDIVINKDTVTRSSLVWDHRQLYPLSVHIEIGEGSHHLESMNVNANFRNFIEYRVESLHVHDNISITANLPASRRSWLLLEWCHVERCPNLHTLFPSWAGNDSFSRIRVFSASDLLMAYCIWGRDIITSPFGSFRNLQHVHLHNCPRLVFVLPISFSMPNLETIQITYCQNLQHVFPLATNERAQETTSGVTLEKLKHIRLYHLHRLEQICGVRSLAAPVLETITLRDCWGLRRLPAVSRQGPKPVVDCEKDWWDRLEWDGPKADHEPSLFETRHSAYYKETLPRVSVLR >SECCE1Rv1G0038240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:536678886:536680642:1 gene:SECCE1Rv1G0038240 transcript:SECCE1Rv1G0038240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGVGKNYYQGRAAAAMEVSSVEHGQAGGSKCYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLAWAIGQLGWVAGPAVMLLFSLVTYYTSSLLSDCYRSGDETTGKRNYTYMDAVNANLSGIKVQLCGFLQYANIVGVAIGYTIAASISMLAIKRANCFHVEGHVNPCHISSTPYMVIFGVAEIFFSQIPDFDQISWLSILAAIMSFTYSAIGLGLGIVQVVANKGVKGSLTGISIGAVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATLVSVATTTLFYMLCGCMGYAAFGDDAPGNLLTGFGFYEPFWLLDIANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSGFITGEIQVPLVSSGFKLNLFRLTWRSAFVVATTVVSMLLPFFNDVVGFLGAIGFWPLTVYFPVEMYIVQKKIPRWSSQWVCLQLLSLACLIITIAAAAGSIAGIMSDLKVYKPFSTTD >SECCE1Rv1G0026760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:374165392:374169624:-1 gene:SECCE1Rv1G0026760 transcript:SECCE1Rv1G0026760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFPPHPLPPRPGNLSSAPPPPLLHQQAGSAPAPATLLVRHLPEAITQEMLFGLFSHYGATSVRLCGGKLRNCAFVDFRDEMAANQAQSVLNRFRILGKVLIVEKANQPNAKNVNEKHQEELAHGMPQVPSMNSQNQENPTSTAEPITSRLGVDYSFPPHLEYAYPPPDGNILTNIVNSLIAVPRFYTQVLHLMNKMNLPAPFRTALPTPPLPSQVPAPPPPPPPQPFMTEKLHLADLSSDESEMESSDEDVDTRKVKRAKHEAIVGPAVDRSVAHESVGVKPAALVPNELQVIKKKNPVLQIKLVPKAAYKELADRSTIDKELASRDEQLEEKHFATPQEIEKEKLPTEEILSLPMFKNYTPGNPASVLYIKNLAKDVVHDDFYYVFGSVFESLDAARSGLSIKLMQEGRMRGQAFVTFPSVELAQRALNLAHGYAFKGKPMIIQFGRSPAATKAS >SECCE2Rv1G0133260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:895549343:895550593:-1 gene:SECCE2Rv1G0133260 transcript:SECCE2Rv1G0133260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRMQSLCPPRRVSVDGPIIVGAGPSGLAVAASLGEEGMPFLMLEREDCIASLWQKRTYDRVKLHIPKHFCELPRMPFPESYPEYPTRRQFIDYLETYAARFDIKPEFNTTVLSAHYDNTSGLWHAQATRAGGAQMEYIGRWLVVATGENAVNVVPDIPGLGGFCGEVTHVSHYKSGEPYNGKRVLVVGCGNSGMEVSLDLCDHGAHPFMVVRDAMHVIPREVLGKSTFELAMLLMAWLPLWFVDKIMIFLAWLVLGDLDKLGIHRPAVGPLTLKQTLGRAPVLDTGALARIRCGQITVVPGITRFTNSDAVLSDGTAVHVDAVILATGYRSNVPQWLRATDFFGKDGYPKTEFPNGWKGQFGLYSVGFARRGISGASADAVRIAKDLCQLWKEESRPAKKAGRPCYRRSISVVL >SECCE1Rv1G0005040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:22989812:22992572:-1 gene:SECCE1Rv1G0005040 transcript:SECCE1Rv1G0005040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKHYDESYVDCFINFDTMEEPSMEDLLGTRQPRAVAAQPQQDAATLVHQAHPNPAPDAAQINLAAPPSGDVGVQYLQAPAPPPIQHQQLPYELYFPGFQDEILMPEPIPQVHPSIQVQDAPSVHMQHHQAPAPAPMHEDDQYRHVQAAPADHEDHQMLDESLLHDLMQMLTPTADVHLEAAPSDHVQHLQAPATAVQHQHALGQSSAHHFHGQMPGPGETWVHMQHRQAPTPAQMPYQPQAPAAPSDPELAPIHQMQDKSSDYSFGDIALDDALLQDLMQMPSPMAHDIQVAPAGHMQHVQAPAPAVQHRQQMPAESSTRGLQDQMQGESAARRFHAQVTDEASTCGSSRTPMPACQDEILSPCSSGCSSMVREYLMENDQFDIAEAPLMSDGGSNGVPSVGFMEDEEGFVPLVPGRLQCSQCHVVRQIRFKCEIPLVHIFLHSVTHTSFEDGFQNHNVSARGTFEHAILDRHHFAVGRQVPRVERMYIDFRRRTGEFVLNFLANIVGALRMEMGGTLEDSYETVQRAPARSNINPRPELRNDALQQVETTMLNMIINSTAVNAEAAQPAPPPPAAEPEPAIAASTNTVHEILTPANIFNSSDVVPEEHPISEAAKLQQEGTASLSAHEEGEVEMRQYLHEMMLKARRELDMPYGPVQKFCRGNTYTWMWRRISTLNRRIINFHEKSLNVTLNGLLRIKTEVNEAVAEKERLLAEIVRGMKKQRESRGKNDREAGTSGTKKAGGASN >SECCE2Rv1G0070790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:37822753:37824211:1 gene:SECCE2Rv1G0070790 transcript:SECCE2Rv1G0070790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRKRSNLAGRHPLPQLRREDEWRDWPNLPTVLVEDIAGRLLSHDVSEYIRLRAACKEWRRCTADPREGCNQLDPRFRPRRWIMLSNRTDGDGRRFLNLSTGASALVDLPELSEHHLETSTEGLLLLRDKASHAVRLLNPLTRALTDLPPVTEDLGGAYAVWTGPFQSAARIIYAGVSDETSPSSVVLLMADRHLGRAIAYANPGDQRWAVVDDEMWRPGIPMLRRFSSASTMEGRFYFATVEGYIIQVRLCPEPRLVPVVVDQPNTNYNMFCYLVPPDDDDHRCGGSMLMVRYYLSLYHLSDEEQRIMKRRRKVMDVIRVEHRVREHRWNLIQVFEVDVAGKRLVPVENIGRHRAVFVGDVACFSLSARRFPCVAGNAVHMGALGARCPPVGVRYLANKTADLSFVFTTDVPGLRDDISVKRHRQKIPELNLLPLARPCTLQEYLVCCAGVLGGLKD >SECCE3Rv1G0181250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:608613225:608616717:1 gene:SECCE3Rv1G0181250 transcript:SECCE3Rv1G0181250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTSRHRRAAAAAAADQPATPPPPTAQPKPQPPPKPQTAPAPAPTPEAGQVAMGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHKATGQRFACKSIATRKLVHRDDIEDVQREVQIMHHLTGHRNIVELRGAYEDRHSVNLIMELCEGGELFDRIIARGHYSERAAALLCREMVSVVHSCHSMGVFHRDLKPENFLFLNNKEDSPLKATDFGLSVFFKHGEQFKDLVGSAYYVAPEVLKRHYGAEADIWSAGIILYILLSGVPPFWADNEDGIFEAVLLGHIDFSSDPWPSISNGAKDLVKKMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKIVAENLSEEEITGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEITQLMEAADVDGNGTIDYSEFVSATMHMNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKYDMGDDKTIKDIIAEVDTDHDGRINYQEFVAMMRNNSPEIVPNRRRMF >SECCE4Rv1G0226530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:110764746:110765297:-1 gene:SECCE4Rv1G0226530 transcript:SECCE4Rv1G0226530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGGAPGTRAAQHGGDGGGCCSSSGTLELVAAFTAVCLALYGVILYLNYLYVRWSGRDGVHRTGSSTAAGPARKRAGGGGLDKAALAAMPVVRFKAEARGDGSEVREECAVCLIAMQDGDAVRALPGCRHAFHVGCVDVWLCAHATCPVCRARPALPVPAAKDGSKRAETAGREPDLESPV >SECCE7Rv1G0508230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:770728792:770730200:-1 gene:SECCE7Rv1G0508230 transcript:SECCE7Rv1G0508230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAFFLLVAAALHVCCCHGQGSDDGAVAAIYSLGDSITDTGNLVKEAPPGAFETIKHLPYGVTFGRPTGRCSDGLLMIDFLAQDMGLPLLNPYLAKNRSFDHGVNFAVAGATAMDPADQLNQTFSLKLQLRWFKDFIKSTFNTDEEIRKRLQSSLVLVGEIGGNDYNYALFGNKSVSEVEKLIPAVVQTIIDATKEVLDMGASRVIVPGNFPIGCFPSYLTAMATPEQSAYDSAGCLKDLNLFAAKHNTQLQRAVAGLRVSYPDAAIAYADYFNSFLSLLKGAPALGFDENSTHTACCGAGGRYNYDERRMCGVEGTAVCADPSVYLSWDGIHMTQAAYKAMSRLIYHGRYLQPQILSFPENNGQT >SECCE3Rv1G0210440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:943774742:943775329:-1 gene:SECCE3Rv1G0210440 transcript:SECCE3Rv1G0210440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIQPLPAAASPSSSDHHRQGQRTRQAYTVWMKSLVFNGNGCAVYGPDGAVAFRVDNYSCRGGREALLMDRAGNALIRIRRKGFGMFRRWDVCRCQEEEATPWFTVRRAEKGGAAVAMHGGVGTCYTIDGCSARKSEYRVRGADGAAVAEVARKQTAAGVVLGEDVLTLTVAPEMDHLLALGLVVVRGLINRSL >SECCE1Rv1G0026200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:367256760:367259935:1 gene:SECCE1Rv1G0026200 transcript:SECCE1Rv1G0026200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCKSSSRARLGKAHVVPDSEWRMQDFGKTHVPDLEWRINDFSSLLETGAKSATSDAFHCSGYNWYLRVSLMHKEIGSETPYIALHLKTSQASMVPGHTVHVGFELSIYNHTKGMYCGCKDTYNFHFKNTYSKEQCLIPLQELLKSSAFLVDDSCVFGVEILKIDVSSPEKKAVVVQKKDTTVQNLFVQKKGFVKGTYTWNLNNFLELDLDHFVRSPTFEVGGHKWYARIYPRGDKYSTDCLSLYLYLDASDELHLESKKVVVMTLSILDQKNGKHLTATSGLLVFTGGHGWGWADFLGLKKLKDPSGGYVVGSSCVVKADLTIVGSSNDVYLVE >SECCE2Rv1G0135440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:906860896:906863586:1 gene:SECCE2Rv1G0135440 transcript:SECCE2Rv1G0135440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMSRAIRGACAAAAGASRRRGLASVEAMGAGGAWAKGPPAAGRWAGPGGRGPVEDGARVQWVFLGCPGVGKGTYAGRLSRLLGVPHIATGDLVRDELASSGPLSKQLSEIVNHGKLVSDEIIINLLSKRLEEGGEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCVGRRKCSQCGGNFNVASIDIEGENGGPRMHMPPLLPPPECESKLITRADDTEEVVKERLRLYHDLCEPVEDFYRARGKLLEFNLPGGIPESWPKLLQALNIEEDPDNNRSAAA >SECCE5Rv1G0302960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:43247944:43253221:1 gene:SECCE5Rv1G0302960 transcript:SECCE5Rv1G0302960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSWRNLNRVSRSYESLLMARNIAAHSQTNRPSHLHWGNVFSCCTSSNTLGEQFAFIKGKDGAEIMKELKKVNVMSADIYPNSSHRDGSIYKNSILQSIGHVTKRGETQLEPMKFSDPTNCLPDQQRCCVHFVCSMMQFFSLKLAKVSGITSSVQLYGYIAVRDCRDLLLNYIVNRSRDDPIEVKQGSLIEMTGPKRGIKMVDDVLVEFDMRIKTGEQKEDDLQLIDGVVEYSKANAPFRPFTERINGNCGAVDITLARVPDAVEATIDVIISKVQSGFDLSLSSFVFMGGSHKQIELFCGIIGESSSLTRRHVIAVQEDTWMHLKFKVGQKGSNYDDVEQYCHFKANIHGRSCQEIMLKHASILVKVTWSIIP >SECCE5Rv1G0363550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:794062004:794076536:-1 gene:SECCE5Rv1G0363550 transcript:SECCE5Rv1G0363550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRAAALRLPLPRLLRGPSASLIAAAGAASRRRAAAGPAPALRFLCAAPSPSSSRSRKSAPRARRRDGDDDGDGAAVTKERIVQVELHKEATDAYMSYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLASRKPYRKCARVVGEVLGKFHPHGDSAVYETLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDPLTEAMFLTDLELNTVDYVPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDALSAIIQNPDATLQELLEYMPGPDFPTGGILMGNDGILEAYRTGRGRIVMRGKTVMETIDEKTKRTAIIINEVPYQTNKSTLVQRIAELVEDKVLEGISDIRDESDRAGMRVVIEVKRGANPAIVLNNLYRHTALQSSFSCNMVAILDGHPKLMGLKEILQAFLDFRCSVIERRAKFKLSQALERKHIVEGIVIGLDNLDAVIQVIRETSNHTVAKEALAKEYGLSEKQAEALLDITLRKLTSLERKKFIDEANSLSESISKLNELLSSKKLMFQLIEQEAADLKSKFATPRRSFLEDSANGEVDDMDVIPNEEMLLILSEKGYLKRMNPNTFNLQNRGTIGKSVGKMRTNDNMSDFIVCQTHDHVLYFSDKGIVYSARAYKIPECTRVAAGTPLVQLLNLSDGERITSIVPVNKFVEDQYLVMLTVNGYIKKVPLNAFASIRSTGIISMRLVPGDELKWVRLCRDDDLVALASQSGMVIVNTCNRLRPHGRTTRGMGAMRLKEDDKMAAMDIIPSTTHTMPGKTVSRVRDLSPPWLLFIAENGYGKRVPLNAFRQSSFNRVGLRGYKLPEDCRLAAVFVVGESTDDDASDEQVVLVSHGGTVNRIKVKDISIQGRGAKGVILMRLEHAGKIQSASLISAAAAEEILED >SECCE6Rv1G0393730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:284342175:284398276:-1 gene:SECCE6Rv1G0393730 transcript:SECCE6Rv1G0393730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAASLSLHLRLRPPPQAHRSPPRHAPFLLFPSPSTPWPPHHLHVANGRLPSPCRSSVKARAGTIEAPSLARLGGAVETDRLPADLRDRAMDAVDHFGSRVTIGDVASRAGLQIDQAERALQALAADTGGFLEVSGEGEVLYVFPEDYRAKLAGKSFRMRVEPLVDKAKEAGAYVVRVSFGTALVASIVLVYATIIAILSSSSDEDNRGRRRRSYGSTMFLPTDLFWYLDAGSSRRRRVEKDKGMSFIESVFSFVFGNGDPNDGLEERRWKMIGQYISSNGGVVTAEELAPYLDVPAPAEQTNSKDDESFILPVLLRFQGHPLVDDQGNILYRFPSLQRTASSEGGGSREYVGTRWSTMLSGVEKFMEEKPWEFSKANALERAMVAGLGGLNLFGVIILGNLLKQMTMTPGGLISFAAQLFPLLQVYAGSFFAIPLFRWLLLRKTNNDIARRNKAREERAQELLSPEPSLRRKLLSARDMAQRKVITPGEIVYTTEKDLLDQEYEVREWERRFKKLESD >SECCE1Rv1G0047720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:628733885:628736938:1 gene:SECCE1Rv1G0047720 transcript:SECCE1Rv1G0047720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECADILIAVGRRSTSPPSSSSWQPGRQWRKALNVIRTCHRLARVGILSAGALPRRNSSYVAIKIHHDGSDSDADSSGNTTNAAAFSVAADDELFKGLVKEKREDCFRRLGGGAGIAAALASDAERGISGDGDDVRHRRESFGGNTYPKPRPKSFFSHVWDALIVLLVCAVVSLGFGIKEHGLKDGWYDGVSIFLAVFLVAAVSAVSNHSQAKRFDKLASESDNIAVTVVRAGRRQEVSIFDILVGDVVILKIGDSVPADGVFLQGHGLQEDESSMTGEPHPIEIDAEKNPFLTGGVKIVDGYGRMLVTAVGTDTLWGDMMSSITKETAEATPLQERLERLTSSIGKIGVAVAVLVFTVLTARHFTGSTKDDQGKPLFNKGHVTFDAVFSSLVVIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVKENALVRRLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGTDQPRGATAIAGSVVTLLCQGAGLNTTGSVYKPDNVSPPEITGSPTEKALLSWALADLGMDADALKRSCKVLHVEAFNSDKKRSGVMIRDNVTGGVIAHWKGAAEMVLANCSMYVDTDGAARQLGVEQRRNLERVINDMAVGSLRCIAFAYKQLNGTEHSKIDDDGLTLLGFVGLKDPCRPEVKAAIEACTKAGVAVKMVTGDNILTARAIAKECGIISSNDPSGIVIEGHEFRAMSPEQQLEIVDRIRVMARSLPLDKLALVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIIILNDNFDTVVTATRWGRCVYNNIQKFIQFQLTVNVAALVINFVSAITTGKMPLTTVQLLWVNLIMDTMGALALATDTPTKALMDRPPIGRTAPLISNAMWRNLAAQAAFQIAVLLALQYRGRDVFGTDEKANGTMIFNAFVLCQVFNEFNARDIEKKNVFAGVLKNRMFLVIIAVTLVLQVVMVEVLTRFAGTKRLGLGQWGVCLAIAAVSWPIGWAVKFIPVPDRTLHDILTRRKSS >SECCE5Rv1G0337890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:594625834:594626508:1 gene:SECCE5Rv1G0337890 transcript:SECCE5Rv1G0337890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLPNLKYLKIVGATAINKIGPEFLGCSRETSPGSLGVVAFPKLEILDIIDMPNWEEWCFVEERENDATAGIGGEEDGGVDIQSREGVSSSLQLLPRLKELRLFGCPKLRALPLQLGKEAASLKKLKLGGTSCLKVVDGLLFLTETLQITGCEGLARVSNFPQVRELRAQACPKLERVNGFGNLQHLWLAEDMEQIARRWMPGLQEQHQRLHKEGIDVHTWIY >SECCE1Rv1G0026710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:373934690:373935907:-1 gene:SECCE1Rv1G0026710 transcript:SECCE1Rv1G0026710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRGLKKKRNTRAAHREPLDWLDLPSELLELIAQRSRDPITGLTAFRSVCRTWRAAAGQAPRLLIPAPRNGSAPRAVSEHALVFPLPGGWSVVVDARDASCRLSHLSTGATATLPKINAVHDGKTMSSIRYVNHTDDESAREVQIRTPFYDVSFPTYLEFSDHFRFAIHAPPGSLSASTDGMMIIMYHRCLLERESIVVCRPGDAAWTKLPKRPSSRYDYFIDVTSFQGKIYGLESNGATLVFDATTLEFLCSIDVPQSTSKLYSMIYWPHRHEDPVDFDYFHLVALPSKLLLVVVSVKSLEPAGFAFFELTSGSRDGQLSWRKVTGDGIGGNYDVFMDCYHATFSDNNGVGTGARIYYVLHRKWHPKASTYYYEIHDGKMECLYRSLDDNCEYSTKPSWFVP >SECCE3Rv1G0190390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:738545595:738549315:1 gene:SECCE3Rv1G0190390 transcript:SECCE3Rv1G0190390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQAWARGGAVARLMTPFNCPTAHASSPHLLLPRLLLLRSSSSCSSYSLLPSRLRRQFASDYSTSQASVKSARQSSHTFMEVVREVFKHGSAHGARAAIRADQKSYSLVQLISSALDVHNILCRKNDSKDSSVNGTSGTGFLCGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEVELLHVMNDSDISMVLSTKEHHDIMESISTKCSTRYSLLPPVESIPPKIDAQEPLSSIVTSSVSSLMAEIDTSKKIKGDDPALILYTSGTTGKPKGVVHTHEGILSQVQILTEAWEYQSEDQFLHCLPLHHIHNKH >SECCE5Rv1G0298430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:11236274:11241843:1 gene:SECCE5Rv1G0298430 transcript:SECCE5Rv1G0298430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRELPLPMCFELLLGKDHGRWPPEARLICAAHDGSVRKIKKIAKELDVHGHGIPATVANTTYMGMNALDAASGCGSLPVYRYLVEEVKMDVGNPDTAQGFTPLEYAVQNGHLPAVRYLLDRGADLHQQRSGLTLLHTAAVHGRSEILKFLLSKGADVDALSNAGTPLTLASLRGHASIMKILLQHNADPNKGNGQFVPLDMALHKSFVSCVKLLIQGGANVSGDNPLAKAAEKGLTEAIKCLVEAGANPNVIDRFGRLPIELAAEYGTREDVEILFPFTSPISTVANWSVDGIISHVQMEMKQLEDGSFVKKKMSDIKHQADEAFKKQDYQNASVFYTQALKMDNFDAKLLSNRSLCFLRMGDGRRAYEDAAECTKLRPKWAKAHYRKGAARMFMKEYDGAYSSLSRALELDPESEEIEKLFWEAMELK >SECCE7Rv1G0457690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18563080:18565267:-1 gene:SECCE7Rv1G0457690 transcript:SECCE7Rv1G0457690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor B [Source:Projected from Arabidopsis thaliana (AT3G25040) UniProtKB/Swiss-Prot;Acc:Q8VWI1] MNLFRLAGDMTHLLSVVVLLLKIHTIKSCAGVSLKTQELYALVFAARYLDLFVHFVSLYNTVMKLVFLASSFSIVWYMRKHKIVRRTYDREHDTFRHHFIVLPCLVLALLINERFTFREVMWAFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVFFLGAYRVLYILNWIYRYFTEPHFVHWISWIAGIVQTMLYADFFYYYIISWKNNVKLELPA >SECCE2Rv1G0126060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:844479296:844484114:-1 gene:SECCE2Rv1G0126060 transcript:SECCE2Rv1G0126060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGGGGRHWLEDEAPDLRSRIRQTLTSKLARYYQPRYAPDSQEAQRFVANFEEMAFRGTNSKDDYMRNISSKLLIMEKKASRLLQQRMQSRSQLRLANTAQALQGGNPSVRPEAGMMTTPGATSQPMTPQTSGLVPNQHMVYPRNTNSQTEVEQKHPGVMTILDQRPKVQPKGITTVGPGVGPWQMQSGASQSQSQYGSRQAQATNFVGCSPPSVSKPARGLNSLQNHQLGQNCSSVGTQQPQPTRMNQRSLRANQQEMAMQKYQMLGAEQVDISKMQPSQLGGRNNQKDARQTNLLRSPVEASEREPMTPPLQQIAGAQQSTLLCQNFQNTATIGSAREYDLIEGMFSQIKSWKDAYFSQFVELERRVVVPTLTEEQFSSLPAAKANDYKRKAYAKRSIRKILNFLLLEKSDVNEGLKLDFPKYKEDVQKLVAFIERSKTHNAEMNTGYQLQNCREQPQVINLTGNASSISGGKSRQQKQPADTSILQSRQTNMARTPPPHEQSNGNHLLGMASPFSSPGSVPSCSSNMFESLTPSPVANPVIAPASRCDPLIPMDVDSISAFLLHGNSAEAAPKANGSNQVTPTKPTLPASPRQADIAAGQAEVQAGGGDRTPVTEKPIDRLMAAIRSSSPAALRSSANSIWSVLSISDTVPHGQIGTVFDGTSSQQQWGGPNTARKMKRVFNHTAAHSESLPLGSMDGSCMTFEYDASDSGSSSEQNIKRLKTQNANDALLKEIKSINDTLIDTVVSISMDGIAPYGGGTTIKLSYSAVSLSPSVKSLFATSEMSLVLPVKLFVPADYPSSSPVLISDEGDVVPRRNSSAISASVDVAFRHSLRGLPEPCSIEAMARAWDACVRKAVTQFAHQLGGGTVSSIFGGWERCTAA >SECCE6Rv1G0411550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:608303032:608305896:-1 gene:SECCE6Rv1G0411550 transcript:SECCE6Rv1G0411550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDDIAPGSDAHRRKKRYHRHTPRQIQQLEAMFKECPHPDENQRMHLSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAMREALKNVICPTCGGPHSGADDYFDEHKLRMENAHLKEELDRVSSLTSKYLGRPITQLPSMQPLSMSSLELSVGGLGSPVALGPALDLDPLGGSSPFQLPAPVSDMERPMMAEMATRAMDEFIRMAQAGEQLWVKAAGGREVLNVDTYDSIFAKPGSSSFRGPDVQVEGSRDSCLVLTTAIGLVDTFMDSNKWTEFFPTIVTRARTIDVLVNGMAGRSESLVLMYEELHVMSPVVPTREFCFLRYCRQIEQGLWAIADVSVDLQRDARYGAPPARSRRLPSGCLIADMSNGYSKVTWVEHMETEDKTPVNQLYRDLVLSGAAFGAHRWLAALQRACERHACLVTPPHRDIAGVTLEGKRSMMRLSQRMVGSFCASLSASQQHRWTTLSGPGAGVDDAAGVRVMVHRSTDPGQPGGVVLSAATSIWLPVSCDRVFAFVRDENTRSQWDVLSHGNPVQEVSRIPNGSHPGNSISLLRGLNASQNSMLILQESCADASGSLVVYAPIDLPAANVVMSGEDPSAIPLLPSGFTILPDGRASSSAGSVVTVAFQILVSSLPSSRLNAESVATVNSLIGTTVEQIKAALNCGSSH >SECCE4Rv1G0217630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18111770:18121952:1 gene:SECCE4Rv1G0217630 transcript:SECCE4Rv1G0217630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDQDLPDGIGMARLAWSRLPTADGAVPEGPSASASAAAAQDELFVGAVESLDYEVIENYAYREEQAQRSKFWVPYYIMLKWFFALLIGVGTGLAAIFINLAVENFSGWKFTLTFAIIQHSYFVGFLVYILLNLALVFSSVYIVTQFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLNSRWVQIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCNSGKCGHFGAGGFIIWDISGGQEDYSYQELLPVAIIGVIGGLLGALFNQLTLYITRWRRTYLHKKGKRVQIFEACLISLITSTVSFVLPLLRKCSPCPELETNSGIQCPHPPGTDGNFVNFYCSKDNEYNDLATIFFNSQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMSVVKFYKKLNVDEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLQLLPLIMLVLLISKAVGDFFNEGLYEEQARLKGIPLLDSRPKQVMRNMNAKDACKNQKVVCLPRVSRVVDIVSVLQSNKHNGFPIVERGQNGESLVIGLILRSHLLVLLQSKVDFQNTPFPCGPGILNRHNFSDFVKPASSKGKSIDDIHLTDDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRKDLLLEEDGNTAATELQSTSVRAYLNGKTAGGSAHLERPLLDSLMIQGVNT >SECCE1Rv1G0023640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:308976443:308976817:1 gene:SECCE1Rv1G0023640 transcript:SECCE1Rv1G0023640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPPPPPPTPPASEASSSVAAATPRSLPPTLLLPLSSTGNLGARGGSAAGSRKGKAPVGSAAGAEGGRHQKDRLVEAVRLVGREVDPGVAGADILELAMAKGPMFSWLRYWPEEGYPEEGRPY >SECCE3Rv1G0196680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:810905777:810908629:1 gene:SECCE3Rv1G0196680 transcript:SECCE3Rv1G0196680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCMQEWPEPIVRVQAVAESGLAAIPGCYVKPPRDRPAQQHLAAAGIVLHEPSDTSIPVIDLGELLKADDGRIDGLITEAVAAACRDWGFFQVVNHGVAPELMRAVREVWRGFFRLPISAKQQYANLPRTYEGYGSRVGVQKGGPLDWGDYYFLHLAPEAAKSPDKYWPTNPAICKEVSEEYGREVTRLCEVLMKVLSASLGLEEARFQEAFGGAECGACLRANYYPRCPQPDLTLGLSAHSDPGVLTVLLADEHVRGLQVRRADGEWVTVQPVRDDALIVNVGDQIQILSNSVYKSVEHRVIVNAKEERISLALFYNPKGDVPIAPAQELVTPDRPSLYPPMTFDEYRVYIRKNGPRGKAQLEGFKGQAAPGTGNK >SECCE7Rv1G0503960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:717322837:717325150:1 gene:SECCE7Rv1G0503960 transcript:SECCE7Rv1G0503960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAPSEEPVELSSSDDLSSDSDDEAGNGKGENSFRLPMSSKSTAPAKAMLKKKKPGGVDFSALSRHGYRGGPSILTVAPPKVEPNWTWSTGKDRNEKEVLTESFEERERTRAAVTEGEKLIGVMNPQPRQMEKDKEAASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >SECCE4Rv1G0293010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884382709:884384146:1 gene:SECCE4Rv1G0293010 transcript:SECCE4Rv1G0293010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEEVHVVESCFVTPAADTPSRALRLSPFDLMLASRGYTPLVHFYRRPETAGDDFFDVTRLKTALSRALVPFYPLAGRLTDGADGRLEIDCNGKGMLFLVAHSRLTMDDFSDFKPSTKQRRLFVPHVDDSNGLLWATQVTFLKCGAVVLGSATHHGAMDGSVVFHFFRTWSAFSRDGDRAMVNLPCHDRTRLCARNPPVVDPNTLDVFSPKINLPPQPGPVVNEVVVFTLAKDQLSTLKRISGGDGVSTFSAVSAHLWQCMCLARTLPPDATMQLMFSANVRRIMRPPLPDGYFGNAIINLRVTDKVRVIASCELSHIARQIRDTLSRVNDELVHSAIDYLELAKMDNEPPSTGNLPATDIRVVSWLGMSSYDADFSWGKPLAMFRAEPNRGGFVHLIDSAQGDGSVRIVMSIEAAILSEFKRLLYAKFDSMIYSKF >SECCE5Rv1G0329070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:512137187:512138790:-1 gene:SECCE5Rv1G0329070 transcript:SECCE5Rv1G0329070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVVTSELVAPSEATPRRTLWLSNLDLGARNGYSPTVYFFRAPDRGDGKAESFFSAGVLRAALSRALVPFHPLAGRLGSGRDGRAEIDCNDEGALFVVARSEAALDDFEGFAPSKAMSDMFVPPYDNAGAGAPLLMLQVTFFRCGGVALGTAMHHFVIDGRSAFHFIQTWAGIARGDARATVPPSLDRTPLRARSPPTVHFDHSREYRLTAAVSTDPPAAGGVKPSSEYASAILRVTGVQAAALRARAGAPRVSTFRALVAHVWRCACAARSLAPDAESRLYTMIDMRARLSPPLPDAYFGNAVVRTSVSARVGDLLSGPLGFGARRLRVATGQGDEYARSVVDYLETADMGALPRSGLPGTDLRVISWMGMPSHDADFGWGEPAFLAPALMFYPGFVYLMSCPGKGGDVAVAVSLEPDRMARFKELFFEEMAAME >SECCEUnv1G0551990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:243602886:243608230:-1 gene:SECCEUnv1G0551990 transcript:SECCEUnv1G0551990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRNLGGTGLRVSPVGFGASPLGNVFGDVPRDVARATVRRALDLGINFFDTSPYYGGTVSESVLGDCLRFAGVPRDSFVVATKCGRYKEGFDFSAARVTRSIDESLARLGLDYVDILHCHDIEFTNLDQIVNETIPALQKIKESGKARFIGITGLPLSIFTYVLDRVPPGSVDLVLSYCHYGINDTALVDLLPYLKSKGVGVITASPLAMGLLTDNGPPEWHPAPEELKLACRTAADHCRKKGKHITKLAMKYSLMNNEISTVLVGMNSPEQVEENVAAAVELSTSGIDEELLHEVEAILGPVKNLTWPSGIQQA >SECCE4Rv1G0258150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:675496149:675497267:-1 gene:SECCE4Rv1G0258150 transcript:SECCE4Rv1G0258150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNDRRSAITYIDDDKERDFSFFKRRDCLFKIATDLSAVTSARVAIVLEKENEKMNSFGTPSVDPIADAFLEGAPLAVPLTDEATTARIAQLQSEVAQLDMHCMRESKENQLSIEHMKQILEQHPGMVANLIFSKEDELSPKDLKNLSNEIYRVHEDIRHRLPPLHDGHKAMNSGASMIQNMLPSSGLPSNHTKTVPSSVHSLWARHLPQHQMPSSPLPSPPGQMVAPHFPQVPPMLHPTPSASVPQIASQLQTTSNQALGLPPPLDISINDYVISSNPVSPHQNNANPNIITGYNLVASPPLVNSSGNDLTINEPFGYESSGYGLSDQPFYDGFPEMGAYMGYNGADVGESYVGIGGWVHVDAPPEFSSS >SECCE4Rv1G0229620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:151681876:151683620:-1 gene:SECCE4Rv1G0229620 transcript:SECCE4Rv1G0229620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFTSSPLFMPLVKLVGEYLGSENWMDQLLHRTYLPAHHALPDPPVSAAACLFAFPPHDDVDRVSRLPDALLHDIVSRLPVKDAARTAALSRRWRGVWRSAPLVLVDADLFPATSAVSSVLAAHPGPFRCVHLTDCYVDEFPALLTGWLQILADKGIQELVLVNRRWPLDVTLPDTFLGVATLTRLYLGPWKFPDTAGLPSGTCFRNLRELGLCNVIMESRDLDFVLDRSPVLETLCVEGNLFRLCLRLVSQSLRCVQIIGCFLEEIFVVDAPRLERLIQSEGCTPNGSCTKVKIGHAPKLHLFGYLELDQRHILEVGNTIIKVGTGASPSTMVPSVGILALEVRFEVRNDAKMVPGVLRCFPNVETLHIKCGKTDQSTGKLNLKSWHESGTIECIRSRIKLLVLHDFRGGRGELAFLKFFFESALMLQEAVIVFAAAPSSTEEAQSKLDILGSMKRASETSIVLLTTRSDPQGGYIWSFKIGSGFSPADPFENY >SECCE5Rv1G0356560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:740335682:740336284:1 gene:SECCE5Rv1G0356560 transcript:SECCE5Rv1G0356560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRRGHRLQPQGLGDVEDGRGTAELRQDIGWCGAARSSAVENKTRTIVLAVMVIGLCSFFYLLGAWQAKRVGGGDCIARRLIEETRCVVLEGLHVETHLVLGDKPSWR >SECCE3Rv1G0199040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:842072216:842077850:1 gene:SECCE3Rv1G0199040 transcript:SECCE3Rv1G0199040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREHGDEAIVADGHGKEEEVGVMGVSSGGADGDEEQHGGGGKFSVTSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQLFYGFLGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGTVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALINGQVEGVTHTGPNKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAYGDELLAHANAFSLLPKTAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSVCLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRSASARANAAEKPPFFLPSWTGMFVLNAFIVVWVFVVGFGLGGWASMVNFIRQINTFGLFAKCYQCPKPGAAVAPGPSSMHH >SECCE1Rv1G0042630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:582400760:582402184:-1 gene:SECCE1Rv1G0042630 transcript:SECCE1Rv1G0042630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERTVLTQRYEIGRQLGQGTFAKVYYARNLANGQSVAIKMIDKDKILKVGLVDQIKREISIMRIVRHPNVLQLFEVMATRSKIYFVLEYAKGGELFNKLAKGKLTEEGARKYFHQLISAIDYCHSRGVYHRDLKPENLLVDEYGTLRVSDFGLSALTKSKWRDGLLHTACGTPAYVAPEVLNRKGYNGAKADVWSCGVVLFVLVAGYLPFHERNLIELYRKIAKAEYKCPRYFSAELKELLTGIIDPDPNTRMSIARIKRSAWYRKPVERTPPRKDKTYTSEAAASGLACRNSSGGLASMNAFNLISLTPGFDLSGLFDERYSQREARFASKEPPAAVFVKLEELAQRMKLKVTKKDNGAMKLAAPREGKKGTLEFDAEIFELTPSFLLVELKKTNGDTMEYQKLLKEDIRPSLDDIVWSWHGDVQQQAQLPQDPQWPPRQQAQPPQGRQWSPPLPPKRLEDLAANAFSPLPQ >SECCE1Rv1G0034770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:489925203:489925979:-1 gene:SECCE1Rv1G0034770 transcript:SECCE1Rv1G0034770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHHLPPEPADHHKGGKAVHAIDLKPARRRRYSYYASTGSRGALSTICSVLLLLILLAGVIALVLYLVYRPNSPAFTVTNIAVYSLSNVTGPSAGLPAAGVGAGARAPNAVAASFQCALVIRNPSGRSSARYDRLTAYVVYRGEAITAPAEMPPLVQDPDSAVVVAPVIGGSGAAPVPVSPETAAALANDVSYGVVSLRVVLLGRVRFVSGPFRSGWRSMYARCDLLVGVRKAPGSVSAAGVPEAPLFGNPSCNVDI >SECCE3Rv1G0164020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:155495936:155496499:-1 gene:SECCE3Rv1G0164020 transcript:SECCE3Rv1G0164020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVAELPVLPQDVLMSIFAHLEIPDLMHASSVCSSWRSAYSSLRNLEQYQQRQTPWLLYTSESTGESVACLYSLLEKRSYKLTLPEPPIRSRYLIGSSNGRLVTADERSEMHILNPTTCEQIALPSVITIAHVTPVFDEIGALCKYIYSRDTAEHRSTTGPQLVDLCKLRHYLQKKAFVFFDASA >SECCE4Rv1G0284630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:840420607:840421047:1 gene:SECCE4Rv1G0284630 transcript:SECCE4Rv1G0284630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFKLVKYIPKIKKTKSGLRKLARQVPTDLLLKFERVYKAQNRIPMSVFKAQSVLDEIRWCHHVETVMILNLMPYRASYPILKLVYSAIANSIHYRDFDKANLFITKAKVSRSTVMKKFRPRARGRSFPIKKHITIVLNILNGSK >SECCE2Rv1G0082780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:156637391:156637828:1 gene:SECCE2Rv1G0082780 transcript:SECCE2Rv1G0082780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRVGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLSNRFYTPTINVERLWSMVPADKAAEAGGDKAPVVDVSQFGYFKVLGKGMLPEKPIVVKAKLISKIAEKKIKAAGGAVVLVA >SECCE2Rv1G0134380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901250041:901250556:-1 gene:SECCE2Rv1G0134380 transcript:SECCE2Rv1G0134380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRTILLAVAAMTILSTASAAIYNVGEPGAAWDLSTNYGTWASSRNFHPSDQIVFKYSPQVHDVLEVGKADYDSCSTASPIATLKSGNDVVSLTATGTRYFICGFPDHCAAGMKVKIDVVPSSSSSSPAPASGPSATNAPPPAHISAATSMEATGFGLTVLLAVAGLMA >SECCE3Rv1G0203560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:884115775:884117538:1 gene:SECCE3Rv1G0203560 transcript:SECCE3Rv1G0203560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHHRGRSVLAVLEDHDLLWEILLRLPPQPSSLPRASVVCKRWRRLATDPRFLRQFYKHHRKPPLLGFFEYREGIVFNPVLDPPDRIHPVRFDLVRYGGHRDDDSVLRFPIENYVLGCRHGLVLIGDHLWREVIVCDPITGKQCPVAVPLELRREWFNGAVLCAARDQGHVHGACHSSPFKVVLVSLYREYRSIYASPIARVYSSETNTWSGLISVEASRNNCVYFGPSTLVGSVLYWPSHNWGNKILEFDLDTQNLTVIKGPPGVNYSDNFQIIQAEDGAVGIAVLSYNTLRLWQRMVNYRGDAIWLSHKIVPLRNLINIPPRTGRKRGCLRLVGYDEATDVIFLGTHDIVYMVQRKSMQTRKLNVTHSPYHCYPFTSFYPPGTTISAGTNGAEILHNM >SECCE2Rv1G0083330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:165198537:165201500:-1 gene:SECCE2Rv1G0083330 transcript:SECCE2Rv1G0083330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-arabinopyranose mutase, Pollen wall morphogenesi [Source: Projected from Oryza sativa (Os07g0604800)] MAAPTTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKVIKVPEGFDYELYNRNDVNRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPLERNNRYVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLSLGIKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSVTLSKEATTVQKCYLELAKQVKAKLGKVDGYFNKLADAMVTWIEAWDELNPPKGASTTTNGPALKSK >SECCE1Rv1G0004480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:19087468:19089580:1 gene:SECCE1Rv1G0004480 transcript:SECCE1Rv1G0004480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAGLSAGSSKVGVAIDLGNPLLNRTVDGFLKIGAVGACRVAAEDAFDCLNKGSVSKRNLEHTLKKMCKEGAYWGAVAGLYVGMEYGVERVRGEYDWKNALIGGIATGALVSAATNNKGNKIAQDAITGGAIATAVEFINYLT >SECCE5Rv1G0368300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:827772039:827781880:1 gene:SECCE5Rv1G0368300 transcript:SECCE5Rv1G0368300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQASERRVRPPPPLTHEDNRRFLEMLREKKERSGIVDEKVEVRFEELTVEADVRVGHRALPTLLNCAVNAAQKLSTCSHICTTRKKSIKIINGVSGAINPSRMTLLLGAPGSGKTTFLKALAGKLDSSLKLKGKVMYNGEEVNSSTPQYLHAYISQYDLHHAEMTVRETIDFSSKMLGTNNEFGTLGRGMRRKNVDINKVDQYLGTSMKDTTFGEGGNLTTNYIIKVLGLSECADTLVGDELRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTYEIVKFLQQMTHLMDLTMVMSLLQPSPETLELFDDIILICEGQIVYHGPRENATDFFQMMGFRCPNRKNVADFLQEVTSRMDQRQYWIRDENMYEYLPIENIAEFFYSSYLPRHAENNMTNNRGNDKENKTNVNRGISRWSIFKACFSREVLLLKRNSPLHIFKTVQIIILAFVISTVFIRTNMNHKTILDANKYMGSLFMAIVIANFNGMTEIAMTMKRLPTFYKQRELLALPGWALLSSVYIINLPMSLIETGLWTSLTYYVIGYSPSFVRFTQHFLVLFAMHQMSMGLYRFLAAIGRTQVMSNMLSVVILIAIYIFGGFVISKDNLQSWLQWGYWASPFTYAQNAVALNEFLDERWSTELYYANANTVGEAILKIRGLLTEWHWYWICVGILFGFSIVFNILTIFALQFMNSPHKHQVRINATKIKMECKNQKVGNVNASSSQVTLPFQPLSLVFDHINYFVDMPMEMMKYGVTGKNLQLLQDVGGVFRPGVLTALMGITGAGKTTLLDVLAGRKTGGHTEGTIRIAGYPKKQEIFSRISGYCEQSDIHSPNLTVYESLQFSAWLRLPSNVKSCQRLT >SECCE5Rv1G0331400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:538356951:538358507:1 gene:SECCE5Rv1G0331400 transcript:SECCE5Rv1G0331400.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMSEAPPAPGPGPRSPRCVALQSPEPSGKYLCYARQGKGAAADGLLQLDGEDVTSPHTRFFMEPSKAHPGLLHVRCCYDNKYWAAKQLHGSDDDGGWIVGAAHEAEEDLSKTTCTLFKTTPGDRTSGYIRFVHAQLQMYACMSKETLHFCLQKGDEDLGGGYIVHDLSQQVVLPRYLAFKGDNGMYLCPRIIERHEYLQFSARDVDQTLVNRVHGNQDGTCRIWSNHFGKFWRRSPNWIFCDSPDGATTGGDVDTLFRAVSFGSFVALQSLGNNWYCNRLTTEGKKSCLNAGAPTITSEARLRPEEAVVSREIYDVVFDLSSRPRVHGKTSAVGMAAASALNDTASGDTAQLKLECRDTERRTWTSSVTVNLGVVRAKIHAGVPRITAGGNVEVRDEFTGPYSWGSSMEVETTKDVAYQVTVPPKTRVTVTMAATRAFCDVPFSYKQRDTLLDGQQVVHDMNDGLYTGQNCFDFEYVITSDENI >SECCE5Rv1G0353410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:716881903:716883523:-1 gene:SECCE5Rv1G0353410 transcript:SECCE5Rv1G0353410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYLVLVAALVACSCVAIRKARGSSKVPPSPSPPSLPLVGHLHLMGGVLHRSLRELHARYGSDGGLLLLQLGRRRTLVVSTAAAAADLYKNHDLAFASRVHSAVVDKLTYGSISVSFAPYGDAWRRCKKMAVVHLLSQRRADSFAPVRAAEAAALVTGARRGAEAGEAVELRGALLYGYSNAVVTRAATGAAGATAEKIKQLLGNSAAVMSGLQAEDVLPDAAAKVVRRATGFERRLDAEVEAWDRFLSEIVAEHLEKKPDDGAGEENFLDVLLRLRGEGTAGLELTDDRIKSIIKDMIAAGTETSSVTLEWAMVELVGNPRAMAKLQDEIARVAAGKPAIEEDDLSRMEYLKAALKEVLRLHPPAPLLLPHESTTAAVVQGYEVPAKTALFVNAWAIGRDPAAWGDEAEEFRPERFLGGGSATDVDARGNDYQLLPFGAGRRICPAVNFALPVLEMALASLVRHFDWELPAGVRLDKGEAPGMSTPPLTPLRLVPKCRTLA >SECCE4Rv1G0279700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:816181429:816186626:1 gene:SECCE4Rv1G0279700 transcript:SECCE4Rv1G0279700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAQVPDIMGERQSGQDVRTQNVSACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRANELVRNKIHPTSIISGYRLAMREACKYVEEKLSVKVDKLGKDSLVNCAKTSMSSKLITTDDNFFANLVVEAVQAVKTTNSKGEVKYPIKSINILKAHGKSAKESYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQMGVQVLVSDPRELEKIRERESDITKERIEKVLKAGANVVFTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVTTFADMEGEETFDASFLGHASEVVEERISDDEIILVKGTKNTSAVSIILRGANDFMLDEIERSLHDALCIVKRTLESNTVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATDLVAQLRAYHHKAQTNADKQHLSSMGLDLIKGVIRNNLEHGVIEPAMSKVKIIQFATEAAITIVRIDDMIKLDKEESGQEE >SECCE6Rv1G0429980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739013013:739016862:-1 gene:SECCE6Rv1G0429980 transcript:SECCE6Rv1G0429980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVVFGLPWDVNTEGLRKHMTKFGPLEDCVVMKDRLSGRSRGFGYVTFSSADDVKNVLESEHFLGNRALEVKIATPKEELKPQPQGTKTATRIFVGRIPQSADESMFRRHFEAFGEIIDLYMPKEHGSKGHRGIGFITFQSAESVNSIMRESHELDGTTLHVDRATPKDEDIRHPPSRASQDGGDYGLGYGAYDVYIAAATMFGALGPPTRYDHPGSAYGKGGYSGSPQGTSKKIFVGGIPQEANKDDLWNYFGGFGRVADVFIPRDPKGSGHRAFGFVTFSGEGVADKVADKSHEILGCKVTVDIAAPPRGDSSGRFADPTPGVDLHAPPFGMFCGNLGYDRGYGPSGGGSRSRTDGRHRPY >SECCE6Rv1G0418690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:664186276:664187998:1 gene:SECCE6Rv1G0418690 transcript:SECCE6Rv1G0418690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKTSASGKGHFGDLTDDLLRHVLSFLPPADALQTCVLDTRWRDHWRRTTSLLLIFDQSSFPSSERFKQLVKLFIHLRGYSPLDKCKIVGCLDDEEERTYTNTMLLVEYALKCQVKELLLSVAVQEGDDGIEFEPLILDVPLVSQHLKILHLRRAELKRSTLNFSRCSVLEDLKMKHCNIDARRISSKSLKRLRITDFCYFPEEFHIQIFVPSLISLQLDDHNGLTPTLEYMPSLETACVWLHNNFYHSCRGNWQDCEYDDCSCHAYPVDQGVLLHGLSNAVNLELIGDTYTELFIYRRDLECCPIFDKLKTLSLSEWFTTIDLLCILQHSPVLEVLTLKLDSTKKLVRATGAEEKIEQLFLCSHLKVVNIECRKVDERVHKILKFLNTCGILRDQISITEQ >SECCE7Rv1G0457330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:15910822:15918375:1 gene:SECCE7Rv1G0457330 transcript:SECCE7Rv1G0457330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALQATRTGDGAEESRSPPATSPATPFLQSRLGEGERCSRARLASSPVPPMAHARPARPRAMLLLAPSAAGQTWAPRPAVAPPLRRRLLPARRCRVCAPRCAGAAPPPRPGATPHIPARRKCSPLLESALLSPDGNESAVDEWKAVPDIWKTAAEKYPDSVALVDPYHDPPSELTYKELEQQILDFSHGLRAVGVAPDEKIALFADNSCRWLVADQGIMATGAINVVRGTKSSDDELFQIYSHSESIALVVDSPQFFNRLAESFISRINARFIVLLWGDKSSLNSKAVMDTQVYDYNDITELGRENRNALCYSSEQGRQGVFEAITPEDVATLIYTSGTSGTPKGVMLTHRNLLHQINNMWEIVPAVPGDRFLSMLPPWHAYERSAEYFILTHGTQQIYSSVKYLKADLQKYKPHYVFSVPLVYETLYSSIQRQISSSSPARKTVALALIKISLLYMEAKKIFEGTVLSNNPVKPSSISYMFSCVWARIVAAFLWPLHNLAKMLVYKKIHSTIGISKAAISGGGSLPMHVDKFFEAIGIKVQNGYGLTETSPAVAARRPFCNVLGTVGHPIKHTEIKIVDIETGEVLPDGSKGIVKIKGLPVMKGYYKNPSATNNALDQEGWFNTGDIGWIAPHHATGPSRKCGGMLVLEGRAKDTIVLATGENVEPAELEEIASRSSLIDQIMVIGQDRRRLGAIIVPNNNEALAAAKRKSSLDGNNDGAKDTVMNLLYDELRTLMAACSFQIGPILVVEEPFTIDNGLMTPTMKIRRDRVAAKYQSEIEALYK >SECCE3Rv1G0209020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936063851:936064189:1 gene:SECCE3Rv1G0209020 transcript:SECCE3Rv1G0209020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFEIISGKRNSSETFTSSSYNVEYFPVQAISKLHRGDVWSLVDARLHGEFDMEQAERVCRVACWCIQDNESDRPTMGEVVWVLQGRQEIDMPQMPRLLAAITAQADATSM >SECCE7Rv1G0478140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:232982564:232983578:1 gene:SECCE7Rv1G0478140 transcript:SECCE7Rv1G0478140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSGVEQSLPGKGKPAPLAAQDEEERSALEEKLYCTRLELQKTRAVSADADETICRLAAGARRMAQERDEAWNQRNALLADLHARRNAQMMMALAAGPPRSFAGYNGRAAFFAPAAPATPFGRMPTPMQQMYARAAGASYCFASSSSSSAAAAGNGLFFHSFASSSQDQFDPDMFLVDPAESPADSVSATTGSAAPDLQVAKSSGSELDLVAEQMLLTRKKGKVAEAVRDDDEGPSPVEAVVDAGATDAGGSLDEKCGGGGGCSLADVVNSAGKKRSDTS >SECCE1Rv1G0038260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:536694248:536697806:-1 gene:SECCE1Rv1G0038260 transcript:SECCE1Rv1G0038260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGERLGSWVVSYTGMSADNIKGLLLAVSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWIGLITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLARIILREKLHMFGVLGCILCVVGSTTIVLHAPPEREIESVAEVWDLATEPGFLLYAAIVLTAAFVLIFHFVPQYGQTHIMVYIGICSLFGSLSVMSVKALGIALKLTFSGMNQLVYPQTWFFTLVVIACIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLQQNLPIRIPKHADEDAYESEGIPLRSAADGIPLRSPRGTEGIPLRSAADGIPLRSSSRGTESFRSTS >SECCE6Rv1G0435770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:772852781:772853540:1 gene:SECCE6Rv1G0435770 transcript:SECCE6Rv1G0435770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYSAGRPMSYSNREESFDGGKTVYSTTTEECYDAGKLGHGTGYGQGQSYGNGGTNMYSNATGGLDSSMYGHGGGGGGRTVYTTTTDECFDSVRPGQGQGYGYGQNNGGTMAYTTTTESFGGGEQGQGYYKKEVTQHKNRERIGEAGALAAGGFALYEGYEAKKDPAHARKHQIEAGLAGAAAVGAGGYAYHEHREQKQPTYGGKQEYRMPVHNSYCN >SECCE2Rv1G0141350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935141697:935143090:1 gene:SECCE2Rv1G0141350 transcript:SECCE2Rv1G0141350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSSMLKPVYSKPHPLAGEMVPLTLFDRATLDIFVPLILVYPAPTPSNEALKEGLRRAVAVYPHLAGRLAADHRGRRFIHVNNEGVLVVEAAVPVDLASAVTDGSFVTDTDGLYPAVPSPEESIGAALLQIQLNRYKCGGLAIGFSSHHQAADGYSVSNFLSTWASAVRQRRNFTAPSPFLDRGATAVPRAVPTPVFDHRSTEFKGEGGRSYPVVPNPMSSKIKNVTVRFTAEFIAELKARVGIRCSTFQCLLAHAWKKITAARGLKPDEFTQVRVAVNCRDRASPPAPPDFFGNMVLWAYPRLQARDVLGWTYRGVVEAIRDAVARVDAEYIQSFVDFGSVADANGEDLVATAAVNGTMFCPDVEVDSSLGFRFNQIDLGTGPPSVFVTPDLPNEGLMIFLPSCTAKGGVDLIMAIPEDHDTATFYSLDERAKPKM >SECCE3Rv1G0143410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:260579:260965:-1 gene:SECCE3Rv1G0143410 transcript:SECCE3Rv1G0143410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARKSIVGAFLLILLMASSSREVSCTENNCYTEIWEGCTGGQNEDCRHACIKEGWDDGQCRNREKDKSILGFLTSAQCECYPPTCDH >SECCE4Rv1G0287200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853359709:853361808:-1 gene:SECCE4Rv1G0287200 transcript:SECCE4Rv1G0287200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPHLRRSGHHLTIAAVAKSHATLLKSGVTSATPWNQLLTAYSLSPLGLVAVRHLFDQIPRPDAASWNSLLTAHVSAGAHPTACRFLRAMHERGLAASTFALGPALRSAAAVGCPALGAQLHSLAVKAGLPDNVFAATALLHMYAKCGRTRDARRVFDGMPVRNTVSWNALIAGYAESGKVAPAVQLFVDMEREGLLPDEATFAALLTVVDESSCFLMHQLHGKIVKYASALGLIVLNAAITAYSQCGALLSSRRIFDEIGDRRDLISWNAMLGACASHGMEHEAMGFFATMMQASGVQPDMYSFTSIISACAEHRDHRGTVIHGLVIKNGFEGVTPVCNALIAMYTRFSENCMMEDAYKCFDSLLLKDTVSWNSMLTGYSQHGLSADALRFFRCMQSENIRTDEYAFSAALRSCSDLALLRLGRQIHGLVTHSGFASNNFVSSSLIFMYSKSGILDDAIKSFEEADKNSSVPWNSMMFGYAQHGQAQAVRSLFNEMLELTVPLDHITFVGLITACSHAGLVDEGSEILNTMEIKYGIPLRMEHYACGIDLYGRAGQLDKAKELIDSMPFGPDAMVWMTLLGACRIHGNMELASDVASHLLEAEPRQHSTYVLLSSMYSGLGMWSDRAIVQKEMKNKGLSKVPGWSWIEVKNEVHSLNAEDGSHPRMEEIYEMLSLLLHNFPMQLPHLETSGTIDCCE >SECCE2Rv1G0088400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:244714094:244725842:1 gene:SECCE2Rv1G0088400 transcript:SECCE2Rv1G0088400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MDEENPVELLQRYRRDRHVLLNYILSGNLIKKVVMPPGAISLDDVDIDQVSVDYVLNCAKKGDPLDLGDAIRLYHDSLDYPYVDNTGDVEGFYLLTRPEYSGSAPTREPPPVPATAPSPVVVPPPVVEQPQIAVPSSVANLPKSLSLDSPTEKELTIDDIEDFEDDEGEFDSRRASRRHQTDANDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPKKEKKKEKRHRLMRKLGRSKSESAETQTHRQPGLVGLLEILRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLSPSAAEVQRTECLRSLREVASSFSERPARGDLTGEVCHWADGYHLNAALYEKMLGSVFDTLDEGKLTEEVEEILELLKSTWRILGITETIHDTCYAWVLFRQFVFTGEQGLLKVAIEHLRKIPLKEQRGPQERLHLKSLRSSVDADDSCQDFTFFQSFLSPVQKWVDKKLNDYHLHFSEGSSMMVDIVTVAMLTRRILGEENDKAMESPDRDQIDRYITSSVKSAFMKIAHSVEIKADTSHEHVLASLAEETKKLLKIETNIFSPVLSRWHPQAAFLSASLLHKLYGNKLAPFLEHAEHLTEDVVSVFPAADSLEQCIMSVMASVVGDDGLDSLCKQKLVPYEIESKSGTVVLRWVNGQLERVETWVKRAAEQESWDPISPQQRHGGSIVEVYRIIEETADQFFAFKVPMRIGELNSFCRGIDKAFQIYTQFVTQPIVDKEDLVPPVPVLTRYKKELGIKAFVKKEIQEVRPVDERKSSEIVQLTMSKLCVRLNSLYYAISQLGKLEDSISERWAKRQSDKINIRRSMNGKSKSVVSNQKNQFDGSRKEINAAIDRVCEFTGLKVIFWDLQQPFIDNMYKNNVSQARLDTIVEVLDLVLAQLCDVIVEQLRDRVVTGLLQASLDGLVRVILDGGPTRVFSPNDAPLLEEDLEILKEFFISGGDGLPRGTVENLVSRVRPVINLIKQETRVLIDDLREVTQGGKSKFGSDSKTLLRVLCHRNDSEASHYVKKHFKIPSSAPPST >SECCE1Rv1G0043670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:594676185:594678398:-1 gene:SECCE1Rv1G0043670 transcript:SECCE1Rv1G0043670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGEGDRRALDILAGSDDDSDGPAEENPKIEINEGYARRYEHNKRREAMQRLEEKMKKGHVADPDDEDDDDSDDESDEDEAVAAASRRVDRRVLQVIRRIRSGDSAIFDKDAKVYSSSSEDEDDDDAEGEPKEGKKAKKERPLYLKDVNAQHLLEEGPEFAAQTGRGSKYDRIAYNELQREGLKEFLEAEKKELGDGDDEEDDLFKAKQAEGDGGDSEEDEDEKQTEELLGEVFGDDEELDDNEKFLKNYILNRPYLEPVPEKFSLDDIQEVSEEEVEIERQEDYEDTYNKTGKYKFRHEEVEASEGVVTDRVMGHPRVVEGSVRKKESSRKKQRKSKEERLARAKQEQAEELKHLKNLKKKEIAEKLEKIRMIAGIEGEAACKLGADDLEEDFDPEDYDRKMQEMFDDSYYGADEVDPGFGSGDDLDLVKPDFDKEDELLGLPNDCALDDKEVSTATGEKKKKKKKNKELANGEEEGEKRKGKISLKDKVELEKELEEYYKLDYEDTIGDLKTRFKYRQVQPNSFGLETYEILQSDDRDLNQYVSMKKLAPYREDEWQVTHHKKLSKDLILGGGQKIEGKKVKSHKKSKYGEGPSSGRPETDKPTSEQEATDGKTESKSKKHKTGKRSRSEDGPGSEKAEEVKLTSEQEDADADAKTKSKKSKKVKTGKESRSEERPSSGKPEEDKLTSEQEETDAKKKSTRSERRNRRRKELKISRDRQGAYGLIDLKSQKSN >SECCE4Rv1G0220500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:40036229:40042631:1 gene:SECCE4Rv1G0220500 transcript:SECCE4Rv1G0220500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVDPDVSGRFWHRTPCVYLGEREPSPHSPSVKTRTAPCRSPLPPSSPPPLPIPSTTSAPSRLRRSRGCRMTPRPHRQPPTSAPIAAGAEMVVAVANGAKREAADAANTTAAADADADSPISVLEEEKMAGSKDGKAGELKANGGEAHPIVEALKAEEELLNSVKEEKSIEPLDATSPLPIDLAAKNGDVSLITEVMTKEEEEMYQARIKLEEEEEARKREEAARQAFDPKAKFSKLDELLTQTQLYSEFLLEKMEQITDVKPTAVEIKDEEEPVEEQKKGRGRKRKAANKPQYNDKKAKTAVAAMLSRSREDRSADDGTLTEEEKWEREQANLVPLLTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIAFLAHLKGNGLHGPYMVIAPLSTLSNWLNELTRFTPSLNGLIYHGDKAARTELRRKYMPKTVTPDFPIIITSYEMAMFDAKFLANYKWKYVVVDEGHRLKNTKCKLLRELRRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHEEFESWFDFSGKADEELEEETDEKKRVLVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTEHQKQIQCHLIEQTFDDYLHESADIVLRRPGIKTKLNNLLIQLRKNCGHPDLFHSAFDSNSLYPPVDKLLEQCGKFQLLDRLLNALIKRNHKVLIFSQWTKVLDILDYYLSEKGLKVCRIDGNVKLEDRRNQIAAFNDLNSGMNVFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTQPVHVYRLATSNSVEGRIIKKAFGKLKLEHVVIGKGQFQQDAAKPNALDEEELLALLRDEQAEEDRMIQTDISDEDLLKVMDRSDLTGKRAAADAAPHFPLKGPGWEVVVAAGGGMLSALTS >SECCE5Rv1G0301360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:30180702:30182278:-1 gene:SECCE5Rv1G0301360 transcript:SECCE5Rv1G0301360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLDSVRQEVGPFIAPSLEELLPQLSLEERVRLQSHLREHERKVKRGSKCPTLPWGPSERKRDTTIMPHVRHALQYYNARHPGDEFDAVKPLMESRASFRKQLWAHVNFWARSRKSNKIKRFFAEVHYNPKPTVEVCTIIEEPLDRYRRSCAFCPGNRDILHPVGSRKFVCGNDKDRMVQQFKPRTFSEFRGMPFTCCPSSASPNIQEEVGKRVF >SECCE4Rv1G0269590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749625967:749627175:-1 gene:SECCE4Rv1G0269590 transcript:SECCE4Rv1G0269590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRGESTAPPLATPTTVHDVPDDPLELIFLRLSTSLHLVRAACACKRWRRVIAGGGFLARFRSLHARPVVAGHYLVDQRKHGSRPPGCNPIFSLSMSADTAGMWPQHFSLCFLPESRGWDLADSRDGVLLLTRCAGFRNSDDQPSLVVCDPTTRRCSRVINHPSFLGGNWSCFGAFLLDGDGGGISPSNFRIIVTLWLCDQGAVTTSMFSSVDDDWRIGGIPHAAAWDGLFFLAGNIQDAVYWMGRDSDTGRSKVLVLDKRTQEFSSSLFPWQLPYRCDPQLRAVPCNDGPVRIALLYRNELRTFGRRNNLGHDEWAEERTIPLQPSILALQGASKLPLPRKIFAVVEGSVVLGTAGGSGFISVDLSTMKFRRMSDGDKYSNGPAYEYQLPWPPTIRACLA >SECCE2Rv1G0081400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:139265351:139266168:1 gene:SECCE2Rv1G0081400 transcript:SECCE2Rv1G0081400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDALLEVLVEHHNKGDHAQNGWKPHVYTHAIRNVKDKCNKDITKDNISGRMRTLDHHYEVVSKILSQSGFGWDWTNNRLSMDSDDVWAKYVEANKASKEIKSYQTKVIKNWESICIVYSKDHANGEGAKKGAEIAAEPLEEPIEVSPEVAPKRQRTSDAILCMLGDMKKDLVDAFKTTEPIPLPKVTTPTEILDALRLIPDLDEQDMLRCYGKLVLNDRLFQALKELPITMRKTWLLMLP >SECCE7Rv1G0462680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:47855966:47858755:1 gene:SECCE7Rv1G0462680 transcript:SECCE7Rv1G0462680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G50940) UniProtKB/Swiss-Prot;Acc:Q9C6I6] MAAALVAGALRRGRAGGAAERMLRRLVSTLIIAEHEGGLVKPSSLSALAAAEAIAKENKVSLLLGGSGPALHKAAEHAASSHPLVNEVLVADSDVFAHPLAEPWAELLRSVQQKGGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVTAISEPRVFVRPIYAGNALCTVRYTGESPCMMSIRSTSFSPATESVSETKVAPITQVDLSFLSEASSRKSWWVNLTSQDTERPDLANARVVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGYVPNELQVGQTGKIVAPELYIAFGVSGAIQHLAGMRDSKYIVAVNKDADAPIFQVADYGIVGDLFQVLEELLEKIPDKK >SECCE4Rv1G0251780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:607707603:607709192:-1 gene:SECCE4Rv1G0251780 transcript:SECCE4Rv1G0251780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASELHFLLVPLVAQGHIIPMVDLARLIAARGPRVTVLTTPVNAARNRPAVDGAARAGLRVDLAELPFPGPRFGLPEGLENADQMVDHTVYVKFFQAIWAMAEPLEEYVRALPRRPDCLIADSCNPWTAGVCAGLGIPRLVMHCPSAYFLLAVHNLAKHGVYDRVGGDDMEEFDVPDFPVPAVGNTATFRGFFQWPGVEKEQRDVLDAEASADGLLVNTFRGIEGVFVDAYAAALGRRTWAIGPTCASSFDDAVAKAGRGNRADVDAGHIVSWLDARPPASVLYISFGSIAKLPAKQVAELARGLEASGRPFVWAIKEAKADAAVQALLDDEGFEERVKDRGLLVRGWAPQVTVLSHPAVGGFLTHCGWNATLEAISHGVPALTWPNFADQFCSERLLVDVLGVGVRSGVKLPVMNVPAEAEGVQVTSGDVEKVVAELMDGGPEGAARRSRAKKLAAEASAAMEEGGSSCTDLEDMIRHVSELSRTRSHEYGWGTSSTPLLSAAAELGVGSKNGAKKMEADAALSVQS >SECCE1Rv1G0011030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:70490752:70491129:1 gene:SECCE1Rv1G0011030 transcript:SECCE1Rv1G0011030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRHAGTGRADDGHRGHLGPPGFGRRRGRQQSTPRAERRTSKSRSAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTR >SECCE2Rv1G0113870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:726318974:726319821:1 gene:SECCE2Rv1G0113870 transcript:SECCE2Rv1G0113870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQQLFGSSYVGTPFFAVNGTAQQESQPKARRRRRRAARCGGEMDGGGDPKKRRLTDEQAEMLELSFQEERKLETGRKVYLAAELGIDPKQVAVWFQNRRARHKSKTLEREFARLKQAHDADILHKCHLENEVLRLKEKLRATEEEVQRLRSAAGSHGAAADGGDSAGAVSVCGGNPGWSFSTGNYQQHPGFSGTDVLVPDNDLMMCVPEWFFA >SECCE1Rv1G0031380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:441585449:441591603:-1 gene:SECCE1Rv1G0031380 transcript:SECCE1Rv1G0031380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGTRSRGRPPQPVEEGAREEEAPVEEAGEVAARASTAHPVPQASSSSSGKEDGEEAGDEDDEDAEGPLLPSCPVCMNAWTADGEHRVSCIPCGHVYGRSCLEKWLAQCGKKSATCPQCGKMFRQKNIINLYAPEIVVPNNDLEKQVLSLRDKNEFLENQQQKLFEEIKEYKRQILCQQRLINESSSKRQKMAEQSSDGAMIAESLHSHRAHGGNSDLCKFVLQNEFVLDGARVMGIDASSQIIVASGRAPGVSVEHVLTKICMFSRQETKVRLPPNTKAVRDICITPGGLAIFASLGRKLSLLSMTTNNVVLQYDLPAPGWSCSGDQTGPNHVYAGLQNGMLLVFDTRQTKGPLHSLSGLSTNPVHTIHSVVNDSGSTKIISASSIGPCIWDVDGSENRPNLLTGMENQGVCISLACAAPSSDLIVASFRPRVEFSGDGSASQMGISQSPTLSGSGKLGCHALLRRTSSTSFVKEQICNGNVSELRMSKSAIIPCSGTGQHLFAYGDESLRGVRTWRLPSFQPFADLRPHHQPILDLRFAESSTGEKYLGCLSEEKLQVFRISRS >SECCEUnv1G0563620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:376096001:376096602:-1 gene:SECCEUnv1G0563620 transcript:SECCEUnv1G0563620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGFDIIGGITGSKTRLKGSVVLTRKNVLDFNSLGATVMDNVTEFLGRGVTCQLISSTIVDSNNGGRGKVGAEASLEQWITSLPFITVGENKFSVTFDWAVDKLGVPGAIIVKNNHASEFFLKTITLDNVPGHGKVVFVANSWVYPQSKYRYSRVFFANDVS >SECCE1Rv1G0048430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:635128436:635131156:1 gene:SECCE1Rv1G0048430 transcript:SECCE1Rv1G0048430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDEYSWVRRTKFSHSIVRSSSGRGSFDEQFSRRAVSMQKDFDSELRSLQPRAKGAVSNSASARPAIPRAKSAAGQADRKPKDVVFSDVQLKRHGAVGDGSPKETSTMQDRREVGPKGNGLSLKSLDIPNRCIVRGLNDGSSDNKLEFSFHSEEQSSRLQRVCSSPGPYFAQDAGLAGDSNPRSVSFKVAGDGSKPKKRAKSPIPTRVISDVFKEAKAASKRFSSPQRQRKSSSVRLLDDNPPFAFSSTRAATKLVTKRASSWPRNSEARVAKVAALDVLEKWTVDRSQLLIGHRFASGAYSRLFHGIYKEQPVAVKFIRLPDDGEDPELAARLEKQFTVEVTILARLQHRNVIELVGACSSAPVFCVITEFLPGGSLRSYLRKLEGKQLPLEKIISVALDIARGLEYVHSQGVIHRDVKPENILFDAECCAKVVDFGVAFEDVYCNTMEDDPGTYRWMAPEMCKRKPYGPKVDVYSFGLVLWELVSGSIPYEEMTPLQAAYAVVNKNLRPVVPSSCPTPLQQLMEQCWSAQPEKRPEFTQIVKTLENLKTALDRDGTIEKTPTPSCQEAAQEQNRNRLANWILKLSYSPPDFSGPPPPKLL >SECCE1Rv1G0050850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:649691362:649692088:1 gene:SECCE1Rv1G0050850 transcript:SECCE1Rv1G0050850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPYGAPTQDYKQYDAVLLVGVDIGAAPMISIIKDIINNMKQLDGDDQCSNRWTQRAYFYWVNQEQGSIEWFHGAMEEVVEANNVGVINLHTYCTSLYEEGDARSTLISLLQFLNHAKHGVDVVSGTHVKTHFGPPNWKRVYQRITLSHIERVGVFYCGTPMLTKELSKLADNFSRETRTKFEFHNANF >SECCE5Rv1G0304120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:54283347:54286621:-1 gene:SECCE5Rv1G0304120 transcript:SECCE5Rv1G0304120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G22740) UniProtKB/Swiss-Prot;Acc:Q8LAX0] MVVKSGGGGGGAEEGAAGAAVRRWVEAGGGRLVLDGGLATELEAHGADLNDPLWSAKCILSSPHLIRQVHFDYIEAGANIIITASYQATIQGFESKGFSKEQGENLLTKSVEIAHEAREMFLKQHPDQSTTSRPILVAASIGSYGAYLADGSEYSGDYGEAGTLEFLKDFHRRRLQVLAEARPDLIAFETIPNKLEAQAYVELLEECNINIPSWFSFNSKDGVNVVSGDSLIECAKIANACTKVGAVGINCTPPRFIHSLILSIRKVTDKPILIYPNSGERYDAERKEWVESTGVSDGDFISYVGEWCKDGAALIGGCCRTTPNTIRAISRSLNQYYPAP >SECCE2Rv1G0097350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:456984786:456992724:-1 gene:SECCE2Rv1G0097350 transcript:SECCE2Rv1G0097350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVMAALLTVAVLAASANVCAAQLRRDHYAGVCPDVEAIVRGAVAKKFQQTFITVGATVHLFFHDCFVEGCDASVLIASTANNTAEKDSTANLSLAGDGFDTVIKAKAAVDAVPRCRNRVSCADILVMATRDAIALAGGPSYAVELGRLDGLSSTASSVPGKLAPPTSSLDQLTALFATNGLSQTDMIALSGGHTVGLAHCRTFAGRLRPTADPTLNPRFAAQLQAWCPPNVDPRTAVPMDTVTPRAFDNQYFKNLQGGMGLLSSDQLLFTDPRSRPTVDAWAQSGAAFDRAFVTAITKLGRVGVKTDASQGNIRHNCAAFN >SECCE6Rv1G0378200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:6851323:6851712:1 gene:SECCE6Rv1G0378200 transcript:SECCE6Rv1G0378200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSFLLQVVRYVSSLPSQFLGATARALPVSREGAGGAIRPSFAAPAPQRPGAPAEGAGGQGGIIHEASPVPLEQMQVAARAAPPMQGAGGDRTHPPTAGLMGPQRPGAPKEGAGGRGGIIHAASS >SECCE5Rv1G0339700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:609849485:609850042:1 gene:SECCE5Rv1G0339700 transcript:SECCE5Rv1G0339700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSCKGLAMELVKCLSETDCVKVQKRPYKECAGEKAPNITSECVGLRETYFNCKRGQILLLCVDVSSLLNL >SECCE2Rv1G0132120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:888399174:888399482:-1 gene:SECCE2Rv1G0132120 transcript:SECCE2Rv1G0132120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAAQLKDRFFGLVDRVTGCSRAGVRAEAAKSASAPAVQEHVEIRPRGPGVSGGSEAGVN >SECCE3Rv1G0170270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:250756047:250761895:-1 gene:SECCE3Rv1G0170270 transcript:SECCE3Rv1G0170270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPASPWWTGRMAAAFGAPFLWLVCLIYFIQGFRSFVWTAVSYQMKDVMKLSPSTSQFLVSAAFFPWSIKPIYGIVSDCIPIKQRKRVPYLIISSGLSLFPWLIIGLSEHLRSSSNLFTLMLIIQNLGSAMADVVIDAMIAEAVRSAGPEFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPINVIYIIFSALPLFQLVTCVFVEESPKGVESTIDNAADDHADDQNIDSAFAGQGSGESVKYVGTRRRKGARKKSKRRTLFKRSEDHAKHNKSVNLYSSLKSAFFSLCTAFKQPAILRPMAWFFISNAAVPNISTVMFYYQTEVLHLEASFLGTARVIGWFSLMLGTYIYNRYLKHKKLRNILMFAHVGLAIITVLDILLVSRLHIQYGIADKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLSSTLGSFLGAALTSALNISSVQFDNLGLGLTVQLMGTLLPIGFLFLIPRDVTGLTS >SECCE2Rv1G0087720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:230875016:230880238:1 gene:SECCE2Rv1G0087720 transcript:SECCE2Rv1G0087720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAVMSKPVRSMRVAPPPLASRATVLLLLLLLSALPLSLTYTYEQDVFAINGLYTALGSPSLPGWVTNGGDPCVENWQGVGCAASNITAITLNGISLGGQLGNTLANFTSIITLELSNNNIGGTIPDNLPVTIQRFFLSGNQLSGSLPSTLSTLTLLTDMSLSSNRLSGDIPDVFSALTGLINLDFSSNNLTGPLPPSMGNLKALTTLHIQDNQISGTLDVLQDLPLKDLNIQNNLFSGPVPPKLYNVPNFQRDGNPFNTSIAPSPLPAAPAPSPSVSPSTGHVPSKEPTKSSDVTHGNSPASGKHTIWTVKFVGYILVGVVSAVVIVLMVMFCVSKHKERKSKKENRKSKKDVYPKSKIGREPQRLGEAKIKEVPEIKEHLVKPTNTVGKASNVVSNSNEELKVNASMKAPNVAYNAKEREGTLYSPMRAVPGVITKKQKEHVIDMEKPDDFVEEPLRLPQSVAPRTEKVTVSPSVRTKKGRVPSLGKIDLRTTVKSFSVASLQQYTNSFSEENLIRDSRFGKVYLAELPDGEILEVLKIDIDNSRVPVDVFLELVVNISELSHPNILGLVGYCAEFEQRLLVYEHCSKMTLYDELHYVDEPSNALSWNARLQVAVEAAKALQYLHDGRQPPLVHQNFEPSVVLLNSTLAVQISECGLASLSQLSGSSRALFHYEAPEVHESRSFSDRSDVYSFGVVMLELLTGREPYDSSRPRAEQHLVRWASTQLYDIDAISKMVDPLIRGQCSEKALSRYADVIGSCIQPEPEFRPPMSEVVQALTRMVSDATKASM >SECCE1Rv1G0000180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:818317:821189:-1 gene:SECCE1Rv1G0000180 transcript:SECCE1Rv1G0000180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKYQLALAAAKELGLLDQHYNWLKENHEELQYYSYGSYDGSTSSSLEFHMRLKIVPQIIKQLLTERYLLVVENLQWPIEPGSLTAEDVGLPPPTWGNSRWFISTTSHDAYKESKSEDHGVISIYKDEQVLLLTLYALHQLAEQILSMMRQETKEYWHRIALQCFHYAMVIFSKHSQAVAITSDELIYQWAAHGILPRISIKEEEINTISSKCSYMHRVGRVILEAFQNNSLLQLPFSPATEAYEAYNTGAQFFAYHGLIAEDITVDELFGNKKQWISLSGDHGWHVSREWLNPEETRGTTTLILRGCSDQSPILSKLDSFLPNLCFLLILDLSHTPLKSLPSSIGCLQKLRLLSLRGCHDLKTLSSSSTTNATDSSTNISSSSPLSTLYKLEFLDMNGVPISHLTQDVANQKSNLIHLDMSNSEISAFPRTFFEDMSNLEELLLTSCSNLVDLPPSMASLSRLKTLEVTGTQMKYFPQKIFEGMNQLQSLKLIDNKELISFRRPISGVQGIKLEGHPSIVSFMLIGTPHIRCLSLHGCRKLEYVEINDLGDLEELDLSGTGIKELPDEIPNLPRLRRLLLVGVTSLKRFPWHKLERLPDVFYLDHCSEGNGNHSNQVTQVCVTDPKFFHSFRDTAADLVRAGRFFQSFYVQVGPCITNSRRQEDEEGVLDCKLQGLLQNQSTYVDVYSSRFAEEIVTASAITVPLHRTERHLDITRMQGTHDGLYGLLNVTKSVSVTCDNSICYFNSLSSLIELEECELPPALRGLPKIGARGATCNKTLPCLKTLDILFCYKVKTIFISNYIEQNTYDLPSLQRIRLQELPLLQHFHSNNAIITAPMWKELHIRGCWSLRRLPRLQGRQPETVKVNGERSWWSKLQWASPLHRDNYDPKLPPELASFDERAEMSSYLR >SECCE1Rv1G0022770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:288790765:288800770:-1 gene:SECCE1Rv1G0022770 transcript:SECCE1Rv1G0022770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCGVQLNSATSLWRESWPPFGGSYECAGHSCCISGSQRPLCKVQFCQVPRSTRNVAHLQKEDKYSCVDRSTSYLHVQTLRNFPIEKLYGEVVLVRLNSVLLIDPLGLFSCSLKRTLSTIKYLYKAGAKVLLVTSWDPVLQSVNPVLKSTESFADYMSSLLQVKVIPVNGVPCLTSCKKEERVQKDIILFENLLNFRGENANCNDFSQKLASGAAIFVNDSFALSHKIRASTVGITRFCYASLAGFHFEEELMQLLKINDTTRRPYIAIIGGSNFLRKAPALHLLASQCDGLFLVGKLSFQIMNCLGIPVSSCLIEKNATKEVLKLIEIAHNRNIPIYYPTDMWCLNSNNNEQLEIFDSAELLSGLISLGWTPVDIGPSTLERISSLLSSYKEILWIGPTSFDLTEEFSVGATQLGQILNKASHNSCDIILVGGAACKAVKGISDSSSQYTTFENESIVWEFLKGRILPGIAALDKSYPFQIPWDDVFSDTKQPLFVDIGSGNGLFLFQMARNWEGSNFLGLEMNEKLVVRCLQDVASAGKRNLYFLSTNATSTFRSIVSSYPGQLTLVSIQCPNPDFNKEQNRWRMVRRMLVEAVADLLQVNGKIYLQSDVESVLLGMKEQFISHGKGQLVVDSDGRMENPFGVVSDWERHVLARGAPMYRTMLRKV >SECCE4Rv1G0287870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:857158961:857159227:1 gene:SECCE4Rv1G0287870 transcript:SECCE4Rv1G0287870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSGGGGGILSYEKLEGYAVWVGASVAAAFFISMERCSCIHLHTMDDDDCDDPEEAKDRPLMLSRPQALPEYYYDRTGSSASFAKM >SECCE4Rv1G0285570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:845321763:845322872:-1 gene:SECCE4Rv1G0285570 transcript:SECCE4Rv1G0285570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEERPDWLNLPSDLLTIIAQRSRDAVTGLTAFRSVCRTWRSAAGPAPRLLLLLPRPRPTRLVFPLARGWSIVFDVRDAACHLSHLATGATAALPRLNASRDAGSDVARHVRYLDCNDLETAIRSGWIYPTYLDFADCLRFAVHVPPGSGSPAGMTILMYHMMHEETSMLFCRPGDAAWTKVGKPNHTGYGYFDLAYYDGRMFGMAVNGQMAVFDATTLDALQLVQSPPATPNLANKMYGCCCRMEEFNYVHLVALPGKLILVRTTVKSSRPVAFTIFQLVSAPDGGLAWRMVADAGNYELFVDGYHTTFRENDGGGTWIYYVHETQYLAFTAAYRYSMQHKKLECVYKSPKGASPEFSTKSTWFVP >SECCE3Rv1G0175780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:433932056:433942330:-1 gene:SECCE3Rv1G0175780 transcript:SECCE3Rv1G0175780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 57 [Source:Projected from Arabidopsis thaliana (AT4G27800) UniProtKB/Swiss-Prot;Acc:P49599] MALLSPRIPRLPLAAHSAGAGALRCCGRVAASAARCQVTALGSVAADSSSSSELEAIRWGSAKLQGAREEMEDEVVLRPDSLLHGFSFAAVLDGHAGFSTVQFLRNELFKECAAALDGGAVLNTKNLEAVTDSIRRAFATVDTNLSTWLQQMDKEDDSGATATALFLRKDVLVVSHIGDSCLVISHGGRPQSLTNFHRPYGNNKTSLEEVKRIRAAGGWIRDGRVCGDISVSRAFGDIRFKTRKNEMLVKGVKEGRWTQKFVSRIKFKGDLIISSPDVSLVELGPDVEFVLVATDGLWDYIKSTEAVAFVRDQLCQHGDVQRACEALGEKALDRRSQDNISIVIADLGRTNWQELPVPRPNVLLELSQAVATVGAVSVGIWISSLLTLQ >SECCE4Rv1G0217160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14441895:14446104:1 gene:SECCE4Rv1G0217160 transcript:SECCE4Rv1G0217160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFAMESFMLPASMVLVQLFSILLVLLSKLALNTGMRPFVLLAYRNFIGAAAIAPLALVFERVILAMGLYYYGLRGTNATYSVVFLNLIPIVTSVVAILLRAEKLVFRKWHGKMKLLGIVACVVGTMVVSLYKGKMLHHPWPTQLLRSHTQAAAAPAAHHNMVVGTLFLCGSCLGYAFWFIIQVKLAKLFPSRYWVATLTCLSGSLQAFVIGILIDPHTSAWRLKWDLQLLTVVYSGVFNTGVALVLMSWAVKRRGPIYPSMFNSLAMVATVIMDSALLGTNIFLGSIIGTLVVIVGLYAFLWGKGKELHEAMSQTKNVGENEDPGDGHEHDEPVLEVRRRGDERA >SECCE1Rv1G0020420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:257608354:257616940:1 gene:SECCE1Rv1G0020420 transcript:SECCE1Rv1G0020420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLLRLPNGAISTEDVPETAAVDGHFMRYRWYRIQSDRKVAICSVHPMEQATIQCLGCLKSKIPAAKSYHCSAKCFSDAWQHHKVLHERASSALNENGSEEEELFGRFGSGSSGILSSGSGSMSNLGQSPGVNNGPVPLYPSGSDKSSGETWFEVGRSQTYTPTADDIGHVLRFECAAVDTEKKVPAGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLGHLDMDSQSSSFGTFTVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFAPEFDKHGYQALYKKRTTEVYAGVPHAIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAIIPPAQKRVALNRLIKDNIALIAVLEAKFGNQGTENPGKRQLLCVANTHVNVHQDLKDVKLWEVQTLLKGLEKIANSADIPMLVCGDFNSIPGSTPHGLLAIGKVDQLHPDLAIDPLGILRPVSKLTHQLPLVSAYASFARMVGVGYDLEHQRRRMDSGTNEPLFTNCTRDFTGTVDYIFYTADSLSVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >SECCE5Rv1G0330570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:531486901:531489364:1 gene:SECCE5Rv1G0330570 transcript:SECCE5Rv1G0330570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysin motif-containing protein, Pattern recognition receptor, Peptidoglycan and chitin perception in innate immunit [Source: Projected from Oryza sativa (Os09g0452200)] MPFPSTPLHRRLLLLLAAVACTRLPGAASKPKLLEPCASATACPALLSYTLHADLKLAELAALFAADPLAILAANAIDFAVPGPAGRILPAGLALRVPVPCACSGGVRKATSVRYVSRPGDTLASIASRVYGGLTTPDWIRDSNGIPDADGAVDAGTALLVPLHCACFGGVDNGVPAVYLTYVVAKGDTVPAIAKRYQTTATDVMSVNDMATADVAAGDIIVLPLPACTSSFPTFTSDHGLAVANGTYAVTADRCVQCSCGPANLELFCVPAPLADAACSSMQCGNSSMLLGNFTLVMTGAGCGVTSCGYGGYANGTILTTLTTALKPICPVPHQFPPLIPPPTSSFFETYLGPSPAPMPSVGGIKSPTMAGTAPTGGQDAVAGAPPTGRHFSDVIGVLALCLFTNVLW >SECCE2Rv1G0071900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:47991901:47994201:1 gene:SECCE2Rv1G0071900 transcript:SECCE2Rv1G0071900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTNISVPLMLLAILFPKLALCYINPAATGVHQNATKPSAYRSYIVLVEPPCLNVGEDGHRQWHESFLPSSLTGESRESRLLHSYTQVFSGFAVRLTDAELETVIKKPGFVRAFPDRTLQLMTTHTPEFLGLRNGTGFWSQAGYGKGVIIGLLDSGIYAMHPSFNDNGVPPPPARWKGSCEAARCNNKLIGAKSLIAGDDSGDRDGHGTHTSSTAAGNFVTGASYHGVGAGTAAGIAPGAHIAMYKVCNNRGCKQSAILAGLEEAIKDGVDVLSLSLGGTTSVSFDQDPISIGAFSAVARGILVVCAAGNGGPFQGLVTNEAPWLLTVAAGSMNRSFGVDIHLANGKSIHGEALTQKASPSSKLHPLLYSEERRYCNYEGDSSIAGKILICEDRGSKDQQSKIHNIMGAGAVGVVLFNNRFCGYSTILEDHNSSVVQVTNADGDALIAYTASTESNSMASLAYNGTQYGVRPSPVVAWFSSRGPSSLAPGILKPDILAPGLNIIAAWPPSTDSVQGPFNIISGTSMATPHVGGVAALIKGIHPDWSPAAIKSAILTTSDIVNSTGGSILDEQHRKADVYDTGAGHVNPERAADPGLVYDLDVIDYAGYICWLLGDSGLATIVHNSSLTCAKLTKVQDVQLNYPTITVPLTSTQFTVNRTVTNVGPAESTFKAKVDVPRSLTVRVVPETLSFSKTGEKKTFSVTVSGHSLGKEKKTLGMSVNDHGLGKEEVHVEGSLSWVSEKHVVRSAIVAVVRGGDTAPTPSP >SECCE4Rv1G0265450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725925100:725926521:1 gene:SECCE4Rv1G0265450 transcript:SECCE4Rv1G0265450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKAEKSITLLPTHFVLVPLMGQGHTTPMADLACLIAGCGVRVSLVTTPVNAARLQGLADRARNAMLPLEIVELPFPPADDGLPRGSSDNSDNVLRLFMSLYRLAGPLEAYVRSLPWRPSCIISDSCNPWTVGVARSVGVPRLTFTGPSCFYSLCDFNVAKHGLLHSGDVVPGMPVRVELSKDTWAAAFLTCMPAWGKFVEEVREGIRTADGAVVNTFLGLEEQFVTCYEAALGKPVWALGPFVLNSQNEEARAVEQCAATAWLDMMDQSTVVYVCFGSLAQMLPKQLYEVGHGLEDSGKPFLWVVKESETVLPEAQEWLQALEARTAGQGLIVRGWVPQLAILSHRAVGGFVTHCGWNSLLESVAHGVPVVTWPHFGDQFLNERLVVDVLGVGVPVTPFDDDKVVNPVMRGHIARAVSELMGDGAVAMERRRKCKEYGKRAHGAIANGGSSHENLTRLLHSFMPSGSKEL >SECCE5Rv1G0304400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:58467658:58471185:1 gene:SECCE5Rv1G0304400 transcript:SECCE5Rv1G0304400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCQGGDMSEAIKRANGTYFSEEKLCKWLVQLLMALDYLHTNHILHRDVKCSNIFIARDQTIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTKYSGPFRGLIKSMLRKSPEHRPSAGELLKHPQLQPYVFQVQLKSSPTRNIHPINESLTDKVKKMTIPDDVPDSARRRMVRRNSLGSHRIVTFCKPSPERNSVSSTRSIKEYTTTQSCKELSMDSSQAEDDEVTSKAMITKTSSILRTPKSNPVKTFTSRNRLETPKTSYNRTNRAEPPSVTPVNKGARLARRVSLPLSTYETPIKRSISIVDQLGSPDVSMNAPRIDRIAEFPLASSEDPFHSIHKLSSAHGSCSTPPFINRSITKDKCTIQVLRADGGDNGSDSSGRNATAASSRGSNDSRLQRFDMSSFQQRAEALEGLLEFSAQLLQQERYDELGILLKPFGPEKASPRETAIWLSKSFKETT >SECCE2Rv1G0076560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:92120981:92121363:1 gene:SECCE2Rv1G0076560 transcript:SECCE2Rv1G0076560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPPSLLDLLSPSPPAPSPAASAGATVVQVVPMDVSEELLGKFMDASEFGFDYDTSGLWSPLVLLRPEVLALASGRAKRPRPRRSWRRKMFCCW >SECCE7Rv1G0475000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:180523878:180525692:-1 gene:SECCE7Rv1G0475000 transcript:SECCE7Rv1G0475000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRSVCVLVALLCICLREGGAVTFTFLNRCTGTVWPGILSNAGTARIEPTGFALPPGAARALPFPTGWSGRMWARTGCAQDAAGRFACATGDCGTGTLECAGRDGATPATLAEFTLDGGGHNDFYDVSLVDGYNLPILVEPAGSSATGTTCAAAGCSADLNLRCPAELRSAGGGACRSACDAFGKPEYCCSGAFANPNTCHPTAYSQAFKLACPRSYSYAFDDPTSTFTCAGGRDYTITFCPVATPSLKSAGGATTVVPTPTMPGSTAFAPPMMPRQAGGQPDGQGVILGDNSWLANMATGDMSAATPRRTAMIPAAPLALFILRLLL >SECCE3Rv1G0165750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:178827873:178831965:-1 gene:SECCE3Rv1G0165750 transcript:SECCE3Rv1G0165750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-N-acetylmuramoyl-pentapeptide-transferase homolog [Source:Projected from Arabidopsis thaliana (AT4G18270) UniProtKB/Swiss-Prot;Acc:O49730] MTSPSSSASCSYSYSSCPAPHHPRLRRSPRRPPLPRVRPSSTPSSRRPSAVQESQFGSIPSRPTRRRGSIQIAKSFDDDMGDFSLVHHDDEDDAFGVVLYSSESDWSDDEVVLTQIGDVELPTMMPQRRFKAAEGAITIAAHRLATIGKGKRKSRTQQGLMNNVGLIAFLAVLLLFVDWCSWWIVRLPLDSFYLTRPFLISAVLSTLAGFIFAPIADRMKIHHFRRRGKPISPSYGKPTPAMGGLFFIPIGILVARRHVGLNSSGVNGAAIITIIFAVVGLLDDISNLVMDRSHKIPPWIRFLVQTAAGIYFFIWLGSANISTPYNMKFIVPLPPPFGLAFMGKVYLVLATACSLSMGTGVTLVDGLDGLAGGVAALALVGLSIATLPICSELSVFGASMSGACTGFLLHNRYRASIVMGRVGSFALGGALATIAACSGMFIPMLIACSVFFLELLVVILQVPLSMTLKHIHGTSRSFQRILPSHYYLRLWGIKEPYIVAGAYIMTCFLTVLAGYLGLISA >SECCE5Rv1G0327210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:490563051:490566263:-1 gene:SECCE5Rv1G0327210 transcript:SECCE5Rv1G0327210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKWGQQQDNPFEEVEIEVNPFSQPRPTPLPHEPVNFYNDIGAPVNMPLDTKKDLKKKEKELLAKEAELNKREQEIKRREDALARAGVLIEPKNWPAFFPVIHVDIANDIPVHLQRVQYVAFASLLGLIICLFWNFICVTAVWILGDEAGPKIWFLAIIYFITGVPGAYYLWYRPLYRAMRKESAFRYGWFFMFYFFHICFCIFASVAPSFLFLGRSLAGIFQALSVIPYSATVGIFYFLGFTLFALEALLSIWVMQRVYRYFRGSGEEAEMRPDVASRRPSL >SECCE4Rv1G0261750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:703925532:703926221:1 gene:SECCE4Rv1G0261750 transcript:SECCE4Rv1G0261750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGENTRLIGVRKKGEDRFGAAIMHPVTKTFLWLGTYSSPEVAACAYDLAARELKGAKAKLNFPYPPPARLVKEVIATPRHRSHGHEAPLFQVVTLPPDPTSPPPPPPKLVVYFPFPFEVPAGELPPVPAYPFLHMSHPARARLSPQRAHAPAPKPQPPIQQMNIVVQAESCLSSSRETLGASSSRRLVFKKSELVVVPVTPSAPTEGTSDNFTKPWYFPDFPIV >SECCE6Rv1G0419060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:666551573:666556794:1 gene:SECCE6Rv1G0419060 transcript:SECCE6Rv1G0419060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAKIPQRQLFIDGDWRAPALGRRLPVINPTTEASIGEIPAGTSEDVDAAVAAARAALKRNRGRDWSRAPGAVRAKYLRAIAAKMIERKSDLARLEALDCGKPLDEAAWDMDDVAGCFEFFAGHAEALDKRQNAAVSLPENFKCHLKKEPIGVVALITPWNYPLLMAVWKVAPALAAGCTAVLKPSELASVTCLELGDVCKEVGLPSGVLNIVTGLGHEAGAPLSSHPDVDKVAFTGSYATGQKIMVAAAPTVKPVTLELGGKSPIVVFDDVDIDKAVEWTLFGCFWTNGQICSATSRLLIHKNIAKEFVDRMVAWSKNIKVSDPLEEGCRLGPVVSEGQYEKIKKFVANAKSEGATILTGGVRPKHLEKGFFIEPTIITDINTSMEIWREEVFGPVLCVKEFSTEEEAIELANDTHYGLAGAVISGDRERCQRLAEEIDAGCIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLSIKQVTEYTSDAPWGWYKAPAN >SECCE2Rv1G0124390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:832374508:832387346:1 gene:SECCE2Rv1G0124390 transcript:SECCE2Rv1G0124390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMDLGDVVLAWSIRDVNDDDLYRGKVETIPCKFKSVDHYLQSYRLPLIEETRSDLCSCLELINEAPSSKILSMEVAGKSGLYFMDVDFWDNGAGFSTEAYTARNGDIFILSSSKPESAEDLNRYGVTYCLAMVTEVSLDDEYQKGFRVKVAKDIGLEEEDLSKFRHAIFLTNIMTNIRIWKALSFDTHMDNNFTVIKSLLAPTNLGDDVCGICVSQDGGLLPSLAEQLLSIKLNQSQLDAIESVISAVRCRHMNLLKLIWGPPGTGKTKTVSALLWALACMKCRTLTCAPTNVAVVGVCTRFLQNLKDFNEHIDENGLPFSLGDVLLFGNKYNMDITEDLQEVFLDFRVDDLVECFSSLSGWKYRIASMVSFFDDCASRYDMLLEDEGNSDPVSFLDFIKKQFDVTAVALKRCIMNLWVHLPGRCFSRDRVINISTLLNMLEKFGTLLCDTDLTDEGLKRGLGCLSTENVVSSQPLSSIEKELDGTRSSCLKLLKDLLHSLDLPTGVDKNWVQSYCIRNATLLFCTTSSSYRLHHMEIAPLDVLIVDEAAQVRECELVIPLRLHWLKHVVLVGDDCQLSAMVKSQVCKEAGFGTSLFGRLVMLEFNKHLLNIQYRMNPSISLFPNAQFYERKILDGSNVLSPSYNKDYTCFPFGSYTFINVTDGREDKEGTGNSRRNMVEVAVVLHLIQTIFKSWKTTGKVLSVGVVSPYSSQVDAIKGRLGKKYDRCDGFHVRVKSIDGFQGEEDDIIILSTVRSNGRGAVGFIDDNQRTNVALTRAKHCLWIVGNAHTLYKSGTVWTDLVADAQRRKCVFNATNDTALCKLVLQVKQDLDELEDLLNAESAVFSNTRWKVIVSDEFRKSFTKLKSTQLRREILQKLIKLGGGWRTPVRNLDIPGVSNLTKVYKIRDLYLIWSTDMEKSEGSYMQIIRIWDLLSQQHVARTIQRLENLFSMYTDDYLDHCRGVQTLGKLEVPMVWDVDHDIIRYKKDCRIDAQEEHDLVDTSYAMENSKVRESFLLMKFYSLSSGVAKHLLTASDGSEIDIPFELTDEEKVIIQFPLTSFILGRSGTGKTTVLTMKLIQKEQQSLIASQGLHLDGDDSSGPDEKNIVALQDARESFVKQVFITVSPKLCSAIKNHISGLKRFGSGDVSDQPSILHMHDIIDDQEEFTDIPDNFSNLPHQHYPLTITYRKFLMMLDGTCRTSFFDVFYGELQSSIDRGHSNSRALQIFIESKEVTYEKFAAAYWPRFNADLTKNLDASTVFTEIISHIKGRYQAGSPYISKLGRQDYVMLSDKRFSSLNSEKRYRIYNIFVDYESMKSTAREFDLSDFVNSLHINLVSEGYNGDLLDFVYIDEVQDLTMTQIALLKYVCRNFKEGFLFAGDTAQTIARGIDFRFEDIRSLFYTAFLSEAGAFNQGRQHGKQVQLSDMFQLTQNFRTHCGILHVAQSIMSLLYFFFPSSVDKLNPETGLVFGEAPVLLESDNDENAIMTIFGEIKSKHGSMHGFGAEQVILVRDDATKKQIVDLVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLGNKWRVLYGYMKDKDIIAQPEEISHPGFDRSKHHLLCSELKQLYVAITRTRQRLWICENTDDYCRPMFDYWKKLCLVEVRLLDSTLVQAMQTGSSADDWRLRGTKLFNEGQFEMATMCFEKAGDTHREKWARAAGLVATAERAMSSNLEKGNAQLQTASEIYESIGMHEKAATCYIKLGDYKRAGMIYMQKCGASRLEDAGDCFAMTERWSDAAEVYFKAKCYTKCFLMCSKGKQLFNLGLQFLQQLEDHLPENSKSLEVSAIRKTYLENCAQHYFVCHDIKHMMHFVKAFSSMDHVRAFLKSRNLFDELLSLEMEKGNFLEAAGMAKHKGDVLLEVDMLEKADLFEDATRLLLLHIIVDSLWSSNSRGWPPKKYPEKEQLLARAKNMAEKVSECFYNIVCLEADALSDMNRPLLSLNCTLLDSRKCGNLFVEFNASRLILDVHLQSRDNEYNLELGPGSEDESSCNDMVARNQISPHTLAYAWNQWRSIIIKVLSHLRHTDGPESNDDEVMYEDLCMKYFGLRKDGEDDRYVVLNMNSSWLSNAGRNSLQQDGNRCWLDVHQCHSCAQSFLMNELSSVGLCVLKKLETIVQIYPNPASSYALVRTTLIIKEIANFLEEPEFSMPKSTMKLRSFSALCERRFFELVFLVWRDGATRSLLSILDSPASYGLIADSLSANLRPRNKNLTYGHLGRTTVLLLHAARLDDALISRLLQYLDNDSEWAKFFRHLKRFLDTGVDRSSLIENFRTALNVTFYEVTWRNELDYISPICYVGLMECLGFLVSANLLWKGCIYGTKSLLLTMLDCRTSKVYLDTCLVSNPSLDPDLDHMTYFSGRFISETIMAILTNKNMLWEWVQKTSTPSCSYTAVLLRLVVTLYPLILTHRQRDCYEVTNILLKCGVFKDLPVEFSRKIVRVLQMRSHSEGHFKRALADALAAIGDRLVVMGSPKDIANFRNINADLISTEDLGDVQKVMALLRPEEASAVKQEAALPEKSDGSKNIPKAVPANKVESTSEMDLRDENAPFWDKFEAFQANKEGQKDARVIIQFLRSVVRWMEQSGLTGKVDAQLLEDIRRICSEFDECFARLEKTACLTVEDLYSIMGDGENKLQTVISFLSSARASMKGDDGRNEAAAVVRSQTDGADECAGCSENEPDTGGSNEVEPVKEEAAAAASTSQKAAQKQKQKQKGRKNSKGRRKK >SECCEUnv1G0537590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69278398:69279498:-1 gene:SECCEUnv1G0537590 transcript:SECCEUnv1G0537590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKRITYGDVNKATESFSLANVVGSGQFGTVYKGWFDAEDGTVAVKVFKLNQHGALHSFISECKALQHIRHRNLVKVITGCSTYDPVGNEFRALVFEYMANGSLEDRLHNHQCGGLSLGAVICISVDIACALEYLHNQSIPPVVHCDLKPSNILFDNDDMARVCDFGLARLIHGCSSGGQSGTTSVVGPRGSIGYIPPEYGMGSEISTEGDVYSYGIVLLEMLTRKRPTNEEFSDGLTLHKYVDASLSRTQDILHPGLTLETEDQRVDHIPNLQEHNTFALKDICALRLLRLGLLCSSESPKDRPAMYDVYVEVTGVKEAFFSIDN >SECCE2Rv1G0065750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10174407:10186705:1 gene:SECCE2Rv1G0065750 transcript:SECCE2Rv1G0065750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTHLPIVGFNASTTPSVSTIRPVNSAGAAFQPSAPSRTSKKKSRRVQSLRDGGDGGVSDPNQSIRQGLAGIIDLPKEGTSAPEVDISHGSEEPRGSYQMNGILNEAHNGRHASLPKVVEFCMALGGKTPIHSVLVANNGMAAAKFMRSVRTWANETFGSEKAIQLIAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAVRTGVSAVWPGWGHASENPELPDALTANGIVFLGPPSSSMNALGDKVGSALIAQAAGVPTLPWSGSQVEIPLEVCLDSIPEEMYRKACVSTTEEALASCQMIGYPAMIKASWGGGGKGIRKVNNDDDVRALFKQVQGEVPGSPIFIMRLASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKELEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQVPEIRRFYGMDNGGGYDIWRKTAALATPFNFDEVDSQWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGVSRAAAITNMSLALKEIQIRGEIHSNVDYTVDLLNASDFKENRIHTGWLDNRIAMRVQAERPPWYISVVGGALYKTITSNTDTVSEYVSYLVKGQIPPKHISLVHSTVSLNIEESKYTIETIRSGQGSYRLRMNGSVIEANVQTLCDGGLLMQLDGNSHVIYAEEEAGGTRLLIDGKTCLLQNDHDPSRLLAETPCKLLRFLVADGAHVEADVPYAEVEVMKMCMPLLSPAAGVINVLLSEGQPMQAGDLIARLDLDDPSAVKRAEPFNGSFPEMSLPIAASGQVHKRCATSLNAARMVLAGYDHPINKVVQDLVSCLDAPELPFLQWEELMSVLATRLPRLLKSELEGKYSEYKLNVGHGKSKDFPSKMLREIIEENLAHGSEKEIATNERLVEPLMSLLKSYEGGRESHAHFIVKSLFEDYLSVEELFSDGIQSDVIERLRQQHSKDLQKVVDIVLSHQGVRNKTKLILTLMEKLVYPNPAAYKDQLTRFSSLNHKRYYKLALKASELLEQTKLSELRTSIARSLSELEMFTEERTAISEIMGDLVTAPLPVEDALVSLFDCSDQTLQQRVIETYISRLYQPHLVKDSIQLKYQESGVIALWEFAEVHSEKRLGAMVIVKSLESVSAAIGAALKDTSRYASSEGNIMHIALLGADNQMHGTEDSVDNDQAQVRIDKLSATLEQNTVTADLRAAGVKVISCIVQRDGALMPMRHTFLLSDEKLCYEEEPVLRHVEPPLSALLELGKLKVKGYNEVKYTPSRDRQWNIYTLRNTENPKMLHRVFFRTLVRQPSASNKFTSGHISDVQVGGAEESLSFTSSSILRSLMTAIEELELHAIRTGHSHMFLCILKEQKLLDLVPVSGNNVVDIGQDEATACSLLKEMALQIHELVGARMHHLSVCQWEVKLKLESDGPANGTWRVVTTNVTSHTCTVDIYREVEDAESQKLVYHSAPSSSGPLHGVTLSTPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETAVQKSWSNISSDSNRCYVKATELVFAHKNGSWGTPVIPMERPAGLNDIGMVAWILDMSTPEYPNGRQIVVIANDITFRAGSFGPREDAFFETVTNLACERKLPLIYLAANSGARIGIADEVKSCFRVGWSDDGSPERGFQYIYLTEEDHARISASVIAHKMQLDNGEIRWVIDSVVGKEDGLGVENIHGSAAIASAYSRAYEETFTLTFVTGRTVGIGAYLARLGIRCIQRTDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILRWLSYVPANIGGPLPITKSLDPPDRPVAYIPENTCDPRAAISGIDDSQGKWLGGMFDKDSFVETFEGWAKSVVTGRAKLGGIPVGVIAVETQTMMQLIPADPGQLDSHERSVPRAGQVWFPDSATKTAQAMLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPKAAELRGGAWVVIDSKINPDRIEFYAERTAKGNVLEPQGLIEIKFRSEELQECMGRLDPELINLKAKLQGAKHENGSLSDSESLLKSIEARKKQLLPLYTQIAVRFAELHDTSLRMAAKGVIKKVVDWEDSRSFFYKRLRRRISEDVLAKEIRGVSGKQFSHQSAIELIQKWYLASKGAETGSTEWDDDDAFVAWRENPENYQEYIKELRAQRVSQLLSDVADSSPDLEALPQGLSMLLEKMDPSRRAQFVEEVKKVLK >SECCE7Rv1G0482890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:314376021:314377699:-1 gene:SECCE7Rv1G0482890 transcript:SECCE7Rv1G0482890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPELQQFLEQEKHRMMMSEMVTKLTNVCWDKCITSTPGSKFSSGETTCLTNCAQRYLDMSMIIAKRFQMQ >SECCE4Rv1G0223070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:66198654:66199058:1 gene:SECCE4Rv1G0223070 transcript:SECCE4Rv1G0223070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEIPLHGANAMLVMNGSFTFVDPVQPSQMRDPAPAPAPAEKKKRDRGEDLLLADDSEAAGWSSAILDRSLALRRRRGPSPSSFHRGCLVVTEAQNSRDQETAPEVATEEILECQWSEKEPSVEELVYWTVI >SECCE4Rv1G0255400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:646228888:646231957:1 gene:SECCE4Rv1G0255400 transcript:SECCE4Rv1G0255400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATSTACCKPSALLTPRASSAAAPRVQALLCRPSTSAAFHGLRAPASPFAVAPAPRRRAASTGIVCGKVTKGSVPPNFTLKDQDGKAVSLSKFKGKPVVLYFYPADETPGCTKQIIRQKTFTPLGSAGASSPLGSPFLSKRHGSTAIQASLSSPNPTPRQQKHLAMTIPSQEITEAATPRRQQQPIAEEAVANGGGRTCELPTWALIGGITVGVALALALSVDAGPAMALGPEGPLLEEFWDNMRRYGLYALTVSTGFAWALVQPIYELLRNPITAVLIIVVMAGGAVLTVQACAFRDSYEKYKKAGAEVIGISGDDAASHKAFAKKYRLPFTLLSDEGNKVRKEWGVPSDLFGTLPGRQTYVLDKKGVVQYIYNNQFQPEKHIGETLKIIQNL >SECCE4Rv1G0258760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679260302:679265228:1 gene:SECCE4Rv1G0258760 transcript:SECCE4Rv1G0258760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTQQSLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHSERPSREKYIREIFLSISAARPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNMAYFKDDSSSGAWDYSAWVRTYASYLEERLECFRVLKYDVESDPPRTRDLDTVGVLDHLPPLQQLLFRLLACQPQGASSYNVIIQHALSMVALESVKIYTAISDGTINLVDKFFEMQRNDAVRALDIYKRATNQSERLSEFYEVCKTIHVARGEKFLKIEQPPASFMQTMEEYVRDAPAMKDKAILAIEYNKEPEEEVKPTSPPPVSEPEVEQEPEPEPEPELVKEEAPAAEPTDLLGLNETHPAVAEIDERNALALAIVPIDDVPKAAPAFENGVTGWELALVTAPSSNETAVASGKKLAGGLDLLTLDSLYEDANRRASQPASYNPWETTGAAPVPMMQQPAAIQDPFYGSNGYAAPHAVQMAAMAQQQQAFMLQQQMMMASHHPQAQQYHQAQAAPANPFGANPFAPAGAQHPYGGAGTGMMPLRAVQGNAYTGLI >SECCEUnv1G0536080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:62417307:62418486:1 gene:SECCEUnv1G0536080 transcript:SECCEUnv1G0536080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETKVEVTKVELKTAVYKVHVHCGQCARDIETQFTEFHGVQEVKLDAGSGKVTVRGVGFDEEKLRVKVSRGCRRNVEYIPPPPPPKEIITEVKSTKEEIKIITVKVPLHCPDCAVRVREILLEHKSIYAAKTDFGKNTCVIEGVIEEKKLTEYIYQRTRKHCVIDKVETTVRIVEETVVVKKNKEEEVVKVVEKVAEVIEEKIKEVVAPYFLPCTHPHFIDYSHPSHRCGGGCGDSCSPYGAGGGYGHDYGGGGYGHGYGGGCGSYSTHSELRGYQDTSFLHCSHPVDFLSHDNPNGCSVM >SECCE1Rv1G0036090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:505124661:505127054:-1 gene:SECCE1Rv1G0036090 transcript:SECCE1Rv1G0036090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISA2 [Source:Projected from Arabidopsis thaliana (AT1G03310) UniProtKB/TrEMBL;Acc:A0A178WGA8] MASLLAPPASTRGIMPPRRPAPRAALNPRAARSCYRFRTDDDGVVDVAVAAVEGGGYTVGVEVPTLPGARRREGGLVLRPADSAEAVPLAPPGDGACLAAELSFRAPPAPFSLSFLLTDGEGAEIRTHRSTPFRVPIGVGPGSPAPLGLSLSEGGTANFALYSRSARGVVLCLYGRGGGGDGKPALEIELDPYVNRTGNVWHVSLESVEGYGSYGFRCGLFGMGHPLLDPYVKVIGDLVAANSVHVKGITAPSTSCLGSLANPPSYNWGRDKRPRLPLEKLVVYRANVALLTKDKSSGLPDNVAGTFSGVAAKIQHFRNLGVNAVLLEPVFQFDQVKGPYFPYHFFSPMNSYGGEGSSASAITAMKDMVKAMHRNGIEVLLEVVFTHTAEGEADGQMISISGIDNSSYYIADEIAGCKSGILNCNSPVTQNLILDSLRHWVIDFHVDGFCFINAPFLVRGPRGEYLSRPPLLESIAFDPVLSKTKVIADPWSPLGISNVQFPFPHWKRWAEMNTRFSIDVRKFLKGEALISDLATRLCGSGDLFSNRGPAFSFNYVSRNSGLTLVDLVSFSNGDLASESSWNCGEEGPSEDNVVLQKRLRQIRNFIFILFVSLGIPVLNMGDECGHSSAGSASYKDRVPLNWKALKTSFVKEVTGFISFLAALRSRRGDIFQRREFLKLENISWHGNNLSEPRWEDPTSRFLCMHIIAENDVNTPELTKGDLYVCFNANEEPASATLPAPAEGSVWLRLVDTSLALPGFFTTESNLKVHQMLGYSSYEVKAHSCVLFESKRDLPQFL >SECCE2Rv1G0098430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:483392885:483396470:-1 gene:SECCE2Rv1G0098430 transcript:SECCE2Rv1G0098430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFLLSGQSNMAGRGGVHNRRWDGVVPPVCAPDPSILRLSAALAWEEAREPLHADIDTTKTCGVGPGMAFARAILPRLQPPGTAGVGLVPCAVGGTAIREWARGEHLYEQMVRRARAATECGEIEAVLWYQGESDAESDAETAAYQGNVERLISNIRADLGMPHLPFIQVALASGNKRNIEKVREAQLSINLLNVVTVDAIGLPLNEDNLHLTTEAQVKLGESLAQAYISNFLQATC >SECCE4Rv1G0277260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:802504178:802504555:-1 gene:SECCE4Rv1G0277260 transcript:SECCE4Rv1G0277260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGRADYGHRGHLGPPGFGRRRGRQQSTPRAERRTSKSRSAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTR >SECCE2Rv1G0111620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:702514732:702516250:-1 gene:SECCE2Rv1G0111620 transcript:SECCE2Rv1G0111620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILAVSNPSEKRVAVVTGGNKGIGLEVCRQLASKGVVVVLTARDETRGNEAARRLHDSGLSDVVYHKLDVSDPSSAARLADFVKNKFGKLDILINNAGVIGATAEIDTTAPLQDVLVGKNAMERLQWLLENSTETYDEAEECLRVNYFGTKYVTEALLPLLQASSDGRLVNVSSNYGLLRYFSGEDLKQELNNIENLTIERLDEMSRLFLNDYKNGQLKSHGWPADSEYLAYKVSKALINAYTRIMAKNFPALHVNSVHPGYCMSDINYDTGELTAEEGARIIVMVALLPAGGPTGVFFYRSEVASVV >SECCE6Rv1G0415520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:642218666:642219243:1 gene:SECCE6Rv1G0415520 transcript:SECCE6Rv1G0415520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGQAANNRVDEYGNPVAGHGVGTGAAAGGHFQPAREEHKTGGILQRSGSSSSSSSSEDDGMGGRRKKGIKDKIKEKLPGGHGDQQQTAGTYGQQGHTGMTGTGAHGTAATGGTYGQQGHAGTTGTTTHGTDGTGKKKGIMDKIKEKLPGQH >SECCEUnv1G0556280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310673240:310673890:1 gene:SECCEUnv1G0556280 transcript:SECCEUnv1G0556280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGVPKVPYRIPGDEEATWVDLYNVMYRERTLFLGQEIRCEITNHITGLMVYLSIEDGISDIFLFINSPGGWLISGMAIFDTMQTVTPDIYTICLGIAASMASFILLGGEPAKRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYALRTGKPFWVVSEDMERDVFMSADEAKAYGLVDIVGDEMIDKHCDTDPVWFPEMFKDW >SECCE5Rv1G0375260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867580264:867582610:1 gene:SECCE5Rv1G0375260 transcript:SECCE5Rv1G0375260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESEGDLEFLWKWRKYLLLLATLVASVTYVAGLNPPGGIRSEDGGNVNPPAPAVYPFRVGDPVLVHTYSGRYTAFFYCNAAAFVASLVIIMFLLDRRISGNRVGLTVLRSAMLLDLLALMAAFAAGSCRSVVGSIYVSALFALVLAYVAIHVRLERSKEPADERHLKERRKFLLLLATFATPLTYGAGLAPPGGFWSGTGAGHRAGAPLLHDGPYKIRYHAFFYANANSFVASLAIIMLLMSSTLSGRLARSYALPVCVLVELLGLMAAYAAGSCRWITTTVYIACLVGAVFLYILLQVVIAGYVSGVKEWKPQSNEEKLGVVQEGRRLESNNGQQGADKVEESRSLLLLLATLAATVTYQAGLSPPGGVWPEGHPDSSHTAGNPVLHDMHPNRYKAFYHCNTAAFVASLVVIVIVQSKELSSGAVVRRAALNTVMILDLLGLMGAYVAGSCRDGATTIYVAALAVAIFIYSIAKVVAFSAKDKHSKLTRWVQSMCDNLAGLLRLTSDVSSQSQQWKGGQAQGAVHVPTQGESSSPQGVEPHEVYTKLDLESESKHTLGEDEKRSLERKRKFLLQLAILAATVTYQTGLNPPGGFWTESDRGKSVTAGDPVLLDHYGVRYQVFFYCNATGFMASVAVILLLVNQTLSKQGIRSHALHVCILVGLLGLMGAYAAGSCRKLRTSIYVFALVAAVIAFLLLQILLYVFADRDHWLVLVDLTAEKQGQIDQLVNRKKTNG >SECCE6Rv1G0394510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:298616245:298627297:1 gene:SECCE6Rv1G0394510 transcript:SECCE6Rv1G0394510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGRPPAAQKILQSLRPPPVFSTPSRPPFASPDDYHRFHAPTTPSATGSGGIGAGGVGGDIDEGLVIRTQLKRKATREENNVAESSDCMIVTTGVTGNPLLTPVSGKAVKNSKSKTKNNKAGPQTPTPNVGSPLNPSTPAGTCRYDSSLGLLTKKFINLLKQAEDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVELDNGLLGLQTEVENLNLQEQALDEHISDVREKLRELTEDENSQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTLGPIDVYLVSQFDDGFENLGGAATPPRHTDVSKPGPCEDLHATNATQSSKSINVDYNIQYRQNTPQDPSSSNDYGGMTRIIPSDVNTDADYWLLTEGDVSITDMWETAPEVQWDTAVFLSADVSTPHAHHSPRMQVPSMDQP >SECCE2Rv1G0128480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:861408470:861408874:-1 gene:SECCE2Rv1G0128480 transcript:SECCE2Rv1G0128480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSKIHSIVLLRQTLRRWRSRAAERAAADDRAVSVPAGHVAVCVGGAARRFVVRAAHLNHPVFRELLRQAEEEYGFPSGACGPIALPCDEDRFRDVLRRVASEERRREPAVSSRDVATRPLLQRVAAEELVW >SECCE1Rv1G0035830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:501945755:501946906:-1 gene:SECCE1Rv1G0035830 transcript:SECCE1Rv1G0035830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 1 [Source:Projected from Arabidopsis thaliana (AT5G26230) UniProtKB/Swiss-Prot;Acc:Q3E936] MGRARAKDAGYGGAKSFPSPASSSASSSEFEFTVTQSPGSKQRSAAQLCPADDLFYKGQLLPLHLSPRISMVRTLLLASASTSSASASDSTSTSNSSRDSNGSTSSSFSTDCAALLLPDSAPSSSRPSSATDDDRHLNLLRGTASYAGLPPAKRTGKQYLSSFATRFSSVFLHRGGAPAAKKPSNKSLAKEVIKKYAKKVKPLYEKLSQIPKNQNSGSNQPQPQPPVQQQQQQQCFKKPFSFSIRKKRGDEDNAAASAAAAAAEVSAGKYAHSNSFSGNLLFPRQKRCAASCPSSMRSSPNHSGMLSFGGAGGVGFPDVPAAAAAAMAGGIGMRPVSLSAASSSSMEELQSAIEGAIAHCKNTMGGVVSLCPRKGTSGEIGAF >SECCE3Rv1G0155910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69860592:69862151:1 gene:SECCE3Rv1G0155910 transcript:SECCE3Rv1G0155910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPAVLGLTFLLLLVLNPNGAEARPAPTGGHQKKASSATFFVFGDDFGDNGNLPLTDPVTEMSRQWGYPYGSNYVDADGFPRPNTPSGRFSNYKIQSDFIAIIMGLEEAPPAHARTAEKTCDPSGMTFAIGGAGVLDSTSHEVPALAKQVDTFRKMVKDGTITANQLSHSVALVAFSGNDYAGTDVIGLSSPNDINAYIGKVTKEIATNVDRLLKLGVTKVLVNNLHPIGCTPSHTRINNYTTCDIFGNLGASIHNDNLKQVMASKKNVYIVDVYTAFANIVDHAAGKGSELSKQFKRKLSPCCESLDSKGYCGQQGESSSELLYTVCDKSNRFFYWDDMHPTHTGWEAVMKQLEKPLREFVNQA >SECCE4Rv1G0265750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727366054:727367449:-1 gene:SECCE4Rv1G0265750 transcript:SECCE4Rv1G0265750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGSSTAMQRRLDVLVALSLLLSCGVHAQVVPAVISFGDSTIDVGNNNYLSGAVFKANYAPYGENFRRHRATGRFSDGKIVTDITAETLGFEGYAPPYLSPLASGKNLLTGANFGSAASSYSDDTAAMYDAITLSQQLKYYKEYRAKLAAVAGRPQARSILAEALYVVSTGTGDFIQNYYHNASLSARYDVDRYCDLLLGIFSGFADELHRLGARRIGVATMPPLGCLPATIRLYGKGRSRTGCLRRLNRDAETFNRKLNATVGALVRRHAGLRVAVFDVYTPLRDLSERPAAHGFAEARRTCCRTGKAGTRVYLCDPATAAGMMCRNASSYVYFDGVHPSEAANLVVAESLVSAGIDLLT >SECCE7Rv1G0467400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:87405275:87406590:1 gene:SECCE7Rv1G0467400 transcript:SECCE7Rv1G0467400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKPMRRRRADHPPPAPPQSFGATARPTSPRSSTSASAVADLDELLYTPSASEPRSFPHAVKQQCWEKAEKVPGRDPERWRRDALGNIVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNNTEISKSELAQRSAYCRVSGRDMDLFELSAFGNVRRGPDSGGCKIQ >SECCEUnv1G0532990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:25416779:25417051:1 gene:SECCEUnv1G0532990 transcript:SECCEUnv1G0532990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISILGIRGILLNRRNILIMSMPIESMLLAVNLNFLVFSVSLDDMMGQSFTSLVPTVAAAESAIGLAIFVITFRVRGTIAVESINCIQG >SECCE2Rv1G0104900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:612667060:612668508:1 gene:SECCE2Rv1G0104900 transcript:SECCE2Rv1G0104900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHHSTATYSAMEAIPLLLFLALLVLPAYCVQSPRQSYHLELARVDAVDTGSLNISDHELLRRAIQRSRDRLASITPRLYPTRNRKVVVGEAPVLSAGGEYLVKLGLGTPQHCFTAAIDTASDLIWTQCQPCVKCYRQQDPVFNPMASTTYAVVPCNSDTCDELDTHRCGRSGSEDDDDDDVCQYTYTYGGNSTTRGTLAVDKLTVGEDAFHGVVFGCSSSSVGGPPPQVSGVVGLGRGPLSLVSQLSVRRFMYCLPPPASRSAGRLVLGADATAIRNASDRIVVPMSINPRYPSYYYLNLNGLSIGDKAMSSFRGTMNATTPGTPDPDVAASPVSDDGDGGGGTGPDAYGMIIDIASTITFLEESLYEELVDDLEEEIRLPRGSGSSLGLDLCFILPEGVPMSRVYAPSMSLAFDGEWLRLQKEQLFVEDRESGMMCLMIGKTDGVSILGNYQQQNIQVMYNLRRGKITFVKTNCESMTH >SECCE6Rv1G0392080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:249585126:249586358:-1 gene:SECCE6Rv1G0392080 transcript:SECCE6Rv1G0392080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASAWAKPGSWALAAEEQDDLPPPPPPIPASDFPDLATAATTKVPKKKKVQPVSLASFNSAKFVPSSSRGPTPDMLLSLPTGPRERTEEELGGARWGNVSRGSDEPRRGGSGTEDFGPSRADEADDWGVKKPMERRERMGGFGGDSISSRADDVNDWVSTKKTAPSLPMERRERSSAFGSESQGRADDSTSWVSNKSYSAPPPAPSDGRRGGSVWGFNRDGCPDADSWARKREEVNSGGGSSSARPRLVLQKRTLRVAVVTDGEKDAGVEEEKGELQPKSWSCNPFGAARPREEVLAAKVENLTKEVYEQEEKLVIEPKVRSWNPFGAARPREEVLAGKGEDWRKIDEKLEALKVREAPPEVRSSGRGSPVQGEENENGEVLESSTDRAWKKPTALEAEVLSQQGSDN >SECCE4Rv1G0227010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:116830752:116832161:1 gene:SECCE4Rv1G0227010 transcript:SECCE4Rv1G0227010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSDETSEDSVMNISSSCDGIINLPATMHDPNFNGTDADVNVLCEHGEPAERFVAFEGMHTGRRFLGCAKKEGINCGVIQWIDFEWPDSMEKALAKLWDMYEEIKSARTNNNLESSFAIHNLTEEKKKLQENYDSLYADVNSLLDAQQQRGLELNNQKEQKQCLDVKIAELENVVGNLKAELSKKEEEKKKIQEDYDSLYADVNPLLDAQQQNGVELNNQKEQKNYVDEKIAELETIVCNLKAELSKKEDEKKKLLQKYDTLVNLTAAQANVIRNLKFNHLKEKERLTEKRHKLQHRISELQKAEEKIKQKLQGVKAILDE >SECCE5Rv1G0328120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:500031182:500034368:1 gene:SECCE5Rv1G0328120 transcript:SECCE5Rv1G0328120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase AIP2 [Source:Projected from Arabidopsis thaliana (AT5G20910) UniProtKB/Swiss-Prot;Acc:Q8RXD3] MSATAGDEAAVAERLEALRRKLGKKQHFEEAVADLAATLRDRYDGASPALRESMYSAVCRVATVLQTRYTAPGFWRAGLNLFVATEKLLTNPAEKERLKTCILRAREHLDEKENEELMPTNREPDSRFLFEGHLTVGQEPPPPAWLVAQNLTRELNILAEPSGDQNENNTRTELRPEEMTPAIMNFLNTFSGDAELESALEASLQGITAQPKVPPASKEVVANLPVVTVTEEVIARLGSETECAVCRENLVVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHAYESRKEREREEEEDRKGAANAVRGGEFMYI >SECCE1Rv1G0044880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:605994177:605995046:-1 gene:SECCE1Rv1G0044880 transcript:SECCE1Rv1G0044880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGKKFGRNYLTWTDEMDTALLEVLVEHHNNGDHAQNGWKSHVYSAVIGNVREKCFVTITKENISSRCKTFEKHYEAISKMLSQSGFGWDWINNKLSIDSEDVWLKYVAANKKVGFYKNKVIKNWDAITTIYSKDHANGEGAVTGAETVVEPTTEPNEASSEVPHKKQRTGDAILCLLGDMKGSFNDALKSLEPLPLPQVTPPAEILATLEMIPDLACGDILRSYGKLILSERLYQALLELPMNFRKEWLLMLN >SECCEUnv1G0527940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:2969729:2970420:-1 gene:SECCEUnv1G0527940 transcript:SECCEUnv1G0527940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEMESDKVVEVEAAADPDERTASGGDPKACDDCNTTKTPLWRGGPNGPKSLCNACGIRYRKRRRVAMGLDPEAKRKPKREDAIKAAAQAEAEESSTKEEEEDKAEDKTKAEEEKKAISTKKTKATTHTVELHMVGFAKDAVLKQQRRRRQMRRRKPSCLGEEERAAILLMSLSSGVIYA >SECCE5Rv1G0298200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:10123581:10125251:-1 gene:SECCE5Rv1G0298200 transcript:SECCE5Rv1G0298200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFHDDEKPSSPSNAGSKDGLVSMAIPAYNKKDVGLTKDTVTAMVEIRATSSAAMREGLDLVAVLDVSGSMGGDKIESVKKALQFVIMKLTPVDRLSIVTFDSTARSLNPLRSMTQAAQTDLKAVVNGLAAGGGTDIKAGLDLGLAVLAGRVHTESRTPNIFLMSDGQTSGDPRQVNPGEVAVYTFGFGAGTDHKLLSDLAKKSTGGTYSAVPDGTNLSAPFSQLLGGLLTVVAQDVQLTLEPNNADGDLDKMTVAPGTDYTTTTDDKSGLITIKFGTLFSGEARKVAVNFTLKDSDETDEYDATLAEARHSYAAQKTRQSPESILIVRTPNPSPADPSSAGASQRSVQAEELRRLHANTIGAASLLADAEKLEEARDKIMDAQNAVEDIMLDDGEKMINALRAELLQLLTFMESQALYNERGHPYALATIASHGRQRTAGRGDEGEVISLYVTPRMIAYLEQAKRFEENPQEPVPTADDDVQEEIRNDPYGTIAAPLALYLDTAIQALQSIKKVLADATQRKR >SECCE3Rv1G0214040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963698483:963698977:-1 gene:SECCE3Rv1G0214040 transcript:SECCE3Rv1G0214040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCQALSFRLVILLFILVSSSASTLQDTCKTVAVSNKDISYDYCIKFFQANNASATADKRGLGDIATEISRAAALDIRNRIDALMASEKDKTVHGRLSDCRVLYSAAVNMLESAANLNAVISWTDICEQGFRVLGMTSPLAAEDAAFIKDCSIALIITSSLL >SECCE5Rv1G0309360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:150965457:150969670:-1 gene:SECCE5Rv1G0309360 transcript:SECCE5Rv1G0309360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALAGVMTSVIGKLTTLLGEEYSKLKGVHREVEFMKEELSSMNALLQRLAEVDRDLDVQTKEWRDQVREMSYDIEDCIDDFMKSLGQTDIAKRAGLVQSVIQQLKALSTRHQISSQIQGLKARVEDASKRRMSVQQMVSALAGVMTSVIGKLTTLLGEEYSKLKGVHREVEFMKDELSSMNALLQRLAEVDRDLDVQTKEWRDQVREMSYDIEDCIDDFMKSLGQTDIAKRAGLVQSVIQQLKALSTRHQISSQIQGLKARVEDASKRRMRYKLDERTFERGISRAIDPRLPSLYAEPDGLVGIDKPRDELIKCLMEGVGPSVQQQKVISIVGPGGLGKTTLANEVYRKLEGQFQCRAFVSLSQQPDVKKILRSIHSQVSQQEYANIDVWDEEKLINAIREFLKNKRYFVIIDDIWSNQAWKIIKCALFPNDVGSKIMTTTRSITIAKLCCSPQHDHVYEIMPLTEANSKSLFLKRIFGSGDMCPPKLEEVSSEILKKCGGSPLVIITVASLLANKASTNEEWERVYNSIGSTLEKDPGVEEMRKILSLSYDDLPHHLKTCLLYLSIFPEDCEIKRDQLIRRWIAEGFINTEGGQDLEEIGDGYFSDLINRSMVQPVRIQYDGRVYSCRVHDMILDLLISKSIEENFVTFFGGQNQKLVLQHKIRRLSLNCYSQEHITVPSTAIISHCRSLSIFGYAEQMPPLSKFRVLRVLDIENGEDMEGSFIEHVRTLCQLKYLRLDVRSISAFPEQLGELQHLQTLDIRWTKIRKLPKSIVQLQNMTCLRVNNLELPEGIGNLHALQELREIKVKWDSLASSLLELGSLTKLRILGLRWCIIDTHGNKEIFVENLVSSLCKLGRLNLRSLCIKSDYGYSIPLKEMYGYSIDFLLDSWFPSPHLLQKFQMDAYYFFPRVPVWIASLDNLSYLDININPVEEEALEILGGLPALLFLWLSSESSAQKQRLVISSNMFICLKEFRFTCWNNGKGLMFEAGSMPSLERLELPLGAGKNLDFGIQHLSSLMHVTVKIICGGATVREVEASEEAIRSTVTLLRNHPTLEIRIWGDENMVQEDQGKAEEEIQTSTQLI >SECCE2Rv1G0089810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:262491807:262496010:-1 gene:SECCE2Rv1G0089810 transcript:SECCE2Rv1G0089810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHLAALLLCVCIIAGGAIAARQNSTNGASRPAEVRIGALFTFDSVIGRAVKPAIELAVADVNADPTLLPGTKLSLLMQDTNCSCFVGTIEALQLLAKDVVAVLGPQSSAIAHIISHAANKLQVPLISFAASDPTLSSLEYPYFVRATQSDYYQMSAVASIISQYQWREVIAIYVDDDYGRGGITALGDALAEKMAKIAYKAKLPPRAGRTAIQDVLMQVNEMESRVYVVHVSPDSGMTVFSAAKSLGMMNSGYVWIATDWLSTVIDSSGHVDSDATEHTQGVITLRQHVANSGIQQSLLPKLNNLTRKGNRSSFSSYTAHAYDSVWLVAHAVEQFLSAGNAVSFSANQNLQAIKGSSLQLDSLRILNNGDKLLEKVLQANFTGVSGQVQFTLDGNLIHPAYDILNIGGTGFRTIGHWSNFSGLSVAAPENLHSVPLDSTTNDIQLHDVIWPGQTAEKPRGWVFPYHGKLLRIGVPLRTSYNEFVMQDDGPDGVKGFSVDVFKSAISLLPYPVGCSFVLFGDGQKNPSYSDLVQKVSENYFDAAIGDISIVTNRTRLVDFTQPYTESGLIIVAPAREIGSNAWAFLKPFTFQMWCVLGLLFLFVGTVVWILEHRTNTEFRGTPRHQIMTVCWFSFSTMFFAHRENTSSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGIKGLDSLISSSSAIGYQVGSFARNYLVEELNIADSRLVPLNSPSDYARALELGSGNGGVAAIIDELPYVEIFLSKYCKFKTVGQVFTKGGWGFAFPRDSPLAEDLSTAILTLSENGDLQRIHDEWLSGKKGCDSDDSGMSSNSLNLSSFWGLFVVCGLACGIALLIFFWRILFQYSRYNNQVELEPAIVNRPARLTSIKSLISFVDKREEEVKNALKKKPNGSQQARIGSTEEPPTLPL >SECCE6Rv1G0426090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:711564616:711565791:-1 gene:SECCE6Rv1G0426090 transcript:SECCE6Rv1G0426090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANKQRATAHHHRLPDEIVIWEILVRLPPKSLLRCRAVCRAWCRTTNTRDFLLAHHAHQPTLPIASGYGYCSPSVNRDIITFDHRAADAQLQHVTKFDVGRFCVLQASCDGLLLLSCNTFAASCFYICNPATHQYTRLMMLCHFKVLGMYRHRPTGEYQILLYHKNDDMGLHPVGEEHDRYYIIALGSVEPPRNIRCAPGAEQVYLRGGETLVFRGNLHWHLRQCESQSNMIVVFDTTTESFKQMHAPVVFRHATLFEMDGVLGMFNCNDAGTTINIWELQDYENQVWTFKRKIELPINEISVLFGKHDDYWFAVIVPGDGELLVLVQFAEWLLQVDMDGKLVTSFHQPELFATQLQLKQTLIPHTFFSKPEYKIVMCERSAFHLKALE >SECCE7Rv1G0507960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:766881659:766882709:-1 gene:SECCE7Rv1G0507960 transcript:SECCE7Rv1G0507960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMVVLVLAILLACSAVASASFDKEFDVTWGDGRGKILNNGQLLTLGLDQISGSGFQSKHEYLYGKIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTNDFHTYSILWNPKHIIFMVDDMPIRDFKNLEGKGIAFPKNQPMRLYSSLWNADDWATQGGRVKTDWSHAPFSASYRGFKADACVVTAGGRPRCGASVGTEVAPGTGAAGEWYNQELDLTRQQRMRWVQSNYMIYNYCTDPKRVAKGVPAECSM >SECCE2Rv1G0099960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:531609231:531614677:-1 gene:SECCE2Rv1G0099960 transcript:SECCE2Rv1G0099960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPPPAAAPAAAPGPTPPAQVVGNAFVQQYYNILHQSPELVFRFYQEASRIGRPAATGADMDTVTTMEAINEKIMSMDIARAEIRGVDAQESLCGGVTVLVTGHLTGKDDVCREFAQSFFLAPQEKGYFVLNDILRYVGQGEADPSLSPPQQQPPAPELDAVVAPAAALANGTVVPAESVPREQEASPQPEPVLSESIPHPNEEEDPKEEVYNPPNDAEVPVVEETPVPEVIDEVPNNVAASIPVSAPPVPHEEAPKKSYASIVKVMKAVLPPNSTVPYRPAPPKVEKQAPAPAPAVAVDAPTFSPNPESSNIQDPEVDALAVYVKNLPLHATPSQLEEEFKRFGTIKHDGIQVRSHKIQGFCYGFIEFEDASSVQSALAASPVTIDDRPCHVEEKRTPGSRGSSRGRFPPGRGGNFRGEGMRGRGSYTGGRGYGRGEYNNYRSDFGGRGGGRGGSGRGGDVGYQRVDHSATGGRGGARAAAK >SECCE7Rv1G0503900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:716947047:716947247:-1 gene:SECCE7Rv1G0503900 transcript:SECCE7Rv1G0503900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSMSCFGGSGRDYDEAYEQPRRSSRKVRPSDEDGLWYVGERDVDRKATEFIARFHASASYVEAT >SECCE4Rv1G0264730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:722026145:722026495:-1 gene:SECCE4Rv1G0264730 transcript:SECCE4Rv1G0264730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVAADLRASIWKQMSEAGIKYISSNTFSYYDQVLDTTAMLGAVTDRYSWTGGEIGQSTYFSMARGNATVPAMEMTKWFDTN >SECCE6Rv1G0451050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:870926088:870928442:-1 gene:SECCE6Rv1G0451050 transcript:SECCE6Rv1G0451050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAFARSSSNVSLASLVRSGSSGSSGSGSSRGRGGSRRMVRRVLRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRLWRSRRSGIWSILYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSQFREAPDLFEIEGTNGMPRASIEKLPEGTITEEYNRNAVGDLSGCSVCLQDFQIGEKVRSLPDCLHVFHVPCIDGWLIKHGSCPLCRRKL >SECCE6Rv1G0415690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:643644457:643645058:-1 gene:SECCE6Rv1G0415690 transcript:SECCE6Rv1G0415690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSLLLLLVVSLASRSADADKQPPLALVAGVVPCSAGSSINVAAVPPFPNAIVHMVCGGRVVAGTKADERGAFTMNMGTVTSSLLAPLLGNQCKVMVVTTLAACNASLASITGTLAAPVQLLGADTGGGLGGLGGLIGLIGQIVGGLLGGILNIIPLPFSVV >SECCE2Rv1G0076390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:90751565:90757745:1 gene:SECCE2Rv1G0076390 transcript:SECCE2Rv1G0076390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLASSLTMVNLCGSQACCDQGVLGEVFDASTCVNHLVETGIVAPLALALLLRLLARLPESRPSATRRRLLRPSSPLQVAAVAFNGCLGLFHLVLGLWMLLGSTNFSDQDASRSYLPHWWLVTLSQGFSLVLAGFAFAAGSPFLGPAFARLWSVSLTVYSAFVCCSSVVAILDEKAVTVKACLDLLSLPGALMFLIYSIQSSHDEEEHEDEGLYKPLKTDDTADSEVADDSSESSHQKVTPFARAGIFSQMTFWWLNSLMKAGYEKPLDDKDMPLLGAADRAQSQYAMFLEKLNNNKNKQTSHEHDGTPPSILWAIVSHHKCGIMVSGLFALLKVLTLSTGPLLLRAFINLSTGKVTSVSKHQGYMLAALMFTCKCCESLSQRQWYFRTRRLGLQVRSLLSAAIYRKQQKLSSSAKMAHSSGQIMNYLTVDAYRVGEFPYWFHQTWTTVVQLCIALAILYSAVGAAMVSSLVVVVITVLCNAPLAKLQHRFQSKLMEATDARLKAMSESLVHMKVLKLYAWEAHFKKAIEELREVEYRWLSAFQLSRAYNSVLFWSSPVWVSAATFLTCYLLEIPLDASNVFTFIATLRLVQDPIRAIPEVLGVVVQAKVAFTRIEKFLGAPELNGRAKEKCSSVGIGYPVAMNSCGFSWCEDPSKPNLKDISLVVKAGEKVAICGEVGSGKSTLLAAILGEVPRTQGTIEVCGKIAYVSQNAWIQTGTVQENILFGSRMDSQRYQETLARCSLVKDLEMLPYGDDTQIGERGVNLSGGQKQRLQLARALYQNADIYLLDDPFSAVDAHTSTSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEVIRSAPYRDLFTDCQEFKDLVNAHKDTIGLSDFNNNIAPHRANRTSTKETHHIYASNTKSKKPSPAHQLIKEEERETGDTGLKPYMIYLRQNRGFMYASLCVISHMIFIAGQIAQNSWMAANVQDPRVSTLRLITVYIVIGLCTMLFLLSRCLSVVVLGVQTSRSLFSQLLESLFRAPMSFYDSTPLGRVLSRVSSDLSTVDLDVPFAFMFSLSASLNGYSNLGVLAVVTWQVLFVSVPMIVLSVRLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFDKNSDLVDKNAIPYFYNFAATEWLIQRLEIMSAAVLSFSAFLIVLLPPGTFSPGFVGMALSYGLSLNMSFVSSIRKQCTFANQIVSVERVNQYMDIKSEAAEVIEENRPAPDWPQIGSVEIRDLKIRYRKDAPLVLHGISCKFEGGDKIGIVGRTGSGKTTLIGALFRLVEPSGGKIIIDSLDITSIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLEAVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNGTDVVLQKTIRTEFKHCTVITVAHRIPTVMDCDMVLAMSDGKIMEYDRPTNLMETEGSFFRELVKEYWSYTSNGNI >SECCE6Rv1G0423240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695045137:695046384:1 gene:SECCE6Rv1G0423240 transcript:SECCE6Rv1G0423240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVRRHQMCKTKSATATAAAPSMARSSATTHGRRSPRGAANTNGTHPTSYSTSSAALSTSSSSAASSLEALKKDSLPDLPLLLTFAELAAATKNFSPAHRLAPGSSNSFRCALRGHPAAVFRRALRRDPAEVSARLGVLGHCHHAAIARLYGAAASPDGALFLAYELVPGAAPLSSLLRGANNPSFTPLASWHSRLRLAADACDALSYVHLQAGTVHNRLSSSSVLVCGQGALLRAKLAHFGSADLAGELPEDDDKEGRHRRTGSRGRRIEGTRGYMAPELTAGGSPTRRTDVFALGVLLLELVSGQEPVRYEFNKASKEYERTSLIESASAASASGGGEGMRQWVDRRLKDSFPVEAAEALTTLALQCVSKDPAARPEMSWVAAKVSKLFLEAQDWADKFRIPTDISISMAPR >SECCE2Rv1G0140720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:932397598:932399561:-1 gene:SECCE2Rv1G0140720 transcript:SECCE2Rv1G0140720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSIKSTMMDKESSQELLVENKRAGSSRVQKPDVAAMQLQLLPYDVLRDILSRLSIKDVVRISTLSGEWRQQRICHPDLVFTKGTFGISTDTNTDPDQVPKDYFGIIKDMNTKRACWAAEFIANVDSVLRPLCSTTTTTTLDKFVVKFGLRINHKYRIDRWVRFSIASRAKHIAFDFTFDVDCFGPGCDQYKYVFPLCRLSGPSGSCVTSLVLGYVWLKLPPSFCGIINLRKLTLNTVSISDDDLRCLLLSCALLESINIEWCDSLSSLRIGQELCRLQYLRVRRCELKMIELHAPNLTKFEFDDYLMQTVLSESSKLSEAIFVSNLRVLDGYDDVLDNIFTELPTALPHVHTLLLLLTVSQVERFSNTRDCFMTLRHLNMNLDIFLGPYDDSWVMGFVNLLELAPLLEELELHMDRDRFCSENPRTVLAAQGPPHRHLRSVYMSGFCDVLGLAELALYILRNATALQRMVVDPVSGMMDNALTERFCSVSNAGSSEEIVFPTDGTQKYVGKKRMFAKNNLDKEEFCHVLTIL >SECCE7Rv1G0496790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:616268581:616273740:-1 gene:SECCE7Rv1G0496790 transcript:SECCE7Rv1G0496790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRGRGDDPDDDEDYESSPQRSAPGSDAEPEEPAPPPPQPARAPLSSLVVRPSPPPPQDNGASSPSPARSSPSPVGDAQGRRRGSSLPRRRREFSSPDPRIRERRRSPPPPARRRPGSPPPPQRRRFSPPGYQPRPPRFYDEPQGYGMHAGPSPPRQRRLESRNFDDAIGPRYTHGYEGNGRGYARGGRGYEGGGRGYEGGGRGGARFRDVSPPYGRGGRSHGRGGYNGPGKEFILIDGEYVHRNDPNLSPREGDWICQNPSCGNLNFARRSHCNNCNKHRYESSRSPHRGYFDSPPRVPARPLGPPSDRAPPREMARYKPAPRDWGVGDPRSYPARSPPDRVGRFPDPLQRERGFRGERELRDPVKFEWSAAEYKQRERPHGGLYLDRSRSPQGSWGSDMRDRSRSPAGNRPMKGAFLGRGRPDLEYAGSYVGRGRLDAGRGRGRGRGRVYRPGGDPYPGEGRDDRRTALHGRDDGRY >SECCE7Rv1G0479060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:246845323:246847102:-1 gene:SECCE7Rv1G0479060 transcript:SECCE7Rv1G0479060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYEEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHESLLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEALPKFLKNGDAGIVKMIPTKPMVVETFATYPPLGRFAVRDMRQTVAVGVIKGVEKKDPTGAKVTKAAIKKK >SECCE6Rv1G0422340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:689529147:689530214:-1 gene:SECCE6Rv1G0422340 transcript:SECCE6Rv1G0422340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSSPASAVSGSAPAPPHVVEDCMGIVQLLSDGTVRRSLDYSHLPMLRHVPSDLPVQWKDVVYDAGHGLRLRMYRPTTAGRAEKXXXXHPKLPVLVYFHGGGFCIASFEWPNFHAGALRLAGELPALVLSADYRLAPEHRLPAAHRDAETVLSWLRDQAAAGTDAWLAECADFGRVFVCGDSAGGNMVHHVAARLGSGALALGHRVLVVGCVILWPYFGGEERTASEAEAEAMAPSSEFDPGRNFHQMWRLALPDGATRDHPAANPFGPESAPLDDVPFPPVLVAKAGRDRMRDRVAEYVARLRAMGKPVELAEFEGQGHGFFVFAPFGDASDELVRVVRQFVCTCTATSHR >SECCE4Rv1G0259830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:690501775:690503327:-1 gene:SECCE4Rv1G0259830 transcript:SECCE4Rv1G0259830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGGGGGNAWAKEMTLRRRMSSIFNKTREHFPTLKDYNDYLEEVEDMTFNLIEGVDVEAIEARIARYQQENAEQIYLSRAKRAEDLAAALKASRMVTVKAGPSDTAAGTSQGISGGAGVQGQYAPAAVPGGLNQPRPTGNAPQPIGGPSDPLHGYDEETMRLRAERGARAGGWTAELGRRRALEEAFNSIFV >SECCE4Rv1G0217770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18748729:18751657:-1 gene:SECCE4Rv1G0217770 transcript:SECCE4Rv1G0217770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKAEDLVPHPCREQFAELDYCITSPPPWITTVVVAFQHYLVMLGTTVIIATILVPIMGGGHEEKAIVIQTILFLAGINTLLQVHFGTRLPAVMGGSFTYIYAAVAIIISPRYILFIGAPFERFVYTMRSLQGALIIAGVFQAVVGFFGIWRVFIRFLSPLAAVPFVTLSALGLFYFAFPGVAKCIEIGLPTLILLLIFSEYASHYFAKGSFVFGRCAVLVTVIIVWIFAEILTAAGAYDERNPVTQFSCRTDRSGLIHAAPWVRFPYPFQWGYPIFCAQDCFAMMAASFASLIESTGTLIAVSRYAGATFVPPSVFARGIGWQGISIILDGMCGTLTGTAASVENCGLLALTRVGSRRVIKISALFMIFFSLFGKFGAILASIPLPIFSALYCVLFAYSAAAGLCFLQYCNLNTRRSKFILGISLFLGLSIPQYFREFETFYGFGPAHTRSLAFNVIVNVIFSSPATVAAILAYLLDCTHLYWEPHVRRDRGWLWLEKFKSYRHDGRSEEFYALPYGMSRYFPSL >SECCE4Rv1G0262770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:709835084:709835449:-1 gene:SECCE4Rv1G0262770 transcript:SECCE4Rv1G0262770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANHHLRRLASASAPALSRLSKPPASPLLRPAFSSSASPADQPPAAAGGAAAEKGEAESAVKEADEPQGGGAGARKAGEEEEEDDGGLDINEATGEIGGPHGPEPTRYGDWERGGRCSDF >SECCEUnv1G0530470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13431297:13432836:1 gene:SECCEUnv1G0530470 transcript:SECCEUnv1G0530470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAALEDRSCVILATNDYSSHTYTLHHIDVAPFFSHPEPDGEAMDDIPLPPASARFDKPPFPRNCFVDFHLLRGGGIYGGGDVKVVSTDGERRTIIYDVARRAVRGGLVMRARKGSPISDSVGDGLFVLELVPDKGNGCFEALRYDRLREDWFWQSLPRPPYDPRNERNFEKFRKFRRIIFLCREPGSTCSAVTAHTAAAGGRIWTYTKNAGTYSFDTRRRSWRKEGDWALPFVGKAEHVPVCGSAGLSFGFASISGPLCAVDLATATAESPPEVRGVWEEFRLPGDWLGGTSSLVHLGSGKMCIFRFLGTIPSPPIDRSGKKPDRFVVITPVEVGPGDDDGREIKMVKHRSKRIKLDRLNGHMTWVL >SECCE3Rv1G0166940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:194726881:194733498:-1 gene:SECCE3Rv1G0166940 transcript:SECCE3Rv1G0166940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLGAPSRASASTSSSSSAVPSAGRHPCRASWKPRPPSRSHASPPPLSLLRAGASMEPASTEGQSDPPVHGVADRVVGVLGGGQLGKMLCQAASQMGIKVAILDPLKDCPANSVCHEHVVGSFNDGDAVREFAKKCDVLTVEIEHVDAVTLEKLEKQGVVCEPKASTIMIIQDKYRQKDHFSKFGIPLPDFIEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHNKNELSSIVSSLGGFEHGLYVERWTAFAKELSVIVARSRDGCTVCYPVVETIHKDNICHVVEAPAEIPEKMKKLATSVAEKAVKSLEGAGVFAVELFLTNDNQVLLNEVAPRPHNSGHHTIEACYTSQYEQHLRAILGLPLGDPAMKAPAAIMYNILGEDEGDSGFVLAHQLIKRALSIPGASVHWYGKPEIRKQRKMGHITIVGPSLFSVKAHLNKLLQRDTDGLKKARPRAAVIMGSDSDLPIMKDAAAVLEKFNIPFELTVVSAHRTAERMYSYASSAKERGIEVIIAGAGGAAHLPGMVASLTTLPVIGVPIWTKSLQGMDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELSDRVNEYQQDLEDSVLVKARLLEELGWDKYLKQYMNP >SECCE4Rv1G0279120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:812086196:812086751:1 gene:SECCE4Rv1G0279120 transcript:SECCE4Rv1G0279120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSASLQKRSIGIAQSRKGCRYDPERAGVGIVSAIMEPADARQHVVGPLIHTNAREILEVTLPNLIWSNRRPFRAAILSCGLCRSWIGSGTVYMYKGETGFCKPECVNDYIVEQLEKQTWRLRWCQRQKVPSMKDGSQRSMFFTCTGSL >SECCE7Rv1G0502650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:699391968:699393942:1 gene:SECCE7Rv1G0502650 transcript:SECCE7Rv1G0502650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLLLALLGAAIPLLFFSGAEAGEVGVCYGRVGDNLMDPAAVVQLLKKNGITTVRVYDTDPAVLRAMANTGIKVVVALPNEMLASAAGDPSYALRWARSNLAPYYPATDIRGVTVGNEVFQQAPQLTPQLLPAMRNVRAALVSLGLGDAVKVTTPIAFDALKLSFPPSKSAFKDDIAQSVMSPMLDFLEQTGSYLMINIYPYYTYTFQPNVIDLNYATFRPNAGVVDPVTGLTYSNLFDAQLDAVYYAMDNLESSASSVLRTAAGTVSRGRRSQRVPAKTGESGWCSYCPNMVGATKENAQAFNANLIKRVRSGNAGTPYRPDADVSAYIFALFNENKKPADEQNFGLFYPGGQPVYPVDFGPSPAPGPATGSWCVANPGVGDARLQAALDYACGNGADCSAIQPGKPCYEPNTLVAHASYAFNDFYQRKGRASGTCDFSGAASVVFQHPAGICDPSMSWCVANAAAGDARLQAALEYACGHGADCSAIQPGARCFDPDTKVAHASYAFNDFYQRNGRADGSCNFNGAGSVVYQQPKIGSCVLPSSQQAKLKEW >SECCE3Rv1G0158000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:84975758:84978636:-1 gene:SECCE3Rv1G0158000 transcript:SECCE3Rv1G0158000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) UniProtKB/TrEMBL;Acc:F4K1P9] MSAPSPLHSEPAAMALLLLSLLLLCARSPEPALAEDPFAYFDWEVSYQSARPLGVAQKVIAINGQFPGPPLNVTTNWNVVVNVRNSLDEPLLLTWNGIQQRRSSWQDGVAGTNCPIPAGWNWTYQFQVKDQVGSFFYSPSAAPLHRAAGGYGGIVVNNRDVIPIPFPFPDGGDLTLFLGDWYVRSHKDLRRSLDAGAPLGPPDGVLINGLGPYRYNDSVVPPAITYERINVEPGRTYRLRVHNVGVSTSLNFRIQGHNLLLVETEGSYTSQQNYSNMDIHVGQSYSFLVTMDQNASTDYYVVASARFVDAGTVDKLTGVAILHYSNSQGPASGPLPVAPDDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSRPPELIDGQLRSTINGISYIAPSTPLLLAQLFNVPGVFKLDFPNHPMNRLPKVDTSVINGTYKGFMEIIFQNNATMVQSYHLDGYAFFVVGMDYGLWTDNSRGTYNKWDGVARSTIQVFPGAWTAVLVFLDNAGIWNLRVQNLDSWYMGQELYISVVNPEEDHSDKTPLPLPDNTIFCGALLSLQKEQSHRFQYSGASQYGKMVSMPMISMSWLAATWLLYR >SECCEUnv1G0543290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:112456272:112457814:-1 gene:SECCEUnv1G0543290 transcript:SECCEUnv1G0543290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKANVKKGPWTAEEDAKLLAYTSNHGTGNWTSVPQRAGLKRCGKSCRLRYTNYLRPNLKHENFTQEEEELIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPLTHRPIADLMQSIGTLAIRPPPSAAGASSSSYLPVNPAAAPGLHLLHDDVSYHAALNQHQQQQVITLLDADAPGAAASPDHQLKWSDFLADDATALEAAPQAVLGQYQEAAVAGGGAHAYGDIDSAAADGVGGGGEDSAASSAFIDAMLDSDKKMGVDQLIADLLADPAYYYGGGSSSSTSELGWGS >SECCE2Rv1G0121550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:809336869:809337261:-1 gene:SECCE2Rv1G0121550 transcript:SECCE2Rv1G0121550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRDLLVALCVAALLAVGSESHGLEDFGSEGKTEATPEMASFFGAKPEAAVLPEALDSAQTAKPEAASAMPTTSSNTRASPPRRSVAVAAGVACGVAALAVVGVAVAVAFVVRARRGERREAEVHLG >SECCE3Rv1G0203930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:886844369:886846058:1 gene:SECCE3Rv1G0203930 transcript:SECCE3Rv1G0203930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAMAAELRRRESESVLFSRLLSFAHGALPDPPVSTAAHLSALLLPHDDRISRLPDALLRNIVSRLPVKDAACTAALSSRWRWVWRSAPLVLADAHLLTDLPAVTRGDARRVPSVVSLILAAHPGPFRCIHLTVSHLEEYQGPLARWLGLLAAKGTQELVLANRPPPVGLRLPAATFGIDTLTRLYLALWKFPDIPRTAYFPNLRELGLCAVVLESRHLDSILARSPVLETLCLQGNMLMDRLTINSRSIRCLYVVATSDLDITVEEAPQLQRLIVWVVSTCKGGSPHKMIKIGRVQALGLIGYLEPEFHTLQVGDTTIKPSAKPSPFTMLPTVKILGLKVRFGVRNEAKMLPCFLRCFPKVERLHIESKETIESSSKINLKFWKESGTIACICSSVNLIIFHNFRGDQCELCFLKFILASAQMLTKLVIVYRKGTFASLAEARSKVDPLFDVSWASKCCSLRLVQSALAEGEGLKILNFKRGSNFSLSDPLAFTGRC >SECCE1Rv1G0037260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:520635647:520636090:-1 gene:SECCE1Rv1G0037260 transcript:SECCE1Rv1G0037260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSCALLIALVVLAGLADLQAAAAAARPVHAAEHSVAAAMATEHPMADEADPDLNGMMECMFGCFTSVMSCAFACMGKGPDLPLCVISCNQKSIVCMIRCGLTPSPPAPKPPTPPGPKPPAPKPAPPKPAPGPPPYARQNTETSP >SECCE1Rv1G0053540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:670238292:670238582:1 gene:SECCE1Rv1G0053540 transcript:SECCE1Rv1G0053540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLGQLVVALLAFSAVVLMVAPAGAEAATCNALQLTPCAGAIIGSAAPTASCCSKMKEQQPCMCQYARDPNLKQYVDSPNGKKVMAACKVPVPSC >SECCE3Rv1G0200090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:850787609:850788778:-1 gene:SECCE3Rv1G0200090 transcript:SECCE3Rv1G0200090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPSKRLITSMSKRLAVSNLQGWADLPDDLLHSIVALLGSSIDLLAFAATCCPWRAAFFSHPSKSTLCFSCPPVLIRQNPIQAPSNGHAKPQRFNLVDPSNTGTNYRCQIREEILQKFYFAGSSYGQLIFFRHRRCLVVDAFSGAEVSPPCLPELNHDVFESYYCSTLTAPLASPNSRLLVSTKSSLFDWPVGSDSWSELKLSNVQVRQIVELNGQFIAMDDHMKIYTLQLAPQLGLQDLPMEWCGGKIPSMHSKPFLVVCRDMLLMVCYSLVSLFQGSVFCTLHRLDMSTNPAKWVEMKKLDNWALFVAAEIRTPPFSCVNPERWGGRSNCLYYTHHSEPWGVRWLGNEPDLMKDPSASGDLVFGRNMWRCPQSLWVYPSTFYSDGK >SECCE4Rv1G0295860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:900199304:900201665:1 gene:SECCE4Rv1G0295860 transcript:SECCE4Rv1G0295860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRSSPLEDEDLLREILLRLPPQPSSLPRASLVCPRWHNVISDHKFRRRFHEHHRNRKPPLLGFFTWPDDDNYVFRPLLDPPDRIPAERFAVPLSRPEGWDFMGCRHGLAVLICQSRRETVVRDPVTGQQRHMTFPKGLDDYAEWLHWHCTVLCVDTQDGHVHGDCFSSPFKLVLIRACCTHATVVVYDSASGVWGNIISIVTTGPMSSRPGVLIGSALYWFIHGGAVLAFDIERQSLRIIEKPTYVHYSHFLTFQLFRTQDSTLGIAVIPMSEHNIQLWARKSNSDDVVLWVLQKTVQLDELFSRPPHVNILLMRGYDEDTNTIFLSSNLGDFMLQLETMQFTNIGIGDPWSFRIYHPYTNFYTTVRGVAGGDGGADHVNT >SECCE2Rv1G0089740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:261418813:261420736:1 gene:SECCE2Rv1G0089740 transcript:SECCE2Rv1G0089740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASVKFSPASAGTGTTTKLAFKPVHLPPLPNAVPRPLSLSARPLYRQGPFLAAARNDRAASTAPPAATADGARPVETAAAPEGAKSAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLAAGSAIMLVSWATRIAEAPQTDLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSAEPAFSVVVSRFFLGEHFPLPVYFSLLPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLVILLPFAFAMEGPKVWAAGWQNAVAEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVASIIIFRTPVQPVNALGAAIAILGTFIYSQAKQ >SECCE5Rv1G0318900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:369110910:369111686:-1 gene:SECCE5Rv1G0318900 transcript:SECCE5Rv1G0318900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAESALPAVDHVVLDNSDTEVSEVVECDSTVVEVLEKSSSEEVRLHLPLGNKEEIESLGTVCDLENNEGNVTEATTLNVVALEMSISCKASDESFSSGCQTPRGNIFDPFAPGPEEVLCGAPKKNVVRGVETLSRRKLIFESDDFPVKRLSFEFDDLEEEDMYLQGICKMFLDLIISNQALEATGDGEAVLIDAVIPPESYKTPESKPLLTGIADTCPDAPVRPSLKMIKLSPGICRKLDFGSVSPKTLFAEDNKS >SECCE3Rv1G0210970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946545100:946546302:-1 gene:SECCE3Rv1G0210970 transcript:SECCE3Rv1G0210970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSQKKEKGVKEFDPTAKLTDDILVDIISRVPYKSTCCCKCVSTRWRDLIYHREHRKKMPQPVVGFFHEGYNAFRSPKKARYFTNLLSWLHYPLIDPALSFLPNCGRLDILDACNGLLLCRCWKADDPLKLDYVVCNPTTEKWVSVPATDWSSKVGVARLGFDPAVTSHFHVFEFIDEEAWGIAEDELDSECYGRIQTLAIYSSKAGVWKYQTVEHRPFAIPKNSVSSFLNGVLYLPADYNLIVAVDVEGDNWWLVRIPKRPFYIDDINGIFPSQGRLYFANSPADSDGSELSIWVLEDYVTGEWTLKHNVSHAQLFKTEYSSYANDYSVIYIHPERSLVFLVGGDEKALMSYDMDSMELCFICQLGSECKVELPGCGDKTPFYPHVPLFSESLADGH >SECCE6Rv1G0387840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:124572285:124579433:1 gene:SECCE6Rv1G0387840 transcript:SECCE6Rv1G0387840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISWSSMIVELILGLLWHLIHLLVSLFDLWSYLSDNLECYLISSELLPKYRNLHFERLNCVGVVVDSTEANNVLKIKQLLRWLSTIGVKYVVLYDIEGVLKEWLKPGIEAPRDESSRTNLDLSAHGGIAIECLSGSDGKEGIAKAANLLCSDFCNCNTRGHKKGENAFTEADMVCALRAVGSGGPEPDLLLVYGPVRCHLGFPAWRLRYTEIMHMGSLKSMKYGSIVKALHRFSYKYQNYGK >SECCE4Rv1G0214580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:916135:918569:1 gene:SECCE4Rv1G0214580 transcript:SECCE4Rv1G0214580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPNTFGNLTKLTTLYLHDNQLSGHVPRDLGSLVNLEDLELNENKLVGSIPNTIGNLTKLTTLHLDGNQFSGHVPREIGSLIGLKDFEFDVNNLSGPLPANLCASGMLERLTASDNNLNGPLPSSLVNCRSLVRVRLERNQIKGDISNMGVYPNLVYMDMSSNKFFGQLSYKWGECHNLTMLRFSNNNLTGEIPASMGRLSQLELLDLSSNNLEGEIPSALGNLKKLFNLSLADNLLHGSIPQQIGALSNLELLDFSSNNLNGSVRDSIEHCLKLHLLKLNHNNFRGNIPVELGLLHNLHGLLDLSDNSFTGAIPSQLSGMLMLDIMNLSHNELNGSIPLSFRIMESLTSIDVSYNELEGPVPESKLFQGAPIQWFMHNKMLCGVVKGLPPCSSATRRGEKNKGYKILVLAIVPALMSLVLVAVILMFWHERKKIKDTNTDKVTQAAAFSIWSFDGGNVFKQIVEATNDFSEMHCIGTGGYGSVYKARLATCEIFAVKKIHMIEDECCMNELVFKREIEALVQIRHRNIVRLFGYCSSSQGRFLVYEYMERGDLAKTLKDEESAIELDWRRRIHIMLDLVHALAYMHHDCSSPIVHRDITSSNILLDIEFRACISDFGTAKILDMYGQNLTRLAGTKGYLAPELAYTENVTEKCDVYSFGVLVLELFMGSHPGDLLASLSLPAKTNHVCLHDLLDPRLVIPDAETAREIYRMLSVAVRCLEPSPLHRPTARRASDELSTIKACEDQVDYLNAGITFPTL >SECCE5Rv1G0302740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:41754178:41755001:1 gene:SECCE5Rv1G0302740 transcript:SECCE5Rv1G0302740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLAAPMNMKRKDVEVVASHGFSIFLDPKRIKLQLLPPYGMDGMVLDMMEDDEPPARALTATASSASTMVHEKVNIVSGGKSSEPPLKNIEEQDTAAATPMDVEAEPRQHQPCRNAPFFSGFF >SECCE5Rv1G0351050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:696108527:696112408:1 gene:SECCE5Rv1G0351050 transcript:SECCE5Rv1G0351050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKQKWTSEEEAALRAGIERYGVGSWRLILKDKDFSSILSCRSNVDLKDKWRNINVFLTESGSMDKGRTAMKKNRATPRRNDHPMANSTVASDVDDEIVDEQPVASVSSELWNVSIPKKSRSRLNNIILESVKNLNEPTGSHRTTIANYIEEEYWPPGDFDHMLSANLKDLTTSGELIEVNRKYRIAPAPGSLYSEGQSPETLLLEDMQREPQKIESDDIKTLTKSQVDAELAYMITMTAEEAASAAARAIAEAEALMAEAEAATREAEAAEADAQAAQAFAEAVRNRNVTELMAQS >SECCE1Rv1G0027980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:391503136:391503441:1 gene:SECCE1Rv1G0027980 transcript:SECCE1Rv1G0027980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFFKLFVVLAIMAALSATNVPSPVGVLGRSPGRAPPSPKPSPRRSSAKPPIRPRLPPPPPAQKGRVRPLRSPPPPPPPPPYRQRGVRPTQSPAPPLPCH >SECCE4Rv1G0259980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:691648710:691653155:1 gene:SECCE4Rv1G0259980 transcript:SECCE4Rv1G0259980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRTETVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAALTASHPDYASLAARIAVSNLHKNTKKSFSETIKDMYMHYNPRSGLLSPLIAEDIYEVIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGVVVERPQHMLMRVSVGIHKDDIDSAIRMYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEENRARDLFFALWVPDLFMQRVQNNEDWSLFCPNEAPGLADCWGEKFEDLYKKYEKAGKAKKVIPAQTLWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEFTSPEETAVCNLASIALPRFVREKGVPIESHPSKLAGSNGSKNRYFDFDKLGEVTSTVTFNLNKIIDMNYYPVETARRSNMRHRPIGIGVQGLADTFMLLGMAFDSPEAQQLNRDIFETIYYHALKASAELAAKEGPYETYEGSPVSKGIIQPDMWNVVPSTRWNWPTLRETISKVGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWTPALKNKIIYEDGSIQKMEEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMDQPNFAKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTGFLKVNGDANGTNGTNGKLAEEDDEAKMAQVVCSLNNREECMACGS >SECCE7Rv1G0510570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:791032072:791032755:1 gene:SECCE7Rv1G0510570 transcript:SECCE7Rv1G0510570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVILLAIKKIGNALANGAADQVSMQFAKYGKQKVELQGSMGRVARELRVMHDVVCQMDIRNSKNQVYEGWLEEVRKVAHVMEDIVDEYVYLIDQEHDIGCCFYLKQGVRKPGSLLYLNQIAFKAKEIEKDLAHLSEIRNRWVPMIQNGDTSSSNYIVKRSQDLANISRSLDEEDLVGVDKNREILEQWLTGADLECSVIALLGMGGLGKTTLAANAYRKEREQF >SECCE4Rv1G0260880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:699356425:699364974:1 gene:SECCE4Rv1G0260880 transcript:SECCE4Rv1G0260880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAALAPQLQPSAAQPQSQPQQQQQQAREQLQKAVAMNAVRLQAIGERIRGHFRGGSYALAPADLSHLVYALARGIDYALSGGDIPKIAGEIPDTLRKVFELRKDPFLQSSVMVLIISCKNACKNKWFQPSDYIDILRMADELSGSFCTNSSEPANDSTALEIISTVMPRYYPKLKFERLITSLEAKVGYDILMADFFIHRNLPKHEKICLVVVQKENLDVSSCIASPQHVSFLVNGKGVDKRTNVSMETGPQFPTDITKMLKYGANIVQAVGYFTANYIIAVAVVNNLMSFDAPKLSDYAQPVTTDLPDSDMLLEGPSRVSLKCPISFRRVQTPVKGRLCKHHQCFDYDSYMDINLRKPTWRCPCCNTPSNFIDIRIDQEMAKILQETGNDIMDVLIFPDGSWKAVSVHDEKTDKHGDAIQQNGDTVETDATASDVIDLINKDDDGDLPMSLASPSEDMKPVLNSQDISVMDYLPDFPPSTAAQSEGMYVGGGTSTSGQNSLPSSSGGPASSSIGTLESILPRDILQMQPATTRAISPSETSIASAMQQVSQGYHSIMQMQSQLDSLLRSAHHTRNVRREPVAVQALAVPQHNSSRRVQANVSNCPPPTPQSISPSNYQAHHVTNADSVITSMVNGVGPLSRAADGSSPFHLQSTQQDMRNMPNHQRGRVMGLAANPYMHMRPPTGGPGQGRGANAYGAPYPQQYQQYDQRQFDNLIGQLVNRGGPGSQATPAHLYVPQQSQAMRTQAVSRQSTPPLQPRVQSPGLAPTAPRVQSPGLAPTALRVQSPGLAPVQPRVQSPGLAPPVQPRVQSPGLAPPVQPRVQSPALAPPSPTPATPLLEDPDVPEIEMDPNWQPTGQMRGSLVGSAYDQAIERYLQPGGGQRTNQARPPGR >SECCE3Rv1G0204420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:894366096:894368406:-1 gene:SECCE3Rv1G0204420 transcript:SECCE3Rv1G0204420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRSRKRTSAPPATTARTSKCRRPAAVAVPLDDVAGAVAPPFSSPLWASLSEDLVCEIAWRVLSGDILDYVRFRAVCTSWRSGTVCPRGRGVADPRFHPRRWMMLPEGHGLYPGHSRLHGYVRFFNLDTGTFVRVQLPLFNSHCALDSVDGLLLLQRDEDTCIRLVHPFTGDIAELPPLATLLAHLKNDPSVPRGSDPHWWWSFIRYRVCATVSCSAGAITVMLVFHHLRRVAFATSQDRQWTMPSWEIPVNIAPLSLQGKLYLVQFPWDNDTLVFQMEVGSPPLPPKMIATCPADKLYGSCHLVECDSQILLAGYTDSSMSHILIYKLEDLILERFVPVTSIGDRALFLEDRSLSVSSKTLPTIIAETVVYTCPLNRCFAQYRLSTGASSQPFDGYSDGFNPGPYSLIQHVMSCCIRNFWNKGLVYSEKETKKPGWLCWRVKRKLRIWA >SECCE4Rv1G0220580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41045376:41046090:1 gene:SECCE4Rv1G0220580 transcript:SECCE4Rv1G0220580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRLATSGSTALGFALGRFGGKTAGGGAAPGGLVAPATGAHAGRRSVSATSNSAAPVPGDQGFGMDPGKQQQHKPHAPAGEQQGDDVHKTTTAHGDVMTHSFGEGYSTRSDEEGFGGVYGQNDPVSNPGTEVHPNHPDYDKSQGSEVKEKEKARHLKDDKHAT >SECCE2Rv1G0068800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25377378:25378612:1 gene:SECCE2Rv1G0068800 transcript:SECCE2Rv1G0068800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWLARPWVSGPFGVLVERERQQQSDGGATWYGPRDGGGSDGGACGYQGDVEQPPFSAMITAGGSSIFNGGKGCGACYQVRCIGNPACSGFPVTVVVTDQCPGGPCEAEAAHFDLSGKAFGAMAKPGQAENLRTVGNLRIQYNRVPCNWGGLDIAFKVDAGSNPSYLAVLIEDEAGDGDLSAVELQESGGSWAPMQESFGATWKYNSGSTLHAPISIRLTSGSGKKLVATNVIPSGWQAGKTYRSIVNFQ >SECCE3Rv1G0153500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:54067956:54068450:-1 gene:SECCE3Rv1G0153500 transcript:SECCE3Rv1G0153500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMMRIFAMLMLFSLCSRGNAEFRECTLSDLHVTQTATGKNAGGNPEYAVEVENKCICTQTNVKLVAPGFKSSEPVDPNVFRPDADGKLGTLNNGSPVYNGDKINFNYASATKFSLAPFSSTVACS >SECCE7Rv1G0492280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:548229892:548233755:-1 gene:SECCE7Rv1G0492280 transcript:SECCE7Rv1G0492280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFEDDEPPSKRARASSVESATLSDIPCYSKRTNPLGGSMARPLTSQGKEVMVGSKGLIKRDEFVRIITKSLYTLGYEKTGAVLEEESGITLHSPPVNLFRKQVLDGNWDSAVVTLNTLDLLDENIVKSAVFLLLEQKFFELLGNDNVMGAMKTLQSEISPLGINRKRVHEMATCLISSPQNVLVGFSKPGIESSNSRFKLLEELQKVLPPTVMVPERRLENLVEQALTVQREACYLHNSVDGLSLYIDHHCGRDQIPSQALQVLCAHRDEVWFLQFSNNGKYLASASNDKTAVIWKVDEDGELLLKHTLTGHEKPVMMVAWSPDDCQLLTCGMEEVIRRWDVESGECIHVYEKSGVGLVSCGWFPDGKQILSGLTDQSLCLWDLDGKQADCWEGQRSTKISDFSVSKDGKLIIGTNRDSAILLFNRETKQERLVEEEQTVTSFSLSEDGDFLLVNLINEQIHLWNIRNDPILVKQYTGHKRSRFVIRSCFGGSEQAFIASGSEDAKVYIWHRASGDVIETLSGHSGAVNCVSWNPTNPHMLASASDDHTIRIWGLKKATVKRRDAGSSSSSNGIHMNGTANGNGLVHQCNGSRSK >SECCE5Rv1G0335700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:578509638:578512418:1 gene:SECCE5Rv1G0335700 transcript:SECCE5Rv1G0335700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLLNRFMSMQRGRHRRPTQGSNGLVTSVAIKKDSSPSLYDDRSHKKSRYSGPSLPEDIWHHIYSLLPLRDAAGVACVSHSFKSYWRCFPNLSLTSATLNVKAGAYEMSCKNAMYLARKTDHILKNHSSIGVKALKLEIWNFPFFSTSCDLDRWLHLAVKPGIEKLDLQIYESRAGLCDATLCRKKSHAALCRGKSRAAVYNFPCSLLDGSGKSIQQLHLNYCALRPTAGLGCLRRLTSLELHLVRITGDELRCLLSCSVALEKLTLRSCDELIFLEIPSLPQRLSHLVVSNCINLEAIKIKAPKLYSFDYSGALIALSLGDSLQKLYIDALLGPQDVVHYPWADLLRMVPHLEDLEIASYCPRDTLVVPGKFLHLRHLCIGAFTPDYDYLSLVSFLDACPSLETFTLCVDTDVVRQESVFGESSHDLRQMPGHMHRNIKDVLIIGFCSAKSMVELACHMLENAKSLEYLTLNTSSDHEILCSNSENGRCRPMSKGMRMEAHKALFAVERYILGKVPSTVKLEVVKPCSRCNTLEI >SECCE6Rv1G0411640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:608982340:608983804:-1 gene:SECCE6Rv1G0411640 transcript:SECCE6Rv1G0411640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPANAAASLSFPVINMEKLETGERGAAMEVIRDACENWGFFELLNHGISAELMDEVERVSKAHYAACREEKFKEFAARTLEAGEQGADVKDVDWESTFFVRHLPASNLADLPDLDHHYREVMKEFASEIEKLAEKVLDLLCENLGLEPGYLKRAFAGSRGPTFGTKVSSYPPCPRPDMVDGLRAHTDAGGVILLFQDDQVSGLELLKDGAWVDVPPMRHAIVVNIGDQLEVITNGRYKSVMHRVLTRLDGNRMSLASFYNPGADAVIFPAPALVDEPSEQEAERDVYPRFVFEDYMNLYMRHKFEAKEPRFQAMKADAAPIATL >SECCE4Rv1G0256280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:655271458:655273468:1 gene:SECCE4Rv1G0256280 transcript:SECCE4Rv1G0256280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPSSTSRQDLSPPADSLPPISQGGASNGAKADVARKKEVDHLLGKLKKEGVEIDGKIASIIDDEITRIKAEAERANIINGLKRNGRLVMLTITSAALGFLLGADCYETALYAQMRVIFGEEE >SECCEUnv1G0557260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:321421609:321422799:1 gene:SECCEUnv1G0557260 transcript:SECCEUnv1G0557260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALSRLCPCCFGSPAAAAATTAERSTSTTSDKKQPERTKKQWVDEKGNSCFMLLPRGLSIAWAEDPSYWAWLPVPPGEGSAGDATGEEMAEMKNVWSLEVHGKLELSQLTPGATYEVAFEVMLKQGCAGWQVPVDLQLELPDARAQERKESLEKKPKGQWLRLKVGDVEVEKGQEGGELAITMSQDGGHGKSGLVVRGIRIAPKK >SECCE7Rv1G0472420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:141976371:141980126:-1 gene:SECCE7Rv1G0472420 transcript:SECCE7Rv1G0472420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAAASMTVREKRVAVGVPADAATAAANGHGPEEKAAEELPAPSALSGWPRTTGMYLFVMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKYLEENNSKDLEKAAHVHSDACNVPASGGDTPVCANSCIPTECADPSNQGCKRRYIPSVSSALIVGSFLGLVQAVFLIFSAKVVLGIMGVKRDSPMLEPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDATNIILDPILMFVCHMGVTGAAVAHVISQYLITMILICRLVQQVDVIPPSLKSLKFGRFLGCGFLLLARVVAVTFCVTLASSLAARDGPTIMAAFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDTKKVIAATSRVLQLSIVLGMGLTVVLGLFMKFGAGVFTKDAAVIDVIHRGIPFVAGTQTINALAFVFDGINFGAQDYTYSAYSMVGVASISIPCLVYLSAHKGFIGIWVALTIYMSLRTIASTWRMGAARGPWAFLRK >SECCE5Rv1G0319580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:377090287:377093543:-1 gene:SECCE5Rv1G0319580 transcript:SECCE5Rv1G0319580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 3 [Source:Projected from Arabidopsis thaliana (AT5G01720) UniProtKB/Swiss-Prot;Acc:Q8RWU5] MAMATHGHLPKRRRVCSVAVTVAVAPIDSLADELLFLVLDRVAAADPRALKSFALASRACHAAESRHRRVLRPYRADLLRAALARYPTAARLDLTLCPRVPGAALASAPMPSLRAVDLSRSRGFGAPGLAALVAACPGLADLDLSNGVDLGDAAAAELARARGLQRLCLSRCKPMTDMGLGCIAVGCPDLRELTLNWCLGITDLGVQLLALKCKKLRTLHLSYTMISKDCLPAIMKLPNLEVLALVGCVGIDDDALSGLENECSKSLRVLDMSTCRNVTHAGVASVVKAVPNLLELNLSYCCNVTPSMGRCFQMLPKLRTLKLEGCKFMADALKYIGISCVSLRELSLSKCSGVTDTDLSFVVSRLKNLLKLDITCNRNITDVSLAAITSSCPSLISLRMESCSHFSSEGLRLIGKRCCHLEELDITDSDLDDEGLKALSGCRKLSSLKIGICMRISDEGLIHIGKSCPELRDIDLYRSGGISDEGVTQIAQGCPMLESINLSYCTEITDVSLMSLSKCAKLNTLEIRGCPSVSSAGLSEIAIGCRLLAKLDVKKCFAINDVGMLFLSQFSHSLRQINLSYCSVTDIGLLSLSSICGLQNMTIVHLAGITPNGLMAALMVSGGLTKVKLHAAFRSMMPPHMLKVVEARGCAFQWIDKPFKVEQERCDIWQQQSRDVLVR >SECCE4Rv1G0219590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:32942371:32942967:-1 gene:SECCE4Rv1G0219590 transcript:SECCE4Rv1G0219590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFHGAIAAGVSPSTGPCLLLRPHHRRSPSRPRRPHGLALASAGAPSRSRWACGARRRVRYEEEEEDEEEYGHNEEMARLEAYSEGARDVALLVTAAVDGELESVLVFKGFSSSLSGRTAPDPAMSVLPERAVIQSVDVVKGPFDPGNIEYLEKDLPWEDFKGRLQ >SECCE5Rv1G0298920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14333178:14333825:-1 gene:SECCE5Rv1G0298920 transcript:SECCE5Rv1G0298920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTACAYGHDGGRRPPAPAMGPFDDDDDDGRGRHRPIFHDQRCVVHGVLMPPPPAPPPTPPPPPLFGGLHSGHGGSKAAASRHPGLCTEGLGSESSESSGDLDLAGVGVADDDDIIEDCKNQKHSDDQEKTPTPTPAKARGNSKRVFPPPISVIGAAGKPWQYLRAQRGGGRLVLREVRIPSRELLHARREDGRLKLHFAHPEPEEEEEENNVI >SECCE6Rv1G0389230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:147669664:147671340:-1 gene:SECCE6Rv1G0389230 transcript:SECCE6Rv1G0389230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPLPTIRAGSIQAAMAAVLPICLLLVLLLAIPLILFKSRRPAPHRGPGGRAVRLPPGPWALPVIGHLHHLAGALPHRALRDLARRHGPLMLLRLGELDAVVASSPDAAREIMKTHDASFASRPLTSMQQMAYGDAEGLIFAPYGDAWRQLRKICTVEILSSRRVQSFRPAREEELGRLLLSVATASASSSPVNLTERISAYVADSTVRAIVGSRFMQRDTYLKMLQEGLKIVPGMTLPDIFPSSRLVRLLSSVPGRMQRHSQGMKLFMDTIIQEHQENRGPDCDGDKEEDLLDVLLRLQKEADSQYPLTTENIKTVMLDMFGAGSETSATTLQWAMAELIRNPRVMRKAQDEVRQQLAGHGKVREADLTDLRYLGFVIKETLRMHPPAPLLLPRRCGSPCQVLGLDVPEGVMVIVNAWAIGMDAAHWDAPEEFMPERFERNGRDFKGSDFEFVPFGGGRRICPGMAFGLAHVELALAALLFHFDWELPGGVAPEDLDMTEEFGVTARLQSDLVVVAIPRVAVATE >SECCE6Rv1G0379870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:17681812:17685936:1 gene:SECCE6Rv1G0379870 transcript:SECCE6Rv1G0379870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLRGIRTPTLLRANFSLFCTTQRHPLAHFTTRAESVQTTEPKAVPKSIQQATKEAAEQKIQGFESVIGIETHVQLSTITKAFCSCPNNYGSQPNSTVCPTCMGHPGTLPVLNAKVVECAVKMSLALNCKISMTSKFDRKQYFYPDLPKGYQISQFDIPIAEKGYLDVDIPVEFGGGHRRFGVTRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRSGIEAAEYGAEIQRLVRYLGVGNGNMDEGSLRCDVNVSVRPIGQLEFGTKVEIKNMNSFSAVSRAIDYEISRQILLHKESQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTDEYIDEIRNSMPELPEAKRRRYENMGLSMQDVIFLANDDKVAHFFDSTLEHGADAKLAANWIMGDITAYLKDEKLSIDESKLTPLELSEMIAYIKNGTISGKIAKEIVVDLIAKGGTVKSVIEEKDLVQIADPAAIEAMVDQVLADNPKQLEQYRAGKTKLQGYFAGQVMKASKGKASPVLLNKILGEKLKGSC >SECCE7Rv1G0459240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:24931035:24932468:-1 gene:SECCE7Rv1G0459240 transcript:SECCE7Rv1G0459240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAATTPAKMRAVLYDACGGGSAGLKHVEVPVPSAKKNEVLLKVEAAAINPLDCKIQKGDLRPLLPRRLPFIPVTDVAGVLVGVGLGVDGLIVGDQVVAMLKSLNGGGLAEYAVASANLTVRRPPEVCAAEGAGLPIAACTALQALRSIGAKFDGLDGAGSQPLNVLITAASGGVGLYAVQLAKLAKLHVTATCGARNMDLVKGLGADEVMDYRTPEGASLQSPSGAKYDGVVHCTVGVGWSSFRPLLSDAGGRVIDITPNLWAILRYILHGVTFSKKRLVPLLVSPNKADLEFLVGLLRDGKLKTVIDSRFPLGDAGKAWQASIDGHATGKIVVDTQT >SECCE6Rv1G0431810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:747891378:747892256:-1 gene:SECCE6Rv1G0431810 transcript:SECCE6Rv1G0431810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPGRLAAAAAAALLVAASLLVATLLTSPLPLLPLLPCLPAVTAPSGDGYAPPGLAALADAALYYATTPTVPQQSRAEISLSLAVLRRRAPLRLLVFGLGHDSPLWHALNPGGVTVFLEEDPEWYRIVRAKSPFLRAHLVRYRTRLDHADILFRSYRNFPSCVPGGNGGDAPVRVRGNADCPLALHNLPPEVYQNEWDMLMVDAPKGYFPSAPGRMAAIWTAAAMARARRGEGDTDVFLHDVDRRVERMYAEEFLCEGFRVGGTGRLWHFRIPPVSRRNGTAAGGDKRPFC >SECCE6Rv1G0426130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:711861615:711862766:-1 gene:SECCE6Rv1G0426130 transcript:SECCE6Rv1G0426130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKKERATVPHHRLPDEIVVWEILVRLPPKSLLRCRAVCRAWRRTTSTRDFLLTHHAHQPTLPIVSGYSYGGPYCHRDIITFDHRAADAQLQHVAQLGVERFCHLQASCDGLLLLSCDTSDASCLYIYNPATRQHTTLPVLRDFMVLGMYQHRPTGEYRILLIYGKEISVGPVIVRKHDTCYIFTLGSIQPPWNIGCPPEAEQVWLRGGIALMFRGSLHWHLTQRETESNMIVVFDTTTESFKQMHAPVVFEHAKLFEMDGVLGMFSRNDVGSIINIWELQDYESQVWTFKCKIELPVNDIKVCGKHENYWYAMVMPGDGELLVLVQFAGWLLQVDMDGKLVASFHQRDVTLTPTQLQLKQTLVPHTFFPDGYVVKAVPQI >SECCE5Rv1G0300820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28112905:28113879:-1 gene:SECCE5Rv1G0300820 transcript:SECCE5Rv1G0300820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGSKKDIESVLMQAMLAAKTVRAQRDRLLQLQHRLQDLQADADAAPTPADAKLGELSSKLFEVYYIGLEAGARMLTACIEIAARKGVPFSPPNLAFAVMPDEQLHDALLAQQFPARPRSQAQAIDRVMAAVLAIKLLEEHLLPRCVECLAGGKAPVPGNTPDFSSSSSSSSPNSSPDRDPVAAATEALAKVDLADDPDATAAAKPGSSDSKPRQAGRVGGGDVGKSLDYLYRACRITTLAVKHIDVAVSVLSRFMEPKKLASLAEFCDDHAYISEDGFYLASD >SECCE3Rv1G0197180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:816613960:816617682:-1 gene:SECCE3Rv1G0197180 transcript:SECCE3Rv1G0197180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEIREEGGSGGGAGTSATKAMSASLSGKRGRYVRQVTGRHNDTDLHVAARAGDAAALRRALDEAAVVVAVGEEGEQLEAVRRAVAAEANEAGETPLLAAAEKGHLEVVVELLRHLDAQGVAAKNRSGYDALHVAAREGHHAVVQEMLRYDRMFAKTFGPANTTPLISAATRGHAEVVKLLLEQDDFGLGEMAKDNGKNALHFAARQGHMEIVKALLEKDPQLARRNDKKGQTALHMAVKGTNCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVIVLLRLPDTHVNALNRDHKTAFDIAEGLPHCEESSEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNENNGVAIVVQTASFRIFFIFNAIALFTSLAVVVVQITVVRGETKSERKVVEVINKLMWLASVCTTISFIASCYIVLGRHFQWAAILVSLIGGVTMTGVLGTMTYFVVKSKRMRKIRKKEKMSRRSGSSSWVDNTEISETELNQVYAL >SECCE3Rv1G0162430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:130959625:130960536:-1 gene:SECCE3Rv1G0162430 transcript:SECCE3Rv1G0162430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGSYPKNRSSESEAKISPLHDAPRGKAIMPMLSDFSTWNSAPSDTTWVGNTPPTIDEPHVVTMPSIIDCSIMPYQTSVPVSSLPPQLHDAKFTPLSRVNTLTSQPNNREIFENPPEICSHQDFMSNPLRNYFSSSDQRRIFSMESPSITSLLQGDPIAVVHAHLNTIGETDDGPIFEIPTRSVYKGPQNIPHGTLTHDATYVVPTPLAPFATSSHGPREYKTPMGVPNGTIDSGIVHNAMSLGKYTCSICNLTFNSSQAFGGHMSSHSKARKNKLQS >SECCE1Rv1G0043310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:591681987:591683750:-1 gene:SECCE1Rv1G0043310 transcript:SECCE1Rv1G0043310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMTMRGTAAGAVLLLALLLLSTTVARAEDPYVFMEWHVTYGTKNILGTPQKVILINGEFPGPRINCSSNNNIVINVFNQLDQPLLFTWNGIQHRKNSWQDGLPGTNCPVLPGTNYTYHWQPKDQIGTFFYFPSIGMQRVVGGFGLISVVSRLLIPVPYDPPADDLQVLIGDWFTKDHAVMASLLDAGRSFGRPAGVLINGRGGKEAANPPMFTWEAAKTYHLRICNVGIKSSLNFRIQGHDMKLVEMDGSHTIQDSYDSLDVHVGQCMSVLVDADQKPADYLMVASTRFMADASSVSAVIRYAGSNTPPAANVPEPPAGWAWSLNQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLQVTRGHIDGKLKYGFNGVSHVDADTPLKLAEYFNISDQVFKYNQMGDTPPGVNGPMHAMPNVITAEFRTFIEVVFENPEKSMDSVHIDGYAFFAVGMGPGKWTPDQRKSYNLLDAVSRHTIQVYPRSWSAVMLTFDNAGMWNVRSNLWERHYLGEQLYMSVVSPARSLRDEYNMPEIALRCGKVVGLPLPPSYLPA >SECCE5Rv1G0326660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:483201874:483204274:1 gene:SECCE5Rv1G0326660 transcript:SECCE5Rv1G0326660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGAIGHEQLLLQQRQRAAAEDDATRKESAYFGGGGVPPMDWSFASRAGAAPAVMSFRSAAREEQQGELTFPKQQAPRVLTPQRSFGAESHASAQYAAARAAAYGVQSPQQQQHASNGATRVIPMSSPFNPNNPMFRVQSSPNLPNGVAAGSPFKQPPFGMNNAVSASTVGVYKSRDMPKPKTAQLTIFYAGAVNVFNNVSAEKAQELMFLASRGSLPTAPSSTVARSPDAAFFTPAKLAAPEVSSAKQMLAQIPQRVSPPLPAISKPMPIMSQAACLPRSTSSSNIDSAVPKSSGQLVVPPTSQPQSSTTTAASIMPRAVPQARKASLARFLEKRKERVTTTAPYPSAKSPMESSDTVGSANDNNSKSSSCTEIAFSSNREDSLRLGRQPRNISFSGESPSTKLHI >SECCEUnv1G0536300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:63399484:63400143:-1 gene:SECCEUnv1G0536300 transcript:SECCEUnv1G0536300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVPRPVPPQLGHHATTSPHLPMRRPHPAAGTPFHPSPKRVVALVRWNRPPLGWCKLNFDGSVKHDGSRRASIGGVIRNSSGHAIAAYAERTGHAGVGVVEARALMRGLDLALGMGSSSLVVEGDELTLVRLLRRESRHTRIPSAMRDEIVRLLCCFRVCRVQHVYREGNQVADTLCHEAYRCPDVWTMDRPLPLAVRAKVECDRRGVVYERLRPA >SECCE3Rv1G0193070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:765550194:765551272:1 gene:SECCE3Rv1G0193070 transcript:SECCE3Rv1G0193070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGRGCSKHIVLVHGACLGGWSWFKVATRLRSAGHRVSTPDLAASGVDPRPLREVPTFRDYTKPLLDFLESLPPGEKVVLVGHSLGGVNVALACELFPEKIAAAVFVAAFMPDNRSPPSYVLEKFVEGRTLDWMDTEFKPQDPEGKLPTSMLFGPLVTRAKFFQLCSPEDLTLGRSLMRVSSMFVDDLRLQVPYTEARYGSVRKAYVVFKDDHAIVERFQRWMVHNYPVDEVREIDGADHMALLSTPTELARCLADIAVKFAA >SECCE3Rv1G0159650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:98478090:98484903:1 gene:SECCE3Rv1G0159650 transcript:SECCE3Rv1G0159650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWTGGSRRQVYAARKSTQSRQRQYFEQKKRQQQTAGLQNQNGIDAAGGQAVGDQAPRSLDILSLNNLAAPVSHRNGPENADTVLPHIDRASFSASPLEALKKITSSYNIHPKETSSQPRLSSPVGHQDVAAAVNPHEDPLARKISPSNNYGAKKRNQNVDLNSGEISLIDLVRYEGPKNKSTAQPARESHVSFSVKGLGHVKMETPLQSPRSTKRTLPLPPKASRYTQNKSRRSIPFDTTKGLDSLMYGISMMRERSASHKMGSLVDESNYVRRENCYSYNDNLYPGDEDMFCNPQAPKGWQSNCSRRYDGLPYVNSDELWNIESFNSDDSDDHFPTPRAEHFDTVDYGFKERYSPERRTSTRTSIRFENSGHDLFSDQALLDDDNDMLQFDWERQPTSKKTRSTNITFGPSAWSSDMVDDDSEKRKSPLSEESSSCAAAVKDISSNKPTLSVKCTEKNMNEKDDFHTSLDKFDIPNIDAHLDEMSVFRDEEEYQQRAIDRKNLEADYWLDKTMNQQRTQEPSCRLSLQEKFADWGSCTSHLKGSTRRNNPPSCTVMREDKPFDSIPDMDGFQTAGSTEWRPTSKVRPVFHRPDSVYDEIHWQNPVSDTFGNKTEFSDPFRATDLPSNIDMCAFLGQKADKKKEDNFDSLKKSNADIFHSASSVNETVVGQHTTCSQQSGKDSRRQGFDPGIDFQESRLHSFWEDGHVSDNTFQGDTEPSSLLARKNDEKNKGGTERLEKPETKTSTQTSKPSGDFRNEMSETETCSDGSEATNYPGVQNGTSAAATQLPANLCLEETSRGMFQVHAQVDCVRTIESPGVDFEAPLHVRNTIHDGAKANPMFQSPFMAEKVGIEKKVISGVSSSNSDIQFEVMLERRVLQRFCVQKIVVETPMKDKLDKVTHFRTMEDGTVLRRSV >SECCE5Rv1G0340820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:618806486:618808264:1 gene:SECCE5Rv1G0340820 transcript:SECCE5Rv1G0340820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTARRSEPELVRPARPAPVETKALSDLDDQWSLRFYESIVGFFRSPPGESTTPGKVAKGIKAAVAGALVYYYPMAGRLRKLPDGNKLVVDCTGEGVMFVEAAADVRLEDLGQPLVPPYPCVEEFLGDAGNTRDVIGKPLLFMQVTQLKCGGFVIGLHMCHCIVDGFGILQFIKSIADFACGELIPTTLPVWKRDIFTARIPPSVSHVYPAYKPFLLGLDCRGDDVMLSTPPETMEVQYLFFGPREIEILRSHVPGHLSKSTTTFELITAVMWRCRTLALGYESNQKVRVMFTLNARGRSINGESVAVPHGYYGNAHFSPVVEVTVDELSTKPLAHILELMRKVKMDTTKDCVKSMVDLMALWREWSPFCMDRTYEVSDTKWVGGNTLQFGKAELVAAGTPHAGDFISKLISYHTKCKNRDGEDSTVVSILLPKLAMEKFTKAMAIWLKK >SECCE6Rv1G0425570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:708069108:708070374:1 gene:SECCE6Rv1G0425570 transcript:SECCE6Rv1G0425570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVQAENVVMSADDRLQAQLELYHHSLAFVKSMALRAAADLRIPEAIHRHGGTATLSDIASDTGIHATKLTHLRRLMRVLTITGIFSSSGDAVFKLTPVSRLLAEGSESSRNLSPVVVGVFVNPVAVTALLSICEWFTDERAAALSLFEVAHGCTRWEMAAKEKGDNSLLDAGMVADSTIIMEVLLRDHGSIFEGVSSLVDVGGGHGAVAAAIARAFPAVNCTVLDLPHVVAGAPADENIQFVAGDMFEHIPSADVVLLKWIMHCWQDEHCINILRRCKEAIPSREAGGKVIIIDMVVGYAGAASEENVSKETQVLSDVYKMYMDGVEREEDEWAKIFYEAGFSDYKISPVLGFRSIIEVYP >SECCE4Rv1G0250140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:589575679:589576830:-1 gene:SECCE4Rv1G0250140 transcript:SECCE4Rv1G0250140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRCVLTQLLSSRSASPVSQLRRLISAAAPVVSPNPSFAVENYLVDTCGLTRAQALKASAKLNHLRSPSNPDAVLAFLAGLGLSSAHIAALVAKDPKFLCARVERTLAPVVVGLTGLGLSHPEIARLVSLGGVQFRCRSIVSSLQYYLHLFGSAEKLFRALKYGSCLLSSDLELVIKPNVAFLEECGLGACDIAKLCIYAPWLLSTNLERLRAVVASAEGIGVPRGSGMFRQALQVAFYGEENITAKVDHLKNMFRWSDAEVRIAVCKAPKVLTLSKDLLQRKSGFLLSEVGLEPAYMARRLTLLTYSLEGRLRPRYYAVKFLKENGLLDHGRDYCSAVMLSDKVFMEKFICPHEKAAPQLAEDYAAACRGKVPARFRFT >SECCE7Rv1G0527040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:899763298:899765744:1 gene:SECCE7Rv1G0527040 transcript:SECCE7Rv1G0527040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Ethylene receptor-like protein 1 [Source: Projected from Oryza sativa (Os04g0169100)] MAARAMRRQRMWLLLLLFLLSLLHMLRASDFSHCGGGCDDEGSLWTTDNILQCQKVSDFLIATAYFSIPLELLYFTTCSDLFPFKWIVLQFGAFIVLCGLTHLINVFTYHPHSFHLLLALTVAKFLTALVSFATAITLLTLIPQLLRLKVRENFLRIKARELDQEVGKMKRQEEASWHVRMLTQEIRKSLDRHTILYTTMVQLSNTLHLQNCAVWMPNEARTHMILTHHLREREITGSHSGSIPICDPDVVQIKATRGAKVLGEGSALGTASRCNPQAGAAVAAIRMPMLRVSNFKGGTPEMRETSYAVLVLVLPEDGSLGWGEQELEIVEVIADQVAVALSHAAVLEESQLMREKLAQQHRDLLQAKHEAVMATEARNSFQSAMYDGMRRPMHSVLGLVSMMQQESMNPEQRLVMDAIVKTTSVASTLMNDVMQTSTMDREHLSLVRRPFSLHSLIKEAVSVVRCLCGSKGVDFEFQVDKSLPERVVGDEKRVFHIVLHMVGTLIHQCRAGCLSLYVNSYNEMDDNQEWMMLRRANFSAGYVCVNFEIRIKKPRNSLLGASASQISQEPNASSSEMGLSFNMCKKIVQMMNGNIWSVSDPQGLRETVMLALQFQVQHVTPVSGASSDLYRLSPIPNFNGLQVLLVDGDDTNRAVTHKLLEKLGCRVFSVSSGIQCMTSFAGGESSFQLVLVDLTMHTMDGFEVALAIRKFRSNSWPPLIVALAASSDDNVRDRCQRSGINGLLQKPVTLAALGDEVYRVLQHN >SECCE2Rv1G0135980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:909844356:909845008:1 gene:SECCE2Rv1G0135980 transcript:SECCE2Rv1G0135980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os04g0673300)] MAAAAPTQAPAMTLPAAMAAKVASSPKPAPGGDRKVVPLMTDADEVVVQVQVQAELHVLAVDDSVVDRAVIAKILRSSKYRVTTVDSATRALELLGLGLITDVNMIITDYWMPGMTGYELLKRVKESSELREIPVVIMSSENVPNRITRCLEEGAEDFLLKPVRPSDVSRLCNRIR >SECCE6Rv1G0430850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:744912185:744912703:1 gene:SECCE6Rv1G0430850 transcript:SECCE6Rv1G0430850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALFFVLLAMATMQPQTASSQKETHLKVYWQDVVSGPDPTSVPVAQAATTNTSKAAFGLVMVIDDPLTEGPGLNSSRLIGRAQGTYIAAGKEQLALLMNMNFVFTAGKYNGSSVAIMGRNAVFTEVREMAVVGGTGVFRWARGYAQARTHTLDLKTNDATVEYNIFIRH >SECCE5Rv1G0318170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:358294442:358299659:-1 gene:SECCE5Rv1G0318170 transcript:SECCE5Rv1G0318170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYVLEVLLNGLRRLEYRGYDSSGIAVDADLPAPPAPGSPPSPYAGAPPLVYRQEGKIENLVRSVYAEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLTRHGFTFESDTDTEVIPKLAKFVFDKAHDGEGDVTFSQVVNEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQKSGKSFNDVKALTANGKPKELFFSSDLCAIVEHTKNYLAIEDNEIVHIKDGSVSILKFDHDKEKPASVQRALSVLEMEVEQIKKGNYDHFMQKEIHEQPHSLTTTMRGRLKDGAVLLGGLKEHLKTIRRSRRVVFIGCGTSYNAALAARTFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGIHINAGCEIGVASTKAYTSQIVVMAMMALAIGSDQISTQARREAIISGLFSLPSNASQVLKLDSEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMFAGEMKHGPLALVDENLPIIVIATRDTCFSKQQSVIQQLLSRKGRLIIMCSKGDASAVNPGGSCRVIEVPKVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >SECCE7Rv1G0464140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59204214:59204879:-1 gene:SECCE7Rv1G0464140 transcript:SECCE7Rv1G0464140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWIATFWLVGSWIVPFLAHAAGFSKETLTHRGQALYSLVTDITEGLAGIAILHQCLGRFRPLPPGWFEFKLKGKWHWDVALGCLLFPLVNLLSHINISLVHMSPGPVTGVSSVEQSIVARDPVAMALYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILLSAVAFALAHFNVQRVLPLIFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >SECCE5Rv1G0351770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:703043445:703048072:-1 gene:SECCE5Rv1G0351770 transcript:SECCE5Rv1G0351770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPGVSRVPLAALASFLTCPLCKGYFREASAFAECGHTFCRDCIMKKIAEEGIESCPVCNAALGIAPEEKLRDDPKIQAIRDHAFPPKAEVDASEAPTITLPAKIKERSISSLVKTPMIATQPTPTGQSAARRKFMSHLFSVGKLPNKSEDHSQKTEMASAPKSTKVATSANKKKNSADISEDGKNRETIDNEELHKPLQSLVVASTKKSQQLSCLRESRKNKTTTEDSLRESREADSDDEITTPVWFSLVTSPNQAEAKRLPQLEQKFYRIKEGTMQVSSILKLIMQKLELASDVKVEILCHGKPVCPSTMLPGLLKQWLSRKPKRRVQRPVGAQANEFVMELGYRRCLASKSLCCMKKTASLPCHCTT >SECCE5Rv1G0341570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:626019445:626020287:1 gene:SECCE5Rv1G0341570 transcript:SECCE5Rv1G0341570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQRAIKRCKHFEIGGDKKGKGTSLF >SECCE4Rv1G0219190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:28719793:28720983:-1 gene:SECCE4Rv1G0219190 transcript:SECCE4Rv1G0219190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQTLPLPPPPLLPSNPNQIQPPTPTAPLPQHPTPSPSPASAARKLPIKRRSPPRPSSSPSSSAGAASSGDALKGQNPPFKFQRIWSESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPQPYTRSQLSEKLRRLKNKHRNVSSRVARGLDPARLAPHDRDVLHLCSRLWDPANAATSPFSAAGAAAGSSGNKRRRANPGAVPPPLDVPAPSGDSNSHGYNGIGSSTPGATFPDENGGEDVMYLEQESGHHLYFDEGAAFVADGNLDGITLDGMALEQAETMAVLTDVGDNGVVGNDDTAPQNAVNNGGTGENGNCNVLLPRSNEHRMASAVLDVFEECLREAKAEGIVNGGNAEESELARRWRAQRIDELDVLSRRLRLIIEDATAAGH >SECCE2Rv1G0104390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:605913618:605917500:-1 gene:SECCE2Rv1G0104390 transcript:SECCE2Rv1G0104390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cpn60beta4 [Source:Projected from Arabidopsis thaliana (AT1G26230) UniProtKB/TrEMBL;Acc:A0A178WH16] MSRMPLPPSPPPSLSSKAPTLPFSPKKTPPVPVYKDLHFNHDLSATKKLQAGVDLVARLVGVTLGPKGRNVVLANKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDIAGDGCTTSIILAQGLIAEGMKVLAAGMNPVQIARGIGKTADALVSQLKLMSREIEDHEIAHVAAVSAGNDYAVGNMISDAFKRVGREGMVRIENGRSTVNSLEVVEGMQFERGYLSPFFVTNHANMSAEYTDCKILLVDKIISDPRELLRVCFSAVKEDFPLLIIAEDVEEEALATLTRNKLSGMIKVAAIKAPSFGEQKTQCLEDIAIITGGTVVRDDMGHTLEEAGKEFLGSASKVIVKKDSTLIVTDGSTLHAVEKRVAQIKGQVENSKERYQKKILGERIARLSGAIAIIQVGAQTVIELKDKKLRIEDALNATMAAIEEGVVVGGGCSLLRLSQKIDMIKESLDNLEQKIGADIFKHALSYPTTLIANNAGMSGKFVIEKVLSNDNASYGYNAANGCYEDLMASGILDPSKVVRCCIEHSAVVAKSFLTSDVVIVEAQESKPVRVRPPMPPRNLIPQMPASVSGIRV >SECCE7Rv1G0474550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:172955459:172959802:1 gene:SECCE7Rv1G0474550 transcript:SECCE7Rv1G0474550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member 4 [Source:Projected from Arabidopsis thaliana (AT5G37310) UniProtKB/Swiss-Prot;Acc:Q9FHT4] MASPAARAASALLLLLALAVAGVLSDGSDHRYKLGDPVPLYANKVGPFHNPSETYRYFDLPFCSPEKVKEKSEALGEVLNGDRLVDAPYKLDFRTDHDSKAVCPKKLTKEDVAKFRNAVAKDYYFQMYYDDLPLWGFIGKVEKGGKPDPSEWKYYLYRHIIFDILYNNDRVIEINVHTDQSALVDLTEDKEVNVDFLYTVKWKETPTPFEKRMEKYSSSSNMPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKSEFQAPCRTTKYPREIPPLPWYRTTVPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRAALFFVRHIYKSIKCE >SECCE3Rv1G0206150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:905735240:905739347:1 gene:SECCE3Rv1G0206150 transcript:SECCE3Rv1G0206150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGTPDLTDFMNDWFFGTVGVKHSAVAAGSPAYDLTGESSSSSKKKQSSEKKPQRAESEGGRSGGGSSRGSNASKQTQEWLEEAKRMMVGSGSPGRMGSPSRQVPKFAGGNGTEPSPALDRRDPMSRSARRHRQPGGIGDEILQRASIISSPPRSDTSTASAPPSPSPSLPPNPHSSRRKSRFHGPSGPDPSASLRRTTSSASNSTPPASAPPRPLHHRRHASASGSPAGDGFDDGVARLNAFLRRQRAAVADLSSGDRSSRSRSTKLLLSDASKSVSSIVAAICYAWMQSSNGDGQAAAVPVVNMRRSRMAGCRQAAWLLYHVGVDASALLFADEVDMDGLIMDQRASLVVVGQDVLRSNGEAGSVCTVLANDHSEEAYALLQSLDIKKLLLAGILLDTNNLSKVCSEKDSEAVRSLLLGSSEHKRHELFQQLMLDHNEHSFVEFLKNTYRKSSTDGAGDSPPDQKHSVSGTSQDAKKSNSTNQKPARGNSGKPTEEAPQGKNKFSLAKFFGFGRK >SECCE5Rv1G0331200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:537013014:537013304:1 gene:SECCE5Rv1G0331200 transcript:SECCE5Rv1G0331200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNVTAATRSLDGDMTVDEFKEWLRRFDVDRDGRISRDELRCAMRTIRTRFSGYKSKRGIEYADADGDGYVDDGEVDGLIEYAQKSLGLRIVAY >SECCE5Rv1G0330840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:533976329:533977595:1 gene:SECCE5Rv1G0330840 transcript:SECCE5Rv1G0330840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os09g0455200)] MERCGSWSESDAAAQAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKTSGSTTSSSPPPFFAPPHFPLFHPGVSVAHHHQQFVGEDGAMAAHAGMGVPFMQPHWREQGAPRLLALGGPAAPSPGADGNNGRASSAAVLMDENERLRRSNTALLQELSHMRKLYNDIIYFVQNHVRPVAPSPAAATFLQGLGNGMQPRKMPPASASAGNGLNNTSGGSTTSSSSLTIADELSPPPNHLCAEKTGGEAGSSSAALSAPTKLFGVHLSAAPAGAGSKRPPSPEEELPSTPPTTKARLARESDGLSLSVAPPSQPCAASSPARS >SECCE1Rv1G0047210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:622107226:622109955:-1 gene:SECCE1Rv1G0047210 transcript:SECCE1Rv1G0047210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPDRVLEPSHSGEGLRRVEKEHVGKPAIPEGGLQSIGEINQGHAPNGIGTTVQVLLADMLHKQTNSVDTTAQHAEEQDQLHNVTEGHELYSNDTSSGSDSGSSSGSELDTELGKCFYPSIEALENSRPPEVGMKFPTLEEAERFYSTHAMLTGFAARRGTNYKRKKFHLLCNRSGKLKPTQDLQRKRKVNVLGSQCQAKVIVKLHNEQWEFTGVKHEHNHPLCPSPSLTSFFLDHKYLSSEEKLFLRVLQQSRVNPRKAMNIFRRMRSNFGNVSSSKENDMSNSQCVDHWRKENSDVETALKRFKELELRNQGFSYTMQKDEDNLVRSLFWTDGRSKVDYEIFGDFISFNTTYSTNKHNMPFIPIIGVNNHGRILVFGCALLQDQKAETFKWMFQTFLHVMGGKLPKTIIIDQDEGMVKAIAEVMPQVRHRLCKFSMMRKAQEMLGAFMAARGNMNAELHGLVDNSLTEKEFEEGWAMLIERYDASENEYLQVMWKTRKNWVPVYFQADFYPFVESAGHGEGKHFLFKDNVLPKDRIEKFIEQYERIQENIVKTEEEDTLQSATEPAYFSMQPIEKHAARIYTRQIFLRVQKELYYSTALNAHEIQGGSAYRLEKVFDYENPEFDRNSFEVLVEPGTHTFKCQCAKFTRDGILCCHIFRVFTQLGVIEIPAQYIVPRWACAFREERLKEYVEKCSKRTEDRKRCAMLLGRMADIGKGICVDGAKSSCFMLELDKVQEKLVGADDQIVLWSK >SECCE3Rv1G0209130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936535321:936536978:1 gene:SECCE3Rv1G0209130 transcript:SECCE3Rv1G0209130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVRPAAREETVEQPHAQATSESDAQEVREVVKAVEEEAPEEKEVAVVGEQKAAHAKVETGEMEVEADIEAEAGVSAKKNRIQVSTNKKPLYFYVNLAKRYMQNYDEVELSALGMAIGTVVTVSEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSENFNSIMSNKKSHKSTDDEMKV >SECCE1Rv1G0004980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:22688862:22691786:1 gene:SECCE1Rv1G0004980 transcript:SECCE1Rv1G0004980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALVQFGLLGLILLGTQHASGAPVPSSNCRRRCGDVEIPYPFGIDPNIPNCSLADVFDLSCEIRDGALEPKPFKAIFEVLDISLTHSTARVLNHIEAFCYNNSTRSMEHLGYHESQNGGPTSVYRLSDVQNRFTVIGCNALGIMSDVAGTGYQGMGVATCRNMSDLMDGSCAGMGCSQTMIPKRMYYYDTNFSSSVNTSRIWEFNRCSYAVLMEAAAFNFSTSYVGNTTFNDTYRGRVPMVVDWAVRDAKSCDDARRNTTYACLSSNSVCVDSVNDYGYMCNCSQGYKGNPYLPGGCQDVDECSNNNPCPSGGTCHNTIGGYRCSCRVGRKMEDNTCSPDIGLILGVTLGLFGAIIIAMITVFWGQMIIQKRKLEKVKREYFRQHGGLLLFDRMKSEKGLAFTIFSEVELIHATNNFDSTRILGKGGHGTVYKGILKNNMTVAIKRCALVDERQKKEFGQELLILSQINHKNIVKLLGCCLEVEVPILVYEFVLNGTLFEYIHGKNRASQISFSNLLRISHEAAEGLSFLHSYASPPIIHGDVKTSNILLDDNHMAKVSDFGASVLAPIDEEQFLTILQGTCGYLDPEYLQTCQLTAKSDVYSFGVILLEILTGQLPLKLEGPDTQKILSSTFLSAMKENNLDAVLVKHVKEQESMELLKGLAYLAKKCLDMCGDNRPSMKEVASELGRLRKLPMYPWVRVGMETEEESLLGGDSTGVQGIESDYSMGENGNQHINPGSSYYAR >SECCE4Rv1G0244330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:513943982:513945073:1 gene:SECCE4Rv1G0244330 transcript:SECCE4Rv1G0244330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLMRAKVPIGVLGLPFQSGLSAGGDPRELRFDLSTAFASGPALRLSYRPNDPGLPFAISIRAGVGALGSPVRAPFSLAAEFNLLSANSGVPAFFLLLKPHLGDFSLSHTLRSSTSAPPRTVGEVSDGEGRDREDELGYKAFSLSGSGFAADVAAAGSGGVGGLLSGMRLTTRSVLPLWGRASMRFNWGIRAPPGLQAAFADDRKDARVPVCKMPLLVINKISIEQSPRADKKKASTTDVSLPAIATADTSDGAGHDTAGEGYSLMRRQLEAMNAESGMLRRAVEDLRTEIGRGRAASVAAAGRPPPQPHHGLSAKPDRRGGGKEAAAENAAMPAPDEVGEELKKALEARRR >SECCE7Rv1G0475950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:195110137:195114309:-1 gene:SECCE7Rv1G0475950 transcript:SECCE7Rv1G0475950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNGLARIDTTHPEKKAAAAKHENGICHDDSSAPVRAQNIDELHSMQRKRSAPTTPIKDGSASPFAVPVSDEDRRKQQLQSISASLASLTRETGPKVVRGDPARKGEAAAKTAPAAAAPPPQPHHHHHHHVAPTISVSDSSLKFTHVLYNLSPGELYEQAIKYEKGSFITATGALATLSGAKTGRSPRDKRIVKDEAAAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMHNMCIRPTEEELESFGTPDFTIYNAGKFPCNRYTHYMTSSTSVDINLGRREMVILGTQYAGEMKKGLFGVMHYLMPKRRILSLHSGCNMGRDGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQTYGATGWLVNTGWSGGRYGVGKRIKLPYTRKIIDAIHSGELLTADYQKTEVFGLEIPTAIEGVPSEILDPINTWTDKAAYKETLLKLAGLFGKNFEVFASYKIGDDSTLTEEILAAGPKV >SECCE7Rv1G0526380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894793503:894794066:-1 gene:SECCE7Rv1G0526380 transcript:SECCE7Rv1G0526380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEMRDHVRKREEEDDEMMLFVFPALHLIETGGVALRGPRILRHTSSLTGEMFVKELLEGHVKNCRVAFRMEPHIFKSLANYLRREKLVSDTRIKVEEKLASFLWMLSHNSSFEDLQVRFGHSGDTFHRQMKNFFDIIPTLSKHFLKPPNPNQVHPKIQSDTRFFPYFQVFASPTLIHIDNLLFML >SECCE4Rv1G0218820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:24518274:24521706:-1 gene:SECCE4Rv1G0218820 transcript:SECCE4Rv1G0218820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAQPQPQPQQQAPAPAPGTGTGVVRVDKASPASSFRQLDDAFLQKQTKIWLGEVLHVRFDEDILVADLLGDGELLFQVSKVIWKRLLRKNKEQLKQSKVYIYERPSFGKSNGKYTPYPKVDSFLKVCQTLGLAGIDLFTPSDVVDKRNVRKVCMCIRSLSKKAAMMQLNVPDFDDVTHTIAMPNYIVGGIRRSLEQPQCSSSCSSGHSPRAISKSIFEGQSDEQGDRHYDSDEAESSLFVLEPQDSLDDDKLSELLQLGDAPKEEREGYGDSGHEMPEEKSLAESTGSLDAGVMDADTTDSTQFHEKQLSPTDRCSTTRTHKCSLSSEESDSINSYLASDSCKNDLDGPPVKVSERIHDGHVEPSHDSVQGNGKIFTDHPEKDDSASREPKHESSTKDHMDACKSDEPEITENNSNAQGTGNNAPKSGKGVLKSVAGGITLIGAVFFVAHLRRSKGISFTTILPSLSEKSIQSDSRAKNADDGNTTEVYPGGWLKV >SECCE1Rv1G0033150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:463310596:463321536:1 gene:SECCE1Rv1G0033150 transcript:SECCE1Rv1G0033150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRALLSSPHGGASPALTRARARIAAPSIPFARLRARTAILSSPAATAPVTSAEPKRRISRSVSESVPRAKPAVLVAEKLGEAGLDVLRQFTDVECAYGMSPAELLAKVAQFDALIVRSGTKVTREVLEAGRGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHGIALLACMARNVSQADAALKAGKWQRAKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMHVIAHDPYAPADKARAIGAELVSFEEAIAKADFISLHMPLTPATSKVFNDESFGKMKTGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTVEPPAKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVAGALRGELAATAVNAPMVPAEVLSELAPYVSLAEKLGRLAVQLVAGESGIKGVKVVYTSARDPDDLDTRLLRAMVTKGIVEPVSSTFVNLVNADYTAKQRGLRIAEERVSHDNAAAEAPLESIQVRLSHVQSKFAGAISDGGDIVVVGRVKYGVPHLTVVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQRNVNVSFMSVGRTSRGKQAIMAIGVDEEPDKKTLEKIGAIPAIEEFVFLEL >SECCE6Rv1G0414950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:637979359:637981274:-1 gene:SECCE6Rv1G0414950 transcript:SECCE6Rv1G0414950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGMEQTTGVSGHEHVIDIPRDSGPSASASHSVGRENHEELNPVDRPSTRAATSALQPPAAIGPPHADNASGTRRRDNYGRRHRSPLNSGLWISVEVIVNVSQIVAAIAVLSLSRKEHPQAPLFEWVIGYTVGCFATLPHLYWRYIHRNIVNGENEPAHSLQGSSQNNSTEPTPASVSERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAIDAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINTLPTYKFKTKKRRHGSSNEAEGQEGGIVAAGTDKERSLSAEDAVCCICLAKYAHNEELRELPCTHCFHKECVDKWLKINALCPLCKAEIAGSSGTSDTRHIDHTVPTQEIEMH >SECCE2Rv1G0075230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:83270141:83272744:-1 gene:SECCE2Rv1G0075230 transcript:SECCE2Rv1G0075230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTTTQRWRPRRRTDQAPGSGAVAVDRLSALPDALLHHIMSFLKAWEVVPTCVLARRWRHLWESAPCVDLRVRYMSRDGDPPQEFRDFVHRLFLLRDVSAPVDTLRLQPSDEDAGFNEDDASVWIRAALKRKARVIHLAGHHKRAASLDGVLFVSCHLKILKLSYARLDDSILRQLSASCKSLEELDLKDCLVTGIGIASASLKTLIMLKCKFNSGFSIVAPNLVLLRLITPYVQVPSFTNFESLVTATILLDDHFLSNEFEHISDKDDCDETTDDDGNDDRRENYTIHDASSLSDDDDFGYNGDFDSFGYGYGFPKERYGHSRYNDNYNYGSDIDSDDNTYEYGEIANDAKYGYKGKGKLSSEDGNYGKFTGGNYSNILGGHHMLESLSTATSLELLTDAGEVVFSRELRRCPTFSNLKTLSLGEWCMTADFDALIFLLQHSPTIVRLFLQLKLNFGMRKALATGIKLEGRSFTCKDLRMVKIKCSKDDGRVHTLAHMFRENGIPLENIYVRWSGNAYLRGQKFSRDLAKQELDDWM >SECCE3Rv1G0151990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:43652744:43653091:-1 gene:SECCE3Rv1G0151990 transcript:SECCE3Rv1G0151990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAVAQLVLVAVVAAMLLVATDAAITCGQVSSALSPCIPYARGNGANPSAACCSGVRRIAGAVQSTADKKTACNCIKRAAGGLNAGKAADIPSKCSVSIPYAINPSVDCSTIR >SECCE2Rv1G0119520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:791580005:791580226:1 gene:SECCE2Rv1G0119520 transcript:SECCE2Rv1G0119520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGAVKMIVGAKEERVLATSKAPGACPSCGGPVVATDVESERRILCLPLCLKSKRKYSCTRCFRRLVTVYS >SECCE2Rv1G0127990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857158672:857161416:-1 gene:SECCE2Rv1G0127990 transcript:SECCE2Rv1G0127990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G57300) UniProtKB/TrEMBL;Acc:A0A178U865] MALRAAAARLASSSLRRRHGHLLPAAATASHAAFLHSHATSFGFKQVREDEKSKLVGNVFSSVASSYDVMNDLMSAGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRVVERIKSVSHRAMQGTLTDMEEDTHIYVCDINPNMLEVGKKRAAEKGYNEEQCLSWVQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKKGGRFLCLELSHVDVPIFKDIYDIYSFSVIPAVGELVAGDRQSYQYLVESIRRFPKQEKFAQMIQEAGFEAVEYENLVGGVVAIHSGVKL >SECCE3Rv1G0163170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:145540468:145544291:-1 gene:SECCE3Rv1G0163170 transcript:SECCE3Rv1G0163170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMKTLVIDPLIAEARKETAMAGERERVKMLEDAAQGRSNAMDLEEVRRKTIEAEEMKQLKTLKRKDAQVMANKLQASATFDEKRAKSVVGVKAVKAEEDTHIDNNYDEACMHRHEWEELWAGPFGRFEDTTLIPPMRYTDKPPFPEYHACSYMHTLNVFSVKLAGIDDSIRWPLHVFGIVAVRDSLDHNRNVIFLRGRDNCQIVHQKDPYLELTGPTRGVVVLDPTEFEVELKVKGSTESEDKDLSFLITRYNCGGWESRSRVINRVKTSLLSTLELTFGHIVRSVEATISVRFIGVTWPDGFLGVFTASTASIDHMKVTLLAFGDGKLRVDSCGMIALSRDVACVELDGKLKVSVVARDLDKEAVEESADMVFTPKKAGRSCDIMKIGSCEMEVTVAWSVFTDCMFVRDPGACPAVCDPFFLLSD >SECCE1Rv1G0000830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2571831:2572613:-1 gene:SECCE1Rv1G0000830 transcript:SECCE1Rv1G0000830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDELKLLGTWASPWVSRVKLALHLKGLSYEYVEQDLDNKTDLLLTSNPVHKKVPVLIHNGKPICESVVILEYIDDAYGTVGPSLLPADPYERAIARFWVDYIEHKLVIPWKVAFTANREEEKTEGIKQMLAGALTLEGALKECSNGKPFFGGDSIGYVDIALGGLLAFLQGTEELCGTKLFDIANTPLLIAWVERFIALDAAKVALPDVDKLVEFAKIRRAQIAASIKN >SECCE4Rv1G0242060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:469477698:469481989:-1 gene:SECCE4Rv1G0242060 transcript:SECCE4Rv1G0242060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAPLPHPPFKPAPALHPNLNPNPNSASAHRPTLLRRALVARAAARDDPPPPASSFDFLALKRELEEQEDAVVPVDATEGGGDEVVNEEDGERVPKRIGSSGRRTGRQMARRSGLLAKQVISVSSARSLGFVSQLWVDASSWVVALVEVRPSLLSGDADKFLFEDIYQVGDVVLVEDESVVENEFKLVGLHGLVGYNVVTSRRRNVGKVRGFTFNINSGVVESLELDSFGLTIVPASLVSTYCLFVEDVLDIVSDTIVVHEDAISRVQRLTQGIWGTQNMQGPGDQIGEYNRYGRRRARPVKRQNRQGKPMGRKLHRKTRDPEDEWELPMEY >SECCE2Rv1G0067590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19279618:19284280:1 gene:SECCE2Rv1G0067590 transcript:SECCE2Rv1G0067590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKFKVKLYKDRAASSGDGGGGAPTPQPAPGLPPSPSLDPAALPTSPHPLQPARQPPPTPIVRFTYEGPDDDDDDDDWPEDDGTDLDAMQEDEDELPDDEKFYSPLFMSGQKKNLPRDLFRHDSVPLNKNEYFSLWENWNQLLDGKRTYLRPPRGRRVLSTSGVFRRPLARPWALEFQEPLLDLVQDYPDNDVVQEMIKILCQRYVHFKRTRGDGSCFYRAFFFSYLENLGQMQDSQAEVTRLMEHVAVSRENFCRLRWDKAYFLNPEEYFSSVASELNHLVNSVANGLSSDELRKRSLQEIMPLRIISLLRLLAETEIRTRIDDYKPFIPAKMNVNQYCWKAVRPLDVKSSAVAMRALTYALGIPVRLETLGEGLTAGGLQVKRLDFFPRSESGKGAFHIVQSYWSSPTAPEPLELGSGNLISSDGTPLLTLLCRPDNCDILYRQ >SECCE5Rv1G0344360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644964754:644966079:1 gene:SECCE5Rv1G0344360 transcript:SECCE5Rv1G0344360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVVSSKIVKPRYAEGAPRPDTTEHVPSSVFDKITYHIQMAIIYAFQAPAPSTEDIERGLAQVLAVYRLFAGQVRAGPDGAPGVLLNDHGARLVEARVDGATLVEFAPPKPSPVVLQLHPDLEGDVQEVVQVQLTRFACGSLAVGFTANHAVADGHATSDFLVAWGRAARGLDISGPSPTPPPHNHPDLFRPRDPPVVNFEHRGVEYYRPSPSNPKQAEGGHHGADNVVIHKAHFTKDFIAGLRAKASEGRGRPFSRFETTLAHLWRTMTRARDLSPEETSTIRISVDGRRRLSAPPGYFGNLVLWAFPRTTVGDLLSRPLKHAAQTIHDAVARLDGAYFQSLVDFASSGAVEREGLEKTAVLKDVLCPDLEVDSWLTFPFYELDFGAGSPSYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFQHNLEAFKQCCYSMD >SECCE6Rv1G0435100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:769712818:769714014:-1 gene:SECCE6Rv1G0435100 transcript:SECCE6Rv1G0435100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAVGAVKKRQDERAAAAEPSFQSVALILGSTGIVGTSLLDILPRDDTPGGPWKVYAVSRRAPPGWSTPPPSPAVTHLQLDLADAAAARDALAPLTDVTHVFYAAWSGHETEDRNREVNAGMLRNVLSVVVPGCPALAHVCLQTGRKHYVGPFEAIGKIPAPDPPYTEDMPRLDHPNFYYDLEDVLFDQVSSARDGAVSWSVHRPTVIFGFSPRSAMNVVGSLCVYAAICRKEGATLRWPGCKVAWEGFTDASDADLVAEHEIWAAVDPFAKNEAFNCSNGDVFKWKHLWPMLADRFGVEWAGYEGEDSRFALADAMAGKEAVWTEILQENELVTTELEEITSWGFVDAMFNIDIEHLDNMNKSKEHGFLGFRNTVNSFNAWIDKMKASKVVP >SECCE5Rv1G0320060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:381060589:381064404:1 gene:SECCE5Rv1G0320060 transcript:SECCE5Rv1G0320060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMRPEQEASCKATEDHRRSEFDAAKPPPFRIGDVRAAVPPHCWRKSPLRSLSYVARDVVVVAALAAAAWRADSWALWPLYWAVQGTMFWALFVLGHDCGHGSFSDSGTLNSVVGHLLHTFILVPYNGWRISHRTHHQNHGHIEKDESWHPITEKVYQKLEPRTKTLRFSVPFPLLAFPVYLWYRSPGKEGSHFNPSSDLFTPKERRDVIISTTCWFTMIALLIGMACVFGLVPVLKLYGVPYIVNVMWLDLVTYLHHHGHQDLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPVHLITVLLKSLRVDHFVSDVGDVVFYQTDPSLSGDKWTGTDKQK >SECCE2Rv1G0134250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:900831428:900832894:-1 gene:SECCE2Rv1G0134250 transcript:SECCE2Rv1G0134250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSSKLNKDPHNHYFDFGAAQLVPETHAWEGQHEHLVVDGGVGAGEDAVPVVDMRDPHAAAAVARASEQWGAFLLEGHGVPSELLARVEAVNAGMFALPKPEKMRAARQGDDPYGYGLPHIALFFSKTMWSEGYCLTPANLRHELRKIWPDGGHDYNHFCGVMEEFHKEMRALADKLMELFLVALGLTAPQIASVEAERKLTETMSETIRLNWYPKCPDPKRALGMKAHTDSGFFTLVMQSQVPGLHLFRHGPPADRWVEVPAVPGALFVNIGDLFQILTNGRFRSVYHRAVVNRDRERISLAYFLGPPADAKVAPLKEVVGGGKPAYHALTWPEYVVVRKQAFANGGAELEFTKGGTALEMVSINPDDDGADHHRDISS >SECCE2Rv1G0076750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:94281092:94281580:-1 gene:SECCE2Rv1G0076750 transcript:SECCE2Rv1G0076750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQESSTQAAAGGAAPLCANGCGFYGSAATKSMCSKCYRDHLKAGDVAAPAVEGKVNADDLILAFKTSVSLQHSPAAAAAAADAAPAADAPAKKAAPTRCMACKKKVGLLGFACRCGGTFCSLHRYVDGHACGFDYKKVGREQIAQQNPLVAPSKLHNRI >SECCE5Rv1G0348390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673552234:673553561:1 gene:SECCE5Rv1G0348390 transcript:SECCE5Rv1G0348390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLVMGVSLGLIVLSVRNLWGYAYSNKKEVVEYIARMMPLLSVSIIFVNMQCVLSGIVRGCGLQRIGACVNLSAYYLVGIPAALCFAFVYHLGGMGLWFGIICGLIAQMLLLLAITMRTNWDKEALKAKDRVFSSSLPLDLTT >SECCEUnv1G0533520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:31070055:31070443:1 gene:SECCEUnv1G0533520 transcript:SECCEUnv1G0533520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQLNLSPAPKQQQHDDGDGGDAVEAVPLWVPEQSAEAKADKAPGGRPERSIHLIPLLTFLCFLLLFLCSHAPSSSDMSSFGGGRKAGNRRLMTL >SECCE2Rv1G0113210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:720186616:720190202:1 gene:SECCE2Rv1G0113210 transcript:SECCE2Rv1G0113210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMISAAGSCLQPLCECLDGTGMLDAAAREVASFLHLKSNWADLDKAKKLLLAVETTVRARVTAEVDKLNICDPQVQVWLRRVEELQLDAIDEDYSQLRKYSCLGQCTIHAHRRASIGRRVLEALDEANKLIEEGRRFKKFGFKPLPKIVDPLPQIKTFGLETMLNQLHDLFEKGDSNIIGVWGQGGVGKTTLLHVFNNDLEKKAHDYQVVIFIEVSNSEALNTVEIQQTISERLNMPWNDAEPIAKRARFLIKALGRKRFVILLDDVRKKFCLEDVGIPTPDINSQSKLILTSRYREVCFQMNAQRSLIEMQILGNDASWELFLSKLSTEASAAVEPLGSQSATREHAMKIAQSCGGLPLALNVIGTAVAGLEEGEWQSAADAIATNMDNIDGVDEMFGRLKYSFDRLTPTQQQCFLYCTLFPEYGSISKEQLIGYWLAEGLLLNDSEKGYQIIRSLVSACLLQVSGSMSSKVKMHHVIRQLGLWLVNKSDTKFLVQPGMALDNAPSAGEWNEATRISIMSNNITELSFSPKCKSVTTLLMQNNPNLNKMSYGFFRTMSSLKVLDLSHTAITSLPECDALVALEHLNLSHTHIMRLPERLWLLKELRHLDLSVTVALEDTMNNCSKLHKLKVLNLFRSHYGIRDVDNLNLDSLKELLFLGITIYAEDVLKKLNMPRPLAKSTHRLNLKYCADMQSIKISDLSHMEHLEELYVESCYDLNTVVADAELTTSQLQFLTLSVLPSLESVLVAPMSHNFQYIRKLIISHCPKLLNITWVRRLQLLERLVISHCDGVLEIVEDEEQYGEQMKMQNHASDEEEDHAMVETSRSDTGQSDFPKLRLIVLTGLKKLRSICKPREFPCLETLRVEDCPNLRSIPLSCTHNYGKLKQICGTVDWWEKLQWENREEVACLDSKYFIPI >SECCE7Rv1G0459750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:27045189:27046927:-1 gene:SECCE7Rv1G0459750 transcript:SECCE7Rv1G0459750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLYAGISTAAREEVHVLGTNSAPHYMVEKGLLKKQLLRTSGGIVVAGFAVYAIGKLEDAEVGKFEGLKEVPRDSSTKLSDVKGVDEAKAELENIVHYLQDPNRFNRLGGKLPKGILLVGPPGTGKTMLARAMAAKAGVPFFACSGSAFEETYVGVGAKRVRELFAAARKRAPCIIFIDELDAVGGRRTAEEPSWSKQTLNQLLVEMDGFKQNEGIIVVAATNLVESLDDALVRPGRFDRQVHVPLPDVVGRRQILEAHLSKVLKAKGLDVTTIARGTPGFSGADLANLVNDAALKASREGANAVGMDHLEYAKDKIIMGSERKSVVMSDHSRKTTAYHEGGHALVAILTDGANPVHKATIVPRGNALGMVTQFPGEDGELEQSRKQMLAALDVLMGGRVAEELIFGETGVTTGPSSDLSQATQLATDMVIKYGMSKRVGLVSYDDAGTGGRAVTMSGSMAALVDEEVKALLDKAYSNAKTILTAHSRELHALANALLKHETLSGDQIKKIVSAGRWF >SECCE1Rv1G0008220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:45609541:45610330:1 gene:SECCE1Rv1G0008220 transcript:SECCE1Rv1G0008220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSAEPPPAAFPSDLTKEGGATGVGAGVAEVVTTSSSAHRGRLMSLPSMLKPWGSQRLMRCAPVNRHGEAIAPNKGSSDQQYEVRERLQLGLGKVAEAPSTGNPVVDKYPEVTEAVSPEPLKARPGRRRRLAMPTHAATTIAGASPQAFERGRRSVRADALKRPQFSVSLSAEEIEEDIYGLTGALPRSRPRGRPRKVQKQIDLLFPGARLSQINMESYRVPDNC >SECCE5Rv1G0327240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:490864923:490866581:-1 gene:SECCE5Rv1G0327240 transcript:SECCE5Rv1G0327240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVLFNRRRGREPATSARRYSIRGAGGGSSLMARTAMGRRRRPRGHDRISALPDDLLLLVLRRLDIRTAVGTTALSRRWACLRGELPILDFSVNAMLPPRYHRWVQLHGVVGKSGGFQYDLRQVSRELMPNIRRYEHRAMRALTRSIQSFLDAGTAVRRRRISRLRLEFLVTPQSTECMNRLVAEAIDACGVDDLQVVAKPIFWRRGAVHTFASHGLCKEPSASRLQSLKLGGCMIPPPLHEYSALTRLVLQDIPESTPVATYQEVFTSCQQLQVLHLISCRCSGGVILVDAPMSKIRELVLDECRFRQFHLRALPNLESLASLGPMVFLESASFPRLTKFNLTSCLGLRMQGFREYEKRRLKIGHESLLENMPEISSLIVRISGPYRWIVPSRGSPRATTLLPNLRRLLVADVPSSWDVSWPRLLLEAAPSLEVLHLHIAAACTEDEDEPSDDIRWKPTRLLRHRHLEEFVMVGYEGTERQIYLVKFVVGVCTVLRQVSIFKNGHARNKGHWDWELVTQQHSWTDQDKDNTLKHIVDPLSPAAPVELVFG >SECCE3Rv1G0166460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:186862200:186862778:1 gene:SECCE3Rv1G0166460 transcript:SECCE3Rv1G0166460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKTPKSKSGFFDVRQKPSGNWGVEFSDAGRRWWIGTYPSAHEAARAYDVAVWRAERPRSHLNFPEIENRAEAEMLVPQGINMKEITTKKPLVVVSAGETDEEAMARFALEHPEYVQAEMEYYWKREAEQKKKGPKKEDEAGPSTVNPIESSSEEDWADFSSSSEEEEEGCDDPMKEEFWEQFRSSDELN >SECCE1Rv1G0014550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:115191986:115193996:-1 gene:SECCE1Rv1G0014550 transcript:SECCE1Rv1G0014550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPSHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNRLKYALTYREVQSILMQRHIMVDGKVRTDKTYPAGFMDIISIPKTGENYRLLYDTKGRFRLHSVKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGTFETIHVEDAQGHQFATRLGNVFTIGKGTKPWVSLPKGKGIKLTIIEEQRKRDAAAQAAAKA >SECCE3Rv1G0197490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:819678232:819679952:-1 gene:SECCE3Rv1G0197490 transcript:SECCE3Rv1G0197490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVVLEHFRSSWLYLTPMLAACIPIGVLRTYYNQYLRRPVRRLLPFVDPFVTIDIAAKPEDYFSYEGKVKSSDAYSEVLAYLSAVCSREARELRAEGTAEGHGFVLSLREGQQVADDFKGVTMWWSAVAEEKTTWRTSGRCCRLTYHEWHRQLVVDEYLPYVRRAGQEVTFSNRPRRLYSNKKELSYHSRRDEVWSYIDFDHPTTFDTLAMDPEKKQMIIDDLDDFSNSKDYYRRIGKAWKRGYLLHGPPGTGKSTMIAAMANHLKYDIYDIELTTLETNSDLRKLFIETTGKSIIVIEDIDCSLDLTGSRGTKLPPPMAYDDAAAEAGIDNSRKRRNILTLSGLLNFIDGLWSAHSGERIIVFTTNHLDKLDPALIRRGRMDMHVEMSYCGFEAFKTLAGNYLCVDAHPLFGAIEELLRDVEMTPADVAECLMPSKRSARDADACLARLIEQLKERKAAEKDKEESKAAEAEEDDDKDAAKEEEEDKGETEKVPSKSKKEKSEVASKPTRRVMTNGAHTGATGVSVSTSTDHYLS >SECCE7Rv1G0457940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:19507591:19508148:-1 gene:SECCE7Rv1G0457940 transcript:SECCE7Rv1G0457940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAASLLPGLLPTPPTKPCLIILPASFASKTKPGRADSVERWDAHKKDKQPRSPASSCSSSSSPGRASSCERWDINKKISGSSCTSSSSRRSCMIPGASSAGRWDAHKKPRPSQASAVSWTSDKEEEEEEERAAMVPKPTAPRIGPIFSGPSLVASPDPSMLPMPAFFGSRNPNPGVLPVQAF >SECCE3Rv1G0194060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:776829216:776830766:1 gene:SECCE3Rv1G0194060 transcript:SECCE3Rv1G0194060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELSLASSLPLLLLPLLPLLYFLYLRPDPKKQPRAHGLKAYPILGTLPHFVKNQDRFLEWYTGVMQASPTHTLAFKVPGLTGGAITADPACVEHILKANFANYPKGELSVSMLQDFLGHGIFNSDGEQWLWQRKAASYEFNKRSLRNFVVDAVRFEVVERLLPLLDRAGRDGRTLDVQDVLERFAFDNICRVAFGEDPACLAEESMGAPESAEFMDAFNDAQNTIMARFMSPAKWLWRVKRLLDMEPERRMRSALATIHGYADRIVRERKERGEAGQVSRDDFLSRFAAAGEHSDESLRDVVTNFILAGRDTTSSALTWFFWLVSTRPDVEEKITREIRAVRASGEGASSSTLSFDELREMHYLHAAITESMRLYPPVPADTHSCKEDDFLPDGTFVGKGWLMTYCAFAMARLKSIWGEDCEEFRPERWLDEEGAFRPESPFKYAVFHAGPRMCLGKEMAYIQMKSIAACVLERFSLRYAGGEGHPRLIMSLTLRMGGGLPMQVKNRTEQREAS >SECCE5Rv1G0371940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:850567115:850570193:1 gene:SECCE5Rv1G0371940 transcript:SECCE5Rv1G0371940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLHPPLQLARRCLRTPASLRMASFNLSGRISPFLGNLSFLRELDLGDNHLSGQIPPELGHLARLQLLSLRVNSLQGSIPVALGGCTNLTTLDLSRNHLQGEIPGEMGSSLKNLVTLNLSRNDLSGYIPGSLLQSPSIQTLSMFLNRLSGRIPPVLGNLSNLKYLQVDHNALSGPIPSSLGMLPKLSRLSIGFNNLSGVIPNSIWNISSLTLLSVQENMLSGTIPPNAFSNLHHLQDISLDANQFHGPIPAAIANASNIALLQMSENFFSGIVPQEVGSFPNLFRINLQENLLRAKEPKDWEFITTLTNCTQLQVLGLGTNKFEGVLPDSLSNLSTSLVQLGLQENKISGSIPKDIGNLINLQFIILYTNSFTQTLPSSLSSLKFLSLLQVYENKISGSIPQNIGNLTQLISLDLSMNAFGGRLPHTLGNLTNLLTLDLSSNNFIGPIPSGLFDIPTLSEYLSLSSNNLEGSLPHEIGNLKNLVEFHAESNKLSGEVPSTIGGCQLLQQLYLQNNKFDGTIPSVLSQMKGLQTLDVSSNNLSGQIPKSFADLTTLYYLNLSFNGLAGEVPITGVFANSSEISIQGNGNLCGGVPNLHLPPCSLQLAQKKHKFPVACMPISIIATLVVLAFLYKLLLAWQNKRQATIPSTTSMQGYQLISYSQLARATDGFSAGNLLGSGSFGSVYKGELYGHAGENSNLVAVKVLKLQTPKAPKSFTAECKALGNMRHRNLLKIVTVCSSIDTRGNEFKAIVYDFMPNGSLEGWLHLDTSDQAEQKYLNLHQRVTILLDVAYALDYLHCHGPAPVVHCDVKPSNVLLDDNMVAHVGDFGLAKILNEGSSFLEQSTSSMGFRGTIGYAAPEYGVGNTVSKHGDIYSYGILVLETATGKRPTDSKFRQGLSLREYVELGLCDSMMEVVDMRLSLDLEYGLQTVNASAYKRTIDCLVSLLKLGISCSQELPSSRMPTGDIVKELHAMKESLSRE >SECCEUnv1G0527450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:1253479:1258504:-1 gene:SECCEUnv1G0527450 transcript:SECCEUnv1G0527450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGQPRKRPPNPPPPNHHRSSKRPRAEQAPRSPLLTLSSHIHLRWDDRSRRALPADDQIGIPWRHLAPFVDSPPRGARTRLALADVAPVPRRIFSLGDLHLLGGLLSYEVWDACLTEADRRFLARFLPAGSDAEEAVQDLLTGENHHFGNPLVTWSSALCCGDLHPDAVINKEQQIRADKKAYHAQLKNYHSDMTETVTRWRDKWLTCDNPKSLFRDNLAKQRRGDRQSSGENVIFSNAPKNAIPMKVVRNGDVTKYMSYIKVSRAQHDLVKRMKQSGDGIQTRHLVGVIGDIDNFHVKPYETLMEDEKQKLHDHWVILSCKELPAAFKARREEKLIAEKLRRSLCLEIAERNMSEVEKAEQLGIRTAEVGKDGAYRNGGTSDGQEELVEHSPQDVPQSGNNSSARVEDEEDPNDTSDTTDTSTDSHDSPNTTDQDGNDTSDTGTSTDSHDGPNVTDQDVMDMNNTNIPTQSQGTSDEQDQEVEKISSTSAKSGGSSDAQDEDPVDISCRNGISQRDQGMADDDMEDTSCNDTTLRDHHIPDMQSQEPKVMSDTISPIQSPNSPNMLVQDCKKTGYIGFPIHVHGGFDERTDDLKNMCYPSASTGHDNKKEMNGMILDQRETDNITMMPSDSSLSRQNNVKGPELKGPAECEKELWQSASPVDSFYHPPGNGLYAQSGALQLKHHLSGGPATCMIDLEVDDRRRQQAQISVPAAQPMSNSASLLQPCTNQLNSEHLLNGTKGVGMVPSYPLGHVNGMKQSMGLHSMTNGHLAQSGLAQEQMQLLGERHSGLYSQQVENNINMYSSATLCTQNSFPMVEPQSFAGHVPADRSRSWFPDEDQPSHNDWSGMGSNGVVLGQDLPGGDGSLLSVLSQYKQQVSSCPPGSDQLLLGVRRNLVAPLGVAENMVLPSPDMYGYTHQNNVASSQVDSNLQWAQGMTHPSSSTACFRQFGGGPWSR >SECCE5Rv1G0372010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:850956473:850958453:-1 gene:SECCE5Rv1G0372010 transcript:SECCE5Rv1G0372010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRVLTVLLLALLLVGLAGSSPPPEPVVCAHGTSDCTVSNAYGSFPDRTVCHAANATFPRTEKELVAAVAAAVAAKRKVKVATKHSHSFPKLACPGGRDGTIISTERLNRVVNVDVAKGLMTVESGMVLRDLIQAAAEAGLALPHSPYWSGLTIGGLLATGAHGSSLKGKGGAVHEYVVGMRIVTPAPASQGFAVVRELCADHPDLGAAKVSLGVLGVVSQVTLALEPMFKRSVTFVTRNDSDMAEQAVVWGGLHEFGDMVWLPHQHNVIYRKDDRVAITSLGNGLNNYLAFRSTPTADLISGRVMQELLEKKNNTVARCKEAPTSVSLFEKAAYGFTNNGSLFMGYPVVGFQHRIQASASCLDSPEDALLTTCPWDPRIRGIFFYNNAYSISLSRASAFIADMKQLRDNNPKAFCGIDAGLGILLRYIKASSAYLGKPEDSIDFDITYYRSYTKGEPNPYFDVLDELQQMALRKYGAIPHWGKNRNFAFEGVIAKYPKAGKFLEVKGRYDPDGIFSSEWSDQVLGINGSPIIVEKGCAIEGLCVCSEDSHCAPEQGYYCRPGKVYTAARVCSFQPI >SECCE3Rv1G0186310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:683099146:683099709:-1 gene:SECCE3Rv1G0186310 transcript:SECCE3Rv1G0186310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFASCTLARIPGAAKGARVVLPDGGLRLVRPPATAAELMLEAPGHFLADARALQAGRRIEALAADEDLELGAVYAAFPMKRLGSKAAPADLARLAAVFAREAHGRRPASAKVAAIVVAPPEAASVAAEADLAPVRAPRLDEMAVDDEAAAAEIGELKQRISGGRLSRRRPTLETIHEESYAPLAC >SECCE7Rv1G0483780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:328021410:328023437:-1 gene:SECCE7Rv1G0483780 transcript:SECCE7Rv1G0483780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLFTKYLSRGSKNAAAGDDDKRQKQKQSKMPAASNGAADREHGCMPGCVPVRAKRTATVTTVTTTSARTSRHNFVRSAASGLFPGAPVFTNHESLPALTEAYSEFASAFPQYGGLAGSADAIRDGEYRHLDRHVCLDYNGMNLFSHAQMNSSVPSTSAPAEPSAWQPPFFDIAYRSASLRSQVQQCGDGTAAEFAAGGISGAVTRRIMASLKIPEDEYTMVCTANRTTAFRLLAESYSFTPGGGRKKLLSVYDYESEAVGAMAQSARSRGAEVMNASFAWPSMRVHAADLRKKLLRGRRRQRGRGLFVFPLVSRMTGARYPYLWMSAAAEQGWHVALDACAFGAKDLDTLGLSLLRPDFIVCNFFKVFGENPSGFAGLFVKKASLGALERSAVARSIGIVNIVPARRWSLRDDYSTDLEPSLTFPKVADPIAADDVDTTSSFSGPLSAIATGRRTDEAENGDTPEIYEVDHRPTETEEDSRPWPPPAAVEAEEEHMLEVECRGLDHADALGLITIGNRLRCISNWLVVAMQKLRHPHPEHGGGKQLVRVYGPRVKFERGPSLAFNVYDWKGEKVAPALVQKLADRQSISLTCGFLRNIWFADKYEADRSAVLEQASDGGGEVGIHVVNASLGFLSNFEDAYKLWAFVAKFLDADFVEKERWRYTALNQKTVEV >SECCE6Rv1G0432550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:752533377:752534523:1 gene:SECCE6Rv1G0432550 transcript:SECCE6Rv1G0432550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRRFIFLFPAAVLAGLASASASPFLSDIGSQASTGSTGRSLLQAKNACPISFETQNYTILTNKCKGPQYPPTECCDAFKEFACPFAAYINNQSTNCADTMFSYINLQGYPAGLFGDECLKGKEGVSCEGIPAVDAGVPSGGRQVQGISRPLVVLLCGLGALLFP >SECCE1Rv1G0027590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:384473847:384478695:1 gene:SECCE1Rv1G0027590 transcript:SECCE1Rv1G0027590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLLALVVFAAVPAMAGDPYAFFDWDVSYVTAAPLGVKQQVIGINGKFPGPVVNITTNWNVVVNVLNDLDEPLLITWNGIQHRKNCWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSTGLQRAAGGFGGIVVNNRGVIAVPFGRPDGDITILIGDWYNRNHTDLRKMLDEGKELGMPDGVLINGKGPYRYNDSLVPAGIEHETIDVHPGRTYRIRVHNVGTSTSLNFRIQGHNLLLVETEGSYTTQQNYTSLDVHVGQSYSFLLTTDQNASSDYYVVASARIVNESLWQRVTGVAVLRYSNSGGGASGPLPDPPQDQYDKTLSMNQARSVRWNLSAGAARPNPQGSFRYSSINVTQAYLLRSTAPVEIGGKRRAALNGLSFSPPETPLRLADAYGVEGAYTLDFPERPDPDAAPRVARSVINGTYRGFMELIFQNNDTRMQSYHVDGYAVFVVGMDYGEWTEGSRGTYNKGDSVARSTVQVYPGAWTAVLVSLDNVGFWNVRSQNLDSWYLGQEVYVRVVNPEDGANKTEMAVPRNALYCGQLHKYQKEQTPHHKAAAATSAAARPPSAVCGQLVASVMLVVGALMFAP >SECCE1Rv1G0063610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726147086:726148114:-1 gene:SECCE1Rv1G0063610 transcript:SECCE1Rv1G0063610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTKWVAAVVVVIVSSLVVDRALSHEGGGPAFGYTPGTPDGPENWGKVSPAYKACGEGKAQSPIDIVIANAVPNPNLDTLSRTYAPSNATLHNNGKDIVMTFEQGGEPVMPGSINVTTADGTVREFWFKMIHWHAPGEHTVNGKRFPLELHLVHIDDQDHKAVIGILYEIGKPDPFYDQLTEKLRELKTTPTVAAGVVELKSLQKRTGSYFRYMGSLTTPPCTENVVWNILGKGRELSQEQLQLITAPLPQQDNRPPQPLNGRVVAFYNPPNTTISIQSLVQ >SECCE2Rv1G0103250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:587740519:587744251:1 gene:SECCE2Rv1G0103250 transcript:SECCE2Rv1G0103250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPIEAPLYIHIEANDFSYRRHKRQKEEDIAVCECQYDLMDPDSACGERCWNVSTNTECTPGHCRCGVYCKNQRFQKSQYARTRLVKTEGRGWGLLADENITTGQFVIEYCGEVISWKEAKRRSQTYEDQGLTESYIIYLNADESIDATKKGSLARFINHSCQPNCETRKWTVLGEVRVGIFAKQDIPIGTELSYDYNFEWFGGVMVRCLCGATGCSGFLGAKSRGFQEATYLWEDDDDRFSVENIPLYDSTDDEHTSIPKDTILAKDEPVTQENNSNIVHTTVNPEIASSYEFTPLSVEPLTASSDELTPITIEPLIAVPVGVDIMENGLTEYGTQYSDDTAQNSMHKVAKLENQSSPQNNNHHTELVLVRPTPKFRGGKAKRGLRKQLNVADICDRLASAAARQEILFCEEVKKQSTSEIDALYDEIRPAIEEHERDSQDNVSTSLAEQWIEASCCKYKAEFDLSAAIIKNLASTPLRAKEDVNPRQQNGVLYLQNGP >SECCE6Rv1G0440770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:806786802:806788590:-1 gene:SECCE6Rv1G0440770 transcript:SECCE6Rv1G0440770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSTVLSPPPLPHGEGDGNDPLNWILLDTLGYIAECPNATFAQSSTTTGQRIEVSFCTARPPHVSHFCVHCPGLGPTDFSLAPTVISAEADLILFCVSVSPSILFNPRYCDYFLYRAHPQSPSLDLLPHPHPHHFRDQEVALLSLGGGEYAVAILTCRCLKVSLKEDGTLNETEFDLCMYRSSKAQEGWTSKVVSVADPVRDAVCPVDCAPDHETTKVITLGRGMVCWVDLWRGILLCNVLEENPLLLDIPLPLPARGNWRLYHKSLSYKYRDITVSPLKDTIKYIEIEVCPPRNPPDESYMDWFHQMRRDKRCNAPCTGWKARTWSLSTTTIPSWKKWRLDCTLGVADINVQPIHSKQLPRPSSINDKPNQATLLQHLIIGFPTLSMDDDIVYMLSKACPEDQMEVVIAVDMRKKTLQGVAKLVTGKDFTDTRNCTSEISKYLSKDEVVR >SECCE5Rv1G0358320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755234192:755234834:-1 gene:SECCE5Rv1G0358320 transcript:SECCE5Rv1G0358320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRARARARAVAAMPSSSSVLLAAAVALALLVASASAQSGCTTALVGLYPCMNYISGNDTAPTKSCCSQLGSVVQSQPQCLCSALGGDSSSLGGMTINKTRALALPNACSVQTPPASKCNGAGGGSAPGAGSGSKTTPTAYLQGSGGSSLQGTASLVFALSAAAVYAVSTV >SECCE3Rv1G0152990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:51937190:51940585:-1 gene:SECCE3Rv1G0152990 transcript:SECCE3Rv1G0152990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRAVNPGRRASDGGLPSVASLLHHKSRSPSVLTIALLVLGVIILIVYFNSGSGVTVTSREVVSRAEGSCTSEVIQALPYLKKAYGSAMQKVLHVGPDSCTVVSNLLKEGKEAWGVEPYDLEDADSSCKSLVRKGFVRLSDIKFSLPYRPDSFNLVVVSDALDYLTPRYLNKTLPDLARVSTDGLVIFTGNPGQQKAKVSELPKFGRPAKLRSNSWWTRYFIQTGLTENEGPLKKFEQAASKGNYEPDCQIFHLSS >SECCE7Rv1G0477320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:217092002:217093762:-1 gene:SECCE7Rv1G0477320 transcript:SECCE7Rv1G0477320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKDVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPMGTAGPLALARDKLDDGSGEPFFVLNSDVISEYPFAELIEFHKSHGGEATIMVTKVDEPSKYGVVVTEEETGKVERFVEKPKVFVGNKINAGIYLLSPSVLDRIELKPTSIEKEVFPRIAADKGLYAMVLPGFWMDIGQPRDYITGLRLYLESLRKRAPARLASGAHILGNVLVHETATVGEGCLIGPDVAVGPGCVVEAGVRLSRCTVMRGARVKKHACISGSIVGWHSTVGKWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >SECCEUnv1G0559710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:340656621:340658476:-1 gene:SECCEUnv1G0559710 transcript:SECCEUnv1G0559710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYIPFLVPRVISTIDDPRGAFTARRPAAPPPSNPHPAAPRSLCSPSGSPAVKRYGDRFIPNRSAMDMDMAHYLLTEPRKDKENSPSPSPSPSPAKEAYRRLLPEKLLNNHTRILAFQNRLPQPANNFLAELHADAASIQDKPAKRRRYIPQSPERTLDAPGLIDDYYLNLLDWGSANVLSIALGNTVYLWDASSGSTSELVTVDEDDGPVTSVSWAPDGRHIAIGLNSSAVQLWDSSSNRLRRTLQGVHESRVGSLAWNNNILTAGDMDGKIVNNDMRIRNHAVQTYRGHQQEVCGLKWSASGKRLASGGNDNLLHIWDVSMASSAQSTGRTQWLHRLDDHLAAVKGLAWCPFQSNLLASGGGVGDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNDRELLSAHGFTQNQLTLWKYPSMVKMAELNGHTYPVLYMAQSPDGCTVASAATDEALRIWNVFGTSDAPKATVYRGTLTSYNHIR >SECCE7Rv1G0476290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:200689346:200691343:1 gene:SECCE7Rv1G0476290 transcript:SECCE7Rv1G0476290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLQMVLSDPTAAAAASAVTGSAIAASYNDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSADSPVLQLEYSNGRVVTTTEANVADAINAATAEIAGSGKGISDAPITLVVRKRGVPDLTLVDLPGITRVPVKGQPDDIYDQIAKIIKDYISPKESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKVDKSPEGLLEKVTMDDVNIGLGYVCVRNRIGDETYDQARVEEEKLFKYHPLLSKIEKSMVGIPVLAQRLMQIQATIIARCLPDIVKQINDRLSRSSTELNQMPPDLVSISDVVREFIRLVKQVCSSLEKILVRGEFDEFPDDFRFHGTARIAEMLNAYAKKLPSEVPRRGNHAFLMEEVRVLEETKGVNLPNFLPRSAFLVLLKKKVETVVQVPHDVVNEVWEYVEDLVLKIVLHRTDNYPQVQSSCRRAVQTLMEKARARSAQHIEELIEMELVADFTANPDYMKTWYEIMEGHERFMEAVVDSSKPAVVNLACFGEVNVSHLRVNSELAGQAFDLSARLTAYWKIIVLRLIDGLALHVLRGVKRLVESDLERELSDELLGNKLAGVERMLMPSPGTGTKRERLKKSIVLLRQSKEVVANIMDRISASGEI >SECCE7Rv1G0482330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:303723517:303726863:1 gene:SECCE7Rv1G0482330 transcript:SECCE7Rv1G0482330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylylsulfatase HINT1 [Source:Projected from Arabidopsis thaliana (AT3G56490) UniProtKB/Swiss-Prot;Acc:Q8GUN2] MASEKDAALAAVPNDNPTIFDKIIKKEIPSTVVYEDEKVLAFRDINPQAPTHVVIIPKVKDGLTGLSKAEERHVEILGFLLYAAKVIAKQEGLEDGYRIVINDGPSGCQSVYHIHVHLLGGRQMNWPPG >SECCE4Rv1G0222980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:64649771:64653501:1 gene:SECCE4Rv1G0222980 transcript:SECCE4Rv1G0222980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCDCCKRYADHLDEKIKCFRRHMGADFRHGMIIPKKFIDNFGGKISRTIELDVFEVSKRMGNTVLRRGWQAFIGEHHIEENDSLLFRHIEKSRFEVLVLDSDDCEKVFFCPSINIASNEERGVDSINISNSSHDYATRSPGSKRFATRGRGNSSHPRKMAKTALNYSSPEDSGTEDIPSENGSSFELDDDSHTPSGHDYVLSQGSTLSRVQEAKVTTLLQDIQAETPALVAIMKPSYVKSQIAANHPGKSKKWHPRFSIRKDQRESKLSGSGWLDFVRESRVQVGDICIFERTKVTGRKFSVTVHLLRKSMLHSISRSKTKVNSAAPKVSTTDGRTRANANSTTCVRKEPGNGGNAPYSVRSHGHGACQGLIESDKSRGAPKPPYIISSTACLTMEQEMNVDKRARSLRSDVPIYASVMNKSSVGDNSMYAVTICRKYAAEYLPARAQDCALMRAEKSKTWEAKVHPRIGDAKMLRGGWREFVHDNHLKPKDICLFQLMTDQRKLTMMVDIIRHNEKR >SECCE4Rv1G0294110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:888425069:888429102:1 gene:SECCE4Rv1G0294110 transcript:SECCE4Rv1G0294110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVMMSASTGAMNSLLGKLTTLMGEEFAKLKNLRKEVKFIKDELGSMKDTLEVLADVDKLDPQTKSWRDTLREMSYDIEDIIDDFMHHIRKKSESENHGFAKKTVRLLKKLRVRHQIAGRIKEIKALVLEISARRQRYKLDIPLSRTVEIDPRVAALYKNAASLVGVEGPAEELENLLKDEDEKFKVVSIVGFGGLGKTTLANVVYGKLKNSFPRCAFVTVSQKPDITKLLHGLMSQLGCTPSSHHCELNVLLDQLREHLQNKRYMIIIDDLWDVSAWGFIECAFPENYLGSRVIVTTRSQEVATACCSTCWDYILQMKPLSNEDSRRLFFGRIFGSKDACPDQLIDVSVKILQKCGGLPLAIISIAGLLASEGPNQEEWEHVRNSLGSMSGTKLTLEGMRQILNLSYKDLPCHLKTCLLYLGMYPEDYTIKRSDLERQWMAEGFVSKENGQDMEKIARNYFNEVVNRSLVQPVKFDDSGSVTECKVHDMMLDLILLKCAEENFLTIVDGSQANTTHEYMVRRLSVRLNGVSNGTTLPGNISLSQVRSVMIFGTSKNIPPLSKFKFLRVVFIKDYRTSIDLTGMSELYQLRYVKIDGDGGEVHLPTQIRGLQQLETFNIWRCASVPSDIVHLPHLLHLCIGHCKGLPDGIGKMKSLRSLNVFNLSLNSIDNFMGLGELTNMRNLALYSHFGDHDQDYLARSMKVLCSSLRKLCSLEDLYIRTEGCMDGFSPPPRSLERLTICSDLPWGCWFSKVPNWTSELHNLRVLLLPVDELLEDDVRIVAGLPSLTDLDFEVRRTPKEMIVIYGRGTFPALKRFSLTLSNISYLTFQAGAMPMLQRFRLIFSVEGWKQNGAGLAGIEHLPALEEVSAQICHDDTTESEKSSAESAFRSAIDRHPSNPRILVRHFRRNFFFEYRSRQLVRASFCIQYRRPTISLVCFVEPPLAGHDTPSFC >SECCE2Rv1G0079460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:119375669:119376432:-1 gene:SECCE2Rv1G0079460 transcript:SECCE2Rv1G0079460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRRHPAVNDVYLTLVGASNTLADVQRRLDLEFRASYPDHANPAKLVGRVKRVQEEVAALKDLCRDLLAQKQELIDMMRTSLAAQRSATQRLLASSGLPLMTDEEEAAYASLKQVIDEWTDQLKPMAGGPDGENEDTNQILFSAIL >SECCEUnv1G0554460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:286986986:286987231:-1 gene:SECCEUnv1G0554460 transcript:SECCEUnv1G0554460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIAAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >SECCE3Rv1G0187510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:701394083:701395385:-1 gene:SECCE3Rv1G0187510 transcript:SECCE3Rv1G0187510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFVTYLDTPRELRVLGFRAPPPSPVTGVLTNGGSGSPEYGECPDGNEDDEVGRFLSHSARVPVLRLPERAIPLKKKKSDKAVWAPPVIDMRLLASPGAGGPAVVALRSAAVAFGCFQVVGHGVNECLVSAAAGRASSPTPEEAGGEDGEELWWSPSQGDQLRNGGDDLFTQLEQTAAKLVDALRRDSVGTTDSLAGADTNGSQLCIRTHHRRQCYSGSGSVGPISQDDILRMLIKSSRCPRALALHLCPGASTFHLFSRQRGWSRFRPIGGAVVVTVGDQLQAWSSGLYKSVAGKPAYSDGDLQADHGCDVVISAEYLHSCSSGSMEKEPLNAGAGKTVQLNLQIMVAACLVLLYHFLLSCLYTIWS >SECCE3Rv1G0210530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944033280:944033878:1 gene:SECCE3Rv1G0210530 transcript:SECCE3Rv1G0210530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPSSPCSSSSYGNQHSSQAYTVWMKSLVFNGNGCAVYGPDGAVAFRVDNYGCRGGRDVLFMDCAGNALIRIRRKGDSLVQRALGREGWGLRGDARRRRDVLHDRPPARLSCKPGYRIRDVGGTVVAEVARKQTAAGVVLGEDVLTLTVGPEVDHQLVLGLVVVHGLMNRSL >SECCE3Rv1G0171220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:266173823:266174110:-1 gene:SECCE3Rv1G0171220 transcript:SECCE3Rv1G0171220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSGSAAARALAQRLAPATARGYAAAASSGAMRRGAAAIADGKAAREAEKAAADASWVPDPVTGHYRPANRAAGADPADLRAAHLGQTYARA >SECCE6Rv1G0390430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:183225480:183233688:-1 gene:SECCE6Rv1G0390430 transcript:SECCE6Rv1G0390430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPWRFPASAGDDLCPVCTAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPQYHAPFHPPIWAPPGPHPYDLLDTEGPHKRMRVGEAPPFAVPPPPYPPMLGRVSVEGERLLGLIREHGHGRAQLPPAQWHGEPYPPDGFGYGGDGAYPPHQNYNNPYVQGGNFTDYDLVRRMPPPPMPFDGYSALDSVGGSHEKYFDHHHHHQFHPEALPGAPPLPSVPQHAEAGNHYNFRDWCHHAGVVPPPPDPPVPSTPDYHAMPPLPAANSSLFPVLSSSPATTSLPPSDNTLHQSHLMPNANYNNGPNQNEGVDFIHQQQSEQHLGGIMLRQDKHSFNNVEVNIVNACDLFKQPLRASRPDHIVIILRGLPGSGKSYLAKALRDLEVESGGSAPRIHSMDDYFMIEVEKKVEDNGGSKSSTASKGRKQLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGNFTFVIVDDRNLRVADFAQFWASAKRSGYEVYLLEAPYKDPTGCAARNVHGFTLDDIKKMAADWEEAPPLYLRLDTHSLFHGENLHEHSIQEVDMDTEDTDDADDTAVNTQSGSSKKAIPESADDVPDQGDKWDSSDEEDLDDIKELGQSKWSKDFDEDTDKSKHADGSTHTLSGLAKAYGTHQKTLTWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAEDNSTGVKDKVNNETKRRFSEQLRDEGQSFRAVFDQRKQRIGVFDNGKNE >SECCE3Rv1G0196710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:811496613:811499632:-1 gene:SECCE3Rv1G0196710 transcript:SECCE3Rv1G0196710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYSPTAAAAAQHQQRGKAATQAWQAVVGWIGFLLQVLLQILRGTPSCAQLLSFVGFRYPLLSATAAPDQPSPEVAFMPLRSEIPADAAPVPAPPPEPLERLTVVLDLDETLVSAYESSGLPPIVRTQAVEAGLHCFDMECISTDKDVEGKQKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDIIDAHNRFKLRLYRPSTVTTEYREHVKDLSCLSKGFSRIVIVDNNPFSFILQPLNGIPCVPFSAGQHSDDQLMEVIFPLLKHLSVQRDVRPALYERFHMPEWFQKHGIPRTDQAS >SECCE2Rv1G0137390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:917996508:918000633:-1 gene:SECCE2Rv1G0137390 transcript:SECCE2Rv1G0137390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPKTDNRSDLEEPHRNAGPWQCSICTQENDTSSISCEVCGVLRDLTLYFSNANEAEGGAKRRNKHSGVSVLARSLFTPSGPKSKAVVFPDGFQGNKNAAGHMQASLGTLHKTYMARKQRRDIVPFKFDIPSPDDMVSTGLKSSRHLRKDIPEKMVMVDDDLVVAEKDTSTDPSSSVKLDELGGNSSSVAANTQNETLILDNELQHLSLERKPKNSKAKIKKPVPVSQYKPEPWMLQGEDQEMPRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKNEKEAKEKGKGSFAYAWAMDESADERARGITMNVGVAYFDTKNYQVVMLDSPGHKDFVPNMISGVTQADAAVLVVDASLGSFESGMGVNGIGQTKEHSQLIRSFGVENLIVAVNKMDSVEYSAERFNYVKSQLGIFLRSCGYKESAITWVPLSAMENENLVTAASDTRLSSWFHGTCLLEAIDSSAPPHRDVSRPLRLPICDVISSHVLGQVAVCGKVVCGAIRSDSKVLVMPSGELATVKIIEQDSSRLSSARAGDNIAIGLQGIDPIHVMSGGVLCHPDYPVSVASSLELKILVLDMTVPILPGLEFELHVHHAKVSARLVRIVSLLDQKTGKALAKKPRMLTARQAAIIEVKLEREVCVEEFTTLKALGRAFLRSQGSTVAVGVVTRVVQAQGERAEQT >SECCE5Rv1G0355790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:734285645:734294504:1 gene:SECCE5Rv1G0355790 transcript:SECCE5Rv1G0355790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGKPDAGDRVVLAVNGERREAAGADPSTTLLEFLRTHTPVRGPKLGCGEGGCGACVVLISKYDPATDEVTEFSASSCLTLLGSLNHCSVTTSEGIGNTRHGYHSVQQRLGGFHASQCGFCTPGMCMSIFSALVKADKADDGSAPPQGFSKLTCSEAEHAVSGNLCRCTGYRSILDTCKSFAADVDLEDLGLNSFWKKGTDRADVSKLPEYSSGAVCTFPEFLKSEIKGQINDISAAIAGQDGWYHPKSIEELHSLFDSNWFDENSVKIVASNTGAGVYKDQDLYDKYIDIQGIPELSVIDRSSKGVEIGAAVSISKAIEVFSDGTPVFRKIAGHLSKVASPFVRNTATLGGNVIMAQRLQFPSDIATVLLAAGSTVTIQTASKMLCLTMEEFLEQPPCDAKTILLSIFIPDWGSDNVIFETSRASPRPFGNAVSYVNSAFLARTSGNGVSGELIIEDICLAFGAYGVDHATRARKVEEFLKGKSVSAPVILEAVELLKDVISPSEGTTHPEYRVSLAVSFLFSFLSSLGNNLNEPANAIAPNGSCANGSEKHCMDSSGDLPVRSRQDLVFSDEYKPVGKPITKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTQPHAQIKSVKFRSSLASEKVITVISAKDIPVGGKNVGSGYKMLGEEALFGDPISEFAGQNIGIVIAETQSYAYMAAKQAVIEYSTENLEPPILTIEDAIQHKSYFQTPPFLAPRPVGDFEQGMSEADHKILSGEVKLESQYYFYMETQTALAIPDEDNCITVYSSTQLPEIVQSIIADCLGIPYHNVRIITRRVGGGFGGKGLKSTHVACACAVAAFRLQRPVRMYLDRKTDMIMAGGRHPMKAKYNVGFKSDGTLTALHLDLGINAGISSDVSKMIPGHTISSLKKYNWGALAFDIKLCKTNVSSKSAVRAPGDVQGSFIAEAIIEHVASALGADTNAVRRKNLHSVESLTKFYGDAAGDAPTYSLIDIFDKLTSSPEYGSRAEAVERFNGGSRWKKRGISCVPITYEVTLRPTPGKVSILNDGSIAVEVGGVELGQGLYTKVKQMTAFGLRELCPDADRLLDKVRVIQADSLSMIQGGFTGGSTTSENSCEAVRQACAALVDRLNPIKDSLEAKSGAAAPWSDLIAQATMASVNLSAHAFWKPDPAFVKYINYGAAVSEVEIDVLTGGTTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEDYARNADGLVVNDGTWTYKIPTVDTIPKQFNVELINSAREKKRVLSSKASGEPPLLLAASVHCAMREAIRAARKEFSANSPLTFQMDVPTTMADVKELCGLDVVERHLQSLTSAATSAAVKA >SECCE6Rv1G0399690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:442955873:442959334:1 gene:SECCE6Rv1G0399690 transcript:SECCE6Rv1G0399690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPASVGPVISEVEMNAGADQGATTVRATVVQASSVFYDTPATLDKAEKLIAEAAGYGSQLVLFPEVFVGGYPHGSTFGLTIGSRSAKGKEDFRKYHAAAIDVPGPEVSRLAALAGKYKVFLVMGVVERAGYTLYNTVLSFDSLGKYLGKHRKLMPTALERVFWGCGDGSTIPVYDTPLGKIGTAICWENRMPLLRTAMYAKGVEIYCAPTADALPSWQSSMTHIALEGGCFVLTANQFCLRKDFPPPPEYTFGGHEEEPSPETAVCPGGSSIISPSGTVLAGPNYEGEALLTADLDLGEIVRAKFDFDVVGHYARPEVLSLTVKTEPKHAVSFTSTVG >SECCE1Rv1G0031850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:446991753:446996735:-1 gene:SECCE1Rv1G0031850 transcript:SECCE1Rv1G0031850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAAAAAARRAGEALRRGVMGGRYLSSLRPSPATAAAPDSDEVLVEGKASARASVLNRPGHLNALTTTMGARLNKFYESWEDSPDIGFVMMKGSGRAFCAGGDVVGLRQLINEGKLDEAKDFFRTLYSFIYVLGTYLKPHVAILDGVTMGGGGGVSIPGTFRVATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGEKLNGVDMLALGLATHYSMSEHLDLVDERLAKLVTDDPSVIDSSLAQYGDLVYPDKTSIVHRLAVIDKCFSHETVEEIVDALESEAAQLNEEWCTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSINGISKPFYHDFCEGVRARLVDKDLAPKWDPPALEFVSEDMVDSYFAPLGEFEPELKLPTEQREAFI >SECCE1Rv1G0026340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:369318645:369350455:1 gene:SECCE1Rv1G0026340 transcript:SECCE1Rv1G0026340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSPCCPCQMCGVAVRNSSIRKMSLRTSSQLKSSIFLTTGLSGYHSFVSSRTADVCGVALKATDSVNSRFFSTAYCKKLMFLIRNKSSFINPNINREYVGDAHRYFHRSERRQSTLAACSTIADESSTSMRKCSESGAGTKKDIAKKKNSRGSKKEVSTDVKEKKVPNKKKSSSAKTSKTAAKTTEKASVKQEEKKADTSKSKKGVGSSKEKKTVTTSKKSLEAKESAVIAATEANNYMKTTVDGSGSERRPLVPLYPPVAKSVVIVESVTKAKVIQQYLGDMYEVLPSYGHVRDLAGRSRSVRPEDDFSMVWEVPNGAWTCLKSIEVALKGAENLILASDPDREGEAIAWHIKEMLEQNLACHVTVARVVFHEITEDAIKDALMSPRYIDMDLVNAYLARRSLDYLIGFSISPLLWRKLPGCQSAGRVQSAALALVCDRETEIEKFSPQEYWTIDTEFETQCSDSSKGICLTSWIKHLSSKKLDQFSISSQEEARAIEKRIYSCQFEVRGVQRSKIHKSPPMPYITSSLQQDAAKKLHFSAGHTMKVAQKLYEGINLSSEQATGLITYLRTDGFHISHGAAEDICSLVKERYGEQYASQDIRKYLKKVKNAQEAHEAIRPTSIRRLPSSLIGILDEDSLKLYTLIWRRTMACQMEASRTELIQVGIGNPEGDMIFHSSASRLDFKGYHSVYEDTEASGSSENPEGEAAHQDNFEALSKLEMKDLVSPINVNLGQHFTKPPSRYSEGALIKKMEELGIGRPSTYASIMRVLQDRKYVTVKSRVLHPEFRGRVVSAFLSRLFSEIVDYRFTANMETELDNVSAGSTEWKGLLKDYWERISKYRRDASQWDVRKVERMLEEKFRPILFPDLGTDSRICPSCSEGTLRFKVSRYGEGYLIGCDRHLKCKYIARTLSDEDDENETSEEIPRSFEPRFLGAMPDTSEKVFVKQGPYGYYIQVGEDRKGASQKRAPLSEVKDVDSITIEDAIELLQYPKILGKHPDDEHRVLVTHSNAGFSIRHRGTLAPVPKTQDPKKITLEHALKFLTGKNAKHIGRPKGKTNKNAEPIEWH >SECCE6Rv1G0415160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:639359814:639363882:1 gene:SECCE6Rv1G0415160 transcript:SECCE6Rv1G0415160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRRQVVAKRGGGGAAGKSAWLAADGSKRWGEKFFLLYTPFWLTLCLGVVVPFKLYESFTELEYLVVGLVSTVPAFVIPLLFVGKADSIRSLKDRYWVKANVWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMASNMTLRRLRHSTAHLPQSIRWLFEAAWILALSYFIAYLETLAIANFPYYEFVDRDIMYKVGSLFYAIYFLVSFPMFSRIDEKAEKWALSRVAVDALGTAMLVTIILDLWRIFLGPIVPIPESRRCSQPGLAWFHVQNESV >SECCE3Rv1G0209120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:936527715:936532028:-1 gene:SECCE3Rv1G0209120 transcript:SECCE3Rv1G0209120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLGKLADAPGSSLFFPAAVTATAGEGDAEAQLSAPAPVHIAAVKKDASGWPLWLSSAAGDALQGWAPRSADAFQKLEKIGSGTYSNVYKAIEVETGAVVALKKVRVDGVGEAESARFMAREIALLRRLGEHDNVVRLHGLVTSRLATAPSLYLVFEYMDHDLTGLVSAATASGARFTLPQVKCYMKQLLSGIEHCHNKGVLHRDIKSSNLLVSEDGVLKIADFGLATHFDPDNPRPMTSQVITLWYRPPELMLGATHYSVGVDLWSVGCVLAELLLGEPIFPGRTEVEQLHKVFKLCGTPADDYWDKLKLPHHTFKPYERCMAQKFKDLEPSTLSLLETLLSIDPDMRGTATDALNSEFFRTEPYACEPSSLPRYPPCKEMDIKLKYEKHKRKLRANGSVERQTNTRKPISHNHGRRRVFTPDVNNKPHGNPKIPRLVTSTSTTKLERFPPPHLDASIGHNIDSSADVTTNEFFSSSVVELKKMPSLLFGHVKSYLNSPKKGMHKAKPSLTMAPSTVLIGAFRPYSLGHPMEVRRKNRDQLRAKGKFPVGVVK >SECCE4Rv1G0257610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:671150489:671152479:1 gene:SECCE4Rv1G0257610 transcript:SECCE4Rv1G0257610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFEDKIREFIKNNGAKWRPVNNHGINNFTEDEIKMITKNYKNPIGKGAFGEVYRGVLDDGSTVAVKKYICQNLKDGFAKEITVHCRINHKNVVRLLGYCLEENALMIVTEYIPGGNLKDLLHGSDDPISLDASMHACMHSLHQPILHGDIKPDNILLDENLGAKLSDFGISRLLCMDGTAYTMHVAGSRGYMDPELFETGRVDPRNDVYSFGVVMVELVTREKVNANGMSTGVTRNFTQAIEKVKTARAMFDTKIANVSNMKVLYKIGKLAAECLRRDIKKRPEMKDVAERLRALRKAYCQQDKEKTGQWSMKNVNRKGQSVSASSNSTMYYKLNNLGMFSTWNARRNFMRNAGPIVEQTQKLRVFTREEIRKITNGYSSDVIARCSSCEVYKGTLEDNTLVAVNIETMMVDEAHSESFINSMLIYSIILHNNMIKLLGCYLEADVPILVHEFAANGNLQDILRGNYSFPLGIRLDIAVGCAEALAYLHSKSFAFVGANITPANILLDDSLVPKVSGLLFICHGISSTSSDVYSFGTLLLELITRKQGGHRSNSPQELRKAYMKEGHTMFDKEIAGKADILILERIQMVAMDCLKLDALARPKMAEVAERLRRLKNVESMGSELQELSMLRRLVASSISKARENTTPAT >SECCE5Rv1G0303260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:47116051:47117231:-1 gene:SECCE5Rv1G0303260 transcript:SECCE5Rv1G0303260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRSPGPCSEDGIPVVDLAVLLNGDAGERSQAIRHLGRACQDWGFFMVTNHGVPEALQSALMDACGELFSLPPEQKQVHMDAGPMAPVRVGTGFNSAVDGARFWRDYVKMFVHPELHCPAKPENLRGVAAEYAARTRALLLELTAAISESLGLEGGRIAERLDLGSGLQILVGNHYPPCAAGPDDDDDGAIGLPAHSDHGLLTLLFQNGVDGLQVKHDGRWLLAKPLPGSFFVIAGDQLEIVSNGRYKGVLHRALVDRAQARMSCVSLLGPCLDAVVEPVPELAMPPLSLEFRGVKYRDYMEHQQSNKLNEKGALDLVRVKRHILTA >SECCE2Rv1G0135830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:909044486:909045953:-1 gene:SECCE2Rv1G0135830 transcript:SECCE2Rv1G0135830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTANVVLDIEGLPQQPDKCCTGSPKMTRAMSRKGPNRTERRGGEEQEPDDFAKKVIIKVVPSQLEQLKLPLAHNKTLVTPHSTATAPVLTDSVEGRSKRFNRLTSFHPRRILLFFATLSSVGTMVLIYFTLGINGKVEA >SECCE6Rv1G0405460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:530678975:530681957:-1 gene:SECCE6Rv1G0405460 transcript:SECCE6Rv1G0405460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTC [Source:Projected from Arabidopsis thaliana (AT1G75330) UniProtKB/TrEMBL;Acc:A0A178W4A4] MAAAAISGGHLVLSAPTSTRRPQSLPLHPPSARPIVASSASAARRGVAAAAVSSPAAVPSTGKDAKQVPKDFLHINDFDKDTIMKILNRAIEVKAMIKSGDRSFQPFAGKSMAMIFAKPSMRTRVSFETGFFLLGGHAVYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFAHQDILDLAKYASVPVINGLTDYNHPCQIMADALTMLEHIGRIENTKVVYVGDGNNIVHSWLLLAAVIPFHFVCACPKGFEPDAKTVEIARNAGSKIEITNDPKEAVKGADVVYTDVWASMGQKEEAEYRKQVFQGFMVDEALMEMAGPKAFLMHCLPAERGVEVTDGAIEAPNSIVFPQAENRMHAQNAIMLHVFGA >SECCE1Rv1G0049130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:639069115:639070645:1 gene:SECCE1Rv1G0049130 transcript:SECCE1Rv1G0049130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAALPLAKRAPDWQEMDLISALPGEILSIIISLLPIDSAVRTTSLSRRWRPLWRSSPLNLDISRIHGGGSARAAAVTKILADHPGPALRFLTACLYITDPDGWLTSPALRDLQEIDFWLPRYEIPMPLSILRFATTLRVARFGNCRFFEHDAPPTFNFPCLEKLTMRFIFVREDTLHGLLDGCPVLESLLLDRCHGFFYLLINSPTLKRIGANGGRHGCQLVIENAPGLEKLITTDLHSESTIRLIKAPKLEIFGFMTDDCTKLKLGYEISQGMVAGNLKALMRSVKVLHLTSSGPNLDAAIVFLKKFPCLEKLYITSCPGNDMENVHHLQPQDPIECLDHLREVELKSYVGSRSEVNFAKFFLLNGKVLESMRFGVRDQYTEEWVADQHNQLHADSRPSPNAQLEFTAADGGNLLTIFYS >SECCE2Rv1G0142680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:942135749:942138310:-1 gene:SECCE2Rv1G0142680 transcript:SECCE2Rv1G0142680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARAPVPAQAAAPSSQYGLTETKPPLPARLGRRATMTAGKIASTYDMVQPMSYLYVSVVKARDLPPMDITGALDPYVEVKVGIFKGVTRHQEKNLNPVWGQKLAFSAAHLQSNQLQVIVKDKDTLDLDDDFVGRVNFRVSDIPSRLPPDSPLAPQWYTLSDSHGWRFRHGHGHALGQILLAVWHGTQADDAFPEAWQSDAHSPSQDQLTNTRSMVYYSPKLSYLSVAVIAAHGLIATDNGRTLAPTTAKIQMGRQIRRTRPGQPQGLANQEWNEEFMLVACEPLEDPLVVTVEEKVAAERDETIGRIIIPVASPYVPRNDLAKLVPPKWFNLPRGTTVASIPMTSLHVRRNYLSRWAHSATKWFRLSRSRGMSVDEAPADATTEHSETFATKIHLRMSLETAYHVPEESAHYSTNLQPAAEKLRKSAIGMLEVGILGARHLSDTKYPYCVAKFGGKWVRTRTLLGTAAPQWNEPYNWEVFDLSTVITVAVFDNNHLHHHEGAKDQMIGKVRVRLATLESDRVYTHYYPLMALTPSGLKKTGELHLAVRFTGTAWANMLAHYGRPLLPKMHYTNPISVLQLDHLRFQAMQMVATWLGMADPPLPTEVVDVHLHMFSLRRSKANINRISWLFSGVVAVGKWFDGICKWKNPLTTILVHVGFLKLVCSPELILPTVFLCLIMTMAWNYRRRPRGPPHIDTVLSRVELVNTDQLDEESDKLQPPHIDKILWWYTDMAYPDELDEEFDTFPTSKPDDIVRLRYDRLRSLAEMVQTVAGDLAAQGERAQSLLRWHDPRLTAVFIVLSLVMAVVLYLTPFRVVAMVMVLYFLRPPCFRGRTNLLLNLYSRLPSKDDVML >SECCE2Rv1G0124590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833177727:833178476:-1 gene:SECCE2Rv1G0124590 transcript:SECCE2Rv1G0124590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGECSTLGKGATVRSHSEAERKRRQRINAHLATLRTLVPSASRMDKAALLGEVVRHVRELQCRANDATEGVLDVVPGETDEVGVEEDDYLLNAEPTDDDPRWRRGVRAWVCCADRPGLMTDLGRAVRSVGSARPVRAEIATVGGRTRSVLELDHVCHEAAPSNDRAVALSTLRAALRTVLFNRDELLAAAAAVEGHKRPRLSPVQQLS >SECCE4Rv1G0292260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:881247692:881256270:-1 gene:SECCE4Rv1G0292260 transcript:SECCE4Rv1G0292260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRGRGRGRGRPRKEAPAADEAGGGGGPDDDLGLSASTADEPTRGRGRGRGRGRRGRGSRGRGRTPAVKAEEDDDAMELNEELPPPSTAENGMDKGNAEMPLQDSAEEKDAQGGMHNSRPARKRKRDPLADPSSLEPRPARVRKTLNRLPLVDDSPKVKAKNTKRSDGTSTMCHQCQRRDKGRVVQCLGCKDKDCTRRYCMKCIDRWYPHLTEDDFVNSCPSCRNNCNCKTCLRKNIIKKVDKWEVSKEDIIKFSHRNLHFLLPWLKDFHHEQMQEKSIEAAIKGVDASELKVTQADCKEDERIYCNNCRTSIVDLHRSCDKCSYDLCLSCCRELRDGLSPGAAAANSMVITQPGVEGTEDLQHSSHGNIASPKPSDGQNDVLMDNAVPVNIATLKPSNGQNDVLMDNAVPVEDNVPGLKLWRVNSNGSIPCPPNAFGGCGDSVLELKSLLEENIISDLLEKADLVVKDERTLEAEAGGSNCSCFTDSSEMTNGTSNKLACRDNSSDNYIYCPNARDVQNGALDHFQEHWLKGEPVIVRDVLELTSGLSWEPMVMWRALREKKDKSKHERLSVTALECLAWSEVEINTSFFFNGYSRGAVGPEGLPVLLKLKDWPQHSSFEDRLPRHLAEFISALPFREYTDHKSGPLNLAVKLPKKVIKPDLGPKTYIAYGVSQELGVGDSVTKIHCDMSDAVNILTHTDEIKLKAQRIAAIEKKKKSLARKEDNRNLQASHPDCDMSIARSELMKVPRPEGSGLGSVIKQPLSDAVLDEHKEVAADEAEQNMTLNGRASIEGEGLHKTVAADEAKGNLTVEGRASIEGDVDHMDLSISKEAAEATVNEREKVGCGFSSEDKSESPDNSEGSSEPNGRQTHGLRRSGRSSNASKRKMDESSEDEVNGSITLEKRKKEAPKRKKEALKRKKEVLEGGALWDIFRREDVSKLQEYLIKHSEEFRHYNYEPVKQVIHPIHDQCFYLTNEHKRKLKEEYGVEPWTFEQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVKECIKLTEEFRLLPNWHRVNEDKLEVKKIAFHAIKRAIRDITKKDGDQSSDDEGQGKPVRRKRKSGLKKGNSGRPKRGKPGSCEAAEPEEHEGQSAHEMSPVEDEAAEKEAVQGQSALDMSEAKDGPSVRRGLRKRKPGGLKKGKKPGRPKKEKSGPSEPAAPEENEEQTAQEMSPVEDEGAEMEEPQEESALDMSEPEDEPEEMEEHQEQSALDMSEPEDEPEEMEERQQQSAVDMTEAEDEPAETEQQEESALGMSEPEDEPEEMEEHQEQSAQDMSEPEDEPEEMKGHQGQSAEVKDGAVETEERQDESAQDTGEAKDEAVEMGERQKQSAGGIPEAKGEPAEAPEHQRQQQVEASMPATA >SECCE6Rv1G0431710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:747203256:747204038:-1 gene:SECCE6Rv1G0431710 transcript:SECCE6Rv1G0431710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCVVLLAACAVLLALGAAPLTLAGDPGMLQDICVADYMSLQGPLRVNGYTCKRAENVTADDFFYGGLASAADVYGGGNPMGSVVTAADVERLPGLNTLGVSMSRVDYAPWGGTNPPHSHPRATEILFVAEGALEVGFVTTAGRHITRGVPKGGVFVFPRGMMHYERSVGEAPAVAISAFDSQLPGMQRLGDAMFGAAPAVPTDVLARALQTDGGVVESIRSKFQQK >SECCE1Rv1G0018860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:209424321:209448904:1 gene:SECCE1Rv1G0018860 transcript:SECCE1Rv1G0018860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G26100) UniProtKB/TrEMBL;Acc:W8PV11] MPLHHPKHRHHHDEDVLPYHRSDDEAKPRRPYAPSSFPSSSATAASPHRFLLLFAVVCFLLAVASLSFAVSVSRRPVPLQSPPDSVAFRCRRAEDSLRSFLASSGNYSASDREKVLAVVGVHTELGSAARRAALRATWFPPNPEGVVSLEHGFGLSFRFVIGRTKDKEKMADLQKEVDMYHDFLFIDADEGTKPPEKMLAYFKAAYDMFHAEFYVKADDNIYLRPDRLAALLAKDRLHHRTYIGCMKKGPVVSDPNMKWYESSYGLLGNEYFMHASGSLYALSSEVVGAIATTNNASLRMFDYEDVTIGSWMLAMNVNHEDNRAMCDSTCTPSSIAVWDSKTCSGSCNPIEKIKELHNTTLCSKSPTLPPEVEEEE >SECCE1Rv1G0033870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:472458934:472460493:1 gene:SECCE1Rv1G0033870 transcript:SECCE1Rv1G0033870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAVRPSKQAKAEGADLISLLPDDILSEVITRLPTKDAARTTALARRWRPLWRASPLNLDDARLPRWSWKCISKILAEHRGPGRRLCLRHLSRQGSVADLAEWIRSPALDSLEVIHISYHYDLLLPPCALRFAPTLRVASFGSCRFPEDLPPTLAFPHLTRLTLTDVEVSEDALHALLAACSALRVLQLDWCGGFDRVVIDSPTLQSFGIVADSHVGELVIHYAPRLERLFAFGNFDIQVIRAPRLQMVSFLDSHKTTLQVGPPMASQGISGGNLAMSLHSVKIFILDTVGPDLDAVLNFIKYFPCLEKLVITLYLEVDMKKKNVRHLNPQDRIECLDLSLREVVLKGYVGKRSDLNFAKFFVLNAKVLEVMELRVQDNTSTKRWKTNQRRRLQLDSRASRNARFEFGDAYPYTIFACSNHAHVLTMADPVGASCGVCGHTD >SECCE2Rv1G0104450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:606613549:606613992:-1 gene:SECCE2Rv1G0104450 transcript:SECCE2Rv1G0104450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGRGMGMGMGRGMDREEGLNLSLSLQRSPSSPPRFQAVFACCYCPRKFRSSQALGGHQNAHKVQRNLARRGRDATTALSLAAAGDQGKAAVDDDSAPLRPADADAWGGGRVHPPHRQHGSGAASSGAARGNEELADEMIDLSLKL >SECCE4Rv1G0256720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:659060287:659060631:-1 gene:SECCE4Rv1G0256720 transcript:SECCE4Rv1G0256720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNCNGNNNNNTAKVGGGCAGGSAAPRRPHWRHRDRSATAVYLVHPDQFRTVVQQLTGAEAPPPVHGHSGGNGGVDRAAAMAVEATKGTSGGSMEQRTLAQLHHDCLAWADEC >SECCE5Rv1G0303960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:52545277:52545741:-1 gene:SECCE5Rv1G0303960 transcript:SECCE5Rv1G0303960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPATEKAAEKAPAAKKPKAEKRLPAGKTASKEGGGEKRGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE7Rv1G0457110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14324498:14326102:1 gene:SECCE7Rv1G0457110 transcript:SECCE7Rv1G0457110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISLLHTQWQLLAQLPQQWQLLLLLAGLLLPVVSYLLLITWGDAEGGRRLRLPPGPARVPVLGNLHQLGSLPHRSLRDLARRHGPVMLLRLGTVPTLVVSSAAAARDVMKARDADCCSRFASPGPARLSYDGKSIASSPYGPYWQDMRRLFVAELFGPRGARAVWDARRQQVDTLMATLSAAAATAAPVAMDQHVFRVADGIIGTVAYGRIYAAEAFARKYEQFQHVLEEAMAMSASFSAEDFFPNAAGRLVDRLAGIIARRERIFRDLDAFFEAVLEQHLDPARPKPESGDGDLVDAFVGACEEHGFTRDHVKAMLLDAFLGGVDGSSITIVWAMAELIHNPTALKKAQDEIRAAVAARDVSPSELPVQLDDLPKLTYLKMVVKETMRLHPPATLLLPRETMRQVEIGGYDVPARTRVLVNVWAIGRDPASWEEDAEEFKPERFETDGRHGKVDLRGAHFELVPFGSGRRVCPGLAMGVTTVEFTLANMLCGFDWTLPEGTAAEDMSMEEAGKLTFHRKTPLVLVPTPYRRN >SECCE1Rv1G0015340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:123853459:123861264:-1 gene:SECCE1Rv1G0015340 transcript:SECCE1Rv1G0015340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSACFDWDVAAASSGHGDVAQHFVHNDGGAPAGVVVVPGGGSRASRGISEMDPTSFPGYGSSNAPTAIAVPAWAPPRARSSGQGLRRFKGSWTVEEDTILRAKVQEFGKGKWTKVALYLPGRSGKQCRERWINQLDPKIERKIWTGAEDIKLIELHQTWGNRWSVIARLLSGRSDNAVKNHWNATKRSLNAKRRPKKRNNKQRPTGQLSLLAEYIRGVQSHTGSPMETPPVSPPLYHDQEHGGQMRMASRDDVVVIAPTAQAHPTYPNPTMTGMYYHPNLANMQYWAPDLNVTDGPNEGYPYYIPPNAHLNNRLSYGLSSKQMVSAQDIQQAANASMNMYAFTGQHSLPASNLKGMAEMNHESSTNNQLGNVGGGAGGWSYYYGIDATCPSVPAGGSANGSDPDDIDVVQMASRVFAM >SECCE5Rv1G0300950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28531908:28533446:-1 gene:SECCE5Rv1G0300950 transcript:SECCE5Rv1G0300950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLHHRLALLLLVLSTASATHSPETATASARAHPHHHRPPFGTATAHFHPVPAAAPSMHQNHLHADSQSLLSAGDIDPVLADAQATGAAEARPAPLLPPPTRVLPVPDLAEATPQPQEEGSASAPTTPASATTSTLHPLPATVATTASPPPPPAVSDAEHGLQQLARVLTSLGYNEMASEAPLLARAPPLARWPGAITVFAAPDAFLQASCPMCSRRHLLEQHIAMGYYPYSDLAAAATMKIPSASVGFCIKVATERGPFGIHYARIYADGVEVSHPELYNDGRYVVHGLHGFLRPLTHSCLDGPHHHHLNARSAAASSAATAASAVRIMIRDAMARLRDGGYGFMALAMRVKFAELEKFANLTIFALDDPAIFVGGGHDYVSAVRFHIVPNHRLTSADLRRLRPGTVLPTLAGEGQSLVVTHYASDSASSKSNDDVRINYIPIKEPDVVVNSRIAVHGVYVPFPRLHLADLAVASATDQTNGTCGVGGPIGDCASSAITSPKRQVAPGE >SECCE7Rv1G0483390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:321971827:321975044:1 gene:SECCE7Rv1G0483390 transcript:SECCE7Rv1G0483390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43360) UniProtKB/Swiss-Prot;Acc:P54967] MMLLLARSLRSRVRPPFASAVSAAPFSSISAAAAEAERAVRDGPRNDWTRPEIQAIYDSPLLDLLFHGAQVHRNVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYSTGLKAEKLMKKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKDIRGMGMEVCCTLGMLEKQQAEELKKAGLTAYNHNLDTSREYYPNIISTRSYDDRLQTLQHVREAGISVCSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALIAVKGTPLQDQKPVEIWEMIRMIASARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPNFGEEEATVAAPTERCEQAASM >SECCE4Rv1G0291420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876534668:876536126:1 gene:SECCE4Rv1G0291420 transcript:SECCE4Rv1G0291420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAAVVIVAFVVQVAVLSPFAAAQLRADYYAGVCPNLEGIVRSSVKQSVVKSPISAPATLRLFFHDCAATGCDASVMIVGSTGDNGDADDYSLKPEGFQTILDAKAAVDSDPQCRYKVSCADIIALATRESVSQSGGPNYTVELGRYDGRKSTDKSVKLPHPGDNLDSLNAFFSTLGLSQTDMIALSGGHTLGAADCGFFKHRTSGNDQSMNPSFDAQLQGTCAKQNFAFLDDMTPTGFDNFYYRNLQHGRGLLGSDQVLYTDERSRGTVDFYAANQGTFFSDFVVAMTKLGRVGVKTAADGEIRRDCRYPN >SECCE6Rv1G0390680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:189902089:189907952:1 gene:SECCE6Rv1G0390680 transcript:SECCE6Rv1G0390680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDVPTAVLKTTQNSCNVFVVCKRRLIVKVAGHPQAGMSGTNLSIHSITHKAFAETQMSLLFDSSTEAETLSVSSSSQARCSYSASSDASAGSESSGLVHIVSGDARAGIDVNQSYGSHSFLKKVSYAASNSSNERQSIDEVEKLRKELKETLVMYDKACDDLVHAKKKIQVLSNECSEEARKVENALRKEKILKQVAAEEKAKHLEAINEVEQAKRSFTREAYSKHKAEMVGCIISLDKEKIVDAILSTSKNCRRYSKHEIELATDNFSEARKIGEGCYGNVYRCTLDHIEVAVKIIQQDSTDKTDEFLKEVEILSQLHHPNLVLLIGFCPEIGCLVYEYLENGSLEDQLLNNKRHEPLHWFLRFRIIFQVSCGLAFLHGRKPEPIVHRDLKPANILLDKNYVGKIGDAGFAKVISDLVPDWQTEYTDTIVAGTMYYMDPEYQQTGTVRPKSDLFGLGVIILQMLTGKHPNGLIASVENAIKSRSLPYILDRSQTDWPVAEAEMLAKLGLRCTALKCRDRPDLGSEVLPELEGILHRVASIVNMRNPNSRAPSHFICPITQGLMDDPYVAADGHTYEHHAIKDWLRKHRVSPITRCKLPSLSIIPNHSLHAAIQQWKKSQPAQTQPWK >SECCE6Rv1G0434330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764826410:764827713:-1 gene:SECCE6Rv1G0434330 transcript:SECCE6Rv1G0434330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEARPSKKARASLSSPAVGSGGLTAFALRLAKKLAVGDGNVAFSPLSIYTTLGLVAAGARGKTLDELLTLLGASSPDEVAGFVRGLASDTSGSGGPLVTYAYGVFHQKKMKLTRAYLHAATESYKAEIRAVDFAKGDIEKIREEINEWAAAATNNLISEILPEGSLSHDSRFVLTNAIYFKGVWERRFPKNLNVNRKFHRLGGAAAIKVPFMTFAPGKHELFVSYHKDFDVLKLHYKTGVAAAQYSMCVFLPHERDGLRTMIDALEAAGDSLLDHVPKYPCSVSKLLLPKFKLSFFCRLAQLLESLGLRQAFSEQEADLSGLVKKSVCDVRLDEVFHKAVVEVNEEGTKAAACTAVGGVRKSPRKTIRREFIADHPFAFYIVEDVSGAVVFAGHVLDPSSPQ >SECCE3Rv1G0207780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:924377859:924380388:1 gene:SECCE3Rv1G0207780 transcript:SECCE3Rv1G0207780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPSNMVKFIFVLEISMEFQDGNLRRFVKFWIATTITLFLLLVLASQRWPPLDAMMPSPWRATKKNSGNSNESNFAGLDELLPMVATDDRTVIITSVNEAFARPGSLLGLFRESFFAGEKIEHFLDHLLIVAVDPMAYRHCRSVHRFCYLLPTTKTSMNLSSASDFMSDAYVELVWTKLELQQRVLQLGYSFLFTDVDILWFRDPFRHIGLHADMATSCDVFSGDADDLSNWPNTGFYYVKSTNRTVEMLRRWRAARWRFPQNHEQTIFNYIKHELAGAGSDLRIRVQFLDTAHFSGFCQLFRNDMARACTMHANCCVGMANKISDLRDVLGQWRNYTAMAPAEKMKAKAAGRIFQWRVPAKCGTPDKRPQ >SECCE4Rv1G0262160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:706253430:706260007:1 gene:SECCE4Rv1G0262160 transcript:SECCE4Rv1G0262160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTSSSWATSLCGSGSPICSGQDAVSCALKQILDSSTCANHLVATGIAVLLALALVLQLLVKIPQSRASARQLVTLSLSSPLLHLFAVVFNGGLGLAYLGLGLWMLWSSFSQGASVVYLPHWWLVTVSQGLNLILAGFAFSVRPRFLGTAFVRFWPVLLTVYAALVCCSSVVIIVAEKMVTVKACLDVLSLPGAVVLLLYGIMHSRDEEGDSGIGNGLYKPLNTETGGEVAHSETHQVTPFATAGFFSEMSFSWLNPLMKMGYEKPLEDKDMPLLGATDRAQNQYLMFMEKLNDKKHSPSHATPSFFWTIVSCHRRAILVSGFFALLKVLTLSTGPVILKAFINVSLGKGTFKYEGYVLAALMFICKCGESLSQRQWYFRTRRLGLQVRSLLSAAIYKKQQKLSNAAKMDHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNAVGAATISSLIVIILTVLCNLPLARLQHKFQSKLMEAQDVRLKAMSESLIHMKVLKLYAWEAHFKKVIEGLREVEYKWLQAFQLRRTYNGFLFWSSPALVSAATFVTCYLLKIPLDASNVFTFVATLRLVQDPIRTIPDVIAVVIQAKVAFTRVSNFLDAPELNGQVRKKYYVGVDYPIAMDSCSFSWDENTSKPTLKNINLVVKAGEKIAICGEVGSGKSTLLAAVLGEVPKTEGTIQVSGKIAYISQNAWIQTGTVQDNILFGSSMDRERYQNTLARCSLVKDLEMLPYGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMSALSDKTVLLVTHQVDFLPAFDSILLMSDGEVIRSAPYQYLLADCEEFKDLVNAHKDTMGVSDLNNNSHSKRAKEVSIKETVGIHGSRYTESVKPSPVDQLIKKEERETGDAGVKPYMLYLRQKKGFLYFSLCMISHIIFIAGQILQNSWMAANVQNPHVSTLKLISVYIIIGACTMIFLLSRSLGVVVLGMQSSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDIPFAFVLSLGTSLNAYSNLGVLAVVTWQVLFVAVPMIVLAIRLQRYYLASAKELMRINGTTKSALANHLGESISGAITIRAFEEEDRFFAKNLDLVDKNASPYFYNFAATEWLIQRLEIMSAAVLSSSAFVMALLPQGTFSPGFVGMALSYGLSLNTSFVSSIQTQCNIANQIISVERVSQYMDIPSEAAEVVEENRPLPDWPQVGNVELRDLKIRYRKDAPLVLHGITCKFEGGDKIGIVGRTGSGKTTLIGALFRLVEPADGKIIIDSVDISTIGLHDLRSRLGIIPQDPTLFQGTIRYNLDPLGQFSDQQIWEVLDKCQLLEAVQDKEQGLDSHVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDALLQKTIRTEFKHCTVITVAHRIPTVMDCDMVLAMSDGKVVEFDKPTRLMETEGSLFRKLVEEYRSHTSNTDI >SECCE4Rv1G0261490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:701956928:701959072:1 gene:SECCE4Rv1G0261490 transcript:SECCE4Rv1G0261490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFPDRHHVRLRSRVHGKYLHADDDVQGVSLRARRASLNQAWTVHIYNGNGAYLLLYSATYGRYLATTATPAPRGHRGFRAGQRDYDQPEVQAIMWRAFRSGFGDDVLLRDAGGRYLRANGKYRTWNTGVTVEASDNVSAMMYWTVEHIPARDGTPGLPGPIQSPPPTIFWREPVMWRQIRFMVSEPDGPIYSRYSWATFQFRGRSVFHLRNELARHTRFILEGRQPFDLVMCVRAGRHGRLTPLFVDLPRGDLLPIFWIVVFLSRTPAYNALRHPNVDAE >SECCE2Rv1G0117900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:777950899:777951224:1 gene:SECCE2Rv1G0117900 transcript:SECCE2Rv1G0117900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPPPGTAYPQPGQEAYPPPAYGAPPPVAANYQQQQAPPPESEDSGDGFWKGCCAAICCCCLLDTCC >SECCE6Rv1G0408700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:576053484:576056986:-1 gene:SECCE6Rv1G0408700 transcript:SECCE6Rv1G0408700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAARPRGAPEPLKQRVNRCLLRLSDRDTEAMAAAELDAIARALAADELAAFVSAVSDARPTDKTPLRRHSLRLLALVAASHPREAVAPLVPRIVAAALRRVRDQDSSVRGALVDAARAAAAASASASAALAPLADALLHEQDQCAQLAAALATVAAVEASPLTADLASYLHKLQPRLLKLLRSNAFKAKPALITLIGASAAVAGDAEVTASIPCLRDAIASDDWAARKAAAEALAAFALEYKDLLMSYKSSCLAYFEARRFDKVKIVRDSMSRMIEAWKEIPDIEEEELSSCTAPASLSQRRSSLAGSVSDGRYPAASLGSNSVQSGTRKNRLPTSRSPPPDVSPGVTRKNSPSSIRNKRLSPPLNRKVGQAKNCDYKVDTAIAPDATPIKEVTEEKLLKGGNLRSRLETRRTLFQGNEERATKLAGAKAGSRVVPCEYGGNLEEISEVEGGSERSQSGLKDEGLSEIRSQLLQIEKQQTGLLDLLQKFMGKSENGMNSLETRVHGLEMALDEISRDLAVSSERMSSSEPRVNTCCILNPKFWRRHDGGRNSSRFSASSVPNSSEGSRTSYKWERQKFGVQGGFVTNPLAEPNISSVGRTAVTQEGRKKDLALQKSRVG >SECCE6Rv1G0426820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:716076889:716079265:-1 gene:SECCE6Rv1G0426820 transcript:SECCE6Rv1G0426820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVVGSPGTWSGMALRLSQCVFAAASTFAVVSGFGYSNYSAYFYMNLALILQLMWSLGLACNDIFALRNKKDLHTQHNLLIIVMVDWVMAIFMFSGACASASLTIFFMWDVHFCGVYSRLACKQFALSVVLAFITWLLQAASSFSGFWLLVSFY >SECCE5Rv1G0358220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:754985656:754986267:1 gene:SECCE5Rv1G0358220 transcript:SECCE5Rv1G0358220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTQKLLPVFALVLFLSYLHVHGVSASVVSSANITTETSHRRGSCHISGFLHGKSGDCNRDHGSVCCQDGHRYPQFRCSPPVSADTPAILTLNSFARGGDGGGKSFCDNRFHKDSELVVALSTGWLRLDGKRRCNKIIRINGNGRAVLAKVVDECDSVYGCDAEHNFEPPCPYNDVDASPAVWKALGLKETIGVFKITWSDV >SECCE5Rv1G0342620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633033410:633034684:1 gene:SECCE5Rv1G0342620 transcript:SECCE5Rv1G0342620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKPRPAASSSGDLPADALYEVLLRIPAKGLCRLRAVCPSWRALTSDPLFVTAHTFRHRTAPPLLAMGYRDDSGVSGVEISDLSGNVLKKIPGTEYELVFVNESGDAIGRFTSNDDSICVVRTRLDLVCFNRNVLSGFFWVLNPVTGATIDLPLDFSEEIAHELEVKGIKEWGCLDESFAFGQVSSSTEYKALRISRVDDQKVCEVITFDDTNHGSWRRKQDPPSNIYTSDKMRCAVVDGVVYFLMEFCSSYYETGFFTIEPGSVASFNLDTEEWMAVLHGPEQLQWFVQENEEYSVLELEQGLSLAELNDCLVMAHNIHKVSMDLWFLTDFEKGIWVKKYSLPSHVARLFWYPFLMLDDGRIVFSVMEGLEGILSGGEQGEGFLISYDPRNDTCADALKLKDPRSIGIYTGSLLSLQSSCR >SECCE6Rv1G0447750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:851482449:851482736:-1 gene:SECCE6Rv1G0447750 transcript:SECCE6Rv1G0447750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHLVAVPTEFRLRNNIGCSWKITVKLMNDRVTLYQGWATYAADHQIKIGYMVTFKLLTPDTRKVIIFDDDSIEVINKCGKHDEAFAAKD >SECCE4Rv1G0248960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:577631169:577632467:1 gene:SECCE4Rv1G0248960 transcript:SECCE4Rv1G0248960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDADDVFRYFRLNPTELQAVTYYLPRLLSGETLHCADKLIHRVEISGCEPKDLAARYAPVPLAVSSGDRFFFTTCKSKNGSKVQSVRGAGGGTWSIQKTTEISHAGAKVGEVKNLSFKTNGKSTGWVMEEYRCLLPEATVSDGVKVFCKMHLAQHAPDAARQESAAYNRQQAQPEAVTQSTHAQKRPAPAAAADPHPPRPKKRMRGAVPVPVPASATPSFLMYDEAASFPVQDAQASCESTTTSSRSDVAQAPEICSQSDVQSEEAGSSIARSTSQENVFEALEPISNLPEWEEDGFDLEELMRMMEDDPIEVEPVTGANTGVEMGQQEPLYLDAVDQSVLEGMLQSDYPAFHDADKEKRYNAASDLDAPSLQGHDHLIKPRPCSFDPFEEAWKAEEAIENERRYNATVNLHAQPFGGHNNFFSPASVY >SECCE7Rv1G0476050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:196173501:196174371:-1 gene:SECCE7Rv1G0476050 transcript:SECCE7Rv1G0476050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRKFSKQTGKLKSLLELTVSRAAIARKPRLARKSIASGDVCQLLSLGQTDRALQRVEQVIKEDNMLEALGIIELYCKCLVKKAAQLDKPKECSGEVKEAAAGIIFAAKWCSDLPELQSARKILAEKFGDDFTADAKVGTAVVDPMLVWKLSGDTTSISLKKKVIKEIAAENNISVDLCKSP >SECCE5Rv1G0303320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:47881556:47884060:-1 gene:SECCE5Rv1G0303320 transcript:SECCE5Rv1G0303320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAEGMDRLDMDGGRYYEGRRERAPHTRDDALYGVFAEGDPDCDYDSEEHEGSRRRKRRRGESGSDFTRPVQFVSKGMPEGVEQEEQRPGLGKAASCSRTADAVSEEDVEEDQEEYIDLPTGFGQRIAEGVRARREEKERQYEAAKRRRQASSAGVDDGKPAPAPGSVESNTMVAKMMAMMGYKRGSGLGKNDQGITAPLETTLRPKNAGLGSVQEFKEAKPFTAKENLPPPPPPPSVKKGKQRWSKKAGSKKDQVLTKNELLAMRAQQEQDEQPTVVQKVIDMRGPQVRVLTDLKGLGDEQEMEENDVPVPELQYNVRVLVDETKADIIRLDGQLRQEQERVATLVREKEKVAKQEALQKHQLQVMETIAGVLEQVWVDDTAGLPMLRGLLKTFQELKLRHEEEFKMCSVAWIACRFAHPLLIRFFQGWQPLQNPLFGLEVMSSWKDLLQCDQPYDFSDGTESMAPYVQLVSEVILPAVRIAGTNSWEARDPEPMLCFLESWEQLLPPIVLHSVLEHVIMPKLTAAVESWDPRREKVPIHVWVHPWFPIIGRRIETLCHSIRYKLSSALHVWQAHDASAYALLSPWKGVFDPASWEDLIVRYIIPKLKMALQEFQINPANQKFDQFNCVMIWASAVPVPHMVHMLEVDFFSKWQQVLYHWLCSPNPDFNEIANWYKGWRGLFPPELLANERIRMLLTAGLEMMNQAAEGVELVQPGAREDVGYLRATEKWQFDAAQQASQYPDYHSVPGAVMGDMSFKESIQAYAADQGLLFMPRVNKSYNGKPVYEFGTVSIFIDSVKRLLYAQLQEGTDRWSSVSLTQLLEMNRMARSH >SECCE1Rv1G0001980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:6837637:6842328:-1 gene:SECCE1Rv1G0001980 transcript:SECCE1Rv1G0001980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVVTMAIRPLVSMLRDKASSYLLDQYNVMEGMEKQHRILKRRLPIILDVITDAEEQAEAHREGAKAWLQELKTVAYEANEIFDEFKYEALRREAKKNGHYKELGFDVIKLFPTHNRVVFRHRMGSKLCRILEDINVLIAEMHDFGLRQTLFVSNQLRQTPAVSKEWRQTDYVIIDPHEIASRSRRKDKDNIVSVLLGQASNADLIVVPIVGMGGLGKTTLAQLIYNEPEIQKHFQLQLWVCVSDTFDVNSVAKSIVEASPRKNYDTDKPPLDRLQKLVSGQRYLLVLDDVWNREVHKWERLKVCLQRGSMGSAVLTTTRDKQVAEIMGADRTYNLNVLKDYFIKEIIVDRAFSSEKEKPHELLEMVDEIVKRCRGSPLAAIALGSVLHTKTSVEEWKAVSSRSNICTEETGILPILKLSYNDLPAHMKQCFAFCAIFPKDYKINVEKLIQLWIANGFIPEQEEDSLETFGKHIFNELVSRSFFLDLEEGKDSSGYYSRTCKIHDLMHDIAMSVMGKECVIAIKEPSQIEWLSDSARHLFLSCEETQGILNDSLEKKSPAIQTLICDSPIRSSLKHLSKYSSLHALKLCLRTESFPLKPKYMHHLRYLDLSRSYIEALPEDISILYNLHVLDLSNCHYLERLPRQMKYMTSLCHLYTHGCPKLKSMPPGLENLTKLQTLTVFVAGVPGPDCSDVIELQHLNLVGQLELRQVENVKEADAKVANLGNKKDLSELTLRWNSVCDSKVLDNFEPHGELQILKIYSYGGECMGMLQNMVEIHLFHCKRLQILFRSSTIFTFPKLKVLMLEHLLGFERWWEIDERQEEQTIFPVLEKLFISNCGNLVALPEAPLLQGPCGEGGYTLVRSAFPALKVLKMKNLKSFQRWAAVEETLGEHILFPCLEELSIEVCPNLIVLPEAPLLRGPCGVGGYTLVHSVFPALKVLKMEDLENFQRWDAVEETQGEQILFPCLEELSIEKCPKLINLPEAPLLEEPCSGGGYRLVRSAFPALKVLNMEYLESFQRWDAVEGTLFPRLEKLSIQECPKVIGLPEAPKLSVLHITDGKQEIFHCVDRYLSSLTNLTLMLEHAETTSEAECTSIVLVDNKEKWNQKSPLTVVRLGCCNSFFGPGALALWDYFVLLEKLEIYRCDVLVHWPENVFQSLVSLRTLVITYCENLTGYAQVPLEPLASERSQHLRRLESLCLENCPSLVEMFNVPASLKNMEIIGCIKLESIFGKQQGIAELVQVSSSSEANVHAAVSELPSSISPIIGCINHFCPCLEDLRLVGCGSLPAVLHLSSSLKTIEIDACSSIQVISCQLGGLQEPEATASISRSPIMTEPPAAAAPTAREHLLPPHLEVLVILDCAGMLGGTLRLPAPLKRLDIIGNSGLTSLECLSGEHPPSLEILDLERCSTLASLPNEPQVYRSLWYLKIRGCPAINKLPRSLQQQLGSIDSKYLDARYEVMALKPKTWKKMPRLVRERRWAAQEARERQQSTMQE >SECCE3Rv1G0146960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:13006880:13007344:1 gene:SECCE3Rv1G0146960 transcript:SECCE3Rv1G0146960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVSLQSTMRRATPELGRRLQGSPPCHGPVLRPRRPRAVATVCCGVFRRNHYGGVLVDEGMTVLRRRIRETRMAETNYEAPPGWAAWEKRYYPAYVSDVSTLAGALQLLAMDTRPGVAGAVAALVLAGLPVSAVFALHLLGQAAGSVLHLVS >SECCE2Rv1G0110670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:693059679:693062549:1 gene:SECCE2Rv1G0110670 transcript:SECCE2Rv1G0110670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGEVLKPRELAMGEMDPQPGVFSEAEITRMEIASLVNLLREKQSDVLSLDPEIVSKITSLRSEIACQKSARERKALVPEVKCTVPRIMTDQKSMDLPSSDTQSVSSQVSCIPEQMPERCQQKEIDSEKTKPASRFESNFEHVYLPYDEFSCILEQAEALCDEMAPILGKTSIPLFSNYDVSNLFHMAYRFLKISRSIYDYTCNADKQMVDQYAEDQRTKEYVEMTDQVDKGKSSTHCLNQRSKEEVDESVTDLTMDLCKSSMETHQMPADSQMTDDKDEHFVAADLISGLSNKMTVHCYENQSTVEYLEMIDLTVDKGQSSTETHALRLMDQGKSSSEGESSNEGKSSTETRASRMMDQGNSSSEGQSSTETRAFRMMDQGKSSSEGQSSTEMQGQSSNEGKSSTETHASRMLDQGKKSSFRMVLDCDENGMPNLCKYYEMLECEDADEEDEEMTAESLRMYNKFRKELLELEAGLRKDREQHQKDTLRWKQDQKDALRWEQDQIDTPSCYTMDPIPFSLQDEAEETEEAEETEFTKSDTEEMEEADKLFALDRKGWESAWGDYYGNFEDRTVLSPMHFTHCTPGLIPYSARAESTLQIYSVKIVGTDGKLKLPLHVYGIVAARDAVDYNRNILFSRRRENCQKLTREDPFLRLTGPSRAIVAVDHVGFEVQLKVKGSSRSRDRALISHRFTYSGGYHEGLHTTFSWNSFCTVEFSYERLTETVQATILSARVVEGAPWPFEYGGRIMCSSPPQEVTDSLSRQVVLVDSHRSDDGEMPMGTDGFLDLSRHVVSVELEESLQFVIQAYSQSGDAIARQGSVKFRTKYCNISRAICEIGDSKVEITVAWSQLATHKRDILLEGHV >SECCE2Rv1G0143160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:944651068:944654457:1 gene:SECCE2Rv1G0143160 transcript:SECCE2Rv1G0143160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDGGSASGGGRWYTGMSSDNIKGLVLAISSSLFIGASFIIKKKGLKKAASASGGVRAGVGGYSYLYEPLWWVGMITMVVGEVANFVAYAFAPAILVTPLGALSIIISAVLAHVMLREKLHIFGVLGCVLCVVGSTTIVLHAPQERQIESVTEVWGLATEPAFMCYVAVVLAIVAVLVFKFVPLYGQTHVMVYIGVCSLVGSISVMSVKALGIALKLTFSGTNQLIYPQTWAFTMVVISCIITQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTIFSGTFLLHKTKDMADGLSNSSSFRLPTISSTRSFKQTDEYSEGVPLRSSDSFRSPH >SECCE5Rv1G0308460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:130545036:130547081:-1 gene:SECCE5Rv1G0308460 transcript:SECCE5Rv1G0308460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGHIVVDVGTDEDASAGQPPVAPVPYRLSFTDLSYTVRTRGRRLASLLSRGRASTDSPPPSTTRMLLDGISGEAREGELFAVMGASGSGKSTLIDALAGRIARESLRGTVTLNGEPLHGRRLRAISAYVMQDDLLYPMLTVRETLLFAAEFRLSRALSPARKRERVDALIDQLGLSSAADTVIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLLDIARSGSVVVMTIHQPSARILGILGRLLLLSRGRTVYAGTPGGLMPFFHEFGKPIPENENPAEFALDTIRELERQPDGTALLADFNARWQADHRIIETVNTMPLEVAISESVSRGKLVAGTVTGTASSVPTYANPLTVEVWVLIKRSFTNTRRMPELFGMRLSTIMMTGLILATIFLRLDDTPKGVQERLGFFAMGMSTMFYVCADALPVFVQERHIYLRETAHNSYRRLSYVLAHSVVSFPPLVILSLAFAVTTFFAVGLAGGGASFAFFALIILASLWAGSGFVTFLSAVVPHVMVGYTVVVAILAYFLLFSGFFINRDRIPSYWIWFHYISLVKYPYQAVLQNEFGDASRCFARGVQMFEGTPIAGMTEAVKLKVLGAISATLGTNMTAATCVVTGADVLRQQAVTDLGKWMCLLVTAAFGFLFRALFYVVLLVGSKNKRK >SECCE1Rv1G0002240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8312015:8312611:-1 gene:SECCE1Rv1G0002240 transcript:SECCE1Rv1G0002240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFLILALIVVAATTTAAEPFNALHGASEPQRPSSPEQQPTPQPQEHPVPHQKLNPCRDALLQQCSPVGVMPLVQPQVVQQTSCLVMWEQCCQQLKAIPKQSRCEAIHNVLHAIILQQQQLVQGTSTQPQQQQQQGQQQQGQGFSQPQQQQLDQGWIAAIGTWVIQTIPAMCDVHVPPYCYTTISPSSDVTTGMSGY >SECCE7Rv1G0481360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:283326408:283328223:1 gene:SECCE7Rv1G0481360 transcript:SECCE7Rv1G0481360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGSRRRRGGSDATAGEPGGRGFCAPALAVVALAAAAAVAFLEGTAGGVAYAGGGWFHECAKWDAEGGRFLVSTFFGAGVAEVRVGAGGQEALAERAVVADPEVADRVALGLAVDAPRRRILVAYADRPPSFGYAAVGAYDLRSGRRLFLARLDGPGESTFADDVASDEDGNAYVTDMLGNKIWKVSPDGEPLSVIKNATFRQRPGTMDNLIGLNGIVYHPNGYLLVVHTSGGDLFKVDPKTGAVSVVRVLGSLKSGDGLELISPTKLVVAGTPSRLVESSDDWETASITGRYVGPVHRIGSSATVKDGDVYVNHIVGFGLGTKKTHVLAKAVFAPL >SECCE2Rv1G0070360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:34956365:34959917:1 gene:SECCE2Rv1G0070360 transcript:SECCE2Rv1G0070360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISFLLLSLLLSPLPPATSVTPPSPTDVLLSFLASLPEASQQILLPSWQSNTSSAATQHCAFRGVTCTAAGAVAALNLSGLGLAGALSASAPRLCALPTALASLDLSGNGFVGPVPAALAACSGVAALLLARNRLTGPVPAELLSSRQLRKLDLGGNALAGEILAPAAAGASVLEHLDLSNNSLSGAISPELLAALPGIRVLNLSTNALSGPLPEFPAHCRLTYLAVDSNGGITGELPRSLANCGNLTDIILSYNKIGGTVPDFFASLPRLQQLFLDDNSFVGELPASIGELSDLESLVVSKNGITGPVPQAIGRCQSLTMLYLNGNRFNGSIPRFVGNLSRLQRFSMADNAIAGTIPREIGRCRDLVELQLQNNSLSGTIPPEFGELGRLKKLALFKNTLHGTVPPALWQMPDMEELQLYNNSLSGEVPAGITQARKLRELILAFNNFTGEVPGALGLNTTHGLVRVDLTGNRFHGAIPPGVCTGGRLAVLVVGHNQFSGGIPSEIAKCQSLWRVRFNDNKFSGSLQDLGTNTGWSFVDLSGNQFDGRIPSVLGSWRNLTMLDLSGNNFAGPIPHELGALSMIGTLRLSSNRLTGPVPREFKNCKKLFYLDLGGNLLNGSIPAEVATLDSLQYLLLGGNKLTGTIPDSFTATQGLLELDLGGNSLEGVIPSSLGNLQYISENLNLSNNRLRGQIPSSLGNLRSLEVLDLSANSLSGPIPSQLSNMISLSAVNVSFNELSGQLPAGNWGKLADESPDAFRGNAQLCTHPGNEPCSSDQSRKNRRRNTQVIVALLLSTFTVMVAALCAIHYIVKRSKRLSAKSGSVRNLDSTEELPEDLTYEDILRATDNLSEKYVIGKGRHGTVYKTQFAVGKQWAVKTVDLSRCGFPIEMKILNTVRHRNIVRMAGYCIRRNVGMILYEYMPEGTLFELLHERTPQVALDWTARHVIALGAAEGLSYLHHDCVPMIVHRDVKSSNILMDAELVPKITDFGMGKIVGAEDADATVSVVVGTLGYIAPEQGYSTRLTEKSDVYSYGVVLLELLTRKMPVDPAFGDGVDIVTWMRSSLTTQAGHGSIMSCLDEEIMYWPEHEQAKALDLLDLAVSCTQTACQSRPSMREVANVLMRIDKDIFISEHHK >SECCE4Rv1G0252320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:613476325:613477089:-1 gene:SECCE4Rv1G0252320 transcript:SECCE4Rv1G0252320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTQTSPLRRWKRFFRAFDCVDAAIKPSDPDHLRDELRRARGDIVEQLCDAADDDQAERLCGVLDDHMAESLETLRLLPVMPDMLVSTDLAKSVCALRRHDSERVRVLARGVVSGWRASMRDELAKVRDALHKLDSINMAQTKEITVGQQQHVSADSDIAKTKASAMKTAAVTIRKDASGSAAGDRAGLCSEEKMEAAKRKFRQGYQEAQDAKRLRRTQLVQAPKMMQPIKRCTSSMAKKKTFAIRTQLHMA >SECCE2Rv1G0079880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:124018282:124019163:-1 gene:SECCE2Rv1G0079880 transcript:SECCE2Rv1G0079880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKLVALGFVVLVSIGFTNASRMLASSSSSGGGGGGGGGGGSTSGGSGWGHGAGAGGGLSYGQSGGDAGNKYNFAKGAGGGGGNGAGGGSLGGSGSGSGSGGGNGVGSSGSASAPSGSGYANADGQGGGGGGGGGADGSSGSGAGDGAGKGEGESGLTTAPAQAPSAGGVSYSDAGGAGTGGGGGDGGNGGGNGVGGGQAASDDTSGGSASGSGSGNGGGQGGGVAQGPSMGVGSGSGIGGGQTGSSGSYGQGYATGTGAGMGGGTGGSNNGGSGGGGGSGSGSGSAGYP >SECCE4Rv1G0244120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:510057227:510061599:-1 gene:SECCE4Rv1G0244120 transcript:SECCE4Rv1G0244120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVGPQVASPLYLHQIQPLPPHAAAAARKRGSPWPAVEPPENAAMGAGAAAGGNWNPSMWDWDSRAFTARPSSDALRLAGGLNHHHHQQQQQAQPPTATAVEVQRQGGGGAGDLSLQLTLREEASMAMDVSPTTTLSSSPSPPARASLEQAVRPSKRVRSGSPGTASGGGGGGGGGGGSASGGGSYPMCQVDDCRADLTSAKDYHRRHKVCEIHSKTTKAVVGNQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPENQENAANRTQDIVNLITVIARLQGGNVGKLPSIPPIPDKDNLVQIISKINSINSANSLAKSPPSEAIDLNASHGQQQDSSVQNATKVIDKQTVPSTMDLLTVLSGALGTSTPETNTSQSQGSSDSSGNNKSKSHSTEPACVVNSHEKSIRPFPAAGMARSNNTHGSPPEIYKQPDRDTRPYLSLQLFGNTEDIPVKMDTANKYLSSESSNPMDERSPSSSPPVTHTFFPTRSVNEGIRHPRIADYGEDGATVENSTTRAWCAPPLELFKDSERPMENGSPPNPTYQSCYASTSGSDHSPSTSNSDGQDRTGKIIFKLFGKEPGSIPGNLRDEVVNWLKHSPTEMEGYIRPGCLVLSMYLSMPTIAWDELEENFLQRVNSLVQASDWDFWRKGRFLVRSDNQLVSYKDGMTRLSKSWRTWNTPELTLVTPIAVVGGRKTSLVLKGRNLTIPGTQIHCTSAGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPNLTLGRCFIEVENRFRGNSFPVIFANKSICQELINLEAELEDSRFPDVSSDDQVHDARRLKPRDQILHFLNELGWLFQKAAACTPSTKSDVSDSELIQFSTARFRHLLLFSNERDWCSLTKTLLDILSKRSLVSEELSHETLEMLSEIHLLNRAVKRKSSHMVHLLVQFVVICPDNSKLYPFLPNYPGPGGLTPLHLAASIDDAEDIVDALTDDPQQIGLSCWHSVLDDEGLSPDVYAKFRNNGSYNELVARKLVDRKNSQVTILLNNGEIRMDQPGNGGVDNASGIRALEIRSCNQCAILESGLLRRPMRSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLDFGPT >SECCE5Rv1G0316910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:332494077:332495603:1 gene:SECCE5Rv1G0316910 transcript:SECCE5Rv1G0316910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMELSWGARCAGLAFFLLSALVVALAAVLLLARRWPWCSCHVCRTYLTGSWAKDFTNLGDWYAHLLRESPTGTVHIHVLGCTVTANPANVEYMLKTNFDNFPKGKRFSALLGDLLGGGIFNVDGDAWRHQRKMASLELGSVTVRSYAYKIVAHEVETRLLPVLADAADKAKVVDLQDVFRRFAFDTICKISFGLDPGCLDLDMPMSDLANAFDTASRLSAMRGAAASPLVWKMKRMLNIGSERELKKAIKLVNDLASAMILQRRKLGFENSHDLLSRFMASDGDVEAMDDKYLRDIVVSFLLAGRDTVASALTTLFIHLSKNPEVAAAIRAEAGGDKPSTYEHLKSLQYTHAVLYENMRLFPPVQFDSKFSAAADVLPDGTYVEGDSRVMYHPYAMGRMPSIWGADYEAFRPDRWLTGPGGSFAPASLYKYPVFQAGLRVCLGKELAVTEMKAVSVAVVRAFDVEVVGENGRNGWAPRFVAGLTASISGGLPVTIKRASTSSADFR >SECCE1Rv1G0030520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:428622051:428624476:1 gene:SECCE1Rv1G0030520 transcript:SECCE1Rv1G0030520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGVVCPPPELSFGGQYYSVVNGVCSRDESFFGGKPVLTQAVGYAVVLGFGAFFALFTSFLVWLEKRYVGGSQLQTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATVQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGARAHLVFLTFCLATNVIVTAMLLLGGSAVVHALTGVNVYAASFLIPLGVIVYTLAGGLKATFLASYIHSVVVHAVLLVFVFLVYTSSSSLGSPKVVYERLLVVASAARDCSAGLSRSGQSCGPVHGNLKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITAAEAAKGLVPPATATALMGKPGSVLLLTMLFMAVTSAGSAELVAVSSLFTYDIYRTYVNPGASGKQILLVSRAVILVFGCSMGVLAVVLNLVGVSLGWMYLAMGVLVGSAVIPIALLLLWSKANAFGAMLGTISGCVLGVIVWLTVAKVQYGRVNLDTTGRNAPMLAGNLVSILVGGAVHCVCSLVSPQNYDWESCRRITTVESVAVAAEDDEELQEEKLVHAKRWIVRWGLVFTAVIVVLWPALSVPAGRFSLGYFTLWAAIAIVWGTVGSAVIILMPLVESWDTISMVCAGMLTNDIVYQRLDDVNLRLRAIMGAMPEAEKRYQQLQRKEEVEMHPAGTHPADDSDHLLEN >SECCE1Rv1G0011640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:80297942:80299325:1 gene:SECCE1Rv1G0011640 transcript:SECCE1Rv1G0011640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSDATSEDSVMNISSSCDDIIKLPATIHDPNFNGTDADVNVLCEHGEPAERFVAFEGMHTGRRFLGCAKKEGINCGVVQWIDFEWPDSMEKALAKLWDMYEEIKSARTNDNLESSFAIHNLTEEKKKLQENYDSLYADVNALLDAQQQRGVELTNQKEQKKYLVLKIAELESVVCNLKAELSKKEEEKNKLQENYDSLNAQQQRGVELNNQKEQKQYVDLKIFELETIVGNLKAELSKTEEEKKKMLQKYDTLKNLTGAQANVIRNLKFNHLKEKERLTEERHKLQHHISEFQQSEEKIKLKLQGVKAILDE >SECCE1Rv1G0054090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:672902325:672904305:-1 gene:SECCE1Rv1G0054090 transcript:SECCE1Rv1G0054090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMQESLALVGTMRGHNDVVTAIAAPIDNSPYIVSGSRDKSLLVWDLTNPVQSLGDGTVGTDYAVPFRRLTGHGHFVQDVVISSDGQFALSGSWDGELRLWDLSTGLTTRRFVGHTKDVISVAFSVDNRQIVSASRDNTIKLWNTLGECKYTIGGEMGGGEGHTGWVSCVRFSPNIQQPTIVSGSWDKTVKVWNLTNCKLRSTLAGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLSEGKRLYQLEAGSIIHSLCFSPNRYWLCAATEESVKIWDLESKHVVQDLKPEVQVSKNQMLYCTSLSWSADGSTLYTGYTDGTIRVFKIQAGFAGYA >SECCE4Rv1G0271920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:764615992:764616240:1 gene:SECCE4Rv1G0271920 transcript:SECCE4Rv1G0271920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERFLMALVFCEAPLDGYGTSVLKAGTIKQRISDGVTKPVGNKAEAQKEQGFSGKSPAQRHAGFELAFDGLHCFDTVVMH >SECCE6Rv1G0444050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826461231:826462472:-1 gene:SECCE6Rv1G0444050 transcript:SECCE6Rv1G0444050.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKKAALPLTDIPDHLLSEIFLRLPAPEDLARASAVCVSFRRISTDGSFLRRFRRLHAAPLLGFLDRSGFHPVPPPHPSAPAARALDLAADFSFSFLPAPCHWAVQDTRDGRVLLDGDLGEEESPAAFRELAVCDPLRRRYVLLPPVPEHLTALLEHPVQMVRGVRSKTFLVPLGEEEAAAREAAFRVVLMAHCKTSLTAFVFSSSTGQWQAAASKGWSDLVSSRGDWAAMSQVNPFYLRRHYAYGCFYWDWPMIKSKKLLVLDIRTMEFSIADLPPGEWSNEGMAIVEAGEGRLGMFGFHGEIASTLSYTIAQNKGKSPSQWQVEKTISRDSGYIYSIKAATERYLLLTRIKASYLENRLLSLEKPRVEYLLMDVKTLQLQTLYEKQHYLMYDAYIYINFPPSLLSSRTV >SECCE3Rv1G0161360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:116409496:116410686:1 gene:SECCE3Rv1G0161360 transcript:SECCE3Rv1G0161360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSGFREEAAGESGLELSLGLPAYFTMPEAPTAGLDDQESSGADGSALQPEGGSCKAKPSAAAAPVVGWPPVRSFRRNLAASKPSSSKEDGRASKDHDAAVKGADECVAGRKGLFVKVNMDGVPIGRKVELKEHGSYADLSATVDNLFRSLLAAQRDAAAAPDAIAGGEYTLVYEDDEGDRMLVGDVPWQMFIVTAKRLRGLKSSDLPASSLTAAGSRKRPAAAAADC >SECCE2Rv1G0104150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:602050393:602053014:1 gene:SECCE2Rv1G0104150 transcript:SECCE2Rv1G0104150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g08490 [Source:Projected from Arabidopsis thaliana (AT5G08490) UniProtKB/Swiss-Prot;Acc:Q9FNN9] MLLLTRLAKPGCCSPTTSPRVAHAALGWSRFFHTGGAHNVFGAMPAQDHRQCSALLRARTASGDHHGSVCLVRGMLGRGLRPDRLAFAAAIKSASALPDGGALGRCLHGFVVRLGNAADVAVAKAIMDMYGRRGPLTDARLVFDEMGCPDAVCWNILITGSSRAGFFDDVFALFRSMLACGVDESMPTVVTVAVVIPVCAKLKDLTAGRSVHGYVVKTGLESDTLCGNALVSMYAKCGAGGITDDVHRAFSSIHCKDVVSWNSIIAGYSENGLFEEALLLFGQMISEECLPNYSTVANILPVCSFMEYGRYYGKEVHGFVFRFGLYMDISVCNALMTHYSKVCEMGVVESVFRSMNSRDIVTWNTIIAGYVMNGCHSRVLGLFRRLLSTGMTPDSVSFISLLTACAQVGDVKGGMAVHGYIYRHPLLRQETSLMNALISFYNQCDRFDDALHSFADILDKDSVSWNAILSGCANRKEHTEEFAKLFCEMCRKVTRCDSVTILNFIRMSTFCGVKRVREAHGYSLRVGYIGEMSVANAILDAYAKCGQPQDADTLFRNLAGRNIVTGNTMISCYLKNNSVEQAERIFNQMPESDGTTWNLMIQLYARNNMSDQAFCLFHQLQCPDTVGITNILLACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNITNAYNLFLVSPNKDLVTFTAMIGGYAMHGMAEEAVELFYEMLTLDIKPDHVALTALLSACSHAGLVDAGIKVFKFARDIYRVEPTAEHYTCMVDLLARGGRLQDAYSFVLDIPPHMANANVWGSLLGACKVHGEVRIGQLAADHLFSMEAGDIGNYIIVSNIYAADEKWDGVEHVRKLMKSKDMKKPAGCSQALVYSQ >SECCE5Rv1G0344560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:646094856:646096676:1 gene:SECCE5Rv1G0344560 transcript:SECCE5Rv1G0344560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPMETRCGVCHADMGLGHATFTAECSHSFHLRCVHYQIACPLCFTPWRDVPGVAPAQELVFRDDEPLEPQPAAVAAETTTAGGGGAMSITTHCEYPAIAKDASRDGFAVLVHAKAPALAPAAAKAARAPLDLVMVLDVSASMGGSKLALLKQAVGFVVDKLGPHDRLSLVSFSCDARRLTRLTRMSDAGRASTERAVESLAACGGTNIREGLREAAKVIDGRRYRNVVTGVILLSDGQDNYTLSPRFYNDDVATDYSVLVPPSLVRSGDGSSPPIHTFGFGTDHDSAAMHTIAEATGGTFAFIENEAVIQDSFAQCIGGLLSVAAQEARVAVECVCPGVRVRSIKSGRYKSRVDADGRAAAVDVGELYADEERRFLLFVDVPTAGATDDVTSLLKVSCTYRDVATGQSVDVSGEDVAVKRPAEAPEAEPAVEVERERLRVQAAEDIAAARAVAERGEHAEASEMLSRRRMALRQSPLGASGDAGCAELAAELGELSERVGDQREYDLTGRASLLCGMSAHSQQRASTVKVGKGRGRSAAYVTPAMRKMVDLSVKAREQQQQQCSQAQPSPPSRTTRPPPPPPSKTTASLAKNLVRRYHRFLRLK >SECCE2Rv1G0112540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:710920168:710921634:1 gene:SECCE2Rv1G0112540 transcript:SECCE2Rv1G0112540.1 gene_biotype:protein_coding transcript_biotype:protein_coding METALSPKPHFVVIPWPTTSHIIPIVDIGCLLALHGAAVTILTTPASAQLVQSRVDRVGAQGDSAGIEVAVIPYPSVEAGLPEGCERLDHVPKPDMVPCFFDATTRFGDAVARHCRLMASPRRPSCIIAGMCNTWASGIARELGVPCYIFQGFSAFALLCCEYLHTHKPHEAVASLDELFDVPVLPPFECKFARRQLPLQFLPSCSIGEESLQELREFELAVDGIVVNSFEELEHDSAARLAAATGKTVLAVGPVSLCHAPALDVSDDATRCMAWLDAKKAKSVLYVSFGSAGRMVPAQLMELGKALVSCPWPVLWVIKGADALPDDAKKWLQEHTDADGVADSQCLAVRGWAPQVPILSHPAVAGFMTHCGWGSTLESVAAGVPMAAWPFTAEQFLNEKLIVNVLGIGVSVGVTKPTEGVLTGGSGGAKAEVGMEQVKTALEKLMDGGTEGEDRVRKVQELKAKAKSALDNGGSSCMNLDKLVQSVV >SECCE2Rv1G0069810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31246121:31247218:-1 gene:SECCE2Rv1G0069810 transcript:SECCE2Rv1G0069810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLIARLPFPNDRAHFRAVCRSWRSALRHHGHHARQLVVLPEGSFMTPSDGRSYPLPSFPDNATCIGSTDDWIALRQDDHADGSGYLMYNPFSKKSIPLALDVVIDKATTIQKVLVRSIADGDLLVAIMTNNIKHPLILSRGGGKDICFSGSWVYCFVVDIAFLGDKLYAVTLDDNLFWIDISLDGHGNLTASDGSRFGREPTNYCIYDAWRMSDNEEAAWASDDIGDDYYYDDADEEEPCAHDINIVRYLVESRGKLLMVRQHMSLVVPPGLSTHLTHRVEVFKVGANTWAPMINGLGGGQALFVGMRFSKSVPAPRGEAEEDSIYFVNTGEVFNMRSNTCSPVIWDITIPSGTWVFPPELVV >SECCE7Rv1G0499900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:659289057:659289728:1 gene:SECCE7Rv1G0499900 transcript:SECCE7Rv1G0499900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHDDEVPYVEAADEAEQGFTCGVLCMFIPGFAKKKPGSPSAAAVVSSIQRQHSGARRRSSVSRMAELERFECGSWSPPLPPPPPHPVRVVPAHVDMDFAMEVPKISCADDTDLPVKMAFVFEGEARGSLKKSASESRRQEPATPTKTACVFDGEPRGILKKSASASQRQESGPRMSSASQRHVRFSTAAAPPASCPTSPCITPRLAMARAEFNAFLEAQSA >SECCE2Rv1G0089030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:251638014:251643066:1 gene:SECCE2Rv1G0089030 transcript:SECCE2Rv1G0089030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCRGEWLLVPCIISVLLFVPMACGRLLIGANDMSPPALTPSFIKQVDDWVEHAWLKCGLDKKSLQDVRNYYNYNHVLDIIHRISDNKGTSPVIEKGASPLTPEIKQTLLICLSKQSFEVAKNLPDGYIKTLIASIRGELTLGPAPANEAVKPSPGKPADGAPSEATTKKAVPATKSVEKKDSDGMPTTTVIGVSLVVIALLALLCVACCMYRENQSSAAPAYDNKQLINLTDSCKSSSVNLIDITKLGALPLQSEAGQNGHVNQSSQEGPNTDQIGYVRLSSQEGPNTDQTDHVKLSSQEGPNTGQISYVKLSSQEDPATGQNSYVKLSPQEDPSTGQNSHVKLTSQESANTDPAIHSNSAEPMAASVGSVQGSTPMPQPMMPPPAHPQVLAPQPKAPPPPPAPQALASLPNASSVLSSGPSPPPAPKASPPPPSGPSPPTAPKAAPPPPPSKSGGPLPPPPALPGSSKTRPPPPMKKSGNKADTDADSSEAKTKLKPFFWDKVTANANKSMVWDNLKSGSFKFSEAAIETLFGYNADKSGDAKKDLASKEAAQVVRILDPKKAQNLAISLKALSVSAEEVSCAVKEGNELPSDLIQTLIRWVPSSDEELRLRLYTGELSQLGPAEQFLKTIIDISYIYERLDALLFMASLPEEASNVKQSFATLEAACKELKNSRLFLKLLEAVLKTGNRMNVGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIHSEGVRSARAAKEQTGNVSSVDNNDLIEDEYKQLGLQVVSSLGDELQNVRKAAILDADQLTMSVASVGHRLGKTKEFLNTSMKSLDEDSGFHHKLVCFMEQSQTDVAFLLEEEKKIRSLVKSTVDYFHGSTGKDEGLRLFVVVRDFLAMLDKVCKEVKEASKVAPKKTKTEVTLPSRTPRSFQDPRRNLFPAIQDRRANSSSSSSDEES >SECCE5Rv1G0334350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565248643:565248924:1 gene:SECCE5Rv1G0334350 transcript:SECCE5Rv1G0334350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAARLAIIPQEILNIENEKLELEQSLDLIRDLMFLSFVDPVVWIHNPVVWIENHTLDVRNKIRILETRKRALLSEQQSLIVKAALYGDRRN >SECCE3Rv1G0156190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70456680:70457090:1 gene:SECCE3Rv1G0156190 transcript:SECCE3Rv1G0156190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPVEKTPAGKKPKAEKKVPASKEGGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >SECCE4Rv1G0243830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:506883264:506883851:1 gene:SECCE4Rv1G0243830 transcript:SECCE4Rv1G0243830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQWCSATSLHGWCHHPSPPRAYPALASIVSLSRQPRRIGCVAFRREVAVAAAAEADMDIEEEGVECEEGCGGTGWLLCDFCKGKKNNVKSESSPRIYRRCPTCKAAGYILCQRCRVYRCITYPESTES >SECCE2Rv1G0099650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:524826075:524828134:1 gene:SECCE2Rv1G0099650 transcript:SECCE2Rv1G0099650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRLLDVVYNSSNNELVRTQTLVKNAIVQVDAAPFKQWYLTHYGVEIGRKKKAAAAAKKDAAAEGQEAEVAAAATEEAKKSNNVQRKLEKRQQGRTLDSHIEEQFSGGRLLACISSRPGQCGRADGYILEGKELEFYMRKLQKKKGKGATA >SECCE6Rv1G0429530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736183393:736184497:-1 gene:SECCE6Rv1G0429530 transcript:SECCE6Rv1G0429530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRTCSASALAVALVVGVLASIPAEVQSIGVCNGMMGDSQSLPSRADVVQLYKSKGISAMRIYAPDPKTLRALGDTGIDLIMDVGNGNLSALASNPGLATSWVQENVLAYPRVSVKYIAAGNEVEGGDTQRIVPAIKNLNAALSAASRTDVKVSTAVKMNVLASSSPPSTGVFKDAYMTEVTQLLKSTDAPLLANVYPYIAKRDTPTIDLSFALFQPNTNPVNDKGLTYTNLFDAMVDAMYTAMEQAGASDVPIVVSESGWPSAGDNLATIPNAQAYNQNLIDHVGKGTPKRAGPLETYIFAMFDENQKPGEDTERNFGLFKGPDKTPVYTIRFTN >SECCE7Rv1G0460190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:30109975:30114324:-1 gene:SECCE7Rv1G0460190 transcript:SECCE7Rv1G0460190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSTKEQQQQRAEAEKGEEQAMDAGAGGVGEEEEEEEEARPSGAGEGGTVVVGVRADAESRALLTWAFVNAVAAGDRVVAVHVVLASAAEAAAAVDFDGMLAVYEGFCNLKQINLKVKICKGSSVRKALVREATLFGASKLVLGITKRRRTIGSSLSVAKYCAKKLPAKCAVLAVNGGKIVYRRESNAHSGKVSAEVPGCGDDEMYCVLPFGARQQGKEESELPCDEPKDGGGDDEKQHDVGAMGSQPGDVVAEEQQPSSVEPAELSTVQVQTEAADPSDRDKESTMDQKDVVADLPGEGASVLYCVLPERSDRSVASTSSRQESDSTEPPAEGDGDLYCLLPPRNGNSGRSSNDSKRSTSSHKDDGDLFCRLSKSGGHSGGSSGGSKRSVGVRSVFRAIRRSSSFSSDIPLNFESSADKRDGSVSMGATERSSSAVSTEPEDLQKETPTSSPMSLRRLIEGRSDRCRLRRRIFHHERTSSFEWAKVSMVQWAMRLPSRYSSVHPDNKSLKSDTSPRLHGDSECDSTSVVEPESIFSFSLYDVAWPPSELGSLQEEYSSVCRLFSYEELKLATSNFSPDMLIGKGGTSHVYKAQLNDGTLYAAKILKPSVDALQEFITEIETVTSLQNENIVSLRGFSFDNYFLVLVYDYMHQGSLDKALHGKCEDSLSWEKRNKIAIHIAKALEFLHHGGVTESVIHGDVKSANILLSEDFEAQLCDFGLAKKVSASTPHLTCTDITGTFGYMAPEYFSHGKVNKKIDVYAFGVVLLEIISGRKPIITGCVKGQESLVGWARPLLSSGEIKQLVDSALGNDYDCDEMERMTLAASLCTRTSSDSRPETPLVLKLLEGDDETVHWARSQMCAGSDVSDEEAMTPRSNMQSHLNLALLGVDEEEDTLSQCSTERTADTSVDGGYWSRSSSFD >SECCE7Rv1G0504050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:718943814:718945115:1 gene:SECCE7Rv1G0504050 transcript:SECCE7Rv1G0504050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKIPMRLIEKPRARAETHARRTKGLLKKASELATLCAVPVALVCSAGAGALPLVWESEEGVLERYRRAVPAEARARHTHRSYVETELGKDRAKLARTRHGCPGALADWDAALNDMTLEDARGLLETIDAALRATGDRMEALGIPVDGGHGPLEDSSRDAVMPQQLGHGGGNPVDMDAAGFQQLQMVPFHAGNIDGCLLEQFSCDDPFQTQPGCGGFQCVGGNYSGGGDSMLSPGFGSADYNYSGGGDEMLAPGFANADNNYSAGVDEMLMLGLANANYNYSAGGDEMLALGLANADYNYSGGDDKMLTPSFTNADCNYSGGGGDEMLAPGFGNADYDWTDLTMWTADELCDAVMPLGCYPDFADGTLPPEYSSQVVTGGDYVNTPPSGGYGYPMAMGVGDNFTHLDSNYTALWQFQRFDTSTLLLGEMQS >SECCE5Rv1G0322360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:419883618:419884207:-1 gene:SECCE5Rv1G0322360 transcript:SECCE5Rv1G0322360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQKIILKLALGDERKRRKAFKAAVSMSGVTSATMEGHKIMIVGDGVDPITLTTVLRRSLGYAELLSVSSGDDKKKDGYGAAYGYDAGEKKKDGYGYGGGSGMSFGGKESGGSKVGVGGGYSQNAVAPITYPAYQQYNAMPSYPVYSYPAPAYQHQEQDSGCSIM >SECCE3Rv1G0181400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:612517940:612520048:1 gene:SECCE3Rv1G0181400 transcript:SECCE3Rv1G0181400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLLRRFLCSSSSTTPAAGAGFIRELATLLAAGRFHASVDLAKSLLLSSQPPATSVPDLYHALVCTAAASQFDPHPPSFLSDAASALVVASARLRLPDGALRLLSLLADARATLPSLSSCNLLLESLLSLGRHADVRRAFDLLNAAGACPDTFTWNKAIQACVVAGDVNEAVRMLRRMDCEGHGAPAPNAFSYNVVITGLWRAGRGSDAVEVFDEMGKRAVLPNHITYNTMIDGHIKIGDLEAGFRLRDQMLHHGLKPNMITYNVLLSGLCRAGRIGETTALLHEMMSRKMVPDCFTYSILFDGHSRVGDSQAMLSLFEESVKKGVKIGAYTCSILLNGLCNDGKITKAEEVLQTFVNAGQLPTRVIYNTLINGYCQIGELEGAFSTFQQMKSRLVSPDHITYNALINGLGKAERITEAHALVTEMEKNGVSPSVETFNTLIDAYGRDGQLEKCLILLSDMREKGLKPNVVSYGSIVNAFCKNGKIPEAVAILDDMFHKDVLPGAQVYNAIIDAYIDCDATEQAFTLAEKMKTSGVPPSIVTCNLLIKGLCKQSRISEAEELIHSLRNHGLTPDVVGYNTIISACCYRSNTDRALELQKEMCKCGIKPSSRTYRILLAALGGAGRIHEMENLYKEMLDKDVVPCSHIYDIMVKAYVKCGDESKVEALRKDFSEKGTSIDYDTSATSCELNTSIVSPISKR >SECCE7Rv1G0491600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:529665748:529667704:1 gene:SECCE7Rv1G0491600 transcript:SECCE7Rv1G0491600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETEYYDALGVSPAASDDEIRKAYYIKARQVHPDKNPDDPQASDKFQALGEAYQVLSDPLQRKAYDGYGKTSISRDNILDGALVFTLLFGSELFEDYIGHLAMATMASSEMASGDNGSAEKLQDRLKGVQREREEKLARFLNKLLSQYVSGDTEGFAHRAESEAKRLSSTSYALDIRRTIGYVYSRQAAKELGKKAMYLGVPFLTEWVRNKGHLWRSQITAAKGALQLLQLQEEACRQSAKDGGAATEKDVDMQMRMNKDLMMSSIWKLNVVDIEVTLLHVCEMVLHENNVKKEDLKARAMALRNLGKIFQREKEALPGPSKPTILDDDSSSDESSDEEASRTVTYRTPALTQGIGRLFRCLCNPAYDVDDDFEPRK >SECCE3Rv1G0206050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:904582791:904584149:-1 gene:SECCE3Rv1G0206050 transcript:SECCE3Rv1G0206050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPVEAGGERPPSRGRAAPRSARHHGATAQREAEAAAAAAAVAAALRDDAAVSSRAAMAAHPRRGPNEYVSYRQPGASKASMQHHPGDERFPGGPSHGEIQEVDEPTQPPGGGRPYYMAPWQRRGRPPPQPLPPVAVDEPRYPYERVPGDQRMRIPGPVQAPPMGPRPTGNGGYRTNTTRVERVTTIKQDDGGGPYPAGAPVPGNGSPQQHQHHDHQGSTSHTPPGRPRHPRTPRRTPAGSPGFLSPDRGREKRRQLPAFCFTLCCILFWLAVVVVGVAVLSVYLYYRPQPPKLWVSDASLNAGYVDELTVPGGPARGLALNADLTVLAAISSPNTKVNMVLWYMQLDLYFQGHMIGTTTVLPAPLSEDPRTYVLRSVDFVVSEAPLSREDAIAWRNGTVAGGPVKLKIVGKFHTQLNFGRWLPYKYWVYPRCTLWLAPPPAGRLVRARC >SECCEUnv1G0534440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:41435472:41436889:1 gene:SECCEUnv1G0534440 transcript:SECCEUnv1G0534440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQDEITAKHIVVVGGGPAGLAVALGLHRKGVRSVVLESSPALRASGYAITTWPNAFRALDALGVGHKIRKLHLHIQGLRVFSASTGELAHELDFTLPENGGPHETCRVRRDLLLRALEEELPAGTIRYSSKIVSIDDQDGAAKILHLADGSTLRTKVLIGCDGVNSVVAKWLGLRKPSHSGRLATRGLAHYPDGHGFRPEFLQFIGHGFRFGFVPCDDTRIYWFYTWSPSQNVSADKGVDVDSAAEMKQHVLARLRSSKVPAGALEVVERSDMSDASAAPLRYRSPLSLLFASISKGNVCVAGDALHPMTPDLGQGGCSALEDGVILARCLGAAVLGLGGEASAEKERIESGLREYAGMRRWRSVQLVGAAYMVGFVQQSDNAVVRFLREKVLAGALARSLVKMADYHCGTL >SECCE6Rv1G0430190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739888865:739889446:-1 gene:SECCE6Rv1G0430190 transcript:SECCE6Rv1G0430190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEINLGGAGRVEVKEDAGEEEGAESFEPTEDELVLHFLRPQLRGFAPRVAGAVVEADPCAAPPWELLERHGLLRRGHGYFFAARRRRGKRAQARRTPEGGGGTWMHSGNREDRRSVTELGVVARWSMTRYCFYLRGGEAGRRSTGWVMSEYEITDPRCYRRADDGEEDQYWVLCHVRRSLRKNLMPRSRRR >SECCE1Rv1G0036620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:510989885:510991474:1 gene:SECCE1Rv1G0036620 transcript:SECCE1Rv1G0036620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQAKMIVYSSKSSKGTPFPLRSMLVFFIALFGFYVCYFSFTQIALENEEEEMNAAEERTNVLCKRPSEIPYEQMQYVHFPRPMSYDRGECACTPVRFFVIVSMQRSGSGWFETLLNSHPNVSSNGEIFSVRERREDIASILWTLDKLYDLDWRTSAAKNECTAAFGLKWMLNQGLMDYPDEIVDYLIKKGVMVIFLFRRNTLRRLVSVLANDHDKKAKQLNGTHKAHVHSQEEAEILARFKPDVDVSSLVPSMRSAEQSMDACLRRFRGTHHMILYYEDVIRDENALSRVQEFLGLPVRRLSSRHVKIHTSPLPDLVDNWEDVRRTLKPTEFARFLDH >SECCEUnv1G0535770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:60088107:60089913:1 gene:SECCEUnv1G0535770 transcript:SECCEUnv1G0535770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSGGHRRNKSARNEAAAGNKNGRRRRNKSGRKGATDDDGDRLSKLPNDLLLNILERVDTLDAIRTCILSKQMLNLPTMLSQFFLSAGSVPGHHDKARVFSRSEVLRTNNAVARVTDSILCTRNSKIAITKLNIRFVLMTRASLTIGRSVARAMASQKIGAAEFEIITEKAFTDCSPPDLLQFANQLNNFVGAFPDVFAGLRRLWLRNMRFAELDIANILSTCKLLASLRLTECDSGIGSVLQVEHAQLVEIEVDYGEFARVELTCLPKLQRVSYNNWYSYGDPLYFGFVPQLSKLSLTKTGVRWEKTLELSQLLANVPNISNLHLDFESEKIWVLPECPKLLTPVLSKLQHVNLDNLPEGCDLAWTMFILEAAPTLEELCVAVRDHWCIMVTDKQVRKKHGYCEKAVVNWKPYTPDFKHKNLLKLTIYGFQPYDSFMRYIRCVVDHTVNITEISLYDRKVCGSCGDLDPEIKVKVCPSRYPQTAEEMKQITEGLGLASRAVIHFRS >SECCE6Rv1G0405160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:528053195:528058461:1 gene:SECCE6Rv1G0405160 transcript:SECCE6Rv1G0405160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPTRVMMAVNESSLKGYPHPSISCRSAFDWMLSKLVRSNTDGFHLLFLHVQVPDEDGFDDMDSIYASPTDFQSMKQRDKIRGIHLLEYFVNECHRLGIKCEAWTKHGDPKEVICHEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHAECPVITIKRKANETPQDPIDD >SECCE2Rv1G0118140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:779864931:779866817:1 gene:SECCE2Rv1G0118140 transcript:SECCE2Rv1G0118140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRACSALRSLPFLFLLLIVTGEATMLNITNRCSYTVWPAAMPVGGGVQLDPGATWTLNVSGITSRGRVWARTGCSFNSRGNGSCQTGDCGGMLACKGYGRPPNTMAEFTIGQFNTTDFFDVSFVDGFNVPMDFLPVPDQGGPGCSKGPRCAANITSQCPSELTAPGGCNNACTVFKQDRYCCTGNAAYNCEPNKYSLLFQKICPDVYDYSMDADWKKTFTCPLGTNYQIVFCPPIDLNTSSPSTRSSSSRGRIGLILGTVIGFILITAFILFVVHRRRMRRHREMEEEEEEFGKLQGTPMRFTFHQLEVATEQFRDKLGEGGFGSVFEGQLGGERVAVKRLDQAGQGKKEFSAEVQSIGSIHHINLVKLIGFCVEKSHRLLVYEYMPKGSLDRWIYCQDDNIDPPLDWSTRCKIITHIAKGLSYLHEECMKRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDMSQVVTRMRGTPGYLAPEWLTSQITEKADVYSFGIVVIEIINGRKNLDPSRSEESMHLITLLEKKVKHDNLVDMIDKNSNDLQAHKQDVIQMMKLAMWCLQIDCKRRPKMSEVVKVLEGTMNAESNIDHNFVATNQVDFRIADNADSSVPPLASHVSGPR >SECCE3Rv1G0154900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62731980:62735027:1 gene:SECCE3Rv1G0154900 transcript:SECCE3Rv1G0154900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILESLLGSCVNKLQNIISDEAILILGVEEDLAEVLRRVELIRCCIYDAEKRRTKELAVNNWLGQLRDVIYDVDEILDVARCKGSKLLPDHTSSSSKSASYKGLSVSSCFCNIGSRHDVAVRIRSLNKRIENISKDTTFLKFSSSTQPTGNGPTSKLIRSSNLVEPNLVGKEIIHSSKKLVDLALAHKANKSYKLAIVGTGGVGKTTLAQKIFNDQKIKGSFKLQAWICISRDYDEVTVLKEVLRNIGVYQDQGETIAELQRKLAETIEGKSFFLVLDDVWHPNVWTDLLRPPFHTAISGVIMVTTRDDQIAMRIGIQHAHQVDLMSVEVGWELLWKSMNIEEEKEVHNLRYTGMEIVEKCGRLPLAIKVTASALASRDLTENGWRKFLGKYSGSQGMLSDEIEGALYISYDELPHRLKQCFLYCGLYTEDSIIYRGVVTKLWIAEGFIEEQQGQLLEDTAEGYYYELIHRNLLQPDNAIFNQAQCRMHDLLRQLACKLSRDECFIGEVETLRGENMSKLRRLSVVNKKDKLVLTSMDNMVLKVRTFLAFYGPWKIEDAFFKRFLLLRVLALNYSVVQNIPDCIGKLIHLRLLDLGHIGISHLPESIGSLKNLQVLSLINCDALHSLPSAMTQLRNLRCLRLTGTNVNKVPKGIGKLNLLTELRGFLVGDISDNADIQDGWMLEELSSLPQMMYLNLVKLERTAHCRTNVVLEDKKHLKELVLEWTTHGEGPYSEDVSNAEKVFELLVPPRNLETLYIFGFFGQRYPTWFGTTCLSSVTHLFLKNLRSCVDLPTVGQLPNLKFLRIDGAHAVTKVGPEFVGYRVGEPISSEFVAFPKLEWFFIKDIPNWEDWSFFKEVENVVDEGEDGGDEICNGDAQSTRLQLLPRLAKLKVEGCPKLRALPRQLGKYTASLKELLLIGANNLKAVEDLPKLELLVIEDCEGLEKVSNLPQVSKLQVGGCPNLSHVEGLGNLQQLGLGEDMQGISSRWVPGLQNQCQQLHGEDLDVYTLSTG >SECCE3Rv1G0167260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:200282757:200283476:-1 gene:SECCE3Rv1G0167260 transcript:SECCE3Rv1G0167260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATREQPSQDTMPDAGAAQPWRPRVLVAASGSVAAIKFDILCRSLAEWADVRAVATASSLHFIDRESFPSGVALLTDADEWSAWGRIGDEVLHIELRKWADAMLIAPLSANTLAKIAGGLCDNLLTCVVRAWDYSKPIYVAPAMNTFMWDNPFTSRHLDVVAGLGVSLIPPVTKRLACGDYGNGAMAEPAEICRTLRLFFGSQDPL >SECCE3Rv1G0185120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:671146480:671149895:-1 gene:SECCE3Rv1G0185120 transcript:SECCE3Rv1G0185120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDFRGDVTAVQAERFFTKLLDKEGDAEAYSPVVYDDAGVTYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYKMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDKVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLNTQVKPLIWVEAQVEKHSRSRIEFMIKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPERDAMVWKIKSFPGGKEYMCRAEFSLPSITAEEAVPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLL >SECCE2Rv1G0100690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:545931590:545932132:-1 gene:SECCE2Rv1G0100690 transcript:SECCE2Rv1G0100690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGERGLQLLLPAAAARMLREAPPSAATDHQQLDLDLSMSIGPRQQQQLLRPSPPTPPANDSRRAAATVAASAATARQLQLQQQVTVDVRAVKQQTAEQARMASAERAYAERVRELAKRELELAEREFARARMIWERAREEVERVERMKQIAARRLGSAASAAALEITCHACMQRFHP >SECCE2Rv1G0074200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:74986120:74987682:-1 gene:SECCE2Rv1G0074200 transcript:SECCE2Rv1G0074200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATSSSPAEADSQSPIVHVAFSSGASHFVASTPTGFHVFSCDDSVDRVPYKSTAVFPAGVQVTSAGLHMRSRVAVVTRATGPADHHAIHLWDEDRGKINMIRISSKTPGLSPVGGVRLLGDDHVLIAGPEKAVLVIGGDLKNTEQVATGPNPLGLCALAIDQAATLVYALPRPEKGAVQVRRSGQPGSVDVHAHASSLSCIALSTDGRLLATAGSKGTLVRIFSTHDGTMVQELRRGMDRADIHCIAFSLESQWLAVSSDKGTVHVFPVASVTVNKATEGGDLPVPPPAPAPAQATAKQGSSLSFLKGYLPSYFSSEWSLAQVRLREGVEYTVEFWRHHPNTILIAGTDGSFYRCRFDPVNAGEMKQLEHERFINKIKE >SECCEUnv1G0536900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:66807882:66808903:-1 gene:SECCEUnv1G0536900 transcript:SECCEUnv1G0536900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGTVPTWLELLLATQFFAICTNHLSSTRNECNLFCIDCEESKAAFCYYCRSRHHSTHRVIQIRRSSYHDVVRVAELKDVLDISDVQTYVINSATVVFLNERPQQRGCGVSAVKASSSSYNCESCNRALLDPFRFCSLGCNLKGIKEDMRTSIPTRDIIEYIRKDDDTDCSNTSGNTGNNEESCSDADYCKENPSPPRVIRHRRKGIPQRAPFY >SECCE5Rv1G0353440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:717057888:717062037:1 gene:SECCE5Rv1G0353440 transcript:SECCE5Rv1G0353440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPASGPASTPPDCSAAPDASEEPGAPATAGAASSKGAPVTDTTDGTQADAQGGLHDTAISNPENNDDTSASTRGDSHSPWISEERLGDSLGQTTSKQAVNSDGTRKRNFQPGNKEAVHGPTAGSKSHAREVSVLETRDARSKFLKKSDNEDAACEDGKNAHLAGISEELKENNNRSSLNPSSLKDEKKQKNRSRGKENSNHVHNTSTSHDISLPTSSGTSCLTSMVTENNTEAPKCMGLRLKKNPDEVSLTNSFTVVNAETTDGGNLNVGSTIRKNCDEVLPGSLKEKETENVTTSLGSSVLDSSQLTSSNMSLMPLKGAIVDADVKTNCLHSSTEEKVYQSAVNEDVTKHSDLVSQPNISEERKNFGSGKHMREAIHHTSVNSAGIENVLPSENQKSQSYPFNEGANFFQTGNADPNFRVDVHHRRDIYGPGGMGNSGYEFQRNQSHPFSEGGHFFHLGRAYPNLRAGVHHSMDMYGSGGMGNSEYGLQRDRSYPFNEGADFFQLRRADPNFRPGAHHSMNIYGSGTMRNSEHGFQRNQSYPFDEGANFFQLGRADPNFRAAIHHNMNIYGSGAMGSSEHGFQRNQSYPFSEGANFFQQGRADPNFREGVHHSMNMYGSDAMRNSEHGFRRSYFDHTSTERNEMQEEERTCLSTNHNNDRISPSNLALAYSEKLRMSFPPRHSLVGLRKKKLLILDLNGLLADINEDLHNAHMADAKVRGKLVFRRPYCDDFLNFCIKHFELGVWSSRKRKNVDSVVDILMKDFKPYLLFSWARDKCTMTGRNTLENVHKPIVLKELKKLWNKEEPDLPWKEGEFSPSNTLLVDDSPYKALRNPPHTAIFPQPFSYLNRNDNSLGPGGDLRVYLEKLVSADDVECYVRNNPFGQPFITQSDPHWNFYAEIAGKEYGALACA >SECCE7Rv1G0478980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:244109077:244112380:1 gene:SECCE7Rv1G0478980 transcript:SECCE7Rv1G0478980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGGASPAPGGAGAAANGRFFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALFSYAAIAWLRIVPMQLVRSRVQLAKISALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWITYLTLVPVVTGVVIASGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPIAVILLLPATLFMEDNVVGVTIELAKKDFTIVWLLLFNSCLSYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVSVTGMLGYTLTVIGVILYSESKKRSKP >SECCE6Rv1G0380280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:21333374:21334905:-1 gene:SECCE6Rv1G0380280 transcript:SECCE6Rv1G0380280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L3-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G43030) UniProtKB/Swiss-Prot;Acc:Q9SKX4] MAMAAASIGGALGFLAPRRRGVSFAAAVGRRRPSLAVVRAASYEAGVGVMATKVGMMTYFDPENGKPVPVTVVGFREGGNVVTQVKTAATDGYDAVQVGYHGVREDKLTRPELGHLGKASAPPLRHLQEFRLVAVDAFDPGQALEFNELFKEGDLVDVSAKTIGKGFQGGIKRHNFKRGLMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVRIDNDLKVVMIKGAIPGKQGNLLRITPAKIVGKNIPKS >SECCE1Rv1G0038550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:540753670:540756054:-1 gene:SECCE1Rv1G0038550 transcript:SECCE1Rv1G0038550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPGCAVYIGNLDEKVSERVLYEILIQVGRVVDLHIPRDKETSRPKGFAFAEYETEEIAQYAVRLFSGLVRLHNRTLKFAISGQDKASSNANVPVTPKMNPLPLPNPPQPMRFGDTPVSHHRVVNGRIAGYGVSPIHSYDSHSQASSGVASRGLSDGTYEYSRRVFGSVLNDVSRRADRQPVPYPSY >SECCE1Rv1G0012160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:88018445:88020327:-1 gene:SECCE1Rv1G0012160 transcript:SECCE1Rv1G0012160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-loop-helix DNA-binding domain containing protein [Source: Projected from Oryza sativa (Os05g0139100)] MLLRRSEQGNELEELLWDNGPALRRTASPFPPFSCSAAGTTKAQELARHPASATAMAQGHDDDAVPWLQHYPIIGVDDDGGADTAPLPQEYFSTLFPGFSDLPAPVASRDAGAPSTSHAAVVVRPLTEPAAPPKQQLQQPPPRSTGEGVMNFSFFSRPLQRSLPQASARTSAAGTKSVESTLLQTNRLRSTPLFSEQRTAWLQPPKESPTTVAAPRPPTPQVPVRHAAEPAAALPQRLHPEVRAAALQPEVRAAVLPQRLQSEARASEMPPPPATATTSSVCSDNGERSQLKRSSHQTLEWSVSQEDEDLDDEAGGLRRSAARSTKRGRTAEVHNMSERRRRDRINEKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSTMGTAGMCMPQMLAMQHMQMPPMAHFHHHHLGAMGFGMAPFDPRLVAAAGAAQFPYPMIPGAPMFGGHAMPAPPPFHQAVGAHMVAGPVTNDAATAAQAEHEQTPSDHPQVPHTM >SECCE3Rv1G0170160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:249535163:249537388:1 gene:SECCE3Rv1G0170160 transcript:SECCE3Rv1G0170160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHSSAQEAQAMGSNGGGAKPPAWEFHATGPRNLSSPGRSAWKNPNYRRIAISCLVQSAYVLEFDRQENRTGETAIAPNWWKPFKYKLVRLLIDSRDGSIYGALLEWDQVAALSDWIMRRPDGAPKAVLALRGTVLKQSTVARDLEDDLRYFAQESLRGSVRFAGALEVLKSAIDKHGSNNVCIAGHSLGAGYAMQVGKALAKDGVFVECHLFNPPSVSLGLGLRKLQDKVDKVLKRYISGSSSNAVEISDPGEKGETVSEIGEENLIKEVKRWVPNLYINNSDYICCFYVDRSGVPTVTAEKRGDGHPEARAKVFVIAKGPQKFLKAHGLQQWWMDDSELHLAVNESKLMYKHLKSLYVKET >SECCE3Rv1G0192840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762775572:762781410:1 gene:SECCE3Rv1G0192840 transcript:SECCE3Rv1G0192840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHARALLVVFATTCLSISIATDTIDQAASITGNQTLVSAGGTFRLGFYNPPGSSDARAYLGIWYAGIPVQTVVWVANRQNPVVSSPGVLKLSHDGRLVVVDGRNATIWSSAAPSRKITTKATAQLLDDGNFVLSSDGSGSSQSVAWQSFDYPTDTMLPGMKLGVDLRKGIARNITSCRSPTDPSPGAYTFKLVMGGLPEFFLFRGPERIYASGPWNGVVLTGVQNLNAEGYTFTVVSNAEETYYAYYVSDPSALSRLILDGATGQLQSYVWGNGTWSSYWYHHPSDPCDSYYMCGAFGFGVCDVGQPPKCSCLPGFKPRSPEQWEQRGWSGGCARSTNLSCGAGDGFWPVNRMKLPEATEATVRPDMTLAECRQLCLGNCSCRAYAAANISGGVSHGCVIWAVDLLDMRQYPVVVQDVYIRLARSEIDALNAAANRQRPNMNVVIPVVTTIFGVLLVVAVACCYFLRTKSVTKFQTGIPPSTRGDVFPLGSRKNSALRTKQDRQINESRMSSENDLELPLFDLEVILAATDNFSADSKIGQGGFGPVYMAKLEDGQEVAVKRLSKKSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDQERVLVYEFMHNNSLDTFIFDEEKRSLLGWKNRFEIILGIARGLLYLHEDSRVRIIHRDLKASNVLLDKNMIPKISDFGIARMFGGDQTTAYTTKVIGTYGYMSPEYAMDGVFSIKSDIYSFGVLVLEIITGKRNRGFYDDELDLSLLGYSWRLWKEGRSADLLDEAMGGSIDYSVVLRCIHVALLCVEVHPKDRPLTSLVVMMLSSENAILPEPNEPGVNIGKSTDTDYSQTLTGTSFTGDAMNDR >SECCE4Rv1G0288250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:859229828:859232357:1 gene:SECCE4Rv1G0288250 transcript:SECCE4Rv1G0288250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSSPFLLLPVLLLAAWPLVAAAETFHCIGGGVHKTNSTYGANLRRLAAVLPAETASSQRLQASRAVGHWPNRVRASSRCQPPGVNSSSCAACIAGAFREAESACPYGRKVLVVAGNCTLRLGPGNFSSTSLDLGEGGFAGISSLQDGSTIYLYATGDNSWFRLLGPTTLIFQAIGFAWLFFMLLQEWRDKRRASMMRCSSLPSGDE >SECCE5Rv1G0366990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:818909789:818911686:1 gene:SECCE5Rv1G0366990 transcript:SECCE5Rv1G0366990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIGEANQVHQLQQVQQQQGVAAAESFGVVERSVLAAAATKTRAPGLPPTPPASFAGQRGGGGGDVCMDDSSGAAGRKQAVPAHRRSRSEVPFAGYFPPPTQQLPQPKAEAGGWGDGDDLFSSYLNLEGMDVLNSSSPDSSAKADSSENGDSEECAAWGAKRGAAGEPAMAGRHARSLSVDSLMGRFNFASPGGAGAMFSLEFGSGEFSPAEMKKIMADEKLAEMALADPKRVKRVLANRQSAARSKERKMRYIVELEQKVQILQAEATTLAAQINLLQRDSSAVATQNNELRFRLQAMEQQAQLRDALNDALTGEVQRLKIATVELGIGDSCSSNGMAQLHNLMFQQQQQQQQATPIPFYQLQHQQQQQQQLQQQNGTANKHESRE >SECCE4Rv1G0292890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884098512:884101546:-1 gene:SECCE4Rv1G0292890 transcript:SECCE4Rv1G0292890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARDGGSAPLPCSYAPLPEDAEAAATVGRARRTARPLCAALLLATAAVLLVVATLAGVRLVGQLPATDVAMSGHPTTVDTVPMSDNSRGPESGVSEKTSGAAAHGGMLGADAGSNAFPWSNAMLQWQRTGFHFQPEKNWMNDPNGPVYYKGWYHLFYQYNPDGAIWGNKIAWGHAASRDLVRWRHLPVAMSPDQWYDTNGVWSGSATVLPDGCIVMLYTGSTNASVQVQCLAFPTDPSDPLLINWTKYENNPVMYPPPGVGEKDFRDPTTAWFDGSDDTWRLVIGSKDDRHAGMVMTYKTKDFIDYELVPGLLHRVPGTGMWECIDLYPVGGMRGIDMTEAVAAASNNGGGDVLHVMKESSDDDRHDYYALGRYDAAKNTWTPLDADADVGIGLRYDWGKFYASKTFYDPSKKRRVLWGWVGETDSEHADVAKGWASLQSIPRTVVLDTKTGSNLLQWPVVETETLRTNSTNLSGITVNHGSVLPLSLHRATQLDIEASFRIDPLDIASAKEADVGYNCSTSGGTTGRGTLGPFGLLVLADARRHGGDMERTGVYFYVARGLDGSLHTHFCHDETRSSHANDIVKRVVGNIVPVLDGEEFSVRVLVDHSIVESFVMGGRLTATSRVYPTEAIYANAGVYLFNNATGARVTATSLIVHEMDSSYNQASTASL >SECCE4Rv1G0229920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:155744664:155747748:1 gene:SECCE4Rv1G0229920 transcript:SECCE4Rv1G0229920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGDATESKEHFVLVHGAGHGAWCWFRLLPLLRGSGHRVSCVDLAGAAGSRVDPGSVRSFDEYTAPLVDLLSALPDGEKVILVGHSAGGLSVTHAMHLFSDRIKQAIFIAATMLPFGYQTEQDIKDGAPDLSELGDVYDLAFGLGMDHPPTSAALRPEFQRMILSQQSPQEDSALASILLRPWPAALSAARFGRGGGDSSAIDQVWRVYITTAKDCMVKPEQQEAMIRRWPPSKVVAMDTDHSPFFSAPERLFQLIVKLL >SECCE7Rv1G0519810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865817767:865820079:1 gene:SECCE7Rv1G0519810 transcript:SECCE7Rv1G0519810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQTRVFIVHMLPADASRFFARASATNMMTEGYVWIVTDNIGIVLDVLPQHTFGTMLGVVGFRPYVGKSARVSGFMARFVTRYRAKFHQDPDVRVARPTIFQYWAYDVVWAIASATEKAINLGSSTPGNIGNLVQVSPAGQELLNSIMDGEFDGLAGRFRFVDRQLPVPAYEIVNVIEEKTRRIGFWSPVSGLSPFLNSSTQPVQDERRLHADQVLRTVIWPGDSTTVPRGWDFPVNGKILQIAVPVRRDFKVFVNVEASPNSSELVVSGYNIDVFEAAVKKLPYAMRYKYIPYDCANSYDKLVSEVYFKTFDAAVGDVTIIANRTRYVDFTMPYTESGVSMLVLARKDDDEPTMWVFLEPLTKDLWMATVAFMVVTGLFVWVIEKPINEEFKGSKWRQFSTSFYFAFSTWTFTHDQKFKSLQSKVIVVSWCFVMLVIVQSYTASLSSMLTAKRLQPSVTDPRQLLHNGHYVGYQNGSFVHSMLRRLGFEERWIKVCSTQEEYAKALKLGSKNGGVSAIFDETPYINSFLLQYNKGFQKVGPIDRTVGFGFVSSPLVEDLSKAMLNLIEGPEGSNIEKKWFSDPILSLDYGSPDTDSLRLSSRSFLGLFIINGCVLVLMIIINLSRRACAKSTAKRNTTSASNSEAQPSLNCNGVPAIQSL >SECCE5Rv1G0329170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:513031521:513034604:1 gene:SECCE5Rv1G0329170 transcript:SECCE5Rv1G0329170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRCAAILLLHLMIFHAVAAVAGEQRAGTIVRQQQRRRQVLLEEKATLLALKRSLTLLSPSALADWNESNGDVCGLTGVACDWRRQHVISLSLGGMNISGPVPPVIGNLTRLKILDMSRNFLTGQIPAELSNLRSIQVLDLGRNQLSGGIPPSLSQLASMYYLRLKDNHLSGPIPAILFKNCTSLGLVDFGNNDLSGEIPHDASENIQVLNLYSNRLTGRLPRWLANCTYLYLLDVEDNSLADELPADIIAGKQNLKYLHLSNNHRFSSHDGNTNLEPFFAAVSNCSQILEIEAGAVRMGGWLPSRLGSLLPPNMSHLNLELNEIKGPIPADIGDVINITLMNLSSNQLNGTVPASICLLPKLERLSLSNNALTGMIPVCIGNATSLGELDLSGNALSGSIPSGIGTGLVNLYLQNNELSGEIPANRLAECIRLLHLDLSNNSLTGEVPDMVSGTDIIFLNLSHNQIKGELPRGLSDMQQVQVIDLSWNNFSGTISPQLGLCRELEVLDLSHNLLTGVLPSSLDLLKDLQNLDVSDNSLTGEIPANLTKCSSLKHFNLSYNDFVGHVPTTGVFADFTFLSYIGNPRLCGSLVRRNCQRHRQWYQSRKYLVVMCVCAAVLAFVLTILCAVGAWKIRDWLAAVREDMFRGRCSGGSSPVMKYKYPRITYQELVEATEEFSTDRLVGTGSYGRVYRGTLGDGTMVAVKVLQLQSGNSTKSFIRECQVLKRIRHRNLMRIITACSLADFKALVLPFMAKGSLERCLYAGPPAELSLVQRVNICSDIAEGVAYLHHHSPVKVIHCDLKPSNVLINDDMTALVSDFGISRLVMSVGGVANTADVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVMEMVTRKKPTDDMFEAGLSLHKWVKSNYHGRAEAVVDQALARMVLDQTPEVRRMSDAAIGELLELGILCTQESASTRPSMLDAADDLDRLKRYLGGDTAATFESSLGFSSTVVEDIDD >SECCE4Rv1G0224760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:84147582:84155609:1 gene:SECCE4Rv1G0224760 transcript:SECCE4Rv1G0224760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLELEARDGVRMTWNVIPGTKQDAASCVVPVSAIYTPLNPNPAIPVLPYAPLRCRICRSILNPFSVADFGSKMWLCPFCFQRNHFPQQYSAVSHSNLPTELYPECCTVEYMATAETGPVSPPVFLFVVDTCMIEEEIGYLKSALAQAVELLPDQSLVGFITFGTYVQVHELGFGLLPKSHVFKGTKEIKKDQILEQMGFLSGKTKPTTGVIAGARDGVSAESIARFLLPASECEFMLNSLIEELQKDPWPVSADQRASRCTGAALSVATSLLGICVPGSGGRIMAFIGGPSTEGPGCIISKPLSDPIRSHKDLDKGSAPLYNKAIKFYEEIGNQLVHQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKDSLRRIFQSSDSDLGLSFNGIFEINCSKDVKIQGIIGPCTSLEKKGPLSSDTVVGQGNTSAWKMCGLDRKTSLCVVFDMAKKDSRDAIGQSQNNLFYFQFLTYYQHHDGQMRLRATTISRRWVAGSGSVQELMTGFDQEAAAAVMARLVSFKMEAEVDFDPVRWLDRALISLCSKFGDYQKEAPSSFSLSPRLSIFPQFIFNLRRSQFIQVFNNSPDETAYFRMMLNRENVANSVVMIQPSLISYSFQSGPEPVLLDVSAIAGDRILLLDSYFTVVIFHGITIAQWRKAGYQDQEGHEVFAQLLQAPQEEADSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYDSDTPPPPGGDMIFTDDASFQVFMEHLQKLAVQ >SECCE7Rv1G0494200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:580110443:580112932:1 gene:SECCE7Rv1G0494200 transcript:SECCE7Rv1G0494200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSRETPAVSGKEEVDIEAVAGLRGDDCGVNSQDPAWKRFLRHIGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLSANLGVVTGRHLAELCKSEYPVWVKICLWLLAEVAVIAADIPEVIGTAFAFNLLFNIPVWIGVLIAGSSTLLLLGLQRYGVRKLEFLVAVLVFVMAGCFFVEMSIVKPPAKEVMKGLFIPRLNGSSATADAIALLGALVMPHNLFLHSALVLSRDTPASLRGMNDACRFFLFESGIALFVALLVNIAIISVSGTVCNASNLSPENTAKCSDLTLDSSSFLLKNVLGRSSAIVYGVALLASGQSSSITGTYAGQYIMQGFLDIKMKKWVRNLMTRSIAIVPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSRNKMGQCNNSIYIVGFSWTLGFIIIGINVYFLSSKLIGWILHNSLPIYANVLVGVTLFPLMLLYVASVIYLTFRKDTVKFMSRRELQDIDDTEKAKVANEGGSEDDRVVQSK >SECCE1Rv1G0052400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:661360615:661362006:-1 gene:SECCE1Rv1G0052400 transcript:SECCE1Rv1G0052400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKHWTRVRTLGRGASGAEVFLAADDTSGELFAVKSASTACAAALRREQCVMAGLRSPRVVSCIGGRSARDGSYQLFLEFAPGGSLADQVANGLDERAVRGYVADVAAGLAYLHGAGMVHGDVKARNVVIGADGRAKLADFGCSRKAAADVPIIGGTPAFMAPEVARGEEQSPAADVWALGCMVVEMATGRAPWSSMDGNALAALHRIGYTQAMPEVPQWLSAEAKDFLARCLVRQASDRCTAEQLLQHPFLASAGVDAKPQAVESKWVSPKSTLDAAFWESESDTEEAEQDSSAEMRIRALACPAAALPDWGSDEGWIDVLSAQTEAADAVAVVVEEITNGAESRVLATTLDVECNGYDGVLNAVEACDDSVRHHQLFECLASRELSCTELLLCNRKTNANNLVLAQALCFSYCCSLFHRSPLRHVRLGGRNLHATRPVLLTNTRSRGHDSDSTKSCVNS >SECCE6Rv1G0419890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:673758940:673763382:1 gene:SECCE6Rv1G0419890 transcript:SECCE6Rv1G0419890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLSRSPPRRRRPSPSPLRRGPGYRERVPSRSRSPYRPSYRRKSPSPSSPRRRRSPSPSKSHYKRKRSPSVAGSPVAKSSPHLGSTENKNVVDKQRLEEEKKRRQKEVELRLLEEETTKRVEQAIRKQVEDSLNSEEIKHEIQRRIDEGRKRIHEEVAAQIDKEKVSALVEAQQRAEREKKEREELEKKLEEERKKAEEAQMKVAMEQQQKELERYQELERLQKEREEAMKQKQMEEQQQKQNQMKLLGKNKTRPKLSFTLGMK >SECCE6Rv1G0439390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:796001292:796004388:1 gene:SECCE6Rv1G0439390 transcript:SECCE6Rv1G0439390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTTEPLLLQPPEQQRRRPPDWLAWGLPAAVLILLLLSASGPLRPPLFRVPPPETVPLTLLAGAQETGAVCLDGTPPGYHLQRGSGDGSDKWLIHLEGGGWCSTVKDCSNRRMYAIGSSNFMKPMRFAGAGILGSDQQQNPDFYNWNKVFVRYCDGASFSGDAEGRAQDGSTLHFRGLRIYQAVIDELMEKGLANATQALLTGCSAGGLATILHCDDFSARFSRDVPVKCLADAGFFLDVKDISGKRSFWSVYDGVVHLQNVREVLPKDCLTNKEPTECFFPAELIKSIRTPMFILNSAYDSWQIRNVLVPVSSAPDKSWSSCKDNIRNCNSTQIKVLDGFRNTMLGALNVVEDKDDWGLFIDSCFTHCQSLYGISWNSEISPRLGNKTIAGAAGDWYHGRSHGVKEIDCEYPCNPTCSGQLPP >SECCE4Rv1G0267420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:736067762:736068278:-1 gene:SECCE4Rv1G0267420 transcript:SECCE4Rv1G0267420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQRLAVAKRCSREAAMAGAKAAAVATVAAAVPTLAIVRMLPWARAHLNPTGQALIISTVAGMAYFIVADKTILSMARKHSFDDAPDHLKNTSFH >SECCE4Rv1G0219650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:33077891:33078859:-1 gene:SECCE4Rv1G0219650 transcript:SECCE4Rv1G0219650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIREKRLPQLHLALPVPSRAAAQDLGRRPNPTATKASTPSALSSQFRLADFDKLAVLRRGNGGTVYKVRHRETSALYALKVQHYGDPAAAAEADVLSRTASPFVVRCHSVLPAAASGDVALLLELVDGGSLDSVRSRRGAFAEAALAEVAAQALSGLAYLHARRIVHLDIKPANLLVSAAGEIKVADFGIAKVLARAGDHCTSYAGTSAYMSPERFDPEAHGGHYDPFAADVWSLGVTLLELFMGRYPLLPAGQQPTWAALMCAVCFGEPPVLPDGAASASVAGLLAHPFVAGRDMSVSKCTLRKLVDDASSPSLECRHT >SECCE2Rv1G0105160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:615419276:615420069:1 gene:SECCE2Rv1G0105160 transcript:SECCE2Rv1G0105160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE6Rv1G0386010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:88872623:88875342:1 gene:SECCE6Rv1G0386010 transcript:SECCE6Rv1G0386010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-gulonolactone oxidase 3 [Source:Projected from Arabidopsis thaliana (AT5G11540) UniProtKB/Swiss-Prot;Acc:Q9LYD8] MMAAFVLLLLCSLAPAALSVPPRPPVRCGGDGDAGCVLSNAYGAWSSDRADCPVAAVAYPASERDVVAAVARASAGGMRVKAVSGFAHTIPKLACPGGGGGNGSAASLLISTARYVGVEVDAAARTVTADAGAPLRAVIDAAEASGLSLTASPYWEGVSVGGAVSTGSHGSSWWGRGGALHDHVVRLSLVVPAGVADGWARVVPLAKGDALFPAALVSLGLLGVVSKVTLALEPRFKRSVTYDYRDDSTFQDDFADHAARHEFADIAWYPSQHQAVYRLDDRAPLNATGDGVNDFIGFRSTLIAVSQGVRALETALEGSRNVKGKCAMATAEIAAKRLIGNGLRRKDGQLFTGYPVVGFQGKMQTSGSCAHSPASSPLTACAWDPRFKGLFFYESTAIFSPARFRSFIVDVKKLRDLNPDNMCGVDVYNGLLVRFVRRSEAWLGQPEDSVVVDFNYYRAADPSAVRLSQDVWEEVEQLAFVKHGARPHWAKNRMVAFRSVQGKYPGWGRFAAAKRQLDPRGLFDSPWSDDVVGGKELGKDDGCALDGQCVCSEDRHCSPGQGYYCRTGLVFKEARVCRYSASQLE >SECCEUnv1G0549900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:209858400:209859203:1 gene:SECCEUnv1G0549900 transcript:SECCEUnv1G0549900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRRLAALIITKASSRTRADARTRAHPAVADLHDSTNALLGWTEEPVAASCTWVGDGVEHLVRVLKGLVELPQHPQVTASSWTEQLLNDLLILADAHECFGEVLQSLKQLLAEAQAAQRHHDGARLADAVHARRGSDRIFSRIASTLRAFSRRSYSSTVSTSDSGEATVAEAIAAATCAVAAGSAIIFTWIASSSASSTLRALTSLTASSVTKGMEQLRSLEECIMVTENGCEQVYRALINARTSLLNMLSADYSTSTNLTRFKM >SECCE5Rv1G0317590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:346858212:346866450:1 gene:SECCE5Rv1G0317590 transcript:SECCE5Rv1G0317590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAFDDVPEVVGWMSSMVDRGGRASQESHRLFLARRTALEMLRDRGYSVPESELARTLPEFRAWWAPEPEIERLTFSTFLASDHSDKVKILFCRPEPVKIATIQEIYDRIEGENLSRLILILQGKIMPRAKESVKEKFTFKVDIFQVNELLVNISKHALKPKHEVLTAEEKARLLKKYNVQDSQLPRMLETDPVARYYGLGKGTVLKVTYDSELTGNHVTYRCIL >SECCE4Rv1G0273570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:778161310:778167658:1 gene:SECCE4Rv1G0273570 transcript:SECCE4Rv1G0273570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATGAMGSLLPKLAELLKEEYMLQTSIKKEVNSLEKEMKSMQAALCKVSDMPRDQLDIQVKLWAGEVRELSFDMEDVIDKFLVRVDGGSELAANSNKLARLIKNMVRLFTKGKARREIATAIKDINKQVQEVANRRGRNAVDNNIVGRPTIVTPVDPRLRVLYTEVTELVGIVGQRDQELMKLLSEGDILSKEKLKIVSVVGFGGLGKTTLVKTVYDNIKQDFDCCAFVPVGRNADAKKVLMDILVDLGMCGSNLTLWNENQLINKLRENLQNKRYLIVIDDIWDGKLWTIINFAFSKSNKFGSRLITTTRIVSVSKLCCSSSTDSIYQMEPLSDDDSKRLFYKRIFSGESGCPPEFDKVSTNILKKCGGVPLAIITIASLLASDQCIKAEHEWHVLLESIGRGLTENPSVEEMRRILSFSYYDLPPHLKTCLLYLSMFPEDQEIKKDGLIWMWIAESFVESGNGRISLFEIGETYFNELVNKSMIQPVYDKESVIGTICACRVHDMVLDLICSLSREENFVTILNGAGAMSSQSNVRRLSLQNVSKEEHEATPLESGRILQVRSIATFEPAICVMPSFSSFVVLRVLDLTKCHLGPSCHLNLRELGSLFHLRYLGLANTGISKLPEEVGKLQFLQVLDLSNNYDIQELPSTISKLGRLMCLLISHYCKRFPDGLGNMSSMEVLRDINGDTLRIVNELGKMKKLRKLAITFGNLSLELEEAFVKSLGEMANIQSVSIGFDFKFVDILGERWVPPRSLQEFTTFRDVKFSTLPAWIRNNPMDLPQLSNLSIFVEEVGQDDLRSLGKLPALCNLALWSLRRSKLLVIGADGFHCLTSFTSFSGSPGQIVFQPGALLKIEVIVIDIGLHVTREEAVGNIGNCFDLSMENLPSLRDVTVRFDRSGVTVGEAKQAEATLGNTLRTHPNRPTFEISIRPSIPEDAPDNEVHIEEE >SECCE6Rv1G0449200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:860748721:860749446:1 gene:SECCE6Rv1G0449200 transcript:SECCE6Rv1G0449200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCMQNVGKNIPLSKQVRYFASTKAEMEAAWGRHEVSKLLARSFFLLSIGNNDLLLSTPKSHDDAVALYTTLVSNYSTAMTDLYGMGARKFGIINVGPVGCFPWVRLLNATGACHDGLNRLASGLAAAFKSGLATALVPTRLPGLKYALADSFACSRAIFDNPQASGFQNDDSACCGSGRLGAEGDCNRNAMLCSDRDAYAFWDYVHPSQRAAEVAAQELFDDGPAQITAPISLKQLAYEK >SECCE6Rv1G0427290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:718428411:718429937:1 gene:SECCE6Rv1G0427290 transcript:SECCE6Rv1G0427290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERTEACWSRRAWALLSAVRERAPLVQCITNLVSMDIAANALTAAGASPAMIHSLREIPDFTPRCHALYINVGTLSEDWLPSMRAAASAGHPWVLDPVAAAASGFRMEACLELLALRPAVVRGNASEILALAARSDSSSSSFKGVDSSHASGEAVQAAKALARSSGAVVAVSGAVDFITDGEQVVSASNGVAMMQKITATGCAVTALIAAFVGADPSDALAAAACALAIFGLAGEIGMESAKGPASLRMHLIDALYGLDEQTVTSGVKIALVP >SECCE3Rv1G0195740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:801947943:801957050:-1 gene:SECCE3Rv1G0195740 transcript:SECCE3Rv1G0195740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRERPRRRPSPDPVAVLRGHRAAVSDACFHHALPLLFSGAADGELRVWDTASHRTVSSIWAHGGAAGVYSIASGAGLGNTIISQGRDGLCKGWAIEEAGLSRRPIFTIKTSTYHFCKMSLVKVPCSAHGTQTSLSGSISGTEPQRVPTEDNTGSDGVNLTEGTQEYEQGSSLDGQNILAIAGQESSEVELWDIKNSRKIMCLPQRCSANMTGHLTKKKGLCMAVQAFIPCESGGYVNILSSYEDGSTLWWDVRKPGSPLSSMKYHLESALSIAIDGLCTGGISGGADNKVAMFALDHQQGTFSLRNEIEIERPGIAGIAIRPDNKIAATAGWDHRIRVYNYNKGNALAVLKYHSATCAAVTFSHDCKLLASCSADTTVALWELYPPKTPSKVLMATEEVER >SECCE3Rv1G0178340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:498981752:498986334:1 gene:SECCE3Rv1G0178340 transcript:SECCE3Rv1G0178340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASLPLATSRFLSPPAIAASCSRTRGLPFVRAANQALEARKVPKAQRPPPGRNAVAEVKAAPDPVAALTRLEDVLQTQDCNIILRHYGEIRRWDALSKVFGWMQEHDMLNIASYSSYFKYLGLSRNAAKALQVYGSIQDQSIRVNVSVCNSLLGCLVKNGRSDSTFKLYDEMIRGGLSPDLFTYSTLLSGCMKLKHGYAKAMELINGLNSRGLQMDSVIYGTLLAIFASHNYCEEAEVYFQKLKDEGHNPNLFHYSSLLNVYSVNSYYEKAELLMKDLRSSGLTPNKVILTTLLKVYSKGGLFEKAKELLTELEASGFAQDEMAYCILIDALAKGGKIWEATMVFNEMKEKGVKSDGYAFSIMISALHRGGYRKEAKKLAKEFEDQNATYDLVMLNTSLRAYCNTYDTESVMRMLKKMDELNISPDDITFNTLIRYFCKAKIYHLAYKTIVDMHTKGHQLNEELCSEVMVQLGEAGFPSEAFSVYNMMRYSKRTVCKSLHEKILGILVPAGLLKDAYIVIKDNGESISPRSLEKFATQFMISGNINLINDVMKALNQSGWRISQETFGRAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLKNAQLFGQKQLIAEILSKQQAASRITNKLID >SECCE5Rv1G0360810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:775391456:775396624:1 gene:SECCE5Rv1G0360810 transcript:SECCE5Rv1G0360810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPEQDPPHEPPPAEAEAAAPPARRTRPPRACSRPLAPPAPYPPPPPRRPGPGRPRKIVEEEREEAEPEPEAPQCRVVTPLVAEPADPGELPRWRLRCMWELASVLNFIQVFRPLLNIAVEFTAEELEDALLVPNGTLEYLHVPLLKSIPPVARMSMADGKWVTVLCRKLKDWWHLVAKGNLPIVASHGAEIETYKELEPATRLMILKAICDIRCEQDDVRIFVDSCLKKGYQLPDFCKERIGGDSHGISYWYDEDPILGHRLYREIRQVEYVKDETRKSKRKGFLGVPVVSYQWETVATNFVEFEAAAEKLFSSSNRTEVSLGKKLKLNYLPEMEKAHKKKEKLLKKQQREALLLNSYLTFDRFTSGRSHRERKRVTYTFDDYDRSINEALKAIKKSENPVQVVATTNRGVLVPTREASSNGTLAGPSPVCNGPRGESPLKSYSYQGSGGEEKAETLDRRSRQRKRSQRYTTDFVENVSDIDTNFDSDDDIMGEAVYDEEYLRSRKQPKASTSEYDGEFRTEDQVEYSLSSEDEEGIQRSKRLPTRSPQGARLKSMDVIQTGIKRNKRSARPHVSHQRYSGKDTELGKPGEPNASGPDAASGALDSAKTSIKSQEQRLLHIVKMHAPGREESKVAGGRFLHLNEIAPVGGFDGAPVQS >SECCE7Rv1G0507370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:761115780:761117355:-1 gene:SECCE7Rv1G0507370 transcript:SECCE7Rv1G0507370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKQAGVAASGGCKGKPHAVVVVYPMQGHIIPETQLALRLAARGFVVTVVTTEAVHDQTARALGVDPAGHDAFAGARSAGMDVRCELVSDGLPVGFDRSRHHDEFHGSLLLALSGHVEELLGRVVVDPAATCLVADTFFAWPATLARKFGIPYVSFWTEPALIFNLYYHVHLLTKNGHFGCNEPRKDTITYIPGVPAIEPHELMSYLQETDTTSVVHRIIFKAFEEVRGADYVLCNTVEELEPSTIAALRAEKPFYAVGPVFPAGFARSAVATSMWAESDCSHWLDAQPAGSVLYISFGSYAHVTKQELREIAGGVLASGARFLWVMRADIVSPDDPDPLPEGFAAASAGRGLVVPWCCQVEVLSHAAVGGFLTHCGWNSVLESVWAGVPMLCFPLLTDQITNRRLVVREWRVGVTIGDRGAVFADEVKARIERVMSGKEGEELREAVKKVRATLEAAAAHGGSSQRSFDEFVVELTRRCGGC >SECCE7Rv1G0481050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:278835615:278835971:1 gene:SECCE7Rv1G0481050 transcript:SECCE7Rv1G0481050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADYDRAYRPYAPSSAADYDRPYRNEIVPYGDRRIDLVVKPPPLPPTRSPPPPLPVTKSGGGGGIGSAWCFSDPEVKRRRRVASYKAYSVEGKVKASFRRGFRWIKDKCTGFIHGW >SECCE7Rv1G0491650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:531404857:531406255:1 gene:SECCE7Rv1G0491650 transcript:SECCE7Rv1G0491650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSNKLMRPTGFLDITSDRISSLPDEILHHILSLMTAREAVQTCVLSTRWRDFWMSLRRIEVEAQEFTNKERFVMFMDNLLLRRGLVPLDSFCLMGWSDDFSLNHPRANLWVCHALRSNVRVLEIFSRKLFNLEYSPFISSHLKILNLRDVSIAALFIEKLFSGCPQLEELSLVDCRVPATKFSSRTLKKLTFIAHTPYGADDVHTDFEDLVIDTPSLVSLHLEDIPLLTPCLVNVSSVIKASFRLDEECFSSPYVNCNIISALSNETVSKVVRRDLWRCQAFDNLKTLSVSGWCIDDDIRALVYFLQRSPALEKLTLCLSQVGTSDRLQRRDNMESKETNTSFNCEHLKKVKIRCPQGDKRVGNILNVILASASLPEIVINPYEGWDPLE >SECCE3Rv1G0145760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:8290434:8293646:-1 gene:SECCE3Rv1G0145760 transcript:SECCE3Rv1G0145760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGFFSSSSSRAHHRVAWVLLCLCMLLCRVHGGPSRKVYIVYLGDVKHGHPDHVVASHHDILTTLLGSKEESSASVVYNYKHGFSGFAAMLTPEQAEELAEFPEVISVEPSRRHKASTTRSWDFLGLNYQMSGSALPHGTNYGEDVIIGVIDTGIWPESRSFSDEGYPPIPSRWKGKCQLGPDWDKNNCSRKIIGARFYDTGVSEEALKTDSFSPRDHSGHGTHCASTAAGSAVQAASFHGLAKGVARGGAPHARIAVYKTLWGADGFGDTSAFLAAIDDAIHDGVDVLSLSVGFPDENSFGALHAVQKGITVVYAGGNDGPRPQTLENTSPWVITVAASKVDRSFPTAITLGNNQHILGQSLNYHVVNSSAGSSHFTGLVSDECTIASLNATAKDVKGKILLCSPLPDDPLAIAPGIIFNNALQYVRNGGGSGLIFAQYTTDLLGVCQGIACVVVDLDTGKKIKKYILGTSSPMAKIELARTVIGKEISAPKVASFSSRGPSPDYPDIIKPDIAAPGANILAAVGNSYVFMSGTSMATPHVAGIVALLKAQHPDWSPAAIKSAIITSAHVTDERGMPILAEGLPRKIADPFDYGGGNINPGGAADPGLVYDIDPRDYNKFFGCTIIRRANVSCDATTLPAYHLNLPSIAIPELRHPITVWRTVTNVGDVDSVYHAEVQSPAGVRMEVEPPVLVFDAMNKVHTFKVKLSPMWKLQGDYTFGSITWHNEQRAVRIPVAARITVQDFYADVA >SECCE1Rv1G0060890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:712574613:712575038:1 gene:SECCE1Rv1G0060890 transcript:SECCE1Rv1G0060890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLAEIGGFVAAFLIRCCGCEPEAEQGHAVAAAGGTRPAPEPAAVRVSEPQQQLVYFSYPAAAGAEGGGQTPAGASVVVCPICLEALVGGAECSEVPACRHVFHRGCLALWIKSKSTCPLCRRPVVPESEPLSAAEAMV >SECCE1Rv1G0028740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:406074086:406075768:1 gene:SECCE1Rv1G0028740 transcript:SECCE1Rv1G0028740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSCPAAAGDAPTGTAEELLERARGLVPAALDAAHAATGFGGRWKAIAARLEKVPPCLSDLSSHPCFSKNALCRELLQSVAATLAEAAELGVLCREPPKAGKLQMQSDLDALAGKLDLNLRDCALLVKTGVLSDATVPSPPAEAAAAAVSQTDVRELLARLQIGHAEAKHRAVEGLLDALREDEKSVLSALGRGNVAALVQLLTATAPKIREKAATVLCLLAESGSCEGLLVSEGALPPLIRLAESGSLVGREKAVITLQRLSMSPEIARAIVGHSGVRPLIEICQTGDSISQSAAAGALKNLSAVPEVRQALSEEGIVRVMISLLDRGVVLGSKEYAAECLQNFTSSNDNLRRAVVSEGALPSLLAYLDGPLPQESAVGALRNLVSAVSPDNLVSLGVLPRLAHVLRDGSVGAQQAAAAAICRISSSPEMKRLVGDHGCMPLLVRLLEAKSSGAREVAAQATATLMSCPANARDVKKDEKSVPNLVQLLDPSPGNTAKKYAISCLLALSASKRCKKLMIAQGAIGYLKKLSEMDVAGAKKLLEKLERGKLRGLFTRK >SECCE2Rv1G0111370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:700154717:700174388:1 gene:SECCE2Rv1G0111370 transcript:SECCE2Rv1G0111370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRARYPPGIGNGRGGNPNYHGRGPPPTQQHQHQQPPPPQQQQAQGHQQQHMQRQSQHSQHHSQQLQHQQWLRRNQTAGEAAAGAARASEHHAPAAADDTDLSSQDWKAQLKLPPPDTRYQTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLHQPVHLLVGTPGRVLDLTKKGICILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPSSRQILMFSATFPVTVKAFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDRTIYCQ >SECCE4Rv1G0285200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843250951:843252669:-1 gene:SECCE4Rv1G0285200 transcript:SECCE4Rv1G0285200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGERKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYIAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSAAAAEKEVLRALLRATSE >SECCEUnv1G0569460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:443058896:443059255:1 gene:SECCEUnv1G0569460 transcript:SECCEUnv1G0569460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVWLEVEANQYTAALGPILFECLVHPMLGGTTDLKVIDANLVKMKNVLAVYEARLTSTKYLAGDCLSLADLNHASVNLCLAATPYASLFDAYPHVKAWWTDLLARPSVQKAVALMKP >SECCE5Rv1G0366520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:813587286:813589015:1 gene:SECCE5Rv1G0366520 transcript:SECCE5Rv1G0366520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQADRRPPGGLDGLYGVQLVGRSPYSDDEAIKTSIMDSSAMEQQGGVGMTRSLKIKQLWRQRPPCLKPIHCSLTCDKNVAETVANVVTSLPFIFLGLQTPRKTLNTALYANSLIGVGVASSLYHTSRGEIRKYMRWADYTMIATTTLCLTRALRDEHPRLLMAASTLLLPFQPLMVTALHTGMMEVSFAKRASTDPELKTAHNLHRMSSLLGGALFIADDVFPQTPYIHAAWHLAAALGVCTCNKLLE >SECCE4Rv1G0239140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:395184576:395186104:1 gene:SECCE4Rv1G0239140 transcript:SECCE4Rv1G0239140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFEKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQQALSKSGGSAHGSPGPSRTKKIFVGGLASTVTETDFRTYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPSPSMRSPAGGINYVMSRANSFLNGYSQGYSPSPVGGYGMRMDARFGLLSGGRSSYPSFGGGYGIGMNFDPGMNPGIGGSSNFNNSVQYGRQINPYYSGNSGRYNSNISYGGVNDNSGSVFNSLARNLWGNSGLNYSSNSANSNSFISSANGGLGGIGNNNVNWGTPPVPAQGANAGSGYGSGNFGYGSTENNFNLSPGAYGRNPGSGGINASLNQSSNGYGRNFGDSSAGGGGSIYGDTTWRSGSELDGTSPFGYGLGNAASDVTAKSSAGYMGH >SECCE1Rv1G0010850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:66332589:66332822:-1 gene:SECCE1Rv1G0010850 transcript:SECCE1Rv1G0010850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCLILHNMILEDERGLNPHCFYDNVGTRVQPERNPSRIHAFLQAHREIEDATTHGRLRDDLVEHHWQLDGRRIGP >SECCE6Rv1G0434140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763962453:763963118:1 gene:SECCE6Rv1G0434140 transcript:SECCE6Rv1G0434140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYVKSPTGRTICLKVHASDTLYSIKAKIQEQYRLVFDGEQLEDNLTLADYGIKHESTIDLQEKMQIFVMETHTGRTIPLEVDSIDSIGTVKTKIQYMKGFPTNLQCLIFRGKQLEDDGRTLAELNIHKESTILLVLHPRPRGGMVIFVKTLVGKTHAFEVESSDTVESVKVKMYQKDGAPPIQQRLIFAGIELEDKRTLAEYKIQKEDTLHHLLCLCGC >SECCE2Rv1G0133060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894926116:894926388:1 gene:SECCE2Rv1G0133060 transcript:SECCE2Rv1G0133060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKAKANVRGGVLQGPKKLPASAAAVAERRRAEKAEEGLRTVMYLSSWGPN >SECCEUnv1G0556670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:313611111:313612376:-1 gene:SECCEUnv1G0556670 transcript:SECCEUnv1G0556670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVTKSWPLLVRPSTEQTTADHIKVSSFDKPLAFSAFSSFHVFDRAIHEPAETIKRALSRALDHFRLIAGRVVVGDDDGELSIACTGEGVEFVAATANWALEDVKLFDPPFAGLLKDLAVDYPEARCCVSDPLLLMQVTEFSCGAFVLGVTWNHVVADGTGISLLLRAVGELARGLARPSVSLTTCADHLMPDFPPLAAAIVKAMLGQLEPQDYPYLDITVPMSTIDRIKAELGDELGAPCTVFEAATAVLWQCRSRAIMPDGDPDSAAPLVFAANARRHVGAEDGYYSNCVTTHVAAPPPTMREAAQGDMKDLVKLIRGGKDQVPGTLAGEEVDGVPAVETLFGYNALFVTSWRNLGLEATDFGGGTPARVMGHVGPRSVPACVACLPCRDKDGANMLSRFVKEEHRGAFLTELAKFT >SECCE6Rv1G0409030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:580599635:580603399:-1 gene:SECCE6Rv1G0409030 transcript:SECCE6Rv1G0409030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-oxoprolinase [Source:Projected from Arabidopsis thaliana (AT5G37830) UniProtKB/Swiss-Prot;Acc:Q9FIZ7] MGSVDKFRFCIDRGGTFTDIYAEVPGRPEGYVMKLLSVDPSNYDDAPIEGIRRILEEFSGERIPRSSKIPTGMIDWIRMGTTVATNALLERKGERIALCVTQGFKDLLQIGNQARPNIFDLKVLKPSNLYEEVVEVDERVELVLDGERDDSSIEGISGELVRVGKPVDVDSLKPLLKGLLDKGIRCLAVVLMHSYTYPHHELLIEKLALEMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSAFMSRFEGGAEQVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFELETTKPLIGFDMGGTSTDVSRYDGSYEQVLETQISGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPESVGAHPGPVCYRKGGELAITDANLILGTVIPEYFPSIFGPNENLPLDYEATRKAFEELAVEINSYRKSQDPLVKGMTIEEIALGFVNVANEAMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAIARSLGMSELLIHRYCGILSAYGMGLADVIEDLQEPYSAVYNTDSAAEASRRVAHLVKQVKEKLVEQGFGDESIRTDSYLNLRYEGTDTAIMVKEPEKESGCDYADEFVKLFQQEYGFKLLHRKILICDVRVQGVGATNILQPRELTPISTKPVQESSCKIYFSHGWQETPLYKLENLGYGHVLEGPVVIMNGNSTVIVEKDCKAVITKYGNIRIEIGASLSTVEISETVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGSDGGLVANAPHVPVHLGAMSSTVCWQLSYWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFNDGKLIFFVASRGHHAEIGGITPGSMPPFSKCISEEGAAIKAFKLVERGVFQEEGIVQLLQSPSSDELTKEKIPGTRKIADNLSDLRAQVAANQRGITLIKELINQYGLITVHSYMNHVQKNAEVAVREMLKVVASRVEKETGSCVIEDEDYMDDGSVLHLKLTLDSHRGEATFDFEGTSPEVYGNWNAPEAVTAAAVIYSLRCLVDVDIPLNQGCLAPVKIIIPKGSFLSPSDKAAVVGGNVLTSQRVTDVVLMAFEACACSQGCMNNLTFGDDTFGYYETIGGGCGAGPSWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHRFSIRESSGGSGFHRGGDGLVREIEFRRPVVVSILSERRVHAPRGLKGGENGARGANYLVRKDGRRVYLGGKNTVTVNAGEILQILTPGGGGFGSP >SECCE2Rv1G0078820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:112442830:112443063:-1 gene:SECCE2Rv1G0078820 transcript:SECCE2Rv1G0078820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRIGP >SECCE5Rv1G0373170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856490291:856490707:-1 gene:SECCE5Rv1G0373170 transcript:SECCE5Rv1G0373170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLALLLLATTLTVSPVSGCGYNCPSPSPPSPPPPPPPPPSPPPPAYTLPTPPPSTSSGSCPNLQVCVNVLSLPILSLGLFANECCPLLYGLADVQATACLCDVLGGVLGLRLDVLVLLNQCNIPCQSNYTCPR >SECCE3Rv1G0192070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:754683623:754687639:-1 gene:SECCE3Rv1G0192070 transcript:SECCE3Rv1G0192070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLDPRLYEDASVSDNDVRNIVLSYLMHNCFKETAETFLSSTGLKLPVDYTVDVDKRKAILNFVVEGDAVKAIELTKELAPNLLENDMDLHFDLISLHFIELIRSRKCTEALEFGQKKLTPFGKVSKYVEKLEDFMALLAYEEPEKSPMFHLLAPEYRQNVADSLNRAILAHANLPAYSSLERVIQQSTVVRQYLHQDVDKDSYPPFCLKAFLDK >SECCE6Rv1G0447150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:849125451:849137311:-1 gene:SECCE6Rv1G0447150 transcript:SECCE6Rv1G0447150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAENKTDIGLYAGLLGASYMAGRCFASIFWGVVADRIGRKPIIAFSLFTVVIFNTLFGLSTKYWMAITTRMLLGSLNGMLAPIKAYSVEVCRPEHHALGLSIVSTGWGIGLVIGPSIGGFLAQPAKQYPNLFSEKSIFGRFPYFLPCLCISLVALVVLISCIWLPETLHMHKNLQREVEMVDDLSSAPSGEAYTNSEKSLYRNWPLMSSIIAYCVFTLHDTAYSEILALWAVSDKSYGGLSLASKDVGQILAVSGAGLLAYQLLVYRHVHKYLGSIISSRIAAALSIPLLAAYPFMSKLSGTRFGLAIYFATILKGTLATTILTGTCILQNNAVLQNQRGAANGISTTAMSLFKAIAPAGAGALFSWGQKRQNGYLIPGDHMIFLILNLVEVVGLVLTFKPFLTEQKQYYSR >SECCE6Rv1G0441400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810711905:810712989:1 gene:SECCE6Rv1G0441400 transcript:SECCE6Rv1G0441400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAGVEGRSRGSPSPMDKADECAKKARLDLPDGHSVKQELVAHDAAAGGGAIVAAAEHSPRAELAVKIDMCVLHCPLCTLPFKPPVFQCKGGHLACGGCVAQQPSGQCGACADGCGFFDPCPALDAVVSSTRIQCPNAGCQRYVTYHEADEHRGACPHAPCRCTEPGCAFVGAAPLLAVHLNAVHAVPVRAVHYGKVSRFQVPVSTPRLLLVGEDDGRVFVLTVGALGAAATALSVVCARGSAATRPRFTCKMWVNLAASAAANGGKADIVLVEMQMRSSTSPGAVVAAGEPTFLAVPPVYLVPGADGGDGAAAMEVPLNVRIDKIAPWSD >SECCE6Rv1G0407070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:553858138:553859471:1 gene:SECCE6Rv1G0407070 transcript:SECCE6Rv1G0407070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGRLIFNTSGSGNGQMLFMDCGAGAIAGAAGMFHRGGRPVLGGMEEGRGMKRPFFTSPDDLMEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKTLERDFDRLKASFDALRADHDALLQDNHRLRSQVVTLTEKMQGKEAPEGSFGAAVDASESEQATAEAKASLADAEEQAAAAEAFELQQQQLHVKDEERLSPGSGGSAVLDARDALLGSGCGLAGVVDSSVDSYCFPGGAGADEYHECVVGPMAGGNGNGIQSEEDEGAGSDEGCSYYPDDAAVFFAAAQGHGGHHHTDDDDQDDGQISYWMWN >SECCE2Rv1G0080280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:128836303:128841283:1 gene:SECCE2Rv1G0080280 transcript:SECCE2Rv1G0080280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAADTSQASTTGLALSEASINWERLDKTKFHVIGAILFTAQQGVLHPIAVVKTRMQVAEGGLSHMSGFVVFRKILRSDGIPGVFRGFGTSAVGALPGRILGLTSLEISKEMAFKYSEHFNMSEASRIAVANGVAGLVSSTFSSSYSVPLDVVCQRLMVQGLPGMQTYRGPFDVINKVVRSEGLRGLYRGFGITLLTQSPASALWWSSYGGAQHAIWRSLGYGNGTQKKPSHSELVAVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGSGRPSVMKTTRLLLREEGWRGLYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKPEQ >SECCE2Rv1G0090270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:274198880:274204631:-1 gene:SECCE2Rv1G0090270 transcript:SECCE2Rv1G0090270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPSPEPERSYPARRRGGGGGNHVLSSRCRSPSPPPRRSLRPRRAAAASSRPLVDDFFPFPSSPSSSPPRPQHRRAPSPEPSSSDSDGGGVAGSSASDRRRRKLKLVVKLSQLPPDQHHRRAPPPPPYSDSSEGEERPAGGGEEQVKPPKKRRIESRDDRSRSREVAGRSDAASAPRTKRLPVPGMARTTPLPDRQALDMILDKLQKKDTYGVFAEPVDLEELPDYNDVIEHPMDFGTVKRKLARNAYRSFEQFEDDVFLICSNAMVYNAPDTVYFRQAHSIQELARKKFQELRDEGIPTENQVKSEQKVGPIPCNRGPIKKPVLRYSDDDLDFLTRKEQIKRPNAKISGADISFRDQVKKPVSRNSESNLSSSFHKERVKKPIFRNSENNLSSSFQGEQVKKSISRNSEDDRSSSIHQVKVRKAISRNSEDDRSPSFQQGQVRKAISRTSENDRSSSLPQQQVKKPTSRSSKDGLSSQKTHIGKPVCRNGEDPDCSLDKEPSENPSSANEEDLGLSPEKLVEEPSCRYRDDMDYSRQTESAREPFCRDGQDDLGNSCNEEIAKPFCLNDQDALGSNVSPATVVSAGDGSDGLSVSQANGAEPPECTAADGCSDKDMSSPVDEVRSEKTDDTSARDYSAKPSHKSFVVDETRRKTYHEHEDQPSSDSDLIFDVFCAEPKELISVGLHAEHSYARSLARFAGSLGAQGWRIASERIQQTLPAEVRFGRGWVGEYEPPLPPILVMQDQLQSQVSSDTNMQRNASVPRDNERLRPTESINSKDMSLSLLNRITTVNNVIGVTGSLESPELKPRLFGVSAEPQHRNTDALPLHDKHRASRNVVKTKRATNDQVRKGRHSSNACPPEVQPQWSECSKGASSSVLDVPAVNKMAGHRPFFQPPEAVRAHQMKKSDSSQSAQCTKGPGVHDMLSPNNSIGHSKPFFQSQEAVAPQPKNEATWVYHGRPGDAKFGTSEKSRQTSSIGFITKNEPVNANGFAMNASSQNNICDHAKSMVPTTVPSHVNAPNRGFDASRNIFSAFPTAVRENQGIPSSPVAQSWISFGASSENKPTIVSPNFNDSNSGWKMPFANVRTCDETKISAVPQFFRQPVQVPRESPGQNKGLVIFPQLVQTDFSRSQGQSQWQGLVPHMQQKPNMDMLRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >SECCE3Rv1G0190030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:733879602:733884977:1 gene:SECCE3Rv1G0190030 transcript:SECCE3Rv1G0190030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRNRRAPAAAAAAAEQPPIQASYNIIPIQDVVMHGQHPSLRFPEVRAAVEAMAHAEDLPQPPLMRAWDFRRADLFDWLGATFGFQLHNVRNQREHLVLLLANAQLRAGGTLPHDHPADVLHSSVARAIRKKLLRNYTAWCAYLGQRPHVHVPSAGRRTGASATVGVDTRRDLLYTALYLLIWGEAANLRFMPECLCYIFHYMSLDLSHVMDCSIDVETGRLAIPAVCGEEAFLNRVVIPIYTVLKAEVEASRNGTKPHSAWRNYDDVNEYFWSRRVFKKLRWPLDPSRGFFVPPGDIGRVGKTGFVEQRSFWNIYRSFDRLWVMLILFFQAAMIIAWEGSNAPWKSLSHRDIQVRVLSVFITWAGLRFMQALLDAGTQYSLVSRETKLISVRMVLKAFVAAGWTITFSVLYVRMWDQRWRDRRWSFAAETRVLNFLEAAAVFVIPQVLALVLFIIPWVRNFTEKTNWRILYVLTWWFQTRTFVGRGLREGLIDNIKYSIFWICLLAAKFSFSYFLQIKPMVSPTKTIFSLHDIRRNWFEFMPHTERIAVIILWLPVVLIYLMDIQIWYAVFSSLTGALIGLFSHLGEIRSVGQLRLRFQFFASAMQFNLMPEEHLDKLHGGIRSKLYDAIHRLKLRYGFGRPYRKIEANEVEAKRFALIWNEIILTFREEDIVSDKEVELLELPPVVWKIRVVRWPCLLLNNELLLALSQAKELVADDRTHWGRISSIEYRRCAVIEAYDSIRQLLLEIIEERTDEHVIVNQLFLAFDNAMEYGKFSDDYRLDLLPKIHSSVITLVELLLKEKKDQNKIVNTLQTLYVLAVHDFPKNRKGIEQLRQEGLAPSRLTESGLLFEDAVRLPGENDLSFYKQVRRLHTILTSRDSMNNVPKNPEARRRIAFFSNSLFMNMPRAPTVEKMVAFSVLTPYYNEDVMYNKDQLRRENEDGISILFYLQKIYEDDWANFLERMRREGMVSDDDIWAGKFQELRLWASYRGQTLSRTVRGMMYYYRALKMLAFLDTASEIDITEGTKHLASFGSIRHENDVYPMNNGLQQRPQRRLNRGASTVSQLFKGQEDGAALMKYTYVVACQIYGNQKKGKDPRAEDILSLMKKNEALRVAYVDEVHHEMDDIQYYSVLVKFDQDLQREVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLQQYNYYHGSQKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGLSKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDIYRLGHRTDFFRMLSVFYTTVGFYFNTMLVVLTVYTFVWGRLYLALSGLEAGIQGSANATNNKALGAVLNQQFVIQLGLFTALPMILENSLELGFLPAVWDFFTMQMNFSSVFYTFSMGTKSHYYGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGIILTVYAVHSVIARNTLVYIVMMISSWFLVVSWIMAPFAFNPSGFDWLKTVYDFEDFMTWIWFPGGIFSKAEHSWEVWWYEEQDHLRTTGLWGKILEILLDLRYFFFQYGVVYQLKIADGSRSIAVYLLSWICVAVIFGVFVLMSYTRDTYAAKQHLYYRVVQTAIIILGVLVLILFLKFTEFEIIDIFTGLLAFIPTGWGLISIAQVIRPFIESTVVWGSVISVARLYEILLGVIVMAPVALLSWLPGFQEMQTRVLFNEGFSRGLQISRILAGKKTNTV >SECCE6Rv1G0390400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:182908064:182908549:-1 gene:SECCE6Rv1G0390400 transcript:SECCE6Rv1G0390400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLKMNAKAAAPPLLLLLVVGCHAFSAHGDGADGSGGGRRELRDGGGGGSKAQVAGLCVDSPCDADPNRTCFCCTRLANEPCYDTLRQCFSVCPNCNFPVCPPPAAMAGRRTRFVLAPASA >SECCE2Rv1G0088110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:238919877:238920095:-1 gene:SECCE2Rv1G0088110 transcript:SECCE2Rv1G0088110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVMANKAYMAVTLGAAMELKEQVAKPCSAAAKRSLPVVAVRSSAVKVDGVPVAAEESLRMVMYLSCWGPC >SECCE7Rv1G0470910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:125318928:125320109:-1 gene:SECCE7Rv1G0470910 transcript:SECCE7Rv1G0470910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRPAAALDAGQATTTTTQQSPACCKRSRTRIRSTAEYEEETCLGKGGFGCVLLARHRDTRKIVAIKYLNRPVGSQQPLAAAELLREARFLEACDGNPYVVGFEGLVRDPDNGAFGLVMEYVAAPSLHKFLRNSRGGQPLPESTVRAIMWKLLTGAKTMHGRHVVHRDIKPGNILVGQDGELVKFCDFGLAISMSELPPYNQAGTPFYVAPEVLLGQRDYDALVDTWSLGCVMAEMLTGKTLFLGDDEDDARDNEIIQLWSIFRLLGTPDERTWPGFTSLPLTGKALKLLPPGHQHNKLRDLFPLEKLSEHGFQVLEGLLTCNPNQRLTAATALKHRWFAAPRPAAAAPKVDALPFPKKKAPRIKFVPSAMPQKNLLKIPLAVWKAAQQV >SECCE1Rv1G0047680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:628092655:628095717:1 gene:SECCE1Rv1G0047680 transcript:SECCE1Rv1G0047680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECADILIAAGRRSTSPSPSSSWQPGRQWRKALTVIRTCHRLARLGILSARVLPRGNSSYVAIKIHHDGDSDGDSSGNANAAAFSVAADDELFKGLVKEKREDCFSRLGGGAGIASALASHAERGIRGDGDDVRRRRESFGANTYPKPRPKSFFSHVWDALKDVFLIVLLVCAVVSLGFGIKEHGLKDGWYDGVSIFLAVFLVAAVSAVSNHSQAKRFDKLASESDNIAVTVVRACRRQEVSIFDILVGDVVILKIGDSVPADGVFLEGHGLQVDESSMTGEPHPIEIDAEKNPFLTGGVKIVDGYGRMLVTAVGTDTLWGEMMSSITKETAEATPLQERLERLTSSIGKIGVAVAVLVFTVLTARHFTGSTKDDQGKPLFNKGHVTFDAVFSSLVVIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVKENALVRRLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGTDQPRGATAIAGSVVTLLCQGAGLNTTGSVYKPDNVSPSEITGSPTEKALLSWAVADLGMDADALKRSCKVLHVEAFNSDKKRSGVMIRDNATGGVFAHWKGAAEMVLANCSTYVSTDGAARELGAEQRRNLERVINDMAVGSLRCIAFAYKKLNGTEQSKIDDDGLTLLGFVGLKDPCRPEVKAAIEACTKAGVAVKMVTGDNILTARAIAKECGIISSNDPSGIVIEGHEFRAMSPEQQLEIVDRIRVMARSLPLDKLALVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIIILNDNFDTVVTATRWGRCVYNNIQKFIQFQLTVNVAALVINFVSAITTGKMPLTTVQLLWVNLIMDTMGALALATDTPTKALMDRPPIGRTAPLISNAMWRNLAAQAAFQIAVLLALQYRGRDVFGTDEKANGTMIFNAFVLCQVFNEFNARDIEKKNVFAGVLKNRMFLVIIAVTLVLQVVMVEVLTRFAGTKRLGLGQWGVCLAIAAVSWPIGWAVKFIPVPDRTLHDILTRRKSS >SECCE4Rv1G0232460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:200466540:200476940:1 gene:SECCE4Rv1G0232460 transcript:SECCE4Rv1G0232460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKPYDGEVLTRRVEELQRERDELRKDIEQLCMQQAGPGYVSVATRMLSQRTTALEQDIEILQMKLSGCLRENQNLQEELAEAYRVKSQLADLYGAELSKTKELEQQVRFFQSSVAQAFAERDGSLLECEKAKEREEAGLKMCATFEERTRECQTAMEDQKRLNNALQMELTELKAHTESSLNVIKKFYEVRSRECECPSNITFEEKCSILLDDSADSWSFNLDGETSTSKYIASLEQENESLKAKISKLQSNLRMSFEIEQHLQRNARTLEKKQALNDDLMRNGLTALQKVYTHQRAEILKILEEESLLLSTAVNDIQDKLTQICINAEIIGNPVGKMQCCDSSCKDVHVTMDIGPDTIPKGDVSTGYSTTFDDSKALAQTLQEKMEALMLFSQEQERYLLEKQKNQAIIEDLQKNLSQVKDEKVKVLMELAKLKEAYLLKCSSIANDGHGIVDTRKITSGHDQQGMLKTILNRTSLRQWIKKENNTGHESSDGNDQTVCRGCSVDLSRTKIENATLLESVATMKRLTSLVHRLHRVLMKVYDDFKSGSSLEGSYEALSSLITEANLMRTALGVVLPVSWSGDSSGGVTLDSPKSSKCEKADPLGSASMEMVELLIFAADILKESFLLKK >SECCE3Rv1G0189590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:727726173:727731109:-1 gene:SECCE3Rv1G0189590 transcript:SECCE3Rv1G0189590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGPSRSGSARHQQFRARAKTRVDDLQDMFSGLQYARKEARSTDAVLLEAQLHQMLREWRAELSVPSPASSLQAGNNRDPSDPPSETPRPQHLAAAEEEDDATSKLVDQQPRPSADQAHKHAQGDHEMKPEPREEAIADPVTVAQQPPSLGPGVIANGGGVLTPATAVFHDQMYYVNQELTVEDFLYDDDYKINLPGSNPEILNNLEGIGHQEYPQFNLQQELPPNAYLDMNNYGQNAGDVFLHMSDLLTTVSPAPASFLRPKCALWDCPRPAQGSESWQDYCSMYHAELAVKEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKHVGVPVCEGAATTKSPWNAPELFDLYIFEGESMREWLFFDKPRRAFDSGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSTSYEWHLYEYEINDRDAFALYRLEFKSSDAKKSAKSKFTCSPLVEIQQQMVRLSADGPVENKRTARARTQDVSTNIYPVQNNTAQANAPDAYQAASQVDQMTFLNGSVVYGPQLPYGYSTEGGEFYWNSNDGA >SECCE7Rv1G0478780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:241541680:241544443:-1 gene:SECCE7Rv1G0478780 transcript:SECCE7Rv1G0478780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRPLTVEDVLRVNGSRRFAAALAAASPFDSLANTLLAARRIWLHEVHVTGWLEAFAAHPPIGTTSSSVSKWSKEEQSAAISTATDSTAQELSEWNAKYREKFGFVFMICASGRTAPEVLAELKRRYANRPIVELEAAAEEELKITELRLAKLFSAETAAPPTSGENHISQPDKAADRMRIIGAHLGALSQLSANKAPEITGSSNRSRPPITTHVLDTALGSPASGIEVHLEMWKDASSRPSFDNKDFKGWTTLGSSITNNDGRSGQLMDIVDNVAPGFYRISFNTSKYAPSGFFPYVSIVFEIKRSQTTEHFHVPLLHSPFSFTTYRGS >SECCE2Rv1G0131290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884060957:884062534:1 gene:SECCE2Rv1G0131290 transcript:SECCE2Rv1G0131290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDGGPTVAVKLFIDKEKRRVLFAESDKEFVDVLFSFLTLPLGRIVRLFDKQSQVGCLDELYKSVESLGEGHFQAKACKAMLLSPLNAAAAHCHRLQVKVDDSNPVYHCKNKECNYTWYRYSSTPYAVCRCRNVVEYAGEWEDINRGAVLGDSNEDGGFVISHSKFIITDDPQVSLASTRVMFSLMDQFGIPGNGNIEEKVLQLNSAKMTRLLRRAMLTKQPLTGLCFSVAIAPNATYLCKLHENLLAEQAAEATDPNFKAIKVRLVQTKDNTSVLYAEVGQDFVDLAFGLLCIPVGTIMKTFSHLPQNGCMGNLYRSVVGSVKEECQGVLLTPKVAPFFRCTSNVLQVEELAGRQIVARRCFEMNPRSLTDTTVRAYIKSTPIKFMVTNDLQIMPLSLTNTIQLLHASNIPKNKLVEKEVTLNKSQVLKIVRAAFETREALSTALLP >SECCE2Rv1G0135240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:905869542:905872248:-1 gene:SECCE2Rv1G0135240 transcript:SECCE2Rv1G0135240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPISGEIRRAAMKRPALLAVPAVLLLSLSFLLLRPSSSPPHLPSARTTDADPDHPRRRLSVYVADLPRALNHGLLDLYWSLPAADARILASSDPDHPPPRDHPPYPASPLIRQYSAEYWLLHSLLGPAAPASAAVRVVADWREADVVFVPFFATLSAEMELGWGATKGAFRRKEGNGDYRRQREVVDRVTAHPAWRRSGGRDHVFVLTDPMAMWHVRAEIAPAILLVVDFGGWYKLDSKSAGSNFSHMIQHTQVSLLKDVIVPYTHLIPTLHLSENMDRPTLLYFKGAKHRHRGGLVREKLWDLMVNEPDVVMEEGFPNATGREQSIKGMRTSEFCLHPAGDTPSSCRLFDAIASLCIPVIVSDDIELPFEGMIDYTEFSIFVSVSNAMRPKWLTNYLRNISKQQKDEFRRNLSRVQHIFEYENSHQSSKVSAPEDGAVNHIWKKIHQKAPMIQEAVIREKRKPEGTSIPLRCHCT >SECCE6Rv1G0440910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:807662712:807663213:-1 gene:SECCE6Rv1G0440910 transcript:SECCE6Rv1G0440910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGSGRGKAKPAATAKSVSRSSKAGLQFPVGRVARYLKVGKYAQRVGAGAPVYLAAVLEYLAAETLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIAAGGVLPSIHTTLLPKKAGKGKGDIGSASQEF >SECCE2Rv1G0098980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:504397514:504399385:-1 gene:SECCE2Rv1G0098980 transcript:SECCE2Rv1G0098980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEACHEKPHAVMIPYPAQGHVTPMMKMAKLLHARGFHVTFVNTEFNHRRLLRSRGAAALDGVPGFRFAAIPDGLPSSDADATQDVPALCNSTMTTCLPHLLALLARLDDPGSGVSPVTCLVVDGVMSFGYDAAKEIGVPCAALWTASACGFMGYRHYPQLIEWGFVPFKDEAQLTDKAHLDTVVQGVHGMCDGMRLRDFPSFMRTTDRGDIMLNFFVHEGGRLSLPTAVMINTFDELERPVLDAMRAILPPLYTVGPLLLHAHHAVPDGTSLDALGSNLWKEQDGLLDWLDGHGVNSVVYVNYGSITVMTNEQLLEFAWGLANSGYPFIWNIRPDLVKGDTAVLPPEFMASIDGRAMLTTWCSQEKVLAHNAVGVFLTHSGWNSTLESISNGVPMLSWPFFAEQQTNCRYKCTEWGNGMEIDGEVKREVLAAMIREAMEGEKGLEMRRRAVEWKDSAVRATLPGGSAVANLDTVIRDVFLANFNNKDGGHN >SECCE7Rv1G0526750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:898433488:898439795:1 gene:SECCE7Rv1G0526750 transcript:SECCE7Rv1G0526750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVVGMAKSVVEGALTKAQAAIEEEAKLRQSAQRNLVFITGEFQMMQSFLNVADSDRLENPVVRTWVRQIRDLAYDVEDCIEFVVHLDKKNSWWLRLIKPASWLLRPCVDQGPLPLDEAVDELDRLKARVEDVSSRNTRYSLISDSGSKPVTVAQQGQKPSSRDAADGAAAFNSLFEAAFKTTQKGRQSDLTKLLTTSKGHDLRVISIWGTGAGTGGGEDLGMATIAWNVYVDTETCKNFTCRAWLKLTHPFNPHEFVRSLTAQFYTTTSVGGGVQALMKMEATRGGDPLKDFEQLVAENRYLVVLEDLSTMAEWDAIRSFFPNKKNGSCIILSTQQFEVASLSVGHPYQVQRLNQLSAEHSVYAFFTKGSQWEADKGMETDNAPTNNNGASTGSTCKKDAAQKWMDEHHLVGRKSETNDLNLKVILARRKTYHVMSVWGIAGVGKSALVRNMFCERIIKGNLFKKFGWVDVSHPFNLWDFSRVLLASLGSGYIEPSETANLCMMGSRNPIIECREILEKHRSLVVIDGLQSTKEWDLIKTELVDGSNHQNVIIAVSTEQEIATHCRGDKGKLVFNVKGLEADTAIELFKKVSEKDEGAELQQLTSICGGLPKVIVEIAGSFSKNTDRWKDALSTNNKIMSELENNREFASLRGLFGWMNTYFRKCPDSLKPCILYLPILPRNHLIRRRRLIRRWIAEGYSRDSHDESAEMTGEKQFCELLNLSIIQQPSALGLGDTRMVLCQVNGFFGEYIVSRQMEENLVFELSGSCAVTTQRTGRHLVISESWVRDRIVFESIDFSRLRSLTVFGKWKSFFVSEDMKLLRVLDLEGASNVEYTDLKKMVKLMCRLKFLSLRGCHEINHLPSSIGGLRQLQTLDVRHTSIVTLPVSITKLQNLQYIRAGTTAQAKIVPRLSNFCGGRQMVGVVVPPGIGKLTALHTLGVVNVRASGTKAVLEDLKMLTHLRKLGVSGINKNNSSKFFHATEDLVHLESLSVRLEENSQDCLNDISLPLESLRSLKLYGLGDRLPDWKAKLTMLTKMDLEIAKLTEDHVSGQSEGVTPQGMRKPTIGVIKFLSELPGLCILRLRVDQLEDNQLDVSIIFNNLEVDSFEKMKIFEIACSSSSHVTFGEKTMKKLEQLKVDCCSGSSLLGLKHLPELKEILLTGSSGEAMKISTQNLQTTQSRSVKLDELRSLST >SECCE6Rv1G0377450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:1820098:1820298:1 gene:SECCE6Rv1G0377450 transcript:SECCE6Rv1G0377450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSWPEVVGWPQLNAADQINIDRPDVTDVGFYMQGSPLPSGYNPRRVVIISVANGVVVKTPVIG >SECCE4Rv1G0224540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:81633593:81634930:-1 gene:SECCE4Rv1G0224540 transcript:SECCE4Rv1G0224540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKNSRAASPAPVATTTTTTTVHHIPDKLLRLILERLGWPLWFVRAAATCRRWRRLAVQVQVCLPRNNLRPLVVGSYRNNRVAPARGPVFVPVAAVDRRHFSLDFLPGGSGAWEILDSSRSVLLLAKRKTGWMRHCFPDLVLCEPVTRRYQLIPRMEEMKHHRYFGAFICGSRGMSLGSWEKKSYNQSTFKVACLIYQGHDGVCDDIGRAKVCVFYYYYHCSRLVLNRPPGWCLKEEDDESSLDLQGPESLYFLGRTTHFPYWGIKHDDRALLLIDGYIKVYSVPDIARGSVFRFVDHSSHESKIRVVCLRGSTIWIFAALYYYIAYNTDQSVLEKRIQLMEATCGLEGYKQEYFAAGSSALEIVAADKRSVVIAAAAKAWMFSLDLETMEVGEYKYKKNDDTSGVVAYPCELPWPVTLNACKVRCRRNPWKDQRCSHICTCF >SECCE7Rv1G0506870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:754868616:754873760:-1 gene:SECCE7Rv1G0506870 transcript:SECCE7Rv1G0506870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCSPAADEERAAMVPLPPPAEDEIIDEYMAAEHTKPCWTMKAGGCVGVAMAIFALLALLVGTKWIHLDAAYYTFLPEGTGFSKHGSGRSPTAPQLVPIPLSCSNDTDTSSPTTAPSAAPSSSPSKRRYYPGVPPQWCPDYFRHIHTDMEPWRATGITRDAVERAQPHAEFRLVVVSGRAYVEKYRDSFQTRDIFTQWGILQLLARYPGRVPDVDIMFSTGDSPRVLAVEYPSRSAAPPLFRYCKEEAQELAILLPDWSFWGWPEINIRPWAPLMEELVRENARLPWPDREPYAFWKGNPDVSEVRRDLFRCNNDTAAGKEWNTRVFKQDWNAAGRNGFRDSDLAKQCRYRYKIYVQGHSWSVSEKYILACDSPMLAIDTSFRDFFTRGLVAGKHYWPIDPANKCRAVKFAVDWGNAHPVPARRMGDEGSGFATEEMSMDYVYEYMLNVLRQYSALLRYTPTVPEKAVEVSLKSMACPRRGREREFMMESREKYVALDETCMLPPPFTVDEVKEMAARDEQVRSKLLQMVGH >SECCEUnv1G0563580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:375838990:375839994:1 gene:SECCEUnv1G0563580 transcript:SECCEUnv1G0563580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPYTRIGQSLRAVTVTQLQDRVAAPPCTVTHTMPAILFGIGGYVGNYWHEYADILVPLFVAARRYHGEVTFLVSNIQQMPQWLVKYRALLQGLSKYVVVDMDRDAYVRCFPRISVGLRLDKDLSIVPELVPGGRLTMADFTRFVRETYALPRGAVTMEPYKKPRLLLIQRATSRQFLNEPEIARAAEAVGFEVVVTELRHDGSEVEQAQVVNSFDVLLGVHGAGLTNAVHLPPGGVLIQVVPYGKMEPMARLDFSEPATDMGLKYLDYSVTAEESSLLEKLGPEHPAIKDPDSIHRSGWTALYEFYLMQNVRINTTRFTPTLEQAFNHLRKQ >SECCE6Rv1G0429370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:735342480:735348457:1 gene:SECCE6Rv1G0429370 transcript:SECCE6Rv1G0429370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGLGVAAGCAAVTCAIAAVMVARRVAARARWRRAVALLRDFEEGCATPPARLRQVVDAMVVEMHAGLASDGGSKLKMLLTYVDALPNGDEEGVYYAIDLGGTEFRVVRVEIDVESVPAIDQRVETHAIPEELMGTSQDLFNFVALTLKNFVEREDGKDAQKPLGFTFSFPVRQSSVSSGSLIRWTKGFSVGDTVGKDVSQCLNEALARCGSNMRVTALVNDTVGTLALGHYYYKDTVAAVIIGTGTNACYIERTDAIIKSQGLLTNSGGMVVNMEWGNFWSSHLPRTAYDISLDDETQNRNDQGFEKMISGMYLGEIARLVLQRMAQESDIFGDGAESLSTPFILSTPYLAAIREDDSQDLSEVGRILQEHLKIPNAPLKTRRLVVKICDIVTHRAARLAAAGIVGILKKLGRDGSAAAASSSGRAREQPKETVVAIEGSLYQEYPVFKEYLDEALVEILGEEVARTVTLRVMVDGPGTGAALLAAVHSSNRQQQGGEGGGEGGSI >SECCE7Rv1G0454180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:1712438:1716090:1 gene:SECCE7Rv1G0454180 transcript:SECCE7Rv1G0454180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYRQMPIITRSYLTAAVLTTVGCTLEIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTSIVLIGGTIPYISETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVAARPPNAGVGVGAAARFGGVGVGAADPQFQ >SECCE1Rv1G0000010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:292110:293058:1 gene:SECCE1Rv1G0000010 transcript:SECCE1Rv1G0000010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLSHHVLFHLLIAASTLLVQPAIAEQHKLPITLAGCPDKCGNVSIPFPFGMKPGCFLDKGFEAGATEVILRSTFTTLAYEMDGPTGALLVSLKRNVLVGVGVEVQPMVFKFNTLGPKDDPLASCSSDLMGDLQQASNGSCSGRGCCLASLPEAIPLTGVSVVVPPRINSYSLWETSPCSFAMVVENSWYNFSTTDLYGNIINKFPRGVPYVIDFAIRNAKCPVKGQQSPPDYACVSGNSSCADVTNGYVCKCLEHYEGNPYIPDGCQGTCK >SECCE2Rv1G0127070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:850794105:850795738:-1 gene:SECCE2Rv1G0127070 transcript:SECCE2Rv1G0127070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGKKPYLIAVIIQVIATGMLVTSKAAYNQGFNTYVFVFYRQAAASLLLLPLAIALERKNVRLMSFRLLMRLFLYALFGTTFSLNIYNVSLKLTSATVGSATSNSMPVITFFIALLLRMEVVKLRSPSGMAKVAGVGLCLAGVLVIAFYLGPSLDPVNHHRAFPVANGEADAKRGTWIMGTLLMVLVNVTWSMWIVLQAALLKEFPHKLLVTATQCVFSAGQCFVVAAVAERDFSRWQLRLDVTLVAVLYTGFVVTGVSYYLQAWCADMRGPVFLAVWNPLCFVFTIFCSSFFLGEIVHLGSILGGILLVGGLYSVLWGKSKEIRTAPCDGPIVIHGAGDEKSADEEEKNVIRSKQVDGEMEHDKEARISTAEQV >SECCE7Rv1G0465160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:67362120:67362757:1 gene:SECCE7Rv1G0465160 transcript:SECCE7Rv1G0465160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSTMRPRCADQEDMPNKWESARLDKTKEKEVKTPSCWCGDECKVKSPPPLCKYFTWIDHEVPEDVKKDQLQDCLRRQRLFEESLQRAEDEEHRERERKERKKREEERARKEKAAREEERARKLARARDAQEEDEARDKKGKWPRVTQ >SECCE5Rv1G0312030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:214455252:214459242:1 gene:SECCE5Rv1G0312030 transcript:SECCE5Rv1G0312030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVARPSFKRRGGGSPRQRGGRLGGANLRCLSTVSSVTDTPRATAQPPVTVLGKGLAAADNTEELLRRYQLGEELGRGEFGVTRRCMNAATGEKLACKSISKRKLRSSVDIEDVRREVAIMRSLPSHVNVVRLREAFEDGESVHLVMEVCEGGELFDRIVVRGHYTERAAASVMRTIMEVVQHCHQNGVMHRDLKPENFLYANASESSPLKVIDFGLSVCFRPGERFSEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRSRIDFEREPWPNVSDNAKDLVSRMLENNPYARLTAQQVLEHPWIQNATAAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPAEELEAITELFHMLDTNKDGHLTIEELRKGLQMIGNNVHDTDVDMLMEAADLDGNGTLDCKEFVTVSIHLKKIRSEEHLPKVFNYFDKNMSGFIEVEELKEALSPRGDQKAIEDIIFDVDIDKDGKISYEEFELMMKAGVDWRNASRQYSRAVFNTLSRKMFKDVSLKIDLSSPLGGAAKEQQDII >SECCE7Rv1G0498120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:635332341:635334948:-1 gene:SECCE7Rv1G0498120 transcript:SECCE7Rv1G0498120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPTGSAQAGGRFPVPHKSLSLDIKGNKTDIVISKYEDNFLVIVTQIGCMGTILAAKKDESVFSDPTYNVSVLFGKRDEPLLLACARQLIEHISGSGSARPLVISLGLKDHSQGTLKDVVSAIVDNRLW >SECCE7Rv1G0498050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:634976350:634982702:-1 gene:SECCE7Rv1G0498050 transcript:SECCE7Rv1G0498050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVAVALTLSLVGGLSTSLGALLAILNHAPNNRTLGILQGFATGLMLSMSFFDLAYDAVNAIGFLKGNLWFFAGALLFSAIADIFPEPECSPPDENDKQTANSTARKELMMRHRRRVIFSVIVTAVVAGVSLQNFPVGTAAFLGTAKGFRVGLNLVIAIALHYIPEGIAVALPAYFATCSKWQAFKLATLAGFAEPIGVIIVAYLFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPLAIEYAGRKDAVKAVFVGMAFMSMSLYFLEVSLPKEMSA >SECCE2Rv1G0114970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:744291408:744294122:1 gene:SECCE2Rv1G0114970 transcript:SECCE2Rv1G0114970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRTLGKGSKCVPSPSAAAVARSTMLLLAAAVFVGWLMMWAMLPTRTYSSTWAPKLAALTGFGKQGIRMTVYVFPVLFVYVVACVYLHLQQKGGGDPDTQRRTNTRMAAWRRPVLVRGPLGIVTGIELAILLMFMALLVWFYYAYITVEFSKLRVKPGENLWQAELKKAAKRLGTAGSLCCALLFLPVARGSALLPLVGLTSEAGIKYHVWLGNMAMAFFTVHGLCYVFFWASTDQIHLMTKWARTGVSNVAGELALLCGLAMWATALPRVRRRMFELFFYAHHLYIPFIVFSALHMGVTVFCFVLPGVFLFAVDRCLRFLQSRARVRLVYARLLPSGAVELNFAKSPCLRYNPTSTLFVNVPCVSRLQWHPFSVTSSSSLEPDTLSVVIKNRGGWTRKLYETVSSMPPTGGHLGVSVEGPYSPAAGFTPFLGHDSLVMISGGIGITPFISVIRELVYQSGVAETASMPRLLLVCVFRTSAELDMLDLLVPASGGLYGTPSLDLRIEVFVTSESEPRTGNDAHKRPCQQVWFKPWPSDAPVSPALGSNGWLWLGAVVSLSFAAFLLLVAALQRFYIYPVDRDSNHVYPWAVRTMLSLLFLGVSVAGVTGAAFLWCKRRSAKEAKKIKSVDGPTPAMSPVSLLHWAGGGGVERELESLPAQPLAAQATNVHFGHRPDLRRMLLGIHDENVGVMASGPSGMLEEVATICSSGPASNLHFQSISFTW >SECCE2Rv1G0114940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:743064857:743065465:1 gene:SECCE2Rv1G0114940 transcript:SECCE2Rv1G0114940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSELLLPKQLLHLLLLLGYLRRFLLWAFDAVGLGDLLDLGDEHLAQEHARADHGAADALLLQHRRSEFRPVTAMVIEEVLPVVRFDELEAAACVDGDCAVCLSGIGGGDEVRRLSNCRHAFHRGCLDRWMEHDQRTCPLCRAPLIPDEMASALWATAAGVPDASDFDFFYLSASAPTTPTLLRPHELLLTGLGGYQ >SECCE5Rv1G0303680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:50214915:50217170:1 gene:SECCE5Rv1G0303680 transcript:SECCE5Rv1G0303680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPWVCLVLILLAGVAACHARPLFDGDAVIDETPQPQPLQPSVISCSTASNYTERSKYHVNLDRLLSAIPVAADSNGFFNGTFGTAGDEVFGMFMCYAGDTDSECQDCLIRAPEGIMKVCPHSRTVRAVYNACTIQYSDESFFSVADLSVVDKVDLSVAPQLEQTPYRTWNNRNHGHWYQGAVLAGYILDTAGVSHTRFKLIQRLMVKACQRPERIAQGTEGFTDAEWVQAVVQCTRDLPASECTRCLSYYIDQLPRLFPNNSGGAIKGSSCYLRYAILADADKPRPRTVRLERYRYSEGYEKDKNEHARMMETARKEHRRKVVIIASLIAISVALVVCLIGLLVRFVLYPWRTWVAAARVAMISMLYRWRTWVAAARVAMRSFMERPPKLAAYFHGRSARQDELERGTGLRRFRYAELAAATDGFSGRNKLGEGGFGSVYRGFLHDMNLHIAVKKVSKSSRQGWKEFVSEVKIISRLRHRNLVPLVGWFYGGDDDGLLLVYELMPNGSLDAHLYKLEQLLPWAVRYQVALGVGSALMYLHQDTEERVLHRDIKPSNIMLDASFNARLGDFGLARFICDGRGSLTTGAAGTLGYMDPKCVFAGKASVESDIYSFGVVLLEMACGRAPAVYVDDDDGVIIHLLQWVWESHGRGAILEAADARLDGNFDKKEMECVMVVGLWCGHPDPALRPSIRQAVSVLRLEVPPPSLPGKLPVTTYLMQPSADDSFGSSATSGSCDGSTTNSARDKVE >SECCE7Rv1G0472190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:138095778:138096734:1 gene:SECCE7Rv1G0472190 transcript:SECCE7Rv1G0472190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPARAIAVVALVLACSGLAMAGDNAPIWLRAHATFYGGADASDTMGGACGYGNLYSAGYGTRTAALSQALFNDGASCGQCYKIACDRKLADPMFCKPGVTVTITATNLCPPNYALPSDNGGWCNPPRPHFDMAQPAWEKIGVYKGGIIPIMYQRVPCVKKGGVRFKIAGHDYFNLVNVFNVAAAGSIKSMDVKSSDSDAWTPMARNWGANWQSLANLTGKMLSFRLTSTDGQTLVFDNIVPAGWTFGQTFASKLQF >SECCE3Rv1G0159090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:94118389:94118892:1 gene:SECCE3Rv1G0159090 transcript:SECCE3Rv1G0159090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVRVYDRSQVDPEGKRRAVHVVDGPVVANGGFIGAPRKPTNQSKSGGLRALGRDALVQDDEEEPQLHPPLGPRSAEYYYSTTCQSPFRFEAAPYQWRYDAFAPEELQAPRPPPAARSGGRATSCKGSRKFKHNETKMYYVDAADDVDGRLDYLYDFHS >SECCE2Rv1G0122430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:816047131:816049658:1 gene:SECCE2Rv1G0122430 transcript:SECCE2Rv1G0122430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRWAAELGVSDSPSAPAPSTAASSCLGRSLVVADFPDAGGRGFAAARDLRRGELVLRVPRAALLTSDRVMADDPRIASCVDAHRPRLSSIQRLIVCLLAEVGKGKSSSWYLYLSQLPSYFTILATFNNFEIDALQVDDAIWVAQKAVSAIRSEWEEAVPLMRELNFKPKLLMFKTWLWAFATVSSRTLHVAWDDAGCVCPIGDLFNYAAPDDDTLSEDMEEAMKCQERNVMLEEIKLDSSLERMTDGGYEDSNAYCLYARKRYRKGEQVLLGYGTYTNLELLEHYGFLLDENPNEKTYIQLDAELCTMGTWPKDSLYIHPNGHPSFALLCALRLWATPTNRRKSLSHQIYSGSMLSVENELEVMKQLGSKCVETLWQLPTTVELDGSLIHFLRNLQNSTNWRVDVEQSSFGQEFAVFLRFHSIDLDCTHNQLPVQLLRSLERWELAVRWRCSYKISLTKCVLYCKRLINELSLQYD >SECCE5Rv1G0299250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:15604458:15604973:1 gene:SECCE5Rv1G0299250 transcript:SECCE5Rv1G0299250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGEKDRLAGALEEDETAVAATAMPARHKEESTTPLPPAKNKKGSPSSSAGSQEEKKKGSSRRGKEKRFPKAGSQEEKKKRMPVEMVNGILSWEKPPRFRMFKSAGFFNKLRDELFEYQQQVKEEVEEKGYAELPADYVEYTKEADIKAYRKAYLKVFGTEPPPELCSL >SECCE5Rv1G0372130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851332373:851333194:-1 gene:SECCE5Rv1G0372130 transcript:SECCE5Rv1G0372130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSCNGCRVLRKGCADDCTIRPCLAWIRGADAQANATVFLAKFYGRAGLVNLLAAAPDDAHRPALFRSLLYEACGRAANPVHGASGLFSTGNWEACRAAVQAVLEGRPIPQVGADQAAPHPGLVAAYGVRHIPKDGIDRASAALRVSRASFKRAASSSSAARPNRDGGLDLESAGSSHGHGVEDDGKALADKQKRGQSSSSEAEAGSHVSQAEQSASAPVPQVAQEDGEIDLELTLGFGPATRVLRSPPARFEASSLSTVSSHIGLRLGQPV >SECCE7Rv1G0492380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:550199664:550202312:1 gene:SECCE7Rv1G0492380 transcript:SECCE7Rv1G0492380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSDDGSNSSSCSCAPGIAALRSGRTVAGGKNKSARRRRQRVTTDLSIYADLSCRGAPAPPRLHGVLQKDSAKELGQAWAKFFHANGIPGEKADCPHFQEAMRLTQQLGQVVQHVLTGSEIDGPCLQSEYDELEGHVAKWKGSWDLYGVTVMCDSWTGPTGTTIINFRISCDRRMYFHKSVDATGSMQSISRLYELIRKVVVEEIGQGFVVQIVTQNGSNFKEACGQLIKEYPHIVWQPCAAHTVNLMLMDIGNIPKVDAVLSSAKRICRFFYSYSEPLHAQMKTKIGGELIPPNAAKFGTDFMCLQSYWDNKDKLRQWMISDEWEDGPWSREADYDYTYDCLISWSWWEDVKWVLDRIRPLYAVLQHADSPKTRSISGFMPRMIAATAELQSLFEEGSEDLNDFMDVVDRRVADLYDGTLMIAAGVLDPEAHYKHDFASNPDYMQAFTMAIQKVADSPANAVEALGQFETFRSSSGGFDKEIARRCASRLDPASWWSYFGGEVKLLQDYAIRIVSRCMSSSRCERNWSTYALMHAQAANQLASEKLHKLVYVRYNLNLRFQQSKTDKEEKDGKEKKELDPCRLMMDVALYDKENPIMEWLNNPRSASLTSLDDKDECDLPAPSRAVIGMICKGKSSEGVLDKPTGVLELKKNCTLSSRKQKRKRGKIATDGNGSLHGMSTRKKTKDLSSL >SECCE3Rv1G0189950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:733254209:733265852:1 gene:SECCE3Rv1G0189950 transcript:SECCE3Rv1G0189950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRKRAASNANAKNTSSSLDEDFGNDFLSSWKLPKSGKDTIDFDVESAPKSSKKFSFENLDDFGLDGAFDKLSSFKMGMSDLDFSSPLKKKAKNNSSNGDELSEGRKVTEKDNFSFSFDFNELGNFNLDTKLGFEENGMSRVKEKTDPISSEGNKDPESGISGKGTDVPEDSKSKEQIQTQNACTLKPAHLTSINPASVDQLEVDMLSNDVLEEHSNERYPTKPAVNNSSHSFPSTAVSGEDPTHSKAVAVPESSKEAPPVDPSKVNITSRENNSSEQSVSSQSRNNSTENVPISRRSGGQSDSQNNQNGPVEESTSLNEGSHGNQGYRGTSVKPLRKTSCGTKNVEKGTSGPKNLSSTMQRELRNVKPTLVNEAGTLSLLSKSANTKASRPPQITSETTLNQLSGASNMIKKTNTHPTDLNREHKHAQPDKHKITPAKTYCKPGLQGLSTTSMNAKHGLEPLSAGNSSIKNAPSSTAHTTGNNSLTSQMLLKGNNTSDMIQGTPSKDDKRPTTFQLAGSRVSKVGTRSPKSGLLLDKDSVKLSGNKGSPVRTHKIPNSFVEGKAALLSPSIRQKMPEESTPDPKAPAVVKRIVRSPAVRISPQTAPELGNQTIQGGTPKARMDNAVSSTIREMGDISDLELPALLEDDGNVEKAEACRKQLEDINEYHQYSVVTKMVICFLIDSAVPVILSLMQLKNLSALQKYADSMRSKHLFEEIVTMDTH >SECCE7Rv1G0509070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:777154202:777157453:1 gene:SECCE7Rv1G0509070 transcript:SECCE7Rv1G0509070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYQRQGGVISAGAVVVAVVSLALLLLAGPAAGACGAGERDALLSFLDALSPRAGDTIAASWRGSPDCCSWEGVGCDGAGGGGAVTSVSLPGRGLGGTISPAVARLAALTHLNLSGNGLAGAFPAELLALPNASVVDVSYNRLSGALPDAPASVGRARLPLRVLDVSSNHLSGRFPSVLWQFTPGLVSLNASNNSFAGAIPSLCVICPALAVLDVSLNAFGGPVPFGFGNCSRLRVLSAGRNNLTGELPDDLFDVTSLEQLAFPSNRIQGRLDRLRIARLINLVKLDLTYNALTGGLPESIGELTMLEELRLGKNNLTGTIPPVIGNWTSLRYLDLRSNNFVGDLGAFDFSRLTNLTVLDLASNNLTGAMPPSIYSCTSMTALRVANNEITGQVAPEIGNMRDLQFLSLTVNSFTNISGMFWNLQGCKDLAALLVSYNFYGEALPDAGWVGDHVSSVRLIVMEECGLTGQIPSWLPKLQGLNVLNLAGNRLTGPIPSWLGAMKRLYYVDLSGNHFAGEIPPSLMELPLLTSEKAMAEFNPGPLPLVFTLTPNNGAAVRTGRAYYQMSGVSATLNLSDNYISGAIPREVGQMKTLQVLDLSYNNLSGGIPPELSGVAQIEILDLRQNRLTGSIPPALTKLHFLSDFNVAENDLEGPIPTGGQFNAFPAANFAGNPKLCGQAISVRCGKKSGTATGKSSPSKTMGKGVLVAIVLGVCFGVVAVVVLVGLAVIAIRRFISNGSVSDGGKCAESALFDCSMSDLHGDESKDTILFMSEEAGGGDPARKSVTFLDILKATNNFSPAQIIGTGGYGLVFLAELEGGVRLAVKKLNGDMCLVEREFRAEVEALSVTRHENLVPLQGFCIRGRLRLLLYPYMANGSLHDWLHDRRPEQEELDWRARLRIARGAGRGVLHIHEVCTPQIVHRDIKSSNILLDESGEARVADFGLARLILPDRTHVTTELVGTLGYIPPEYGQGWVATLRGDVYSFGVVLLELLTGRRPVEMMAAAGQPRDLVGWVMQMRSAGRHAEALDPRLRQGSRPGDEAQMLYVLDLACLCVDAIPLSRPAIQEVVSWLDNVDTIGTS >SECCE5Rv1G0324250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:444525178:444527242:-1 gene:SECCE5Rv1G0324250 transcript:SECCE5Rv1G0324250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G78590) TAIR;Acc:AT1G78590] MARRRVLLYLKPFDVYPPRPLSGAFSPTFPPPPPPPRASNPKILSYLDDRCRVHKDTINLCKSVLQRKPLDWISVQRNHLTNPIRDVDLVITVGGDGTLLRASHFLDSSIPILGVNSDPTCSDEVDELTEEFDARRSTGYLCAATARNFEQILDATLAGSRHYSELSRISVKLNGSQLPTYALNDILVSHPCPASVSRFSLRKRSNGETSRLINSRSSGLRVATATGSTAAMLSAGGFMMPISSRELQYMIREPISPTDADKPLLHGLVKQEQHMLVVWYNQEGAVYIDGSHVTYPIQHGDTLEISSDAPVLKVILPEYLLKQASS >SECCE4Rv1G0225120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:87999005:88002668:-1 gene:SECCE4Rv1G0225120 transcript:SECCE4Rv1G0225120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGKMNLEIGSKDMEVESMNLKLEDPLPSSEMDMDEPGVAESGEVDDSNGSSKECPLTSKVPVAKEPRSVSTDFGDELDLELGGGEPEPGKQQERKLSRQDRIELSRLFQHAVSSHDWECADGLLGKADAQGLNDVLCVAVDAIWFLSDKEELYAIVALIKRIVSAGANDFTRAALRTSFLASCVSACRGRTTSLADAVSFMGQKLHERLQESHGDEVLKAEASAKVHKFTEWALKCIGLHARVRESRGKGNHGTIIEVQLQLSAFKTFLDIADNDLTGKDFTEAFDAACFPLTLFSSTFDQGWAAGISAASIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQVAQRNSLDIDVDLALGFAAHYGKLETMGCLVEEGNTVAFLGPLMRAAERGCLQVVEWFVNRGCRDMELCLALTAATSSSQVEVAAYLLPLVPQLVLAPLSIEIVKAAGERSTGSLQGVDFLLRSDFLNDPAATYAVADSIARCADEAVDAKLRLFMREQWSEEAFNEGFASSQEHFVNITRIMQRGESPVVLRQLPLQLVIAMAYLPLYRECVGSGGRLMPQRLRGQLVEAAGRLEGRQLDRGTQPRELRAILEHHLPRFLVQT >SECCEUnv1G0530800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:14021611:14022408:1 gene:SECCEUnv1G0530800 transcript:SECCEUnv1G0530800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKGGKGQQNITIRRIEKEAARQVCFAKRRQGPFNKANELAVMCGAEVAVVTYSSDGKAFSFGNPSVEAVIDRFLVAGRRGVPSATNDNKLKKLHLQHGELRMQLKEVKMRKKCMEEAMAKERAVGDQIAVFLNPELGDMGEEEMMAFVAKLMPVWVAISECANQVLLNLGMDNVLWGLQAPGVPLPHHLFGGSTFEFGSTSTNTGMEMQQMHMAMPPPRLAYGMDMQHILMSIPPPSGFGAGMEMQHMLMVMSPQPSSLPG >SECCE5Rv1G0322450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:420840899:420841567:-1 gene:SECCE5Rv1G0322450 transcript:SECCE5Rv1G0322450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAALGEAQRQRRRSVYEFLDATRPAHGQALRWCETAREMRRVDGDMKEARLLLRGALCCVKDYASVYRTWIAMEKEGGGVGVARWLFEEWGAVCAKDGGLRNEDDGATADAYGDYWCAYLAFELGHGDARRARAVAARAVRACPRDASLRDTVELRLRDEQVQQRRRRHRLGLFRSAKKWLVDDLRACFSSVGEQQSHGCLPLFSRPPRGYRRLVTDESP >SECCE3Rv1G0167770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:208174194:208178277:-1 gene:SECCE3Rv1G0167770 transcript:SECCE3Rv1G0167770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLLTLAALLLLCATLGAPEPDADRAALLDFLAGVGGGRGGRINWSATRPVCANWTGVTCSADGSRVVELRLPGLALTGPVPRRTLARLTALRVLSLRANTLSGAFPEDLLALPGLAGLHLQRNAFSGALPPGIAGLKRLQVLDLSFNGFNGTLPGALSNLTQLVALNLSNNSLSGRVPDLGLPALQFLNLSNNHLDGPVPGSLLRFSDASFAGNNMTRAAPVSPAVPPPSLAPPAAGAPAKKRGRLSEAAVLAIIVGGCVMVFAVVAVLLIAFCNRRGGEEGSRAGSGKGGDKKGRESPESKAVTGRAGDGNRLVFFEGPSLAFDLEDLLHASAEVLGKGAFGTAYRALLEDATTVVVKRLKEVSAGRREFEQQMELIGRIRHDNVAELRAYYYSKDEKLLVYDYYSRGSVSNMLHGKRGLDRTPLDWETRVRIALGAARGIAHIHTENNGKFVHGNIKASNVFLNSQQYGCISDLGLAPLMNPITARSRSLGYCAPEITDTRKSTQGSDVYSFGVFVLELLTGKSPVQITGGGNEVVHLVRWVQSVVREEWTAEVFDGELMRYPNIEEEMVEMLQIAMACVSRNPERRPKMLDMVKMIEEVGRNDSGTRASTEASTPVGEAWNKAESSSAAQ >SECCE7Rv1G0508400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:771868117:771870114:-1 gene:SECCE7Rv1G0508400 transcript:SECCE7Rv1G0508400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGASTWMFATLLAALLLAIPAASAAVPTEGGSTGTVIGIDLGTTYSCVGVYRNGRVEIIANDQGNRITPSWVAFTNGGERLIGEAAKNQAAANPERTIYDAKRLIGRDFADAVVQRDIKLLPYSVVDRKGKPHIQVQVKDGDIRVFSPEEVSAMVLTRMKETAEAYLGEKVTHAVVTVPAYFNDAQRQATKDAGVIAGLNVIRIVNEPTAAAIAYGIDEKGPEKNVLVFDLGGGTFDVSVLSIDNGVFEVLATNGDTHLGGEDFDQRLMDYFIKLIKRKHGRDITGDLRALGKLRRECERAKRALSNQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMVPVKKAMADAGLNKADIDEIVLVGGSTRIPKVQQLLKDYFNGKEPNKGVNPDEAVAYGAAVQASIVSGHVDENTKTMILLDVAPLTLGMETVGGVMTKLIPRNTVVPTKKTQVFTTYQDKQTTVSIKVYEGERSMTKDNRLLGKFDLSGIPPAPRGTPQIEVTFEVDVNGILHVKAADKGTGKSEKITITNEDRRHSQEDIDRMVREAEEFAEADLKLKERVDARNKLETYVYGIKNTMDGKMADSMERDEKEKVQDAVREVNEWLDDNAEAEKEDYDEKLRELEDVCNPIITAVYQRSGGAPDDHTTQDDDDDHDEL >SECCE7Rv1G0461750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:39653474:39656943:1 gene:SECCE7Rv1G0461750 transcript:SECCE7Rv1G0461750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKASSKGHGFFGLFDWGKSNKSKRRLFSGGGGGYSPSQGNSVDGKEVDGSMPSTPSNSILEDGMSIKESSQHSCSSSVIDDEACARRGPTVVARLMGLDSMPAASSSGPYPAPAPFTGQHTFQTIAHDELIGRSYVGLSSPHKMPSSPIDRFRMEALPPRFAKRTLSVAQHKLFSPVKNPNHVSSRNAADIMEAASRIIGPGAENTSSYRVRDAGYSNDVRAFSPTEIVRVQQMSQAARRRDGSATSKPPSGRSLDGSLVTSETSSSARFSQSNGGAPVAPRVKAISRPSSDFRATNGQGREGVSRSSRKPPTRTDPVHNMVERNGSSQQRQKISSRVGMASSSNVLVQNNTKQNAMGVGHKMNPKSATHSQQQRNLHPPNAAPRKAGVTSTRSENSMKGNRKGELQPTNYANRRPNSTAKTIPKPRRLPDEGMRSKKNQPSDKVLTERSPRRVRHNIVIEEQSSFTTNKKKISTEIVSFTFTSPVDKSLHGGSQFPHSVEKRSIENWNSVSTSSNTSNTESDTIDGDYLRLLLEQKLRELTSGVRSPYSKPANGVRIYAPSPGLDDIASACDTSSIASTDYDRDSIQSSKDGKDKFPRTDLVSKDDQSSQSVKYDNGFIDQVELEHLHLSPHSSWEASLSTETCSSAESRTNANESRLFSSTEGAATSGSTHYGRVLEVEALSEYSDTASSITATLAETTHPSESSSSCRMEIKFLREILNTLSVRDNACSYFERFDGSNILDPHLFEELNGNFRLPVGEEEGKSFGMIRRLLFDCMNEVLSVKCTYYFNAGYSSWFTGMAVLQKLSPEEIYQEMTSLKVAEEWMVDELVYKEMSGPLGSWVDFKTESHEAAKDITAELLESLIDEMVADLLYW >SECCE7Rv1G0512910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:816583396:816585948:-1 gene:SECCE7Rv1G0512910 transcript:SECCE7Rv1G0512910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITHASLAVLFFLRPVSAIHLQPVNSTRLCHPPITMTMSLIIATFAALALCFAPAPGAAAISARRPLQGNDTLVSAQGKFELGLFSPAGSSDGRFYLGIWYKNIPGQTVVWVGNRATPLSGSGVASAQLRLSADDGNLELVGPTAASASPVVVWSSNLSSSSPGSNNTAEIRDNGNLVLLDGSNSSSVLWQSFDHPTDTLIPDAWLGENKLTGEYQVLTSWRNAQDPAPGMFTDTVDPNGTSEFFYMWNQSRTYWRSGVWTGRFFATMPEATRNVLFNQTYVETPAYRRVSNALYNSTTITRMVLELTGQTKQYIWVPASQNWQLFWSAPTVQCDVYALCGAFGVCDLSNQPSCRCPPGFAPASEGDWMVSDWSGGCRRSAPLWCPYNGSTTDGFVVLRHVKLPDDSLAVGAAQSKAECESACQRSCSCEAYTFSAGRCAVWHGELHNLRQLYAESGVSRSNLYLRLSATGLRDLHSADRKGGRSSWLVLGMTLVGVAALGASVILAWRILLARRRRPARMPDEKGSSLTVYSYVDLRAATNNFSERLGGGGFGSVYRGILMEQKGANTTQVQVAVKKLESLWRQGDKQFRTEVSTLGLIQHVNLVRLIGFCSSGDEKMLVYEYMPRGSLDGYLFGNGACLSWRHRYCIMVGVARGLAYLHHGCRQCIIHCDIKPENILLDEDMSPRIADFGMAKLVGRDFSRALTTMRGTIGYLAPEWISGQPISAKADVYSFGMVLFELISGRRNSEGYNEVEDAAGTGRHGSSTFFPVWAARKVVEGEVGTVADRRLCGDVMPEELELVCSVASWCIQDEEAQRPTMAQVVQALEGTLHVHTPPVPRALQHLITLT >SECCE4Rv1G0216220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:9924778:9928981:1 gene:SECCE4Rv1G0216220 transcript:SECCE4Rv1G0216220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGSKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDISNQASFTRAKKWVQELQAQGNQNTVVALAGNKADLLEAREVQIEEAKTYAQENGLFFMETSAKTATNVNDIFYEIAKRLLQGQAAQNPQAAGMVLSQRPNERVVSAASCCS >SECCE3Rv1G0182510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:629343660:629344718:1 gene:SECCE3Rv1G0182510 transcript:SECCE3Rv1G0182510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLGQPTSASELRALALTTLLVPMCAVYARSACRRLRPGWPCLAALLPTFPVFIYLPCLFNSFHLRLFSTFFHTWLAANKLVLLAFDLGPLNPSLPLLPFLLCAGLPIKLRDGPQPTDHSASSGSPVADFLVPCGRSVLFLTGLALLFPHTGSLPLYVVHYLYCAQIFLTLDLVFSSVSLVAAAVLGSAMERQFRAPLVVASVNDFWGRQWNLMAVDLLRASAYKPVRARWGRDAGVLAAFLMSGVLHELLYWYMTLEPPTGEMLLFFALQAAFHVAERWARVAGLWRPPKAAAYLIGTTLMVVTISELFFGPFIRAGIDVRMIQEAAEAVELVRAVAKRLIVRLFGAGSS >SECCE6Rv1G0403190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:498033574:498040653:1 gene:SECCE6Rv1G0403190 transcript:SECCE6Rv1G0403190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNRRYTPPSARESTETRHAPRAPGQGPSQRVERAQQHGAGDRLHANTQYSQQVGRGGGQHLRCGGHFQDPASHQPFGGPVKYEAHGYYGHSGPRQRGTPQQYHDVCRSGSHGRGVPATPSITRPELHQAPQVQNQVPVLTPPETGSSSLHVEMNTGQLQLQFQQLDIPGQSSSSQGIQSAPSSTKSVRFPMRPGKGTFGSRCIVKANHFSAELPDKDLHQYDVSITPDIPSRGVNRAVMGQLVTLYRHSLLGGRLPAYDGRKSLYTAGPLPFTSRTFNIVLQDEDDKLGGAQVAQRREKHFTVVIKFAARADLHHLAMFLAGKQPDAPQEAIQVLDIVLRELPTARYSPVARSFYSPNLGRRQQLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRNVSVRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRIFGLTSQATRELTFPIDDHGTVKTVLHYFQETYGFNIQHTTLPCLQVGNQQKPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQQRELDILQTVNHNAYHADPYAQEFGIRIDERLASVEARILPPPRLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVKDWICINFSRNVQDIAAGNFCHELAGMCQTSGMDFSVDPLLPPLSARPEHVERALKARYRDAMNVLKPLGRELDLLVAILPDNNGSLYGNLKRICETDLGLVSQCCLTKHIFKMSPQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQTRRQELIQDLFKVWQDPQRGTVTGGMVRELLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRSVDRKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDGGSVASGATTSRAPTGARSGRAAGNAAVKPLPDLKENVKRVMFYC >SECCE1Rv1G0041670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:573229322:573230110:1 gene:SECCE1Rv1G0041670 transcript:SECCE1Rv1G0041670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKRMLETSFPGINVFLRNYPPAFPKRVLSKIMPVIQVGAIATIMAGDQIFPRLGITPPPLFYSLRANKFGTMATIWLFGNFAQSFLQSSGAFEVYCNGDLVFSKLAEQRFPSEFELRDLIGSRLPPSPFGKSMGNALS >SECCE6Rv1G0409670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:590410535:590412111:1 gene:SECCE6Rv1G0409670 transcript:SECCE6Rv1G0409670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFHKQLLSLRLPASPVIFSLRRALLSTSAATDAPPAPFAVDDYLVTTCGLTPEQALKAAKHVPHCKSTSNADSVLAYLAGPTLRLSKADIAHVVARDPRILNCSVENTLKVRVAILRGYGLSEDHVRTFLRMTPGVFRSVSIQEKLGFWLPFLGSPENFIRILKGNYYLLTSDLERVVKPNIAVLRESGVSADDIAKMCVPNSRLLTSSPKTLMSILERADKLGVPRGSLMFRQAVTTTTSLGAETMAAKLKLFQEILGWSEAEVAKVVRMNPTVLRISGEKLRRVKEFLTKVVGVDTRYILTRPSILMYSLECRLVPRHYVMKVLQEKGLIQKDKSFYPMVTCSENTFQLKHIEAHRHVLPGLADAYAAACQGKLPTEVAAYVFT >SECCE6Rv1G0437810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786330251:786333166:1 gene:SECCE6Rv1G0437810 transcript:SECCE6Rv1G0437810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MAAAASTATLSGCARPLPSTLNSSPSSTRLAPFASRSRPRPLRAAAAATLREVCSGRVPDHVLQRAEDVGYVSPTEVQEQSLPVLLSGQDCILHAQTGSGKTLAYLLAVFSAIDVGRSSAQALVIVPTRELGIQVTKVARLLAAKTCNVMALLDGGMLTRQKSWLKAKPPAIIVATVASLCQMVEKRAFSLGKIKILVIDEVDFIFGSSKQVNPLRKILNSYMAASSRQTIFASASIPQHNRFVHDCVQHKWTKSDVVHVHVNPVQPMPSHLHHTYVICSKKERLHVLLSLLERDAPKSVIIFVAQQSEKSKRAGNPPSSTLVVEFLRTEYKGTLEVLVLEEDMNFNARATSFTEVKGKGFLLVSTDIASRGFDLPQTSHIYNFDLPKTATDYLHRAGRTGREPFSKSECSVTTLITEEERFVLQRFENELKFHSQQLPLESMFTFNL >SECCE6Rv1G0423650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:696937596:696940144:1 gene:SECCE6Rv1G0423650 transcript:SECCE6Rv1G0423650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Ethylene receptor-like protein 2 [Source: Projected from Oryza sativa (Os02g0820900)] MLDWMAPPRFRCGAGARRRLAPPLLLLALAPFAAVAAAGGGDVEYPHCSCDGGGGGGFWSMDNIFRWQKVSDLLIAAAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLAAFTYEPHPFVLVLLLTVAKFLTALVSFLTAITLLTLIPQLLRVKVRESLLWIKARELDREVDLMKQQEEASWHVRMLTQEIRKSLDRHTVLYTTLIELSRVLGLTNCAVWMPSAAAGDGKVTMHLTHELRRGGSDEGLVVVGVDDVDVLEVRRSDGVKLLGPGSALAAASGGGKEDTGTVAAIRMPMLKVSDFKGGTPEVIQTSYAVLVLVPPADKTWGAHETEIVEVVADQVAVALSHASLLEESQAMRDRLAEQNRELLQARRDTLMANEARDAFQRVMSQGMRLPIHSILGLVSVVQEDDLTPEQKLVVDTMGRTATVVSTLINDVMEMSATNRERFPLEMRPFQLHSMIRDAACVSRCLCDFRGFGFAVHVENTLPNLVIGDERRIFHVILHMVGNLISRIDSGHVTLRVRADDEVAEDTLGQRWDPWRPSYSSGYSSVKFVIGVKSQQSSGSTIPPPGQFKRKPSGEGFDLRLSFSMCRKLVQMMRGNIWAVLDGQGLPESMTLVLRFQLQPPAPLMSSSTSGGSFERQYASPSCQLTGLKILLIDDDDINMVVARKLLEKLGCVVSSLPSGSGFLNSVGPSSGAYQVVLVNLEMTRVNALDVAVRVQQYRSGRWPFVMAMTSEQNAWEKCAQSGINGILRKPVVMLEAKEELTRILQSA >SECCEUnv1G0537670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69541118:69545334:-1 gene:SECCEUnv1G0537670 transcript:SECCEUnv1G0537670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLASASLIPTTHLGHRSHVGPNSKNLWLRSAASSHKSKGNYCNLTVRSQADSQPEEPIGLVYTKLKPWQIPRRDWFSPEFIFGASTSAYQIEGAWDQDGKEPSTWDHFCHNYPERISDGTNGDVAADSYHLYEEDVKALKKMGMDAYRFSISWSRILPRGRGEVNQAGIDYYNKLIDSLLCHGIVPYVTIWHWDTPQALEVEYGGFLDRRIINDYKNFADVCFKNFGDRVKNWFTFNEPHTYCCLSYGTGELAPGRCSSGMDCAVPEGDSLKEPYTAGHHILLAHAEAVEMFKTHYNRHEDAKIGMALNAMSYEPYQDSFLDQQARERSMDFNLGWFLEPVIRGDYPFSMRSLIGDRLPMFTELEQAKLASSYDIMGLNYYTSRYSKHIDMSSSIMPKLNSDDAYSSSEIAGCDGNAIGPNTGTYWIYMYPKGLRDLLLIIKEKYGNPPIFITENGIADVDGDETMPDPLDDWKRLDYLQRHISAVKDAIDQGADVRGHFTWSLIDNFEWGSGYTSRFGLVYIDKKTLTRKLKKSAEWFGKFNGPKRLLGTTKPTGQATL >SECCE2Rv1G0139580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:928247262:928247615:-1 gene:SECCE2Rv1G0139580 transcript:SECCE2Rv1G0139580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQHEAGPPEAPHGLLLAVVFGLLLAWPLFLGRRREVVTDAIANAIADLLGPVGLILLLVGLLILIHILSSDGCSPDAVYHIGGSPVGVALMLVLILALLYYRPALYGSGGHGDE >SECCE6Rv1G0407220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:555353549:555355054:1 gene:SECCE6Rv1G0407220 transcript:SECCE6Rv1G0407220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCEMTATEVAALLDLKPHPEGGYYAETFRDSSVSLTTAQLPPQYKVGRAVSTAIYFLLPSGSVSRLHRIPCAETWHFYKGEPLTVFELHDDGHIDHTVIGPHLDAGQRPQYTVPPNVWFGSFPTLDVESFASDGSHLVNSRKRDPEKHYSLVGCTCAPGFEYEDFEMASFDDVKSIAPKAEPFLKYLIPSNK >SECCE7Rv1G0500690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:672298111:672303626:-1 gene:SECCE7Rv1G0500690 transcript:SECCE7Rv1G0500690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol oxygenase 1 [Source:Projected from Arabidopsis thaliana (AT1G14520) TAIR;Acc:AT1G14520] MTIIIDQPHFDAAAERKVAGDQGELVLDGGFTVPDSNAFGHTFRDYNAESERKKTVEEFYRVNHISQTYEFVRRMRDAYARLDKTEMSIWECIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHDLGKVLLHPSFGELPQWAVVGDTFPVGCAFDECNVHFKYLKENPDYHNPEYNTKFGVYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYTHLMNEEDKENLKWLHVFNKYDLYSKSSVRIDVEKVKPYYMSLIEKYFPEKLRW >SECCE4Rv1G0293480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885934028:885935170:1 gene:SECCE4Rv1G0293480 transcript:SECCE4Rv1G0293480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLHRCAIAHLSFSPASSPVSPLHRLISAVPPNPSFAVEEYLVSTCGLTRPQALKASAKLSHLKSPANPDAVLAFLAGLGLSAADVASVVAKDPPLLCAKVERTLAPIVAGLIGLGLSSSEIARLVSLSTVKFRCRSIVSKIEYYLPLFGSSENLLRALKRNFYLHSADLDDVVKPNVALLRECGLGACDIAKLCISQPWLLTANVRRVQAMVECAENIGVPRASGMFRHALHAVAFISEDKIAAKVKYLKNTFRWTDAELGMAVSKYPMVLNKSKESLQRKFEFLISEVGFESAYIAHRPVMLGLSLEGRLRPRYYAVKFLNENGLLKRNPSYSTVFTETEKAFRDKFVCPHKEAAPHLEEDYDAACKGELPANFRFI >SECCE6Rv1G0381270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:31405449:31408639:1 gene:SECCE6Rv1G0381270 transcript:SECCE6Rv1G0381270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSCLAPLPPRLPCGRVIRLPPPSAAAERPSLRFKPRGAAPTKGWRPVHISCFRQDQDVSTTSDDGTGFEYNEQAEISGDPDLKEEDGGSPNTDDQNYVNGDWFVGAQKIKENLQETIFRFWNGRWAVPWTGQTIAQVMFLWIATFWLVGSWIVPFLAHAAGFSKETLTHRGQALYSLVTDITEGLAGIAILHQCLGRFRPLPPGWFEFKLKGRWHWDVALGCMLFPLVNLLSHINISLVHMSSGPVAGVSSVEQSIVARDPVAMALYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILLSAAAFALAHFNVQRVLPLIFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >SECCE5Rv1G0346770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:663749116:663753459:1 gene:SECCE5Rv1G0346770 transcript:SECCE5Rv1G0346770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREEEESKVPLLEPCRAAAAAEQNVTDGGGGLGRRLVGENRRLWAVAGPSICTRFSTFGIAVISQAFIGHIGPTQLAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMMGISLQRSWIILTGCAVLMLPIFVFTEPLLVFIGQDPAISAVAGTISLWYIPVMFACVFSFTLQMYLQAQSKNVIITYLAFVSLGVHLFLSWLLAVRLHLGLAGIMTSMVIAMWIPVFGQLIFVFCGGCPLTWTGFSSVALTDLVPVLRLSLSSGVMLCLELWYNTILVLLTGYMRNAEVALDALSICLNINGWEMMISIGFLSAIGVRVANELGAGSARRAKFAIINVVTTSFSIGLVFFMFFLFFRGKLSYIFTTSEEVAAAVASLSPLLAFSILLNSVQPVLSGVAIGAGWQSIVAYVNITTYYLIGIPVGAILGYVFGYHVKGVWVGMLLGTLIQTIVLVFITIRTDWDKQVAVTQERLKRWYITDENKAKPDSGGSP >SECCE5Rv1G0300250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:24279389:24282185:-1 gene:SECCE5Rv1G0300250 transcript:SECCE5Rv1G0300250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCGKASTGDLRVYGPALCHEGVLPMEEREEDASLLLLQIGNHFQEAIKLLAERSRPDLLRFLDLSTCVGLLDPVSNIGFSTLLAAEKADPVGRAVVHEPELLMDLHQRSLDGLVAFLTCFFPYLTDWDAVRYLVRAQADPLVAARIVVEDRRTKCFRPGSAATNGALKLALNCATVAAKYPWPSKLAAVWLSIPRLLHKFAKQMAYVPWPYPIPPLGSSSLIGPWEFAARRSKHASKATYQYSQTLKRVLLCTIHGFYLQALARMPGGELRSRYHRSMLMAGHCYGPFHPVTNIIVNTIWHETTFPPLSQLDDFDILGTLNLMRIQARSLYGLVSFLCTRDKDLNADQAIRFLLDTDLDLTATKQQRSGVQGEQEAFRAAAIAAWHPRPDAQAAFLTSCKTPAVLSLLSDNGDGGQQQLSSQCVQQLAMLLSSAFSSTGMSAQQKQPLAVYERAFNLSMSEGRKQRRDHKRISRKVKAALTRYEMQNSGHACYQLHVICGVNVSVSGRDWNRKTRFEDDYYHHIHANFLVTRDVGSVGSVPILFFAELSNDDDGDKDSKLLCCPVDFPTPGAEPVRCLFCEQEGIRIVHPASGEGFHGHEVEFGKMVRGEDLYDNGYYREVYNNDRILENSDYITDWVHNGLEEDYMYLGSNDFTIKEDDGHESDEYGE >SECCE5Rv1G0305880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:77743426:77747957:-1 gene:SECCE5Rv1G0305880 transcript:SECCE5Rv1G0305880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSAIPAVAIIPSPLFLWRVKVILFLLWGLCCCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYAKVFDLSQTHLSHREIWRCATWLTLVVPTSMTAYLYLYSHGEVSLAASQPVLLYAILLMILISPFDMFYLSSRFFFLRTMWRIGLPLQAITFPDFFMADIFTSMSKVFSDLERSGCRMVHRQVATIAWFEADSICGSHSVAIPLVLVLPYLCRFFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPDVWISFYRPLWLISSVVNSLYSFYWDIKRDWDLSILTRIFMFKTPSAWSNLLYGRNWVFYWVLGSNLILRCTWTYKLSAHLRHNYLTVFAITALEMVRRFQWVFFRVENEWNKMTAKQNFEMSSDLLPSEADRLLDSNSHTV >SECCE3Rv1G0194330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:779973423:779973896:1 gene:SECCE3Rv1G0194330 transcript:SECCE3Rv1G0194330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRKQQLSPSPRSGGSSGKHGGKGGSKSGGGGSKKPIKVVYISNPMRVKTSAAGFRALVQELTGRHADPSKYNTEDGGAAQELSLSPEGSAAGSTPDAGAPGPAAAGGRPELAAAAFGNGEEEEDDIFGSQLLDNDYSVFSPPPPFLYDYPHINKV >SECCE4Rv1G0249070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:578535650:578539666:1 gene:SECCE4Rv1G0249070 transcript:SECCE4Rv1G0249070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAGVPPAQLGSLWSTLEDQRGARGDVPLLSSAWSLPGSQAGGDGGPKQGLLRRAGAAVAGAWGALCDGVAEMWAFARADRRKPVFAAKVGLALALISFLVFLREPRDIVSHSVWAILTVVVVFEFSIGATLSKGFNRGLGTLTAGGLALAVAELSKNLGALEEVILVISIFTVGFITNLAKLHPTMKPYEYGFRVFLLTFVYVMVSGYNTGKFTDTAVSRFVLIALGAAVSLGINIGIYPIWSGEDLHNLIAKNFAGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGPYKTRNYPWKGFTKVGGALRHCSFAVMALHGCILSEIQAPPESRRVFISEIHRVGREGAKVLRELGDNVKTMTKLRSPDILLEVHLAAEELQKKIDEKSYLLVNTERWDTSKRAEGIKDAINGNSAVAKENKNEVTEPTIADQTAAQHYKSFAAASFLSRYDSSATIDGYKTLLSWPARRSFHPNLPLEDEESKTYESASALSLATFASLLIEFVARLQNVVNAFEELSEHANFKDPVEEPAAVSRNDGGLLAKICRSVGLKS >SECCE7Rv1G0484060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:339295308:339295736:1 gene:SECCE7Rv1G0484060 transcript:SECCE7Rv1G0484060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRPVMQSSAARPALAGFRQAAASCSRSRRTVRCAVAVASAAPAGRCTLYEVLGLRAGATGSEIKAAYRRLARERHPDVAGAAGDDFIRLHDAYATLSDPDARARYDRDVVVQAYAQPPASRPNGVWGRPRRTWETDQCW >SECCEUnv1G0551770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:239980187:239983184:-1 gene:SECCEUnv1G0551770 transcript:SECCEUnv1G0551770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMHKWMVHLKMEQELQRLRRRKRRKARPTFHVFSCRKKKDPLQQTDPPSIPVDELFPSGDFPEGEIQQYKDDNLWRTTSEEKREQERLQKPMYNSVRRAAEVHRQVRKYMRSIIKPGMLMMELCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDCAFTVAFNPMFDPLLQATKDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRIGETKYLMALKNLCDVGIIQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >SECCE1Rv1G0032760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:458975111:458985806:1 gene:SECCE1Rv1G0032760 transcript:SECCE1Rv1G0032760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRVRRRTCRDKGKGKEVVEEGRAVEAGSSPPRDWVPAGDGDGGGEAVAGEAVDWTLLPDDTVLQLFGRLSYRDRASLGATCQTWRGLGSSPCLWSTLDLRAHRCDAEVASSLASRCGGLQRLRLRGHEAAVAVASALGARNLREVVAEGCRGLTDATLAVLAARHEALESLQIGPDPLERISSDALRHVALCCSRLRRLHLSGLREADSDAIGALARYCPLLEDVALLDCGTVDEAALGDIHSLRFLSIAGCYNVKWATASASWAQLPLLVAVDVSRTDVSPNAVARLISHSKTLELICALNCKFVEEEQAHSPTAFSNSKGKLVLTITCPIFKSLASLFPGKAVEEHGVFNECNWRNKRKILGVMMNWLEWILSQSLLRIAECNPYGMDDFWLQQGTAMLLSLVKSSQEDVQERAATTIAIFVVIDDETANVDAARSEAVMRDGGIPLLLDLARCSRVSAQSEAAKAIANLSVNAKVAKVVADEGGITIFTNLAKSTNRLVAEEAAGGLWNLSVGEEHKAAIAAAGGIKALVDLIFRWPAGTDGVLERAAGALANLAADDKCSLEVAKAGGVHALVTLARSCKLEGVLEQAARALANLAAHGDNNNNNAAVGQEAGALEALVQLTCSQNEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVSLAQQCLNASEGLQERAAGALWGLSVSESNSIAIGQEGGVAPLLTMAQSEVEDVHETAAGALWNLAFYSSNAHRIVEEGGVPILVHLCSSSGSKMARFMSALALAYMFDGRMDEAAIVGTSSEGSSKGVNVEGARRMALKHIETFVLTFSDPQVFPMAAASSAPAALSQVAEAVFIQEAGHLRCSGAEIGRFIAMLRNPTPVLRACAAFALLQFSIPGGRHASHHADLLQNVGAARVLRAAAAATSASIEAKVFARIVLRNLEHHQAGTST >SECCEUnv1G0555840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:304512714:304513196:1 gene:SECCEUnv1G0555840 transcript:SECCEUnv1G0555840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLTSNDLRDGDKMPETQVFNGMGYNGDNLSPHLAWSGAPEGTKSFVITVYDPDAPTGSGWWHWGVANIPADVTELPQGAGSGKGGLPAGAVQTRTDFGKAGYGGAAPPQGEHHHYHFTNASGAYLGFNVHFHTLGKASLTVIYS >SECCE4Rv1G0292430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882548571:882560634:-1 gene:SECCE4Rv1G0292430 transcript:SECCE4Rv1G0292430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGVSLGKAALGGALSYATSKVAEEIALQLGVERDVNFIRDELQMMQSFLMTADEEQSQNKVLTTWVKQIGALAYKVEDSLMDFGLHSEKKPFLGCIPRNPGDRRRIAKEVKELRAEVEDVSNRNLRYRLIKESSGSKPTVAEEQASIAAAAMFATVEHNSSSKVNLHKLVTSNDVNLRVISIWGTSGDLGKTSAIQEVYDDPIVLKRFEFRAWIRLVHPFNPQEFLRTLVRQFYENSHDEVGKEKQATSVGANVLVKMETMNQSDLVCVFNAVLCSNSYLIVIDELSTIVEWHCIKKYFPDNKKQSRIIVSTQQAEIASLCIEKPYRVSEFKQLSCDQTIYLFHRKDSDEQISMGTVNVAMFDTDEASFGVMENVKQKDMHASCSAEPISDSKKVTTSEKSITMLTSEIHEEEDQEPNDAGEEKVHNSTARKKFDRSRTLALADEVICGREIEKTTLINLVGQPENNQDFKVISVWGMGGLGKTTLVRSIYRSQQLGGWKRAWATVLRPFSPEVLLRDLALQLQNTIQEDPAVATTGTQKKSITAMNLQELKKELARVLNGKKCLVVLDDISTTFEWESVKQCLENAGRIIVTTREKNIAKHCSRGNKNMYCLEGLKDDAALDLFVKKVFKDNIKKNDLVPAMMEQAIVTLQKCDGLPLAISTIGGFLATKPKTAIEWRKMNDCISTELEINPELRMIKTILMRSYDGLPYHLKSAFLYLSIFPEDHRIRWGRLVRRWIAEGYSRDMHGMTAIELCRRYFDELLDRSMILPGEGTDQYSQKINSCQLHDMIREICISKAREENLVFTLEEGCCFSDTQGAIRHLVIGSNWKRDKDVLESMLELSHVRSLTVFGEWRSFFISDTMRFVRVLDLEDTLGLRDHHLNKIGQLHHLKYLSLRGCHNILFLPNSFRNLRHLETLDVRGTRISELPTIIIDLRKLQHLHADGYFYRFCVKGEDDIVDKHEGYINRISTCQICSTLLSRGHVFLRPQVDAGLNRYDVFNLYCFQEMKLDGVIHPRGIGKLKALHTLGVVDVSGRNGNATIKEFGELTQLCKLKVGGLSYGNINELWSAIAGHNQLQSLSIKIGNLRKERNVLDVCLGEGMLPPSSLESLTLVGKLVNVTEWIHKLQNLSKLVIRCSRLEQDDAIQALGLLPNLAVQRLMPDSFDGTQLHFHSSSFLSLMVLELCWLGKLQSVLFDKGAMPKLELLQVGRCYKLDAFCGLPALTSLKEVLLGSHRLSETLKESVQSQVAEDMKYVRVNIVDY >SECCE6Rv1G0428270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:725303314:725303759:-1 gene:SECCE6Rv1G0428270 transcript:SECCE6Rv1G0428270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFSRDQLIGSAFVAFGIILFVGFFYATVVSKMLPPYENWLLSAIQNDRYYCQLVTLTLPVIIVAGYLHWLSMKMFKHA >SECCE2Rv1G0085180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:186687596:186690007:1 gene:SECCE2Rv1G0085180 transcript:SECCE2Rv1G0085180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g25360 [Source:Projected from Arabidopsis thaliana (AT1G25360) UniProtKB/Swiss-Prot;Acc:Q9FRI5] MPPPPLHAVASLPYQCSVLLRQLAARHSPLPGSPRSFLRALRRLHARLITAALLHDPSHPHLTLRLLHLYTLSPDLATPAILFRSDPGPIAATSLVSAYAIAGRLPDAASFFDSVPLPRRDTVLHNAMISAFARASLAAPAVSVFRSLLCSDSLRPDDYSFTALLSAVGHMHNLAASHCTQLHGSVLKLGAGAVLSVSNALIALYMKCDAPEVSRDARKVLDEMPVKDELSWTTIVVGYVRKGDVHAARSAFEEVDAEFDVVWNAMISGYVQSGMCAEAFELFRRMVSKRIPPDEFTFTSVLSACANAGFFLHGKSVHGQFIRLQPNFVPEAALPVNNALVTLYSKSGKISVAARIFDSMSLKDVVSWNTILSGYIESGCLDNAARVFKEMPYKSELSWMVMVSGYVHGGLAEDALKLFNQMRSEDVKPCDYTYAGAVAACGELGALKHGKQLHAHIVRCGFEASNSAGNALLTMYGKCGAVKDARLVFLVMPNVDSVSWNAMIAALGQHGHGREALDLFDQMVAKGIYPDRISFLTILTACNHAGLVDEGFQYFESMKRDFGICPGEDHYARLIDLHGRAGRVGEAMDLIKTMPFEPTPAIWEAILSGCRINGDTELGAYAADQLFEMIPQHDGTYILLSNTYSAAGRWVDAARVRKLMRDRGVKKEPGCSWIEVGNKVHVFVVGDTKHPEAHEVYKFLEMVGAKMRKLGYVPDTKFVLQDMAPHQKEYVLFAHSEKLAVSFGLLKLPLGATVTVLKNLRICGDCHTAMMFMSLAVGREIVVRDVKRFHHFKDGECSCGNYW >SECCE3Rv1G0186840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:691804126:691804683:-1 gene:SECCE3Rv1G0186840 transcript:SECCE3Rv1G0186840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTALLEVLVEHHNNGDHAQNGWKSHVYSAVICNVREKCFVTITKENISSRCKTFEKHYEAISKMLSQSGFGWDWINNKLPIDSEDVWLKYVAANKKVGFYKNKVIKNWDAITTIYSKDHANGEGAVTGAETVVEPTTEPNEASPEVPHKK >SECCE3Rv1G0150850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:34381971:34383407:-1 gene:SECCE3Rv1G0150850 transcript:SECCE3Rv1G0150850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLSAAAGDLVSRFFSFLIGRYSEVPCSEEKHAERLQQLLLRAQTVVEEADGRYITNSGMLLQLKMLARAMYHGYHALDTFKCNQLIREGTKEVMRSGSFASYLATPLKRFRANAGISNHQVENNCDLPDALLNLETIVSNITEFVILLGGCEPMFRRPYDTYLYVDNCMFGRWTEKQQVLNFLLQRNPRASPSVLPIIGGYLVGKKTLVAHVCNDEKVRSYFSSILHFNGDNFHMVKTERCTGRALVVVKFVSEVNDEDWKSFYQAVTSISTESKVIIISRMESLTRYGTVKPIHLSSLPDEEYSYLFKALAFGSAHSEDHPKLTLLAEEFIKLLDGSFVAAYSLTNGLRTNLSLQFWLSMLKRYKNVTKNNLSMFGEHPSDRFKKRCAVDFTNFLPSPAAPLHLMPSRTEVGKLPKIRIGDIIENPSLRPKGDFVLVTWESQIPPYTEFSYHVPSCAQRQPKTTLRRKREATIL >SECCE5Rv1G0372190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:851910540:851913350:1 gene:SECCE5Rv1G0372190 transcript:SECCE5Rv1G0372190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAARRAGDSSSGDISPAICDETLPLAAGVERDANFIEDEMEVMNGFLRHLATTHQLAPGAGHRDDNDEVQAWVRQVRGLTGDSRDCINLYRHTSPRRAKGLLRYMQMPWFLGGCVIIPRSRSTIAKRIRELKVRVQEAGERRLRYSIAVPPPPPPAAITQASTTMAMVSSSRRPSLDNFQESADGGDNDDERGAFRRALIDAEPNILEEGAAELITWLGKKGDRDDAPARGRHFRVTVILAPDDAEGAGLAQQVYQDPSVFGGFDVKAWITIQRPPNVWQIFHDLLCQLLPDHQNQDDTSPDNMKLLWSIRGRLEGRRVLIVLDDLDDYAGFWNQIRIALNFISLSAGSAIVVTTKDEGLVMSSSPDKVISYSLVDFFFKRAVALVASNFQDGDVRGIMRSILKRCEPDVASMKMFLHALYGNPNRTKKELEKLQESMRSKADHDSSYNFKKMVMFSYGDMPRECQMCFLHLCLLLPQDHSIRRTSLVRRWAAQGLISAEGGHGSAEAAADRCFGAFVSRGLICPADIGDAGKIKTCVVPPAVHRLITEVAEEESVTVGSMICNAHLGDIKRCLGSLPASSQLLLLKVLDLEGCKGLERRHLNNICKLFMLKYLSLRNTDVCALPKQIHRLEQLETLDIRQTKIQAFPADFAKLLMLKHLLAGRADFSSPIKDTINSKESFSTPCMPPGIGAMRGNLEIVSHVQVSGSATESMLMDVGQLVKVRKLGVALHGKKVASSFQHLLQAISKLHKCLRSLSIRIGHPGNETDPPTFSMDQENPFSPPKFLQSLYISGLTGVGLPPWITELHQLAKITLRDTSLTDHAIRLLGKLGGLRCLRLRHMSYTEMKITFGKGEFPNLKFLIIEGSDITNIIFESGAAPGLEKIAWGFKSMQFISGIGHLPRLKELVLSGSCNPDPVKQAVAEHPNHPVFKHDG >SECCE4Rv1G0223470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:70396922:70405925:1 gene:SECCE4Rv1G0223470 transcript:SECCE4Rv1G0223470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALAERSGAIDARTGYCATTKSFVSLRPPLPLPPADVPLTFPAFALSLLPSPLPAHPALLDAATGEAVSYPAFLSQVRALVGALRSRAVPLGRGDVAFVLAPARLDVPVLYFALLSVGVVVSPANPALTAGEVARLVSLSGASVAFAVTSTAAKLPAGLPTLLLDSTHFRSLLHSDQGEKDSQPLDTGAVCQSATATIQYSSGTTGRVKAAALPHRSFIAQAAGFHARNVRSRKVNERTLMGAPMFHSMGFFFALNGLAQGLTTVVMTDAATRAGLRGMLEAAQRWEVTEMTAAPPVVLGMTKGRCRLTSLERVICGGAPLSTSVAEQFRRRFPHVDLCMGYGSTEAGGISLMIDRDECSRVGSAGRISQNVEAKIVDIVTGEPLPVGRKGELCVRGPSIMTGYIGDDDANAAAFDSDGWLKTGDLCYIDQDGFLFVVDRLKELIKYKAYQVAPAELELVLQSLPEIVDAAVMPYPHEEAGEIPMALVVRQPGSKVTEAQVMEHVAKQVAPYKKVRKVVFVDSIPKSPAGKILRRQLSDHMQPCHVSRL >SECCE5Rv1G0370160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:840869266:840871174:1 gene:SECCE5Rv1G0370160 transcript:SECCE5Rv1G0370160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLSLHGLPSPTAKKLTSSFLGARSSFLRPAALAAAATPARRVFAVRAMAPPKPGAKAKKVVGIIKLALEAGKATPAPPVGPALGAKGVNIMAFCKDYNAKTADKPGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGVEKGSKEPQREKVGKVTVDQVRTIAQEKLPDLNCKTIESAMRIIAGTAANMGIDVDPPILVKKEKVVF >SECCE1Rv1G0029150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:410324964:410328084:-1 gene:SECCE1Rv1G0029150 transcript:SECCE1Rv1G0029150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSALASAGRAANEAVSFVVFMLLDALEVLLCFVYKVADYVAEGAWRPCYCSSSAVAAPGKIVVSERGGSKVVSMLSSTKLLLEDISDTLYTRPSLLASAAGPSPPSFSRRREKARPSASATTLTVHSAIVQILRGKAAGAGDDEHKPYPSPRWSDCHCANCNPADADRLFVHVEGPHDGGATEEDVLFIHGFISSSGFWTETVLPHVSREARARRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIERYKVGAFHIVAHSLGSILALALAVKYPAAVKSITLVAPPYFPVPKGEMGTQYVLRAVAPRRVWPAIAFGASVACWYEHLGRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDKCLEAVQDQLTCGVTIYHGGDDELLPVGCSYAVQSKIPRANVKVIDGKDHVTIVVQRQKELARELEEIWDTKR >SECCE6Rv1G0412940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:619016996:619017414:-1 gene:SECCE6Rv1G0412940 transcript:SECCE6Rv1G0412940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANHGILPLTIIVLVLLSSDIQNVRALVREEADVTADYNHYTCTKVIGRHCTIRTCRDSCHKKLSGVGNCLADGECRCSYYCNTPPSFTTKSYETLH >SECCE1Rv1G0057390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693466793:693467932:-1 gene:SECCE1Rv1G0057390 transcript:SECCE1Rv1G0057390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAEDDYDYLFKVVLIGDSGVGKSNLLTRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLRELKDHTDANIVIMLVGNKADLRHLRAVPSEDAKAFAERENTFFMETSALEAMNVEDAFTEVLSQIYRVVSKKALDIGDDPAAPPKGKTINVGSKDDVSAVKKSNCCSS >SECCE1Rv1G0037890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:531850498:531851985:1 gene:SECCE1Rv1G0037890 transcript:SECCE1Rv1G0037890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKDEQQQQPLHILFFPFPAHGHLIPIADMAALFAARGVRCTILATPVNAAIMRSSVDRANDAFAGTNSPAIDISVVPFPDVGLPPGVENGTAIKSQGDRGKFFRAAQLLREPFDRFLVDSRPDAVVSDSFFPWSVDAAAVHGVPRLAFLGSSMFALSCINSMLRHNPLATVPDDPDALVSLPGLPHRVELRRSQLMDPTNQAEHWGWAFFEGVDAADKRSFGEVFNSFHELEPDYVEHYRTTLGRRAWLVGPVALATKDMAGRGTDALSPDAGNCIEWLDMKPTGSVVYISFGTLTSFSPAELHELARGLELSGMNFVWVIGAACPDSSEWMPEGFSELMAHGDRGFIIRGWAPQMLILNHPAVGGFLTHCGWNSTLEAVSAGVPMVTWPRYADQFNNEKLIVELLKVGVSIGAKDYASCVEAHEVIGGEVIAESIGRLMGNGEEGDAMHKKAKALVGKARRAVENGGSSYNAVGRLIDQLTARRSSVKVGEK >SECCE4Rv1G0271140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:759597119:759597590:-1 gene:SECCE4Rv1G0271140 transcript:SECCE4Rv1G0271140.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSIAALVFLVAALLCHIRTGDAHRFCGKSDITIAARKTGKVVERQPEYEVAIGTSCSCPMKDVRLWCGGLEDSAVPLDGGKVEVDEGMCVLKQPVVRGSPLVLRYSSVVPVHFRVFNAAPYC >SECCE7Rv1G0524360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:885799466:885802840:1 gene:SECCE7Rv1G0524360 transcript:SECCE7Rv1G0524360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLLLPTSSSTTADASDRRRGQQPQQNHHSKRKKKPPAPPPPLSPAPRTPGRRHLAATGTPSRKSPALAAPTTGAKARLAAGKDHRQRRSGSKKAAAQKPARPASSWEQLKSLLSCRSATAAARVHDPAALARGPGAGGYWGTSLCSMRDVATVDGASSAASVVVDHRDTAPLNRSSRRAHHSSSSSAGGGGHPSSLRGLSGCYECRAINVEPVSRRYPRPRELCPCSQCGEVFTKAESLEQHQAVRHAVSELGPEDSGRNIVEIIFKSSWQKRDRPICHIDRILKVRNPPRTVARFEAYRDAVRARCRADAAVSAGGATRAAADGNELLRFHPAALACELGADGSTSLCASCADADADGKAAAEACGVCAAIRHGFAPWAGVHPLGVRTTASSGRAHDVCGAAEGPSAGAPAWRAMLVCRVIAGQVRRRDGDGEEEKEEDGQAGPFDSVAGDDAGGAYGVLEELFVANPRAILPCFVVVYRVDVDAQ >SECCE2Rv1G0077660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:100828348:100830164:-1 gene:SECCE2Rv1G0077660 transcript:SECCE2Rv1G0077660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPHAALLLLFILACLRGAYSGGGQSRFTSIISFGDSYADTGNLVRWTDPVLPGLLLKNLPYGQTFFGQATGRATDGRLVLDFIAEALGLPSVPPNLAKGSNFSAGVNFAVVGAPALNLTYLQGLNLTVNPPINSSLHDQLLWFQKLKPSLCKGQGTDCFGNSLFVMGEFGGNDYISFLLSNRTVEQARPYVPQIVDSISRGVERLVQHGAKYIVVADIFPIGCLPGALTKLASPNKVEYDRHGCLKSVNRLARYHNSLLRQQIKMLQYKYPHTKIIAAEYYKPFLAFLDMPGHFGLNSSTTLLSCCGAGGPPYNFDFNAGCGLPGVTVCANPYQALHWDGFHLTESAYRTIADGWLHGPYADPPIMHVAH >SECCE6Rv1G0415760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:643888918:643890249:-1 gene:SECCE6Rv1G0415760 transcript:SECCE6Rv1G0415760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSWAAPSALEAVETKISPSILFIVAVLAIVFFVCGLLHLLARHLLRLRRRRRAALDDAESVTAFQGQLQQLFHLHDAGVDQAFIDALPVFLYRNVVVGAGEGGGGKDPFDCAVCLCEFAPDDQLRLLPKCSHAFHLECIDTWLLSHSTCPLCRRSLLAAGDLSPTCSPVLMLLESADSSRDLAGSARHADAEPSGLAVRVDGAEEVVEVKLGKFMCVEADAEAGAGAGDEAGTSSNAKADDGAGLGPRRCHSMGSYEYVMEERASLRVAIAKPPPKKKRPAFSRARRGGGAMSECEFGASRRRGESSSLRYPVIPATTTTRKQQPPPDLPAAAKLTKDSFSESKIWMVPPASSRKGDPDAGGRRTVSFRWPMRSGKEGEEESGAERKSGSEADWGDVETGSGGGNSVVSSLAEERPSFARRTLLWVVGGRQQSNRVGDCP >SECCE5Rv1G0375860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869751580:869752992:1 gene:SECCE5Rv1G0375860 transcript:SECCE5Rv1G0375860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETTPFCVTGAPGKAKGHAVPAARIHDALVFAAGAVAAVLLLLCFSSLLAPTPVPNLVAGPDLPFPTSFPQPQQDDSSSDSADEESLYARVAAGPRTFYDDAALSYAVDRRVTGWDAKRAEWLRQHYPRGLRARRGPGERVVMLSGSQSYPCAGDGGDHMLLRFLKNKVDYARLHGIELLYNTALLQPDMVAYWAKIPAVRAAMLAHPEAEWVWWLDADAVFTDMDFALPLATRYRDYNLVVHGWDREVYEARSWVGLNAGVFLIRNCQWSLDFMDAWASMGPASPQYSQWGKTLMATLSNKPDAESDDQSALAYLLLKNRDKWGARTYLEHEYYFQGYWAEIVDRLDGVASRYRAAERRFGPALRRRHAEGEHALYAAARNAALRKKAGGVPGPDGGGQKKSYWRRPFVTHFTGCNPCGGKPNEIYSNESCADGMRRALNLADDQVLRAYGFRHAGPLKDHVRPLVS >SECCE5Rv1G0333080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:554378363:554379191:1 gene:SECCE5Rv1G0333080 transcript:SECCE5Rv1G0333080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCASMLRRKTERRYPEPRRGTANALFVVRGDRRPSNPEGLVVRVQDSGRTQLRRHGSAETGRHAGCWQQGTDTVDRMIARARMPRLPCAGTGTVGVEPDAALRTRETTAANRGAPPGPGVEPEAPLRTRKTTAAVDRGAPPGPRRTPPTAPVTPTPASPSMTPMSGAPAAPSTPASAAMTPGRPVWQRRILMGMRCELPRFSGLVLYDEHGRPIQVGTPGRRNHRQGKKKTARTSSTTTLRDLL >SECCE3Rv1G0211970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953969084:953970643:-1 gene:SECCE3Rv1G0211970 transcript:SECCE3Rv1G0211970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARASRAGRFLRRRRADRMSDLSDDLLLLVLRRLDTRTALGAGSISRRWAHLPRELPALDLKASDVLPPRYHRWVRLHRDIYSKPAAYLEYRRHAIALELLPNVKRYERRAMRALNRSVESLLQVPRSRRGLLKRLNLDFYATGNGCSMNRLIAEAIDAWGVHDLEVIAKPLYRETAVVHAFPSRGMCNEPRAACLRSLKLGGCLLPPLHEYSALTMLVLRDIPESTPPAAYEAVFTSCPKLQTLHLISCSCRSTDSGTTLPVVVDAPSSEIRELVVDKCAFQWIRLRTLPCLESLASLGTTVFFEYNLIPSLRQCNFALRLGVMLEGARQYFAKRLKLELDMFLRCTPNITSLIVRFTGPDRWIVPSSSPLSYLPNLRRLLVADVPSSWDASWPRLFLELAPSLEILHINIASCKDKPGKEISWQPSELRQHHLKEFVVTGFEGTKRQIYLVNFVVGACTALRRVALFRNGHAQGKGLWDWEMVTQPHSWTDEEKEHTLKQIMDGVPSSAVQLVFG >SECCE2Rv1G0103300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:588960607:588962712:1 gene:SECCE2Rv1G0103300 transcript:SECCE2Rv1G0103300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKKNRNEISKYLFQEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEFLRNFLNLPSEIVPATLKKSARPPGRPLGSGPPGDRPRGPPRFEGDRPRYGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGGGGGGGGFGRGGGGGFGRGGGAGAGGFGAGPME >SECCE5Rv1G0373900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:861517395:861517673:-1 gene:SECCE5Rv1G0373900 transcript:SECCE5Rv1G0373900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLRIRLLNDQWLTTVLWSGFARIVNNEIIILGNDAELGSDIDPKEAQKAPEIAEANLSKAEGTKDLVEAKLALRRVRIQIEAVNWIPPSN >SECCE6Rv1G0404600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:521915528:521917760:-1 gene:SECCE6Rv1G0404600 transcript:SECCE6Rv1G0404600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHQHHPGPASGSASASSSSSGLHLPASPFGDTTHTKLFVGGLAWETTSERLRRFYERFGDILEAVVITDRQSGRSKGYGFVTFRDAEAATKACEEPSPVIDGRRANCNLASLGRAQPSTPLGRPRSAGSYFGVPVPRGIYVGGYGQQRPLPIGYYQYQGFPVPQYSYSTYGTEYIYPQGTLNPYVGQQYVPVYGVSAAANTANQPFSQLSPSISGGGNGYPMMHGYSMPGNQFVHLTGSNLINSSPTNRPTIQAPFLVAAPVPSHPHLVVPAHSPQFTQASGSD >SECCE3Rv1G0190660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:742616907:742618746:-1 gene:SECCE3Rv1G0190660 transcript:SECCE3Rv1G0190660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNHDRDDSLDTVLEVPIPDEMLANAPGADKRRGGGANMRAWLKTQAFDRATVDGPAAAAANAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIQASTAKYIMQQYIAATGGQAALQGVQSMYAVGKVKMCASEFHLGDQTVTAAQGRAEVGGFVLWQKCPEVWYFELIMAGHKMSAGSDGKVAWRQSAAENSHVSRGPPRPLRRSLQGLDPRSIANLFSDAVCIGEKVINGEECFILKLEASAATLRARSAAAFDIIHHTVWGYFSQRTGLLIQLEDNHLLRMKSGKGARRSENIFWETSMESVINNYRHIDGVNIAHGGKTTVTLFRYGEGSVNHKRKLEETWTVEEADFNVKGLTSDYFLPPSDLKKEGDDQHAG >SECCE4Rv1G0261200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700550515:700551687:1 gene:SECCE4Rv1G0261200 transcript:SECCE4Rv1G0261200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSKDTSRRRNPADKLTEDLLIEILSRVPYRSLCRFKCASKQWRGIISHPGNRKVLPQYHLQELAGFLYKRQQPVTGCFLGRHFAHVSEGGRPRIRPSLPFLPDCHQFHLLDSCNGLLLCRRFETADSWEFDYVVCNPATEKWVALPGFFGKWQTARLGFDPAVSSHFHVFHFLEHEIDGYPDGDDYESDGHVKGVEIYSSETGAWSHKDSGWGFPTTIVNHSKSVFFNGFLHLLTFEDAVVAVDVEGTTWRVIPIPYNPDATIDLGGEFTDLSRRRLYLAHTDQHDKYKLSIWVLEDYNSGVWVLKHSVTYMHLFGVKYAHHGSEYHIVALHPQRNMIFLVYGRHKELMSYEMDSGKVQLIDDLGCDSVLPYLPYVPLYSEELADWH >SECCEUnv1G0546000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:148028268:148029422:-1 gene:SECCEUnv1G0546000 transcript:SECCEUnv1G0546000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTERSPVSQLSDDLLVEVISRVPYKSTRCCKCVSRRWRDLVSHPDHRKKLPRSTLAGIFYQAFPGERCPTMLCGYQSVSGNWCTSMDRSLSFLPNCNRGNMYLLDSCNGLLLCLCWNQPSEVNGFCYVVCNPATETWVTLLATTWSSKVRTARLGFDPSVSSHFHVFEFVSTTGVVDVNMQGHDTGKKIVRVYSSKTGAWKHGVVWDSPLSISRFPRSIFFNRMLHFSSDNNLVAAIDVEGNHRVIRCPMPHSARGVPNVYLSRGQLHLANESVSELSIWALEDFSSENWTLKHSVSHLQLLGTEYSMFAGNYRVILIHPENNLIFIVCEQLYMLSGPSLPKLMSYEMDSRELRFICDLERGCRTLYLPYVPLFSEPLADGQ >SECCEUnv1G0530480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:13436001:13436345:-1 gene:SECCEUnv1G0530480 transcript:SECCEUnv1G0530480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRADKENLPHATAAVARPHGVVAVRSCKLKRLGRARRRVPLRDITNLFVAESAVAEWQQGLLQQPHAEWQQGLLQQPHEGSAAAAELAVKNGPAGGAALKPGRYLLRKEFR >SECCE2Rv1G0111470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:701232146:701233161:1 gene:SECCE2Rv1G0111470 transcript:SECCE2Rv1G0111470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAACRSMEGRWSLAGSTALVTGGSKGIGHAIVEELAGLGARVHTCSRNAAELEGCRLRWEEKNLRVTVSVCDVSVRAEREKLMDTVRQTLGGKLDILVNNAGQSMVKAATECTGEDYALVMATNIESCFHLAQLAHPLLRSGSGRASSVVHISSIAGFVGFPGLAVYSMTKGAMNQLTRSLAAEWAGDGIRVNCVAPGGINTDIAKDMITRDPEMAKNQASQLPMQRLGETEEVASVVAFLCMPAASYITGQVICVDGGRTIA >SECCE6Rv1G0382260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:40195918:40197927:-1 gene:SECCE6Rv1G0382260 transcript:SECCE6Rv1G0382260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDVDDRAAASLAAAARSLRAGLERSRAMGQALARGGPRLEEIQAALPALEAAVRPIRAPMAELAAAGPHIDRAVGPAAAVLKVFDAVHGLEPPLLEAGAAARDLPGYLAVLGRLEEALRFLSGNCGLAAEWLADIVEYLGDHDLADPRFLAEVGVALDGLKNPSGYLDDGLLAAALDLLEADFRRLLAEHSSPLAIQQHGATSASVAPTRVPTAAVRKLSPILDRLLANGRQDRCISLYADARGSVVSASLHALGLDYLHNPADDAQALGPAVEFWGQHLEFVVRRLLESERQLCAKVFGQHKDDASVCFAEIASRASVLDFLRFGRAAADAKKDPIKLLRLLEVFDSLNKLRLDFNRLFGGKVCADIQCRTRDLVKLLVDGSVEIFEELIVQVELQRHMPPPADGGVPRLVTFIVEYCNRLLGEKYRPVLAQVLTIHRSWRKEVFSDKMLAVAVLNIVKALEANFDVWSKAYGNKIQSYIFMMNTRWHFYKHVKATKLAELLGDVWIRDHEKFKDFYLTVFMRDSWGALSPLLNREGLILFSKGRATAKDLVKQRLKTFNARFSEMFHEQSAWIIPDKDLRRETCNLVIQAIVPPYRSYMQNYGPLVEQDTSASKYVKYTVDGLEKMLGSLFAPRLKRAGSFQIGHSNGKITSAMTGMYRSASTVK >SECCE1Rv1G0007970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:43358703:43359464:1 gene:SECCE1Rv1G0007970 transcript:SECCE1Rv1G0007970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFFLLALLALSASCALASEPGQLQDFCVVDRTSQVFVNGFSCKDPKTAVVEDFYFSGLHMAGNTSNKQGSIVTAVNVAQIAGLNTLGVSLARVDYAPYGQNPPHLHPRATEILTVLEGSLYVGFVTSNPENKLFSKVLNKGDIFVFPQGLIHFQFNIGNNKAIAIAALSSQNPGVITIANAVFGSKQSISDNILAKAFQVDKNIVDHIQAQF >SECCE3Rv1G0184380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:662643335:662645889:-1 gene:SECCE3Rv1G0184380 transcript:SECCE3Rv1G0184380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVFAGEGAAARGGCSAECAGGIERPPDLGSRAGDGCGKRSVYLMECVPLWGCAASRGRAAEMEDACAAVPRFAAVPARMLASSRELDGIGGDFDASELRLPAHLFGVYDGHGGSEVANYCRDKIHVVLREVLRAGRALGELGEVGEVDVKEPWEKVFGDCFQKVDDEVSGKATRFSNGRPEPIAADNVGSTAVVAIVCSSHVITANCGDSRVVLCRGKEPIALSVDHKPDRKDERARIEAAGGKVIDWNGYRVSGILAMSRSIGDRYLKPFLIPKPEVSIVARAKDDDCLILASDGLWDVMSNEDACKVARRQILLWYKNNNDGANSDGGSEPTMNPAAKAAADCLVRLALSKGSGDNISVIVIDLKSRKKTKCKS >SECCE4Rv1G0220370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:38737929:38740297:-1 gene:SECCE4Rv1G0220370 transcript:SECCE4Rv1G0220370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATAAKGDPVVGRDDEIERVVRILCRRTKNCAALIGAAGVGKTAIAEGLAQRIAAGRVPTALAGARVVAVDIAALVAGTKWRGMFEERMKSLIKHAESADGKIILFIDEMHMLIGAGDWRCRSDAANILKPALARGRIRCLGATTYDEYRKNIETDAALERRFQKVDIQEPSTQATIAILKRLRQRYQDHYGLKIPDAAIDAAVHLADRYITGRQFPDKAIDLIDEACTSIHDGRVQKIVRPDDIARVVNRWTGIPITTLNEEEKIKLIHLADRLHERVVGQNEAVNLVAEAVLRSRAGLGQPGQPIGSFLFLGSTGVGKTELAKALAEQLLPPEKTLVRFDMSEYVCPSSVSRLIGASPSYYGYQDGGQLTEIVRRRPYSVILFDEVEKADRSVLNVFLQVLDDGVLTDGKGRKVDFKNTIIILTSNLGAEHLTSGRAVESTVNIARDLLMKQVRTYFKPELLNRLSEIVVFEPLLHHQLKEVVNIQMKSVISRVAGKGISLVLSDAVLDVILSQSYNPMYGARPIKRWIEKHMVTTISKMLVNGEACEGSTISVDAASDNKGLKYQVASSENMADSV >SECCE4Rv1G0268830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:745089230:745091227:1 gene:SECCE4Rv1G0268830 transcript:SECCE4Rv1G0268830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDLFRLATLYLLLAATAGADDGRQFAYNGFAGRSLDLDGAAEVTPNGLLMLTNGTIQQKGHAFHPSPVPLRSARSFSTAFVFAIFGQYIDLSSPGMAFFVTTSKEVLATALPGQFLGLLNATNNTNRNAHIFAVELDTLLNSECRDINSNHVGVDVDSMVSRASADAGYYDDATGRFQNLSLISRQAMQVWVDYDGAATEITVTMAPLGVDRPKTPLLRTTVNLSALVQQQDKAYVGFSSATGILFARHFVVGWSLALDGPAPTLNISSLPTLPPTEPKPRSRVLEIVLPIASATVVLAVGIAAYILVRRRLRYAEVREDWEVAFGPQPFSYKELYQATKGFSETNLLGAGGFGSVYKGVLRKPVMDTEVAVKRVSHQSRQGMKEFIAEVASMRRLHHRNLVQLLGYCRRKGELLLVYDHMPNGSLDKYLHDPFTGKATLKWPQRLHIIRGVASGLSYLHGDWEQIVIHRDVKASNVLLDAEMNGRLGDFGLARLYDHGSDARTTHVVGTMGYLAPELGHTGKATPSTDVFAFGAFLLEVTCGRRPIEEVEGNNRFMLVDWVAEHWRQGWVTKAADIRMPSFSLDQVSLVLKLGLRCSHPLPNARPTMRQVTQYLDGDVPLPEFSPEYLGSTMLELMYSAEFFNKNVVSYVSSGVISDLSGGR >SECCE7Rv1G0508760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:774385813:774390153:1 gene:SECCE7Rv1G0508760 transcript:SECCE7Rv1G0508760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A2c, Reguration of meiotic crossove [Source: Projected from Oryza sativa (Os06g0693300)] MAAAASYFSGTALMPSQRAGAADYSAAGAATPSPSKSRNPRYSGCVPATVLHISRSLAAAADHGGGGVEPVFSIDGVETSNVRVLGRVVSMSSRETDVSFTLDDGTGKIDLVRWINDETDARDAAFIQHGVYVRVHVNIMGFQAQKHGFARSIRPITNFNEVVLHFIECMHVHMENTQTKMQGQLPPAVQTNAYTHVPYSGGVREQQVHFTPQVNQRQLPPAVRTNASTYGPFTGGAREHQVQFTPQVNHGQFPPRVQTNTSTHGPFSGGVRDHQVQFAPRPQINQFAAYSGTGGQQYDLQRMVLEVLQAPDILSLENGVHVDEVARRTGAPKTNIMEVVNLLADTGFVCWTIDDYHVKSVCNG >SECCE4Rv1G0223720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:73408386:73410167:1 gene:SECCE4Rv1G0223720 transcript:SECCE4Rv1G0223720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHRRRSCLRRVLTIAGGVSAGLLLLAGGGTYAGVPLFSPSLLPLGPGADCSPPFAPPPFALSPLPPYLLSDLEADASPPQPETNLPRRLLPIHRSPPPPPCLPSNSESGADRSPPQHDDADAVLLPDGEILLLADAEPAGAKATCAFQGGASSPASALGSLPGSGRHAYVCLMPEPARSLQPLQAPLLLPASAASASADCPGRRALLDWSDRIAFSSATLDSGDVLVFAKGVNHAAGGVQCIYRHCGHGVVASFPAITSVQQVTRCPAPPMHLNSQNTELRVTVAATGEGPVPSIATYHRPQQSQGGLVVTPAPKNLICACTMVHNVSKFLREWVLYHAAVGVEHFFLYDNGSLDDFADQVAQLRSDGISISTVPWPWIKMQEAGFSHSAATHQSSCKWMAFIDVDEFIFSPNWIGSEKPSKSMLEAILPVDPDVGQVYLWCFDFAPSGQTSHPQEGVIQGYTCRRKIILRHKSLVLLAAVNHSLENAIHHFTLKDGFRSIWNLQARVNHYKYQAWSEFKQKFKRRVSAYVADWKDPINLQSADRAPGLGVDGVEPVDWAQRYCDVKDNLLQRLSARWFGNGLATLGSQDT >SECCE3Rv1G0157900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:84105776:84107236:-1 gene:SECCE3Rv1G0157900 transcript:SECCE3Rv1G0157900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEPVPGGDVKLFNRWTFEDVQVSDISLNDYLAVTSMKHYTFLPHSAGRYSAKRFRKAQCPIIERLTNSLMMHGRNNGKKIMAVRIIKHTMEIIHLLTDANPIQIIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNVKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >SECCE4Rv1G0286680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:850235835:850236589:-1 gene:SECCE4Rv1G0286680 transcript:SECCE4Rv1G0286680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFSSPCGACKFLRRKCANGCVFAPHFCHEQGAAHFGAIHRVFGAGNVSKLLTSLPAIDRREAAATVSYEAQARLHDPVYGCVAHIFALQQQAVNLQAQLESLKAQAPQGYTNTEEDSIKAKVMAYQKGEARMPRPAELCPSVKSESESYFGNDLMTCTSMQSSQEYNISHVYTPDYTAPFNDEGIHSSTMFPVDIREYLQENGDYWQ >SECCEUnv1G0532880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:24674718:24676882:-1 gene:SECCEUnv1G0532880 transcript:SECCEUnv1G0532880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKEYTEASKLVDDISSMIADRSSLPQSGPEIMRHTSAIRRKITILGTRLDSLVTLLSRIPPKSLTDKEMHKRQDALSNLKSKAKQMGTSFNVSNFANREDLLGQSKKAADDMSRVAGLDNQGIVGLQRQVMREQDEGLERLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRAKGGCSCMCLMLSVATIVLLAVIVWLLIKYL >SECCE2Rv1G0139770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:929025881:929027095:1 gene:SECCE2Rv1G0139770 transcript:SECCE2Rv1G0139770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKTAFLNGELKEEIYMDQPDGFVVSGQEGKVCKLLKSLYGLKQAPKEWHEKFERTLTDAGFVVNDGDKCVYYRYGGGEGVILCLYVDDILIFGTKLDLIKEVNDFLSRCFEMKDLGVADVILNIKLLRDENGGITLLQSHYVEKVLSRFGYSDCTPSPTPYDASVLLRKNRWIARDQLRYSQIIGSLMYLASATRPDISFAVSKLSRFVSKPGDDRWHALERVMRYLKGTASYGIHYTGYPRVLEGYSDSNWISDADEIKATSGYVFTLGGGAVSWKHVKRRLKSVRKLRNSGVITLDYIQTSKNLADPFTKGLSRNVIDNASMEMGLRPTA >SECCE7Rv1G0508170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:769976331:769979009:1 gene:SECCE7Rv1G0508170 transcript:SECCE7Rv1G0508170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSRGSRQQSVLLTAAVVGVLLLATVGGAAARLSRNYYAKTCPNVEALVRGAVTQKLQETFNAAPGTLRLFFHDCFVRGCDASVLISGPGDEHSAGADTTLSPDALDLITRAKAAVDADARCSNKVSCADILAIATRDVVQQAGGPSYPVELGRLDGKVGTRAVVKHSLPGAGFSLDQLNKLFAANGLTQTDMIALSGGHTIGVTHCDKFVRRLYTFKGAKPQYSPPMNLAFLRQMRGTCPLNYSPTTVAMLDAVTPNKFDNGYYQTLQQQKGLLSSDQVLFADRRSRATVNYFAANQTAFFDAFVAAMAKLGRIGVKTGSDGEIRRVCTKVN >SECCE3Rv1G0151120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:36778898:36780211:-1 gene:SECCE3Rv1G0151120 transcript:SECCE3Rv1G0151120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSSQTTTIPTDAELIQAQADLWRHSLSYLTPMVLRCAIQLGIPTAIYRLGGAASLPDLVTALSLPPSKAPFLSRLLRLLSTTGVLASNEAGIYSLVPLSYLLVDGILVDGDASQAALVLCLTSRYHMEAAMGLADWFKKDIAQPVPSPFEDVHGATLFEESMAVLDPESDTLFNEALAAHDHMGIGTILRECHGLFNGLQSLTDCCGGDGTTARAIVKAFPHIKCNVLDLPRVINKAPSDGLVNYIAGDMFHSIPPAQAVMFKLVLHFWSDDDCVNILAKCKKAIPPREEGGKVIIIDILVDSSSGQMFKTQLLMDVAMMVCTRGRQRDENDWRAIFEKAGLSDYKIVKKLGARGVIEVYP >SECCE5Rv1G0328720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:509585981:509586499:1 gene:SECCE5Rv1G0328720 transcript:SECCE5Rv1G0328720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMAMSSFAGAAVLPCGSTSRFGARSLPALGRRALVVRAQTEGPSAPPPNKSKASTSIWDALAFSGPAPERINGRLAMVGFVTALAVEAGRGDGLLSQLGSGTGQAWFAYSVAVLSVASLVPLLQGESAEGRAGTIMNANAELWNGRFAMLGLVALAATEIITGAPFINV >SECCE1Rv1G0057790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694999541:695000680:1 gene:SECCE1Rv1G0057790 transcript:SECCE1Rv1G0057790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKVITVDCLTDDLVVEILSRVPAKSFFRFKCVCKAWLAFSSDPHYRRKLPKTSTGLLYQAQYGSVIQPVGMSPNDEEIDGALTFMPPYKQLELVDCCNGLVLCQYKSIYTSAYTRRFIVCNPATREWRRLPILNTPSGATVSRYTAILAFDPSWSGQFYVFNFQEKLKNSLSLVIYKLEVFSSDLSKWLVDDAWSRNHSIMVTKRHNFIGGVLHVHINNNEILLVGGLEAMSSGMMPYHRTLKLPSGCWDGCFSQSMGLLRCAFPEEGGRTIAVFTLADYRPCKWSLKHRFCMGDAFGRDYFLRDRDYQPWLCFYRIVALDLERGVIFLVDEDSNKLFSYNISTGQLSEIKDGRLGWTSDCFYYVACYSKLPELSR >SECCE1Rv1G0038450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:539109437:539111753:-1 gene:SECCE1Rv1G0038450 transcript:SECCE1Rv1G0038450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPIPLPIVGDIGSKLHDQEKPRGSGTSAAAAPIVGTVASRWRELHGENSWKGLLDPLDPHLRATIISYGEMVQATYDGFNTERRSPHCGACFYGHDDLLAGVGVPHHGNNYQVTKFVYATSSLPLPESFLLLPLPSLPDVWSRESNWMGYVAVATDEGAAALGRRDIVVAWRGTIKNLEWVNDLDFTPVPAAPVLGSAASQNRLAVVHRGFLSVYTSSNKSSEFTKTSAKDQVLKEVRRLVELYKDEEVSITVCGHSLGASLATLNAVDLVSSGANKPESSTKSFPVTAIVFASPHVGDRFFRSAFNSFPDLKALHVQNAGDIVPMYPPLGYVDVAVELTIRTIRSPYMRMPATVLTLHNLECYLHGVAGEQGSAGGFKLEVERDVALVNKGADALTNEHPVPAEWWVPKHKFMVKGKDGRWTLQDFKHV >SECCE3Rv1G0170710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:256740829:256745194:1 gene:SECCE3Rv1G0170710 transcript:SECCE3Rv1G0170710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDACAVCAERLEWVAYGACGHREVCSACVTRLRFVLRDQRCCLCMTHCPAVFATKEMGDLTKAIGDLSALPAAAGEGKVGEYWYHEATQVWFDDAEHYRMVRAMCRISCTVCESSVNKGKKGSKSSKAKHKIKFDSIEQLKAHLSNKHCLYMCDLCLDGRKVFICEQKLYTRPQLNQHIKTGDSEVDGSEVERRGFVGHPMCKFCKSPFYGETELDTHMTREHYSCHICQRQHGGQDDYFRNYDDLEMHFRSDHFFCEDRECLEKKFIVFQSEAELKRHNAVEHRKRMPHAQKNSALQTPTSCRDWSELEQSNGRGRRHIACLSIGSVDNTLLSVQNGIANMGRGSGNQVAAVVSPLQSSSGHSSQAGQSSGMNRVWQQSQFPPLSRQEVPDARTVSCFQEASSPPISGQSGYTPVVSRSSRTAARAMDLEFPALSGSNNRTSASPEQGVRKVAENTHAFGLRRQSNGIVNIHHSAQHWSLENTDLIPSGSSHSPTWPTPNTSPHISGSLSLTSAGNGRQETPVSRQVLCSVDDVRAANNSLVERMQAALGMDRDRYSMFKEISGEYRQGVINASKYLSYVEQFGLSHLVLEMSRLLPDPQKQKELADAYYANLRLTSLQGNGGGGAVCSKDCARKNKGKGKLSAAAQDSLEDKLLSAASKLQSQVGGSRALLREGCGAADGPLQEPRWPVKGAWQNRGGQRLVSKAKK >SECCE1Rv1G0026660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:373043908:373044429:1 gene:SECCE1Rv1G0026660 transcript:SECCE1Rv1G0026660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRLAVVAMFVILLQASTLSVARHHAKPDPCVGEDDSVPGMQHKHKKPHCPSPGGSGGGGGGSGTPGVMTVNGFQKGEDGGAPSECDGKFHSDKDMIVALSTRWYAGGRRCGKMIRITSKQNGRTVQAKVVDECDSNHGCKTNIVDTSEAVWKALGLDSNIGEVPVTWSDA >SECCE7Rv1G0484590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344114471:344115172:-1 gene:SECCE7Rv1G0484590 transcript:SECCE7Rv1G0484590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSISLPSRPQANEIEVEQEVLSLEASISSSTTTIGTMCAGLRRLRDIYNGVEEIIGLPSNRAGKILDSEMEGSLELLDLCSTMQEIFVEMKAIIQELQVALRKGDDATAQAKIQSYARLVKTAKKHFKKSAKKANAVSADCKMVMLLTKAREISVSLVESTVHLLSKEIIMPKQSLVSKAFHKKKAVVCQEEQLQELECSIGDLENGAGHLFRKLVQIRVSLLNILSS >SECCE2Rv1G0076980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:95578062:95580508:1 gene:SECCE2Rv1G0076980 transcript:SECCE2Rv1G0076980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFHDEHHVRLRSLVHRTRTYLHAADDGESVTLSQVRASMNAAWAVHIYHRADGEVYDDDDRPYLLLHSAANGRYLSATDVPARRGHRGFRAELRDYDQPEVGSIMWRAVGSGFADHVVLLHHEGGRFLRANGSRYLPWNAGVSLDDDANSMMMYWVVEPIPAREAGIPAIPGPLPTRPGMRFLSAIFMRRGPGQQIGGVEPIPGESGMPGIHGRPPTRPRFLSNMFMEPGRRIRYTPTLGGDYPEDSAGWREFWFRGRSVFRLRNELVKRTSINLYYQNIAVCVRAGRYGRLTPLVVVDLPHGGYGEILEIVILEDETRAYDDLRHPDIDAE >SECCE2Rv1G0066170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:12596761:12597373:-1 gene:SECCE2Rv1G0066170 transcript:SECCE2Rv1G0066170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDVVSEYCSLPRSRRHMKKRKQFQTVEMKVRIDCEGCERKVKKALDDMKGVSSVEVTPKQNKVTVTGYVDPAKVMRRVAYKTGKRVEPWPYVPYDVVAHPYAPGAYDKRAPAGYVRNVMSDPSAAPLARASSTEARYTAAFSDENPNACSVM >SECCE2Rv1G0079520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:120879859:120883436:-1 gene:SECCE2Rv1G0079520 transcript:SECCE2Rv1G0079520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAARSPKIGSPVVKGGAADASRRRRLDSIILSRKPRFTDSKNDTTAAVVSRDGFTMEVSFWMADPPQLSIFSIHCSTPPHLQDRPYSEFSDSPHVVGFGAEGRFVLFRAAFKGHYAYEYFLYQAGESPLLDRIPSPDENYYGDDRDDLRGVREFGVLQLGSHYLVAALCLAPSSDDYHLRIYSSEKRSWTTRTLPNPCPGVDRIIPDKVISLGEGLLGWVDLAHGLLMCDLRQDHVRFAFIPLPEPLPGNRYKLKCHIPPPYAKRRKKLEGESHPNLWWFRDLACVDGVLKFIEMENLAPESPSDKDDVIYDSDLIMSLERKAVDWHCKQLSFGGAWRAVTWTRTVSSNCWRQTCAANVADILVVDGSAHSSLLPGLKGEKLTFRDLYSAFPILSPDGDDILYLKSVLEPSIQDGWVAAVDLGNKAVKAIGKYYLPDVFYYELRYDPEHPFFACTLSRHLDMTPGTEVSACRKIPEEASSSANQPSNSSICVGELNSCEPRSKIQRPLEWAQKNKRARNAVGSIMQNDHISQQLDEKVLELEQEIEHELERKKVQKTQQQCFKKWDASCYPPGQSLWPQNNLPARQYFNKPDGPCGPGYASFAPVHGRQNYQPLWQKPPPLKRLFTCISEFGPHEVPRPSFKNSSGASYHRYPQQLAAPNSFAYGAPTGYGNYQHQSEQLPIARKLPVASLEHSPSPSQ >SECCE1Rv1G0034600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:485412369:485412936:-1 gene:SECCE1Rv1G0034600 transcript:SECCE1Rv1G0034600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIRINTGVLCLMVLLMVSTTLFSCEASGRNIGMEANTGVSGYEEPYVTCAKPSFCNDACQRDGKGGGACHDNKCFCDMN >SECCE2Rv1G0127350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:852162013:852163551:1 gene:SECCE2Rv1G0127350 transcript:SECCE2Rv1G0127350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVTATVSTVCATVAVVITIVMIRRCRRWRWKVYKKIMAKISDEVNRRNRELEACAAVNDVVIEIGPVEKFLHDILNEKPMRFSSEQLASCTGKYSTELGSGGFGVVYKGELPNGLPVAVKVLKVSMNKKVQEGFMAEIGTIGRTYHVHLVRLYGFCFDPTTKALVYEYLENGSLEKYLYRDGEDAGDGERKERLQWRTLHSIAIGTAKGIRYLHEECQQRIVHYDIKPANILLTADFTPKVADFGLARLGERENTHMSSLTGGGRGTPGYAAPELWMALPTTEKCDVYSFGMVLFEILGRRRNYDAQLEDESREWFPKWVWDKYEQGDMESILSAAAGVGEADREKAETMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYVSGGDSSSSWALSSSTGTGTGTFTSSTGTGTGTFTSSSRDTGRDSEVSAASARPKSTDAMVKGVNFTDPVTT >SECCE1Rv1G0027280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:380707225:380708711:1 gene:SECCE1Rv1G0027280 transcript:SECCE1Rv1G0027280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAASLRFTVRRKAAELVTPAGPTPRELKRLSDIDDQDGLRFHIPVIQFYRRDASMGGRDPAAVVRDAVARALVHYYPFAGRLRELEGRKLAVDCTGEGVLFIEADADVRLEHFGDALQPPFPGLEELIFDVPGSSEVLGTPLLLFQVTRLSCGGFILAVRLMHTMADAQGLVQFLAAVAELARGAAVPSVRPVWDRELLEARNPPRPGFAHREYDEVPDTKGTIVPLDDMAHRSFFFGAREVAAIRSHLAPGLRKRATTFEVLTGSLWKCRTVALAPDADEVMRMICIVNARGGSKQGGASAIPTGYYGNAFAFPVAVSASGDLCANPLSYAVKLVKEAKSEVDVEYMRSVADLMVQRGRPHFTVVRAYLASDVTKAGFGDLDFGWGRPVYGGPAKGGVGAIPGVASFLIPFKNAKGEDGIVIPMCLPGPAMDKFVEEMGKLLCPAVDVADMFPAMIKSAL >SECCE7Rv1G0478370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:236615176:236619474:1 gene:SECCE7Rv1G0478370 transcript:SECCE7Rv1G0478370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVNWELQGCCQHNQVVFIAAVGVSTVVILALWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILSSTHLLATRIAAGCFILALVIVLFVAKNWFLRWLCIGFIIFIAVVWVIQEFTTFHVLKYVILFIGVMNSLFSVYDIYDDTISRRVNSSDAEKFAEICPCPCNGVGWGVIWGFISFIFLCASIYLGLVILS >SECCE7Rv1G0469090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:105829924:105830454:-1 gene:SECCE7Rv1G0469090 transcript:SECCE7Rv1G0469090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKITLLAVATISAILLGTASAATYGVGEPGGSWTLNTDYSNWVSNKKFHPGDEIVFKYSPAAHDVVEVSKAGYDSCSTDSAINTFKSGNDVVPLNVTGTRYFICGIPGHCSPTAAASMKVVIDVVPSSSSPSSPMPAAGPGASNPPPPSSTATSVGATAGFGLVALLAAGLMA >SECCE2Rv1G0143310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:945838549:945841957:1 gene:SECCE2Rv1G0143310 transcript:SECCE2Rv1G0143310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 1B [Source:Projected from Arabidopsis thaliana (AT2G01720) UniProtKB/Swiss-Prot;Acc:Q9ZUA0] MAMAMATGTTVLLLFLLLAADASSSQEQGAEDGIRVLSAEKRIDLTGPIVKVFLTLKVQNAATGADASQVLIAFTPAEADHLAIVKATRAEGKRKKKTYIPLPVAPADPVTAAPNGARLYSITLPSPLKPAETTTVEVFYVLTHSLEPFPAEITQSESQLVYYRDSAVVLSPYHVQEQATYVKTPSSRVESFTRVDPTSRSGPDVKYGAYSNQLPYAYSPIILHYENNHAFAVVEELVRKVEISHWGNVQVTEHYKLKHGGAKHKGVFSRLEYQARQSISGASSFKNLLARLPPRVHSVYYRDEIGNISSSHLRSDSYKSELEIEPRYPLFGGWHCTFTIGYGMPLQDFLFESADGRRFANLTFGCPLLNTVVDNLTIKVVLPEGSKSPQAVVPFETEQHLETSYSYLDVVGRTTVVIKKKNVVGEHNVPFQVYYEFSPIFMLAEPLMLVTAVLLLFAACIAYLHTDLSIAKSQAS >SECCE7Rv1G0467130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:83624806:83629508:-1 gene:SECCE7Rv1G0467130 transcript:SECCE7Rv1G0467130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP96 [Source:Projected from Arabidopsis thaliana (AT1G80680) UniProtKB/Swiss-Prot;Acc:Q8LLD0] MAVDAMFPVLRFPDYFTRPSVEELVEREAADPGYCSRVPDFVVGRVRYGQVKFSGSTDVRGLDLNEIVKFGRHSVVVYRDEAGKPAVGHGLNKAAEVTLNLDLSTLPKPGLLVELLRCRAKNQGARFLSFNPVNGNWKFEVDHFSRFGLVDEEDDDVAMDEPAVRQPIAEARDPPSNGHELELSHSLPAHLGLDPAKMQEMRMALFPNDEEDEDMEDGFPSDHRHLSRERMNVDSPNTSGKGQRMRSLSPLHGSSQKFGRRSGVLARKEPHALLEYNVNSTELGPSSHGILMSGKNKGFPVRMTKVEGFKLPAEQATPVAGKVYSNCVVDAALFMGRSFRVGWGPNGILLHSGSPVNTPGTGLSSVIHIEKVAGDKVVRDEQNKVKEELAELCFSDPMDLHKRLDREILETESDSFKLKLQKVVASRLVLPDICRSYIDIIERQLEISDLSMSSRVLLMHQVTVWELIRVLFSEREAGNQLEFCGDEDQEGMILDKKEGSVNIDPEALPLVRRADFSNWLQDSVCHRVQGEVGSLSDARYLEHIVLHLTGRQLDTATEIAASKGDVRLAILLSQAGGSMLNRSDVAQQLDLWKINGLDFDYIEEDRLKIYELLAGNIQGALLDSPIDWKRYLGLIMWYQLSPDTSLDIIIRCYHQLLGEGKVPNPVPVYIDEGPLEEALQWSPGDRFDISFYLMLLHANQDEKFGLLKTMFSAFSSSYDPMDYHMIWHQRSILEAIGAFSTNDLHVLDLSFVHQLLCLGKCHWAIYVILHMPHLDDAPYIHEKLIREILSQYCEIWSKDGVQRQYIAELGIPAEWIHEALALYHEYYGDRQGALGNYIQCGNWKKAHTIFMTSVAHSLFLSSKHQEIFDITSALENHKSEIADWDVGAAIYIDYFNIKNCMQEESTMDEDSDPLESKNELCKGFFDRLNDSLGIWGSKLPIEARACFSKMAEELCELLMSSPGEGSAPDLFMGCFQTMLNAPVPNDHRASYLQEAVSVFTDILCEYSS >SECCE1Rv1G0042450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:580291640:580293580:1 gene:SECCE1Rv1G0042450 transcript:SECCE1Rv1G0042450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKATMGQEKQDHFVLKSGHTMPAVGLGTWRAGSDTAHSVQTAITEAGYRHVDTAAEYGVEKEVGKGLKAAMEAGIDRKDLFVTSKLWCTNLVPERVRPALEHTLKDLQLDYIDLYHIHWPFRLKDGAHNPPEAGEVLEFDMEGVWKEMENLVKDGLVKDIGVCNYTVTKLDRLLRSAKIPPSVCQMEMHPGWKNDKFFEACKKHGIHVTAYSPLGSSEKNLAHDPVVEKVANKLNKTPGQVLIKWALQRGTSVIPKSSKDERIKENIQVFGWEIPEEDFKVLCSIKDEKRVLTGEELFVNKTHGPYKSASEIWDHEN >SECCE6Rv1G0447180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:849213622:849216447:-1 gene:SECCE6Rv1G0447180 transcript:SECCE6Rv1G0447180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMALAEERDALDSSRLPIGKGLSVDVAMEPNEEGMGEHPVKPRKPYTITKQREKWTEEEHEKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGAKIEIEIPPPRPKRKPLHPYPRKRANSCNGANTANGPPKLAHMSSSSGSDQENGSPVSVLSAMQSDAFGSSMSNPSSRGTSPESSDEENNVPPMASGEEGQRTGIDQSHKEADQENKDTGTSEEDSSDEVQVTSVKLFGKTVVIPDPRKRCSPDTGSGHENGEQTSQSSNKGTSQAPLAVEIPTHTKGEQISQSSDKATSQGPLAVEVPMYTNGEQISEFSNKATSQAPLAVEVPMYTNGEQISEFSNKATSQAPLAVEIQAYAPPSGWVLPYNSFPLHFGESAEARIARLHMWWPYYGFPMVHPSGPSAVAHNGKATDESEGAKSPPVESSSDFDDNTQATASKQWKVLESLGTAQAPPSVSNFQLKPSTNSAFVRVKPIISSGDEPVKGFVPYKRCRVE >SECCE7Rv1G0504410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:722670506:722672127:1 gene:SECCE7Rv1G0504410 transcript:SECCE7Rv1G0504410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGEGKATEAKAVCVTGAGGFIASWLVERLLAGGDYAVHGTVRDPSDPKNDHLRTLDGAGERLRLFKADVLDYASVASAVDGCAGVFHVASPCPAAKSTNPEVELLAPAVAGTLNVLRACREAGVRRVVVVSSVGAVFINPNLPEGPVLDEDCWSDEEYCRTIENWYCLSKTLAEREALAYAEKTGLDVVTVCPSLVFGPLLQPAVNTSSLFLIRYLKCDGVDAMDDKVRNMVDVRDVADALVLAYESPEAAGRYICSAHARKVSQMVSVVRGLHPNLNYPNGFVEVGDEKVFSSEKLQRLGWKFRTMEETMKDSVESYISAGILS >SECCE3Rv1G0182070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:622014955:622051264:-1 gene:SECCE3Rv1G0182070 transcript:SECCE3Rv1G0182070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATASTSMVVRRRRNALAQTLASRRLPEGVAEPGERVPEALAPEVMPFIRAADEVELLNPRVAFLCRRYAYKKVQRMDPSSIQRGVRQFKTYMSLKLDQDDTQSPLNDSKEIQRFYKYYCENLSRTSEKQNFEELARYYQVASALYEVLRDVTNNKVDSEVMRRAKVVEEKSAHFKSYKYNIIPLSFPGSAEAVVELPEIKRAMGAIRYIDGLPKPHMSSMHREGKSIWDLLDWLSLAFGFQKSNVENQRENMVLLLANINTRTSGQEGHPLVDTVNDLWDKIFGNYESWCRYLHVSSRIMIKYDDTELKKQQLMLLHIGLYLLIWGEASNVRFMPECLCYIFHHMAKQLNQMVEESYFQPPPGFEEEGSFLNIVIEPIYKVLQKESQRSKGGTAGHSAWRNYDDLNEQFWSEKCFIKLGWPWDLSADFFHQEGRSARKPKTNFVEVRTFLHLFRSFNRMWIFFILAFQAMLIVSWSSSGSLSGIADATVFRSVLSVFITAALLNFIKVTLDILLTFQAWGSMEWTQILRYLLKFFVAIAWIIILPVTYSSSIKSPSGAGKLLNSLTRNWYNQSAYNFAIVIYMIPNILATLLFLLPQLQNIMERSNWRAVILLMWWIQPRLYVARGMHEDILSILKYVFFWVVLLTSKLAFSFYVEISPMIEPTKFILDQVVGNYEWHEIFPFLPHNLGVVITIWTPIVLVYFMDTQIWYAIFSTVFGGVSGALSHVGEIRTLGMLRIRFKSMPDAFRKCHVAARKEQALDVRSFFCVWNSFINSLREEDFISDREKDMLMAPSSSSNLPVVPWPPFLLASKVPTALHMAMTSKEGDDHELIEKIKLDKDRYNAVIECYESLKIIVVCLLLDHNDKRIVHDIDKIVRNSMQNNTLLEDFEMAEIGKVNNTLAKLLQLLKSEPTDDTTERKIVNALQDFMEITTRDFMKDGHGILKDENERKQSFTNLNMNVIKDAFWREQFVRLHLLLTMKDSAMDVPTNLDARRRITFFANSLFMKMPRAPQVHDMISFSVLTPYYNEEVLYSSHELNRKNEDGISILFYLQKIYPDEWKNFLERIGVDPDNEEEAKGCMDDIRVWASYRGQTLARTVRGMMYYRRALEVQCYEDMKSEQADLGGDESAWSKAIADVKFTYVVACQLYGMHKASKDSRERGLYENILNLMLTYPALRIAYIDEKEVPLPNGKMGKHYYSVLVKGDDEEIYRIKLPGKPTEVGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLIKHGKSKPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASKVINLSEDIFAGFNSILRQGNVTHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDVYRLGHRFDFYRMLSMYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPRIKNIKPFENALATQSVFQLGTLLILPMIMEVGLEKGFGKALAEFIIMQLQLAPMFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKFAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYVYVTISMWFLVFCWLFAPFIFNPSCFEWHKTVDDWTDWWKWMSNRGGIGLAPEQSWEAWWVSEHEHLKNGTIRSLLLELVLSLRLLIYQYGIVYHLHIVHEDKSFMIYALSWLVIGIILVSLKVVSLGREKFVTKFQLVFRILKGIVFLVLIGLMVVLFVGFDLAVSDVGASVLAFIPTGWFILLMAQVCGPLFRRLVIEPLGVLCCSCCPGGACRGPCCAKLRQRSGAVLRKIGPWDSIQEMARMYEYTMGILIFLPIAVLSWFPFMSEFQTRLLFNQAFSRGLQISRILAGQDGRGTITKRE >SECCE5Rv1G0329500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:517263535:517264703:1 gene:SECCE5Rv1G0329500 transcript:SECCE5Rv1G0329500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor, Transcriptional repressor, Pathogen defens [Source: Projected from Oryza sativa (Os09g0417800)] MDDGSSCPTDSAGLLPLFAGSPTAEGLEEKLRRVREENQRLAGTLGAILAARPDLRALARLPASTVATARVPSASDSNAAREEAAGVTVEPRPKVRTVCARAEPADTDANLGVKDGYQWRKYGQKVTRDNPHPRSYFRCAFSPSCPVKKKVQRDAEDRSKLVATYEGEHNHAKSPEREFIGNESTGQAGSRPCPVTFSPSGRTIRLEDMTNHGSGSRLDLETIRREVVTPEFQKLLVERMVNSLKNDADFMHALTNAVAERIVENIPSRLS >SECCE5Rv1G0312280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:222567816:222569965:1 gene:SECCE5Rv1G0312280 transcript:SECCE5Rv1G0312280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVNFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGAALLRRMNRYGLLAEGQDKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNVPSFMVRVESEKHIDFSLTSPFGGGPAGRVKRKNQKKASGGGDAGGDEEEE >SECCE2Rv1G0137960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920593491:920595090:-1 gene:SECCE2Rv1G0137960 transcript:SECCE2Rv1G0137960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVFIVGAGPAGLATTACLSQFAIPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKNLFVKYLDDYVERFNIQPQYLTSVESSTYDNHEKCWSIVANDMSKCTTVKFTAKFLVVASGENSAENIPMILGLENFLGDAIHSSSYKSGKSYSGKNVLVVGSGNSGMEIAYDLATHGANTSIVIRSPIHVMTKELIRLGMSLAQYLPLNLVDKLLLMAADLIFGDLSRHGITRPKMGPMTLKSETGRSTVIDVGTVGLIKKGIIKVERTISKIKGNIVEFQCSKNISFDAIVFATGYKSTTNIWLKNGESMLNGNGLPIRKYPNHWKGENRLYCAGLARRGLAGIAADAKNIANDIKSVIYSMSS >SECCE2Rv1G0078600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:108925601:108926758:-1 gene:SECCE2Rv1G0078600 transcript:SECCE2Rv1G0078600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRFINLVMKDYAVDGSYWLSRMRPQENLFSASTVETMTAHAQQARKDKINVMLPFGSTLELPLPAARFKSSCTDCFTLDFMPFYGRDCRPGEGRIIALDYTGHTVLYDADADPCSVEMLPRVNSSNWRNPISLCVTGADADVLYGINMFNSSHFEALVHCNPSDSQFGRIHGAKAWHWLKLPPPPYLDDPVPGQDHTIQSYTLLEDGKTICFSSLRDNGFGTYCFDTSGHVWTKAGHWALPFIGRAWHVPELCNLWFGFSGSNPNNICAIELSSLDGPPKVLHEWRGFNTPRNWMLVNSTMVYLGGNRFCVVRFFGVYNEPPDRSDEPTDTVSIISGLEIVKGQQTSENVLRMVRHKSNTCFECCGIESVLMLASFVPFQHS >SECCE3Rv1G0179090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:526628821:526630035:-1 gene:SECCE3Rv1G0179090 transcript:SECCE3Rv1G0179090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLLKYFKIRGLLIELGGEAILVIRSERGLARKLPPLKSHSLLIRIFYVRYADDLLLGIVGAIFLLIEMQKRITQFLQSGLNLWVGSAGSTTIAARSMVEFPGTVIRELEKHLRVKHRIHITACQLCSAIHSKFRDLGYSIPIKELTKGVSGRGRLLDIVQLAETLGKDGLKSPQVSVLWGTIKHTRQRSRGISLLHSSGQRKVPSSVHQAVSRSGMSVLKKKLYTPFGRKAAGEGRGHRAGYFSSEFPIQIEAPIKKILQRLQDQGLISRSRPRPIHVASLTNVSDRDIVNWSAGIAISPLSYYRCCDNLYQVRTIVNYQIRWSAIFTLAHKHKSSARNIILKYPKGSNIVNQEGGKTLAEFPNSIELGKLGLGQDPNNDGALNYMFNK >SECCE4Rv1G0295620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:899189628:899190674:1 gene:SECCE4Rv1G0295620 transcript:SECCE4Rv1G0295620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCSNKKRSSNPGVAVWCVVLGLALIVAVDAAGKEGGGRAGHLAEEHGDAAYCSKLCTGRSDFGPCYNECLYGRVTGQEESRGGGGVGGPAGLLEMPTKRVGSEEEEKRKQGDAAVHLSDPVWCYDACREHPELDYTQCVNDCYAENMPDAAQLAAHGGTRGESTQHEVEETSGGAVNVLTTVGIHKCDWFRDCSVTPCVWHCGKRVQDEDDEETRTGALNVLAAARHEVAVGACSSTVYVQSCYACCQREVTRNPDFDWYACIRSCDDKDALPIKKERENKKDGVVPPAK >SECCE4Rv1G0248720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:574632255:574634274:-1 gene:SECCE4Rv1G0248720 transcript:SECCE4Rv1G0248720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVSNGTVYEEAVGQEGSWARLCDGCCAVPSVVYCRADSAYLCASCDAQIHAANRVASRHERVLLSEAYKHAPVVLECHADAAALCAAYEAQVHYTNLLAAMHQRVPVVSHPAAAIPAASLFAETAATAPVLGSKEEDASWLLGKDSDNHNHSGNHSSSSSSSRYFGEVDQYFDLVGYNSYYDSHMNNQEQYVMQEQQHLQQMQKEYAEQQMQKEYVEKEGSECIVPTQSAIVSRPHQSGYAPLVGAEQAASVTAGVSAYTDSVNNSISFSMEAGIVPDNTVQSSILRPAGAISLFSSPSLQTPLHFSSKEREARVLRYKEKKKSRKFEKTTRYATRKAYAEARPRIKGRFAKRSDADMELDQTFSTAALSDSSYSTIPWF >SECCE3Rv1G0194350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:780502863:780505487:1 gene:SECCE3Rv1G0194350 transcript:SECCE3Rv1G0194350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRRLSAACRRRGLAQFAAGTPREAADAVVVGAGAVGLAVARALAMAGREVVVLDAASSFGTGTSSRNSEVIHAGIYYPPRSLKANLCVRGREMLYKYCAERAIPHKRLGKLIVATGVAETAKLDMLLKSAKDNGVDDLQMMDGSQAMEMEPELRCLKALLSPSTGIVDSHSFMLSLLADAENLGATTSYNTAVISGRVGGEGIKLHISESKELENNSIGSPVLPQIVLLPKLLVNAAGLSAIPLAKRLDGLDQAFVPPAYYARGCYFTLSQTKSPFSHLIYPLPEDGGIGVHVTLDLNGLVKFGPDVEWLDGKMDDMSCFLNRFDYSVNPTRCSGFYSVIRKYFPNLKDGSLEPGYSGIRPKLSGPGQRPSDFVIQGEDVHGIPGLVNLFGIESPGLTSSLAIAEHVVSRYNST >SECCE1Rv1G0051680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:656457328:656460896:1 gene:SECCE1Rv1G0051680 transcript:SECCE1Rv1G0051680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESRKNYQTRGSARGGGGAGGTAAERDLLLQWGNRKRLRCVKVQRRDVEAAATAAAEKAAVGQRRAAAAAAATAAAQHHPTGHAHHRALRNPEESGVMRPPSQQQQNNTIRAVTSPVRERSAKGNNDNNAVPPTSLDDKKGSSSGSEGTIWPNFAVSLSNKEKEEDFLVFKGSKLPQRPKKRVKAIQRTVNFVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHDMDSESEE >SECCE4Rv1G0258440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677694700:677696023:1 gene:SECCE4Rv1G0258440 transcript:SECCE4Rv1G0258440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEPWKTVKIPPIVQELATGVQEPPSRYVIAEHSRPAVAGSEMPDPIPIVDLSRLSDNCADEVAKLRSALENWGLFLAVGHGMEPSFLGEVMGVAREFFKLPLEEKQKYSNLVNGNEVRIEGYGNDMVVSEKQILDWCDRLYIIVEPENRRIYSLWPTQPPSFRDILSEYTVRCRKITNLVLQNLAKLLDLHQDYFVNMFDENALTYARLNYYPNCPKPEHVFGMKPHTDASVITVVFIDDNVSGLQLQNDGVWYNVPIVPNALLVNVGDVMEILSNGFFKSPIHRVVTNAEKERLSLVMFYTMNPEKEIEPLSELVDEKRPRRYRKTTTNDYIAKLFETFARGTLAIDTVKI >SECCE5Rv1G0307730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:119017104:119019758:1 gene:SECCE5Rv1G0307730 transcript:SECCE5Rv1G0307730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASKLLYFQRRPSPAPLDPGPPEPPGPRRRPCRGASASGPRRQRSSAVSNYHHHHHKPGQELVSANQRDGAKLMGCAGNKTEHIGVACSSSRLSRSVSDHGRLPDAVQQARERLLQRLNSVDLSGRRENTSCSETIWAGVATHPADIGVSNLADSELGSLTSYFQSSVSITTYNKVQETFSGCFSVMDKSMHVTPCTEPVPVLQETACEDMEEGENTGSLLECSICLESCGEADGLIQLRCKHVFHSACLEQWLRSHGDCPYCRGSVLLTSQA >SECCE2Rv1G0088000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:236717570:236719153:-1 gene:SECCE2Rv1G0088000 transcript:SECCE2Rv1G0088000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAAAPLLTSHKTRPTKAPSIDDTIETYMGATGALQLLKAVLLAFAWAFDAQQVFISVFTDAEPQWHCTGADASCSAAAASPCALPPGAWAWDRPAVTSVVSEWSLKCAGPALVSLPASSFFAGCLAGGFLLTTLADSLLGRRKMLLVSIVSMSVAGVLTAFSPNVWVYAALRFMSGFGRSMVGTCTLVLSTELVGKRWRDTVCVAGFFCFTLGFLSLPALAYTFREESWRNMYLWTSVPSLCYSILFYFLVQESPRWLLVRGRKQDAIETLQQIASLNGNSITSSFSMLHACTMNNDTAEESGGDSVFATLHSMWERPWALRRLAAIMTASFGVGMVYYGMPLNVGNLGSNLYLSVTYNALAELPSSILSWLLMGRINRRSSVIALTGAAGMWSLACVVIPQGAARMAAELLSFFATCTAFNVIMMYSIELFPTSVRNSAVGLVRQALVLGGVAAPVLVALGRERSFLSFGVFGLVVGCFGMFAACLPETRGKGMSDTMDEEEHKEATVAACTVNDADCNSDLV >SECCE5Rv1G0359230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:760655733:760656233:1 gene:SECCE5Rv1G0359230 transcript:SECCE5Rv1G0359230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLAVALLLALASAMAVTAQNSPQDFVDPHNAARGDVGVGPVTWDDTVAAYAQNYAEQRRGDCQLIHTPDGRPYGENLFGGSGTQWTAADAVNSWVSEKQYYDHGSNSCSAPEGESCGHYTQVVWRDSTTIGCARVVCDSGDGVFIICSYNPPGNYVGQSPY >SECCE1Rv1G0023620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:308350826:308351613:1 gene:SECCE1Rv1G0023620 transcript:SECCE1Rv1G0023620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAATSAGSMASIELGRGGAGAGASGSGNGIGISRGGPAGTASKNRLVMIIADPGRESTAAMDWALSHAVVEGDDILLLHVNMPPNGAPGGAAPPRTGSGGSSSSSQLAVFLGGGGSADGEFMETMRAACKARHPRARVHAERVEPATEGREAKAQTILAESQRRGVELLVIGHRRFSSFLRLRSASGTSRPGHDSTAEFLIEHSKCLCVSVQKKGQNAGYMLNTKTHKNFWLLA >SECCE3Rv1G0195050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:789211075:789211926:1 gene:SECCE3Rv1G0195050 transcript:SECCE3Rv1G0195050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQQCRVKLIVSYGGRIERAQGRPPRYVGGEHLLLSVLSSASTRGFRDLLAKRAGFSDFSVKYCYSGEGLDSLCDVDTDQDLRRMLDLLLYRDLQVRLFNDLNTRRFRVYLFRDAAVASTPTSQALGKPSLMRRSATSPALLAAKPANVDGRPSHGLAAPAPSLVPRITTSPNPLWETSTAGTAPSKPPLAPALARRIASSPLLTADSTDDTTSLTITTSTSAAIATKCTQPHPAAFRPAELSYPVCQAAPVFLVPVMPQVIIYQPTIILVPVFNSMVAMG >SECCE6Rv1G0436950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:780335221:780338951:1 gene:SECCE6Rv1G0436950 transcript:SECCE6Rv1G0436950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLHLLPPPPAPLAAFSSPWRRALPATTPAGPASRLLCSNHPASPSSPSIVGGLLDYLNESWTQFHATAEAKRQLLAAGFELLSENDDWDLQPGGRYFFTRNMSCLVAFAVGEKYRVGNGFNIIAAHTDSPCLKLKPRSASFKSGHQMVGVQTYGGGLWHTWFDRDLTLAGRVILKAPDGSFKHKLVKVNRPLIRVPTLAIHLDRTVNSEGFKPNLESHLAPLLATKCEETTVNSDDKKGSSSTKTVHHPLLLKVLSEEIGCGSDEIIGMELNVCDTQPSCLGGGKNEFIYSGRLDNLASCYCALKSLMDSSKIPEELSNEKGIRMIALFDNEEVGSNSMQGAGAPTIFQAMRRIVDSLMHQSMGEGALERALTSSFLVSADMAHALHPNYPDKHEEYHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARIHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSVREMCGKEDIDTTYKHFKAFFETFSDIDQKLNVDF >SECCEUnv1G0532660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:22736004:22737274:-1 gene:SECCEUnv1G0532660 transcript:SECCEUnv1G0532660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDRANTADCVTTGRGRTVCVTGAGGFIASWLVKLLLEKGYTVHGTVRNPDDVARNAHLRALEGAAERLTLFPVDLLDKESLVAAFRGCEGVFHTACPLTDDPEKIIEPAVNGTRNVINAAAEVGGIRRVVMTSSIGSVYMDHRRSLDGEADETCWSDLEFCKNTKNWYCYAKTVAEQAAWELAKERKLDLVVINPSLVLGPLLQTAVNASTWHIAKYLDGSVQTYTNAAQAYVHVSDVADAHARAYETHDAHGRYLCAGRTLHRAEVCRILAKFFPEYPVPTRCKEGAGEMKKGCRFSSRRIMELGVSITPASQCLYDTVTSLQDKGILPRRDID >SECCE2Rv1G0137900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920361167:920364567:-1 gene:SECCE2Rv1G0137900 transcript:SECCE2Rv1G0137900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRKSSEKQPKGSRLPLGADADAVADAGKRRRSGAAKRHQAEEEESVPSSISAKILREALKQQQEEGLADSRQAVAAAAPAAAAVSAPSTSFPVPAADGEDDDEDVDEFDGFDALSEYDGGVPEIDEEDEKALAAFMSKDTSSKRSLGDIILQKIREKDATVSTEGRPAVKLDSRIIELYKEVGQLLSRYTSGKIPKAFKRIPSLECWADVLQLTEPQNWSPNAVYQATRLFSSNMNAKNAVRFYEAILLPRLRHDIKQNKRLHFALYQSMKKSLYKPAAFFKGILLPLCQEGNCTLREAVIIGSIIQKVTIPPLHASAALMKLADLEYCGTTSYFIKLFLDKKYALPYRVLDAVFAHFMRFLDDERNMPVIWHQSLLAFVERYKNELEKKDKEQLSRLLDNQKHYLVTPEIRRELRSSANRGEKPTDMSIYILCCPHH >SECCE1Rv1G0004870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:21846017:21848926:1 gene:SECCE1Rv1G0004870 transcript:SECCE1Rv1G0004870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFLQLGLFLILLDAQYASGAAVPRSQCRRWCGNVEIPYPFGIDPNCSLVADIFDLSCELRDGVLKPFRGIFEVLDISLTHSTARVLNNIEASCYNNNTRSMEHFGYHESANGGPSSVYRLSDVQNRFTVIGCSALASMSDQDGTGYQGMAVATCRDLSDLVDGSCAGMGCSQTMIPKRMYYYDTKFSSSVNTSRIWEFNRCSYAVLMEAAAFNFDTLYIGNTTFNDTYHGWVPMVVDWAIRDVHSCDAAQRNMTVCVDSVNDYGYTCNCSQGYEGNPYLQGGCKDIDECSNDNPCPSGGTCHNTIGGYQCSCRVGTKLEGNTCNPNVGLILGVAMGLFGSIVITMIIMFWGQMIIQKRKLEKVKQEYFRQHGGLLLFDRMKSEKGLAFTIFSEAELIHATNNFDRTKILGKGGHGTVYKGILKNNMPVAIKRCALVDERQKKEFGQELLILSQINHKNVVKLLGCCLEVEVPILVYELVLNGTLFKHIHGKNQASQISFSNLLRISYEAAEGLSFLHSYASPPIVHGDVKTSNILLDENHMAKVSDFGASILAPSDEEQFVTILQGTCGYLDPEYLQTCQLTSKSDVYSFGVILLEILTEQLPMKLEGPDTQKILSSTFLSAMKENNLDSVLVSHVKAQASMELLKGLANLANKCLDLCGDNRPSMKEVADELGRLRKLPMYPWARLDMETHGGSASVQEIESDYYMGEDESQHINPGSSYYAS >SECCE1Rv1G0043920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:597382200:597384274:-1 gene:SECCE1Rv1G0043920 transcript:SECCE1Rv1G0043920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTISYNCCSTLMMYHAKKFSVPFAPQRAQNSEHVSNIGAFGGSNISNPANPVGSGKQRLRWTSDLHSRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSFSNADSAPGSQINEALKMQMEVQKRLHEQLEVQKQLQLRIEAQGKYLQMIIEEQQKLGGSLEGSEERKLSHSPPTLDDYPDSIQPSPKKPRLDDLSTDAVRGVTQPGFESHLIGPWDQELCPKTNICDPAFQVDEFKANPGLSKS >SECCE3Rv1G0170990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:262452880:262453782:1 gene:SECCE3Rv1G0170990 transcript:SECCE3Rv1G0170990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHADGESGLATAPSSPSRYLCSGRDGECDEDGGGIHYFFSAPASPVHYILRSPPASSASVHYTPSADGDFCTAPGDFEFAARHRGIDGAGATTIMSSAEELFLSGRIRVGCLSPIRQETDCREQQGEDGEDDGGVEGRTPRPRRTRSASPPRSPRLAKTAEPADSLASGSSSSSSSSSSAKNMRRRISLRDLLGRTCSDPSMRPPARITTAAERSGSWLPSIWPARAKKALPCPAPQPARRSVSSVRAAPGGAGRDEAPRRRTTSLPYRQGLVLGCLGLGARSYGLAKSMHPLSTPTR >SECCE5Rv1G0328410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:504010665:504026555:-1 gene:SECCE5Rv1G0328410 transcript:SECCE5Rv1G0328410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g10690 [Source:Projected from Arabidopsis thaliana (AT5G10690) UniProtKB/Swiss-Prot;Acc:Q8VYD6] MMLMRPRFLSPSPPASRREPRLRTLSEASRVSSSSYPSSARPPGPGPRPSSNKQRPPPNLRRLTARIVDLTRRRQLTQIMAEVEASKRRARSGRGGGINIIVMNAVLEACVSCGDVDLAVQLFDDMRGPMGCGVDGVSYGILLKGLGIARRIDEAFEILESVEKDTSDGSPRLSPHLICGFLNALIEAGDMRRANALVARFRQVLYEGQSVLLYNLLMKGYIKSNFPLGALTVKDEISRQGLKPDRLTYNTIIFACVKSAEIEKAFQFLEDMKDEAKRDGNPELLPDAVTYTTLLKGLGDSQDLYSVLKIVVEMKSSLVSIDRTAYTAMADAFLACGSIDGALCIFGEIIKQAGDNKDLRPKPHLYLSIMRAFATIGDFDMVRRLKERMWPDSVGSVSRSAKQEADELLMEAAINSNQVDVARGLLRRIVNGKEHFSWRSRVGLVALKVETLSGFTNSPLRPHVFPQILLNDPVEKYMIPFRESQPLVADLILENVAMRFLKDSAVPLVNDWGSCVGIVHSRDCTKMDSPLLSMARPPLCVPCSTSLEHVIDLLLREKCEMVVVVKSGNMYEGSYTSSSRPVGVFSLGILSEATANTANTDDECVGLSGAAPSQDPEARGRG >SECCE6Rv1G0446900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846624751:846627162:1 gene:SECCE6Rv1G0446900 transcript:SECCE6Rv1G0446900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKEKKELVPQLWFPVGYHFVPTDEELLDIYLRAKIDGGEPPLDVFMDVDILDWEPADLVEKRKAYGEGRYFFFTKRTEYPANKNGEPRRKLNNVKASWQATGCPEIITRSGTGEKIGTKRILTYYSGSFDRDKWSMNEYVMIDRAGLDQWVLCTIQEKQHWEAKSSKRKAGKMAEDTSSEGKTAATGTRKVRKTKRQKKEKMDPSHPHKNQEQLQQPQQKEETTPDVFSEPPPMFTSDHHALYEQEIMQQDETTHMIQVVVQQEHPYGDQHYLDAPAPLETTYKGPGEPPLKPHHQQDYHAWLEEQQHQELEDPFPVQHQFVPLNTMIFHGHIDQEPIPGWWEQHQPSYIDNYQFFLPANGMEHASECQLPHQQTLYPLGTPGSHGDFKPTSQHELQFPLDPVKPNEQQTSPDSVLTACQHCQLTSADKVACSLCGCGGFNSEMK >SECCEUnv1G0535980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:61735521:61739703:-1 gene:SECCEUnv1G0535980 transcript:SECCEUnv1G0535980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARSRMSQRSMAARSSLTLLLLSFTVGVLQVHCQRAPSLEGFITIDCGLQEQSSYADLITKIPVTSDAGFTDAGYNYNISAEYMKPQPQLAKTYHTVRGFPDTDRGCYTLPSLVPGSKYLIRAFFRYSNYDGLNKLPIFDLYLGVNFWRTVNITRAEWTVMAEVMAVIPDESVQVCLVNIGSGTPFISSLSLRPLENTLYQQVNATQGLGLIDRRNMGGAGSYPIRYPDDPYDRAWMPRSNPNVWLDISTKEKVQENVSNLRLHVPSAVMQTAVTALNGSKSKTVEVSWETELDHVHPTPGCIAIMYTAELQILPSNDVRQFNIIVDDGQTDVPHTPEYLVTHGLANVEPHKCLSRYNFTVTATANSTLPPIINAFEYFSVISTANVGTDIQDVSAIDGIKAKYRVKRNWMGDPCAPKTLKWDGLTCSYAISGRPRITRINMSSNGLSGDISSYFSDLKDIQSLDLSYNKLTGSIPSVLAQLPSLVFLDLTGNQLNGSIPSGLLKRSQDGTLTLRYNKNPNLCSRNNSCQDTKTEKNYKHAVYIVVPIVAVAVIGALIVLLILIVRKKKGSTKSSKRQYHQHMDNHRFTYKELETITDNFRIVLGQGGFGTVYDGFLQDGTQVAVKLRSQTSRQDVREFLTEAQTITKIHHKNLVSLVGYCKDGIYLALVYEHMSEGNLEDKLRGKNDNGVSLTWRQRLCIALQSAQGLEYLHKSCSPPFVHRDVKTSNILLNKNLEAKVADFGLMKAFSKENDTHISTVRVIGTRGYLAPEYAAALQLNEKSDVYSFGVVLLEVITGQPTILESTEVIHIVQWALLHLSGGNIEEVVDGRMEGDFNVNGMWKAVDLALKCTKRDPTQRPTMTDVAAQLQYCIELESEHQTTGNANNNSHMMKDSSRDRDLPMM >SECCEUnv1G0547580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:172179638:172184750:-1 gene:SECCEUnv1G0547580 transcript:SECCEUnv1G0547580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 24 [Source:Projected from Arabidopsis thaliana (AT5G63950) UniProtKB/Swiss-Prot;Acc:Q8W103] MEDPTGESNSAGRLLYKLPARISGMLYPHQRQGLRWLWFLHCRGTGGILGDDMGLGKTMQISAFLAGLFHSGLIKRVLVVVPKTLVTHWIKELTLVGLKSKISVFYGPNVDVRNSELQHTFKEGGVVLTTYDIVRINYKLIRGDFYHVADDDEERNLWDYVVLDEAHQIKNPQTQRFQSICEIPCVHRIAISGTPIQNNLTELWALFYFCCPEVLGDWDEFRERYEKAIKRGNIKDATNREKHIGSDVAKKLRERIKPYFLRRMKGEVSLDIGSTNGKTLGKKNELVIWLKLTDRQRQLYEAFLKSDIRKQMKSAWPQITMLKQICDDPQMLTKISAEGILEGMQDMDAKLNDLDMFRLEKIALDLAGMSYDNDEDDELQVGQQDSCKLSFIMSLLRNLLEEGHNVLIFSQTRKMLDLVQQVISGEGYKFFRIDGTTTKISERERIVKDFQEGSGTSIFLLTTKVGGLGLTLTKADRVIVVDPAWNPSVDSQAVDRAYRIGQTKDVIVYHLITAGTVEEKIYRKQVFKWGLFRTATEQKEQTRYFKERELEELLILPAQGFDISRTQKQLQKEHEQQLDMDESLRQHIEFLEQQGIVGVSHHSLLFSKTDVLPTLSENDHAPGRDAHSVKPNSDVPAEDINRLTQTLANTALASVLPDCDGEDKTQGGLDDDKLTVVAAEST >SECCE6Rv1G0380800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:26181706:26183511:1 gene:SECCE6Rv1G0380800 transcript:SECCE6Rv1G0380800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSGGHRRNKSARNEAAAGKKNGRRRRNKSARNGAAAGNGDRLSNLPNDLLLNILERVDTLDAIRTCILSKQMLNLPTMLSQFFLSAGSVPGHHDKAHVFSRSEVLRTNNAVARVTDSILCTRNPKIAITKLKIRFVLMPRASLTIGRSVARAMASQKIGAAEFEIVTEKAYTDCSPADLLQFANQLNNFVGTFPDVFAGLRRLWLRNMRFAELDIANILSTCKLLASLRLTECDSGINSVLQVEHAQLVEIEVDFGEFARVELTCLPKLQRVSYNNWYSYGDPLYFGFVPQLSKLSLTKTGCRWDKTLELSQLLANVPNISNLHLDFQSEKIWVLPECPKLLTPVLSKLQHVNLDNLPEGCDLAWTMFILEAAPTLEELCVALKDHWCIMMTDEEARKKHGYCEKADVNWKPYARDFKHKNLVKLTIYGFQPDDSFMRYIRCVVDHTVNITEISLYDRKVCGSCDDLDPEIKVKVCPSRYPQTAEEMKQITEGLGLASRAVIHFRS >SECCE7Rv1G0506570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:749879083:749886583:1 gene:SECCE7Rv1G0506570 transcript:SECCE7Rv1G0506570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G02390) UniProtKB/Swiss-Prot;Acc:Q11207] MSAKLRVEELRAELQRRGLDASGTKPALVLRLDAAIRKEEKEAASAAEMCNVDGIDGVVMDGKAEGKSKRKRKRRGEGENEEENDMSDAAKLESMGYRELQALAKSRGLNANGGKKDVLQRLLSSSATSVVDCGIQDKKEVAEADDGKVEELKKKKIVTDVMLDAAQLQGMGYRELQALAKARGLTANGIKKDVIERLLSTPANSVAVADGGFQDKKKLAKGGVGEVEEEVQREKIVKATKKGAAVLDEHIPDDIKMTYHVLQVGDEIYDATLNQTNVGDNNNKFYIIQALESDAGGNFMVFNRWGRVGVRGQQKLHPCSTRDEAIDEFEGKFEDKTKNSWSDRKNFECYAKKYTWLEMDYGEVNKETTQVQKKGSITDQIKVTKLETRTAQFISLICNISMMKQQMMEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISRADRRQLEQLTGEFYTVIPHDFGFKKMREFLIDTPQKLKAKLEMVEALGEIEIATKLLEDDSSDQEDPLYARYKQLHCDFTPLEVHSKEYSMIKTYLTNTHGKTHSGYTIDILQMFKVSRHGETERFQKFASAGNRMLLWHGSRLSNWAGIFSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASQTSRSGVLLLCEVALGDMNELLNAKYDADNLPKGKLSTKGVGQMAPAESKVTEDGLVVPLGKPKEEPSKRGSLLYNEYIVYNVEQIRMRYVLHVSFNFKGGR >SECCE1Rv1G0030920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:437105071:437107534:1 gene:SECCE1Rv1G0030920 transcript:SECCE1Rv1G0030920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTLPCLLLVSAALALCCLAAARPESTTANLDAATMAAQELDRVASLPGAPSYSYAFKHYSGYVTTDEHLGKALFYWFFEAMEKPDEKPLVLWLNGGPGCSSVGFGQAQELGPFLVKKDVPQLELNPYAWNQAANLLFLDSPAGVGFSYTNTSFEIDPPGDNSTAHGSYAFLVRWFQRFPQHKMKEFYIAGESYAGHYIPQLANVIVEENKKASKENYINFKGILIGNAYMDGDTDLQGIVDSAWHHAIISDTLYSAFLKSCDFSMEILSPECDAALSEFFLLYKLIDVYSLYTPYCDLGYPALNASSSATTIGRTNGRFDLLKIPMGFDPCTQTYATEYLNREDVQRALHANTTGMPYPYVLCRNSINAVWKDSDMTVVPIVKKLAQEGLRIWIFSGDTDGRIPTTSTRYTLKKLGLPIKEDWSPWFTHKQVGGWSVVYDGLTFVTVRGAGHMVPTSLPEQALELFKHFLANQNLPSKPF >SECCE4Rv1G0281110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825159795:825160910:-1 gene:SECCE4Rv1G0281110 transcript:SECCE4Rv1G0281110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQRTVSLVVLVLVLLLRCPALSSAWTPVSRTITVDSKGRGDLRTIQSAVNLVPDGNREWVRIHVRAGGYREKVTIPKEKGYILLEGDSHENTVIYFDDYAHGNASDPTRRHDAMQTYETATFTVYADDFVARDIAFTNTHKSANKSRVTQALAALVDGDRIAFHRCAFNGFEDTLCDNTGRHYFRECSIKGGVDFIFGYARSIYDGCTLVSNIPLRYSRRHAGWVTAHAGRAGDPGGFVFKGGELRGTGRQYLGRAWNKYATVVYYHVNMSSIVVPRGWAPWYAGNETNDVLFAEVGCTGPGSNMAGRVPWEKHLTEAEVEKFVNMSFIDDGWLSKQP >SECCE4Rv1G0263550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:717178024:717181199:-1 gene:SECCE4Rv1G0263550 transcript:SECCE4Rv1G0263550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGVMGPVIRKLGELLVGEYNLEKRVKKGVQSLLNELEMMHAVLRKVGEVPSEQLEEPVRIWAGKVRDLSCDMEDAVDDFMVRVDEGSSSNPTDMRSRVKKFLKKTTTLFGKGKALHQISDAIKEAQDLAKELADLRRRYEVDTRSTSNVATIDPRVLALQKDIGELVGLDHTRDELIKTLISEEGSSKERLKTISIVGVGGLGKTTLTKAIYEKIKDKFQCAAFVPVGQNPDIRKIFKDLLYGLDKEKFKDIHNTTRDQKLLMEEISEFLVDKRYLIVIDDIWEEEIWRYINCALYKNKLHSRVITTTRNVSVSEACLSSSDDMIHKMKPLSDEDSQILFHRRIFQREEKCPEDLQAVSREILKKCGGVPLAIITIASLLVSNQRIKQKEEWMHVHSSMGRGVTQGGIVKDMKRILSLSYYDLPPHLKPCLLYLSIFPEDFEIERDWLIWRWLAEDFIQCDKAETSLFEIGESYFNELMNRSLIQPADINEEGTVVTCRIHDMVLDLICSLSSEENFISILDNAEWHAPNLQRKFRRLSLHNIKAKVQNHQFDSTRLSKVRTFAVFSPITCDWLPSLSSFQFLRVLDLGNCGSRESSSGINLKYVGNLIHLRYLGLKDADVCELPMDIGKLQLLQTLDIRGTSIEELPSSVVQLRNLICLYVNYRVRLPKGMGSLMSLEVLRLVGLSSSPHIVEELSHLAEVRTLCVDCEDMDEDLIGILIKSLGNLHKLQNLRIDAGGRLIDRMGESWVPPPNLLSFDSWGPLSPVWFSRLPKWVSSRSLPHLSSLGIDVEELQGDDIQIIGMLPALRFLWLRASRVMGTLVVRADAFPSVRYCIFHGFPTPPCLFPPGAMPRVQRLEFWVSARSIASGEVDCGLGHLPSLEHVRVDLQRDNSSHEEKETAKAWLRRAADAHPKRPTIKINLKSNRHELPLPPGR >SECCE5Rv1G0323730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:439475358:439476680:1 gene:SECCE5Rv1G0323730 transcript:SECCE5Rv1G0323730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKDSSVINSMALVRKSSPAGPTTLDDIPDKLIELILLYLTSPHWLVRAAATCKRWRRLIVEGHFMLHISTPNSSLLVAGHYHNHKSPVDGGRLSFVPSSPALAISSRHFSLDFLPGGGSGSWEIVDSHHTLLLLAKKKTGRGHRCFPDLLVCEPITRRCQLIPHVEKMKYHRCIGAFLDMHGRRGATTWDGRHAIDTMSSFRVVCVLYHEFIGVSGNIGTAKAVVFETGWRRKRGWSVRQQHKPQIHFHGVESLHFIGRAAFSLFWAIEDESSSLLAYNFRWSNEFVIVPLPDHIRGSAWRVIDINSSSLVHVVCLQGDSLRIFAARTYDSSDWELQKSLQLMKATRGLAGHKDEYFRGSTKIVSTSMSSIVFSPAEETWLFSIDFETMEVAKCKYKAHSSACVAYPCELPWLPPLRACVVCCTRRGRGRCSHICIC >SECCE2Rv1G0129360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:867845146:867846511:-1 gene:SECCE2Rv1G0129360 transcript:SECCE2Rv1G0129360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARREIAKRARTAGTSNDDDAGSQGSAGGAAAVSSRAAVSTGAAEMDGEELAVVAAAEAEVVGSAETEEHVQRILLAIDNFTRKVSEMLDSGRAMFKDLAADFEDRLCTIHKERVEKWEEEIRELRARDAANERTRATLHSAQLQLFHIRE >SECCE5Rv1G0306640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:97282550:97284649:1 gene:SECCE5Rv1G0306640 transcript:SECCE5Rv1G0306640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAVHKESVVLGGGGGGGGAGEDHLLRALAARTVTDSLRAAVARSSSAEKAARLEECARSLEAEKAKMEVFRRELPISVHLIADVIEWLKDEVAQHRRPAPDQLLAPAPLPLPSPSPSPAPPAKRKAEGVKTEADASDKRSWMSSAQLWTCGSHSSTSTSNGDSVKKQAQKVEVSNAFMPLNVLPTLAKSSERPEAVAMAVPELSLSSPAIDAPCPAAPSADSSAVTDAGAQREQQSAQRKARRCWSPELHRRFVAALQRLGGPQVATPKQIREMMKVDGLTNDEVKSHLQKYRLHTRRASDGEQQQSASAGQWPRTEQYTTSQHSSSQSGSPQGPLQLTVSSRGMSVTVGDSCDGGEENEEEDGKSASYGWEMQQNGTKASSSS >SECCE1Rv1G0051880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:657454947:657457716:-1 gene:SECCE1Rv1G0051880 transcript:SECCE1Rv1G0051880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVFALFITGIALLGSRILALDHTVVEKRLNENMVSVKTKGSPQLCQLCEQFATETLFYLKENETRIEIIDTLHQACLKFHSLKSECTKLVDYYAALFFEQIDSLSPEEFCVSASLCEEVTYIRLPGHEHACTLCHEVVDEIRTGLEDPEMELKIIEILLKGCNNTESFVQKCKKMIIQNTPIILEDIKKFLKKRDFCNSIRVCGGTIVRPRAGGLRRGLSTA >SECCE2Rv1G0108660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:667008758:667014235:1 gene:SECCE2Rv1G0108660 transcript:SECCE2Rv1G0108660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKFQLNGATMELIHRYAALKSDSDVDNGIAEDKGTAVECDPWYPPYPPYDSSTHKSYLEWCKKRNALLKISRASNIIIPDRTPQDVNSAFYKMYPSLLPILKKDSVRCFLRLYVKHKDCITWGFIIIPEAFNRMIIEDALQCAEVVLEGKAPELEGVRANPNCMNKYGYFPLHEAAERFSVDMIKLLLKHGTLTNLRTAGTSVIEGLLPLHVAVENTCLHKYLEENLLPNQMEPNYPTKKDDNYVYNIIYLLCLPKMKIFLDTTRLLAEHTDNLVGEIWNYIKDRKLLQTAVLLLSAQGHIRAGCCHRRNGNGVVDGFITMLYHLIMEEINAVKLGMGRDEKEKLNLEAQGEHLSATLLLVQIILKAGEALDSYIQTHEEVPETDVLRRVTQILNDNGFFPTEAAICVANLWPYDWLPEPDGELPEEHGKIVETEAAAETPNLYPADKMAARVKFWRRRATWNQYFPYWRSVLASRFPVKVYPSHAQADVFRLPKFDLIQNSGSKSFGKRSVPAANGNTRSLARRIPRASSMNQSSRLFGTVALAMLKVLRNA >SECCE7Rv1G0468050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:95109800:95118927:1 gene:SECCE7Rv1G0468050 transcript:SECCE7Rv1G0468050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGAIRVLNVAEKPSVAKAVAEILSRGGMQSRAGRSRYNRVFEFNYAIGAQACHMLVTSVTGHLMELDFDDRYRRWYSCDPAELFHAPVRKAVPQDKQDIKRTLEEEARTCQWLVLWLDCDREGENIAYEVVDICAGANRNLNIWRARFSALIPREIHEAVQHLARPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDVSGEERNMVLSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFIWIRGHLFDYSSAVVIYEMCVQEPMATVQNVRNQEKLKYPPYPLSTVELQKRASRCCRMSSEHTMKVAEELYQAGFISYPRTETDSFSPNTDLHAIVREQADHPDWGTYAQRLLNPEERLWRNPSNGGHDDKAHPPIHPTKFSTGENNWSPDHKKLYELVVRHFLACCSQPAVGAETTVEVDIAGEQFNASGRVVLAKNYLDVYRYDSWGGSLLPTYTIGQQFIPTTLTLDSGVTRPPPLLAEADLLSCMDKAGIGTDATMHEHIKKLLDRCYATKDANSRFSPTNLGEALVMGYDEMGYELWKPYLRAMMEADMKSVSVGTKSKAQVLEGCLQQMKACFLDARANKVKLLDAMGTFFARSNRPINETQNTIEVVRPCGACNDSEMLLKRRPNGGFMVGCRGFPQCRNVVWLPGSLAEAAVTQQICPTCVPGPVYKIQFKFNRRDIPPSFDVDHLGCIGGCDDVLKELTEISRFQHHNQTTTPARSQSQTQTTSTSGVRQGAPRQDLPTGSRSTGQFANQHTPAVNPQGRDQSRTPSGVGVRQGTPRQDLHTVFRTASQFANEQQTPGVNPQGFRSTHTGVTQNSRNASSSDAGQVLCTTCGEACINRTANTEANRGRKFYKCQNPGCGFFAWEDELDNVAPRGRGRGSRGGGRQPTPSASASAGRRGGGRGRRGRGNADANGMTFVSATGDTVHGCCFTCGDPSHFASACPNRGR >SECCE1Rv1G0050640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:648232179:648233591:-1 gene:SECCE1Rv1G0050640 transcript:SECCE1Rv1G0050640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAPSKRRKKTRAAAPAAATLPDDVVIEILVRVADPAALFRCAVACKPWLGLVADRAFLRRRWPGPPSLIGFFAQRRRYTSQFAGPRSPAFVPAPRSPLGPNRRSLGSFVSGAMGSLDMDGDAVPLTLRDGLLIVRLAPRGGAPAVGTGQTLVHLGVCDLLAGTCDALPPLECTASSSISNCAILTDADRCSYKRRRSPLPGRSSLFKVLVIVFDPDTSYYYLCTFSSARSAWSDPTPCFSKTALRITDGSAAVCCGMAHWFACDGWETCRTLDVSTETGHASSTEVVIPQRRYDFDLYITPWLGVAMDGTLSLFHLHSGCRSLHVWTRHGRHNDEDWFHSKEIELTPPEQKVICQDVQCLCADQGSGMLLVMDRLERVYIVGLQTGEVDEVTEQFQRLELQTVVPFEMDWPTLFLSRLEGHKEEKKKRSTQSRRHG >SECCE2Rv1G0081300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:138069724:138075426:1 gene:SECCE2Rv1G0081300 transcript:SECCE2Rv1G0081300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLLRALRRPSSVAALRLATNANVQAVTGYRHLNNRNLSVFNEFSKQLKGEAKSNPEFQKTMKEFSEKLGVVKEDLKVRTQKTAETISKSVEDVMTEAEATSKKVTANVKEKMSAATEEVKESFGLGKEETSSFRDGSHGTSNHGKTEASSHSDDKSQDATSAYILFDKLRSTFSSASPVVSGAFAKLKDTRVSTLAKQGYEIVKDELSSSSSRKKKNHIRQAYSAAVEKSTRTDIVIVPTKKSVVGEKWEAIKNKMRGHPVYKRVNEYTKPVVTKVNEYTKPVVTKGQEVAEDVRERWETSDHPVVQKIQDINETIFEETATAASFREIRRRDPSFSLSDFIGDVQEMIKPVLTAYSKGDLKTLKKFCTKEILERCEGERKGYASQGIFFDHKILHISDADVRETKMLGSTPIILVMFRTQEIHCIRDKEGQVTEGGQDSIRTVYYQWAMQLMDSDELPEEESYYAVWRLREMHQLGVKALI >SECCE3Rv1G0164010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:155151286:155155733:-1 gene:SECCE3Rv1G0164010 transcript:SECCE3Rv1G0164010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLMNPFKGVVADVKGRAPWYKDDWVAGLRTGFRILAPTMYIFFASALPVISFGEQLSNETDGILSTVETLASTAICGIIHAILGGQPLLIVGVAEPTIIMYTYLYKFAKKQPDLGEGLYLAWAGWVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIVSEFSMPKDDEISDPSSPIYQFQWIYVNGLLGVIFSIGLLYTALKTRRARSWLFGIGWLRSFIADYGVPLMVIVWTAFSFALPSKVPSGVPRRLFSPLPWESISLRHWTVAKDLFSVPPTYIFAAIVPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLLGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMLQTAKEGMSNRASSLEIYGKMQEVFIQMDSNQNANSVDKDLKSLKDAVLRDGDEEGKLAGEFDPSKYIEAHLPVRVNEQRLSNLLQSLLVGGCVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERLQLLCIGASRRYKVLEGPHASFVESVPSRTISAFTVFQFVYLLICFGITWIPVAGILFPLPFFIMILIRQHLLPKFFEPNDLRELDAAEYEELEGVPHEQTLEEDGSNSGSCDSRDDSEILDELTTNRGELKHRTISHPEERHLQVHSNAVQPSV >SECCE5Rv1G0338860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:603718041:603719634:1 gene:SECCE5Rv1G0338860 transcript:SECCE5Rv1G0338860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHVADASEYLAITGWGIDDVKLAKKAWVFVGQQCKKFCISPVNYEFEVHAMSAEKLPFILPAVFTIGPKISAIGDEAADKKDLEEQLLLYAKLIAPLHHNNNHVHDLVKGVIEGETRVLAAELTMEEIFKGTKTFKEKVFSMVQLELKQFGLFIYNANVKQLVDVPGHEYFSYLGQKTQQDAANQAKVDVAEARMKGEVGAKEREGLTRQNAAKVDAETKVLSVRQMGQGLKEEAKVKAEVQVFENAREADIAAAKAELAMKKAGWDKQAKVAEVEAAKAVAIREAELQMEVEIKNAMRQTEKLKAEQLSKATVQYDTQVQDSNALLYSRQKAAEAALFEQMRTAEARKAQADAKFFEQKMAEDAKLYAKQKEAESVALVGKAKAEYVASMLQALGGNYHALRDYLMIDGGMYTEMARINAGAVNGMQPKISIWSNGGDAGGEAAAGNALQQVAGVYKMLPPLVSTVHEQTGMLPPAWMCTLPKDGAAN >SECCE4Rv1G0281150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825258257:825260350:-1 gene:SECCE4Rv1G0281150 transcript:SECCE4Rv1G0281150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQWQLVPTSTLLLATLVLPLLSILLLVAAARTCRSSTRTGYRLPPRPPGLPILGNLHQMGALPHRSLRDLAGRHGPMMMLRLGTVPTVVVSSADAARDVLKTHDTDCCSRPDTPGPRRLSYQHNDVAFSPYCEQWRARRKLLVAEFLSKRRIQATWHAREAEMGKLVSRLAMASINGRGLVSLEDHVFRYMDGIVGTVAFGNMYGTEHFAHKEHFHHVIDEAMVVRSSFSAEDYFPNALGRLVDRLTGVASLRERVFKEFDAFFEMMLGQAKPYNGSCVGLIHVLIGLMKEEHQGSFRVTRDVVKGLLRAPLNTFIGAVDTGAVTIIWAMAELVRNPHVLNKVQDEIRTLVGDKEKVQQEDVTKLKYLKMVVMETLRLHPALPLLVPRETMRHITVSGYDVPAKTRILVNAWAIGRDPAKWDDPEEFIPERFEGEKDVNINRAQFEFLPFGAGRRMCPGIDMGLATTEFTLANLLYYFDWEFPEGLRSEDMSMEEAGGLTIHKKTPLLLVPTRYYTSGRTR >SECCEUnv1G0534740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:44904822:44908195:-1 gene:SECCEUnv1G0534740 transcript:SECCEUnv1G0534740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGILSVDGLKVLHMDRNDYYGGESTSLNLAKIWKRFKGSDTTPDHLGVSKEYNVDMVPKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEDDPKSHEGLNLHKVTTRELISKYGLEDDTVDFIGHALALHRDDSYLDEPAIDTVKRMKLYSESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDESGKAFGVTSEGETAKCKKVVCDPSYLPDKVKKVGRVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDMYDRYEPVNAAEEDNCFLTTSYDATTHFETTVKDVLALYSKITGKELDLSVDLNAASAGENDAA >SECCE3Rv1G0196030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:805519109:805520994:-1 gene:SECCE3Rv1G0196030 transcript:SECCE3Rv1G0196030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAHWLSLVGTIWLQTINGPNSDFPVYSSQLKELKGISQVQLNFLAFASDAGKLFGWFSGVAALYVPLPLVAFVGASFGLVGYGVQYLFLDSPGLKCWHLFLLTALAGNGICWINTVCYLLCIKNFASRSRVAVSLATSYLGLSAKVYTSLAETMPRLADSKAKTYLLLNAVVPMIVTVFVAPALRLFDLKSDSMASTDTAFLVMFAITLATGACAVVGSIGSTASGLSSREHMISLSVLLAVPMLIPAALKIRQSLNKIWEAKRENRIHDLGTDDAVVVIEVMDLETKEEEEIVVAEENPQEEIGGLQLLKKPDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGLGQTSTLVSLSSSFGFFGRLLPSFMDYYSAKSGHSISRTGSMASLMAPMACAFFLLLNPGSVFLYASTAIIGTCTGAITSVAVSATSELFGAKNFGVNHNVLVSNIPVGSLCFGYFSAFLYQREAGARGAATCSGASCYQATFAIWGATCVVGTLLCVVLYVRSRSFAGRLPVRLQWLSRVANFLGARQKA >SECCE3Rv1G0168310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:218654388:218674410:1 gene:SECCE3Rv1G0168310 transcript:SECCE3Rv1G0168310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYCTSVPASHCNIDMDASSLRASLHSPLLFLALLVPIFVSFLLFFTKKPRPSRGNGGPRLPPSPWGLPVLGHLPLLGSLPHRKLRSLAEAHGPVMLLRLGGVPTVVASSADAALEVMKTHDLAFASRPVVRMAERLLYGRDMAFAPYGQYWRQARRVCVLHLLSARRVASFRRVREQEARALVDRVRRAACCSRPEDSVVNLTDELISYTSAVISRAAFGDDGGYWIDDDLTEVFAEFEELLGTATVGEFVPWLAWVDTLMGLDAKVARARKVMDGLLERVISDHRRRRLSGGRRLVGDGEDDHRDFVDVLLDVSEDGEENSGGVRFDTVGIKAIILDMFAAATDTTYTTLTWAMAELINNPSEMNKLQHEIRAAVNGASHVTEDHLEKMSYLRAVIRETLRLHAPLPLLLPRETLEDTELLGYRVPARTRVVVNAWAIGRDPATWERAEEFVPARFADGPAEYVLGQDFRFVPFGAGRRGCPGVGFAVPSIDLALASLLYHFDWELRPPAAGPSKLDMSELYGLSVRLKATLHLVAKPWSP >SECCE7Rv1G0507700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:764479801:764480913:1 gene:SECCE7Rv1G0507700 transcript:SECCE7Rv1G0507700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAPQRRKQDESGGGGGGSWREEAVSAGSLRQVDLDRGANGWAAPPGDLFQLRARGYFSGGGGKRGKSAASADWLLRPAGVDWLRSHARLDHLLARDDVPVAAAFRRARLRKDPNAHFLLAVNLQVPGRPDAYSSVFYFAAEAPIPPDSLLGRFVHGDDAYRNARFKIVNRIVKGPWLVRATVGNYGACLLGRALTCRYHKGDDYLEIDVDIGSSAIATAILHLALGAVTSVTIDMGFLVESQSEEELPEKLFGAVRIAQMEMGSAKYVETASDEPETAGKAAPGFRVGSARVANDSRHQERKAGRSMSCQDRLGGGEGSNYS >SECCE7Rv1G0499340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:653226634:653234348:-1 gene:SECCE7Rv1G0499340 transcript:SECCE7Rv1G0499340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional elongation regulator MINIYO [Source:Projected from Arabidopsis thaliana (AT4G38440) UniProtKB/Swiss-Prot;Acc:Q8GYU3] MEDATKRRHQPGALPARRKVVVEPFDPAPPAPAAAAAAATVASPAHLVGSIVEKGFSAAAPSSAPRPTVLPFPVARHRSHGPHWKPVAKGADKKKGEGEEEDYGMDVDEMDYQPAAAVAGPVRRKEKKDMDFSRWREFVTDDVPPKRRQAKKDTTKKIDHVAEVNVVGQVGERGLGGDGMELDGGNGREALGSTGLVSDVLSKKLMNAGDALMTEGGAGVAELRGEGMQLDDGEPSIATEINAENTARLAGMSAEEIAEAQADILNRMDPALVEVLRRRGREKSGTKKDEGKDKSRQTSVPRKTAKAIPGEHLTAGEQSAHSWKAWSERVERIRSCRFGLDGDIMGFQSSQEQQDGKKTHGENVAERDFLRTEGDPAAVGYTINEALALTRSMVPGQRVLALQLLASVLNRALHNLHKMDLADNVEGANYAEKASDWQAVWAYALGPEPELVLSLRMALDDNHESVVLTCAKVINVMLSHDMNETYFDFSAKLIIQSEDICTAPVFRSKPDLDGGFLEGGFWKYNTKPSNILPHYSENDEEESDEKHTIQDDVIVSGQDVAAGLIRMGILPRICSLLEMDPPQIQKDYLVSILVALARHSPQSADAILNCTKLVQSVVKLLDRQGLEIHSSMIRGVTLLKVLSRYNRQTCLKFVNNGVFQQAMWHWYLPAYTLKDWIKPGKEQCKLSSAMMVEQLRFWRTCISYGFCISHFTDFFPVLCLWLSPPVFQNLSEHDVLSEFSSIARESFLVLGALAQRLPLLHSVEQFGKQDVGVLGSYGEIWSWSHVVPMVDLALSWLHLNDIPYLCSLVNGQSENTTHIPEQSCLVLLISSVLGMLNSTLERISPEGTPDSRSYCLPWIPDFVPKIGLGIITNGFFSFSCTEVGGHEEQLPSRGVSLVQGLCHMRCCGDVDASLSSISCLQRLVQLSCSVDRVIKRATTNSSEHLKESKAGLAGKILQEGISSLWHNDLLNFLTSLLPMISSQWPVLKNIEMFGRGGLAPGVGFGWGTCGGGFWSLKCLLAQLDSQLVLELIKIVSAAPEGLVTLSKGVNLDNVTNSFTNASERISPVLSVSLIAGPGQISTLERAFDILFQPSVLKCLKSSIHCLASQMKLPKAFEWDISEDEYQHFSSVLNSHFRSRWLAIKKKNPDKHARNNSAINKPKVPETLETIQEEMELTEVVNPPCSTLVLEWAHQRLPLPVHWILSSVCCTDDADVSRAGLIFLLGLEAVSAAASLDVPLVWKMHALSASVRTNMDLLQEDRSKDVFEALQELYGQHLDMLCQKCYRSHSADNDEVVGSMATVKEVKVISTHEILRFKEKIHESYTTFVESVVDQFAAVSYGDVIFGRQVAIYLHRSVETTVRLAAWNALSTAYVLELLPPLDKCVGDVKGYLEPLEDDEGILEAYAKSWTSGILDKAARRDSMSFTLAKHHLSGFIFRRSASVKVRNKMVKSLIRCYSQKQHHEAMLQSLVLQGVPRDSQYGSEVGRRIEILKDACEMNSSLLADVQRLKTSIEGAIS >SECCE5Rv1G0368660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:830377954:830378454:1 gene:SECCE5Rv1G0368660 transcript:SECCE5Rv1G0368660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHEQNCGRVLDKDKFEKGLAKVKSEHERELAKLKMENDKLCIEYTKLVDDVSNMFDWQDGRVDKKVNPKQVEEEELEKKKNKELEEKAMLEVQMEKLKLAKEQRCILQSQADIIKNSRKAMKDVELDRDVLKREKAKRELVVAELMKDGYGSKEKLEQIKAILES >SECCE7Rv1G0494870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:591883976:591884812:-1 gene:SECCE7Rv1G0494870 transcript:SECCE7Rv1G0494870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVVGSPGTWSGMALRVSQCVFAAAASLALASAYGSSNYSAYFYLNTALVLQFLWSLGFACGDIFALRNKKDLHTEPALVLLSVIGDWVMAILMFSGSCAAASVTIFFREDVKFCAKYWRLPCAQFELSVALASITWLLQAASSFSGFWLLVSLF >SECCE2Rv1G0133700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898083245:898086543:1 gene:SECCE2Rv1G0133700 transcript:SECCE2Rv1G0133700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWLAPQTGYSALLAQAYVKESLSGMTLRVIFTLLLLVCFCESDDRLTPAKPLLPGEKLVSNNGLFALGFFSLDPENSTAANSYVGIWYHDIPERTYVWVANRGNPIGSGLSGELVLTNTSDLVLSDSRGRVLWRTTNNITTGGDGAVALLLEAGNFVLQSRNFTQIWQSYDHPTDTILPTFKLWANYKTHTAVRLIAWKGPQDPSTGKFMLCRDPDTGLQILTLRGTSKYWRSGLWNGAEASDKNGFMWSQNVDDGETIYSTYNTGNSSARRSHWKLDYNGDLMLRIWSGQSWVPLFKRPDDGCRRYGSCGPFGYCDMFDKICRCLDGFQPAHGFGVGPSRGCVRKENLTCRGDHFLTLPEMKVPDKFVYVRNRSFEECTAQCESDCSCTAYAYTNLSSIVATGGPSRCLIWRGDLVDSEKAGMLGGNLYIRLAGSPEHSSAVNSMKGGAGVVFKIALPVITFLLTLTCIYLVCICKQKGIQVNKEALKKPALKHLSTSQEVWDQNLEFQSIRFEDIAAATNSFHDTNVLGKGGFGKVYKGILEDGKEVAVKRLSKGSDQGIEHFRNEVVLIAKLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDQFLFDIARKSMLDWPKRFNIIKGVARGLMYLHQDSRTTIIHRDLKPSNILLDVQMNPKISDFGMARIFGGNEQQESTRRVVGTYGYMSPEYAMEGIFSVKSDTYSFGILLLEIVSGLKISSPHHLVMDFSNLISLAWNLWADGKVKDFVDAAITESYSLDEVSKCIHVGLLCVQDSSSARPHMSSVVSMLDSEAMPRAEPRQPMYFAQINYETSDAVEDLENSANGVSLTALEGR >SECCE2Rv1G0131850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:887349215:887350381:1 gene:SECCE2Rv1G0131850 transcript:SECCE2Rv1G0131850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGAGRSELPTDVLVEILLRLPPMSRRRVRLVCRLWRDIIGEHTTEMQSRPTALLWDTWEAVAYVVGDLSKSSTTNYRELWRRDPARPYIRPSSSLKLIGTCNGLLCLCDNKKAPGGAVTLVNPATDEVLPVPPLPCARSFFLPAKRYTCWENCNWHEAYSFAYHPTSGQYKVVHVPCSIGKAYKFDAMQVLTLGEASWRKVPAGPVEGARCDLDAGIVSIDGATYWVIESAGASWVVSFDLEKEEVTRVKGLPTQPVWPDHYHLTEVHGRLGIVLRGRPRATKVWVLDKGRRKWSFEYNLTGHYVPRPHFVYGECILTLDECSICGHNRQKGMWSSSNNTVMVRVSHRPAHGTLLAAIIAGWCQAFSYVETMEPLSTYMLPIVNA >SECCE6Rv1G0411900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611455638:611457104:1 gene:SECCE6Rv1G0411900 transcript:SECCE6Rv1G0411900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASPSLTLPPPAPCAAPKLRRRTPPRDVVSWTSAIARPARGGDLPSTAAALSDMLSSPAAPAPNDVTLLTVISACAGDPSSPLARPLALSLHALAIKLFPGHLLLCTCLARFYLASRLPHHALRLFGSMPVRSVVTYNTMITGLMRNGLVAAAREVFDGMPDPDKVSWTALIDGCVKNGRHDEAIDCFHAMLLDGVEPDYVTLVAAISACAEVGALGLGMWVHRFVTKQRLEGNIRVANSLVDMYARCGKVEFARQVFDSMRKRTVVSWNSMIVGFAANGQCTDAIEHFEAMRRKGFKPDAVTFTGVLMACSHAGLTDEGLRYYDAMRVVHGIAPRMEHYGCVVDLLGRAGRLDEAMSVVASMPMRPNEVVLGALLAGCRMHGDVDMAEQLMQYLLEQDPGGDSNYVLLSNIYAAVGKWDGAGKVRSLMKSRGVKKRPGRSAVEIDGDVHEFICGDRSHPQAAEVLDMLGLLSHEMAGHEAVSYE >SECCE2Rv1G0072740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:61049402:61052802:-1 gene:SECCE2Rv1G0072740 transcript:SECCE2Rv1G0072740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 family protein [Source: Projected from Oryza sativa (Os07g0681300)] MGVATAVGVAASLCCVGACALALYLYRILWLAPETVRRALRRQGVRGPPPSFPYGNLADMRRAAADAADAKRTRGAGDVVHDYRSAVFPFYEKWRNQYGPVFTYSVGNMVFLHASDPAVVRDVCISVSPDELGKSSYMKVTHHPLFGDGILKSNGDAWARQRKLIAPEFFPDKVKGMVDLMVDSARALVRSWEARVAGTDAGALELTVDDDLRAYSGDVISRTCFGSSYVKGKRIFAMIRELQKMVSTPNLLAEMTGLRFLPTTRNREAWGLNRRVRRLILDVVRESDEADGGNNLLNAMLRSGQAEAGVAAAEDFVVDNCKNIYFAGYETTAVTAAWSMMLLALHPEWQRRVRDEAKEALAGGAALDLSSLQRMKQLTMVIQETLRLYPAGSVVSRQALRELTLGGVRVPRGVNIYVPVSTVHLDEELWGSHARHFDPERFAARPQLHSYLPFGAGARTCLGQGFAMAELKVLLSLLLLRFEVALSSDYLHSPVLRLTVEPEHGVRLVLRSVQPNGESDRKL >SECCE6Rv1G0396780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:375985525:375985821:1 gene:SECCE6Rv1G0396780 transcript:SECCE6Rv1G0396780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDFFEKERFDASEFIVLIPLHTLSMLLMIPAHDLIAMYLAIELQSLCFYVIATSKRKSEFSTDAGSKYLILGAFPSRILLFGCDQTTTDQFFGTYL >SECCE1Rv1G0054180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673520983:673521180:-1 gene:SECCE1Rv1G0054180 transcript:SECCE1Rv1G0054180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRALNTFASMSLICMTQDVVVPSFMGPKSCAMKTLDRAASTHRCAANISPLTSNVTSAPTLV >SECCE3Rv1G0211560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:951204430:951205365:1 gene:SECCE3Rv1G0211560 transcript:SECCE3Rv1G0211560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSAPVSLHLSHSVPATMARLATLAVLLLSLLAVASCRVLETISDDQGPPNAVLLAQDDQADGAAEVTLPALTTPAVAVDEGVLRLPSHRRPCHLHRHLWWARHHGLSHHRGAFSGPGEARVHPAVDVVVPTELARGEEQEPREAVAEPDPDSRPDTDAAEKLFHGEEEEEAAKAWKVEMIRRFRNGIRFHHRHHHEQDREEHDEHEQDKDEGTSMNLFGRFHHRHHLRHHHDQDDHKQDEDEGPKMKLFRRFHHHHAHDNDNEVEELARRLSEAIMRRSFSHDGSRQHHHHHGAEGGLKKWFKGLINRF >SECCE3Rv1G0158900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:92267759:92271280:1 gene:SECCE3Rv1G0158900 transcript:SECCE3Rv1G0158900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSQFMDKQILGLAASAAPSPSLAGGGSGGSELLDLMGPDPQEETEDGLRRRHHHSANGTATDVLPSYDFQPMRAPTAAPAAAPPSWGSLDSNSKAASVSASASASSPYNLKSAGILENRVPKNANHEEDRSNFGLVTIADIDRTMKKYSDNLLYALEGVSSRLSQLEGRTHHLESSVDEFKLTIGNYNGSTDGKLRQLENMLREVQAGVQIMRDKQEIVETQLHLAKLQIPKTETQSSENSGVGQADSRHQSVAPQQAGVPSQHQPQTFPALPAPNAPPPPPTLQSQPPAQFLGHSSAPSVPALPQEPYYTPSAQPTEAIHQQYQAPPVPQPQAPPAPQQQYQAPPVPQPQAPPAPPQQYQAPPVPQAHAPPVPPQQYQAPPVPQAQAPPAPPQQYQTPSQFSQYSQQPQSTNVNPSSPLAPPAPQQTEYAPSHSYPPNVRTPSAYMPPQNESAPPFYGQNPSMYEPPAVRPNSGPPPSYGAPGYGPQGGSSFSESYGYTGSPSYRGNTGMKPTPFAPSGPSSGGSGNSYGTRLPTARILPQAEPVSSSPTAASGNRVALDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNG >SECCE5Rv1G0302620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:40124210:40124521:1 gene:SECCE5Rv1G0302620 transcript:SECCE5Rv1G0302620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVTKLAGQPAVVIFSTSSCCMCHTVARLFRDLGANPAEVDLDEDPNGKEMEKALARLLGRNPAVPAVFVGGRLVGSTDKVMSLHLSGKLVPLLRNAGAVWV >SECCE4Rv1G0215670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:5950438:5954047:1 gene:SECCE4Rv1G0215670 transcript:SECCE4Rv1G0215670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLDALASYVTSMLAEMAKEEVAMLIGVSDGIKDMSIKLGDLKNFLADADRRNITDASVRGWVGELKCAMYLATDIIDLCQLKAIEQGQTKDRGCLNPLLFCIRNPLHAHDIGTRIRMLNQNLDDICKRGSSFNFIKLKAYEDLKTTRSLATNRKTDSLMERSGAVGDKIEVDTRELVEVLTREATSDKSDRLMVVAIVGVGGIGKTTLSKKVFNDDAIEGKFTKKIWLSITQDFNEVELLSKAIIAIGGDLPGGGGAQDRDLLVGALKNVVGDKKFFLVLDDMWRADAWDNLLMAPFSYGGPGSRVLVTTRHDTVARSMKAVHYHHVEKLGVEDGWSLLKKQVLSTEENGHEVDMLKDVGLKISAKCDGLPLAIKVIGGLLCSKEKSRHVWEDVLNDDIWSVSPMSDELNYAIYLSYQELPPHLKQCFLHFSLIPKKSILSIRVIVSMWICEGLVEGGSNNLEEEGKKNYKELILRNLIEIDPLFPSQLFCNMHDVIRSFAQFVARDETLVCHGGDTTKTNLRSPNFIRFSVETKGEGSDEFEWKYLREQKLLRTLILSGNFKIQPGDSLINFPSLRLLHIESANIGALVECVHQLKHLRYLALRNTDICRLPENIYEMNFLQYISLEGCESLVKLPDSIIKLQGLRFLDIDDTRVNSIPRGFRALTNLSALFGFPAYTDGDWCSLEELGSLSQLNYLSIQSLENVSSALLAAKARVNAKKHLTVLFLKCGSRLGDGLVQGGVSESKEEEQIIESVFDVLCPQPCIEHISIERYFGHRLPIWMASTAMVPLESLKILVLEDLPCCTQLPDGLCRLPYLDWLKVDRAPVIKCVGPEFVQQYNQLHRPSYQLAATFPKLQKLHFHGMEEWEEWVWETEVKAMPLLEQLCISECKLGGMPPGLMSHAVALKKLKIWSVQGLHSLENFVSVVEITLSNMPELAKISNLPKLKKLTIKYCPKIETLKEMDALRRLELRVSPWENQLPVYL >SECCEUnv1G0539370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75624998:75626416:1 gene:SECCEUnv1G0539370 transcript:SECCEUnv1G0539370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNPPPGPWQLPVIGSMHHLVGALPHHAMRDLALRHGPLMLLRMGELPVVVASSAAAAREVMKTHDAAFATRPRTDTTASLTADGLGIALAPHGDHWRAVRKLCATELLGAPRVRTFRGTREAEAAVLVASVAAEAASGAAVNVSSLVTTYVADAAVRAVVGDRIADRDAFLACLDEGVRVAAGFSLADLFPSSRLARALSGTARRVEAMVGEMSRLMDGVIQEKRARKAAGEDRDEEEDILDVLLDGGGGAPLDIPTIRAVMRDLISAGSESSASTLQWAMAELMRNPAVLRRAQAEVRGALAGQSRVGEESMQELAYLRLVIKETLRLHAAVPLLLPRECREPSRVLGYDVPQGAMVLVNVWAIGRDEASWGPDAEEFRPERFEEGAAAAVDFRGADFELVPFGAGRRMCPGITFGLAVMELALASLLFHFDWELPGGTEELDMAEAFGITARRKSDLRLHATVVVPPE >SECCE3Rv1G0200860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:858237004:858237663:1 gene:SECCE3Rv1G0200860 transcript:SECCE3Rv1G0200860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAPSPALLPVLLLLLSVVCTAGAATAMVPTIPSQPGKPNSNNKQKQGGAPLGPAVRALVQSTCNATSYYDLCVAALVADPASSTADLRGLCAIAVSAAAANASATASALANTTWAATGTPETGSDGRAQQVPALLMRTCAGKYGEAREALLEARESVGEEAYDYAFVHVGAAAEYPAVCRTLFRRKRVPYPVELARREEALEHLCTVVIDIITLLA >SECCE7Rv1G0494130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:579032222:579034968:-1 gene:SECCE7Rv1G0494130 transcript:SECCE7Rv1G0494130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRARRGGRLFPLWLCAVVCLMARPGACSVVLMANNTTLSFSDVEATFTPEVKGSGLNGVIYTVEPLDACSPLTKKAVEGPASPFALILRGGCQFDDKVRNAQDAGFKAVIVYDNKDHGVLVSMAGSSSGIDIYAVFISKTSGEVLKQYSGQSDAQVWIISTRDNSALSIMAISFTALLAMSAVLATCFFVRRHQMRRDRARIPAAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYSVGEKIRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDANAGMSNLPPSESTPLLSSAIPLPAESTALSSFRSMVAASPPRTISRHPSWQSMSRTNSNSSILHTRNPCRCFSNSPAISTSRSSVDLANMSSPWSNTPHLATGHSLGGGHFSPPISIRYTSPHVSPFGYGFSGQFVGSPHIPHSDNGSPSYYPGSSGQQYPYLRHHTESGPSLFTMVPQSPQQIQLQDGGDSVTSLSASASTQSFRQAYLQHHPDSDASLSAATSAQSLPGC >SECCE1Rv1G0047730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:628814654:628819204:1 gene:SECCE1Rv1G0047730 transcript:SECCE1Rv1G0047730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAAARSRALLLPRAAAALSSSSPAPSSSYSSYARGAPSPSSYAYRAAAAEEAAAAAAAAAAAASGAGAEAAAAAAPPPAARRRWVRGLLKFGVFTTLVGAMGGAGYATHAYSVSEVDQKTLEFRKQMTTPIPVAEDASEFEKFRARAYETAMKVPVAAIELYLEIRARIEDHVVGFTEPASDKLLPDLHPDDRHIFTLVVDLTDTLVYNDWQRERGWKTFKRPGVDAFLKHMSKMYEVVVYSDQLQMYVDPVVERLESTGVQIYKLSRPATKYQDGKHYRDLSKLNRNPAQVLYVSAHALESCLQPENCVTVKPWKLETDDTELLDLIPFLEYLSLARPSDIRAVLASYQGHDVAKEFRKRSKELERHKQEQKQRKSIWRR >SECCE2Rv1G0068450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:23184085:23185356:-1 gene:SECCE2Rv1G0068450 transcript:SECCE2Rv1G0068450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSSAPSASKRHALAPAGRSPWSDLHSELLVSIAAIDGVSLRDYTCLRAVCTAWRSSLAPLSFPCLLSLAESHDSHSVSVFSLPIRRSFHVHTGSSVVVDSQTSFYGRVRVVGSGNGRFAIAIDKEPRSNPSSFVSSSTRRIFLIDPRAGKEVQLVSQTGDQKSVRKIVFAPNPNPSPPSHTDDWTAVALYDRNNRVAYIDTGSSSSSTEDKTWTTIDVAEGNCYHDMAFHAGDDKVYFLDTSGAVDVLRMPRGGEPALIEPFATLMQDPSPTAAYAPPYDVVYPKMVTKHIFFCHGSLYQVWKNTCAAINLASGSFRISANEIFVLRCDPGRWPCWDAVKDLGGCSVFLGKSSSPVVVRPAAPELRADCVYWIDWRGIPMVCDIATGASQPWVLSYGTFKGDCWYFSHDDTTSIDDREGRN >SECCE7Rv1G0513730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:825345736:825348887:1 gene:SECCE7Rv1G0513730 transcript:SECCE7Rv1G0513730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRGIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYQMTPESLEMYKGDRDGDEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDVLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTSKNTGTATCKRGFVQFCYEPIKQIIATCMNDQKDKLWPMLKKLGVTMKNDEKDLMGKALMKRVMQTWLPASRALLEMMIFHLPSPSKAQRYRVENLYEGPLDDIYANAIRNCDPDGPLMLYVSKMIPASDKGRFFAFGRVFAGRVATGMKVRIMGPNFVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVALVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHTDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRQGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDIMASDPLDPGTQSATLVTEIRKRKGLKEQMTPLSDFEDKL >SECCE4Rv1G0281450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826398486:826399700:1 gene:SECCE4Rv1G0281450 transcript:SECCE4Rv1G0281450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLLKYCKRRGLLIELGGEAILVIRSERGLARKLAPFKSHSLLIRICYARYADDLLLGIVGAVFLLIEIQKRITHFLQSGLNLWVGSAGSTTIAARSTVEFPGTVIREVPPRTTPIQFLRELEKRLRVKHRIHITACHLRSAIHSKFRDLGYSIPIKELTKGMSGRGRLLDAVQLAETLGKDGLKSPQVSVLWGTVKHIRQRSRGISLLHSSGQSKVPSGVQQAVSRSGMSVLKKKLYTPFGRKAAGEGRGHWAGSFSSEFPIQIEAPIKKILRRLRDRGLISRRRPRPIHVASLTNVSDRDIVNWSAGIAISPLSYYRCCDNLYQVRTIVNYQIRWSAIFTLAHKHKSSARNIILKYPKDSNIVNQEGGKTLAEFPNSIELGKLGLGQDPNNDGALNYMFNK >SECCE1Rv1G0018340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:188559427:188562123:-1 gene:SECCE1Rv1G0018340 transcript:SECCE1Rv1G0018340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVGGGARTWRLSDEAANGAGGGGAASAAMEADYMRRLHGHAPGENQCTSALVKHIKAPVHLVWSLVRSFDQPQRYKPFVSRCVVRGGDLEIGSVREVNVKTGLPATTSTERLEQLDDDEHILSVKFVGGDHRLRNYSSIITVHPQSIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLTSLAEVSERLAVQSPTSPLEQ >SECCE2Rv1G0087790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:231632776:231633087:1 gene:SECCE2Rv1G0087790 transcript:SECCE2Rv1G0087790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGAKGLGKGGAKRHRKILRDNIHGITKPAIRRLARRGGVKRISGVVYGETRGVLHIFLEEVIRDVVAYTQHARRKTVTAMDVVYALKRQGRTLYGFGV >SECCE3Rv1G0147670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15601277:15603710:-1 gene:SECCE3Rv1G0147670 transcript:SECCE3Rv1G0147670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVANTCTTVDVVWQDGTRQQGRPSATIVPFAIWNEQEFFPGQHVVAILPVNAAVDATDDETTTSANNDIAASGTGQAERVGIVKSMQHEDQTVCVSWFKTPGHPDETMEVQCDDTVSAYDLRLDSNHSAYYGDIVIRIVRSESTDDGESAPLLQGNKKKNDVPADLSWVGRVVELPNGHAQVKWGDGSMSTVWPHEIVVIKDEHYMELWLEMGDWVEDNGIDDAPEEPFAANTDIDLQNLDNDVESVSPAMSRTGLLGLSFRSLLQLTSDTVARGEEYLMNCIDDSIGGAAVETSDAAVDVTSHGFDGGPKAAGATCCSDESLCFPRFDVLQISPLDHHYLDTTDQEMQGASRTKSWAKAVQKEWKILENDLPETIYVRAFEDRMDLLRVVMVGASGTPYHDGLFFFDLQLPPSYPDAPPQVYYHSFGLRLNPNLYESGTVCLSLLNTFGGEGTEVWSSTESSLLQVVVSIQGLVLNDKPYYNETGYETMVNKPEGRRNALPYSENAYLLTLRTMLHLLRRPPRGFEEFVKEHFRHRGRFVLRACNAWLQENIVDNAHATEVSRKQPCSAGLRLALTKVVPSLVAAFTEIRAEGCEEYQ >SECCE6Rv1G0422920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692714901:692716709:1 gene:SECCE6Rv1G0422920 transcript:SECCE6Rv1G0422920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGASPPATPATSSTPAAKRPRLSRFRRAGSAVAAAAPGGFLHLSLLASLRRRPSLQAHAQLLLLGLPLPAHAASRLLRPHLRSGNHLASLRLFLRILRDRGRPTKASMETQTEAVPNSHSLSAALAACAHHASPSPGLSAHAFLVKSGYASDLFAANSLLHFYASFGLPSLARRLFDEMPTRDTVSFNTLIGSYVNSCRVEDAFGVFRVMVEGGLRPDGWTITALSGACVGLKDLRVAKALHGVAMRALRAEAFQSQQVAIMLVDMYAKCRGLVLARKVFDLAGEKARDVRLWTMMVSVYARSRELEMARVLFNEMPEKDLVAWTALIGGFVQFGRSKEALMLFEEMEKAGFEADQVTVVKVLSACVQYRAFDVAKRLHHRVMHNKMIGTDAGLATALVHMYAKQGLIQTAMDVFAGVDDKFKTVELFNAMIGGLAYHNSGEKAITLFDEMRSLGLHPDKITFTAVLCACSRGGLVTQGFEIFNSMVGKYGVEQDIKHYACMADLLARDGQLDDAYRFIQNMPFKANSVVWASLRRECEIRGNMRIGKLAEEELLRFDPSYKPETESLVLSDIFSDGRKQKRAARVRKVIRLKPKHGRTK >SECCE1Rv1G0013790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:108469003:108469929:1 gene:SECCE1Rv1G0013790 transcript:SECCE1Rv1G0013790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVKVYGVAASPFVATMLLCLEETGADYELVPLDMAAREQRTEHHLSRNPFGKIPVLEDGELTLFESRAISRYVLRKYGGTNAPSLLGGSSLEESAMVDVWTEVEAHQYQPAIEHIVQQCVILPFIGGARDQAVVDENVGKLEKVLDVYEARLSTHAYLAGDFFSLSDLAHFGITYYLVAGTEYATLLESRANVWAWWGRIMARPSVKKVAPLIHLWLKTASSA >SECCEUnv1G0558070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:329550821:329562763:-1 gene:SECCEUnv1G0558070 transcript:SECCEUnv1G0558070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICLRRGLSLLRQRQPRLPPRPARLLLHLSAEPMGDPAVAPDAAYLEAVTQKRIRIFEEIQARQALERLNIGGDPIRVTLPDGAIKEGKKWISTPMDIATGISTGLAASCLIAQVNGVLWDMTRPLEGDCDLKLFKFDSNEGRDTFWHSSAHILGESLERVYGCKLCIGPCTTRGEGFYYDAHYKDLTLNDTHFGLIDKQAKKAVAEKQPFERIEVSRAEALEVFAENEFKVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASASYWRGKADRESLQRVYGISFPDSKRLKEYQHMIEEAKKRDHRLLGQSQKLFFFHPLSPGSCFFLPNGAVIYNKLMDFLRKEYRERGYQEVLSPNIYNMQLWETSGHAANYKDNMFVFEIEKQEFGLKPMNCPGHCLMFAHEVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCMESQIKDEVRACLEFIDYVYKIFGFEYELELSTRPEKYLGDIETWNKAEQQLTEALNEFGKPWKINEADGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLTYSAEDEAKLERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCCVSANSLTYAKEVHAQIRAAGFHVDIDMTDRTIQKKVREAQLAQFNYILVVGAKEAESGKVVLRVRDRADLSTESIADVIARFNDEVAAFQ >SECCE4Rv1G0229720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:152697853:152699515:1 gene:SECCE4Rv1G0229720 transcript:SECCE4Rv1G0229720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVILIFLSATIAGFFLLRGLNAEPDLFQDDDAAAVAANEEDDEGSPASPRDPAPLHSKVASAAKTGFWTMVDMASGRYLWRTLVAPRAKSDSEKAR >SECCE2Rv1G0110580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:691851879:691855166:-1 gene:SECCE2Rv1G0110580 transcript:SECCE2Rv1G0110580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLTTGLSATAGAWGAHAGLGDEVDRLRSVLSRVHALVERAEQWRFANPGVADLLARLRDAACDAEDLADELATDEKQASQSLFPSVRGFLRGLVTGAADRARGVRSRLEYASTDLERALAVLDADGKAAPRRAFRETSSFAGRPLVLGRDREREDVVRLLLNPVHAHDSGGGGGSAKRRKHRDGVAVLAILGMGGVGKTTLAQVVYNDPRVQDHFDLRMWVCLPESPDVTLVTKAIIESATNGAAAQTGLANLDSLQVVLRDLVSSKRFLLVLDNAWCEDSVEFQTLVAPLKFGHPGSAILVTGRSCKVADAAGAVELLCLNGLPEEAYWELFKQYAFGDEDPADHPELVAIGKKIANRLKGSPLAAKTVGGALSSDMSTKHWSIVMASGLWELDQGVGGILPALRLSYQYLPAHLKQCFAFCAIFPKGYIFGKETLIDMWIAEGFISPQGDLGNKCLKELLSRSFFQQHMFSDSCYVMHDLMSDLAQSLSIDECFCQTDERCLPKIPSTVRHLSVCTKHLELSKFLELGRYTKLRSLLILGMSGQDLSCSLDILFDKLSNIRVLVLRECAIKELPSNIGNLKLLRYIDISYTKIQWLPDSICQLSNLQILNVLNVPLKNHPKGLTRLVSLRKCYLNEPITLVMSSISSLTSLENSLVFEVPKGQGHRIAELKDMTQLTETLHVTNLENVVKDDALKAKMNTKAHLQRLILDWSSSEAGNAEFSNAKYSQESIIVESFEPHPNLEGLKLKHYSGIQTPSWLQAGNLCCLCELSLSNCHFLTEITSLPSSLRRLHIIRCRNLRSLDECLQAQSLQGITEITVMNCSKLALLPVERFRGFASLRVLEIQNCPELPPTRKLTLPPSMEELLMRSCGHVDASLPFCLQNLPSLVSLIIYNCPNLPSLPAEIVSELRSLRGMYVDNCSSLKSLGGLHHLSSLINLHIVNCPRLTDLDPSMFLDVSEGRGLQKLECLTISSTSLLSLMMRSTLPALKTLVLYQSTDSVVVHGPRNKKLCWCFPSVQELLFQDCGNLVALPEDLYTLSTLQFMRIFNCPDIRSLPRTGLPVSLRTLSFEKCHPLLEEQLKKLKFSYNTA >SECCE5Rv1G0307510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:114118288:114119196:-1 gene:SECCE5Rv1G0307510 transcript:SECCE5Rv1G0307510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKCSICGKTYNTKHAFNGHKIRCKSPKNPEQDAARQEEASSSVSDRKDLLNFHIERRPRSSRGAAKRIDGGNEVFPSEDEIDAAEGLRLLQYPHGKDTSSDNEPEIDYSGVETAADNSGAVQEENLWDIVSNRNSASVDLYGGAEKCGNEALSLEKTELIHNDDSQRLVDCPENSVLVEPKRPKLDLKVSDSSDNPASDGAQGGSNDVSGSGRTKLKQASLTEPMATEADREQEQKSDVVEAPLPLDTTSVDQSGTDSPGDESTADTSDEVRDSVDLLQAAQDLLDLRNSTYRWIRSPLQ >SECCE6Rv1G0388350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:133077344:133077784:-1 gene:SECCE6Rv1G0388350 transcript:SECCE6Rv1G0388350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRGMGREDFSASKSQKRKVVYRPLPSVQIKTEPELLRRDVPHSSANTQKPPKRSFRSEPRPPTPQSDRGTPDSLPDSGPADEYRALRRKYMMLEEENYTLDAQLGMAEEEAKTLEDEKFALLDQLVVLEGLVGPLQLQPQRRL >SECCE5Rv1G0323870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:440762779:440763652:1 gene:SECCE5Rv1G0323870 transcript:SECCE5Rv1G0323870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIHKGSMDLVLVPCGLAIMLGYHLLLLYRILRHPHTTVIGYENHNKLAWVRRMVQTTAPEEAALALSVISDSISASTTLASLCIALGSLIGAWVSSNTSEPLTGAGQPTTGMASAKFTSLLVCFLASFACFIQSAGHYVHASFLMTALGSDAPASHVQRAVIRGGNFWALGLRALYFATALLMWVFGPVAMLACSMLTVAVLHLLDTSSMPLHHHQFLDRTSGTRGARAASAPNTGLHSAVAVFSPAPYLR >SECCE5Rv1G0363520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:793601856:793602245:1 gene:SECCE5Rv1G0363520 transcript:SECCE5Rv1G0363520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLRKGNARLPPEVNRALFVRNLPFNISSEEMYDIFGKYGAIRQIRLGNGKDTRGTAYVVYEDIYDAKNAVDHLSGFNVANRYLIVLYSQLNKMSKKTDIKKKEDEITRLQEKYGVGSKTPSANEA >SECCE6Rv1G0434040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:763397033:763402710:-1 gene:SECCE6Rv1G0434040 transcript:SECCE6Rv1G0434040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRPPAAAGGLSSFLPSAPFTRPLPSPSWRPRPRRPRLSTAAASTSPTGGGRLESLRERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGDIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAMEMRKVVESTQNLFVREAMATEVMIGNNDSVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASQGLTENLQHLGFETDRLKTGTPPRIDRRTVDFSRLEAQHGDEEVGWFSFDPEFHVEREQMCCYLTRTTKETHQIVTDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQVFLEPEGRDVPELYLQGFSTGLPERLQLPLVRTLPGLENCVMLRPAYAVEYDYLPAYQCSRSLMTKRFEGLFFSGQINGTTGYEEAAAQGIVSGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLFRSDNADSRLTPFGRDIGLIDDRRWELYKSKQARIKEEKERLKHTRVSGVEFAAEVTAISNQPVKESSTLEAILKKPHIQYKLLDKHGYGNEHLSSIEKDCVEIDIKYEGFIARQQSQLQQIINQEHRKLPEDLDYHSMLNLSLEAREKLSKVRPQTLGQAGRIGGVSPADMTVLLISMESTRRAAEYKRQQEMMRSVAAARANANAKADDCSDEAVRASATTA >SECCE4Rv1G0259050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:682254498:682255694:-1 gene:SECCE4Rv1G0259050 transcript:SECCE4Rv1G0259050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVSKSSPVVVYPAEPQAPAGDVHLSSFDQRIPPFACTVLLMFDHPIDKPIETIKRALSRALVHYRPVCGRLAGADHRIACTDEGVPFVGASAGCALDLEDVSPALLADLAVAYAGPFCRRADPLLQLQVTEFSCGGFVVGVTSNHVLADGIGIGQFLQAVGELARGMPRPSVVPVRSAAALRDLPPPREKTRSLMKPKEKDDQGAFLDITVPSSLIGRVKAQCACTLFEAVAAVLWRCRTRAAVRDPDAPTPLIFPNNVRELFGAPDGYYGNCTIMKSVQATRAQVADGDIRDVVRLIRRGKETLLEAEVEGGGGTEIGGNLYSRLAVSSWRRIGLDAADFGGGTAARVMWHEDRMVVPECIVCPPWKGKDGVNVQSLCVKPEHAAAFLAELAAM >SECCE3Rv1G0145220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:6009206:6009748:-1 gene:SECCE3Rv1G0145220 transcript:SECCE3Rv1G0145220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATSLAMALIMVAAMSYGLPVTHADINFIARTCKKTNNFALCMAVLRANPKSAQASTEHDLASIALQIATNTTRKNAAAICDLDYKHQGTPEAPVWHVCVKAHVLAAADLIAGAGPSFHVGDYADVLKIVSEAKGAGDTCENAFKAIHKASPVADKDRQTTEHCSLAGDLIRLLLTK >SECCE3Rv1G0207030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:914120699:914125388:1 gene:SECCE3Rv1G0207030 transcript:SECCE3Rv1G0207030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISGGQALGEEAAGVVRQAVSLAERRGHRQVTPLHIASVMLSASPACILRTACLGSQSHPLQRNMLDRCLDLALNQLAMAVSRGGSEHIEPARSNAFTAALKRAQAHGRRGPVGGDKVELEQLVLSILDDPSVDRVMRTAGLSSSQVRASVVSLLEQSASFPHPFPEKIRAGGQPSLQTTPELHLPVMAHDVPHGSECQASKVKSGVIATTASLPPWLRYYKDTTCIRSTYCNTNLQAIGASRRPKFTELTAHNLKILCDALELRVPWHRNIVPSIASTVLRCRSGMTRRRAGDKPSNSSSSTTTWLLFRGRDGVVKTTVARELARLVFGSYTDFTTLQGYPNVPARTGKLAIKRHISPENNDDGNGGDVGARLFEAIAENPHRVILIDGVDQLGHDSETCIKKAVAGGTLLGGYTGDVVDLEDAIVVLSSDVLDSRSVVSTSSPRVKRRFTRQNCEEGAAEMEMRSHRHLRWDLNVCAVDEEEEEGSFADDDGILNVMDVVFLFN >SECCE4Rv1G0222530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:60176326:60182555:-1 gene:SECCE4Rv1G0222530 transcript:SECCE4Rv1G0222530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQDGFARRLLLRVAALCHGSSKPPAAPPAMPEMPRVEMADDGRVQHLEKFSHYVARQIGFEEASECPHLCKAANNYLRQSKNCMTDVYGLLDSVPDADALYVKLVDELERCILAYFAFHWDHSTTLVTQALSVDSANKKKLRNVILEANRKQRFERITKDLKVTRVFSTLVHEMKAIGTVTGMNGEEEAHCTDVMAPVAHNRRSPVLLLMGGGMGAGKSTVLKEILQEPFWIEAGTNALVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHKSSTDAASSLLVTALNEGRDVILDGTLSWEPFVEQTIAMARAVHSQRHRMGVGYKVDQDGTITENYWEPVPNDQDFVAANRDRKPYRIEVVGVVCDAYLAVARGIRRAIMTGRAVRVNSQLTSHKRFAAAFQKYCQLVDGAKLYSSNSLGSPQLIAWKGDINGSLLVEPREIDCLDKVSNLNESATSLHDLYPGGATTCGSRSIWDDMIVAPSRATVQREIREAIRSVEPAATPTAL >SECCE6Rv1G0404550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:520825074:520831418:1 gene:SECCE6Rv1G0404550 transcript:SECCE6Rv1G0404550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGADSDRDLPRADGDDEPRYPDPRPAKEEDEPPVADEFQDAPPSPETNSDGDGERSPDRAGLPNAGERGETAPPDAGEEAVGVRVETNGEDAMSHDGDDGDGEDDDDDEDDEEDDDDEDEDDDSTPDASPRAEVKAEGDGSTGMAQCASQPVEPDPFLDGHDSGTEEEQAAFMSELERFHREHSLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTVCKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKHKVRTGQLKISIPAIPQPGGTNREGVNPSSSARIRRDAAARAMQGWHAHRLLANDMYGDHIFKDKDSIPLSSRDKNLKGFGVLKRKKTSSPERAFKVPRTKVNKSQEDSMVIDVGEPADWVKINVRQTKECFEIYALVPGLLREEVHVQSDPAGRLVITGDPDQPDNPWGITAFKKVINLPLRIDPHQTSAVVTLHGQLFVRAPFGHPDM >SECCE6Rv1G0436300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:777249898:777250324:1 gene:SECCE6Rv1G0436300 transcript:SECCE6Rv1G0436300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRFAIACFMVMLVLLGSSMTAENCEIKRDRMTLCIKSECMYHCQHRWYYKITQYWCDGVVFGFCNCKICIAA >SECCE2Rv1G0088390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:243991644:243998956:-1 gene:SECCE2Rv1G0088390 transcript:SECCE2Rv1G0088390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGDRGEGHARRPGGRSNSFGGHRGGGVGGAGKAGGGPSGGQPPLSSNRSFRKPGNGHGGHQRVVNQPDTTGFQPAPAPGPLQTPPRPPAPQNAPVHVPVSAPRPQHHDSPGLQAPSMSPASENPTYIPLPKNIPRAGPKAPPKSSNAPAPQGAPKGESSKGFNLQFGSINMNMNGLPQFPARTSSAPPNLDEQKRNQVLSDGPKVVPSMPVPPALKQPHPTPQQQQQQHPPPQQLQQHHPSPQQQHHPQPQQQQQHHPQPQQQQQQPPPQQQPLPQQQQTRKDALGPSQPNTLNPHVPSQVKRDVHVSPSIQNFAPQRPSVQPLPGMGMPMHFHHQPQTVPLQFGGHNQGVVPSSMQMSMGLPGGNASQVQQQMYVQNMQQQMHQQMLHQGQTMMYPSVAHPIPPQLGNVNLNIASQYPQQQQNKLVAPRKSSNIKITDPNTNKEVVLGRPSPNVPAQPQQVSGVATQPMVYYTNPQQTSYNQSGTYYSGTAGVVPTGSQGRFSYPATQAGQTIPFMNPSMSNTVPASHKDNIAGPAPSGQSQLIGKPQGGLHMEKPVPSVKISMPAGRSDASKFRAADHAVQHRQKDSEVTSGAMVSNKPVGEKESKAPSIPEKHSKDSKAPSAVEKHPTTVTQPLPIQAAKPETDAATYSGANSPSFLTGADEKKESLPIIDSLKDNKKSATRNDTKNLPQQPQSASPAEELKGQTSVKVEDDVVGHMETKNFDSEKVDLTSKVSDLTSATSESSISPILGKSEADSTSVNAADVPAMVISSATLSSAGTGEPQAVESIGVAAVKSKEIERTHQISPESSDGKIMSDSTGNESHDCMVDLAEQASLATSKPGNSDATSFVTDPQEPPKECTTSVPEEHGLMSTSHNKDTETLSASVDANDVSEANTGTSSESTSQSANDKDTRSSIQETGLAVSGITSGMLPVNHSVASEGQVKHADGAKDESSTEQSCAAPTGSVRPLSREKPTAELTRTKSSAGKKKKRKEMLSKADAAGTSDLYNAYKGPQEQSESVATSEGADSSSTVDGTHVLPEESEREVMCDDDGKKKVELDDWEDAADMSTPKLQSSDSGNQASAVQLPDSDMTEANGRKKYSRDFLLTFAHQYSSLPVGIRMDTVTSTLFKDLAGKSYVIDREPHQSSGRGSDRPTSRGDRRGPAMDDDKWSKSAVPNRDAHMDLTNGPAMNYRGGPGGTHGVLRNPRGGLLVGPQSNAPQVPRSGSDADRWQQKGLIPSPVTPMQIMHKAEKKYVVGKVSDEEQAKQRQLKAILNKLTPQNFDKLFEQVKEVNIDNVSTLTGVISQIFDKALMEPTFCEMYANFCSHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRVKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGNYQNPDEENIEALCKLMSTIGEMIDHPKAKEHMDAYFDRMRNLSTSQLISSRVRFLLRDSIDLRKNKWQQRRKVDGPKKIDEVHRDAAQERHAQSSRSRGPVVSSLPRRGAPSMDYGSRGSAAPLASPGPQQRGRGFGNQDIRYEQERHQFDRTVPLPQRSVKDEAITLGPQGGLARGMSLRGQPPVSNSELPSVVDQRRVVSGPNGYNSVPSTREDTSSRIPDRFSGRIAPAPQSASSSHRPASQEGRSGNKSYSEEELREKSIATIREYYSAKDEKEVALCIEELNAPSFYPSLVSLWVNDSFERKDMERELLAKLFVGLYNGGYNLLSKPQLIEGLSSVLASLEDALSDSPRAAEYLGRLLARFVVEKMLVLQDVGKLIEEGGEEPGHLVQEGIAADVLGAVLEWIRTEKGDSFLKEAKTSSNLKLEDFRPQHLKRSKLDAFMLT >SECCE5Rv1G0312730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:243095496:243099155:1 gene:SECCE5Rv1G0312730 transcript:SECCE5Rv1G0312730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHVGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGEEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQELFNKELTLQVAETIALSILKQVMEEKVTPNNVDIAKVAPNYHLYTPAEVEAVIARL >SECCE2Rv1G0129180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:867001205:867003947:-1 gene:SECCE2Rv1G0129180 transcript:SECCE2Rv1G0129180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLEELYLRGSTLFGELPSCIGNLTSLRILDLSNSLFTLKFPSLSFAHLTSLVKLSLSNNNLEGVLFLGSLSNSSQLTHLDLSSSGNHFQVETVTPTMSLSAQLQVMVLPNCNLNGNSAVILSFLSHQHALEAVDISNNNLSGHFPSWLIEKNVNLSHLNLQGNSFSGILLLPSKVHNSLYWLDASHNRLSKLPTGINITFPNLYYVNLSRNSFQGIFPWGLHYMDSLTFLNLSNNNFSDNIASAFNGSKSNIRSLFLSGNNFYGSFPQDILLASTYHLLLNNNQITGEIPDNICLGQQLTLLDVSSNKLTGSLPTCIHALENIAILNLRGNSLGGSIPLELCHLKKLVFLDMSKNNLSGPVQCLPSLQYLHLSENRLNGTFHFPLSSGTDTYTMDLRKNQFSGILPDLMHKSFPKLKILLLKGNMFEGLIPNDICHLRYLRLLDLSHNKLSGQLPSCLSNMGIAGDLYSFLYNDSDTSVHMNETYGYDIEGTVDGSPVPAFFVGPDQEEFMTKSRQDKYKGSILSYMSGLDFSSNQLEGYIPKSIGDMKWLRALNFSNNCFHGPIPDSMAHLSNLESLDLSHNNLTGQIPQELIELHSLEVFTVAYNNLSGPTLGRNGQFITFDESSYAGNPYLCGPPLLKSCFDAPLIPQPEEDEDGSKLANLALFSFSVLCYLIGFWTSLVVLYFKRSWRWSWFSAVDRLADILMVRSALCMRRFNRTD >SECCE1Rv1G0056570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:687679103:687682169:1 gene:SECCE1Rv1G0056570 transcript:SECCE1Rv1G0056570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMVLGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENVAKHWKTNEAEAVETAKEWTRMYASGA >SECCE1Rv1G0020560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:259215583:259240532:1 gene:SECCE1Rv1G0020560 transcript:SECCE1Rv1G0020560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPAPSLEPEIGPDGLARDSLVLAYTEKVIAEEQLQLKKYIQENYSKIRDVEKELENLTLEVKLTAGPKKAALEHLRKKIEMSTERIRLAKVKEDEAKKAWEAAVQVVKEEEEAKQRLCDDLNRLVQESAASQYSRLEELKKRLECLNPIRASVDVSGINTAHQATTNSAPQQPTSQNLANAIAPASNVYKPATSGSQQQRPAEAERKQRSSNSGGRGRGGVMVLPKGRGSSGSGWTGAGFET >SECCE5Rv1G0302330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:37602028:37603001:1 gene:SECCE5Rv1G0302330 transcript:SECCE5Rv1G0302330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATOEP16-S [Source:Projected from Arabidopsis thaliana (AT4G16160) UniProtKB/TrEMBL;Acc:A0A178V0T8] MGSRLDARTLKDEVASMDRRPLLDLGHPLLNRVADSFIRAAGVGAARAVSREAYFVAVEGMGGDSTGLDSTAKRSHFSSARGDDGQKSLDAVVKSASKEAIQWGLAAGVYSGITYGLREARGHHDWKNSAIAGAIAGAAVALTGDNGHSDHVVHFAITGAALSSAATMLSGIF >SECCE2Rv1G0122870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:819567887:819568168:1 gene:SECCE2Rv1G0122870 transcript:SECCE2Rv1G0122870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACAFFFDAEPVGEHGMPALDACALCAKRLARDSDVFMYRGDTPFCSKECRHEQMQLDALSARQAARRLQRFSAGAESGCAHHGVSVSS >SECCE4Rv1G0244600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:518081147:518082442:1 gene:SECCE4Rv1G0244600 transcript:SECCE4Rv1G0244600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEVDLDRVRALRVLGRGAMGTVFLVEADPARPGCGRYALKVFDKRSAGPTKPDADRRARWEVTLLSRLAHPHLPSLLGSAETPDLLAWAVPYCPGGDLNELRYSLADRVFSPAAIRFYIAETVSALADLHASGIVYRDLKPENVLLRADGHVTLTDFDLSRLLPASTSSPSTSPPPQVQPVFHRGHRRTRASAAGHHAKRERVSAAASTPKLQQFQNLVRFLMRSNGAAPELAKKTKSARVSPVSRKTACPGAAWGRSYSFVGTEEYVAPEVVSGDGHGFAVDWWAVGVLVYEMAFGRTPFKGKNRKETFRNVLHREVEFPGDTQRRMPELTDLISRLLQRDPARRLGYAGGADEIRAHPFFAGMAWDMLAEVARPPYIPPPAEENAAEGQGFDVRDYFTKLHQPPPPPPQESGSSSSSSSSSDFSSVF >SECCE4Rv1G0251980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:610389404:610392571:-1 gene:SECCE4Rv1G0251980 transcript:SECCE4Rv1G0251980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSNTPTRANSRVNYSNEIHDLSTVQDGAPSLAPSMYYQEKSFADFFPPHLGKKVISEVVATFLLVFVTCGAASIYSADVTRVSQLGQSVVGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQFTGAMCAAFVLRAVLHPITVLGTTTPTGPHWHALVIEIIVTFNMMFITCAVATDSRAVGELAGLAVGSAVCITSIFAGPVSGGSMNPARTLAPAVASGVYTGLWIYFLGPVIGTLSGAWVYTYIRFEEEPSVKDGPQKLSSFKLRRLQSQRSMAVDEFDHV >SECCE7Rv1G0500680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:671916872:671924412:-1 gene:SECCE7Rv1G0500680 transcript:SECCE7Rv1G0500680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 6 [Source:Projected from Arabidopsis thaliana (AT5G11800) UniProtKB/Swiss-Prot;Acc:B5X0N6] MLMRRRRLGLVLPRLLAIFLLASAFALFPRASGDAAEAGDEGRVGEAVAAVERADAMAAALGTAEAGGDAAQGNATTKENSLADMIDRALEKEFPDSEGDQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLERSEQEDVPTLIDRKDNVFIISNRKSKYPVLQLDLTLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVNELVQVETVAQFGVIFLLFALGLEFSTAKLRAVRAVAVLGGLLQIILFMFLCGISATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMEKNSINALHGQVTVGILVLQDCAVGLLFALLPILSGASGLLHGVASMTKSLVLLISFLGILSILSRTCVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNLFAALFLASIGMLINVHFLWNHVDILLAAVILVITIKTFIVSIVVKGFGYNNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVELGLKGDVLRIDSGKRINLIIQGPHDS >SECCE1Rv1G0026960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:376447531:376449426:1 gene:SECCE1Rv1G0026960 transcript:SECCE1Rv1G0026960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLANDVSDLCIGKPAVRSLPLSAAAGDLAATVRKGPRAAAAACIAVGPARGAVVGRAGLADVLCLLCSSPDALARPAAALDRPVSALLPKDGAGEVRRVDPRSSVLEALDEILSGAQVLAVPIRPGGRKKQLGGVAGVTGDFCWLTQEDLVRYFLNSISLFYHVAARSVSSLGLVRPDFLSVRPDEAALSAVPLIRRAIAAETAVAVVSTDGHLVGEISTAHLAACDETAAAAIATLSAADLMAYIDYFGSPPEHILRAIKTGLKAKGLDAMLELMEDETMTSFSLSSSSSSDDDTVRPHLRRPSSGSFGRRSTEEPVVCSPASSLVAVMVQALAHRVSYLWVLDEEDDCRLAGIVTFADILRVFREQLQ >SECCE3Rv1G0184740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:665437604:665441820:-1 gene:SECCE3Rv1G0184740 transcript:SECCE3Rv1G0184740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc1 [Source:Projected from Arabidopsis thaliana (AT1G14560) UniProtKB/Swiss-Prot;Acc:F4HW79] MGTPSQGSAAVAAARVDLCALDLMPVFAKEMIAGGVAGAFSKTAIAPLERLKILLQTRTNEFRSLGVLKSLNKLRKHDGVLGFYKGNGASVLRIVPYAALHYMAYERYRCWILGNCPSLGTGPVVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNNTDQPSNGLKRPIAPPSYGGIKDVFRGVYSEGGVRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPEDYKNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQSHLQHDQSGGPRITGTFQGLKIIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKHLLKIPPRESIKSEQGSA >SECCE5Rv1G0362660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:787484169:787484715:1 gene:SECCE5Rv1G0362660 transcript:SECCE5Rv1G0362660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGGDRKKAVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAAKDNKKTRIIPRHLLLAVRNDQELGRLLAGVTIAHGGVIPNINSVLLPKKSAAAEKEAKSPKKKAATKSPKKKTAATKE >SECCE2Rv1G0116230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:762224112:762231275:1 gene:SECCE2Rv1G0116230 transcript:SECCE2Rv1G0116230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDGPTLQPLLCLAWLAATLPIVAAALPIPAAAGGRFLHSLLAAFSSRGKTVRPSSSAASSSSSSSSKAKFTVPQKYFLHFYVVGVAVTTSLLLAICFYAYMKMTPLLPEPSSYSTIASHLIGSNSFSFGSVLSRTMEHKYRVWRTVFVLLLMEIQVLRRLYETENVFHYSPSARMHIVGYLTGLFYYTAAPLSLASSCLPEAIDFLRGQIAEFIVKGRARMPDLVIDSPSLLKPLLKLGWCQWIGAVIFIWGSLHQIRCHAILGSLRENKDSDEYVIPCGDWFSRVSCPHYLAELVIYLGMLIATGGSDISVWFLFIFVITNLSFAAVQTHRWYLQKFEDYPRSRYAIIPFVL >SECCE2Rv1G0072730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:60690546:60691121:-1 gene:SECCE2Rv1G0072730 transcript:SECCE2Rv1G0072730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEHKQSQGASKALSKGSPSPSFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLEADRASLAATVGAHVPPGASGLRFEDFEGLHRALGDALFGALADDAEEGGAGGQDEEEMREAFKVFDVDGDGFISASELQEVLKKLGMPEASSLANVREMICNVDRDSDGRVDFGEFKIMMQGINI >SECCE1Rv1G0046180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:614906191:614907024:1 gene:SECCE1Rv1G0046180 transcript:SECCE1Rv1G0046180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSKKRGEPPGAADGGNAVEARDLPPPEEEKVKEVLSETPRVKPRPRPRRVAGGAVVAPSAEKARAKDGHGVGGRVSRPARSVEEKSEAASESSAATTVAGPERSPSKPPRCRQGRAAPGGEPRRARRDRDHGAAVPGGRGRASPSPPPPRRDPGRRSPSPAAKRAQDQRRDAAGSASCAQRKPPVPARPCGRAPTPPRAQEAPPQPSQAPDTDTPPGSGSGSDGAAGEGEGEGKESLENPLVSMECFIFL >SECCE4Rv1G0245810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:536529734:536535463:-1 gene:SECCE4Rv1G0245810 transcript:SECCE4Rv1G0245810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCIGTADTKLEELLFLANRLRSSLAASASAPKVKVSIVDVSTTKTVPTQDSKDIAIIARDTVLSCYPDSSQQDLPDDRGEAIALMSKALQSFLKNRYEAGTLVAAVGLGGSGGTALIAPALRSLPLGVPKLIVSTVASGNTAPYVGTSDLVLFPSVVDICGINSVSRVILSNAASAVAGMVCGILMASSESDETNTKLTVGITMFGVTTPCANAVKDRLNKEGYETLVFHATGVGGKAMEELVRGGFIQGVLDITTTEVADHIVGGIMACDETRLDAAIDKKIPLVLSVGALDMVNFGAHDTIPAAFSDRKIHIHNEQISLMRTTVEENKKFAEFIADKLNKSSSTVTVCLPQKGISAIDAPGMPFYDPEATCALLDELNTRLVKTENRQVKLLPYHINDPEFANALVDAFLSMDIKASSAVTQKNNMVLPKQDTNEKESSSGQKTSDSSIIWRPPVDFPDARPETLQKTKSILHKLKQQIGEGIPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKEVPVLAGVCATDPFRRMDYFLKQLEAIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSMEVEMISRARSMGFLTTPYAFNPEEGAAMAKAGAHIVVAHMGLTTAGSIGAKTAATLDDSVARVQAIADAAVGVNPDIIVLCHGGPISGPREAEFVLKNTNRVHGFYGASSMERLPVEQAITNTMREYKRMSLK >SECCE7Rv1G0457720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18578328:18578693:-1 gene:SECCE7Rv1G0457720 transcript:SECCE7Rv1G0457720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGHRTPLLLPLLLLLAAVALLAAVAAADDAKPTILTPVAKTPVGSFEGDKPGDDAMDDEDAAPVGSPIGTTMTEPKPEGSTPGAAGGDAAPAPSAASSLAARLGGAVAVAVAAGALSF >SECCE3Rv1G0147930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:16752327:16755498:1 gene:SECCE3Rv1G0147930 transcript:SECCE3Rv1G0147930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITVTVRRATMVRPAKELPRSRLWNSHLDLLVPRFHTPSVYFYRCPAREEGQGAPAGFFDAERMRRALAEALVTFYPMAGRLAHDKNGRVEIDCNGEGVLFVEADAPDATVADYGDFAPTMELKRLIPAVDYTDAISFPLVLLQVTYFKCGGVSLGVATQHHVADGMSGLHFINSWSNLCRGAKIAIMPFIDRTVIHARDPPTPCYQHVEYHPSPAMLSSKPQDLSSKRISPDTTVDIFKLTRSDIDRLRLQLPTGDDMPRLSTYTLLSAHVWRCVSLSRGLPYDQPTKLYCAIDGRKRLKPSLPDGFLGNVLFTATPCVEAGKVTRGLADAAKIIQMELDRMNDDYCRSALDYLELQPDLSVLVRGAQTYRCPNLGITSWVNLPVYNADFGWGRPVFMGPGGVAFEGLAYILPSAHNDGSLSIAISLQDDHMKKFRELIVVL >SECCE5Rv1G0355250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:730878973:730882723:1 gene:SECCE5Rv1G0355250 transcript:SECCE5Rv1G0355250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRLPPLLLLLVLLLAAGGAADGDADALLAAKAALSDPTAALASWAAAAGNGTGGSGGYAHCAWAGVSCGARGAVVGLALGGLNLSGALPPALSRLRGLLRLDVGANALSGPVPAALGHLRFLTHLNLSNNAFNGSLPPALARLRGLRVLDLYNNNLTSPLPLEVAQMPVLRHLHLGGNFFSGEIPPEYGRWTRLQYLALSGNELSGKIPPELGNLTSLRELYIGYYNAYSGGVPPELGNLTELVRLDAANCGLSGKIPPELGRLQKLDTLFLQVNGLTGAIPSELGNLNSLSSLDLSNNALAGEIPPSFAQLKNMTLLNLFRNKLRGDIPDFVGNLPSLEVLQLWENNFTGSVPRRLGSNNRLQLVDLSSNKLTGTLPPDLCAGGKLHTLIALGNSLFGSIPDSLGQCKSLSRIRLGENYLNGSIPKGLFELQKLTQVELQDNLLTGNFPAVVGAAAPNLGEINLSNNQLTGALPASIGNFSGVQKLLLDRNSFSGALPAEVGRLQELSKADLSGNAIEGGVPPEIGKCRLLTYLDLSRNNLSGRIPPAISGMRILNYLNLSKNHLDGEIPPSISTMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPNLCGPYLGPCRPGIADAGHTNHGHGGLSSTIKLLIVLGLLLCSIIFATAAILKARSLKKASDARMWKLTAFQRLDFTCDDVLDSLKEENIIGKGGAGTVYKGSMPNGDHVAVKRLSAMVRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGEHLHWDTRYKIAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLQDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVKMMTGPNKEQVMKILDPRLSTVPVHEVMHVFYVALLCTEEHSVQRPTMREVVQILSELPKPAANQGDGEEELPLSGEGPESNPPAPTSSTEAPTGETKDQQQHTSSESSLPPDLISI >SECCE5Rv1G0367390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:820909365:820911107:1 gene:SECCE5Rv1G0367390 transcript:SECCE5Rv1G0367390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLPKLAANYVPLSPVGFLPRANAVYADRTSLVYGRVRFTYAQTYQRCRRLASSLLLTLGIRKNDVVSVLAPNVPALYEMHFAVPMAGAVLNTVNTRLDAAAVAAILRHAEAKLFFVDYDYVHLASDALRLLAAAGAPVPLVAVIDDLDRPTGVRLGELEYEQLVAHGDPTVELPELQDEWDAVTLNYTSGTTSAPKGVVYSHRGAYLSTTSLLMSWEVGTEPVYLWTLPMFHCNGWTFTWGVAARGGVNVCIRENRAAEVYRAIARYGVTHMCCAPVVFNILLEGGDATARLAKPVHVLTGGAPPPAALLERVEKIGFNVTHAYGLTEATGPALACEWRAQWDNLPISERARLKARQGVSVLSLADADVVTDDAAMARVPHDGKTLGEIVLRGSSIMKGYLNNPEANEKAFRGGWFMTGDVGVVHPDGYIEIKDRSKDVIISGGENICSKEVEEALFRHPAVADAAVVAMPHAHWGETPCAFVVARDKAAGVCEDDVMAFCRKHMARFMVPKKVVVWDVLPRNALGKVEKVKLREEARKLVPAVAPSAQKTKGKPTKTVGGGRRGEHPVEQVMAMSRL >SECCE5Rv1G0343730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:640706381:640706716:1 gene:SECCE5Rv1G0343730 transcript:SECCE5Rv1G0343730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAAPAWAALARGLTTPELNAAKNLLQLSESSPSSGGSSSSLCSVNAPPEPAPGGVILGGCVDWEEDEEHEAPGSQRKEKRYRLITEIYAETEEIGGRSDSGRKDKKVE >SECCE4Rv1G0281010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:824911165:824915641:-1 gene:SECCE4Rv1G0281010 transcript:SECCE4Rv1G0281010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPRNFRAVRSNSFESYLRRVISEAGLAVPGCCADDVAAALRSRHPDLRRKQHAPLVAAVRRALLTVPLAPAPGADGDESDSEMDSRASSPSSRRRHRRHDAHATASSSTSYSDHDDDARAPSPPPAFDVTKAMLRTRYASLTPRKEPAAAAAAAASQQLEIELNSEKPRRRVTTDGGGAGDPKQEAGASEGGGGGGAKGPRFSDLGGMESVIDELMMEVVVPLCHPELPLRLGVRPVAGILLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRVLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEFHQNVGSDGGDLDSQSPEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDEIGRKQILKMLTQNLTLEKEQFDLFKIARATPGFVGADLKALVDKAGNLAMKRIIVERKKQSGGGDINNKQDWWRHPWSEGEMDSLCITMDDFEEAATMVQPSLRREGFSSVPDVTWEDVGGLDSLKKEFDRCIVRCIKHPEVYKDFGVNMQAGFLLFGPPGCGKTLIAKAVAHDAGANFIHIKGPELLNKYVGESESEVRKIFTRARINSPCILFFDEIDALTTKRGKEGGWVVERLLNQLLVELDGADQRNGVYVIGATNRIDVIDEAVLRPGRFGKKHFVPLPGADERVAILKAHTEKKSLSEDVDLNAIARREECNNLTGADLASLVNEAAMAALEERCEFLAKGDSSMSTDLTNKIKLRHFEHALSKVKPSVSEQQRKHFDALSKKYSAN >SECCE5Rv1G0355800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:734656357:734669341:1 gene:SECCE5Rv1G0355800 transcript:SECCE5Rv1G0355800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGKDDAAAAAKRVVLAVNGARHEAAGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVLISKYNPATDKVTEFSASSCLTLLGSLNHCSVTTSEGIGNTRDGYHPVQQRLAGFHASQCGFCTPGMCMSIFSSLVKADKPGTTTAGDHAPPPGFSKLTCSEAEHAVSGNLCRCTGYRPILDACKSFATDVDLEDLGLNSFWKKGTDRADVGKLPEYSSGSVCTFPEFLKSEIKGQMNDIPAAIAGEDGWYHPRSIQELHSLFDSNWFDENSVKIVASNTGAGVYKDEDLYEKYIDIKGIAELSVIDRNSKGLVIGAAVSISKAIEVFSDGTPVFRKIASHLSKVSSPFVRNTATIGGNVIMAQRLQFPSDIATVLLAAGSTVTIQTTSKMLCLTLEEFLEQPPCDAKTILLSIFVPDWGSDNVIFETSRVAPRPFGNAVSYVNSAFLARTSGNGVSGELIIEDICLAFGAYGVDHTIRARKVEEFLKGKSVSAPVILEAVRLLKDIIMPSEGTTHPEYRVSLAVSFLFSFLSSLGNNLIEPANGSMNGQVASEDLQISSRQELVFNDEYKPVGKPITKSGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKGVNFKSSLASEKVIEVITAKDIPAGGKNVGSGIDMIGTEALFCDPVSEFAGQNIGIVIAETQKYAYMAAKQAIIEYSTEKLQPPILTIEDAIRHNSYFQTPPYFAPRPVGDFEQGMSQADHKILSGEVKLESQYYFYMETQTALAIPDEDNCITVYSSTQLPEIVQNIVADCLGIPYHNVRIITRRVGGGFGGKGMKSTHVACACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKAKYSVGFKSDGTLTALHVDLGINAGTSPDLSPLIPGSTIASLKKYNWGALAFDIKLCKTNVSSKSAVRAPGDVQGSFIAEAIIEHVASALGTDTNAVRRKNLHSVESLTKFYGDAAGDAPTYSLIGIFDKLASSPEYRSRAEAVERFNGGSRWKKRGISCVPINYEVTLRPTPGKVSILNDGSIAVEVGGVELGQGLYTKVKQMTAFGLRELCPDADGLLDKVRVIQADTLSLIQGSFTGGSTTSESSCEAVRQSCAVLVERLKPIKEGLEAKSDAAAPWSTLIAQATMASVNLSAHAFWMPDPSFVKYINYGAAVSEVEIDVLTGGTTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEDYATNADGLVVNDGTWTYKIPTVDTIPKQFNVELISSARDKKRVLSSKASGEPPLLLAASVHCAMREAIRAARTDFSVNSPLTFQMDVPATMADVKELCGLDVVERHLQSLSSATEVPTLVA >SECCE4Rv1G0295280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:897271793:897272665:1 gene:SECCE4Rv1G0295280 transcript:SECCE4Rv1G0295280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFATGALGTLLPKLGKLLMEEYNLQKRLKKGVKDLRDELLVIQAALLKVSDVPLDQLDLQVKIWANDVRQLPYAIEDSLDSFMVRVEGVEPTKPHTFLGFIKKTCKKATKLKIRRKIANDIKDVKIQVREVKERYDRHKDVIGNTNARTEVDPRLLAMYSKVSDLIGIEKSMDELTRRLSEGDDPSSEQLKVVSVVGFGGLGKTTLAKAVYDKLKNNFDCGGFIPVGQSLDIKKVLRDILLELDRELYKASATMEEWQLINQLQTFLVGKRYAFLPYASVLHALCFSDM >SECCE6Rv1G0420060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:674768862:674769352:1 gene:SECCE6Rv1G0420060 transcript:SECCE6Rv1G0420060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETAVMALLLLVLVACDLVHGHTIPPPLRRARRSLGWMQGMKGGPPSGMQPSDTAASAARRRAISWVQKGEERHRNASKEEGKFIAPVPGFKLPPLPPNAP >SECCE6Rv1G0411030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:605040836:605050622:1 gene:SECCE6Rv1G0411030 transcript:SECCE6Rv1G0411030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERAHKAAAIGGEFGCNGTLGGSEKPARWGWRAPAAEVVVVALLATMALLVLAFGASGGLLPSALQGVQFVRKPVDAAPSPAHAAPDRVKPASSPRRSQDVHLLGGLLSPAFDDQSCRSRYASPSLYRRPSRFRPSSYLVSRLRRYEARHRRCGPGTPLFEEAVEHLRSGRNAARSECQYAVWTPFNGLGNRMLALASTFLYALLTDRVLLVRVPQEFDGLFCEPFPGSSWTLPTGFPIADFDGTFTMLSPTSYKNMKKAGAINGGDHLNVTAETLPAYSYTGAAFCEADQRVLAKFNWMVVKSDVYFATAFFLMPVYRRELARLFPEKEAAFHHLARYLFHPSNDVWGMVRKFYEAYLARADERVGLQVRVFPEVPVPFETMYGQIMRCSEQEGLLPKVAPQNAAAPRNTSAVPPPDGRKMKLTSILVTSLSPEYYERFRGVYHANRTETGGYVAVHQPSHDGVQHTEARGHNQRALAEIYLLSFCDRIVTTAVSTFGYVAHGLAGVRPWVLLRSPSPETPAVPACVRSLTVEPCMQAAPRQMCGAVKGSDIGALPPYVRHCEDVHGGVKLFS >SECCE3Rv1G0185500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:675015054:675021740:-1 gene:SECCE3Rv1G0185500 transcript:SECCE3Rv1G0185500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKGRDGHGEEKKEGGHGKRVSFTGMFRYADRTDLLLMLVGTLAALGNGVSQPLMTLIFGDLIDAFGGATSDNVLHRVNKAVLSFVYLGIGTAVVSFLQVACWTITGERQATRIRSLYLKSVLRQDISFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFIGGFVVAFVKGWLLSLVMLACIPPVVIAGGAVAKVLSTISSKGQASYSDAANVVEQTIGSIKTVASFNGEKQAIGEYNKLINKAYKTTVKEGLANGFGMGSVFFIFFSSYGLAIWYGGKLILTKGYTGGEVISILFAIMTGAMSLGNATPCMTAFAEGQSAAHRLFTTIKRKPEIDPDDKTGKKLEDIKGDVELRDVYFSYPARTEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLQLDSVRGKIGLVSQEPLLFMTSIKDNITYGKEGATIEEIKRAAELANAANFIDKLPNGYDTMVGQRGAQLSGGQKQRIAIARAIIKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGPHDELVVNPDGAYSQLIRLQENNEEDQKVDHRRLDPRSKSTSLSLKRSISRGSAGNSSRNSFNLSFGLPGSVELPEGNDTHGENHTEQDGEVPKKAPMGRLALLNKPELLIILLGSLAAAVHGVLFPMFGVMISSAIKTFYEPPDKLRKDSSFWGLMCVVLGIISIISIPAELFLFGIAGGKLIERIRAMSFRSIVHQEVAWFDDPKNSSGALGARLSVDALNVRRLVGDNLALTVQIISTLITGFVIAMIADWKLSLIIICVIPLVGLQGYAQVKFLKGFSQDAKMMYEDASQVATDAISSIRTVASFCSEKRITRIYDDKCEASMSQGVRTGIVGGIGFGFSFLMLYLTYGLCFYVGAQFVRHGQSTFGDVFKVFFALVLATIGVSQTSAMATDSTKAKDSAISIFALLDRKSEIDSSRNEGLTLDEVKGNIDFQHVSFKYPTRPDIQIFSDFTLHIPSGKTVALVGESGSGKSTVIGLLERFYNPDSGTISLDGVEIKSLNINWLRDQTGLVSQEPVLFNDTIRANIAYGKDGEVTEEELIAAAKASNAHEFISSLPQGYDTTVGERGIQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDHVMVGRTTVVVAHRLSTIKGADIIAVLKDGAIVEKGRHEVLMNIKDGVYASLVELRSAAS >SECCE7Rv1G0471470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:130991833:130999030:1 gene:SECCE7Rv1G0471470 transcript:SECCE7Rv1G0471470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G55400) UniProtKB/Swiss-Prot;Acc:Q9M2T9] MAAGRAFFCAPSSIGATARRLAFASPPVRPLASAPHRRVRGRCCASISSSSDASAPAPPPYVLTTPLYYVNAPPHMGSAYTTIAADAVARFQRLLEKRVIFITGTDEHGEKIATSAEASGRNPKEHCDIISNSYKMLWADLDIEYDKFIRTTDPKHEAVVNDFYSRVLSSGDIYRADYEGLYCVSCEEYKDEKELAENNCCPVHLKPCVPRKEDNYFFALSKYQHKLEELLTRNPNFVRPSHRLHEVEGWIKSGLRDFSISRASVEWGIPVPNDTKQTIYVWFDALLGYLSASLDDGEQASLQQAVDQGWPASLHLIGKDILRFHAIYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVGRFGADAVRYFFLREVEFGNDGDYSEERFINTVNAHLANTIGNLLNRTLGLLKKNCNSTLAFDSTAAADGNSFKNNVENLVDKAKGHYENLSLTSACETVLEIGNLGNLYIDEQAPWSCFKQGGEIAEKAAKDLVIILETMRIIAIALSPITPSLSLRIYTQLGFTEDQFRTLRWDDTKWGALKAGQTMMEPKPIFAKIETEVEEKDQASPKEGKGGKKKARSKGLVEA >SECCE5Rv1G0334430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565807645:565814918:-1 gene:SECCE5Rv1G0334430 transcript:SECCE5Rv1G0334430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLALQRFLSLRRGRRRRQTRARGTSIASVAKRKGSPCEQGDSSQDGKRMRLSGPDLPMDIWHHISSLLPMRDAARASCVSCAFECSWRYYPNLTFCTSKMSLNGQHFVNKVDQIMKKHSGIGMKTFEFEYNGPCFDTSKLNDWLQIAVTSGIEELDISLYPANKIEHYNFPCSLLFSGSGGNSIRTLDLSGCAFHPMAGLGCLTRLYLLSVHITQDELGCILSGSFALEKLHLTECSDIVCLKIPCLLHRLSELKVSDCDALKVIENKAPNLRTVSIDSYIVHHSIGDSLRVKDLEMFCFSKFNLIHHACAKLPACMPNLETLSIHSTCEMFSTPSAPLEFFHLKQLEIRLDAESGAFSPNSDYFSLVVFLGACPILETFRLEVIQTRMKHVSVLQDSSHLRQIPGLQHGNIKKVEIIGFCSGKSMVELTCHILENATSLECLTLDTISGGIGIGDVDAYRLKRHKFGECFSEGRNMIVEAHKAVLAVERHVMVKVPSTVKLNVIKPCSRCHVV >SECCEUnv1G0556960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:317553804:317554431:-1 gene:SECCEUnv1G0556960 transcript:SECCEUnv1G0556960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTNEIVRLSNVAPGIFRKTLTDVQVKGYTIPAGWLVMISPISVNLNPQLYEDPLAFNPWRWQDESKKSTLLKNFMPFGGGLRLCVGTEFSRIQIALFLHTLVTKYRWKEIKGGEVQRISEIMFPKGYHIQIIPREGSIN >SECCE5Rv1G0368780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:830671179:830672383:1 gene:SECCE5Rv1G0368780 transcript:SECCE5Rv1G0368780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADADDRFHLSGPTHLMPGAGGVRSLSTTTMMIDWNNEEHRRCVAACIVKGTYILEDDRNTCRLLVGEALAPAWWESFHFRIVKVLKDDCGHKGEHKFIFGAIYEHVPPAGARRHPSAPQYVVALRGTMLKHPDPFKDLWLDLKVMANTLHRCRRSERARAEVEALLGAGCSPVWLTGHSLGASLALDVGRRMMAEKGVSLPTFLFNPPQVSPAPVINALQPTEVGKRDLYATSYVLKAGLGLVLSPHRKRMETLFQRLAPWAPQLYVHDRDVVCLGFIDYFQQRQLIQERFRGVARSAMTLSYRDMLFSLVGADKERPHLLPSAMLVKNSSDCDAHGLEQWWKPDGQLKLSATRYSYPGA >SECCE2Rv1G0073480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:68350578:68359657:-1 gene:SECCE2Rv1G0073480 transcript:SECCE2Rv1G0073480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTACAAGARASLLSSPASSSSRPCQPLAHHSHAYRSLVSPAASRGGRRSTRLQVRAARLESTGVSVGFRAPQFELPEPLTGKIWTLDDFVGSPALLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLASVAISSNSIVTHPQDGPEYMAEEAKLFKYPFPYLYDESQEVAKGFRAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELPFDQKPSVGCSIKWHK >SECCE3Rv1G0148660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19537453:19541213:1 gene:SECCE3Rv1G0148660 transcript:SECCE3Rv1G0148660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDRRHTPIPMETSPLIKLTLLLAASWFSSAMAGPSLEEQAGALLAWKAALESQPAQLQLQSWENTSWPCSWHGISCSSKHQQQPRLQPVITGISLRGLGLRGELHTLNFSALATLTSIQLAQNQIRGSLPPSLASSLPNLRHLMLQANQLSGEIPRHIKHLEGLVALDLSNNHLFGPIPSELGYLRKLRTLDFSNNNLTGPIPRNLGNLTMLTNLSLADNQISGYLPPELGYLVNLRWLVLSQNKLMGSIPATLGRLVNLTVLYLYYNQFSGHIPRELGYLVNLEELDFTGNNLTGPIPRNLGNLTKLNNLFLSDNQLSGYLPPELGYLVNLGGLHLWQNKLMGSIPATFGSLLNLTSLYLRYNQLSGHIPRELGYLVKLFELELQHNKLMGFVPDIFGNLTKLSYLYLGDNQLSGHVPRELGYLGNMRKLDLRNNKLIGSIPSTFGSLVNLTSLVLWNNQLFGRIPPELGYLVNLEELGLSNNKLVGSLPDMFGNLTKLALLHLDGNKFSGHVPREIGTLMDLQYLQLNGNNFSGPLPPDLCAGGKLERLTAFDNNLNGPLPSSLVHCLSLVRVRLERNQIEGDISELGIHPNMVYMDMSSNKLYGQLPNHWREWRNLTKLNISNNNIMGNIPTSMGQLSQLKVLDLSSNKLEGELPSKLGNVKSLFHLSLADNLLYGSIPQEIGALYNLEILDLSSNNLSGSIKGSIEHCLKLRFLKLSHNNFEGNIPTELGVVSSLQGLLDLSDNSFVGAIPSQLSGLSMLDTLNLSRNELSGSIPSSFGSMESLTSIDVSYNELEGPVPESRLFLRAPLQCFMHNKMLCGVVKGLPHCSSATQSEGQRTPYGKIVLATVPILISLVLVVAILKFRHQRRKSKATSTDNVTQLASMFSVWSFDGTNVFKQIAEATDNFSEVHCIGTGGYGSVYKARLATCEIFAVKKIRIIEDEYGVNESMFNREIGALVQIRHRNIVKLFGYCSSSEGRFLIYEYMERGNLAETLRASKRAIELDWKRRVNIVLDVVHALAYMHHDCPSPIVHRDITSNNILLDVEFRACISDFGTAKILNVSGPNITRLAGTKGYLAPELAYTENVTEKCDVYSFGVLVIELFLGSHPGDLLSSIYLTTNKNDLYLKDLLDSRLELPGAETAREIYNVLSVAVRCLEPNPSHRPTTRRASDELSSRNQTCEGHHVDYLHANLTIPT >SECCE3Rv1G0187070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:694449623:694461630:1 gene:SECCE3Rv1G0187070 transcript:SECCE3Rv1G0187070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDLVSSDSEDEACAHSPDRKRPAWEPADPSRGPGSLPEQTSRMAWPLQQPSQAVKKDKEKAGEGGSAWASGPPSLRGGSHGSSAGILGARPVGWDSWSAAAHKSRDERHETAECCWRPWGDEICRSVSEEPDKKDMPGQSSMAIPELLMEDSSAWLSRIKGLHFPLPDESQLKARQIQSDEMLALKLQEQFNQEQPGSQSSQQVDSTLAWTLQEEDAARARIAAREGQSSSSQRDRSMAHLYSYGWRSQVQGSTSWTAGHTSAPITSRRWLPRNSGPGSEQQNMIISQLTKGCFGQENMDLEMRMAVLDSLQEALESCADTYPTDSDDDDYENLVALDDNNHRRGASDSEIDSLPLSVAEGESHRDEPCPICLDCPADGASLRHLPCAHKFHKECIDRWLRMRTSCPVCKSSVF >SECCE7Rv1G0513380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:821264047:821270892:-1 gene:SECCE7Rv1G0513380 transcript:SECCE7Rv1G0513380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFDAPVDLIGTDHRAGNELTRTSGALSAREYGLQNGDAKPFIPNSDTLVRHQLQGASLRNDLIAEDPNTRLMDPETKELYIRSRTQEEEILLLRKQIADASLKELQLLSEKHILERKLSDLRMAVDERQEDAISGALKQLNEKKNHLEENMRLANELKGEEEELYLFTSSLLGMLAEYDVRPPQIYPSTITTVTKRLYQQMQWKIRSLNDSLGDISQPGNIYNPNPQQATPLRNDTSSSYNMDPNRNNFPQYAQDPNDRHAEQIYNGSTFNQDIVAATHSNYFEENPGPREARLDDDSQFYRNDNQEYSADGDPLPGIEGFQIVGDPRPGSTLRACGFPTNGTTLCNFQWVRCHEDGTRYSIEGATMYDYVVTADDVGTLLAVDCTPMDDSGRQGDLVREFANSENKITCDQEMQNDINICISRGRADFDVFVLQGYSPEEWEHATLVLRRTGYQINFSHKDEVVIDEKYSANLQTKIPNGRTTQFVLVSSGGVNLPFNTQGITEPNSEDNDVRLRDLIVLVMRTFQNKALDAKRKGKA >SECCE6Rv1G0440380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:804214123:804215943:-1 gene:SECCE6Rv1G0440380 transcript:SECCE6Rv1G0440380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRVLHHAVSAAAAAHLLLIFSASVLLSAVPAARAQQETEREEEFSYSVDAENGPAHWGEIKEEWSACGRGEMQSPIDLAGPRVSLVRRLGHLNHSYAPASASIVNRGHDIMLRFEGDAGSVSIGGTAYHLRQLHWHAPTEHSVNGRQYDMELHMVHQSAQGKAAVIGVLYEIGAHDAFLHKLEPYLEMIAKQRQREEKVGVVDAGGARGRASVYYRYMGSLTTPPCAQGVIWTIVKRVRTVSRHQLELLREAVHDDMEKNARPRQEVNNRDINIFRPIRQNRH >SECCE1Rv1G0050720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:649093981:649096401:-1 gene:SECCE1Rv1G0050720 transcript:SECCE1Rv1G0050720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFVSLLLLLLLLPLLDSCAAASAGVGHTLGAGSSLSVEHHERAFLVSPDATFSCGFLPAGDVGNAFYFSVWFTAATDRTAAWTANPGAPVNGRVSRVSFGDDGRLSLADANGTTVWDTKNAGNKHFTVSLLDTGNLRVVDPSTGRAVWQSFDWPTDTLLPSQPLTKDRKLVAGYYALYYDNDNVLRLLYDGPEIASIYWPDRDWGVFNAGRTNYNSSRIGVLDDTGVFLSSDNLRVEASDLGAAGVKRRLTIEQDGNVRMYSLDAAGGWTVTWAAVRQPCSVHGLCGKNAVCEYQPSLRCSCAPGYERADRRDWRKGCKPTFSLPATTNCSTSEKRFTFVKVASTDFYGYDVGYNSTVTFEHCQSLCLSMCSCAAFAYKRNGLGQCYIKGAMFNGYTSPTAPGNIYLKVPIDLNASAAPPPSMVIDCNLNGSDAAIVPSTYVDMYGAPISGPNLCYLFWFAAVLGFLELLFAATAWWFMSGQESIPSSLEAGYRLVMGTQFRRFTYRELKKVTGDFNEVLGRGGSGVVYRGVLDKTTVVAVKELTNVVQGEEEFWAEMTVFGRINHINLVRIWGFCSEGKHKLLVYEYVENGSLDRHLFGEDNSKALAWRERFKIALGAAKGLAYLHHECLEWVIHCDVKPENILLTRDLDPKIADFGLSKLSGRKAVGDGVQLSQMRGTTGYMAPEWVLGLPIDAKVDVYSYGVVLLEILMGSRITEQTTVDRSERLQMSQIVQALKQVVTSGNIVSLVDGRLNGQFNPRQAMEMLKISLSCMEERSKRPAMDDIFKALIACDDEDEHPAYLS >SECCE6Rv1G0384850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:69681349:69682131:-1 gene:SECCE6Rv1G0384850 transcript:SECCE6Rv1G0384850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSGVSAVDSPGGGSASSVLGAPRPSRYESQKRRDWQTFGQYLRNHRPPLELARCSGGHVLEFLRYLDQFGKTKVHAAGCPFFGHPSPPAPCPCPLKQAWGSLDALVGRLRAAFEEHGGRPEANPFGARAVRLYLRDVRDGQAKARGIAYEKKRRKRHPQTSSKQKQQAVAAAAAASPAPVDRPDMRHGMLEQTHYLFPMHAHLFQGHFLAPAPDGDPVGALDGVVPAPGDDIVMVMAAAAAAAEAHAAGCMMPLSVFN >SECCE5Rv1G0340700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:617733939:617734619:-1 gene:SECCE5Rv1G0340700 transcript:SECCE5Rv1G0340700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQESGRSAASATATMTVCRGRRFLGVRQRPSGRWVAEIKDSVQRVRLWLGTFDTAEDAACAYDEAARALRGENTRTNFAARHGAAARARLSKNLQHVMSRSAAGRSPSGRGDGVGDQFALAAVFRDWQQPALSPQLPLGCRAEAETVHVAASSVQPSFVVPRRTEAPPPSDTAGGAGDDAWAADILELDGGGETSTEKAFKVSSSVIVPSKFGAAPESFGLDGF >SECCE4Rv1G0253080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:622054699:622055489:1 gene:SECCE4Rv1G0253080 transcript:SECCE4Rv1G0253080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVCKAEGAAPASLLKSGAPVAFCALRSPAVTAARRPYNTQIKEVSRYDDDDDDYSGRDLVSPSFFSQDVLDPLGAPTSMARLLSLMEDVATQTGLSSTAAAGAGASRLGLWVAKEDDDAVYLKVPMPGLTKEHVEVRADKNILVIKGEGEKQPWDGDDDSKVPMYNRRIETPADAYKMDKIKAEMKNGVLWVTLLKLKEEERKDVFHVKVE >SECCE3Rv1G0151090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:36549621:36551116:-1 gene:SECCE3Rv1G0151090 transcript:SECCE3Rv1G0151090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASSDAATKTAPVRAVAVSRVAPSVLGDGQRGERVKLSFLDSLWVVLPPIQRVFLYQLGEDDGFPAVVERLKRALAATLEHYLPLAGTLEYVAETGEAVVDCTNAGVAFIEAEGGMDVRRPAGDEAHDILAFLSLVPELDARVLPAPVLSVQATRLSGGLAVGLSVHHAVADGRAVWRFMEAWSSASREGSPVTKVLGPPQYSRDVIHHPNADELAREMLKTVAPNLPEVRGQYDFSQRFRLARRTFYLGSDEIRSLRRRIDDLASAEAAAAGGEGDAPELKPVSTFVALAALSWTAFVRSKGLGAGDDTYLAFLADLRSRLDPPVSEAYLGNCVRACLASCADAADLLGEAGILRAARAVQAAVAEMEAAPLSGTDKEWMQMLMRLPFQRLTNVAASPRFRAYEAADFGFGKPARVELVSMNHDGEMVLVGGRRDGEVQASVSIHPAHMEAFKACILGKINFDGPVRPGVVGS >SECCE4Rv1G0247980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:565239025:565241763:1 gene:SECCE4Rv1G0247980 transcript:SECCE4Rv1G0247980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGNEPSGPSSTEYQLKKYLLLLATLVATVTYAAGLNLPGGVWQDANDGHLAGDLILHDTHYHRYLTFYYCNATAFAASVVVCLLILILDSKSKAWAAALRVLMVLDLLGLMGAYAAGSCRDAFTTIYSSVIMSAVLAYIVIAFFVYVFSTKQDKDPEKQKREDQHEVLMLLATFVVTITYVAGLNPPGGFWSNSQDGHRVSNPILQEHNSSRYQAFFVCNTTAFVSSLLIIILLLDKKLIISLPANKLSGPFVRFLALYVFIIIALFGLVGAYAAGSCRELDTTTYVVCLIGIVLAYIFLQVAITKAIPENATGWLKKIKELFVESSRDNEPLGEDEASEAMERAKTNEASKRTNKAPGKAKGEESKDSQANKALEKARNLVILLATLVASITYQAGLDPPGGLWPDDQDGHKGGDPILLTTHPTRYKVFFYSNSAAFVASLIVITMVQRSSLLKRHTLEAAMLLDLFGLIGAYAAGSCRDVSTSIYVVALAGAVLVYVVIHIVFFTLDHGHKPEEENVLDNRREVLLLLAILVATLTYQAGLTPPGGFWSADDNLGHHAGFPVLLDNFPHRYRAFFYCNAMSFMASVALIVLLVNPNLYRPGIRCYALYVCMVAGMFGLMGAYAAGSSRRLRTSIYVLTLVAAVFAFVILLVIYFWSKTRDEKTSERVSNDSGVSGQGAGSIQSPERRDPAADIESAGPTEEDNPEEKRNKDLREYLMLLGVLAASVTYQSGLKPPGGLWQDNSNGHSAGNSILNDINRSRYQAFFYSNSTSFMASIVVVILLLPKTLHGHKLLLWPMHTAILLNMLGLLGAYAAGSTREWETSRNVICLVIPVLVCVAGYAAVSLYRRMTKPKEIPHQPPSVEKS >SECCE6Rv1G0450430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:867770985:867772385:-1 gene:SECCE6Rv1G0450430 transcript:SECCE6Rv1G0450430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSARHLHGALSALLLLFLLLSAVVPAARAQQETDDESEFSYVCGAENGPENWGKIKEEWATCGTGRMQSPIDLSDRLASQAPHLGYLNHSYRPAEASIVNRGHDITVVFHGDAGSMWINGTAYHLRQLHWHTPSEHRLNGRPYSMELHMVHLSAENKAAVVGLLYKIGSRDHFLHELESYLQRMANTNEIEENVGVVDPWVARGDGEAYYRYMGSLTTPGCDEGVIWTVIKRVATVSSEQLKLLADAVHDGFDMNARPLQKVNDRDISYFCPDDHHDHYYAAANH >SECCE5Rv1G0370430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:842121609:842122794:1 gene:SECCE5Rv1G0370430 transcript:SECCE5Rv1G0370430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSVLSSFLYGCFNPAGGRYHHHRAGAYYHSSHPTSADTLYYNQSGFAGGRRMGRSSRPLSLQTVELKVRMCCSGCARVVKHALTKLRGVDSVEVEVEMEKVTVTGYVERHRVLKEVRRAGKKAEFWPNPDQPLHFTTAKDYFHDQESFRPSYNYYRHGYNGDKHGHLPEPHRGSDPVSNMFNDDDVNACSIM >SECCE3Rv1G0173310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:329147996:329151542:-1 gene:SECCE3Rv1G0173310 transcript:SECCE3Rv1G0173310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine biosynthesis bifunctional protein hisIE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31860) UniProtKB/Swiss-Prot;Acc:O82768] MAAPLPRAPLSSPATRAALWPARSNPCMPAAPSSGALGWRRRQPCPVLSMAPGSARSTPAALAVDPKVEALLDSVKWDVKGLAVAIAQNVDTGAILMQGFANKEALAATISTRKATFYSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGTPDGPTCHTGAETCYYSSVYDALQGSKSNQERQIATTLYSLEDTISRRKEEIVIEGSGQPSWTKKLLLDNQLLCSKIREEAGELIETLLENEEKSRTASEMADLLYHAMVLLSMRDVKMEEVLEVLRKRFSQSGVEEKASRKKS >SECCE7Rv1G0464270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59858250:59859756:-1 gene:SECCE7Rv1G0464270 transcript:SECCE7Rv1G0464270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGARAAAVQKLMAAKAKSGKSFSDIGAETGLTNVYVAQLLRRQAQLKPDTAAALRAAIPALTEELVELMMQPPFRSYNPEMVHEPAIYRLNEAIMHFGESIKAIINEDFGDGIMSAIDFYCTVDKVKGADGKDRVVLTFDGKYLPHTEQKSANMTSKLACKAP >SECCE4Rv1G0244930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:522806432:522808144:-1 gene:SECCE4Rv1G0244930 transcript:SECCE4Rv1G0244930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPRLLPLLLGRLLVSGDLLRNTAHLRRIVPLLPSHPHLAAALSSLYFPLFPSSCTFLHNLLIRASATSPSPRIAFAAFSSILRSGDIPDRFTFPSLLKSASRLASFPRTGAQVHAQAVRRGFLIDVFVVNALLAMYAAFRDTGSMREVFDSCAGLTDVVSWNTVLGGYVKCGDIGNAQVVFEEMPQRNGVSWSAMVGAYAGSGELDVAREMFDEMPAIGRNIVTWNSMITGFARHGLLPLARKMFDEMPVRNLVSWNTMIRGYAVNGEMDGARKLFDVMPEKDVVSWTCMISGYAQAGRYMETLELFREMQSESSAHPNEVTMVSVLSACAHLTALEEGRWAHTYIDKHNMVLDNEFNLGAALINMYAKCGKTDMAVKIFRSLDQKNVSAWNALITGLAVNGDVQDCIGVFEQMKRSGEKPNDVTFVGVLTACAHSGLVDEGRRCFQSMSSTCGVQPEAKHYGCMVDMFGRAGLLEEAEELIRGMPMAPDVMILGALLGACRMHKRFDVAERVQSEIIGLNTRQAGCHVLISDVYAAAGKWGEALDARRVLQKCTIRKLPGSSISMR >SECCE4Rv1G0220640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:41349232:41349834:-1 gene:SECCE4Rv1G0220640 transcript:SECCE4Rv1G0220640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMDHSEEEEEEWRIHHGGSGGARFGSRRQKPRSKSHHQLLLMDSVGGGGKAGADGECASEEEEVVPLPEYERLSQSARLPDDDDDPAGAEDPPPPAGRSASLTPPPRQRQQQQKPAAWRLLQYVRSMHRSSAGAVVSGGYCGGSSDGDSKSSDGDKEGGDVIGDGDEEGRKDKQQQRKKKRSSWLPDPDRRWPVQGFY >SECCE7Rv1G0502240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:693836067:693837637:-1 gene:SECCE7Rv1G0502240 transcript:SECCE7Rv1G0502240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASLSAGGDDGADRISALHDDLLRCIMSRLPVTDAARTAALASRWRHLWRSTPLELIDGHLPEPARDAVVPRILSDHPGPFHAVLLTECRPASLDRELPEWPRLLAAKGTQGLALLNNPTKAQPNPVPLPAEILRCASLQGLLLDFWTLPGELSDAFLPHLRNLGMVRIDMSVQDLEHLLAASPVLETLVMHSPKHVRLRSRSLLCLVLWLYKVEEIAMVDAPLLDRLILFQLPYGVANCVRVNIASAPNLRLLGYLEPRIHKLQIGDTIIKPDTMASPSSVVPGIKILALKLNFAVFEEVKMLASFLRCFPNVHTLHIQSVLYDQSVTAFEPTGEHHAKFWQEATPIECLRSHVKRIVFHKFRGHQHEFEFLKFIARDAQELQSLLLVSPKENFVSEDKLNEMIDKLGCPWFRAWASKVLELSPKVEMGWFSEKASILLAEDPF >SECCE2Rv1G0130590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:877612922:877618883:-1 gene:SECCE2Rv1G0130590 transcript:SECCE2Rv1G0130590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTPVVEIIDDDEDEGVGVAASAPALLSGRLCRATPPTAAADDSPDFLGAFSPSPPGPKRRATPSTAAALDSLDWLEAISPSPPAPKRRATPSPAATLDSLDFLDAFTPSPTVPKRRAPASATPIVLDDDTPPPPRRRPSPTPILLLDDTPPSPFAPEIPDIAVAATPPASATPRSTGSSSVPGSSSTGRAHESSGASCPISLDSDDESDDFGAIEPLAKLILPCGNSSLQEEDDHNMEENARPSKERKGRKRLSKEEKDKMIEEKKRQREEKRMQKEADKVRQAEEKKSAKEKADRASGKRALESIVAKIDPKVIETGSIAGALLTMFSEKKLTYQVERNPMRGSILWKMVSPNDQESALEPYILIVLQAEEFCDLISSGKFLDHVLEARSLYPTFTICYVTHKLMKYIYDREQSQYKNSDSSNRWKRPPVEQVLCKLVTHYDRVHSMDCANEDQLAEHVVRLTTSLAKCKFRKPLSWLSVQANGVMVPNDFVNKDLLKKDTWFMSLLAIPKIKARIALAIWKKYGTMRSLLKAYMDPNKSNREKELLLKDLKYEDKFGEESKKVGPVYSRRVYRMLMAESGAMEAEEAVKS >SECCE7Rv1G0464210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:59641612:59642571:1 gene:SECCE7Rv1G0464210 transcript:SECCE7Rv1G0464210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLNWAQTRLHGAYCSEQVTSEFSSGSRHSAAAAAAETCIPRGPRRDNDGLHGGWHPAMLSIGTLGIAEGHGDDKLAKMQEELMLLMSARGVTPTVQDQDKRPHLTLKGSLDAGIVKHRSFRKFMSSFLPRPIFREKPPELRPAEIPWPLPHNDIPSDNWAMSEATIKSYRTAHLPWRSKATGEEQGSKWIRTDSEYIVLEI >SECCEUnv1G0539700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:77210932:77213196:1 gene:SECCEUnv1G0539700 transcript:SECCEUnv1G0539700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRHSRLFSVTVVLLLLSRLPRSSPAYIDVSPCPSPAARPLTPLWFPRAAAGSPSTTPAYDPRPSQLYDPRPRFPPRGLPRSSLSSDVLSPSPAPPLRLLPPPYGLPRTSPSTYVAAPLSPSPPAPRLTPSPSLPVNPFTAKAAFIRYWNRKVHGSRLHPAFFFAKLSPLSAPDAVAFSNLAAAGQLGSRLPAFCTAASILCPSTSGAIWSGSGPSKAGDASGSPAANSSAPFKNYANGNFSSYGNSAGGGADAFAVYSRGQVNPVDSFHRYGKGSLGRNDSFATYQALGNVGTASFNSYTSGATGGAGEFAEYDGETNTVAVTFANYDVAGNGRTRDFSAYTQDANSGVETFTGYGKTANSAGESFRSYGNHTNSVMSGFINYGDKANSATDTFDSYGLNGNTPQNTFRSYSSGSNGAADDFKGYRDNANVGDDSFTSYATDANGATADFQSYGKSVNPGSVAFKGYGQGANPNHRIGFTRYSGDNTTFKAYSNEGVGFKEYQNMSRMEVSKLAAANLSLSSGHRPPPSSKWSPEPGKFFRERDLITGNRMPMPDITDKMPPRAFLPRDIAAKIPFEAGSVSALFGAPPGNAMRQVVASTIDECARPPSRGETKRCATSAEDMLDFAVEMLGDNVAVRSTESAAGSGGDVKVGRVAGVAGGHATRSVSCHQSMFPYLVYYCHSVPSVHVYEAEILAVDSERKINHGVAICHLDTSDWSPGHGAFAALGGKPGEMEVCHWIFQGDMVWTVAD >SECCE1Rv1G0014430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:114517679:114519450:1 gene:SECCE1Rv1G0014430 transcript:SECCE1Rv1G0014430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYVELVYHLMQQTPLQLQALLLLPLIIVLLRLATTSKQAKAKRLPPSPPALPIIGHLHLVGDLPHVSLRSLSGTHADDGLMLLHLGSVPNLVVSSPRAAQAIMRTNDHLFASRPPSRIADALLYGWSSDVAFAPYGEHWRQARRLVTTHLFTVKKVQSFRVARQQEVEVVISRIREAAEASVAVDLGEVVNTYANDVVCRAVSGKFFRAEGRNRMFRELIEKNSALVGGFHMEDYFPRLAKVRLLHRFVRNNVEATHKRWDELLEAIIRDHEKNSVHHGRENGVDEQGECDFIDVLLSVQQEFHGITRDHIKAILMDLFGAGTDTSSLVLEFAMAELMRKPDQLMAKLQAEVRRGTPAGQEFVEEHNLAGMTYLKAVVKETLRLHPPAPLLLPHLAMAGCDLDGYSIPSETRVIVNAWAIGRDPGSWEKPDDFLPERFLDGGTAAAVDLTGKDFQFVPFGAGRRICPGLNFGLATVEIMLANLAYCFDWELPIGMGKDHIDMTEVFGLTVRRKEKLMLVPNTHVVA >SECCE3Rv1G0209340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:938022244:938026899:1 gene:SECCE3Rv1G0209340 transcript:SECCE3Rv1G0209340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRFAATTPRLAAPIPPTSAPRAHGGVARVGALGGTRRGRRLAMAAADSARCRAKREGDGDGVLAGVAVHGGTGAEGEDQLSVVMKFGGSSVSSAARMKEVAGLIQAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVTRVSEIEEWNMIRDLHIMTVDELGLPRSVIHAKLDELEQLLKGVAMMKELTLRSTDYLVSFGECMSTRVFAAYLNQIGVKARQCDAFDIGFITTDDFGNAEILEATYPAVAKRLHGDWIRDPAIPVVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNARTVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLIAKGRDMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDCVATSEVSISVSLDPSKIWSRELIQQELDHVVEELEKIAFVHLLQQRAIVSLIGNVRKSSLILEKAFHVLRKIGVNVQMISQGASKVNMSLIVHDSEAKLCVEALHQAFFEGDGDDRLTAEFDEEENLRLEL >SECCE2Rv1G0130220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:874550738:874552015:-1 gene:SECCE2Rv1G0130220 transcript:SECCE2Rv1G0130220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLPAVPTTPSPTAPQDQYVQSAVDLITLLYSCATAVAKGDTELVNKVLERICSSLASDNVRGPLHRLASSFADALALRVVQPWQGVCRALQLQKTTPASAAAAARRQFAEICPFLRLAGTTTNYAIIDAARTERNAVLHVVDLGGADHDQWLLLLHLFAKRPGAGAHDQILRLTIVNEEDEFLSVTGALLAREAESLHIGFQFHPVKLHINELLSIEPLGVRNGEALVIVSTLQLHRLLADEFAEMVARPHDKKGKAQANATMSRADALLRDLAELSPKLMVVTEQEANHNGEFMARFENALKYYGALFDALEESVPARGSTIERADMEQCLLLQEIRDIVACEGAQRRERHERMEKWAERMKAAGFASVAMSADAVAQTVMLGQMVTGCSRAYRVSSENDVCFFIRWRDIPMFSVSTWRAV >SECCE2Rv1G0131320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884095781:884096953:1 gene:SECCE2Rv1G0131320 transcript:SECCE2Rv1G0131320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGGALPVVDLAPFFGGDGADDTGARARATEAVRAACQATGFFRAVNHGVPHELMARALDLSVAFFALPDEEKAKVRPAEGASASPLPVGYARQPAHSADKNEYLLLFNPNLRLNHYPAEPAGFRDALEECYAKLTELGLLIQDILSECMGLPPGFLAEYNTDRGFDFLTALRYFPATSSDENNGISAHEDGNCVTFVLQDGVGGLEVLGEDGRWLPAEPVEGSIVVNVGDVLQVLSNKKFKSATHRVVRRPGAHRHSIAFFLNLHGDKWVEPLPEFAGDSGEPPRYRGFRYNEYMQLRMRNKTHPPSRPEDVVHITHYEIL >SECCE1Rv1G0003140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:12540025:12541155:1 gene:SECCE1Rv1G0003140 transcript:SECCE1Rv1G0003140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVLGVAKTVVEGTLVRAKSAIDDEAKLKQSVQRDLVFISGEFQMMQCFLNITDEERAKNAVVKTWVRQIRDLAYDVEDCIEFVVHLDNKPAWWWRVVPPCMAPPLPLDQAVDNITELKARVRDVSQRNMRYNLINDSGSKPLVAQPHPTIAAGAAEFDMLAQAKDAAKKQHVLWNLTDLITRQDRDLQVISLWGTGGDLGMTSIVRKAYVDPEIRQNFTWCAWVKIAHPFNPDEFIRSFLAQLHARSREEQRPILGVDVLKRMKTEAVPEHDLADEFVRKISERRYLVVLEGLSSMVDWDAARAYLPDTNSGSRIIVSTQHFEIASLCTGHPYQISEIREFSPDHSVCVLFKEVRLVIQLILVFFLLNNCDIA >SECCE6Rv1G0439520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:796741092:796742126:-1 gene:SECCE6Rv1G0439520 transcript:SECCE6Rv1G0439520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQEVPATPATKEPSTNANGNGTTKAAPKAKAPALNERILSSISRRSVAAHPWHDLEIGPDAPTIFNCVIEIPKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCDDSDPIDVLVIMQEPVVPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYRHFNDIKDLPPHRLAEIRRFFEDYKKNENKEVAVNDFLPSEDAYEAIQHSMDLYATYICEGLRR >SECCE7Rv1G0512470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:811653060:811653861:-1 gene:SECCE7Rv1G0512470 transcript:SECCE7Rv1G0512470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE6Rv1G0406200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:542031456:542031854:1 gene:SECCE6Rv1G0406200 transcript:SECCE6Rv1G0406200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTIPGLDALRRGMISVVRSALKPMMSVVPFCLFLLMDIYWKYEMRPTCDDEHACTPSEHLRHQKSIMKSQRNALLIAAALLLYWILFSVTSLVVKLDHLQQRVDKLKKRDD >SECCE5Rv1G0331930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:542519339:542520310:-1 gene:SECCE5Rv1G0331930 transcript:SECCE5Rv1G0331930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFVEGGAASVVAGCSTHPLDLIKVRMQLQGEAARAPAPAMRFALVFPPGVQHHHHHDHLLQPPRKPGPIAIGSQILRAEGPAGLLSGVSATVLRQAVYSSTSMGLYDTIKRRWERESGGAALPLHRKIAAGLVAGGVGATVGNPADVAMVRMQADGRLPAAERRNYRSVAHAIARIARDEGVRRLWRGSSLTVNRAMIVTASQLATYDQAKEAILARRGPGGDGLATHVSASFTAGLVAAAASSPVDVVKTRVMNMKVEPGAPPPYAGAIDCAIKTVRSEGALALYKGFIPTVTRQGPFTVVLFVTLEQVRKLLKDFDF >SECCE4Rv1G0281300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:825675384:825676165:-1 gene:SECCE4Rv1G0281300 transcript:SECCE4Rv1G0281300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVTLIGTLGNPFVHRVEAALRLKGVPYELVLEDHQRKSDLLLKHNPIHQKVPILIHGGRAVCESLLIIEYVDEAFNGPPLLPSDPYARAIARFWAQFLEGKCARPFWLAMWLDASEEREGFAKEMKENLALLEGLLQGKRFFAGDSIGYLDIAACGLAHCIYALEEVAGVCLMGETEFPALCRWAKEYTSNETMKECLPEREQLVAHLSAKTDIWKMMAKAMLHQ >SECCE4Rv1G0248770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:575429173:575430450:-1 gene:SECCE4Rv1G0248770 transcript:SECCE4Rv1G0248770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLGTLLAGVHRGRHAIAKTTPVSALAALLFLIAATFWLLYLGPLRSPHTTSSSGTNTHGMGATSCDTTLGEWVRDPAGARPHYTNATCAFIQDYQNCLKHGRPSLDFLQWRWRPDGGPGCELPRFDAARFFRIVRGKSILFVGDSLASSHVTSLMCTLSVAEVPTRRSADGFEHWRFPAHDFAVSFFWTPFQVRWRLTSGPPAAVGPDRQGEVFAGPNDLHLDEPDERWMPAAKEHDYVVVSASHWFARPAVYYRRGQAVGCHGCGTTDSNVTALPPRYAQRAAFRTVLRALAGLDGFKGTAILRTVAPTHYANGGWFDGGECPDTQPLDRDEAADMAEPEGGFYSAQLEEFRAAEEEARKNGVRLRLMDVTRIMLRRPDGHPDRYGHGAGDHGGFDIDCLHWCLPGPIDVWNELLLQIMAG >SECCE4Rv1G0264090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:719053690:719053893:1 gene:SECCE4Rv1G0264090 transcript:SECCE4Rv1G0264090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTKSSWPELVGILATLAATQIAHDRPDVAVEVLPPGAPLTPDYNAERVRVFIDLNGIVSQTPVIG >SECCE4Rv1G0265690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727074379:727077232:-1 gene:SECCE4Rv1G0265690 transcript:SECCE4Rv1G0265690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAASRLLLPRRPSSAAAAIVSRTAWNLRHFSPLTPQPSPVAEAVFTPAEAQRMVRLVGLEVLKRRLRSREDEVVPYAEFLDACVDAGAAPTRGQAEALAGAMDQSGSVVLFRGKVYLHPEKIVDLVRSVVPPVLEIENDARREEFELLKKKKGEIDRQACKQVRRILWSGFWFVQATVGLCFRFTFWEFTWDVVAPITFFVAGAHLLSGYAYFLITSRNLSYRTYMERLFKLRRRKLCAKLGFDMEKYLEMERHMRCPLGGDYSQDATKAIFGEIHRRMQDVQDEVVDHGELLDSLTESGLAPTEAEALLQKMDEMSLVLLVRGKTYLNHKKVADLIRRAVPFALAPEDDARKEEFRQLHAKMQEIDGMARTHAVRILCVGFATFVLQFALFFRLTFWEFSWHVIEPLAYSLAGLQLIFCYGYFLRTASNPTLQDFKRRLFVARRRKLCAKHGFDMDRYLKLQNHSRGPPEGDY >SECCE3Rv1G0189960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:733267761:733267985:1 gene:SECCE3Rv1G0189960 transcript:SECCE3Rv1G0189960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTGLGLFMCAVLLLAAAVPSESARVLREPLSAAGGAGATAVSMEVPGEGQRQAGAAESKRLSPGGSDPQHH >SECCE5Rv1G0343170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:635754940:635757804:-1 gene:SECCE5Rv1G0343170 transcript:SECCE5Rv1G0343170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFISRSSSIASLLFVIVSFFQVLVAAAGVTFTFTNRCGGTVWPGVLANSGSPPLETTGFALGSGEARSLAAPAGWSGRFWARTGCAFDGTSGGKCATGDCGSGEAECHGSGATPPATLVEFTLGDGGGGKEDYYDVSLVDGYNVPVVVEPSAVGCPATGCLVVVDLNELCPAELRAAEGCRSACEAFDRPEYCCSGAFGGPDTCHPSAYSQAFKAACPRAYIFATSTFTCAASAAYSVTFCPHAGTPSSSLKSSNDPLPTPGDVVAGAQVAADTWLASLATVENEAAAARTATAALRAALVAAAVALLASSL >SECCE1Rv1G0023730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:311542841:311550312:-1 gene:SECCE1Rv1G0023730 transcript:SECCE1Rv1G0023730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPESSLPHAAAQKGQTPRREELVRLIAQSLYSLGYRKAAATLEAESGVPLYPPEHDRLLLDVMSGRWDACAATIDSLTGITERNRAVAEFLVWRGHFLELLGTGDAGLRLATEVLSRRIAPLAIDRRCVHWLARAVITSEGAVAPEAVAECRIGLFLDLVEALPPWFRVPSGRLEHLVETAVIQQVASCIYHNLPDEVTLFEDHKCHEEQIPSDCTQILCAHKNEVWFVRFSNDGNYLASSSSDCTAIIWKVEEDDTLTKKYCLEGHKSPISFVAWSPNDRMLLTCGNGESLKLWNVDTGECNLKFGASVKHIIASCAWFPNSEKIVCASSEPESSPNMIFTCDLEGQELEMWAGERIPKVSDLAVTPDGKHLICVCPNEIWIRELRKGREWKIPERQTISSLSLSGDGQSMIVNLNSQEIHLWKTNGSSRVPDKFKGHKQGKFVIRSCFGGSDYHFIASGSEDSQVYIWQRCMEMPIKVLYGHSMTVNCVSWNPARPQMLASASDDRTVRIWLARKPHSLT >SECCE1Rv1G0014220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:111147590:111152263:1 gene:SECCE1Rv1G0014220 transcript:SECCE1Rv1G0014220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAIRGSIWVLGKALSPLSGGLVEAWAASSGLGPNIEALKTELLYAQAMLDNARGREIRSHALAELLQRLRALAYGADDVLDELDYFRIQDELDGTFESVDHDDRGCVHNLVRDARHTAKAATKLLGCGSCSSAAAGDTYKPDESCMCVRRLASGTRTTVHDIGKRLLCSTFLPVRNDDDSDDSKHAPRVPKLKFDRVDVSVRMKCITDELKSLCAKVSIVLGLELSGSVINELRLVRSSGIGNVASTSRPITTSQALEHTLYGREPQKNTIIEHIIKDEYIHKKLTVIPIVGPGGIGKTTLTQYIYNNKEVQDHFKIRVWICVSLDFSVHKLTQEIVSSIPKAEDEKEKADSEVHNLDQLQKLIEKRLKNRRFLLVLDDIWKYGNEDEWKRFLVPFQKEQGNGDTILVTTRFLEVAEMVKQRDKPVHLEGLEPKEYWTLFLACVFGETNQRNNDDNLIEIGEKIVEKLKGSPLAAKTVGRLLRNNISVDHWTRVLESKEWESQTSDHDIMPALKVSYDYLPFHLQQCFSPCALFPEDYKFDSEELIQFWIGLDIIHPDNRIKRIEDIGRNNLNDLVNYGFFKIETGDSGKHYVIHDLLHNLALKVSSQECLHISSSSTRAVEIAPSVYHLSISMSDPANSEDGIVKESFIKELNKLRNILKTENLRTLMLFGDYNASFVHVFSDLFKDAKSLRVVYLSTMFYTVEFLLHSFLKLVHLRYLRLVSKDRSKKLVPKSIPRFYQLRVLDLSNWKGAHSSHEEIANLVKLRHFLVPSYEFHSNISNVGKLQNLQELQRFQVRKESNGFEFMELGKLEEIGGSLHICNLENALVSEAHEANLLCKNRLQKLTLNWNKGESKMSPDAEDQLLESLRPHSNLHELCIEGHGGSTCPTWLGKNLSTKGLEALCLDNVAWELLPPLGELYVIRQSVEGRESGEEYFGCITGPCFRNMKRLELIGLPRFRRWVANEVCPWYFSLIEVLIVKVCAELTELPFSSCDSCYPLGTDSSVTWFPRLNELKIEDCPKLLSLPPIPFSHVLCSVTLTRAGRGLKELHYSSKSYCLRIEGSDDLHSLDETVLAFHNLTQLQELRIKNCPPLAEEHLQVLTALKTLRIIGSSIMLLPLAIWQLPVTRLRLWSCNFSGKEVTRLLTHLPELSYLDILFCEKITRLVVEAEQQQTAASLSLPASSAGIELQDTHGTDEQRERVQEVEEEGVASLEEVVVEQEEDDDGLLLLPAHLSHSLQELFFYSCEQLILTAKSHETGGVGGLQAMRSLKKITIDNCPKFLSAYKASDCPFLSSLQHLVLEGRMEGMDTLVLLSNLTSLENLSITYLGEDLRCGGLLHLLTRGQLTALDVMMTPKFFADWDPARELQGEQLLPFPKLQQLLTDDMAGVLTAPICRLLSSSLTKLSFQFNKEVECFTKEQEEALSLLTSLQVLQFQWCNKLRCLPAGLNKLTNLNRLKIYDCPAIRSLPKNGLPSSLQQLIVDDCIKLRCLPAGLHKLTNLKTLWIEDCPALRLLPKNGLPSSLQELNVRRCKNKELIQRCRRLMGTIPLIRL >SECCE6Rv1G0389090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:145689699:145691177:1 gene:SECCE6Rv1G0389090 transcript:SECCE6Rv1G0389090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAPAAVTAVATSPAPHLLLICFPGQGHVNPMLRLAKRFAAKGLLVTFSSTSYVGGKITASSGVEAGGDGVPLGRGRIRFEFLDDDFDGTDLDDLMRHLETAGPVVFAELLRRQEEAGRPVTCVVGNPFLPWAIDVAHDAGIPTAVLWVQSCAVFSLYYHHVHGLVEFPPEDDLHARVNLPGLPALSVADVPSFLLPSNPYKLLTDAILKQFRTIHKASWVFVNSFYELEPSVVDALPGISPPPPPLIPVGPLVEVEEEGAVRGDMIKAADDCVGWLDAQAPRSVVYASLGSVVVLSAEELAEMAHGLASTGRPFLWVVRPDCSAMLPEGYLDSVAGRGMVVPWSPQDLVLAHPSTACFLTHCGWNSTLETLAAGLPVVAFPQWGDQCTDAKYLVEEFKMGVRISAPLRRDAVRDAVVDVVAGLDAGAMLEKARAWSAAARTAVAAGGSSDRHIQAFVDQVVAGAIGARADKNPVAGEQQGFDSSSLRGN >SECCE3Rv1G0171690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:276534389:276537468:-1 gene:SECCE3Rv1G0171690 transcript:SECCE3Rv1G0171690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 2 [Source:Projected from Arabidopsis thaliana (AT4G39120) TAIR;Acc:AT4G39120] MLPSISTIPPTFPLPHPAFTKPSLRHHLRSPSLLSTFSSAAAGRACGIAGRLVGSVRASPSEAGGWAVAAAGKEGVEMERLVAVAQSAADAAGEVLRKYFRQRFEIIDKEDHSPVTIADKEAEEAMTSVILKSFPTHAVFGEENGWRCAEKSADYVWVLDPIDGTKSFITGKPLFGTLIALLHNGKPVMGIIDQPILRERWVGVDGKKTTLNGQEISVRPCNVLAQAYLYTTSPHLFEGDAEDAFIRVRDKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWEGNKLHWPVSSESRPASFNVVAAGDSRVHGQALAALRWR >SECCE7Rv1G0483160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:318006662:318012218:1 gene:SECCE7Rv1G0483160 transcript:SECCE7Rv1G0483160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLLPLLLLLLAAASGPNAVTAARDGCTSGCVLALGSYYVASNQNVTYIAGLFGFSDYRVLGKYNPGIPNLDFIADGQRINVPFPCQCIAPPSAPATTFLAAPIPYKVVTGDTYISIADHFNNLTTPDWLQATNTYPANNIPDVASVNVTVNCSCGDPGISTAYGLFLTYPLRGRETLASVAANQSFSSPEQMDLLRKYNPGMDGVTGSGIVYIPAKDTNGSYLPLESQGQPLYFRKLIIARRKKGSVGAIAGGVVAGVLALALGVVLFLFYRRRKAKHDTLLPSSEESTRLASAVSMQKVTPSSSQADGASPAAGITVDKSVEFSYEELFNATEGFNIIHKIGQGGFGAVYYAELRGEKAAIKKMDMQATQEFLAELKVLTHVHHLNLVRLIGYCTESSLFLVYEFIENGNLSQHLRGTGYEPLSWVERVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNTRAKVADFGLTKLTEVGGGTSLQTRVVGTFGYMPPEYARYGDVSPKVDVYAFGVVLYELISAKDAIVRSAESASDSKGLVYLFEEALNAPDPKEGIKRLIDPKLGDDSPIDAILKMTHLANACTQEDPKLRPTMRSVVVALMTLSSTSEFWDMNALYENPGLVNLMSGR >SECCEUnv1G0530970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:15355689:15358679:1 gene:SECCEUnv1G0530970 transcript:SECCEUnv1G0530970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSKPSDSGLRICGPKIKSGYPLPDEESKSRSYLLKKIGSLYRKARRRLRRVQAHGLCVGLLDPVSNIMINSITDSCKGEREEDLRRRSLDGLVVFLTRLFPNLAEGLAVRYLCLAKADVLIAACIIVSDHGIKGFGNSEAEPALINMALKCAGLAARHPDPKRLVYAWQKICGCIQDALGLLEMIHEPSQAPRRIPHVIRQLAFMVTEGPPASQVAVLRAWTLAEASLRRHPRSLPQLHTHLRCRTLQDTIHGYYLEALARLPAGSCFHRSLIKAGHCYGPFDPVSNIIINTIWYHAAFPPSMKLELNVIGTRSLHRVANRSLYGLASFLCTRYHGFTFHQAVCRLLQADGYLARADPFFNPAPPHHVQDYYPPADTGLKEAFLAAATAACHPNPDAQVKLLTSCKLELALSLLHHKLSTQDVDRLAMLLSPESLYVHDTEMALPPLPLKSYPLVDLADLYTVVSKGVNVLLNKYEQMQNGDPRYELHTICGVNDRVGGPAQWPPHDRDRCHVNFLATPKGPRGGKPTLFFAEIQSDGTYDSFCCPVALPLPCAAQIRCVYCEAMGTRIVHPAAIVFRGRDSEFEMLAREKDPCQREDATLRIINHRRLMAENVHCKEKEDILYDGVDGHKNKFDNVSSLKVEEAMLLAPLCRYL >SECCE6Rv1G0379600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:15174793:15175416:1 gene:SECCE6Rv1G0379600 transcript:SECCE6Rv1G0379600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKKNLRVGTVLPKGTMFAGAGSPKSVNSASIPLESKYLATILSHYKIPHSSIKAKQVADTLRSCGKPADKEEPHMCFSSREAMARFATKALGVSGARAAITRIHGHETPNSMYVVAQIAQASNNAVPCHPMDFPYEVFYCHRPRDVQSVTVQLKGLKDGTPGVTATAMCHMNTSDWDERYFELLGGERGEPICHYMPTNYIMFY >SECCE5Rv1G0364240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:799976120:799980755:-1 gene:SECCE5Rv1G0364240 transcript:SECCE5Rv1G0364240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPQHGAPGGGGHGRRTPFLTSYALTLAFITFVSLLYFKDFSSTLHQPFLHHPPPRHRPRPRPHAPMAGKVGPLLVDKKPAAAAAEEKKVSGSSIQPQGRPAAVVSLPFAVGRAAAGCDVARGEWVYDEAARPLYQEEECPYIQPQLTCKAHGRPDTAYRHWRWQPRGCSLPSFNATLMLEMLRGKRMLFVGDSLNRGQYVSLVCLLHRAIPESAKSMETFDSLTVFRAKDYNATIEFYWAPFLAESNSDDAVVHRVTDRIVRGTAIEKHAKFWKGADVVVFNTYLWWMTGQKMRILQNSFEDKNKDIKEMETEDAYGMVLNAVAKWVENNMDPKSSRAFFVTMSPTHTQSKDWGDKSDGNCYNQTTPIKDLSYWGPGTSKGLMRVIGEVFSASKVPVGVVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYAKLFFP >SECCE6Rv1G0445840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:841651662:841652531:1 gene:SECCE6Rv1G0445840 transcript:SECCE6Rv1G0445840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNLPASSTVVGMFKSNGIKSMRLYAPDQAALQAVGGTGINVVVGAPNEVLSNLAASPVAAASWVRSNICVGNEVAGGATRNLVPAMMNMHGALASAGLGHIKVTTSVSQAILGVYSPPSAGSFNAEVAAFMGPVVQFLARTRAQLMANIYPYLAWAYNPSVMDLSYALFTASGTVVQDGGFGYQNLFDTTVDAFYTAMAKHGGSNVKLVVSESGWPSGGGTAATPANARFYNQHLVNHVGRGTPRHPGAIETYIFSLFNQNQKQSGVEQNWGLFYPNMQHVYPINF >SECCE3Rv1G0211980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954036319:954037548:-1 gene:SECCE3Rv1G0211980 transcript:SECCE3Rv1G0211980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRRLSAAAALASAAAVLGNDDLLREILLRLGFPTCLVRAALVSKRWLHHASEPTFLRRFRERNPPRVLGVFASYPGTPYKFLPLPQPSELAALSRRVASTCNDTFAAHIYQWVEHCRNSRLINVYLQDGTFMHSLLAPLHAGESEAVLAQVPLPRRLLRARTQGLFSHTFLPEDGGRDGITLVTLWKVRREVRAEVRVLGSGGWGVPTTAVTEVDLPYAETNFIKMLPPVHAKVFMVTGFGYTLGLDLARASFFTLELPPVGVRSNYLLSCAEGSGIYLVSANGFQLSVWLHPMTGDDNDAGWLLVDTFCVRKAYARSAGHDWVWMAQDGDFLGVAAVGDNVEFVILDYPRYGVVLYVHLRSRVVEKVYEHQALLDHCFYHIPISPFMMIWPPVFPALKGGHDQEQ >SECCE1Rv1G0007520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:40648845:40649474:-1 gene:SECCE1Rv1G0007520 transcript:SECCE1Rv1G0007520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAVTMLAVVAAVLAGGASAQSTSGCTQTLIGMSPCLNYITGNETAPSKSCCSQLATVVSSKPECLCVALNADPAALGLGTVNKTRALGLPDQCGVKTPPLSNCASAPTTSPSSGSPAGQTPTSSGAGSKSTPTADVGSGAASLQSSAAGIVAGFIVAAVYAVSAM >SECCE6Rv1G0404320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:515377619:515381642:1 gene:SECCE6Rv1G0404320 transcript:SECCE6Rv1G0404320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGVCGHYHKCEEGEICGVCGHRPAAADAVAPARVDSAFPSEVLKGFLFLGSYDNASRSEVLKTLNITHILNTVPDCHNLYRNSFTYHCLQDDKTLDFDGATQFLEQCERGASRVLVHCMSGKNRSAAVVTAFLMKSRGWRLAPSLQWVKDRRPQVQITEASQCQLVEYEQKLFGPSAGLPAQTTVLTESFPSLGFGFPQPSGDIQVPAFNQTPVPSIFERVGPNNVPSNFTFGAEGSMGVGVGPAAGINNGAVTPASTDNQMDSS >SECCE1Rv1G0055890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:683823390:683824514:-1 gene:SECCE1Rv1G0055890 transcript:SECCE1Rv1G0055890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVYHRPTLPHGLPRHPYHAAGLRRLSTRASAPSSPAPPSPSAAAPSAAAVLAHLAAAGVPVLPGLSATELALVEAALGGVQLPPDLRELLALGVPSGDDFPHYRSSAGLRLLRFAAQEVPAAVAGTLPLAPGRRAGRSPPPLVPLCGRHYVPATPCLVGNPVFHVSDSGVTFAGANVADFLLRAFAADAEPPPLRRQLSAPVTPSPATPSNTARRSLDSVTGRAPRWIEFWSDAAAAGDRFLEVIPTGATTTNATAGPEPAWLRRSLQQAGSALSRGGWGAGDVEEMTGRNGEAKVVALALTVDQCCGELRRGGWGAEEVVEVLGPLLGPSRKARRAAVALPPDVAARVGRLAEAVSRAVGPRGRSKPPRPF >SECCE5Rv1G0341260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:622670058:622672481:1 gene:SECCE5Rv1G0341260 transcript:SECCE5Rv1G0341260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPVHHLRVRVLLVVLCAVSASASASWPWKRSGHDYRDALAKCILFFEGQRSGRLPADQRAAWRGDSGVSDGRAAGAGVDLEGGYYDAGDNVKFGFPMAFTTTMLSWSVLEFGDDMPRDERRHAADAVRWGTDYLLKTLAHPGVIFMQVGDPWKDHECWERPEDMDTERTVYNVSAGRPGSEVAGETAAALAAASMVFRDGDREYAELLLDSAKKAFEFADSHRGAYSDDMDLRAGGCPFYCDFNGYQDELLWGAAWLRRASGDDTFREYIQNNGKTLGAEDSINEFGWDNKHAGLNVLISKEFIEGEALAMQSYKESADSFICTLIPESSSPHIQYTPGGMIYKPGGSNTQHVTSISFLLLTYAKYLTKSSHTVNCGDISVGPDTLRLQAKKQIDYLLGDNPMKMSYMVGYGDRYPQRIHHRGSSLPSIKDHPERMACKDGTPYYDSSSPNPNPLVGAIVGGPGEDDSYGDDRADYRKSEPTTYINAPLVGALAYFVGNPDPGHIRH >SECCEUnv1G0561540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:355735587:355741037:-1 gene:SECCEUnv1G0561540 transcript:SECCEUnv1G0561540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGASPPRKRRSADEPPLVVTKRATRGRPPREDGDPELVGDPVPADQARAKFPKRYQSRNGEGEEEEVKALCHYRAAKVEGVVYHLGDDVYVVAGEGKPHFVGRITELFEGTDHVKYFNCRWFYRSEDTVISTAKLVDDHSQDPKRVFLSDETNDNPLDCIVSKVKILQVDPKLDLEAKARLAADSDLYYDMSYTVPYSTFENVTDDINEISGISSDADSEVHASVATATLLDLYSGCGGMSTGLCLGAALAGLKLETRWAVDFNSHACKSLKSNHPKTEVRNEKADDFLSLLKEWAVLCDQYVHGNNAEAPPPMDDEEEEGGLEEDEYVVQKLTDICYGGIDRKSCLYFKVQWKGYGPEDDTWEPIENLSDCPLKIKEFVQEGHMRKVLPLPGDVDVLCGGPPCQGISGLNRFRNRDDPLKDDRNRQLVTFMNIVSYLRPKFVLMENVVDILQFAEGFLGRYALSRLVAMNYQSRLGIMLAGCYGLPQFRMRTFLWGALTTMELPKHPLPTHNVVIRGGAPNAFTQSVVAYDEIQNPTLKNALVLEDAISDLPKVDNDQADDIMEYLVKPKTEFQRYIRLSRKEMLDYSFGDKAGPGEGKLMDHRPLKLNKDDYERVKRIPFEKGANFRDLEGVRVRPNNIAEFDPEIPRVYLESGNPLVPEYAIKFRSGKSLRPFGRLWWDETVPTVVTSARPHSQAILHPSQARVLTVRENARLQGFPDYYRLDGPIEQRYMQVGNAVAVPVARALGYSLGLAYLRKHDGGDDPMLVLPANFFSPGQTEAAVAPAEADEVAEE >SECCE5Rv1G0359680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:763273811:763277768:1 gene:SECCE5Rv1G0359680 transcript:SECCE5Rv1G0359680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAVLACPAPSGGGAGGDGEVGGAGAGVVMVKRAALVACLTCPLCRRLLRDAATITECLHTFCRKCISKEFIDKEICYCPTCNIDLGCAPEEKLRVDHSLQYVRSKIFPSKRRKVVDQEAISPVESPVKRKERSLSSLTVHGPRVSIQKCLTKRRTKASCLRSLSLYSTLQGSKDATKKVGGWKPLASHIRVGKSKKSLKSGSEEDNRIGIKSSDPEHGAPSNEAKASLLKRLLESKEYPTRKENLVKKTGSKKVFTMKGKKKIFKAKQPRKKRRLRALWFYLVAAFDQKGQPPLPQLPTNFLRIKDVELPASFIQKYLVQKLNLSSEAEVELMCAGKKVDPAITLHDITDCYLDKGPNGWVRSSVGSPATGFITTVFYSRTELPTPPTPPPPPESHQG >SECCE1Rv1G0053120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:667600507:667606776:1 gene:SECCE1Rv1G0053120 transcript:SECCE1Rv1G0053120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLAGGLLDLRPGKLSPKPPPPPLPMPCRRSPPRSQVAAAVASPCRAVPELHSTTELADGSVVFHFAHPPVKGHPEHKPSGPDPGHADASASPSPRPELRVDEPNPHTAADAGEISLASSEPPVQTVGSGVGVEAEAASASGRVAPPADSPLDTDGNGVELGASEESETVEAGMTAPAGLEEVGADAGASSEGSATQDSHTVVDAESSSGDQGATESGVAIPPPSGEVHNKVDWEKNTSEVKSSDRTVPVASSALLLTSGAAILPHPSKAATGGEDAYFIEHNGWFGVADGVGQWSFEGINAGLYARELMDGCKKVIAESEGGSELAPEQVLSKAAAEARSPGSSTVLVAHFDGQFLHASNIGDSGFLVIRNGEVHAKSKPMVYGFNFPHQIEKGVDPLTLVENYTIDIEEGDVIIAATDGLFDNVYEQEVAAMISKSLQADLKPAEMAQHLAARAHEVGRSGAGRSPFSDSAVAAGYLGFSGGKLDDIAIVVSIVRRSEA >SECCE4Rv1G0246350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:543604013:543605938:-1 gene:SECCE4Rv1G0246350 transcript:SECCE4Rv1G0246350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTSPLPLRRLIPKPPFYAAAAAARLSHSDSFVSSSSDDESPLADEXXXXXXXXXXXXXXXXXXXXXXXXXHSPSPSVSSVLGFLHRLPADASPHIFPHLIAALSRSPRPLLALRLFLRPPTSAATTHHSFNSALLRFPLPPHLLPAFFFHSLRRFPRLAPTLLSFNLLLKCVCFSLAPRNHRLYLEIALRLLHESIPGWKLQPDKFTYSTVVSALADAGRVDDAVALVHEMVVDGAVAAEAFNPVLSAMLRAGDVTGAAKLFSFMQLKGCAPSAATYNVLLHGLLVCGRASAAMGVMRRMEREEVTPSVMTYGAVVDGLVRCGRLGDAWKVTEEMGKKGLVPNEFVFSPVITGFCKSGEVDRASTVWDAMVAGGVRPNIVLYSAMIDGFARCGKMTKAELLFEEMVEAKCTPNIMTYSSMVRGYFQIGDSSQALSIWEEMLSAGCTPNAVTYSILISGLCNVGRSKDAMMVWKHMLGRGCAPDTIAYTSMIKGLCASGMVDGGLRLFYDMLARGDADPDVICYNVLLDGLLRAKDLPRAMDLLNQMLDHGCDPDTVTCNTFLREIEVGQGKGREFLEGLVLRLCNRKRNKAAAEVMMVMLAKYIVPEASILEMVVRGVCRRKRVWRVIDKCWDEIWRF >SECCE3Rv1G0177670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:478151099:478155961:1 gene:SECCE3Rv1G0177670 transcript:SECCE3Rv1G0177670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKDAEVYEEDLVDYDEEVESAVDGAAANPSVDVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICNEFERFSKYLSETKVAVFYGGVHIKKHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSETEKNRKLNDLLDALDFNQIVIFVKSVGRASELNRLLCECNFPAICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVASASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >SECCE5Rv1G0369880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:838127609:838128807:1 gene:SECCE5Rv1G0369880 transcript:SECCE5Rv1G0369880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVTLPSSAPSPFPVGASSARRCLRLLRSPAPRRAIRVVAASAATEAPPKPPPATPSGIILVDPAEAQKVHRLKTVYDTKVIPVITEEFGYTNVHQVPKLEKIVVNCGLGVDAGNNKGLEAAMKDLASITGQYPVKTKAKNSVASFKIREGNTIGIAVTLRGRVMFNFLDRLINLGLPRTMDFLGVNPNSFDGHGNYTIGLRDQGVFPEIPYEVGGKKNGMDVTIVTTAKTDNEAQRLLALLGMPFAENIKSDQFKKKRLKRHHFMSKGRGRK >SECCE1Rv1G0063500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:725441437:725445823:-1 gene:SECCE1Rv1G0063500 transcript:SECCE1Rv1G0063500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLALSKAGRRSSSVIYNELASTSAFRTPANGTGGVLKALQERYRSSYVGSFARRLRDFDTPSEASLLKEIYRSNPERVVQIFESQPSLHNNSSALSQYVKALVALDRLDESPLLKTLQRGIANSAREEEGFSGIPAFQSVGRTTKDGALGTAGAPIHMVASETGQFKEQLWRTFRSIALTFLVISGIGALIEDRGISKGLGLHEEVQPSLDSSTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNDGIIVIAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILETHMSKVLKADDVDLMTIARGTPGFSGADLANLVNVAALKAAMDGAKSVSMTDLEFAKDRIMMGSERKSAVISDESRKMTAYHEGGHALVAIHTAGAHPVHKATIVPRGMALGMVTQLPEKDQTSVSRKQMLARLDVCMGGRVAEELIFGESEVTSGASSDLSQATRLAKAMVTKYGMSKRVGLVAYNYDDDGKTMSTQTRGLVEQEVKELLETAYNNAKTILTTHNKELHALANALIERETLTGAQIKNLLSQVDSSSDSQQQPQAAEVPQQTPAAPASPQSPAAAAAAAAAAAAQQAAAQAKGVAGIGS >SECCE5Rv1G0355320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731324374:731334134:-1 gene:SECCE5Rv1G0355320 transcript:SECCE5Rv1G0355320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRPLAAALRLAPLSPPLLLFFASSSSSPASCSSPAASASGPRGCSALRMDSGAVEPASTGAIWSTPSVEPRSISIGKQIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYHGTIEKLVKDLNYPEELLTWQFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVAAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDSNPAKVPSGTDYALMYRDVRSAVDLCHRDGTLKRMVAKEPSRYINEDLAIVPMLQMLRKSGRSTFLVTNSLWDYTDVVMNYLCGPYMSDVSSSHNHKWLECFDVVITGSSKPSFFHDDNRTGLFEVEPDSGKLLNADLQESKIGSPRSSQHQPKPIHKVYQGGNVGHLHRLLSVASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEHEVKLQSESKSTRKELRHLRMERDSVEDTIHRLEWSLQFEDLTENEKGKLLSEHDNLLQKLKGIRCLLRDAQMQHHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYCSQVTDFGLYSPNKYYRPSEDYMPHEFDVLGL >SECCE7Rv1G0510150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:787279140:787284775:-1 gene:SECCE7Rv1G0510150 transcript:SECCE7Rv1G0510150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSRKPKATVALVPPPRMEYRARFDEAWYGARVVVQDGWLRVMFENFLEDADEWYDPDAPELASPRAVDALRARFRPACPALDDARCGDLRPGDRLCLSCDMHGGEIKYYDAVLEAVQKAPHGTVDGEERCACRFTVRWTEGPRRGCSDKVGVEVVCCVQESPIQDPVLSQFLEDVRNRSGDDQGEATAASQAAPSGEGEIAPVSSLTNSLSSLSWSF >SECCE1Rv1G0050410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:646277030:646277986:-1 gene:SECCE1Rv1G0050410 transcript:SECCE1Rv1G0050410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGQQPQAREPDGGDSNHRHHAPPPHTPALPSEVVPAYPPPESEDDESWVWTQIKAEARRDADAEPALASFLYATVLSHPSLPRSLSFHLANKLCSSTLLSTLLYDLFLASLTAHPSLRAAVVADLLAARARDPACVGFSHCLLNYKGFLAIQAHRVAHVLWAQNRRPLALALQSRVADVFAVDIHPAAVVGKAILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVMIGAGAKIGAGSVVLIDVPARSTAVGNPARLIGGRKGESDKDEDMPGESMDHTSFIRQWSDYTI >SECCE5Rv1G0364970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803683693:803684208:1 gene:SECCE5Rv1G0364970 transcript:SECCE5Rv1G0364970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGMKRAREEVPVVSLALSLSTDSSASSTTSDNSTAAPAPAARKRARRGRPVAKSGEEEFVCKTCGRAFETFQALGGHRTSHLRGRHGLELGVGVAKAIKEKGQQQDKPHDCHICGLGFETGQALGGHMRRHREEMALSGAIDRWVALSDQEAAHQHAADRPPVLLELFV >SECCE1Rv1G0016180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:135179545:135182317:-1 gene:SECCE1Rv1G0016180 transcript:SECCE1Rv1G0016180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transition metal ion transporter, Ion transpor [Source: Projected from Oryza sativa (Os05g0164800)] MSGRGCLPAGELAALSRVCRDGAAAARLKTGSLLAILIASAVGVCLPVALTRAFRGRDGYARGLLLVKCYAAGVILSTSLVHVLPDAYAALADCAVASRRPWRDFPFAGLLCLVGALLALLVDLSASSHLEAHGHQQPQQEEGQPYAPIPTTKKAPAFELTGEMSPRKRAFLDESDRDDPAPRADKNGGDPDRDDVALFGPKKGARLPRSDEPVVPIVGCHGAGHEVVEVGEGEEEEEARKKQKMVSKVLEIGIVFHSVIIGVTLGMSQDVCAIRPLVVALSFHQVFEGMGLGGCIAQAGFGMATVGYMCIMFSVTTPLGILLGMAVFHMTGYDDSSPNALIIEGLLGSLSAGILVYMALVDLISLDFFHNKMMSSSLKLKKASYIALVLGSASMSILALWA >SECCE2Rv1G0079000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:113673223:113674263:-1 gene:SECCE2Rv1G0079000 transcript:SECCE2Rv1G0079000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAESARAAPPVLPWTVRLQVLALGAACDLSQRRDGTVNRSLFSLVDRQAPARPRPDALGVRSVDVVVDADRNLWARVFSPSPAGEADAAPLPVLVYFHGGGFALLSAASAPLDAMCRRFCRGLGAVVVSVNYRRAPEHRYPAAYDDCADVLGYLGTTGLPADIGVPVDLSRCFLFGDSAGGNIAHHVAHRWTSSSAASSNNPVRLAGIILLQPYFGGEERTEAELRLEGVGPVVNMRRSDWSWKAFLPEGADRNHPAAHVTGEAGPEPELPEAFPPAMVVIGGYDPLQDWQRRYPAMLRRKGKAVRVLEFPDAIHGFYMFPKLPDGGKLVKDVKNFMETDTSDH >SECCE7Rv1G0471520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:131537272:131539025:-1 gene:SECCE7Rv1G0471520 transcript:SECCE7Rv1G0471520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDTRPLESVQSALNLFEQRGDHSRFSSPDRNGQEIDVVTKELATCKLQLEAKESENKQAHMKLEALRKSMQELSERHDRACLDAHRRITELEADNVAITARQSQAAAECEALRGELDVAVGELDAVRRANAYVLGEVESMETRRILERESARDGLMRVLELNEAVLESAVAAIRAEEERSVYFQEVTLELFSSDKNLKAIRRQKEVMETMEAELLAKTVEVECLRSELMQLTELYVSSSERVVVPVSPTARHADGDADNADPAGTVPSGVDDHVDVNAGKSDNGKRSQEPRGEVADLVHGCPEVVEAYFDSELPREDCRNTQSGDVNINAETSADVVQVQAGQKRRVRFMPESPMEDFKSVNSECCKFMDAGIGMPENLAAKRGSESETAGAGFVVNSKLADGNGELYTKEVVGVDRLGDGYVLVAKEADGGALKDEKLDAAQTEISDLKFSLEEALRRAELAEEAKVALERELREEIQTKQRTPQPRAPGKDSRLRRVESTPAPPLRPAPSQAPGGGKRGGARPPASPGCLTLGKALNMKYK >SECCE4Rv1G0280380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:820280412:820280612:1 gene:SECCE4Rv1G0280380 transcript:SECCE4Rv1G0280380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVAVSALIPEALGKSEERALILGWAYYLYAFSSYPLRTWLPSVYRRHDNWYTRGASFPVLSY >SECCE5Rv1G0300860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:28380983:28381565:-1 gene:SECCE5Rv1G0300860 transcript:SECCE5Rv1G0300860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQKRAGSLEDAVQQASEWALLTVSYAATYAIVHAVDHYLVPCSQSLFRPCDQLMDEDTTLWIGMLCCATLEAASAVLALRLPCHRRWVRPAFAYLALALTIAIHCMYAVAVAARLFLIDDPQDLFIMIFYTVALVFFVAGDIRSFLALLRGEE >SECCE6Rv1G0433650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:760889907:760890509:1 gene:SECCE6Rv1G0433650 transcript:SECCE6Rv1G0433650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASEIDPSVAPHIVVEESSRAGGSREEALPEAAAVEAVVETEPADGKEEGQCGLCLYMEAGGCKEAFVSWAECVQAAEKEGSDKVDRCSQATIDLKKCMDAHADYYALVLQAEQTVSDQAEAAIVATTADTNKHKGEESAPSPDTDETKMEEPLVESAATTADEKDVVVEQEATSSTTLVNKEESTVEKAESLSLGN >SECCE6Rv1G0404060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:511340508:511344343:1 gene:SECCE6Rv1G0404060 transcript:SECCE6Rv1G0404060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSAPLLRSHHRHLPVRSSPRRCPTAAFPRGFAPLEPLSSRGPSRTIVHSASTSAAAPETAEATGDAAPSEAEARVVLPTNESSENLLRIRHTCAHVMAMAVQKLFPNSKVTIGPWIDNGFYYDFDMEPLTDKDLKKIKKEMDRIIRRNLPLVREEVSREEAQKRIQALNEPYKLEILERIKEEPITIYHIGEEWWDLCAGPHVESTGKIQRKAVELESVAGAYWRGDEKNQMLQRIYGTAWESEEQLKAYIHFKEEAKRRDHRRLGQDLDLFSIQEDAGGGLVFWHPKGAIIRHILEDSWKQTHLQRGYDLLYTPHVAKADLWKISGHIDFYKENMYNQMDVEDEPYQLRPMNCPYHILVYKRKLQSYRDLPIRVAELGTVYRYELSGALHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILGQFGFRNYEVNLSTRPEKSVGGDDIWEKATIALKDALDDKGWGYTVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPERFDITYVDSHTEKKRPIMIHRAILGSLERFFGILIENYAGDFPLWLAPTQARILPVTNNELQYCNEVASELKSRGIRAEVCHGERLPKLIRNAETQKVPLMAVVGPKEVEARTLTIRSRHNGEMGTMPVDDFISKIQTAVADKSSL >SECCE7Rv1G0470540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:121854007:121858074:-1 gene:SECCE7Rv1G0470540 transcript:SECCE7Rv1G0470540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKSELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQSFTEVMGLKAMTDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >SECCE4Rv1G0230720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:172935856:172941332:1 gene:SECCE4Rv1G0230720 transcript:SECCE4Rv1G0230720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSDTTRNRTFPHGNDNDDATAPPSPSPPVYYEGCPGCAMERKKENNTGTPYKEFFYVGVTTLASALPISSLFPFLYFMIQDMHVAENEQDIGVYAGLLGASYMIGRCFASLFWGVVADRIGRKPIIAFSMFSVVVFNTLFGLSVKYWMAIATRLLLGSMNGMLAPIKAYSVEVCRPEHHALGLSVVSTGWGIGLVVGPAIGGYLAQPAKQYPNLFSEKSVFGRFPYLLPCLFISLIAFAVLISCIWLPETLHMHKNLEREVEMVGDSRAAPHREVPHPEKSLYKNWPLMSSIIAYCVFTLHDTAYSEIFSLWAVSEKKYGGLSFSSKDVGQVLAVSGAGLLLYQIFVYRHVHKYLGSIISSRIAAALSIPLLATYPFMTHLSGTKLGLAIYFAAVIKGAFATTILTGTCILQNSAVSQNQRGAANGISTTAMSLFKAIAPAGAGVLFSWAQKRQHAAFFPGDQMIFLILNVVEVIGLVLTFKPFLAVPKQYDFK >SECCE7Rv1G0525760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:892611438:892613457:-1 gene:SECCE7Rv1G0525760 transcript:SECCE7Rv1G0525760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLSYGLGLIAVGAILSYLVIFYALGTKDTRAKLPPGPWNLPVIGSLHHLVGTLPHHALLRLSRRYGQLMLLRLGEVPTIIVSTPKAAMEVLKTKDLVFASRPRGPTRELVSCGGKGLVLTPYGEHWRQMRKVCMVEVLSARQGMAKLANNIIAKAVFGGKCQQQGTYLDELDKMLVLVGGFCLVDLFPSSRLVRWFSGAMRDLRRSHSRVQKILGDIIVERKNMKESAGTKDNEDLLDVLLRLQKEDTLSFPLTSEIIGTVIFDIFAAATDTTAATLEWAMAELIRNPEVMARAKLEVRQSLPQGQSTVTSADLGNLHYLRMVIKETLRLHPPVPLIRRATQDKCQVMGYHIPKGIPVMINVFAVGRDPSHWGEDAAEFRPERFERSDAIYVDYSSGKQMEFVPFGFGRRQCPGALLATTTIELVLANLLYRFDWSIPGGASPETLDMDEVFGLIVHCRSNLCVQAATCDPQLH >SECCE5Rv1G0306760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:99565720:99566793:-1 gene:SECCE5Rv1G0306760 transcript:SECCE5Rv1G0306760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDLCTPLLSAMDLAEESNVPSAIHLAQESNVSAAFPLLVIEPPPDSDRSSYKVLSLPDQKLQDVPPLVSSNKAWLATPQGWVLVLSSSDSSSDDAGTYLVNDKDGSRIELPALKDDEVPWTCRCVLSNVVAAPGCGVLVFDHASPVMWFCRVGQDLRWSRHGYDIGCLDIPGCPPEKRNFFHVAAVNGRFFFREFNCSLGTLDFHTDDASGEPEARLGAIAVPCVDVSIGFTATYLVESCNDLFLVHIAFHGMCVDQPGELRVYRMDFSEPPAWRKTDYIGDRAFLLGRSNFGASCSASGCGLKPNCVYWVNCLSEKNSDLHVLGLQDGSSEIVKQFENVLDVQKPFWVVPVDA >SECCE3Rv1G0172440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:294135342:294158272:1 gene:SECCE3Rv1G0172440 transcript:SECCE3Rv1G0172440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRPSPPPPHLRLLLRRLLSTSPAPSRLLPVPSPSARLLFRPRVVAAAVPGRNGVAVRAFMASTAASDAMQEKRVAGEYTAANVQVLEALDGVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGYATEINVVLHDDNSVSVTDNGRGIPTDIHPQTKKSCVETVLTLMHAGGKFGGSTSSYSVAGGLHGVGLSVVNALSEALEVTVCRDGKEYRQHYSRGKPLTTLSSTTLPDESSRQGSCIRFWPDKEIFTTTIEFDFSTISSRIREFAFLNPELTITLTKEEQDAPVQHNEYCYAGGLVEYVAWLNTDKKPLHDPIAFRKELDGIIVDVSLQWCSDSYSDTLLGYANSIRTIDGGTHIEGLKTSLTRTINSFAKKSKIMKDKDISLSGEHVREGMTCVISVKVPNPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASSNPAESEIFIVEGDSAGGSAKQGRDRKFQAILPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGEDFKKEALRYHKIVILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQVHYCYDEADLKELVNTFPTNASYNTQRFKGLGEMMPLQLWETTMDPERRLLKQLTVEDAAEANIVFSSLMGARVEYRKELIQKAASMVNLDHLDI >SECCE5Rv1G0344040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:643257655:643258552:-1 gene:SECCE5Rv1G0344040 transcript:SECCE5Rv1G0344040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVATRAAGGLARSLVVAAFGAAGTVIGGMLGLLWGFVNEDGLLQGAVVGAVTGALVSVELADSIFRIWTCGDCSMDARIKRTRLVLRSVAAGRLLRGSVFPTISGALDSQIEALQQHHSPRGDLFEPSSGPVTAARRAAVESLPATVLTKETAGAGQHTTCPICLHEFQAGESARRLPACGHVFHLACIDSWLLWKPHCPMCRHAVY >SECCE1Rv1G0003730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14947324:14951038:1 gene:SECCE1Rv1G0003730 transcript:SECCE1Rv1G0003730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVDKFLVRVDGIQPHDDASRFKGLMKRMIGVFKKGKNHHRIADAIREIKEQLQEVAARRDRNKLDDIGPNPMEAVAIDPRLRALYTEATELVGIYGKRDQDLMRLLSLEGDDASNKKLKKVSIVGFGGLGKTTLARAVYEKIKGDFDCRAFVPVGQNPDVRKVFRDILIDLGKSHSDVAMLDERQLINKLHEFLENKRYLVIIDDIWDEKLWEGINLAFSNRNNLGSRLITTTRIVSVSNSCCSSANDSIYQMKPLSTDDSRRLFHKRIFPDKSGCPNEFEQVSKDILKKCGGVPLAIITIASALASGQHVKPKREWDILLQSLGSGLTEDNSLEEMRRILSFSYYNLPPHLKTCLLYLCIYPEDSKIYRDRLIWKWVAEGFVRHGDQGTSLFLLGLNCFNQLINRSMIQPIYDNIGQVYACRVHDMVLDLICNLSHEAKFVNLLDATGNSTSASLSNIRRLSLQNKNEDHQAKTLTNIMSMSQVRSITIFPPAVSIMPSLSMFEVLRVLDLSNCDLGKSSSLQLNLKGVGHLIHLRYLGLAGTEISELPTDIGNLQFLEVLDLESNHKLDELPSSLFKLRRLIYLNAWTCKVVPTPGVLQNLTSIEVLRGVLVSLNIIAQELGNLARLRELQICFKDGSLDLYECFVKSLCNLHHIESLHIGCNTKETYFELMDLLGERWVPPVHLCKFECWMPSQLSALRGWIKRDHSHLSNLSKLILSRVKEVQQEDVEIIGGLMSLRRLRIRSTHQTQRLLVIRADGFRCMVDFALYCGSAAQIMFEPGALPMAEEVRFSLGVRVAKEDGNCGFDLGLQGNLLSLRRQVWVRMYCGGVRVGEAKEAEAAVRRALDAHPNHPPICIEKSPYIAEDAHDDDLCEERRTDF >SECCE3Rv1G0164250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:160123882:160124677:1 gene:SECCE3Rv1G0164250 transcript:SECCE3Rv1G0164250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESHAAPQRRWAENVVVLSSARPPRSEEAVVVAGHGQHQRMEAPPEKLYYKTRLCDKYEATGRCVYEDGCTFAHGRAELRPPVPPPAHAVWRRPHDQEHGGRIVYGGGKACHNFRDRGHFGDKLAFPHAAAPAPPAHAIRITGDQKLLADERRSATPPVMPPPAPRYAAPGPARAFPPVPAPAARDRLRQMPEEDGGKKPNRLMLMSLRKTSGIYGDWPEQY >SECCE4Rv1G0247330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:557436638:557441018:-1 gene:SECCE4Rv1G0247330 transcript:SECCE4Rv1G0247330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAATPMVAPEAADHAGQHVEGLTAPMVVPEAVIDAEQHVERSTVPMVVPEAAVDTEQHVEHSTVPMVVPEAAVDVEQHVEHATVPMVVPEAAVNADQHAEGSTVPMAVPEEVVNADQRVEGSTVPMVVPEEAVGTDQRVGTVAAPMVMTEADVDADLRADGVAAPMVVTEAAADADHPIEDAATQDRKNGDDDGVVNVTPEEMRAIIEVIAETGKFWHDWSFLKRLLSLQLMQVLGEYSEAQMVIREDGQQQNSLFRETHSELFSQLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLSKLALALEKNLLVTSTITKCTEPYPTAHGPNSEGPVIAENTASVDVEPERLAEHPAAVPNGTQYAGGDGDEEMADAEAEGLPGSHDVEMQEEKLDHTADVNSDTNSVTAVACETVNASEKAPDPQS >SECCE1Rv1G0054730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:676179034:676180705:-1 gene:SECCE1Rv1G0054730 transcript:SECCE1Rv1G0054730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMSVAARKQQLLPCLAVLLLAGAASAQAQKYNAVFNFGDSITDTGNLCTSGKPTAITFTQPPYGETYFGTPTCRCSDGRVIVDFLSTKFGVPFLAPSKANGTDFKQGANMAITGATAMDAPFFRGLGLSDKIWNNGPISLQIQWFQQITATVCGNDCKRYLRESLVVFGEFGGNDYNAMLFGNYSADQASRYTTKIVNTIIRGVEKVVGMGARDVVVPGVLPIGCFPIYLTVYGTNSSADYDALGCLRKFNDLSTFHNNLLQAKIARLRKRYGRAARIMYGDFYSAVYDMVQNPKKYGFNGVFEACCGSGGGKYNYANSARCGMPGAAACANPADHLSWDGIHLTEAAYKHITDGWLNGPYCSPPILHT >SECCE4Rv1G0295630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:899221438:899222483:1 gene:SECCE4Rv1G0295630 transcript:SECCE4Rv1G0295630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCSNRKRSSSPGVAVWCVVLGLALIVAVGAAGKEGGGRAGHLAEEHGDAAYCSKLCTGRSDFGPCYNECLYGRVTGQEEARGGGGVGGPVGLLEMPTKRVGPEEEEKRKQGDAAVHLSDPVWCYDACHEHPELDYTQCVNDCYAENMPDAAQLAAHGGTGGESTQHEVEETRGGEVNVLTAVGIHKCDWFRDCSVTPCVWHCGKRVQEGDDEERRKGALNVLAAARHEVAVGACSSTVYVQSCYACCQREVTRNPDFDWYACIRSCDDKDALPIKKERENKKDGVVPPAK >SECCE4Rv1G0258710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:678987653:678988702:1 gene:SECCE4Rv1G0258710 transcript:SECCE4Rv1G0258710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTRGVAAATISLAVLLLIFCFSPCTAAATTAVPSIDATRTRHLPLPRGLLRGPESVAFDAKGRGPYSGVSDGRVLKWNGDKLGWSTYTYNPDYSSEACTASLLRSETATEGHCGRPLGLRFHLKSGYLYIADAYKGLMRVAPGGGEATVLVTEVDGVPLRFTNGVDIDQVTGEVYFTDSSKNYNRSQHEMVTRTGDSTGRLLRYDPRTGKAVVLQADITYPNGLAISADRTHLVISSTGPCKLLRYWIKGSKTGTMELFADLSGYPDNVRPDKKGGYWVALHREKAELPFGVDSHLLALRIDADGKIIEEMRGPKSVRPTEVVERKGGRLFMGSVELHYVSIITHK >SECCE7Rv1G0496900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:618384176:618385108:1 gene:SECCE7Rv1G0496900 transcript:SECCE7Rv1G0496900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSIAFGRFDDSFSLASFKAYIAEFISTLIFVFAGVGSAIAYTKVSGGAPLDPSGLIAVAICHGFGLFVAVAIGANISGGHVNPAVTFGLALGGQITILTGLFYWVAQLLGAIVGAFLVQFCTGVATPTHGLSGVGAFEGVVMEIIVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGDFTNIWIYWAGPLIGGGLAGVVYRYLYMCDDHTPVAGNDY >SECCE7Rv1G0491790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:539028502:539035297:1 gene:SECCE7Rv1G0491790 transcript:SECCE7Rv1G0491790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTAFAWESASRAFNNRPTFTGLVVVLAASSGGGLVAYADSQPDQPQGFKKKKVVVLGTGWAGTTFLRNLDSKLYDVQVISPRNYFAFTPLLPSVTCGTVEPRSVVEPIRRILEKKGGDFKFWEAECFKIDPANKKIHCRSNMGTNLDGNGEFLVDYDYLVVAVGARSNTFNTPGVAENCHFLKEVEDAQKIRRSVMDCFEKASLPYLNEEERKKNLHFVVVGGGPTGVEFAAELHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITNFAEDKFGRDGIDVKTGYKVVKVSKDAITMQNPATGDIAVPYGMAVWSTGIGTRPFVVDFMKQIGQANRRVLATDEWLRVRECDDVYAVGDCATINQRRVMEDISEIFRVADKDKSGTLTVKEIQDILEDIYVRYPQVKLYMKSKQMNGIADLIRTGKGDTKKESVELSIEEFKKALSLVDSQVKNLPATAQVAAQQGQYLATCFNKMQAAEENPEGPIRIRGEGRHRFNPFRYRHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYATKQISWRTRALVISDWGRRFIFGRDSSGI >SECCE7Rv1G0502590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:698123161:698126088:1 gene:SECCE7Rv1G0502590 transcript:SECCE7Rv1G0502590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDVPSVDLITEVLRRAKCSSKPDKRIILVGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMNKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDDMLAKQGAKVDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKTPGVDDVTGEPLIQRKDDTAAVLKSRLEAFHMQTEPVIDYYSKNGLVANLHAEKPPKEVTVEVQKALS >SECCE3Rv1G0152580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:48392670:48395823:1 gene:SECCE3Rv1G0152580 transcript:SECCE3Rv1G0152580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTMKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQDSFNNVKQWLNEIDRYASENVNKLLVGNKSDLTDKKVVSYETAKAFADEIGIPFMETSAKNALNVEQAFMAMSASIKDRMASQPAANSARPATVQIRGQPVEQKTSCCSS >SECCE7Rv1G0475870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:193951033:193954454:-1 gene:SECCE7Rv1G0475870 transcript:SECCE7Rv1G0475870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSNGGGGGPGHRRRSSGHGHGHHHQAPPPQQQPEAAPNRYVFAAATPYPPQYPNPNPPQYYPQYGNYYPPPPPSVQVPLPAPYDHHHRGAAPPPNVPPTEFPPSVHSHHYPGWAGRYPYGLQPPMPTPYVEHQKAVTIRNDVNLKKETLRIEPDEDCPGRFLVTFTFDATVAGSMTVYFFAKEELNCNLTAMKPDLIKPVTVSFKEGLGQKFRQPSGTGIDFSAFEDSELLKQGVVEIYPLAVKAETTVSADQPSEGEDKKPKTPNSQITQAVFEKKESGDYQVRVVSQILWVNGTRYELQEIYGIGNSVEGDTDANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNNKAEEPPQQTSQSPPLPPPPALHQEEV >SECCE2Rv1G0113300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:720710221:720720244:1 gene:SECCE2Rv1G0113300 transcript:SECCE2Rv1G0113300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGMIMLGALVALAILAPSARGLDRADFPPGFLFGVGTSAYQVEGAYLEDGKGLSNWDVFTHTQSRKIKDGRNGDIADDHYHRYMEDVEIIHNLGVDSYRFSISWARILPRGQFGGVNSAGIAFYDRLITALLQKGIEPFVTLHHFEMPQELETRYGSWLGAGMREEFSYYADVCFKAFGDRVKFWTTFNEPNLFAKFAYMLGNYPPAHCSPPFGTCNSGNSRREPYVAAHNMLLSHAAAVDNYKRNYQATQGGSIGIVIAMKWYEPLTNSTEDILAARRALSFEVDWFLDPIFFGDYPREMREMLSSNLPKFTSEEMRLLQKNKADFIGLNHYTSIYAKDCISSPCNLDTYEGNALVQVVGERGGVTIGKPTAIHGYYDVPEGMELIVKYVNQRYKNTPVYVTENGYSQFSDNSMKDLINDVGRVNYLQGYLTSISSASRRGANVSGYFVWSLMDNFEWRFGFTVRFGLYHVDFETQERTPKMSGKWYRDFLTGSRSVDQAHTLREDS >SECCE3Rv1G0169380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:237575360:237575953:1 gene:SECCE3Rv1G0169380 transcript:SECCE3Rv1G0169380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTTFLSIAPKISISANISRVSIVASYGGTLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFGIVPASRQTRVKYIADLGALAKTNPISAMTFSITMFSYAGIHQLAGFCSKLYLFFAALGCGAYFLAPVGVVTSVIGRWAARRLP >SECCE7Rv1G0468610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:100872608:100880491:-1 gene:SECCE7Rv1G0468610 transcript:SECCE7Rv1G0468610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFKSKIKWQHRSNDPASSSQPQSSATSPASSPSGTAPAPALSSAAATPTASAPPPSAPAAMTPTAGVGGGGGGAAGGDDYMYSEEEFQMQLAMALSASNSDCAGDRDRDQIRDAKLMSLGGGDRFAAGRDDGHTADSLSRRYWDYNCLDYHEKVVDGFYDIFDPSMEASRQGKMPSLEDLQTGIGDLGFEVIVINRAVDTALQEMEQVAQCILLDFPVANITLLVQRIADLVTDNLGGPVKDANAMLARWLETSTQLRTSLHTSLLPIGCIKLGLSRHRALLFKILADSVGIPCKLVKGSNYTGDEDDAVNIIKVDKEREFLVDLMAAPGALIPADVLSWKGSSSNSNHKLGQKPGHNQMAGSSSTIYPTLGANALPLEHKGDQLPLLNSGDWISASQSGYEKEAAITRSQTSSSGTPCVTAADVFDGSWTLVPRAQSDEPSTSAGTSSQHKVVPPGGEHPGAGNIKLSPGHQENTESNNLFAELNPFGRIESKKASVPFNGPDNRNNELQRRRENVVPSTGRPQQRLVMKNWSPYNDVSNNKQYNYVQDSFARRNVGDNVVSSPQVPRSAANNINLNIGARNDGSHAVSAHNNDSFMAGSSAMKMPSVAEIGKAAEMAMRGDLSKGPTGSRLEDQPPFGRHKWGDSTERRVPTNSVQNQAKEREANFEGKQDHKKLLPDPKKSPLDRFMDVSMPSRNSESISPSSARPHRLDCVFDDVSECEILWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPGCQIDEKRRIKMAIDVAKGMNCLHTSVPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWGGMNPMQVVGAVGFQDRRLDIPKEIDPLVARIIWECWQKDPNLRPSFAQLTTALKTVQRLVSPSPAETQSPPVPQEIWVNSSTP >SECCEUnv1G0565540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:394777976:394779586:1 gene:SECCEUnv1G0565540 transcript:SECCEUnv1G0565540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGATLFFVSLISVLILAYLLSREPSPSSKKRRPPGPWRLPLIGNLHQILTSKLPVVLRDLAKKHGPVMHLRLGQVDAVVVSSPAAAREVLRDKDLAFASRPTILMSEISLYGNLDVAFAPYGAYWRTLRKICAAELLSDRKVRQFSPVRDKETMSLVSSVREASRGGEPFNLRRLLVVCSNSITGKTAFGESCSSELQEQFLAVMDEVVKLGTGLCVGDLFPSLWFIDVVTGLRGRLWRARRQQDKVLDKIISQSQMRPGDHVLSSLLSIRDKGEIDSIPIGLDNVKAIIMDMFSAGTETTSSAAEWVMSDLMRNPEVMMKAQAEVRRTFDNKSSEDHEGHIVELHYTKMVIKESMRLNPVLPLLVPRVCRETCDLGGFEVMEGTRIMVNTWALGRDPKYWHEPEEFRPERFKDGTASCKGSRFDYLPFGSGRRICPGDTFGVAVLELMVARLLYYFDWSLPAGVKPSELDMEMIVALTSRRKNQLHLMATPYDGISHANVN >SECCE7Rv1G0466600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:79907955:79911355:-1 gene:SECCE7Rv1G0466600 transcript:SECCE7Rv1G0466600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL1 [Source:Projected from Arabidopsis thaliana (AT1G72570) UniProtKB/Swiss-Prot;Acc:Q1PFE1] MDMNSGWLGFSLSSSSAARGYDAGEGGGDGGSCSSPTAAAAPSSPIVGVPLHSAGGSVQYDGPDWRHQHAEAKGPKLEDFLSVGYGNDRSRGIYDASHADHLKYHHHQDVHHAYPGSPYFQGNGGGGAVIGLDINHAPPPSHCTGLPDHHYMPAHHGQYSLCQPNQAAGTGAMAAAPMYSSAAGFDSNSNMSISGIKSWLRQSMYVPERSTAVPPSVHAAPSEPPLPAPCVPVPRKLAQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYEKELEEMKHMTRQEFIAHLRRNSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLSAVTNFDISKYDVKRICASSHLIGGDLACRRSPTRDAPASGSGVSVAVERPEAGASDNSSDASDGHRGAHLLHGLQYAHAMKYEAGEGSNGGGSWMQGAARPVAGVPSAHPLPVFALWND >SECCE5Rv1G0318630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:364321559:364324649:1 gene:SECCE5Rv1G0318630 transcript:SECCE5Rv1G0318630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVWMALAVMLVAAAQVAVAAPVTAPAFLWAPKNYGLSPNEVKEVVHYQTISPKSLADSVLEEGGWSNLVCSKDGPQKNVDVAVLFLGSKLQSSDISKDKQVDPALADILKLSFSSSEFSMAFPYVAMSDDEALENSLLSGFTENCEDGSGGNHITYTDTCTASSESVKKHLNMDSVQDLVKSRMGNNPSGPTELIVFCSGGFKDNTKSEGQLLLDLVSVLDKSGAKYTVLYASQPYGLLDNSSDLPLARYLAEKTNTTKPVLEKCDGECQLKATLLEGVFVGVVLLIILISGLCCMMGIDTPSRFEAPQD >SECCE1Rv1G0054220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:673545887:673549070:-1 gene:SECCE1Rv1G0054220 transcript:SECCE1Rv1G0054220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRALSSLLRSASRARAASPSPRPRAAPLHRPSPAGYLFNRAAAYATSSAAAAEAPADKLPPTSDKYVGAKITDEFTGAGSIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNSIRLVLEVAQHLGENVVRTIAMDGTEGLVRGQKVLNTGSPITVPVGRATLGRIINVIGEPIDHKGDIKTHDFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLDDKQAESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGVDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKMTVARARKIQKFLSQPFHVAEVFTGAPGKYVDLKEGVQSFQGVLDGKYDDISEHAFYMVGGIDEVIAKAEKIASENA >SECCE6Rv1G0441900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:812507585:812508847:-1 gene:SECCE6Rv1G0441900 transcript:SECCE6Rv1G0441900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRRGATVLDDLPAEITVDKILALLPPKDVGRCRAVRRSWRSATSTPEFTLEHHRLQPSLPIIDGRPSRFVVFHDSGDGSSSDQQLWPFPLTPKLDDRVCLHASTDGLLVVSEGCRFHICNPATRRRAPLPQHPNSLPRYGILGLYRHDGTGEHRVLWSSCVKLLSCRNEPVKREITLHVLTVGDDESRAIRVTLPTPCLEQALLEGLPRNNHYSEVHPPIRHRHNLHWMRRYCAAGTGEIIVFDTKAESFRWMHLPIQPGHFDKLFDMEGNLALCSVDRRGTAMGVWVMQDYEAEIWAFKFRIDVSVIEASRSLDLTSDLRKEKGKIKKHPLDSTTVEYITTMTMLDERELLVQFNAKHALHCDIDGKFLRMAKIGKRQCRMELTPHRLQESIIPIPGGEMEKEDDPAPWEYAWMFSS >SECCE3Rv1G0156230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:70800353:70803155:1 gene:SECCE3Rv1G0156230 transcript:SECCE3Rv1G0156230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLSPRAPCLPGPHAKASPSAGAGGNAPRLTPRRWRLARPRGCSAAAPAGRPVPVPVPAPRTTAERWGSLREMRRVWWVCGAGYWVQGFRCFPWLALNFHLTRGLGLSPVALQLVQNAGSLPLVAKPLFGVLSDAVYIGREHRLPYISIGALLQLTAWGTLAIMPVTGDTFPTHMICILIGNLGASVTEVVSDAVVTEFGRTQRTGVLQSYAFIGLAAGALLGNLSGGYVLLRTQEPKIMFTAFSVLLGLQLALSIGTKETLPSSHGNSRSLLVESSLSANLRKQFSNLMTAVREERIFYPLAWIMTSFAVVPVLSGTMFCFQTQHLKLDSSIIGLSKVMGQVMVVSLTVLYNRYLKRIPLRRLVCGVQIMYALAVLSELILVKQVNLLLGIPNEVYVLCFSALAEAIAQFKVLPFSILLSSLCPPGCEGSLFAFFTSGLVFSAILSGVFGVGLSALIGLSSGGYSSLPLGILLQSLAALLPLAWLSFVPENWTADGKIVKQR >SECCE4Rv1G0239230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:396769608:396771122:-1 gene:SECCE4Rv1G0239230 transcript:SECCE4Rv1G0239230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSCLQADYSDHHGNQTSGAFRNCMCLRCFTQQLINAYTVLFRVGTVHSVSQAIEATPLDSSESSFDTYRSPPRPLPYDDPRFSPPLRDWFASRHDPSSHSPEESEPLRPNYDEEMETMSSVDKPSKTNYDTKMKRSSSAYGDKLSQKESGNYFTYFSPSTEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKVTWF >SECCE5Rv1G0332260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:545959429:545964980:1 gene:SECCE5Rv1G0332260 transcript:SECCE5Rv1G0332260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVLGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLETIGCQIILGNTYHLELRPGSKLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVRGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGSALVPEGVLKLKQNAMATDERPIDPSCSCMVCKNYTRAYLHCLVTKDPMGSQLLSYHNLSFMMRLSRDLHMSILEGRFPEFVRGFLRVQFPKGDVPKWVHNAMEVAGIDISECCTPTNCQHDAMEAAGVDISEFCPPTKCP >SECCE5Rv1G0374760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:864515902:864520192:1 gene:SECCE5Rv1G0374760 transcript:SECCE5Rv1G0374760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIRPLYFPAFRLNRRKKEKEKRKIPFNFKPLLPSPFSTAAAAAATAAAVMPPRPRGRRASPPLLILEDEDLDFEVSYSEGEGGEDGGGSDSSSSSSGEEPEEGTDVEDGERSDEGEEEEEQEDEGESVAEGCGPAVQARVPGGATAERAANAPTCPVCMEPWTSEGEHRISCIPCGHVYGRSCLERWLTQRGNANATCPQCGRRFKQKDIINIYAPEVAVPNNDLEKQLWFCRQKLESLEEVVLKQGKLLDEIIAEKNHRSADVGVSKRQRIAEHSDGRTYLEPSASASVASASGNSCRFILLKELSFDGARVMGIDASNQIILASGKAPGVGGEHVLRKISMLSSHEARTIQLPPDTKVVKDICILPGGSALFASLGKRLSVFSMTTNSVVLQCNLPVPAWSCSAHDSDSHHVYAGLQDGRVLVFDTRQPSRPLHSMAGLSKHLVHTLHSVTDNSGSRKVLSASAIGPCMWDADGNQSSPKLLLEDDNQRVCFSLACTPPSSDLLVASYRPKADYSSGDAAAPSQVYLSQTSTQSGAGKLGQHTVIRRTGSASFAKGSTCHSNVSEVRMCKSAIIPCGNDEHLFAYGDELHRGVLTWRLPSLEVHSGLIPHRQPILDLRYAGSPAGGGYLGCLSDDKLQVYRVDR >SECCE2Rv1G0137950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:920580958:920582557:-1 gene:SECCE2Rv1G0137950 transcript:SECCE2Rv1G0137950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPVFIVGARPAGLATVACLSQFAIPYVIVERESCSASLWRNRAYDRLKLHLAKEFCELPHMSYPVDAPTYIPKNLFVKYLDDYVERFNIQPQYLTSVESSTYDNHEKCWSIVANDMSKCTTVKFTAKFLVVASGENSAENIPMIPGLENFLGDAIHSSSYKSGKSYSRKNVLVVGSGNSGMEIAYDLATHGANTSIVIRSPIHIMTKELIRLGMSLAQYLPLNLVDKLLLMAADLIFGDMSRYGITRPKMGPMTLKSETGRSAVIDVGTVGLIKKGIIKVERSISKIKGNILEFQCSKNISSDAIVFATGYKSMANIWLKNGESMLNGNGLPIRKYPNHWKGENRLYCAGLARRGLAGIAADAKNIANDIKSVIYSMSS >SECCE7Rv1G0478670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:239930740:239936664:-1 gene:SECCE7Rv1G0478670 transcript:SECCE7Rv1G0478670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPASKFAREALPPILTSASQQPPLYDGATRLYMTYTCPYAQRAWIARNCKGLQEKILLVPIELADRPAWFTKMYPKNQVIFRATAFIRESLDLIKYIDSNFEGPKLSRDDLEKQGFAEELLAYSDTFNQAMISALTTKGAMTADAEAALNKIEASLSKFDDGPFFLGQFSLVDITYAPFIDGFQVFFANIKNYDTTAGRPNMQKFIKEINSIAAYAQTKHDPQELLALTKKKLGFDA >SECCE5Rv1G0370560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:842733733:842735182:1 gene:SECCE5Rv1G0370560 transcript:SECCE5Rv1G0370560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAHVYDQTTRTEVFSKWKERFVISDDLVIKQAATTTVLSFLQGLYGDRIKDAYEVEVDVGWTQVVCLLKACVSSTTIFTDVFLAKRTDALMGTSVLTIHSPLRQVTNQTPDPQMSIKLFFDTEDRKVIYAECKHDFVDLLLSFLIYPMGCILKNLAGTSHRFRGFNNLYCSADGLNTSGFLTGPCFGDKSTLLDTNIAPLKTHGANWSDQPIAEWYHLCWTRKRQCSLARDKRSCHLFDPVFVDDHTYVVDDELHIHQASAVSVLKHWCKRNRDNVVEKDIAISKQEAVALLRAVINSETALTDAFKERFEQEPQPMPPSKRRTGSPSVERMQIFVKIFAGETITLDVASLDTIAAVRSKIQMRGKLMAADCRLVYGGKCLQDPWTLADCGIRREATIHLEFYPRGLVKTETE >SECCE5Rv1G0353400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:716875238:716881091:1 gene:SECCE5Rv1G0353400 transcript:SECCE5Rv1G0353400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREMRINTAPRGNRVPLLNNGETSRILSDLEEGSNVQAANVGFCRVIKLAKHDAGKLVFATIALLVASLSNLLVPKYGGKIIDIVSRDVQRPEDKAQALADVNGTILYIVLIVVTGSVCTALRAWLFNSASERVVARLRQDLFSHLVNQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLTTTAIGLGFMFSTSWKLTLLALVIVPVISVAVRKFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRAFAQEPHEISRYGGKVNETLKLGLKQAKVVGLFSGGLNAASTLSVVVVVIYGANLTINGYMTTGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRVSSMTNTGDKCPKNENEGEVELDDVWFAYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVPLVEISHQYLHQKVSIVSQEPTLFNCSIEENIAYGLEGKASSADVENAAKMANAHDFICSFPDQYKTVVGERGIRLSGGQKQRVAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKTADTVAVISEGQIVESGTHDELLDRDGIYTALVKRQLQLPKFEGTANGTAEVEPSSNGQ >SECCE1Rv1G0003770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14989710:14994699:1 gene:SECCE1Rv1G0003770 transcript:SECCE1Rv1G0003770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTGAIANLIPKLGELLAKEYKLHKDVKKDVEDLRKELQSMNAALIKIGEVPRDKLDRQDKLWAEEPHDNTNGFKGLMKKTSELLKKVKDKHGIAHAIKDIKKQLEEVAARRDRNKVVVPNPTEPIAIDPCLRALYADATELVGIYGKRDQELMRLLSMEGDDASKNRLKKVSIVGFGGLGKTTLARAVYEKIKGDFDCRAFVPGGQNPDMKKVLRDILIDLGNPHSDLAMLDANQLIKKLHAFLENKRYLVIIDDIWDKKLWEGINFAFSNKNNLGSRLITTTRIASVSNSCCSSDDDSVYQMEPLSNDDSRKLFYKRIFPFESGCPNEFEQVSEYILKKCGGVPLAIITIASALAGGQKVKPKCEWDVLLQSLGSGLTEDNSLEEMRRILSFSYYNLPPHLKTCLLYLCIYPEDSEIDRDRLIWKWVAEGFVHHGDQGASMFLVGLNYFNQLINRSMIQPIYDDIGQVYACRVHDMVLDLICNLSHEAKFVNLLDVTRNSTSSQSNVRRLSLQNKNEDHQAKPLTNIMSMSRVRSITIFPPAVSIMPSLSMFEVPRVLDLLNCDLGKSSSLQLNLKGVGHLIHLRYLGLAGTEISELPSEIGNLQFLEVLDLGRNYELDELPSTLFKLRRLIYLHVYRYKLVPTPGVLQNLTSIEVLRGVLVSLNIIAQELGKLSRLRELEISFKDGSLDLYECFVKSLCNLHHIESVSINCNSEETSFELMDILGEHWVPPVHLRIFVSYMPSQLSALRGWIKRDPSHLSNLSELVLWRVKEVQQEDVEIIGGLLSLRRLWIKSTYQTQRLLVIPADGFRCMVVFQLNCGSAAQIMFEPWALPKAETVKFSLGVRVAKEDGNCGFDLGLQGNLLSLRRRAYVYLYCGGARVGEAKEAEAAVRHALEAHPNHPPIDIHMNPYIAEGARDDDLCEEN >SECCE3Rv1G0203330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883002301:883004032:1 gene:SECCE3Rv1G0203330 transcript:SECCE3Rv1G0203330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASSSEAEEEEPGRAPPPRMTTVSRHYFGGASSECDHDLRVDIVENIEEDYGMFVWPCSVILAEYVWQQRPRFSRSRVVELGAGTSLPGLVAAKVGADVTLTDIAHNAEVLDNIRQICSLNNTNCTVLGLTWGDWDEPVFDLHPDIILGADVLYDSAKFDDLFATVTFLLENSPGAVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPSCKADSLQGNIQLVEITLEKEKPK >SECCE5Rv1G0309220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:148942174:148946038:-1 gene:SECCE5Rv1G0309220 transcript:SECCE5Rv1G0309220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILTLRRLIKSQARERRRRRRRRRILQVRDGSVTSMSKRDDSLLCQEDGNSLLCGKLLNGGSRDSVPDLPEDIWCHIHSLMPMQDSARSACVSYAFLDSWRHHPNLILNKETLRLERNACGKGDITRAFTSKVDQILKKHSRTGMKILKLVIFDCHDFDISYLNNWLQIAITPGIEKLVLFLPSGYQKVYTFPCLLLFGQNGSSLRYLQLNYCAFRPTVGLGFLRSLTKLYLRNVRITGGELGCLLSNSLALQRLELRYCSEIICLKIPCVLERLSCLTVSACNALQMVESNAPNLSTFIFDGDTVQLSLGQSLQVKDLYMGCPNESNLLCYAITKLAYVVSNVEDLKLSSISGERVNTPMAAAKFLHLKFLEIYLDGDLSPGYDYLSLVSFFDASPALETFIFRLDQDEMLFDSILGGSLQMRQMPEHKHDSLKNVKILGFCSAKSMVELTCHILENATSLECITLDTIYDAEDEDLVGRCSETSARRSGKCSLQTIEMMSEANKARIAIEGYIMRKVPSTVKLDVRGPCSRCHTLELISS >SECCE1Rv1G0043300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:591546457:591547935:1 gene:SECCE1Rv1G0043300 transcript:SECCE1Rv1G0043300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHLMSGRGSSVDMHDSSLGLMQFHDHHQYMYGSSTGPFLPQHHGFIHAEEDRCTGKVVPELVEPATAGVAEEVEFHACKEGGEEVVLVGQERQQEDAPKQGEEEKEAHGVRMIALLMECAVAMSVGNLADANGTLLELSQMASPYAPSCGERLVAYFARAMAARLMSSWVGVCAPVHPSSASSWSGVHAAFRAFHNVAPFARCAYLACNQAILEAFRGKRQVHVVDLDVVPGGALQWLSLLSALAARPGGPPVFRVTGFGVSASALHDAGTQLAGLATKLGMPFEFYAVAKRPGDVVDAVADLPSRRPGEVVAVHWLRHALYDAAGDDVVTMRLMRWLEPKVVTLVEQERGPAGGDGGQFLDRFVAALHHYSAVFDALGAARPADGDASRHLVENGILGREIGNVLAVAGPTRSGREMFGSWQAELARNGFLRAGAGGRAQVLAGACPAGLGYTVADDHDGTVRLGWKGTPLYAVSTWTCCTTRTPHARA >SECCE5Rv1G0320780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:392427967:392432592:1 gene:SECCE5Rv1G0320780 transcript:SECCE5Rv1G0320780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACWLGAACRVAAAGVLPSERQRVSLPRALAAAKRAGVLEQQPEEAACSARAGQGTGCVASPTTTKESEVAMGYTMTQICDRFIEFFMHEKPETKDWRKILVFREEWRRYRLHFYKRCQECIDVETDTSVKQKLIVLARKVKKIDDEIEKHMELFTELRENPTDINAIVARRRKDFTGDFFRNLNFLVNAYNGLDERDAIARLGAKCLSAIHAYDCTLQQLDIDSAQSKFDDILNSSSLDDARDKIKGLAKAKELDSSLVLLINRAWAAAKDSKTMKNKVKDIMYHIYKTAKESLKIISPPEMKLLKYLLNIEDPEERFAALATAFSPGDEHEVKDEDTQYTTPNELHKWIKMVLDSYHLNKEETDFMDARRMSDPVIIQRLILLKETVEEEYMKQYINPEDQESEEEPEF >SECCE5Rv1G0324380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:446555689:446559198:1 gene:SECCE5Rv1G0324380 transcript:SECCE5Rv1G0324380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGSSSSRPPKRRRPGLRPSAPLEVAGARTPYMPSLCTNSKNPSTKCYGDRFIPDRSAMDMDMAYYLLTEPKKGKENEAVVSPAKEAYRRLLAEELLGSRTRILAFRNKPPEPKGMLPQILFETPTSSQTKPTKQRRKIPQFAERTLDAPGVVDDYYLNVLDWGSKNVVSVALENTLYLWNASDSSTSELVTVDADYGPITSVSWACEGQHIAVGLNSSDIQLWDTSSNRMLRTLRGVHESRVGSLAWNSSILTSGGMDGKIVNNDVRMRSHMVQTYRGHEAEVCGLRWSGSLQQLASGGNDNLVHIWDASMASSNPSLGYNRWLHRFSDHLSAVKALAWCPFQSNLLASGGGGNDRCIKFWNTHTGLCLNSVDTGAQVCALLWNKNEKELLSACGFVQRPLTLWKYPSMVKLAELEGHTSRVLCLAQSPDGSTVASVAADETLRFWNVFGTSEEPKPAAKSVRTGMFNFSHIR >SECCE3Rv1G0190450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:739378307:739394360:-1 gene:SECCE3Rv1G0190450 transcript:SECCE3Rv1G0190450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSDVILKGISTDRIIDVRRLLCVNTATCAITNYSLSHETRDGHLKDGADIVTLKPYTLTLVEGEYDEDSALVHVRRLLDIVACTASFGSPPPPPPPPSPKDADATKEPSNSSSKPAAAASSGGRRTGSPPPLPKESAVKDADASAAKEDAVSAELEAEMSGACPRLGAFYEFFSLANLSPPLHFIKRVTQPRQEEQPSDDHLFFLEAKLCNGKFVIVEARRKGFSSFGKQRALCHNLVDLLRHLSRAFNNAYEDLMKAFLERNKFGNFPYGYRANTWLVPPIAAQSPSTFPPLPAEDETWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRTIAAIRHVMESTDVSTAIKIDEVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMDSKHLRERNLLKGITADENTAAHDVDSLGIVNIRYCGYVAVAKVNNYEKTIVTSSIKPADIMDQPEGGAHALNINSLRMLLNEANATGEKKLPTQSHRQEELTAAQTYAENLLKESLQNLEEEETDKQSVMRWELGACWVQHLQDLKKSDNDKKQGDGKEKKKMVDKAVKETKIEGLGKPLKALKHPKNVVDASGKGSSSGNTSLNDATSSGESQKVKPSSVESLQGDCIASENEILLKDVLLDSAFKRLKDSETGLHQKSPSELIEMALKFYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVKLSEKLSHVQSLCVHEMIVRAFKHIVRSVIATTSDMRQLALPIAAVLNLLLGVPEPEFSGSSPAVHPLVWRWLVAFLKKRYQYELTEQHYVDVRKYAILRGLCHKVGIELAPRDFVMDSAFPFYKQDIISLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALAKLIMVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGLDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLMDYINPNEENRGRDSESGKRRYSSIKVLSHSSENSNVASPDISPRDSAIAIKDEERGIKEPLQDDSAKIMDIPETEGKESPLSVEASPPPEELVERAEVNINSPEEVFKDEILEQDDGWQPVQRPKSTAVLGKQAKHYRPVIRRMYDPENHAPTDASQYKPRNSYSNNRYYFLKKRTVVPAAYTDPQQHMKVQAPSARFGRKVYKAMTYRIKPGTASTEVQDTSRITEQKGGKEESQIAYSHVHNHSADLKGSEPHGPWVESTGNPPSYKDVALGRPGTITKTQVQKPRDDVLQPSLGQIIAQEMKDSLVDAVQVDQRSVSSSTNNSKEVNIVPTVMQHSEQREESHREHEIDDTGKDSSPDKLTSNTAEPSGSGPADSKADTTLVSNKDQEPISSDNSGAATEFADPTVPAEAEKSGKSGIRFLEESLPTNSEPITVAAHTISMQGGVGDVESEKLKPDLLLSNIDIREMSNKKLSAAAPPFNPSPPAILSPIAASVGLPPPGAVPGVGPWPMNISMHPGHSNMVPNGPPLCTSPHHLYPPAPRSPNLLHPVPFLYPPYSQPQMVPSSTFPMNTTIFRPNHYGWQPYMSPAVSEFVPGPAWSNNHAVAYTPSPHVADNISQSLADKHVLSDAAVVSIGPSLDSNMVAVKEEMEVPVEVGSGNLISNNILAEEHSKELKDAVNAALNPHKPGDNIFDIGGTKLGGNMKNEDEGSFRIFVKGKSRRKQTLRIPISLLNKTYSSRSFKLDFNRVVRENDIFRPSGVSFAEVVSSGN >SECCE3Rv1G0192550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:759993828:759996602:-1 gene:SECCE3Rv1G0192550 transcript:SECCE3Rv1G0192550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMKLGSKPDIFVLEGLTWRCMTELESDVVVEVGDVSFYLHKFPLLSRSGVLQRLISEYQPPSDGGGGGGMCTLQLDDIPGGAKAFELAARFCYDVKMELNALNVVCLRCAAEYLGMTDDYAEGNLIAQAESFLADVLANWKDSIRALETCEGVLPTAEDLHIVPRCITALASKACASDAAAWSTHGAKGASLDRDALWNGIGSGDTPRGAAAASAMDWWYEDVSLLSLPMFKRLIQAMEAKSMRAESIAGAIMFYAGRLLPGLNRSASFSNASFGGDYGGVAGSRSATPRSATASLSGTSDGDQRYFLEEIIALLPTKKGVTSTKFLLGLLRTAMLLHASPLCRENLERRIGAQLEDASLDDLLVPNLGYTVETLYDIDCVQRILDYFMSSMDGLGTGYTSPALAEDGSQLGLPHAATPPAVAPIAMVAKLMDGYLAEVAPDTNLKLAKFQALAAVVPDYARTVDDGIYRAMDIYLKSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIAGWFFVSDNAAATDGAHPHHPGNAGAIVPKGSAVVDSGAAGQDEEVLMVTPEGKGSETMSNVKARVSELEKECMSMKQEIRRLGKPRRSWSILTRKCGFGAKVQQAQPAMSGK >SECCE2Rv1G0100350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:539697966:539701377:-1 gene:SECCE2Rv1G0100350 transcript:SECCE2Rv1G0100350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRACLPSHKASPSPSVAQRRANNGAPPVVAMASTMNEVKTAKKPYAPPREVHLQVMHSLPAQKQEIFDSLQSWARDNLLNLLKPVEKSWQPQDFLPDPSSEGFYDEVKELRERAKEIPDDYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYMYLSGRVDMRQIEKTIQYLIGSGMDPGTENNPYMGFLYTSFQERATFISHGNTARHAKQFGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKISMPAHLMYDGEDDNLFEHFSSVAQRLGVYTAKDYADILEFLVQRWKVADVTGLSGEGRRAQDYVCTLATRFRRLDERAQARAKQGPVVPFSWVYDRKVQL >SECCE1Rv1G0051990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:658116081:658117908:1 gene:SECCE1Rv1G0051990 transcript:SECCE1Rv1G0051990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSARAPLLLAALAGAVALLLPGAAEARVLLSLDDFGAIGDGIANDTQAFVDAWQAACATGGNTYLNVPAGKSYQIWPVTLAGPCRGEIKLMISGNIVAPESPEEWEDGDQGRWLHFSGVRDLSLSGGGIVDGRGQRWWAGACEDENCTSYRQHEVAPMALHFEDCQDVSVKGLTVQNSQRQHLVFTRCYNVEANYLRVTSPEYSPGTAGVLVVSSTNVHIKNDLFSVGGDCVSIVGNCSDVRLRAISCGPGLGISIGGLGENQSSHKIEKIKTDTMFISNTKNGVRVKTYEDGCGFARKVKFAQIKMRNVANPIVIDQHYSASNRGTHCGAPNGSAVAVENINYIDITGTSATERAVTFACSDAMPCRRLYLDGVNLTTAGGGSTSAFCHQAFGKHVGDVLPESCLGKEDFVQLQAPTTTGVRQGDAADEEDADW >SECCE3Rv1G0198450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:832228644:832231610:-1 gene:SECCE3Rv1G0198450 transcript:SECCE3Rv1G0198450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEEERGGVSEAAFTDSADGSSSSSDAASTDDWPVHALAAKKAPPCSGVPDAEMAGKLKRRGGPETEMMKERFAKLLLGEDMSGSGKGVCTALAIANAITNLCATIFGQLWRLEPLPPEKKAMWRREMDWLLCISDHIVELVPTWQSFPDGTRLEIMTSRPRSDLHINLPALRKLDHMLLEILDSFRDTEFWYVDQGICAADCDGSASFRATFHRRDDKWWLPVPRVPPGGLRDKTRKQLQHKRDCANQILKAAMAINSNALAEMDVPESYLDSLPKNGRATLGDVIYRYITSDHFSPECLLDSLDLSTEYQALEIASRVEASVHVWRRRVPAKPVNGLGRTASARSWSMVRDMLMDSEKRELLAERAEGLLICLKQRFPALTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIVARIDDLLNIDELNRHVEQLSSGEADLKMACGRAVVPPYQQVPAPGTPFVTAYATPSFSPGRLSSPRTSLSAGRRSQGNRAAAAAKKALTDHLSPEIRGMIIVNRSTMIDVSTTTDL >SECCEUnv1G0560240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:345530736:345531704:1 gene:SECCEUnv1G0560240 transcript:SECCEUnv1G0560240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFATLEHILTHISFSTISIVITIHLITLLIRELGGLRDSSEKGMIVTFFSITGVLVSRWTSSGHFPLSNLYESLIFLSWALYILHTIPKIQNSKNDLSTITTPSTILTQGFATSGLLTEMHQSTILVPALQSQWLMMHVSMMLLSYATLLCGSLLSAAILIIRFRNNFHFFSKKKKNVLNKTFCFSDIKYFYAKRSALKRTSVPSFPNYYKYQLTERLDSWSYRVISLGFTILTVGILCGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHSRTNPNWKGTNSALIASIGFLIIWICYFGINLLGIGLHSYGSFTLTPK >SECCE2Rv1G0131200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:883639763:883641016:1 gene:SECCE2Rv1G0131200 transcript:SECCE2Rv1G0131200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSLPPTASQANNGSVLLPHDVMYEILLRLPVKPICRLRAVCRSWRLLLSDSSFAAAHKERHGHHLLVADIRWETASAGAPRVDFHMMDTSGHRAWQIRFDDPQAGNLDWSAACSRNQDQLLCLVGADKRARVIDPATGVVFLLPDDRPDDHQYHYGLSRRFALGRSSTSGDTKVLVVAGWYAKILTLGDGAGEWRNTYYPAVTFATSARSVALVKGVLYFLAHKHVGIAAYDLEEEKWRPDLLNLPLPPVVDEDIALAELSDSLVAVYGRSSIPADYSDVFMDMWFLTDSKEVSWSNRYTITMPYQDQVCRSPCEASRGHPLWELDDGRILLWVSNDKTRVQLLQVYDPRTNTYTDGVEMSCHSMIGVYKGSLLAGLPWIRNYGFFGRIKRAYDYSLAAIHYITGVARSDST >SECCE6Rv1G0451260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871747090:871747356:1 gene:SECCE6Rv1G0451260 transcript:SECCE6Rv1G0451260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMSAAASLEPRAKATLVLGGELFTVTSESGTLSEQLASMREKSMVILKDYIIKHNVPKDVPDESMEGSSEEEGEALAKNPPKKFRK >SECCE5Rv1G0367880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:824689234:824690868:-1 gene:SECCE5Rv1G0367880 transcript:SECCE5Rv1G0367880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLHAPLPEGFISLPIVDFSCSRNEISRAIVDAGKDIGFFQVINHGVPEKARRDMEAVCEEFFAMPAADREAFYSDDNNKPNRFFSGSTYKTGGAKFWFDCLRLSSTFPIGNSKNEWPEKPQRLREVFERFAVLTRGMGMELLQLLSEGMGLRSDYFEGPLGGGNMTMNLNHYPPCPDPNSIGLPPHCDRNLLSLLLPSTVPGLQFSYKGSWFNVETKPNAYVVNFGLPLQVVTNGVLKSIEHKVVTNSTHARRSVGVFITPTWECLISPAEEFLSKENPAVYKAVTFREFYDMHSVVKDGLSSVLTINQKSTNETTH >SECCE3Rv1G0162810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:139177287:139195637:-1 gene:SECCE3Rv1G0162810 transcript:SECCE3Rv1G0162810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMASVSTGVMNSLLGKLATLMGDEYRKIKGVRNKVASLLDEFSSMSALLVKLAGMDELDVQAREWRDQVREMSYDIEDCVDDFMRDLGQKGATTGFLKKTAERLKKLRVRHQMANMIRRIETRISEVHGRRTRYKIDEYTPSSGIVAVDPRVISIYAEAAGLVGIDAPRDELVKMLMVEGKELRVASIVGFAGLGKTTLANEVYRKLQGQFDCHAFVSVSQKPDMPNLLSNLLLKLAGQHSSQMGKLDDMITGIREYLLNKRYLIIIDDLWDSLAWKVIRCAFPENNCGSRVLTTTRIYSVAATCCSNSKEYVYKMKSLDDQDSRRLFFSRVFSFGEPCPVIYAEFSTDILKRCGGLPLAIISISSLLAGQSKPMWEYVRNSLGSMFAGNPTLEEMKQILDLSYRNLPHHLKTCLLYFGIYPEDYEIMKNDLSRQWIAEGFVSSTIGLDAEDVAGSYFNELINRSMIQPVEKDCNDEVLSCRVHDVMLDLIRSKSTEENFITVMNHQQIVTGMNKQIRRISLCNGGEERGVIPAIISESLSKARSVAVFRTVFWPSLLGFKYVRVLHLEYPKSGRLDLTGICGLFLLRYVKIACDYNTNLQLPSQIGELRQLETFDLQWSPLVSIPPDIVNLPRLSHLVVPKCTLLPDGIGSLKSLRTLHGFDVSGNSIDNIKCLGELNNMRDLDLYWSRTETLEEAENRMDALRSSLERLSHSSNLKSLVLQNLSLTPLVGWSTLFPPPRHLQKLDLFGCVFSRIPKWICQLSDLYRLVLRVEKVVSSKDGDLDILARLPSLIHLELQIEKCPEERVIIAGSGTAFQTLKHLKFSCPKLLLSFEAGAVPRLRRLELRFSIKGWQQGSSACLPAGIEHLPPGFTEVSPMQFDHFRERLNRRDVAATKSALRNTFACIGITMVRISGGDVVLKATTACSTDNIIPDELATLGATTEISDHSS >SECCE1Rv1G0020740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:259709845:259710114:1 gene:SECCE1Rv1G0020740 transcript:SECCE1Rv1G0020740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGNFFGFMVKEEKEENRGSVEFKVFSFTNKIRRLASHLELHKKYFSSERGLRRLLGKRRRLLAYLGKKNRVRYKKLIGQLNIREQ >SECCE5Rv1G0338480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:598333330:598336503:-1 gene:SECCE5Rv1G0338480 transcript:SECCE5Rv1G0338480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAPRWLRREVLVGLALGQFVSLLITSTGFSSSELARRGVNAPTSQSLLNYILLALVYGGIMIYKRQHLTVKWYYYLILSIVDVEANYIVVKAYQYTSLTSVMLLDCWAIPCVLFLTWIFLKTKYGLRKLFGVGICVAGVVLVVFSDVHASERKSKGPSPLKGDMLVIAGATLYAVSNVTEEYIVKKSSRIEVMAMLGVFGAIISGIQISILEREELRSTEWNASAVLPFIGFALAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGTAIGLIIYSYKGSREAAEDTAQVTGATDEEAATENRTAAERLPGAGEDDGPDSNKTTSEAATSR >SECCE3Rv1G0198660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:834432999:834436159:-1 gene:SECCE3Rv1G0198660 transcript:SECCE3Rv1G0198660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARHRALGTGHGGDLSASNHKFQTDPVFSRASTYTPPSSVPEEKARRRKSFWEEAAAMIAGACTNCLAPRQSKIREGHVKPSEDAHDVSTSSISRISSVSSTSTSQNKSWQDQFSFQEVCLATSNFSEQNKIGKGNFGTVYKGKLKDGSIIAVKRAKKNMFDRHLSAEFRSEIQILSKVEHLNLVKFLGHLEHQDERLILVEYISNGTLREHLDGSRGEPLEFSQRLNIAIDIAHAVAYLHGYTDNPIIHRDIKPSNILLTDQLRAKVSDFGFARLSPYDTEATHISTMVKGTVGYVDPEYLNTNHLTERSDVYSFGVLLVELITGRRPVERNRGRQQRLSTEWALRKCREGDVVVAMDPRMRRTSAAVAAVERMMALAAECAAPERAARPAMRRCAEVLWSVRRDFQHEQQRAAGAGAGTGTRRRDGSTATYGSSCMEQ >SECCE2Rv1G0111810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:704465422:704469480:-1 gene:SECCE2Rv1G0111810 transcript:SECCE2Rv1G0111810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-81 [Source:Projected from Arabidopsis thaliana (AT1G51740) UniProtKB/Swiss-Prot;Acc:P59277] MSRVRDRTEDFKESVRVAALSHGYTESQLAALMSSFIIRKPSPKSPFTNAAIKTLESIRELEKFIVKHRRDYVDMHRTTEQERDNIEHEVGIFVKACKEQIDILKNRILEDERNRRASTWLGTRDETSRLDLIAHQHGVVLILSERLHSVTAQFDRLRSLRFQEAINRVMPRKKIKKKPEIKPTEPSKSNLVLKSDVSKVEDREVSTTPLRVQEQLLDDETRALQTELTNLLDTVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYKN >SECCE7Rv1G0503270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:708891645:708892561:-1 gene:SECCE7Rv1G0503270 transcript:SECCE7Rv1G0503270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLAKQLTMASSSSLLLLAALLALASWQAAAYDPSPLQDFCIADMKASVRVNGFACKDPMSATPEDFFNAAMLDQPRDTKGSKVRSNVTNINVINFPGLNTLGISLARIDYGPLGVNTPHIHPRATELLTVLEGTLYLGFVTSNPNRLFSKIVKKGDVFVFPKAMIHFQMNLAHDKPAAALSSLSSQNPGVISIANAVFGSKPPISDDVLATAFQVEKDLIHWLQSQFWENNNY >SECCE6Rv1G0440860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:807533755:807536894:-1 gene:SECCE6Rv1G0440860 transcript:SECCE6Rv1G0440860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIKVRKSRKRMPPFRISFLFSRTPFSNPTFEIHHAKNPTPHRTPSHLLETPHPTPSRARHNPLPTRKQSEHRAAAAQPRPRPMARCSNGLLGLLNAGVLLLSLVVLGGGIWLSHRASTTDCERFLERPVIALGALLLALSLAGLAGSLCRASCLLWLYLVALFLLIALLFVFTVFAFVVTNRGAGSVVSGRGYREYRLGEYSTWLQRRVEHAGNWAKIRSCLRDGGVCQRFGARGESLQQFVANNLSPIQSGCCKPPTGCNFTYQSETMWNKPPGFNSTNDPDCNTWSNDPRALCYDCQSCKAGVLANVKNDWKKIATVNIIFLIFLIIVYSVGCCAFRNNRRDNSYPAWK >SECCEUnv1G0543700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:118846811:118850415:-1 gene:SECCEUnv1G0543700 transcript:SECCEUnv1G0543700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTPARKALSKIACNRLQKELAEWQVGPPAGFKYKVSDNLQRWVIEVGGAEGTLYAGETYQLQVDFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDKV >SECCE5Rv1G0347370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666549224:666552910:-1 gene:SECCE5Rv1G0347370 transcript:SECCE5Rv1G0347370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAAICCTVALIVLFLPLSASDDRLVPGKPLSPGNTIVSDGGTFALGFFNPSNSTSSKLYLGIWYNDIPEFTVVWVANRETPGTNNTSSAPMLSLTNTSNLIISEGIGGGRVLWTTVNMITTFGPSTPTAVLLNTGNLVIRSANGTTLWQSFDHPTDTLLPGMKMRMKYSSPDTRDHLVSWKGPVDPSPGRFSLGGGPDTPLQAFLRDGENLVTRSNPWTGIQVFSFSQQQLGATNVSDVIIYMAIIDNGDEIYSTYSLSDGAPPTRTVLTYSGRFQIEIWSSRASVWVAVPLWSAECNRYGYCGPYGYCDETVVPIPTCKCLDGFEPSNKEEWTSGRFLAGCRRKELLHGCSSRYLAVLGMKSPDKFALVGGGRSTSEECMAECNRNCSCVGYVHRNVSSSMPGRAATMCLLWAGDLIDTGKLRENGGETLYLRLAGKDAAGGKRQKGNAVRIMLPVLSSSFLVLVCISLAWLKCKGKNENWRKHKNIRLDGLSTSEEHGGEGHPHDQDFLFVTLEEIVLATHSFSEACKIGHGGFGKVYKGLLGGQEVAIKRLSRDSQQGTTEFRNEVLLIAKLQHRNLVRLLGCCDEGDEKLLIYEYLPNKSLDASLFDDSRKLLVDWATRFSIIKGVARGLLYLHEDSRFTIIHRDLKAGNILLDAYMKPKIADFGMARIIGDNQQHANTQRVVGTYGYMAPEYAMEGVFSTKSDVYSFGILLLEVITGIRRNSNCETMGFSSLAVYSWNKWNEEKTEELPDSSIIDTSPDQVLLCVHVALLCVQDNPDDRPLMSSVVFVLENGSSTLTSPNRPAYFSRRSTEVDQIRQDIQTSVNSFTITQIEGR >SECCE6Rv1G0440130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:802224188:802226177:1 gene:SECCE6Rv1G0440130 transcript:SECCE6Rv1G0440130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPGVDEHPLLVERDENTAAPEAKRLLRLAFPLVASGILQCALQLVSVMFVGHLGELPLAGASLATSLANVTGFSLLVGMASALDTLCGQAFGARRYHLLGVYKQRAMLVLALACVPIALVWANTARILLLLGQDRAIATEAGAYARWLIPALVPYVPLTCHIRFLQTQSIVLPVMASSAVTSLSHIAVCWALVHKAGMGSKGAALATAVSYSTNLAILSLYTRLSGACKRTWNGFSMEAFKELRQFADLAVPSAMMVCLEWWSFELLVLLSGLLPNPKLETSVLSICLNTGALMFMVPSGLCTAISTRVSNELGAGRPQAAKLATRLVVCMALFAGSAISITMISLRKFWGYMYSNEEEVVAYIGRMIPVLAISFFIDGIHTSLSGVLYGCGEQKTGAHVNLAAFYLAGIPLAVLLAFVLHLNGMGLWLGIVCGSLTKLVLLMWIVLSINWEKEAIKAKDMVLGSSLPAA >SECCE5Rv1G0312440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:231251184:231269885:-1 gene:SECCE5Rv1G0312440 transcript:SECCE5Rv1G0312440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family AAA domain-containing protein FIGL1 [Source:Projected from Arabidopsis thaliana (AT3G27120) UniProtKB/Swiss-Prot;Acc:F4JEX5] MEEQPHAVDSSSSASQTNWRKEVHGRLRRMHSLLFGADAALERGDAAAAQVLVLRLLGFLDSQTLSAYTGAEAAFVAPIRAAASSRLAAASRAGASDSDRAAFELAKKNAGCVFPKQGDVSIEKIKCSKYFQAFHQKSNGNVADQQNSACEKFTIQGGPHIEESPAGIDNEKLSIGASKLMSQTKITSLYGNKFLKANSVSDKNMFKSEGNMSKEFACVENEIRTNQNDNTHPAYLGVEEDKKHCGPLQTSKRKHTGFRSPICEHANSPLSQDEADAPANGFVTARIKLAMDAVQKHGHNGHQGASVSPQCDNNLSTRNYGVRPSWNSRRGPRGNFVPPIRNNGGSACNAINSRGVAGKNDDSMEDSIKKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIAGLDHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFAVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARTWIIRNLLEKDGLFKLSEKETGVICKLTEGYSGSDMKNLVKDASMGPLREALQQGVEITKLNKEEVRPVMLKDFEAALQEVRPSVSTSELGIYEEWNMQFGSLSI >SECCE4Rv1G0264490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:720962491:720964241:-1 gene:SECCE4Rv1G0264490 transcript:SECCE4Rv1G0264490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLCCEIDGQHLTSAAILGHDGSVWAQSPNFPQFKPEEIAGIIKDFDEPGHLAPTGLFLGGTKYMVIQGEPGVVIRGKKGTGGITIKKTGMALILGIYDEPMTPGQCNLVVERLGDYLVEQGF >SECCE3Rv1G0188390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:713083310:713083651:1 gene:SECCE3Rv1G0188390 transcript:SECCE3Rv1G0188390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEIFASLDSLWFHSSVLHRRPRFKQHSEELKPPRNQDQHPKCVDDEATLGARRPDLRSRALQERIETWQEEQWRRTLVVAAPPRCSPVSDGVAMKAHLRSWAHAVACSVR >SECCE2Rv1G0102350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:576507262:576507636:-1 gene:SECCE2Rv1G0102350 transcript:SECCE2Rv1G0102350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDALVREGEEPKSAAKIVDEVLKTEVKQSTFLGNVGLQSSRNNSIKETAAMAAHVHDLQQKLERSELQAEVMQEEMAAIKMKAEEAEATREKEFELLRKKSQEQDEKLAHLMALFGAKAV >SECCE7Rv1G0503650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:714943164:714944617:1 gene:SECCE7Rv1G0503650 transcript:SECCE7Rv1G0503650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPAVLGLALLLLVLNTNGVEARPAPAGGHQKKASSATFFVFGDDFADNGHLPLTDPVTEMSRQWAYPYGSNYVDADGFPRPNTPSGRFSNYKIQSDFIATMLGLEEAPPAHARTAEKTCDPSGMTFATGGACVLDSTSHKIPTLAKQVDSFKKMVKDGTITEKQLSRSVALVAFSGNDYAGTGVIGLSSPNDINAYIGKVTKEIAANVDQLLKLGVTKVLVNNLHPIGCTPSHTRTNNYTTCDIFGNLGASIHNDNLKQVMTSKKNVYIIDLYTTFTDIVDHAAGKGSELSKQFKRKLWPCCESLNSKGYCGQHDESSAELLYTVCDKSSKFFYWDDMHPTHAGWEVVMKQLEKPLREFVNQA >SECCE5Rv1G0346340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660518578:660519012:-1 gene:SECCE5Rv1G0346340 transcript:SECCE5Rv1G0346340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKRLSQMARKWQRVAAIGRKRLMWTSAKEVDECCTSVAVKGHCAMYTADGRRFEVPLAYLSTTIIGELLRMSQDEFGFTSDGRITLPCDAAVMDYVMCLLRRNASEEVETAFLSSVVRPCHYGNGLEPSMGVSQQVAVSGF >SECCE6Rv1G0415980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:645725215:645725939:-1 gene:SECCE6Rv1G0415980 transcript:SECCE6Rv1G0415980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDHLSDLCSMTETKEALKLRKKRPLQTVNIKVKMDCEGCERRVKNAVKSIRGVTSVAVNPKMSKVTVTGHVEPRKVLERVKSTGKAAEMWPYVPYTLAAYPYVGGAYDKKAPAGFVRSAPQAMADPAAPEIHYMNMFNDEDVNACTVM >SECCE1Rv1G0011620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:79672845:79677625:-1 gene:SECCE1Rv1G0011620 transcript:SECCE1Rv1G0011620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EMBRYO SAC DEVELOPMENT ARREST 30 [Source:Projected from Arabidopsis thaliana (AT3G03810) UniProtKB/Swiss-Prot;Acc:F4J2C8] MLLKSKFKLATAVGIILSMLSLLVHLFLANYSAGGISKYGVDNVLPFGSKPRPRRLWGPLSTLDHLQPYAKPRQLYPAPAKHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRARGISSKFKSFSYLYDEEHFIASLSDDVPIVRGLPKDLREARKKIKFPTVSPKNTATSDYYTTDVLPRLVKSKVLGIIVNGGKCLQSVLPASLEELQRLRCRVAFHALKFRPEIRSLGSQIVGRLRASGRPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRNQMIKRGTVKEQLTVDSVSIKKNGSCPLMPEEVGLLLQALGYPSTTIIYLAGSETFGGQRILIPLRAMYANLVDRTSLCSRRELSDLVGPESPLSSDLPHPPPPKSEKELIEEWKKAGPRPRPLPPPPARPFYAHEKEGWYGWIGENDTEPDPSPIEFRRQAHRLLWDALDYFVSVQADAFFPGFHNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRKTVATLFENVSDHLYHPPRNWTMAARQHLNNSAGIEGIKMSAMISKSASFLAHPLPECSCRTAKSPVDQPVKDKHGALLFGGEEDCPDWMVRSLAIVSTKNNEPQSEDYEGELPEDDPSQDTQQESDRSDTNKSSEQDEEMDPDD >SECCE4Rv1G0265360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725565987:725566397:-1 gene:SECCE4Rv1G0265360 transcript:SECCE4Rv1G0265360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE3Rv1G0162870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:139959670:139963412:1 gene:SECCE3Rv1G0162870 transcript:SECCE3Rv1G0162870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDDPTATGEVRRLLEHLDSQQQLLATCHDAWSRTLAHFASLDQDVASRSASVDEALAAADASTSESLAALEAREASVPVRLAEASDALAAALAEAEAEAESAAPPPADIRGALRWICRRMDAAALWRFMAARRRELAAVRKEIAPTVAASVDPPRLVLDVLSDFLEAGEGAGEDQCWVLGMLLRSLFGSDGRKPPEIGDTLVERASVVAKNWSERFGIKRDSPSPINEEVEMTEADDVDNAAVPDKKVEQADAKEEEEEEVEEEEEVEEEEEEEEEEEEEGDLEELVAASGDEEEENPEEVDNETQEDPEEEGMEALKEKKGEEVKVEVPADEKIAEEAGKSEVKEETKATGEVVKEGGKGALGQVEAHLFLQMVAAFGLKDKFDGEFLKSLFVANRRRKELARFAFILGFEETVADVVQELVTSGNVIEAIYIAHEACLLERFPPAPLLNSYIKDSTEKAQAMLSSGRRSGSAVEESKTLECNACKAVIRCVESCQLVSVFNIDHLKKKVTRMDREKADRKRLGSGNRFQNKRARGAAGPQSFPAAKSARASGSGYGPSFQNPVSRSFGYAARAGYVNPAAAAQPYYAPGSMAARRGGVLYGGPGAAFGAQQPYHR >SECCE3Rv1G0181920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:619923861:619925785:1 gene:SECCE3Rv1G0181920 transcript:SECCE3Rv1G0181920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASPNSRALERYKSAVTTAASVLGAAMLLRRVLADVLPGTALGALLLLPPASARRHAVLIEEFDGALYNRVFMAAKAYVSTLLAAAPSVPLMKASLPRGAGADHVLLALRPGTAVVDVFDGAKVTWRLSRKHDGGGGRRRATEDAREVFKLSFDAEHKDMVLGSYLPAVMARVEAMSQEQRQTKLYSNEWGKWRTVRLRNASTFATVAMDDALRQAVVDDLDRFLTRKEYYRQTGRAWKRGYLIHGPPGTGKSSLVAAISNHLHFDVYDLEVGGVRSNTELRKLLIRMKNRSILLVEDVDCAVATAPRRETTGSSHGGSPASKNHKVTLSGLLNMVDGLWSSSGHERILIFTTNHKDRLDPALLRPGRMDMHVHMGYCGFVAFRELAANYHGIQDHPLYPEIEALLREVEVAPAEVAERLLMTDDADAAVEMAAKLLRGRKAGTGEDGGYIKQKLHVGPRRPRRPPAARRAVLDEGLVGSSRRGQGRGSGTGRRGRGEVRGRGRR >SECCE3Rv1G0167440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:201932342:201934866:1 gene:SECCE3Rv1G0167440 transcript:SECCE3Rv1G0167440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLMVKPMVTKEAIAELVARVAARAYQRNGVVTELKSFGKVHLGYGIRKLDGRHFQGQLLQMTMMVPPSFTQELHYLNKEDRLLRWLVVKHRDAVYGLEFINEDDGRYEMDSFRRHSTTTTTTQDDDVDEYDDDDDDDDGEYVVEEE >SECCE3Rv1G0206340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:907712302:907716229:1 gene:SECCE3Rv1G0206340 transcript:SECCE3Rv1G0206340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde/histidinol dehydrogenase domain containing protein [Source: Projected from Oryza sativa (Os01g0591000)] MAAANGGKGFEVPDLDIKFTKLFINGQFVDAASGKTFETRDPRTGEVIASIAEGDKADIDLAVKAAREAFDNGPWPRMPGCARARIMHRFADLVDQHVEELAALDTVDAGKLFQMGKLVDIPGGANLLRYYAGAADKIHGHTLKMARPLHGYTLKEPVGVVGHIVPWNYPTTMFFFKVSPALAAGCTMVVKPAEQTPLSALFYAHLAKEAGIPDGVLNVVPGFGPTAGAAIASHMDVDKISFTGSTEVGRLVMQAAALSNLKPVSLELGGKSPIIVFDDADVDMAVNLVNMATYMNKGEICVAGTRIYVQEGIYDAFVKKSVELAKKSVVGDPFNPNVNQGPQVDKDQYEKVLKYIDIGKSEGATLLTGGKPCSDKGYYIEPTIFTDVKDDMSIAQEEIFGPVMALMKFKTVEEVIQKANSTRYGLAAGVVTKNIDTMNTVSRSVRSGVVWVNCYFAFDPDAPFGGCKMSGFGKDMGTDALDKYLHTKTVVTPLYNTPWL >SECCE6Rv1G0378260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:7084081:7086836:1 gene:SECCE6Rv1G0378260 transcript:SECCE6Rv1G0378260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVVAAEAQRRISLAPARLAHELAQPQAQSSGPDRRVFKPYWVPLYKKISKLSHVRPPGMVAAEMDECLRQRHQLTQQQILAYVRELTRFNKSEAALELLDWMEARGANLSPGHQAVRLDLVSKVHGIQAAEEYFWSLPDISKSVKTYSCLLNCYGDHGLAMAYKGLELYEKMKAKNIVPDKLVYNHLMKLYQKAGQPEKVLSTFEEMRESGISANKFTYFTLIESYITMNDLDTAEKVLEELQKVAPVHWSLYTLMANNYIKLELFGKAEVALKKVEEVMDKSDLHPWISLLSLHAHCGNSTEVKRIWESLKSTFKKCLNRSYLVMLQALRMIDDFESLQQIFQEWQSSHERYDMRIANVMIKAYLDKGMIDEAEAIRQSTMAEGLCDEGTVCIFAEFYLDKSDANAALEILGDAKNMVTAHKWVPSKELVSRFLKHYEESKDVAGVESFLECLDSLECLDAETYDAVMQTYVLAGRT >SECCE6Rv1G0389700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:159836015:159839521:1 gene:SECCE6Rv1G0389700 transcript:SECCE6Rv1G0389700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDISKPPPAASGEESTKGARGGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRGDILKIHSRRMNLMRGIDLKKIAGKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDNEKNMSLRKLWK >SECCE2Rv1G0084990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:183527810:183528199:-1 gene:SECCE2Rv1G0084990 transcript:SECCE2Rv1G0084990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKIAVPVMAMALLLTASTVSMAPDDCACACLRACFRGNYFLMIGVLFQINLGHLLAREAVLAPTLAARRYYAVGAVACFAELALKLCMFLVLCPSA >SECCE5Rv1G0344300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644677977:644680817:1 gene:SECCE5Rv1G0344300 transcript:SECCE5Rv1G0344300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGHGIVAVVTVLLLLPPARPLEDSTCDPSTTFAPNSTYQANLNLLAAALPGNASSAPAGFATLSAGTASRAYAMALCRGDVNASSCAACVAVAFRAAGQSCPNNTGVTMYEDECVLRFANRQFLDFLKADQWQAGELSIQTRLADGSVPAVAAAWFSAAATSILAAVSAASINSTAGAGDGKKQYFATGEVDFDPRIYALAQCAPVLTPEQCSSCLWQLLVQIKVDLSTKPPWVSSRVEWCDLRYNVRPFYEGQAMLQLQAPPPPSSATPGTGAEKKKSSAVGISVGIVCSLVLISILSALAFIRFRRRINKATEHDNPFKKISRAQCVIYDLHALEEATENFSQRNKLGEGGFGAVYKGILPDGQEIAVKKLLGTAGHGLDQLHNEVLMLAELQHKNLVRLHGFYSHRDDTLLVYEYIKNGSLDNFLSDDTREEGHTLIWEQQYNIILGIAKGILYLHEDSSMRIIHRDLKPNNILLDDDMEPKIADFGLARLLGEGHTHTKTSGAVGTLGYMAPEYAIHGRVSPKIDIFSYGVLVLQIVSRRRECWSADGNTVNLLTEVWNHWKKGTISEMMDQTLDQHTRNQQLRCVHVGLMCVQADPNDRPEISTVIFMLTRESMELQLPEEPAFFFGSEPTTDFVPEEDISVNEVSFTEPYPR >SECCE5Rv1G0329480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:516857377:516858720:-1 gene:SECCE5Rv1G0329480 transcript:SECCE5Rv1G0329480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAEGKNNVLQGRYELGRVLGHGNFGRVHAARDLRTGRGVAVKVVAKDKVERAGMVEQIKREIAVMKMVSHPNIVELHEVLATRTKIYLALELVRGGELFARISRAGRLREDVARRYFRQLISAVDFCHGRGVYHRDLKPENLLLDEAGNLKVADFGLSALAGHARPDGLLHTACGTPAYVAPEVLGGNGYDGAKADIWSCGVILYVLLVGALPFQDENLMSMYRKMQRGGFLCPSWVSKDARKLIGRLLDPNPSSRITIASLVESPWFKKTSPIPSPLLEPVSSAVARGNGEDKDEPPEALNAFHLISLSAGFDLSPLFDQEPSTGRGTRGGVMRFATREPASGVISRLEGLATGGAMRVTKSGARGVRLEGAERGRKGRLGVAAEFFSVAPSVLVVDVKKDGGDTMEYRSFCSDELRPALKDIVWAAAAAAAAASPGDSPAPT >SECCE3Rv1G0207610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:922902464:922904263:1 gene:SECCE3Rv1G0207610 transcript:SECCE3Rv1G0207610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMAEFQSLGSLGPICAVANEFLRNQTAQNEYEAEEQCDRLFHPTQYDMPVNRLASAAAARFFALPPSDDVDRVSRLPDALLRDVVSRLPVKDAARTAALSHRWRGVWRSAPLVLADADLLPDTSAVSRVLEAHPGPFRCVHLTHTRAEGFHGLLTRWLRLLAAKGIQELVLVNARWPLDYFLPANLLGLTSLTRLYLGMWRFPDTAGLRRATCFPNLRDLVLCHVLVERRDLDFILDRSPVLETLCVQGNVLKLRIRLVSQSLRCVQIIGCFIEEIFVLDAPRLERFIYSEAWNPAGKCTTTIKIGHAPKLHLLGYLALDPRKHVLDVGNTIIKAGTGMSPGTMLPGVKILALEVRFAARNDVKMIPSVLRCFPNVETLHIMSGKTDQSTGKVNLKFWLESGTIECIQSRIKLLVFHGFQGDRSELAFLKFFFESALVLEEVVVLLAADPTDEMIRKVVFLKYMERASEASILSVGRSGPRGFAQSARRGSDFSLGDPFANYCRSDLYRMSSPRPLYLC >SECCE1Rv1G0003840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:15462315:15463493:-1 gene:SECCE1Rv1G0003840 transcript:SECCE1Rv1G0003840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAGVSVLADGGLCPATASTVGTSAGCGYHLLVVQDYSRTKEEAPTGVGIRSRPFTVGGHRWFIEYFPNGESLESAGFVSLHLFLVRGQDDGDKTVEAKYVFSFIDEAELQNPVYMGEDEARSFNASSSWGFDWFIRRDLLEQSANLKDDSFTIRCDVMVCDPKDDATGTKVLLPRMCQHFEHLLHTQVGADVKFEVSGEMMAAHRCVLAARSKVFMSQLFGPMKEGTTKTGVIPIQDMEATVFKALLSFIYTDSFPEMKSDGMDEDGMSQDVGQGQRETETAVSEDEMRLQWLQDLFVAADRYDVQRLRCICERELSEHICVSSVMSTLALAEQHHCHGLKEACFKFIQVQSPSCLQTLMASNGWDHVFETYPSVLKELIAKLASNHRN >SECCE2Rv1G0141990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:937953794:937954609:-1 gene:SECCE2Rv1G0141990 transcript:SECCE2Rv1G0141990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALQGWAAQVSGLLERLEAISGKVVDLPAFNQSPEEREGGNLGNGGGFSSLEPLGVPPPWCEHEEPAPSVVADDVLPAMDVALHEMVCQGEEEQAKVAEIVTSSNDLVHFEEALPDGLVGEVPPFASSSETFASGGTTNMVSSGSKEPLGDIGVPSTTLLDEFLSGFSCAAPRSLLEEPIHVQIDGASTCCERRSGRLEKKNKSCNIPTAKRAEYRLAEAYGELPKGMASKKGCEEDVQEKMNSYLRMYKKRPTPTAIEAIRALVEANG >SECCE4Rv1G0293760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887010166:887011518:1 gene:SECCE4Rv1G0293760 transcript:SECCE4Rv1G0293760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRGRRAPTEQHHPPETGRRDEGAPSRPTPPFPAFASQGRRTRRRPRQPPAASLPFAEILSRVPYRSLCRFRCVSKAWRVLCSHPAVLRRAPQTLSGFFHTHRSDGGLRFSNLSGGGAPLVDASLPFLREIYVSVKLEQCSSSLLLCKCRESEEDEECDYVVCNPMTGQWTVLPPIVWQDEEDGEPAYFRVVQPFLGFDPAVPSRFVVFSPLVEVCDDVAIYSSETGRWTRNSEWGDNSEYPSFSAEWAFLNGMMHFLHLVIDEPIIAVVDVEGDVCGEIALPEGMEEASSGYSSIGCSQGKLHAWYMHPHYYELSVWVLEDYATEKWTLKHTVDVPELFGRESAVEGCNCDEEDRAYEYELFAIHPDHNLIFLTDGKEVNLSYDMDSKEVHLMCTSGDFLGGLPYIPSFADLVVG >SECCE6Rv1G0408850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:577270454:577270705:1 gene:SECCE6Rv1G0408850 transcript:SECCE6Rv1G0408850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNSFGASWADQWDYGSDPAPQAAGAGGGAKKQGGGVEKTKAAATTGLKKVKEGTAHGFQWIKGKVQKKKQGAADDAAAGY >SECCE5Rv1G0352360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:709280969:709281628:-1 gene:SECCE5Rv1G0352360 transcript:SECCE5Rv1G0352360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSKVQEMILRRRSRSMNGAGCAQHAGCNSSTAPCDAGGGKGAAARAPSFAVPRLLHSSSLPAGGRAAVTGSPARDTEAMSAYAMSPTSVLDASAAFGSPGRAVEAGGSKRRPWCDGCAGPHGLADVLDCAHEAQRRKNILRGAVRAQAPALVRSCSLDRRVEFGVKNKSSWLPLRASRAEAEDGPSSEDYTCVISRGANPRTVHIFGDRVVVSSTCG >SECCE3Rv1G0211770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953216636:953218446:-1 gene:SECCE3Rv1G0211770 transcript:SECCE3Rv1G0211770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSHVIQGISWSDMVAHMERGGMDRGMVEFGYNLILHYVYSYLPDPPVSPAATLSLSDAPSPPDGVDRISRLPDAVLRNVVSRLPAKDAARTAALASRWRPLWRSAPLTLADSHLLPDGGAGGQLVIGAASPRAVTAAVSRVLAAHPGPFRCVHLTRSTMEEHRGEMARWLDILVAKGVQELVFVNRPLPIDLRLPATIFSCAFLIRLHLGVWSLPDTAAVPRAARFPNLRELGLYWNTMEGGDLDFMLERSPVLESLFILGFQSGLRLRLVNQSLRCIQLGFSFAEDIDLADAPSLERLFQFAELTESPKMNNGRSTRKRTSMIRIGSAPKLRVLGYLKPGEQELAGSKENIVPSVQILGIEVQFGVRNAVKKVPGFLRCFPNLETLHVHSRPISEEPTGKVNVKFWQECGPIKCILQSIKKVFFYEFRGSRSEVAFLKFVAEKARVLEQMVVVVGIECFSPGDDNVSVKLKPLTSAKWSSKACRVELFKSPVTDGSGPAYNHELACDFGFADPFDLKYYKKAERISVS >SECCE6Rv1G0415630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:642719421:642724100:1 gene:SECCE6Rv1G0415630 transcript:SECCE6Rv1G0415630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTTHHASLLLRVLTLGLLLEIQLLGHHSHALSANGSTSQQVPARCRVDQAAALLEVKRSFSFTDDGFGPSTTTLSSWRADTDCCRWEGVGCDNVTGRVTALDLPDRNLYFSDLHPSISRLTSLQYLNLAFNTFTSSQLPVSGFESLTQLTYLNLSNGNLMCGPSDEFVCEVPAHIFRLTNLVTLDLGYNGGLELHPSTIVANLTKLKELHLEEVLIFRAAPEFFKALANHFPLLEILSLCFCGLYGPIHPSLSSLHSLSVVDLSGNALSGPLPDLFTPSNFPFLRELVLHDNHFEPATFPLGVTGLKKLVILDLRGTKLVGAIPNSIGNLASLTILRLSGNSFSGGLPLTLSNMTSLIILDCGNSGVSGQIPYLTSLTRLEVLRLNGNNLMGSVSLDGHMFPYLMEVDLSNNSLSGVVPVSLFTHPSLKTLYLQNNRLSGDIEEFQNPSASLTSLDLSSNQLTGVFPIQFSNFTTLQTLQLDYNNFMGTLNLNPFLRLRNLSKISASYNPLLSASGDGNEVDASTSSISILDLSYCNLTRLPRVLEYLPDLQYLNISGNRIQGEIPNWIWRNMSSLNLSHNLFTNLFTTVGHPLHYVNIGTIDLSFNMLHGVVPFPFDSFILDYSNNKFSSIPPSSFLRIFKVAVSLNLANNELGGSIPYSECPENAFLKILDLSGNNLSGPPYFMRCCSGLSVLNMRGNHLDGTWPDEIESCGLELIDLHGNKIQGRLPRSLARCQHLMALDISGNLFVDSFPLWLGQLMWLQLLILRHNKFHGPVSIPLVENNSTVSYFSNVQIIDLAGNHFSGDIAPDFFKSFKSMFWNPNRTVLHDGYAVVDEVYAGQEYLVAVDVTMKQQYMRMTKVSNDLAVIDLSSNRFSGSIPESIGNLTALHVVNLSRNAFNGKIPRELGQLARIESLDLSWNNLTGQIPRELTMLTTLEWLNLSYNDLSGRIPSGSQFSTFTSSSFQGGNKGLYGCPLVVKCNLTFVTSPSSPLPPPMGSIFVDIMLWLFVGVGFGVGFALTIVLQVICGDRCKKMVRRR >SECCE4Rv1G0243950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:508448457:508451454:-1 gene:SECCE4Rv1G0243950 transcript:SECCE4Rv1G0243950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTNIPHLASPSSFFSSCSPCACRSRRTGKAVITAFAAGSRCSADSACPEPTEHHNVDNLSVASGSANAQCYRRRDFAAVALLPFLLPHVDVASAADSYDGSIIQNGVRNVLSKVKAAGVLRLVFHDAGTFDISDKSGGMNGSIIYEADRPENAGLSKSLKILRKAKEGIDQVQQVSWADLIAVAGAEAVALCGGPEIPIRLGRLDSSTADPTGKLPEETLDVVALKTSFRKKGFSTQEMVVLSGAHTIGGKGFGNPNAFDNAYFKVLLEKPRPTSSGMPIGLPTDWALTEDDECLRWINIYAEDQDKFFADFSDAYTKLVNSGASWRTA >SECCE4Rv1G0220390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:38850634:38851233:-1 gene:SECCE4Rv1G0220390 transcript:SECCE4Rv1G0220390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSSLRYGDSLSVVAISGATAVLCEAISWLLIYRTATYNSLRASIERHSRKLDSMKSVSSGAGGAAPSSQPASSRAKKMDRVESSLKDASRELSLAKLKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFAPVPLVQRMSHRGLPGNDPTDCAMVFLYFLCSMSIRTNLQKLLGFTPPRAAAGAGGGLFAMPDPKVN >SECCE1Rv1G0044520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:603280023:603281138:1 gene:SECCE1Rv1G0044520 transcript:SECCE1Rv1G0044520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQRTVSLVVLVLVLLLRCPALSSAWTPVSRTITVDSKGRGDLRTIQSAVNLVPDGNREWVRIHVRAGGYREKVTIPKEKGYILLEGDSHENTVIYFDDYAHGNASDPTRRHDAMQTYETATFTVYADDFVARDIAFTNTHKSANKSRVTQALAALVDGDRIAFHRCAFNGFEDTLCDNTGRHYFRECSIKGGVDFIFGYARSIYDGCTLVSNIPLRYSRRHAGWVTAHAGRAGDPGGFVFKGGELRGTGRQYLGRAWNKYATVVYYHVNMSSIVVPRGWAPWYAGNETNDVLFAEVGCTGPGSNMAGRVPWEKHLTEAEVEKFVNMSFIDDGWLSKQP >SECCE6Rv1G0378970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:11642191:11642490:1 gene:SECCE6Rv1G0378970 transcript:SECCE6Rv1G0378970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRADKENLPPATAAAARPHAVAVRSCKLKRLGRARRRVPLRDITNLFVAEWQQALLQRPHEGSAAAAAAAELAVKNGPAGGAVLKPGRYLLRKEFR >SECCE7Rv1G0464100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:58861126:58864312:-1 gene:SECCE7Rv1G0464100 transcript:SECCE7Rv1G0464100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSEEESLSSFQQQPKLEVGAAGPSRGDAAAMPVVKKRRGHPGNPDPDVEVVALSPKTLVATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTDAKKKVYICPEVTCPHHDATRALGDLTGIKKHFSRKHGEKKWKCERCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDVLAEDNSRVNHSLATMVGSLHGQHDIFSHGVPSPTDMVTNMSSNDHNSDMHLRSLSPYALITRNTALFSNQIAPKDPGFPLDGSASGYPYMSMNSPYMSATALLQKAAEMGAKTSQDPISPLLLKGFANNFTAAREHMGISSGSQGDSMGNSAANSVCMKAAEDESMTGHNNILMNSSWTSGMMTPTTVPSIGLMTHPFSMRQEKESPQIMPDIQTQHNRQENISGLGDAGLTQDFLGLGGNGNLDISSGTYNTDVTALSYSDEQQKNQEHMYSYHESSLDSTALDKPMWDS >SECCE1Rv1G0036750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:512149023:512150320:1 gene:SECCE1Rv1G0036750 transcript:SECCE1Rv1G0036750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSLVVAIAAALLLLSACSCRAAGDSPSAVVLPVRKDGATGQYLTGFRQRTPQVPVTAVLDLGGGSLWVDCDAGYVSSSYARVPCACVGKPSPGCLNDTCGGFPENTVTRVSTGGNLITDVLSVPTTFSSAPGPLATAPAFLFTCGATFLTEGLAAGATGMASLSRARFALPTQLAATFRFSRKFALCLTSTSAAGVVVFGDAPYAFQPGVDLTRSLTYTPLLVNNVSTAGVSGAKDKSNEYFIGVTGIKVNGRAVALNASLLAIDKQGGGGTKLSTVAPYTVLETSIHKAVTDAFAAETAMIPRVRAVAPFKLCYDGSKVGSTRVGPAVPTVELVLQSEAASWVVFGANSMVAAKGGALCLGVVDGGAAPRTAVVVGGHMMEDNLLEFDLQRSRLGFSSSLLFRQTTCNNFRLG >SECCE3Rv1G0170930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:259492937:259503104:-1 gene:SECCE3Rv1G0170930 transcript:SECCE3Rv1G0170930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGHAMRRSGRGLFEDAPRKLSFNLLVVILALEFISETNLIAKTLALKADTPAMPPSQGWSPVRTMLSKTKVGTSVAMHQHRQKKLYSSPSALSSVHPPITAPSYSSISGASDLSLYSSDLFDPSLQHNRRLAEDVPAHENAAPPDAALNTSAAPSGLVQPPISPHDGCCAPNMVQRRGSQDCHCVYPVRVELFLHNVSLNSNWSNEFLEELASQLSLRVTQFEIVNFYVVGTSGLNMTMDIAPHTGNSFSSDQVTAMNYSLSSHTVRINPVLVGDYNLINLTWFRPLGPAPAPAFMISPKASPSTSSALPKTSDNTSSSRHLSLVTVICICIGALIGVLVIVLFICFCTFRKGKKKAPPVETSKQRTPDAVSAVESLPRPTSTRFLAYEELKEATNNFEASCVLGEGGFGRVFKGILSDGTAVAIKKLTTGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRELSQSLLCYELVPNGSLEAWLHGSLGADCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENDFHAKVADFGLAKQAPEGRLNYLSTRVMGTFGYVAPEYAMTGHLIVKSDVYSYGVVLLELLTGRRPVDMSQSSGQENLVTWTRPVLRDKDRLQELADPKLGGQYPKDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSTEFQESVPTPPARPNARHTATTYESDGTSSMFSSGPFSGLSPFETENMSRTAVFSEDLHEGR >SECCE5Rv1G0301780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:33997607:33998911:1 gene:SECCE5Rv1G0301780 transcript:SECCE5Rv1G0301780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALLSLCLLLACSARQSAAYGHGGTQGAYVDVEGTVRKEVEKAIKCNPGIGAALVRLVFHDCWVNGCDGSVLLDKTPSGTNTEKKAINNIGLDGFSLIDTIKYKLGDSVSCADIVVFAARDAARYLSGGKIAYSVPSGRKDGIVSSAAAADAILPQSTFEFQQLVDNFAKKGFNQEELVILSGAHSIGVSHLSSFQDRLNDSTATPIDDSYKQALVADIEAQKKSQNSLDPIEKNNIRDMSSKFQTDAGYDPTGVNTAATGALDNSYYHANLQNRVLFKSDWVMRTDSKAGDDLAEYMNNATKWNNDFAAAMVKLSKLPAEGSTRYEIRKNCRVINNKSY >SECCE4Rv1G0256190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:654763123:654763464:1 gene:SECCE4Rv1G0256190 transcript:SECCE4Rv1G0256190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIEDFRACHDIASQARKMVELERHIMFPTVYRLIELELLLPVATTTIERAFSAMKIIKTELRSKMSGCWLNELMVCYIECGIFKSLDLGEIKESFQNKGRALPLPGSSRHH >SECCE3Rv1G0188350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:712279332:712281587:1 gene:SECCE3Rv1G0188350 transcript:SECCE3Rv1G0188350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPKSDDTSKKLKKPKPWKHTQSITPAQLKQMREEFWDTTPHYGGQKEIWDALRAAAEADLSLAQTIVDSAGIIVSNSDMTLCYDERGAKYELPKYVLSEPTNLSREE >SECCE2Rv1G0106680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:638274155:638274997:-1 gene:SECCE2Rv1G0106680 transcript:SECCE2Rv1G0106680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEMVIRIQISAEKGHSKAIKVAAAVTGVESVTIAGEDKNLLLVIGSGVDSNRLTEKLRRKVGHAEVLELRTVDDDDFAGEYHPYRYHPSPSPYKHVTARDMYYTGSYPPQQHAGGGRDHYRNAGSYPPTTTSGRDYNSYGGGGGGGYPVQYQQQGYYYPQQPAANMHTVVHQGYSDDPNSCSIM >SECCE1Rv1G0057410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693470105:693471328:1 gene:SECCE1Rv1G0057410 transcript:SECCE1Rv1G0057410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKKPCLQPPALSWSSIPLELAGLVLRLLPAYADRARFAAVCRQWRAAAREQQMLPPPLPLLALPDGTFYSLPYPKPFRFPGCGFAGYQSACGSWLVFPRDEGCFLVDPFSRETVMLPALSSIRLRPPNAIAKWSYEHGAKLADPYVTWMHMKDSDKLHISKLVLCSPNLVAALVGIGYTSQILMCRPGALSWSVCANDQCKRFEDMSFYQGKLYALTKDENLLVVNISQDHTTGDPQVSQIGQVIKGDPWYSILFTNNAMLCKKLYLVESCGAMLMVRRTIVCKVPEPGLYDEAVARRSVFEVFEADFEHSRWVSVSIVGANQVLFLGRRCSRALSLSPYGVLGDQLSFLDDDEENRMEYGYDKENSSFGTYDIRSGGFSSVHPEISWKRGDEMRLAAWLFPHD >SECCE1Rv1G0011740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:81840949:81842626:-1 gene:SECCE1Rv1G0011740 transcript:SECCE1Rv1G0011740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSLVCAASLPLTLLAAYALQPLADARRRLPPGPRPLPVIGNLLDVGKRPHRSLASLADLHGPLMFIRLGTVPAVIATSPAAAREILQKKNASLAARRGLDAWRVMDHDANSVVALPPRGKWRAFRQHSRAALLGPRKLEEHVTVREEEVRELVRRVSLSAAGPSPVLVARAAFAATVHVLCRGMFSEKLDTAVASELTDVAYKTAVISGLPNVSDFFPGLAPLDLQGIRRKAGKLLAWMYALIDEQIERRRLSREDGEARRNDLLDVFLDMDGELQDEDGWVMNQESIRGLFMELLLGGISVSATIEWAMAELLQNPDSMLKLQEELRSVVGTKQPCTRTRMKEADIPRLPYLQAVVKETLRLHPPAPFATGLAEEAVEIQGYNVPKGTAALVNIWGIGRDAKVWDEPTRFLPERFLHSEIEFFGADFELAVFSAGKRICPGLQLSSKIVPLILGSLLYHFDWTLPLAGEEDGAPVPVDMTEQFGLILSMAIPLRALPHKVL >SECCEUnv1G0537680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:69580217:69582028:1 gene:SECCEUnv1G0537680 transcript:SECCEUnv1G0537680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDQLCLAALGLLLGLVLIVLMFKGKPESKMMWGGASATQLPPAGTAFSWPLVGNLPEMMLNKPAFRWIHKMMEDMGTDIACVRLGGVHVIPITCPKIAREVLKKQDANFASRPLTFASSAFSGGYKNAVLSPFGDQWRKMRRVLTSEIICPSRHRWLHDQRAQEADSLTSYVYTLATAPSSSAVDVRHVARHYCGNVIRRLVFGRRYLGEPRPDGGPGTMEVEHMDAVFTSLGLLYAFCISDYLPWLRGIGLDLDGHEKIVMEANATVNRLHDTVIDERWRQWKSGPREELHDFLDVLITLKDAQGNPLLTIEEVKAQSQDIIFAAVDNPSNAVEWALAEMTNMPEVMRKAVEEIDQVVGRERLVHESDIPRLPYVKACIREAFRLHPVAPFNVPHVTLADTIVAGYRVPMGSHVILSRMGLGRNPAVWDEPLRFNPERHINTTADNDVTLTENELRFISFSTGRRGCVAASLGTAMCVMLFGRLLQGFTWTKPAGVASIDLSESKHDLFLAKPLVLHAEPRLLGHLYPAAPF >SECCE4Rv1G0222670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:61640115:61640285:-1 gene:SECCE4Rv1G0222670 transcript:SECCE4Rv1G0222670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGAMSRKPSKEAAYKELRLHLGIMGTCIALIRAAPYILHYFTLEPGMTELKLEL >SECCE5Rv1G0360170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:767810753:767818395:-1 gene:SECCE5Rv1G0360170 transcript:SECCE5Rv1G0360170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSAGLPPSGSRRSAASWGSRRSGSISHSLSQQAGAGDPFGRAASRQGHEDDEENLRWAALEKLPTYDRMRRAVVLSNHAGVDGADGAGHELQGLVDINQLASGEPGRALLERVFQDDSERFLRRLRDRVDRVGIDLPAIEVRYQGLSVEVDAFVGSRALPTLWNSATNFLQGLVGRLASSNKRTINILQNVNGIIKPSRMTLLLGPPSSGKSTLMRALTGKLDKALKVSGSITYCGHTFEEFYPERTSAYVSQYDLHHAEMTVRETLDFSRRCLGVGARYDMLAELAAREREAGIKPDPEVDAYMKATAVQGQETNIVTDLTLKVLGLDICADMPIGDEMIRGVSGGQRKRVTTGEMLTGPARALFMDEISTGLDSSSTFQIVKYIRQLVHVMNETVVISLLQPPPETYNLFDDIILLSEGYVVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSKKDQQQYWCLDQEQYRHVSVPEFAERFRSFHVGQQMLKELQIPFDKSKTHPAALTTNKYGQSSWESFKTVLSREKLLMKRNSFIYIFKVSQLVILGLIAMTVFLRTKMPHGQFSDSNKFFGALTFSLMTVLFNGFAELQFTIKMLPTFYKQRDFLFFPPWTIGLVNIITKVPISLVESIVWVVLTYYVMGFAPAAGRFFRMLLVFFTTHQMAMGLFRFLGAVLKSMVVANTLGMFVILLVFIFGGFVIPRGDIRPWWIWAYWSSPMMYSQNAISVNEFLSSRWANPNNDTSIDARTVGEAILKSKGYFTRDSGFWISIGAIVGFTILFNILYLLALTYLSFGSSSNTVSDEENENENETNTSIPIDEATNRPTRSQITLPFQPLSLSFNHVNYYVDMPAEMREQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGSVEGSITLSGYPKKQETFARVSGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDENTRKMFVEEVMTLVELDVLRNAMVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVNTGRTVVCTIHQPSIDIFESFDELLLMKRGGRVIYAGELGQHSHKLVEYFEAIPGVEKITEGYNPATWMLEVSSPLAEARLNVNFAEIYANSDLYRKNQELIKELSVPPPGYEDLSFPTKYSQNFYNQCVANFWKQYRSYWKNPPHNAMRFLMTMVYGLVFGTVFWQKGTKIDSPQDLSNLLGATYAAVFFLGSANCITVQPVVSIERTVFYREKAAGMYSPLSYALAQTCVEMIYNIVQGIQYTVIIYAMIGYEWKAAKFFYFLFFIISSFNYFTLFGMMLVALTSSSMLANIPIAFVLPLWNLFAGFLVARPLIPIWWRWYYWANPVSWTIYGVIGSQFGDNTTPLSVPGGSPTVVNQFLEDSLGIKHDFLGYVVLAHFAYVIGFFLVFGYSIKVLNFQKR >SECCE4Rv1G0267040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:734315052:734316211:-1 gene:SECCE4Rv1G0267040 transcript:SECCE4Rv1G0267040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAEKLHALVACALLLLLAVGCQASPLQIGFYHDRCPQAEAVVKGVMMEAISQNPGNGAAMIRMLFHDCFVEGCDASVLLDPTPFSPTPEKLSPPNNPSLRGFELIDAIKDALEAACPGVVSCADIIAFSARDASCILSGGKVDFEVPSGRRDGTFSNASEPLKFLVPPTSNLSDLVDSFVVKGLDVEDLVILSGAHTIGRSHCSAFVPDRLNTPSDINGGLAAFLQGQCPADASPGGNDPTVMQDVVTPNDLDKQYYNNVLSHTVLFTSDAALLTSEETSRMVVDNANIPGWWEDRFEKAMVKMANIEVKTGDQGQIRKNCRAINYN >SECCE5Rv1G0343330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:637713415:637716663:1 gene:SECCE5Rv1G0343330 transcript:SECCE5Rv1G0343330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] MADAGDDLDLLLSLDEDGDQAVLETPPSSPSRPAAAASGYGAFTPPRAVARPGGTDMSVFRDAVKDYIEAVPASTSGSGPSRPKLPKSNQTLVDTYSGLRIKHMAVSPLEIANRFADIRFVRISSFKSLAGGDFFSGCWATAGVVLDKGTKRVSAQGKDYSIWKMGALDDSEVSVFLFGDAHTHYSGGAVGDVFALFNGNVRMDKGGQGFSVSVGSVGQMMKMGISADFSICKGTRKDGMACTMATNKRKGPYCKYHSSNTSSQKYSTGRVELKGGNFQFSSKLRSNGIYMVKPPSERSDPRNPSRPLKVMSVDGLKRALSHADKVTTKNNSQGIRFLSHVTGGTEPNLVSNGTSTVVQKPMSTWSTLSEKLSSGRRPASSAAKAGAPKPGSQKQEQEAKRRKANNPSGNMIELDLGSSDDDEINIVLRR >SECCE2Rv1G0137740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:919055753:919057721:-1 gene:SECCE2Rv1G0137740 transcript:SECCE2Rv1G0137740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCCLPRAKKQQGNNLYAHRQQEILHPHSSLSGISAEKNIRLFSYAQLKAATDNFNHNNKVGRGGFGTVYKGTIQNKQDVAVKVLSAESKQGIREFLTEIDVISNVKHPNLVELIGCCVEGDYRILVYEYLENSSLDRALLGSNSDPANFTWSVRSSICLGVARGLAYLHEEIPSPIVHRDIKASNILIDKNYVPKIGDFGLAKLFPDNITHISTRVAGTTGYLAPEYAWHGQLTKKADIYSFGVLVIEIISGKSGSRSLLADDKFLLEKTWELYEAGNLKELVDPDLGDYPDEEAIRYIKVALFCTQAAAARRPSMLQVVKMLSKPIRINESELTAPGYINEYKSSDSKATGTASSGSRFKISTTADDSGMFSTVVPQTVTDVSPR >SECCE2Rv1G0139630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:928581334:928581774:1 gene:SECCE2Rv1G0139630 transcript:SECCE2Rv1G0139630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKVPSLLCLLLLMPLLLVPGSEADTCSKFSKTYTGRASLCKYAPCAKACEKVGFTEGVCAMIRARPLFMRCLCKKECKN >SECCEUnv1G0529630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8774369:8779517:1 gene:SECCEUnv1G0529630 transcript:SECCEUnv1G0529630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLMFALLTVLARLACVFSATILLQSLAWPCSNGERDPQSPKVPSILSERYRTAYHFQPPKNWMNDPCGPMYFNGVYHEFYQYNPAGAFDPNDSLMNMVWGHSVSTDLINWIGLEPAIEPDTPSDICGCWTGSTTILFGEQPVIIYTGLIDRKVNQVQNIALPKNRSDPYLREWAKVGNNPVIKHVIPGLNSSHFRDPTTGWIGPDGLWRIAVGAEVNGIGTALLYKSADFMNWTRIERPLYSNNALNMWECLDFFAVLPGSNNGLDMSSEIPSGVKHVLKVSINSCDMYIVGVYDLKRDEFVPDTVQDDNRLWSRIDYGTFYASKSFYDAKQGRRIIWAWSNETDSTSDDIAKGWAGIHSMPRTIWLDGDGKQLIQWPVEEIESLRINGINHQGLELKKGDLFEIKGIDALQADIEMDFEPTSIDDAEPFDPSWLFDPRKHCREADASVHGGIGPFGLVILASDNMEEHTAVHFRVYKSQEKYIVLMCSDLRRSSVRPGLYAPAYGGFFEFDLENEKKISLRTLIDRSVVESFGSGGRLCITARVYPVALVDDGATHMYAFNNGSTTIGVPQLRAWSMKRAQVNVMKGGSAIDA >SECCE3Rv1G0155830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:69441629:69445456:1 gene:SECCE3Rv1G0155830 transcript:SECCE3Rv1G0155830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGGT-I [Source:Projected from Arabidopsis thaliana (AT2G39550) UniProtKB/TrEMBL;Acc:A0A178VS16] MGDREEEEEPAGFARARHAAFLELMSCELPDGYATQEVNHLTLAYFAVAGLSLLRELDRVDKDQIAKWILSFQVHPKENDDLNNGQFYGFCGSRTTKFPSNLVKDPCHNGSHLASTYSALATLKIVGYDVLNLDSKVLLLSMKKLQQPDGSFMPTHIGAETDLRFVYCAAAICSMLKDWSGMHKEKAKEYIVNCQSYDGGFGMVPGSESHGGGTFCAVAALYLMGFIQVDLASNLRESAPIDIQLLLEWCLQRQAADGGFQGRRNKPSDTCYAFWIGGVLKMIGAYHLIDHGALREFLLTCQTRYGGFSKFPDDELPDIYHSYYGLAALSLLGNEEEVEPLCAELGIIAAAL >SECCE4Rv1G0230810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:173805086:173807545:-1 gene:SECCE4Rv1G0230810 transcript:SECCE4Rv1G0230810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFLPTLLLSLLVLLLPAVGAGGAAPPGGDVLALKSSLAVEDHETSFLRSPDGTFSCGFHSIYSGAFTFSVWYSDSPGETVVWSANRGRPVHSRRSAVTLRKDGNMVLTDHDGTAVWQTEGDLPNVQYAQLLDTGNLVLKSISGAIVWQSFDSPTDTFLPTQRIPATAKIVSTSKLHVPGHYTFRFSDQSILSLFYDDTNVSDIYWPDPDYQYYENSRNLYNSTRMGSLDDFGEFFASDFAWHRPLVASDRGYGIKRRLTLDSDGNLRIYSLSNGSDSNRRWTVSWVAVSQPCMIHGMCGEYGICHYSPAPTCSCPPGYAMRNPGNWTQGCKLTVDTIGCGDSERNVTFLQLPNTDFWGSDQQRINKVSLEHCSNVCLSDCTCKGFQYQHGNGTCYPKNLLFNGRTFPSPVVRTMYIKLPTSVNISNTPIPQSNVLNTEMHRLECDHVSKTTIEPVPDMVREDGSDDPKWLYLYGFIAAFFVIEVSFFAFAWFFVLRREFRSSQLWAAEEGYKVMTSHFRMYSYRELAKATEKFKHELGWGGSGIAYKGTLDDEREVVIKRLENVTRNRAEFQDELHVIARINHMNLTRIWGVCSERSHRMLVLEYFENGSLANILFSNKTLLQWDQRFNIALGVAKGLAYLHHECLEWVIHCNLKPENILLDQDLEPKITDFGFAKLLSRTGPNQNVSRARRTLGYMAPEWVTGLPITAKVDVYSYGIVLLELVSGTRILDFVVDLEEDVHVVLKKFIKMLSYRLDGDELLWLTEFVDVRLDGDFNYLQAKELIRIAVSCLEEDRKNRPTMESIVESLLSVEEASV >SECCE6Rv1G0439590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:797351316:797352287:1 gene:SECCE6Rv1G0439590 transcript:SECCE6Rv1G0439590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNRRGGSGRKKTVIRRIEQEDARHISYSKRRLGLFSKATNLAVLTGAQLAALAFSPGGKVFSFGHPSVDSVVDRFLAGEGARAGAREEGAAGEDEKLEKLQQEFDELRTELDEAKKLKKLHEEVMAKERAAGDQIAAWVDPKVRDMVDEDMAAFFAALMQVKDAVSERANQVLCEAMHLDASRMAPLLPPQLFGGSTFEFGSSSGSSNSGMEIQLPQWQGFEAGIDVLQMMELPPAQEVASGIETQQQMMVLPPAQVVAATMDIQEMPPPPGFAPGMDIPQPPGLDDGMWMDIQQLLMEMPPSPEDPAGMETNAGFQFPY >SECCE3Rv1G0182190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:623429440:623431877:-1 gene:SECCE3Rv1G0182190 transcript:SECCE3Rv1G0182190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEEEQEGRATWVPGALIVGAGPSGLAAAACLAARGVPATVLERSDSLAFTWRHRMYDRLALHLPKRFCELPLLPFPPEYPTYPCKDQFVAYMEAYAAAAGVVPRFGASVQEAAFDASAGAWAVRLAGGEVLMTRWLVVATGENAEPHVPDFPGMRQFAGRVLHTCEYKCGEEFAGEKVLVVGCGNSGMEVSLDLCRHGAKPSMVVRNTVHVLPKEMLGVSTFGIAMALLKWLPVQLVDRFILAAARLTLGNTSQLGLRRPKTGPIELKNLTGRTPVLDVGTLDHIKSGKIKVVGAVKEVTRLGARLADGKEEQQFDAIILATGYRSNVPSWLKDGGDVFTREGTPKTPSPDGWKGRNGLYTVGFSQRGLLGASSDALCVARDIHCQWSERERERDKPANDAHQADSSV >SECCE5Rv1G0366740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815623649:815625922:1 gene:SECCE5Rv1G0366740 transcript:SECCE5Rv1G0366740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALRAKEIAERKFQSRDLQGAKKFALKAKALFPDLEGIVQMITTLDVYLTAEVKIAGGNDWYSILSVDTSADDETLKKQYRKLVLQLHPDKNKSVGAEGAFQMVQEAWTVLSDKTKRALFDQKRKLTVMQQKTSQSNKTSAAPRAANGFEHFTAKAPASKASANKEKTGSATSAVRQRPPPPQRPPPPPPPQRPLPRHQAAAPAPPPAAQPTFWTSCNKCKMNFEYLREYLDRNLLCPSCRDPFIAKEVPMPPPEIVQAVRDSNIRGATEDASTGRKFQWGLFSRTAGPASATASSAAGAQAANMVHQTYEKVKREREEAQAAARREEALQRKHNPLKRKANVSENVNHGMGDVASGKKMKTAGKDAGAGSSSILSGPWANYVGTQGGTIPFSTNSGAFEFQGANGVIPNWRPRPSTRFSVTRTFSKKDIRSILIDKLKSDLREKLKEIISKPLQVTVNGKASEKHVEGNETLASDDSTANKDVCADPEENGSANSTDSENEDDNTFSYTVPDPDFHDFDKDRTEESFQSDQIWASYDDEDGMPRYYAYIQKVISLNPFKVKISYLASRTNSEFGPLNWVSSGFIKTCGDFRIGKYETVDIINMFSHQIKWEKGPRGVVKIYPRKGDIWALYRNWSPDWSGDTPDNVLHVYDLVEVLDDYDEDNGISIIPLIKVTGFQTVFQHHQDRDVIKRIPKGEMFRFSHQVPFYRMSGEEAPNVPKDSYEVDPAAISKELLQGITEIVKEAEGTSKC >SECCE7Rv1G0461020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:35101020:35102321:1 gene:SECCE7Rv1G0461020 transcript:SECCE7Rv1G0461020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPRRRESPSAIPDELFEEFLLRLPPDDPACLLRASLVCKAWSHTVSSPGFRRRLHELHRTPPMLGFLNNWMDERIPRFIHTTASSFSLAAPDWRTWRAIDCRHRRALFFPEEFGGPEMLLWDPITGAQQRIPVPAAFKSDDFPTAAVFCAADGCDHCDCFGGPFRVVFFFTLEETHATSACIYSSETGAWGELTSVHSNHEFLEFRESYSVLVDRSALYFIFDGNADTASIVEFDLASHELNVFGLPDAYYNLAYSLVLVEDGGIGVIQCFNTRLKLWRREASAEAGWVLYRVMCLENFLPMDALLHAQDRVQVVGFAEEANVIFVDTVAGLFTIELQSGHVSKVCSDPGVPIFGSQLLPVVSFYTPVPQGKHQNLLALKPSEEGGEKETTVDQAQQLLNMGSNATKQVFINTIEYTSHDLEIRLGINS >SECCE1Rv1G0042490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:580940880:580942565:-1 gene:SECCE1Rv1G0042490 transcript:SECCE1Rv1G0042490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGNGNAKADIQQVESKTSENDPVATDVNDLSTQNVPKQGNQRTGFVNFVNRFSSGAHFKKLGPSPSVKFRQLALQRDDFSRSIHSDNHDNHEHFRLIRKINWGHLWAMGKDWIRQPLNMALFVWIAVVSVSGAILFMVMTGMLNHALPSKSERDAWFEVNNQILNALFTLMCLYQHPMRIYNFVLLCRWDQKDILRLRKEYCKNGTYKPNEWMHMMVVVAFLNLNCFAQYALCGLNIGYRRSERPPLGVAVTISFAFGAALFASVYNIVSPLGKDYDAELGGGDPEAQAGVTSTEGASAATSGRSLERRYSFLQSEERRFVESRPEWVGGLSDFWDSITIAYLSIFCSCCVFGWNVQRLGFGNMYVHIATFLLFCLAPFFIFNLAAVNINNETLREALGLTGIALCFFGLLYGGFWRIQMRKRFNLPGNPFCCRNPDVTDCFQWLFCCSCSLAQEVRTADYYDIAEERSYRGQVNGESQRVMSPLAREDGHPLFKSTPASPYRGSTGTAGQSIFIMESPSAPRRSSGATPPGASPTNGDIAMKAPAPSVVHREGEDES >SECCEUnv1G0567160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:412244187:412244420:-1 gene:SECCEUnv1G0567160 transcript:SECCEUnv1G0567160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIQAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE4Rv1G0257280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:665861636:665867915:1 gene:SECCE4Rv1G0257280 transcript:SECCE4Rv1G0257280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSAAAAPVPEGVLHRKIEFHLARRPHAAAAVGGGGFQMETLNPDAAGGAATAAAGAARGEAEARRTEKADALGLDPELTVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGRHKSSCRTAGFCALCALQNHVKTALQSTGKIVTPSQIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESASAYEKSLVHRIFGGRLRSQVKCTRCSHCSNTFDPFLDLSLDIGKATTLVRALQNFTEDELLDGGQKQYQCERCRQKVVAKKRFTIDRAPNVLTVHLKRFSPFRPREKIDKKVDFQPVLDLKPFMSDSKGADYKYSLYGVLVHAGWSTQSGHYYCFVRTSSGMWHNLDDNQVRQVREADVLKQKAYMLFYVRDRIGNTLGRKDNSTPNLPVNKMIPGKISSINGVIGSGVMEAKLSGLTSPYANKKLQSTSNAHSSVSSMTSVDHCSTNAGKAETAAALQNSVLPAAQKALGPQNDGATLSTKSKQVASSNTSSGQPASSNQTMAKMSLQEPKAGGSFAKLGNNTSIASSMVSGPAGLSETDKQTSCSQTCSKPSPNLVGTVTGFTAQTFQTKDAVVSNGVIPSTSGGGPVSSEKMKDLTGSLKQDDHIVKALTTSKKEKTILPELEQVDVGKQISSQVSMKVVPGDSCNGRMTKRVDSMSKKLVRYPVMKLWLRPRQLSLKQQKKKHKKTKKRVKDTAGVDCSGDNSSEQQTSTSATVPPETAESTSRKRKYSHASVSSENDTQAFKDRQQAVGASSAGAGDNNRKSGTSASAEPPKLGSVSSTDQAHSRNNVDAKRGAASQQVVIRTEDLRAEVTVPCWDDVAVPNTETREYKSSGKKSIGYVLDEWDEEYDRGKAKKVRKAKEDDDDDGMNPFQAEANHVSARKTKQNSYKSKPWDKPARPSR >SECCE4Rv1G0290130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:870731112:870732273:1 gene:SECCE4Rv1G0290130 transcript:SECCE4Rv1G0290130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGDAAAAGTAVDVSTLLATFTNDIACCAVSGKFFREEGRNELFREVIDGNVAAFAGFNPQDYFPSLAKVDALSRVLFPKMTRLRKRWDGLLDRIIDEHASKAASPQQEEDGEETDFVDVLLARQHEYSLTRQHIKAILVDMFVAGTDTSYVVLEFAMAELMRKPHLMAKLQAEVRGKTPKGQTMVMEDDLGGMPYLKAVLKETLRLHPPLPLLLPHFSVDKCVINGYTIPAETRVIINVWAIGRDPGTWEDAEEFIPERFEDAAFPDYKGRDFGILPFGAGRRICPGINFGMASVEIMLANLAYCFDWELPGGVEHKDLDMTEVFGMTIHRKEKLLLVPTTQDVSHE >SECCE1Rv1G0032890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:460628568:460630187:-1 gene:SECCE1Rv1G0032890 transcript:SECCE1Rv1G0032890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAIAAPPRHARPSPLNPNATAMASATKPNAVCTKTHLANLDRLLLRPPPLPLPVRPKKALADESGGGDREETTPDSDERKGRRGGLLNALNLSTFLPFTGRPATDEMSPRSLAQMQRLLTLSPRPSPRGSIAAEWRRYHGEGAWKGLVDPLDQNLRREVLRYGDFVQAAYTAFHSMPSSPSHGHGQHRTLVLPDRSYRPTRSLFATSSLSIPPWAQRRSMHKWLTQSTSFAGYVAVCDNEREVRRMGRRDIVIVLRGTATCPEWAENLRTGLVPVSDDDDSDDATAAQNVPKVAKGFLSLYKTAGDHVPSLSDAIVEEVRRLIEVYKGEELSITVVGHSLGASLALLAADELSACLAADAASNSTAADDHQPPPISVVSFGGPKTGNRAFADRLQHERGVNVLRVVNAGDVVTRVPGLVTPTTMAEGYVHAGGAELTLDSRDSPCLRPDAGPACCHDLEAYLHLLDGFMGSGRPFRADASRSVAGLLVYQRTSVKRAYVERARALGFEPAAMPRTAAASGFGGADGQYGFLASPS >SECCEUnv1G0570800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:483416944:483417240:-1 gene:SECCEUnv1G0570800 transcript:SECCEUnv1G0570800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKRIRNFGKRIGSEDWARGSRPRTRWLSAECSSCSRGESGSPHAGRGTDRESPLRGLSPSMKQSTQNWYGQGESDCLIKTKHCDGPRGC >SECCE2Rv1G0118700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:785184781:785187993:-1 gene:SECCE2Rv1G0118700 transcript:SECCE2Rv1G0118700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGETTAAAVHGHRLSTVVPSSVTEVEGYELGDADLAFRLHYLRGVYYYAAGEVVRGVTTKVLKDPMFPWLDAYYPVAGRVRRPADDAGAGAGEQEASRRPYVKCNDCGVRIVEAQCERALDEWLRDDAVDRVRQLCYDKVLGPELFFSPLLYVQVTKFKCGAMALGFSWAHLIGDVGTATTCFNHWAKILGGKTPGAVTVNPKNEPQDRAPADAAVPRSVKPVGPIEDYWFVPAGVDMAGYSFHVTEPMLTRLQQQEPAAAGGAFELISALLWQTVAKIRAAKEVKTVTVVRNDMSARSGNSLANEQRVGYVEADSPPAKSDVSELAALLAKNVVDETAAVVAFPGDVVIYGANLTFVDMEQVDLYGLEIKGQRPAHVEYGMDGVGEEGAVLVQPDADGRGRVVTAVLPKDEAEALRAALGSTLLQPAP >SECCE2Rv1G0102780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:583090087:583091382:-1 gene:SECCE2Rv1G0102780 transcript:SECCE2Rv1G0102780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPAQVEVPSYFLCPISMEIMRDPVTLSSGITYDRESIERWVFTDGHGECPMTKQQLGAADREPTPNHTLRRLIQGWCAVHAVERFPTPRAPIDASRVETIVNAARRGHDLLVASLRELADIVAESDCNRRCVESTPGAVSFLVSVVKNHAADDTPKPLESQEDQMFGAVVDSPKASSPEDVALSILYSLRLSKGSWKRVLERGDNFLDTMASVLRRPSYLSRTHGIQLLKAAVSEMPPAQLTSASAELVEGVVSVVTDKLSGKAVKIALQVLCRLCLWGRNRVKAVEAGAVGALVELLLHECCGGSKRASELAIVVMDHLCGCAEGRLELVAHPAGLAVMARAVTRVSAAGTESAVRALHAVARHSATPAVLQEMLTVGVVGRLLFLVQTGAAGDRPRERAREMLKMHARVWRGSPCFTPQLNASYPS >SECCE3Rv1G0182040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:621764490:621766155:1 gene:SECCE3Rv1G0182040 transcript:SECCE3Rv1G0182040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLASNKRAPRKPKPPPRRNKPTVPAAPTSSPSLTGPPRPPGVPPPPGPPPRLTGGPVPPPPSMKVPVKGHPPVAAGGGGDRMRRAPEIVEFYQSLTRRGEARQSGSRGPKASGGSTAPKSDLIGEITKNSPHLLVQADVETQGDFVRTLVAEVRDATFASIEDVVAFVTWLDEELSFLVDEQAVLKHFDWPEKRADALRDAAARYQGLVQLEKQISSFVDDRALHRDAALGKMYSLFEKTEKSVHKFLQDRDAADTKTNLISRYKEQDIPVGWMSDAGLIVKVKLACVNLAKQYMTRVVSEIDGLRGINKHHTRESALFKRLKEQNSEVLLHQGVRFAFRVHQFAGGFTAQSLGAFDELKRRHNDGTS >SECCE6Rv1G0425400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:707111165:707112226:1 gene:SECCE6Rv1G0425400 transcript:SECCE6Rv1G0425400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSSRSSSASRPRASLVSTRWRALSLDPAFLRRFRARHRRNAPLLGGFFTDYPNSADRNKFVSFVPTLDPPNRVRFPFPVADYPCIVFSCRHGLLLAASERRQGGVLVWDPVTGDQHRLEEPPGLDAKNGAVLRTAGEEDHFRVVLVGHDEHHTQALACVYSSQTRQWGSVISAPVPPVVSIVTEIPGVLVGDSLYWLSSRNPDHPTPILEFNLGTQSLAAIQLPVNMYGQGNHSLWVMRAHDGGLGFLFLWGTDAQLWKRTKTDGDGAANSWTITKTIQMDELLSLNTEEEKKSLSIEGIVEDRNVVFFRTAIGHFMVHLESLWSLRCKELSETDIIHVFHPFSSVYTPDN >SECCE7Rv1G0457270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:15426778:15433166:-1 gene:SECCE7Rv1G0457270 transcript:SECCE7Rv1G0457270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYVKTARPDGLLASPLLDKEKHKEKKHKKDKKDKDKKEKKDKERSKDKHRDKKDRKEKHKDKKKDKSKDKSRESEGAERHGEALLGQKFGESSRKSEEIKDPIFREELVRKTQDQKGVENRAVNNFTISNERSREGFSSAPALENERTAVNKMRIHSIDASRKSEGLGQQTITINQQKNGTSIRRSENFNTSSQGGSDGFSSTPMVEKERLKVTRPLPNSTDSVPRKEGTGQRISNISILVQKRTESPNKETAKKEIGTNSPLLRSPANALHKGNGKVGRPVDSAPTSMQRFESPSTSGASTGMDRSLPRSTIPSPSITIRRPNGMVRPTENLSISASKPNAGGVSPAMGKEKGPGGRILQNTVSADQKLVGAKPPAVGKEKEPGGRMLHPCVSTDQKQVDSKPLAVEKIAVGRAERVEKVRDGASDDTKKEDRKRERHEKKKRKEKHKEKKKEKEAKKEKQEHNHKEHDKLRENSIDYQIDSLIDSLDTKPLTPPLAPPADDAKVILADENLKKRKNHEMNGYLQNHHEMRPTKLPRPAPSSNHVENGTASHVAAPPSSMKPDAMKIEKAERLPKKEEKVNGNKEAQQRSSLDSGLRDPLPNKKEEKVNGSKEAQQRPLVDTGLRDPLPKKEEKVNVNKEAQQRPPVVSGLRDPLPRKEEKVTVSKEAQQRPAVVSGLRDPLPKKEEKVTVSKEAQQRPAVVSGLRDPLPKKEEKVNVSKEAQQRPAVVSGLRDPGASSGNGAPARKSPHPDFKYLGQIYSIPEAPQIMECDHGDQDWLFDRCSTQPEKPKMEADADGVPKVWAEAMKIDQADVIALPYVIPF >SECCE5Rv1G0347330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:666480059:666484512:1 gene:SECCE5Rv1G0347330 transcript:SECCE5Rv1G0347330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPAPPCCTSTTVLILLILLPLSASDDRLVPGKPLSPNSTIISDGGAFALGFFSPSNSSTPAGLYLGIWYNDVPELTTVWVANRETPATNTTTLMLSLTNTSNLVLSDGDGSGRAVWMTTNMATAMGSSPPVAVLLNNGNLVIRSLKGTTLWQSFDHPTDTFLPGMKLRIRYNKPGTSDRLVSWKGPGDPSSGRFSYGGDPITFLQVILWDGERPVSRSGPWTGYLVKSERRYQQANTSADIIIYLAVVDSDEEIYITYSLSDGAPHIRYVLTYSGEYLVQSWSSKTSTWLVLGKWPSPECNRYGYCGTYGYCDETAAPTPTCKCFDGFEPANTVDWTNGRFSAGCQRKEPLQGCNDGFLALPRMKSPDRFSIAGGGTGTFEDCAAECNRNCSCVAYAYANLGSGRSRGDVTRCLVWSGDLVDTGKIGEELGSDTLYLRIAGLDATSGKRRKRDAVKIVLPVLGSSFLVLICISLAWLKLKGKSEKWRKRKNLSLDGISTSYELSEGNLSHDHEFPFVRFEEIAFATHNFSETCVIGQGGFGKVYKGLLGGQEVAIKRLSRDSQQGTKEFKNEVILIAKLQHRNLVQLLGCCAEGDEKLLIYEYLPNKSLDATLFDGSRKMLLDWVTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQNENTQRVVGTYGYMAPEYAMEGVFSTKSDVYSFGVLVLEVVTGIRRNSSNQTMGFPSLIVYSWNMWKEGKTEELPDSSIMDTCSLDEVLLCIHVALLCVQENPDDRPLMSLVVFILENGSTTLPAPSRPAYFARRSVEMVQIGDDIQNSVNSFTLTGIEGR >SECCE7Rv1G0497460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:625833912:625836029:1 gene:SECCE7Rv1G0497460 transcript:SECCE7Rv1G0497460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRCHAAATLVSSRARLSSYLPPLLPSAQLRPRTTRGGGGSYRRTAVRAMGAAPSSPSPSGQAPGKVDNASLSDEELKKRLTKEQYYVTRQKGTERAFTGEYWNTKTPGIYHCICCDTPLFESSTKFDSGTGWPSYYQPVGDNVKDKLDMSIFFMPRTESLCAVCDAHLGHVFDDGPPPTGKRYCINSASLKFKPQ >SECCE1Rv1G0021640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:271132650:271147279:-1 gene:SECCE1Rv1G0021640 transcript:SECCE1Rv1G0021640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEGLVPITRDDLARYYDKYPLPPIPDGVTALAARLRALSAELAAATPFSPEEEHLEQEASGVPAHKIDENMWKNREQMEEILFLLNKSRRPIALQPKSTPEDAEIVSTLDDCETKLKEMLKKLEQFQLKNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDAVVSAGGSIHDRYTLLWKQQMDRRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDANGPMEVQRERYGPALYTLTKLVLAVRLYLHLSLARYGQKKIGKDDSAVLQQAVVIYTEEFGKFTTFIGEVFVNAPFFISAEDAGADLRKNDECRETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALSTLLDIGFHVEYISPSGEKTLILPYRRYESDQGNFCTVFAGSYKLVWDNSYSTFFKKTLRYKVDAVPPVTEPMEHVVEA >SECCE3Rv1G0214050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:963743824:963744315:-1 gene:SECCE3Rv1G0214050 transcript:SECCE3Rv1G0214050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCQALSFCLILLFILVSSSASTLQDTCKTVAVSNKDIGYDYCIKFFQANNASATADKRGLGDIATKISRAAALDIRKRIDALMASEKDKKVHGRLSDCRVLYSAAVNMLESAANLNAVISGTDTCEQGFRVLGVTSPLAAEDAAFIKDCSIALIITSLLL >SECCE3Rv1G0183100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:644214567:644215160:-1 gene:SECCE3Rv1G0183100 transcript:SECCE3Rv1G0183100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTFVTDVAFGADVIVTTVTSSGAAVEGWLQEIRSVLGDLVVGIDVEWRPSYSPSQNPVALLQLCVGRRCLIFQLLHADFVPQALSGFLADPNLRFVGVGVQEDVERLSDDHSLEVANAVDLRGLAAEGMQMPELRQAGLQAVARTVMGANLQKPQRVRMGPWDAYSLSYDQIKYACIDAFVSFEVGRKLLTGDL >SECCE7Rv1G0465680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:72780248:72784600:-1 gene:SECCE7Rv1G0465680 transcript:SECCE7Rv1G0465680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWAVSTLEQQDPAGSDGGSEKSAGFPCLEALRDFSVLTEAQNSLSSGDDTGGGGGIITPAPDSAVHQGSWSMSSPISGGPFAPSSMSSTGTSNALSVSWNFGAVLPPQQVRSGGGATLATGAPLRSGVSQPTRRASTKSTPYAQDHIMAERKRREKINQRFIELSAVIPGLKKMDKGTILADATRYVKELQERVKYLEAAGGNHRSVETVVLVRKPRRLVAPDCEACPGFFPAAATPAIGSKLPEIEAKLSEDNVMVRIHCEINGKGLVARVLAEVEELHLRIVHNDVMPFTASTVIITTMAKVEEGFNVNAEEIVGRLNTALYQHSSSNSSGNNNY >SECCE5Rv1G0317010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:334045505:334046470:-1 gene:SECCE5Rv1G0317010 transcript:SECCE5Rv1G0317010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSMRDFASCIGDGAVRVACASPSSTLTSGAGSASGSGAASTLAVTASYRATPLGSGAPSPPLLFRLTWAHSPVGPTLSFAPSAAAPSVLLRRRRGTRSFTLPDGGGGDADAEAGSEPPMLALFWDLTAARYDPGASPEPLYGYFVVAVAGAEVVLAVGDLAAEFVKTRFEGQISKARCLPVSRRERVVVADPAAMHTARVRFAEGGPEHEVSVGCATSSGGGEELWVSVDGKRAVQAQRLRWNFRGNQTVFVDGAPVDVMWDLHGWWFRDPPGCAVVMLRARSALESRLWLEEEGAAPGFSLVVQAFKAPP >SECCE4Rv1G0227760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:127229375:127229808:-1 gene:SECCE4Rv1G0227760 transcript:SECCE4Rv1G0227760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVPTASPGGPEALQVCEVEDLSAPGVGKLLVGVAAADINHGDTVQRHGRYSPPASASPYPGLDCSGTIVALGANAPRARPSVPGVRAAYRRRVCGEGCGSGGAASTDDRRGGR >SECCE1Rv1G0043520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:593489481:593492534:-1 gene:SECCE1Rv1G0043520 transcript:SECCE1Rv1G0043520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ADP-ribosylation factor 1 [Source: Projected from Oryza sativa (Os05g0489600)] MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >SECCEUnv1G0542760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:104189335:104193654:1 gene:SECCEUnv1G0542760 transcript:SECCEUnv1G0542760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEPSPPPQQQRQPEVGAGGRRTDKQGRRLEVYNEVLARLRAVPGGAEISPAFQDALWAHFHRLPARYALDVNAERAEDVVTHQRLLEEARDPERRPALSVRVVQVSRIIDGEMDDSCDHEMEMVASSHLPSQMVHPPPAFGSSSNLEALALDANESDVGSTNNDDDNSVHLVSRPMHEIAFASTDKPKLLSQLTCLLGELGLDIQEAHAFSTIDGYSLDVFVVTGWRLVGTKQLQEKIMEKFRSVEAQACTVSCASSPSLEGLQGGESRPTSTSVQIPSDGADVWEIDLKLLKFGNKIASGSNGDLYRGSYCIQDVAIKVVRPERISADMYRDFAQEVYIMRKVRHRNVVQFIGACTRQPNLYIITDFMSGGSVYDYLHKKGSSFKLPEILRVATDISKGMSYLHQNNIIHRDLKTANLLMDENKVVKVADFGVARVKDTSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKIPYDYLTPLQAAIGVVQKGIRPTIPKDTNPKLAELLQKCWHRDSAERPDFSQILEILERLSKEVGPDGDGRQKTKSGFLSALKRSH >SECCE4Rv1G0286260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:849017143:849019505:1 gene:SECCE4Rv1G0286260 transcript:SECCE4Rv1G0286260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSKVEVNLRRLLEAAPRQQNQAKLVHYITTARELLEQLGAEITPEGISSVSKAKLSEYSEKIEALAATLASLVPENENLVDESREQDSSYEREKVGSPISLSSGLRRRSTAQMEVGPSSRERKERDTGAPIKLDAEAQAHIEKHRKLQEDLTDEMVDLARQLKESSLLMNQSVQDTEKILDSTERAVEHSLASTGRATARASEVYSLASKTSCFQWLLIFLMTCMFVMVVLLIRIT >SECCE1Rv1G0032260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:452737342:452739546:-1 gene:SECCE1Rv1G0032260 transcript:SECCE1Rv1G0032260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear intron maturase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46920) UniProtKB/Swiss-Prot;Acc:Q9FJR9] MPTPRRALLSSLLRLRACSSLAHHPPRPPPPSSRRHQFVADPNATAGTGRSSVGGNPLDPTQLLRDDPVSIAASLWVSSFRAAAPGDAAPPPPPTLAPFLTRLELWVLAYQKAYADETGSYLPRSSIPASTLTSILTLRNAVIDARFRFGNRLTPYLHSPRAAAAPDPATLSKRKLRALLTTPGPAPFQDRVVQELLLLLLEPVYEARFSPKSFAFRPGRSPHAALRSIRRSWAPYLWYIKGDLSPLLDSPDPALVVGALIRDVRDKKVVDLVRSALLTPVVTARPGDDDASKKKKKRKYQKKKVLPEGEPKPDPYWLQTFFGFAPEEALTQPDWGHCGVLSPLLANVCLDEFDKWMDEKIKEFYKPSKSDVVGGEDGIEQGNTSWPEFVPTSGPDKTRKVDYIRFGGHFLIGVRGPRADAAVIRKQLIEFCDQRFRIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIRQFRKLEFLKGDREPDPQPCFRMFHATQAHTNLQMNKLLTTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYTNLLRMGLVDSVDGLQYTRMSMVPDPDYTPLPSGWRPDHEKFLLEYIKLTDQQTLEEQRSCIREEGLITPQDHISMLVWSYKKNAVLLPSSKESDLQESIEDLSSDTDELNNKELGHGGHHGFPQPTEMS >SECCE7Rv1G0458710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22484085:22485161:1 gene:SECCE7Rv1G0458710 transcript:SECCE7Rv1G0458710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCACIEQFWRTDELLVKYQYISDFFIAIAYFSIPLELIYFAKKSSSFPYRWVLLQSSAFIVLCGATHLINLWTFTMHTKTVDIVMTVTKVITAVVSCATALTLIHIIPDLLGVKTRELFLKKKADELDREMGLIRTQEETGRHVRMIIQEIRSTLDRHTILKTTLVELGRTLGLEECAFWMPSSSGSSLELTHTMRHQIPVGSSVEINLPVVNQVFSTNRAIIVPHTSPLARIHPVQGRHVPPEVAAVRVPLLHLSNFHPELLAKSYAIMVLMLPSDSARKWLAHELELIEVVANQKWLATHQGSA >SECCE5Rv1G0299830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:20243140:20244608:1 gene:SECCE5Rv1G0299830 transcript:SECCE5Rv1G0299830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPWRPVLASATKCCSSEDAVVAVNGLTRCRPARSEFSRRLTSFRRLSSMTNSPATPRDGKDAGEMGVCPMQLHSFSLNELRGITHDFSSGYLLGEGGFGTVHKGFVDAGMRPGLEPQPVAVKQLDIAGHQGHREWLAEVIFLGQFRDQHLVKLLGYCCEDEERLLVYEFMPRGSLENHLFKRISATLPWGTRLKVAIGAAKGLAVLHGAKQPVIYRDFKASNILLDSEFTAKLSDFGLAKMGPEGEDTHVTTRVMGTHGYAAPEYVQTGHLTMKSDVYSFGVVLLELLTGRRAMEHVRGRSAHAEQTIKLVEWTRPYLASSRRLRCIMDAKLAGHYSVKGARAVAHLAVQCTSAQPRERPSMAAVVEALERLEGLKDMAVSIGLWPTAPVAGRNALSAKFRAEMKGAGTGSGAVLRRRSVSAKLS >SECCEUnv1G0562870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:369821756:369825883:-1 gene:SECCEUnv1G0562870 transcript:SECCEUnv1G0562870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALVSAATGALKPVMRKLAALLGDEYKHLKEVRGEIRFVTDELTAMHAFLLKMSEEEEPEEQDKVWMTAVRELSYDMEDSINDFMQCVDDKNTKPAGFIEKIKNSLKKLGKAKDRRQIGFDIQDLKRQIILVSSRNERYKTPQALLKTINFSNNKTEVVDPRALAIFEHASKLVGIDEPKSELIKLLTEEDGRASTEQLKMLSIVGSGGMGKTTLANQVYQEIKDKFKCKAFVSLSRNPDMMNILRIILSELSCQVYAHTEAGSIQQLISKINDYLAHKRYFIVIDDIWDIITWDVLKCAFPMNSCGSIIITTTRMRGVAYSCRSSIGGHVYSIKPLNMSHSRQLFHRRLFNSKEDCPLLLETISNQILKKCDGLPLAIIAMSGLLANKERTKHVWNEVKDSIGRALERNPSVERMIKILSLSYFDLPPHLKTCLLYLSIFPEDSVIEKKALIWKWIAEGIIHKDGRYTTYELGERCFNELVNRSLIQPVKLDKYDKVFNCRVHDTILDFIISKSIEENFVTFIGVPSLTIGTESRVRRLSMQVEGERISEMPTSLILSHVRSLNVFGDTVKIPPMKNFRHLRVVDFGRCGQVENHHLADVGRLFQLRYLNISWTRVRNLPEQIGHLRCLELLDIRRTEVSSLPASTVNLEKLAHLLVSRFVRFPDGIAKMQALETLKWVSADQSCNFLQELGQLKNLRKLNLCHMNDAEQNKEIIAYSLNELCTQNLTSLTMWNDHDSILLNTWCTAPPVNLQKLVISSWVLPKVPDWVGSLMNLQKLSLEVERIRQEDIFILGALPSLLTLSLQGMEDRSSCKVRRLAITSEAGFRCLRMFTYVVLGDGMDLIFTARCMPKLEKLIINFSGGVENETLCCPGSIDFGIRNLSSLATFRCEFACDRVSADAIIASLKREVSTHPNNNHLRLIIEGYKYVCYFFSRFYYVYIPY >SECCE2Rv1G0090400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:276329855:276333076:1 gene:SECCE2Rv1G0090400 transcript:SECCE2Rv1G0090400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASISSHSIALRDLKAARIGAVRQQVAVVPAGLPATAPKGQRARAVRPLCAAEPARKPVSASAASSPVAPVEEAVAVEYEALAQELVGASPLEIMDRALDMFGSEIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYELFDKVEKHYGIHIEYMFPEASEVQDLVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLKAWITGQRKDQSPGTRASIPVVQVDPSFEGLDGGAGSLIKWNPVANVDGKDIWTFLRTMDVPVNTLHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDATAKECGLHKGNMDKEGQAPKVGVNGNGSAEASAPDIFESQAIVNLTRPGIENLLRLENRAEPWLTVLYAPWCPYCQAMEASYVELAEKLSGSGIKVAKFRADGEHKPFAQAELQLQSFPTILLFPGRTVKPIKYPSEKRDVQSLLAFVNSLR >SECCE6Rv1G0381960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:36603074:36604652:-1 gene:SECCE6Rv1G0381960 transcript:SECCE6Rv1G0381960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPTALAAKNREPGGAAGGIPRKRGQNDNCYGDIISGLPDAILGTIISLLPTKEGARTQAISRRWRHLWRSAPLNLEVAPSLCANLSELASFLSKILSEHPGPARRFCCPSIHLGDSYAQVDGWLRSQTLTNLQELDIRFVRPSPRPETDHALASSLYRLASTLVVAKIGGCNFSKEIVPLSNFPYLKDLTLHYISLSEEFLHGMLSSCKALENLLLWNIFVAGHLRISSPTLRRIGFSVCAGHKELVIEDVPRLERLLLPSRGRGGGTIQLIRAPKLEMLGPLSAGVAVFQGTMPIRLTNLIHTVKALALTSGPQLNVVLDILKCFPCLERLYVVLQKNSKMDVKDVSLYGPLDSVECLGTHLKEMAILNYEGCEQDVGFAKFFVLNAVVLKKIKFGVPKNNDGDWVAIQLDLLQVENRASQDAQFEFKCGLDNVINYPRIHDLSLTDPFDCLFSE >SECCE3Rv1G0170360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:251541612:251543601:-1 gene:SECCE3Rv1G0170360 transcript:SECCE3Rv1G0170360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAAVVLLLAVAFVSTTTTVAAARHHRHHHDGFAKVFDRQEADLVAALPGQPAGLGVRQFSGYVTVNQTHGRALFYWFFEATHDVSNKPLVLWLNGGPGCSSLGYGALEELGPLLIQKGTPELRLNPHAWNKEANLLFLEQPAGVGFSYTNTTTDLERFGDDLAAHDAYTFLVNWFERFPQFKGHDFYIAGESYAGHYVPHLAEKIVEQNKKVHKSRHINFKGFMIGNAAIDEASDERGMVDYAWDHAVISDELYDAINENCKFNQAGNSSDFSSSGQNPSNAACERAMDGFYEAFDHIDIYSLYTPACTASSGTAGQLPRRLHRSSAQSDNSRSMRPRYNSYDPCLDNYVAEYLNRRDVQDALHANTSGSIPYSWTACSDPLFEHWKDSPASTLPVIKRMVDAGLRVWVYSGDTDARVPVSSTRQALRKLGLKTLKQWREWFTSDQVGGYQVDYDGLTFVTIRGAGHMVPTVTPVQARQLFAHFLAGKELPPKPVIA >SECCE6Rv1G0397240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:387090869:387091580:1 gene:SECCE6Rv1G0397240 transcript:SECCE6Rv1G0397240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSWSSSCSCTSSLGSLDDDDVVCVVKPGSPGAAAEGSVKFRCSYGGMILPRHPDGALRYVGGNNRFLSVDRSLQFYELQRKLTDMCGWEAMSLRCQLPTEDLDALVSVTTNDDLGHLLEEYDAASRDRLQPLKIRAFLFPRAKTPPLSPSTPSSRPTPAYVRDQHHTARPPPARVHSGHRRPHQLLVHNGGKLLQ >SECCE5Rv1G0331180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:536712422:536712973:1 gene:SECCE5Rv1G0331180 transcript:SECCE5Rv1G0331180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CML30 [Source:Projected from Arabidopsis thaliana (AT2G15680) UniProtKB/TrEMBL;Acc:A0A178VPG8] MSHLSILTFKYNLAKLRFKPSRPAGRLLSARDRQQSDLMMYKPDDEEMAKVFDKIAGKPGRISRSDLQALLQRFEKADAAGEARRMVCAADSNKDGYMDLEEFMEVHKNGVQLGDIRRAFFVFDRDRDGRITAEEVMDVLRKLGDSCSLEDCRRMVKEIDRNHDGFVDMDDFMAMMTRPRKRM >SECCE7Rv1G0509670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:782910702:782918260:1 gene:SECCE7Rv1G0509670 transcript:SECCE7Rv1G0509670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAMAAMGSLLPKLFELLHGEYKLQKGVKKDVEFLEREMRSIDAALRKVAMVPHDQLDENSKIWANHVRELSYEMEDLVESFLVGVQGSEPAANTDSFKGFVKKVSNLFTKGKKRHQIADAIKSIKDQVQDVADLRDRYKIDDLQASLAPTTIVDPRLMAQFKDQRELVGIEEPRDELIKRLVDEDDCESKKQLKILSIFGFGGLGKTTLARAVYDKIQGQFVCKAFYSVGQNPNLKNVLMGILLRLDKGTCSNLTMLDESLLIEKLRGLLTNKRYLIVIDDIWDMSSWNIIKCAFIDSKCGSRVITTTRIFEVAKEASDIYKQEPLSPGRSKELFCMRLSIGKNKSPYHESVKISEKILQKCGGIPLAIITIASLLASKPVTDWPGVYDSIGFGNEDNKEVNTTRKILLYSYYDLPYYPRLCLLYLGIYPEDYEIEKDTLIWKWVAEGYVHEEPGKGLFEVGERYFNLLIDRSMIQAVEKPYYSIIHACRVHDLVLDMIHFLSEDQGFVIASNSNRSPRITVRRLAINNEVVEQDGSVANSSMQQVRSYNATMCRFSMLPLLSNFKALRVLALEECTFMGFEPFSMKPEDSSCHLKHLGRLIHLRYLGISGYLGSLEVPEDIGDLRFLQVLDLGRIHIKKLPQSVGRLTQLKCLRFGGSSMEVLDRRNLTSLEELQLHHVFPDVLKGLGKLKELRKLSILFEEQDNMLFKDLVGRLVNLQKLQVIVVRCWYQGDPQPWSDYAGSVALGHLRHLTLGGLLPGLPVWINSSCLPNLCHLYVTLTATESQDMEILGRFSELITLCIRCKDGAVFPDSMEEGAFPKLRYLKLENSNQPRFVRGAMSSLECFEFTSLIRANGLDFHSLVNLPRLEKVDAAEIKDSTGMSTDMLQAALASLKHAVEIHPNHPALKIRDW >SECCE5Rv1G0352300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:708982746:708984104:-1 gene:SECCE5Rv1G0352300 transcript:SECCE5Rv1G0352300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSMRRILNLGLFDGLKRAYSLRRLDLELSKVKFFHPTAQEAAAHGKVLPTLTPPQAEATSRTRICNTDLATAEAAAPEIDPPKTELVIKPPEVSYSIRSPRCVHFLPTASESKVVMADRGNRMIRFNIVNSPHYNDTQDGQVPRHSGYIDSMPSLHGYKEAPLAISVPPTYLHLLDGEDAGDLYIIDSVLHPNKAEVRPQFEALVWRGITTSLASHRFWHCDILPLPPWITHHRNAFVYGHALVGDTICFSISGSEGDGTYCFHMATREWSKAGDWLLPFHGKADYVPELGLWFGVADDLPCAADLSGIVGGEEPPPEKMRIWVHDDLPEEWQPNKFFKPRVVSLGSGKFIVVDFLDHMQFDKESNEMCLEKQFALFTGMEVAYNDGKAKNSRNGAIKDNDHSSGTDCSGNDSGNENGGKGKGMIRGLRMMKHKSGRYMFNNQQRIEEVL >SECCE3Rv1G0154390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59992919:59993307:1 gene:SECCE3Rv1G0154390 transcript:SECCE3Rv1G0154390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLKKNTSFLCFVATLMVVMATTLLLSSCDAHTEADETAAFPLPASCYSIFFPNCTDDKCKEFCGGAGKPPAPKAFCNDNSNCCCPVIQL >SECCE4Rv1G0253150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:623287961:623288754:-1 gene:SECCE4Rv1G0253150 transcript:SECCE4Rv1G0253150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVCKAEGAAPASLLKSSAPVAFCALRSPAVTTARRPYNTQIKEVSRYDDDDDDDDDYSGRDLVSPSFFSQDVLDPLGAPTSMARLLSLMEDVATQTGLSSTAGAGASRLARWVAKEDDDAVYLKVPMPGLTKEHVEVRADKNILVIKGEGEKQLWDGDDDSKVPRYNRRIETPADAYKMDKIKAEMKNGVLWVTLLKLKEEERKDVFHVMVE >SECCE5Rv1G0299450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:17301840:17303210:1 gene:SECCE5Rv1G0299450 transcript:SECCE5Rv1G0299450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTMCGALLLLGLLVILTPLLCGCTDGGFSVELIHRDSPRSPFHDPSLTPHARVLAAVRRSYAGSGSPDPDGAVSEVITGTFQFLMYVNVGTPRTRMLAIVDTGSDLVWLRCTNGSASPAPPPPAAAAGGTSSVFDLSSSSTYGRLGCQSESCHSVHGTSCDATSFCQYSYSYVGGRSSGLVSTETFTFDDAPGGCTGCRERPQLLVPRVNFGCATTADFPAYGIVGLSDGKSSLINQMSAATSLARRFSYCLTPYLSDMSSALNFGSRATVTEPGAVTTPMVHSPVHVEAFYTVEIVALRIGSSIIKLPKRSPVIVDSGSPLTSLDKELLDPVVEAFTRSIKLPRKPTPNPDLFSVCYEADGMKALEKVFPDVTLELGGGALVTLKAENVFVDLILRTVCLAIVPVTTNGGWVPTIGSVMQQNMHVGYDLDKRTITFAPADCATSYPSPPASLV >SECCE1Rv1G0033060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:462283877:462286149:1 gene:SECCE1Rv1G0033060 transcript:SECCE1Rv1G0033060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASGAACRVCGGGAEDCSCLLQRGRGAAGVRCGVADLNRGFPGMFGQAAEEPAVDVVGAGGGAAAVGLQEFQFFGQDDHESVAWLFNDHAPLGGEDRLQHRPAVTEQLQRRQVFDAYADYQPGHGLTFDVPVPLSRDVVDTAILGLGGGNPVTSGATIMPYCGRETLTFTEAAASSVDPNDDTAAGLANGGGYSAGPSAGIVGDVPAPTELREAKLMRYKEKRKRRRYEKQIRYASRKAYAEMRPRVKGRFAKVPDGGEGAAPSPPQQPTQAAGYEPGRLDLGWFRS >SECCE3Rv1G0187140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:695014629:695016653:1 gene:SECCE3Rv1G0187140 transcript:SECCE3Rv1G0187140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPARPAAASVSGAFGLPPDARCSFDQTRRRAEDSQDKRQLVRTFVNVYGQEGYPKDAVMAAVEECMRKQAEGLLHSLEGIGGRLSQLELYCYKLERSIGELRSDVMDYHSEGTVNFRCLDKNLRLVQKAVQMLQDKHEPADTAQQLAKLQIAHEFPARTNEAAALSMLAARENVNDHSAQFANPDVSFVPIHQVNAMQSPAMPTQNSGGYILQQLVPVSLSTQHDQQHQSQAAVYYMQSQNHVNCTENKPSESFVQVMQPHVQNPEARVAVELPQKSSQPTEMYPPMQHHMLQMPAQHHESQTWRSQPPVAQQQHYSVQQVPPQMVQQKTSSPHAQSTPQVTLMYPQYSSQKPANATTEPLLRSLVGQSPYSSPQQKHHEVMPSFYGQGNTILLPTAEHNIQHQQSQQLQTQSQASCPPQPSKPNHCSVASYAVQGSGRAYTATYKNPAECPATVVAVLPQHSATAPMSFHHLGPQVLHKNPYGNLFETASVVGYPQDLVGSMARPVVTADSSAMVDKLNAGSNVTSPRDWPA >SECCE1Rv1G0035890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:502558584:502559273:1 gene:SECCE1Rv1G0035890 transcript:SECCE1Rv1G0035890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVAGMKQKKCCPLRRSRKGCMKGKGGPDNQQCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFATALDAARAYDAAARALYGDCARLNLSASPSQTQQHPAQGSGANGNSAPGTPCCSSNNSNSSASTPTGTPTDMDCSTWMQPSYCYSAEAPEDFEAYVTRLPKAEDFGLEGFQEVPLEVLAEAGGGVSIWDLSIAPDMPAAAASSSVASACTVPQQRLQQPSY >SECCE4Rv1G0261310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:701072701:701077402:-1 gene:SECCE4Rv1G0261310 transcript:SECCE4Rv1G0261310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDLRSEYLQVLLSRRREPQVPLSVEQGTPVTKPLFQGDWPLGPTEAMESCPRKEVEDFYEKLVEENFFLITESGEQGRVPVLLLKLNDSTAPERKPVVVILHSSYKCKEWLRPLLEAYASRGYIAVSIDSRYHGERASHKNTYTDALKAAWRNGDTMPFVFDTAWDLIKLADHLTARKDVDPSRIGITGESLGGMHSWFGAFVDTRYSVVVPIVGFQGFRWAIDNNMWEARVNSIRPVFEEAATDLGKSEIDSEVVEKVWDRIAPGLASQFDASFSVPLIAPRPLLLLNGAEDPCCPIAGLQEPASRVAEAYEKAGSAEKFKFIAEPGVEHLLTANMVKEASDWFDRFLQ >SECCE2Rv1G0083200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:163370870:163378878:-1 gene:SECCE2Rv1G0083200 transcript:SECCE2Rv1G0083200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEERELQVRALDGRSIAVTLAAAASVRDLKAALRGSFPPAQISNNFHLFLKGAKLRLEAEIGSLITGNGEFIVLVPFTRKSAQPSPVAIPAEEQSANIPISQEVATGANSAWQDIMDDLSSIPDSPNADVALKDMYSSSIPCSGSYAEDGSTDKRPSTGCSRKRRKVCKENGNGSSDTPGVDGASKQPSMNKKSGFVRSAASSCHDKHPLGPAEMVEHLKNGLGKEGQIVHIEEIPCRRASYSELPRDLSKVMRKSLESIGISRLYSHQSEAIQSSISGKHVAVATSTSSGKSLCYNIPVLESLSQDLMACALYIFPTKALAQDQLRTIVEMKKAFHTDINVSIYDGDTPREDRLWIRDNARLLITNPDMLHVSVLPCHSQFQRILSNLRYIVIDEAHSYKGVFGCHTALILRRLKRICSNIYGCHPTFTFCTATSANPREHVMELAKLDNVELIQNDGSPCGSKYFLLWNPPLHMKKEGGSQGSSVTRHSSPIVEVSYLFSEMVQHGLRCIAFCKTRKLCELVLSYTREILEVTGKELVDSICVYRAGYIAEDRRKIEADLFEGKLRGVAATNALELGIDVGHIDATLHLGFPGSVASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKSPDKLFGKPIEHCQVDSHNPKVLGQHIACAAYEHPICLQYDENHFGSTLDSIMTTLKDKGFLVNNPSGPFSSTMWNYIGPEKNPSQTISIRAIEHDKYKVIDKLNNRLLEEIEESKAFFQVYEGAIYMHQGVNYLVEEFDLSSRTAFCRKVDVKYYTKTRDYTDINVLGGDFAYLPACKTNHLKTTAQANSCKVSTKWFGFHRICKSSSKILDTVELRLPPYSYDSEAVWIRIPRSAKIAVEERKLEFRGGSHAASHALLNILPLHMMCSASDLGTECVNPHQTRGMPERILLYDKHPGGIGLATQVQKLFGELLLAALELISTCSCASASGCPNCIQSLTCSEYNGVLDKEAAILILKGVIEHERSYFEVEEASDRS >SECCEUnv1G0562650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:367703653:367704024:-1 gene:SECCEUnv1G0562650 transcript:SECCEUnv1G0562650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRVRLSPARELSGYYDSDSTEFCQFGSSIYDFTFMDVDMILPFSSTLGWHNLNVNGEVQKRKGLRWIPRHPETRKGVASDEMLRGVENKHRSGDSQIGQPFKLPSESMSRQETTWRTETS >SECCE6Rv1G0430610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:743567580:743569744:-1 gene:SECCE6Rv1G0430610 transcript:SECCE6Rv1G0430610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSFSHRMHIFMLICYSMWSLHLPPATSLYFSSNFSDPDYDAQDFSFQGDAYYDTQSHMIQLTRNGEGSSIQNSVGRASLVTPMPLWDALTGELASFTTSFTFQIKVNNATTGDGLTFFLGHHPPPSIPNVKRDMGGGNLGLFNTTAGTVATGDDRAVAVEFDTFFNDIFDPSGSHMGIDVNSIVSREYTNATVPGRNLTSGFLMSCQISYDNDTEILATVLQIGDVTYRVNTSVDLRQVLPSVVAIGFSGATGLAVELHQIMSWSFNSTLDLRPTPAPAPAAAPKSPEIPPPMPESPEIPAPTPKSSKVPPPARKNLWKMLVELCTRNKRYRALARGLGHIDYHKLARATNNFAQETRLGQGGSAYVYRGQLTSPCRPVAIKRFKPAASGQGRQAFEDELTIASRLRHRNLVELIGWCYDDKRNPVEFVCWWRDDRHTRLFLVYELLPQGSLDQHLHGGNSWLPWDKRYEIILDLGSALQYLHVDCEQHQQCIVHGDIKSSNVLLDTLYGAKLGDFGLARFVHHETGSQTTDVVQEMVSGRDPTVCLPDRPPLPSWVWSLYHGNAILEAADGKLIGVESTAGRQQMERALLVGLLCVHRDPSSRPSIAQAMDALRSDEMKLDITPLTTPMTLSLPLPL >SECCE2Rv1G0090480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:279055542:279056588:-1 gene:SECCE2Rv1G0090480 transcript:SECCE2Rv1G0090480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGAAAAAAMQPAGAGRSSSSSAGGGAGAGAVADPRAEALRCPRCDSANTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRSRSSNGGGRPGSCAVVASSNAASGGISSSTAMSLPPPVSLSSALGLHGHGSSSLASLLLGSGASGGDHLGLFHAMQSVVSDATAYEMHQQHQTQVDQLLGLGYGAGDGNGSQIHMKPWQQHLQDGAGGLFDGFYAPLLSGSIVPGLEELHVKAEATAGEHHHHQQKKASDGDQQSWDQHPTSSTNVEANIMASDALMAAAAAASMNPAASNASTAPTSSPLMYWGNGGIGGSPAAWPDMANCGSSIATFF >SECCE5Rv1G0329770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:521776287:521782535:-1 gene:SECCE5Rv1G0329770 transcript:SECCE5Rv1G0329770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSAAAAAAFLAPAVTASSRLVPLRRARRFAVRCVAAPPASKPAYSPSKTGKWQWTFEDKPVSVYYEEHEQGTAENVKNILMIPTISDVSTVEEWRVVAKDIVTRKGELSYRATIVDWPGLGYSDRPSLNYNADVMENFLVELLNSPNSPVADADGELVVVGGGHAATIAIRAAGKGLIRPSAVAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPEIIESRYELTKRKGARYVPAAFLTGLLDPVQSREEFLQLFAKLDGDVPVLVVSTLNSPKRSKAEMEALKGAKGVTKFVEVPGALLPQEEYPLAVAEELYDFLQGSFSSKR >SECCE2Rv1G0074030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:73177880:73178598:1 gene:SECCE2Rv1G0074030 transcript:SECCE2Rv1G0074030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVHSSPSFSSSSSSASERSGKVFTLWLKSLVLNGRGCTVYDSDGSIVYRVDNYGSKCSDNVCLMDLRGNIVVNIHKKKLAFGKWEGYKWAGRKQEASAWFKVARPRGGIFQRSGRRPSSSPCEFESDAGCAMRYRIHDDGGARAGKRACCRIVDAGTGLVVAEVKRKVTAGGVALGEDVLALVVEPGVDDSLIMGLVLVYGLMNRTM >SECCE2Rv1G0116400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:763788826:763790357:-1 gene:SECCE2Rv1G0116400 transcript:SECCE2Rv1G0116400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKYSERQPIGTAAQGSEDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFMATFLFLYVTILTVMGYSGAASKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIIMQCLGAICGAGVVKGFQQGLYMGNGGGANVVAPGYTKGSGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNREHAWSDHWIFWVGPFIGAALAAVYHQVVIRAIPFKTKS >SECCE2Rv1G0093250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:373594208:373597675:-1 gene:SECCE2Rv1G0093250 transcript:SECCE2Rv1G0093250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAASSLKPPAPEEASQGNPSPVRFPSCAAAPATTVTSPGSREVAAAIEAVERDAAAIAESYASLFASLRITLSNVTSTSVDNMEFLGEVVGRLQESALEASTRGNKYIHSCLRLNEEMRGLESLSMQLKIMRKIVDSLDLAVDRLLHLP >SECCE3Rv1G0198100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:827289073:827289708:-1 gene:SECCE3Rv1G0198100 transcript:SECCE3Rv1G0198100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMEEATSFWCHACSRLHQARAGEAVAACPVCAAAPSASLESIVDVVDARTFLNGRPAGAHAEPLPLVKVRDAGLTCPICLDELEPGASAAETPCKHVYHPACLAPWLEARGTCPVCRTKSVPEDDADGSPDGLVLWDQRNGRSALGRRTAGRVRMVGVLDEDGMLMRHCSPLPYRAPRSLGVLRGLRWIRHCAARFGSRAREPRREIV >SECCE1Rv1G0046710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:619576310:619576831:1 gene:SECCE1Rv1G0046710 transcript:SECCE1Rv1G0046710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVHAPESCSSCWETFDLLWLFIREQERIQNLNYIYNCNDVEDLWMLRMKRAPFDRLVKSFRSRGLLQDNINTSVEEQVAMFLHVVGHNQRFRVIHNTFRRSMETTSRYFKLVIFAVGELRGEMIRRPSGRTPPKIRGSPRWYPYFKVSIDNIHFSWLDMLVLFKLSTNTGL >SECCE5Rv1G0318000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:356403786:356405336:-1 gene:SECCE5Rv1G0318000 transcript:SECCE5Rv1G0318000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSSPSLLLILLLPVLYISYHIARTLSKKKPTTHGLRSHPLLGHLPAFLKNRDRFLEWSTELIVASPDLRMGFWIPGMITGIVTGNPADVEHILRTNFANYPKGERAISMLVDFLGHGLFNSDGEQWLWQRKNASLEFTTRSLRGFLVDSVQAEVRNRLLPLLRRAAASGAGGGVVLDMQDVLERFAFDTICMVSFGHDPCCLADGGALTEGKSDFMRAFGEAQDLIVSRFLDPVAASWKVKKWLNVGKERRLKKAIADVHGFAMEIVRSRRQSGSGEENRDDVLSRFVASDEHGDEALRDIVLSFLIAGRETTSSALTWFFWLLSSRPDVVARIADEVRSVRSKAGTLPGDPFTFDALRDMQYLHAALTESMRLYPPVPIDSQSSAADDTLPDGTHIGAGWNITYSAYAMGRLAAIWGKDCAEFKPERWLGDDGAFRPESPFRYTVFHAGPRTCLGKEMAYVQMKSIAAGVLEEFAVDVVRKNAGSSVPEHVLSVTLRMKGGLPVQIRRRVDA >SECCE7Rv1G0510240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:788062378:788063841:1 gene:SECCE7Rv1G0510240 transcript:SECCE7Rv1G0510240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAGKWPWVGVGTAMTVVTVWSCSKLPDYIHDELRHFITKWTPLVATYFNPYVQLTISEQSDEQFRRNELFDDISAYLTDKCAGGARRLKAELGKDGKLPEITLDDNVHVTDNHGGARIWWYASNKGPSYRIPSTAVSFFPADTEPRLYRAVFHKRHRDVVLDTYLPRALAKGRNLIATMARERRLFTNHRPGNRSTWCHVPFEHPATFDKLAMDPVQKKEIIDDLEAFMDGKQYYADVGKAWKRGYLLHGPPGTGKSTLISAMANKLNYDVYDLDLTSVKNNAELRKLFIETKGKSIIVIEDIDAIEVDLAGNRKVADRKGAGGSCCDPLDPNKDDGSKVTLSGLLSFVDGLWSASGGERVIVFTTNRVDMLDPALTRRGRMDMHIEMSYCRFGAFKVLARNYLKVQDHDLFDEIRQLLNDTDTSPADVAHNLMPKCKKRRRDDSDKSSNDMARIDADTSLAGLVETLKKARRESVTAPAAVKL >SECCE5Rv1G0345200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649624458:649624856:1 gene:SECCE5Rv1G0345200 transcript:SECCE5Rv1G0345200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKVGLFFALNLVVIAVVHGNPTSVPTPPLVPTPVVARTPSSGGSCPINPLKITVCSNVLLLLKLRINVPETEQCCPLLSGLADLDAAICVCTAIKANLLGLITIDIPVDLALLLNHCKKTYPSSFTCPR >SECCE7Rv1G0520210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:867964675:867968148:-1 gene:SECCE7Rv1G0520210 transcript:SECCE7Rv1G0520210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGETIGPCSERDARIRKHLKKPELTPSAYDTAWVAMVPLPDSDPQAPCFPRCVEWILQNQHSSGSWGINESGLLANNGIMLSTLACIIALKKWNVGSEHIRRGLEFIGRNFSVVMDEQVFSPIGFNLIFPGMLNHAIRMGLEFPVRETDISGILHLREMELTRLAEDKSCGKEAYLAHVSEEGLVNLLDYNQVMKFQRKNGSLFNSPAATAAALVHYYDNKALQYLDSIVRIFGGAVPTVYPQNIYYQLSMVDMLEKIGISRHFSSDINSILDKAYISWLQREEEIFQDVETCAMAFRLLRMNGYDVSSDALSHVAEASTFCNSLEGYLNQTNSLLELYKASKVCLSENELILENISNWAGRLLTENLCCNGTKRIPIFGEVEYILKFPFYATVEALDHKRNIEHFDSRVSQLLKTKNLPCHVNQDLLDFAVQDFSFSQSIYQDELSHLERWEKENMLHQLRFLRKGSLINCYLSAAATISAHEFSDARIACAKTTALVLVTDDFFDVGASKEEQENLIALVEKWDQHHQVEFYSEQVEVVFSAIYTTVKQIGEMASAVQNLDVTKHLDETWLHYLRSAATEAEWQRSKYVPAVEQYMTEAVTSFGMGPIILTSLYLVQQKLEKHIINDPEYNELLRLMGTCGRLLNDSQGFERETSDGKLNIISLCVLHSGGSMSTEAAQEAIQESIMSCRRDLLRLVVREERVVPRPCKEVFWRFCRTAHLFYCHTDGFSSPKEMLCTMNAIFREPLKLQNTCPLVVQSEK >SECCE4Rv1G0240840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448150557:448151048:-1 gene:SECCE4Rv1G0240840 transcript:SECCE4Rv1G0240840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSKQPFIKSKQTFSKSEQPFRKSKQTFRKFKQPFGKSKQPFRRRPRIGPGDRIDYRNMSLINRFISDQGKILSRRINRLTLKQQRLITLAIKQARILSFLPFRNYENEKQFQAQSISIITGSRPRKNRHIPQLTQKYNSNRNLRNNNQNLRNNNRNLSSDC >SECCE6Rv1G0451250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:871730181:871732722:1 gene:SECCE6Rv1G0451250 transcript:SECCE6Rv1G0451250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAGPNKNGRPLKSAEEIIQFYKDNGEKIFTPQTVGWWKFICELYVYFFGKRTDEALDLMASKETSISSLSGFKEKNLYALMHSKYSNDHLREAIAKVFEAAGSSELALKQTLTNVVVPAFDIKDNQPVIFSTHQAQKGAVMNPLISDVCVAATAAPTFFPPWGFTIEDGHVTKEYNLVDGGIFANNPTMLAIEEIWKRTILEQEGFLPAGMTSMIAGFTGKPLPSSQVGNVPDSKFCVLSLGTGVVTHSYTAKQAQNWGVLPWFYNLREKSMPLVDMLSFSGGTLVDYDVTLYFKSRDSEDYYLRIQDVGLKGASEAMDDASQENMNALVQIGEKLLDKKVHMIDFDTRTYERVDDAETNKEALTKLAQELVAERKRRLSTAV >SECCE6Rv1G0431220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745982238:745983130:-1 gene:SECCE6Rv1G0431220 transcript:SECCE6Rv1G0431220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVREVLYMYSIVRQAYERLVSVCGSPEQAQNVAALLVWLDQGTISAIQHVPAMAPDAVAVVAEEANAVLKCLCHQLPVLPPIPFICALCMQGGVLIEPGFFAINQDLLFHGVAHFLDGADKFVFDDRLHVLLRKSETGLVGNLPELMAPYTPQLVAVPEDCRSMFITFSKGMPPHKEEIFEYFREKWGDCVVRVLMEKTKGSHMPMYGWIIFKTEAIVKLVLNGERLVKIFIGHRQIWLRKYVPRPTKATA >SECCE6Rv1G0431580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746883485:746884263:1 gene:SECCE6Rv1G0431580 transcript:SECCE6Rv1G0431580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKQLPTVLLAVCATLLALAAPLLAGDADMLQDFCVADYKSLDGPLRLNGFPCKRPENVTADDFFSSALALAGNTGNPVGSAVTAANVEKLPGLNTLGVSMSRVDYAPWGVNPPHTHPRATEIIYVLEGSLDVGFVTTAGKLFTRTVCKGELFVFPRGLVHYQKNNGGAPAMAISAFNSQLPGTQSLALALFAASPPVPTDVLARALQIDGGLVEAIKSKFPSK >SECCE5Rv1G0322010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:415238716:415240018:1 gene:SECCE5Rv1G0322010 transcript:SECCE5Rv1G0322010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKDYLTCLKSTGAQSEKCRTFSKKYLECRMERNLMAKQDMSELGFSDTDVLVTPSEENHKLQSPATDSKERK >SECCE7Rv1G0493530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:569767849:569770031:1 gene:SECCE7Rv1G0493530 transcript:SECCE7Rv1G0493530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSSRFATHIPLLLLLLLPLLNPPACAVVTDSIRFTSFSFNRFDQGIQYLTKKLTFTGNSTAGQGALQITPLAIEESYLINQTGAVYLSSPFLLSKLTPDGRKVVASFFTTFAITFRRINGSIKGGEGLAFLIASSDSGPPPGSYGGYMGLSNGSTDGNAANGFAAIEFDTVQQDYDIDGNHIGLDVNGVRSLCATPLAPIGINLSDGTSHTVTVEYDGSSRYISVYMSTVDSDSKPVLRSPLDISRIILGRDAFFGFSASTGLRYQRNYVTNWNMTVELLDDHPKKTSRSSLKRAVQIGLSCMAVLVVLGILGVALFLVYVNKTSKTNGTIDFRTVPGILKEFSYREIKAGSNNFHDAAKLGQGAFGVVFRATVQNTVVAVKKFSAASKKGQRDFMAELRIINGLRHRHLVKLLGWCHHREELLLVYEIMENGSLDKYILGREGRVLSWNQRYNVVVGLASAVNYLHNEYGSIVIHRDIKPSNILLDGAMEVHLGDFGLARALDKSGAQAISAGGTLAYMAPECLAANKSSKESDVYSFGTVFLEVVCGRLIGDLWEDLWTLYGAGSGRIVEAVDQKLAGQFDRGDGERILLLGLACTHPNPGERPGMQCVMRVLARSESPPAIAPSNVWPLCLAFHQHGSSGIALTGSISTASGNIVTA >SECCE3Rv1G0193120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:765791785:765794238:1 gene:SECCE3Rv1G0193120 transcript:SECCE3Rv1G0193120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINEPLLSSSSQRNCSRSKVLLVTPILVATLLCLCFAAFLLLSPATVTVDLCTNSPDPASCHAIVAEAVLTSPDARPSRPVQVLRAILARSLHQHDAAAHAVAGMHRRASDPRQRAALADCVQLMELARDRLAGAADQASVAPEDARTWLSAALTDHVTCLDGLDDGPPRDAVEAQLEPLKSLASASLAVLNAVGSCTAADDIARGVLAEAAANELPSWVTADRALLEGPRAVQADVVVAKDGSGKYKTVQAAVDAAPDGGKSRYVIYVRKGVYKENVEPQRGRRRHHFQLGHSSRGGRRGHPTGIENTAGPEKHQAVALRVSADRAVINRCRVDGYQDTLYAHQLRQFYRDCAVSGTVDFVFGNAAVVLQNCVITARRPARGQKNAVTAQGRMDPNQNTGTSIQRCRIVPADDLAPVAEASPTFLGRPWKAYSRTVYMQSYLGAHIHPRGWLEWDGDFALNTLFYGEYANEGPGAGMAGRVKWPGYRVITDRSVAVQFTVGQFIQGGYWLKGTGVAYDDGL >SECCE3Rv1G0165240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:171022038:171022757:-1 gene:SECCE3Rv1G0165240 transcript:SECCE3Rv1G0165240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVVTDAPPPSRFSPDDLDNFAASLPQPTPILVVSPNPSPAPRLLVVLISPTSLALLPSPPPPLHASLLLPELPLQQSRAPIRVYLHPSSGALLAVAHGAIPAHRARAAARSLVSALQPEEVLVLDTVRSGAYRGRLSADELVEGKLETGAARRRGGVGAAKGVAALAPPGSVMDGLGAAVMTECEIRGKAASMVVTWPAAARPSDFGVMRRVAAELGVDLKAAARVSGRAGLGALYT >SECCE4Rv1G0287100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853119802:853123341:1 gene:SECCE4Rv1G0287100 transcript:SECCE4Rv1G0287100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISEGFLHETSDDLEELGSEYYKELILRNLIQPNTKYVDQCVCNMHDVVRSFSQFVARDEALAAHSGETNIVSKLNAHKFLRLSLESKTSQSDGIDWSSLQTQKTLRTLISAGWINIKDDDSLVHFPSLRTLHISSTHCVALLESLQELKHLRYLSIQSTDISSLPDSIEKMKFLQYINLYGCHQFVKVPHSILKLGQLRYLNFNKTSINCIPRGFHVLTNLRNLIGFPALVDGEWCSLQELGPLSWLKELEMHGLENVTTSSFAANAKLGEKVHLTDLSLYCGSRLGDDGLIKEHQWIEKVFDELRPPPRLEFLAINGYFGRQLPRWMMSSSIMPLKSLRILFIDDLACCTQLPDGLCQLPYLEYIQINHAPAIKRVGPEFMQSYHGHNPRPFQVVAAFPRLHKMNLAGMVEWEEWEWEEQVQAFPVLQELILQHCKLKCLPPGLGTQASAMNELAIYSVQGLISLENFLSLVELDLDENLDLERITNLPRLQKLTVKDCPKLIALEGVHTLQRLILTDKDMETLPEYMGHINPRHFELYCSLALLASIATGRFGPEWDKFSHVEHVKAYAREGDNMKKCYVFYTAVPYDLETNVISLSAFRSRGTLTSFEDTQIFESVFKMTRKAFSYICSLVMERSMEDMYSITFVNGRVLRLEDRVAVALRRLYSSESTETIGSSVGVNESTVLLVTQRFVVAMSEQAKHHGYWPESTRMDKIKSLFDRIHNMHNCCGVICTTHIPFGPNRECEKNDGIPVQLIIGPDMRFMNIWWGPIGRANQSSILHESQLFEVCERGGWLNGSKLRVALDGSEVGEYIIGDAGYPHLPWLLTPYQEEELSDSKAEFNRRHSAATSCALKVLARFKDTWKYLQGETPCDVSLNTLVYTNLACYYLHNIIIDMEDDATMPSSDLKDSNYCKEVRQLENEDAVRARDMLSQYFLTTMSSELEVTQVNTGEDDAVATSGSWEENKKQEEQTRTTGKEIICCPSRLCAFPLGLLLVTLWTWLVLYLYSGVKASLEK >SECCE4Rv1G0287930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:857381126:857384488:-1 gene:SECCE4Rv1G0287930 transcript:SECCE4Rv1G0287930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYERIEKPSFPTQGGGFSPKRLRAMLLGVERRRKDAGAGDDDGEEQEEEEYGAVPMASVRSDDDTRRGGSMCEEFKDVDVVSTMSGSSSSLDTGGGHRSRDSHSMGSRFRVPEEDSCDSESVASNFEFHKERGASARSAPTAVVAPFSKPPPSKWDDAQKWIASPTTNRPGRAGGAFPRKMEKTGFGGGRLPATKVVLEAMEEIDTKRIDPSQEKREIGWQKAANWATPEPYPEVEPCAKSAPAAESTIIDSAVTLSCDSSTTLQSATACIPPPPTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPDCSRPTTPRRTLGINAAGPVINRGECSIADLSEEELQMKTRREIMLLGTQLGKTSIAAWASKKEEEKDASLSLKTVSSDQSVQNATEVRAAAWEEAEKAKYLARFKREEIKIHAWEDHQKAKIEAEMRKIEVEVERMRARAQDKLMTKLASTRHTADEQRAAAESKRDRSAARTAEQAEHIRRTGRMPPSLGCWNWCS >SECCE5Rv1G0308150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:125732570:125737250:-1 gene:SECCE5Rv1G0308150 transcript:SECCE5Rv1G0308150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHGGGDESETEMRRPPSPSTPPSDGVDSAKSTGSIEDDDHQAFSDDEEDEDLEKYHPFTVDDFPRVSSEFDEQSDAVFQNPDIQLRGPSAMFLFRAFNDAVVGKHRHFFGRQYQLYDESEVNVNNNVRTIDCSHGCRCVPSGVLQFIDLKIAGYRHVQPGCANIFGFFAVRENVEPLRNYVYRRGIDNCEAVNVKRDTGIAHLSLTSPARCIHMISRVLFEFKLSVRTDDQPEDGPKDDLLIEGCTEFTDFMNMYKTGPFIETRRLYGEKCGLDMKFLVLWNAVQAKVDVEILRAPVDGLNLNLYAKTSGFRDAIRLFSGVTESGCRMSSVVGVMRYSYLILCIEGSLKDGGFSQELPCCMWQGRFASGYHGTVHEVVYLHDSTKISVKVTWKAVDCLHDLEH >SECCE2Rv1G0118320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:781848242:781852193:1 gene:SECCE2Rv1G0118320 transcript:SECCE2Rv1G0118320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMMVGSVAPVGPVGRWGAAPPQELLERMKDYGQEGAFALWDELSPEDRDLLVRDIESLDLSRVDRIIRRSMGSQGYVAPAEPVPESSVSRVEERSPEDKERWWKKGLRAISEGRLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCIQKLAAQSSDSPRNTLPIHWYIMTSPFTDDVTRKFFESRKYFGLEADQVTFFQQGTLPCVSDDGRFIMETPYKVAKAPDGNGGVYAALKSKKLLDDMSSRGVKYVDCYGVDNVLVRVADPTFLGYFIEKGVSSAAKVVRKAYPQENVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQSTGRLRYCWSNVCLHMFSLEFLNQVANSLEKDSVYHLAQKKIPSIHGYTMGLKLEQFIFDAFNYSPSTTLFEVLREEEFAPVKNANGSAYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >SECCE7Rv1G0509840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:783822314:783823141:-1 gene:SECCE7Rv1G0509840 transcript:SECCE7Rv1G0509840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLGCSYGDLIFSYEEHCLLVDVHNGTKVKPPKLPSNNRLGYFCGIGILTGPLSSPNSRLLLCSRTSMFEWQVGTNSWSEHPLALKGERIHQIVIFKGVIFVMDVLVRLHTIHLSPEFSMQKVKIAWDLESFFINPWLVVCGDMLLMLDHFIESDLNDNSCYTFFKVFHLDFSVEPAKWVKKERLKNQALFVSLDRRNPAFSCTSPERWGGKSNCVYVAKLFDDPDETWTAVEVGQRVRKETVHSLYYGLSLPSDYSHLASLWLYPSLVYGTSQ >SECCE6Rv1G0397730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:401715348:401718940:1 gene:SECCE6Rv1G0397730 transcript:SECCE6Rv1G0397730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDAIRTAIGVIGNGTALVLFLSPVPTFIRIWKKKTVEQYSAVPYLATLLNCMMWVLYGLPLVHPHSMLVITINGTGMLIELTYIALFLTFSVGAARRRVLLLLVAEVAFVAGVAALVLSLAHTHDRRSMVVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSVASLVNGICWTAYALIKFDLYITIPNGLGVMFAVGQIILYAIYYKSTQQILEARKRKADQVPMTEVVVDGKSGNATNSGAANGNY >SECCE4Rv1G0258390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677620791:677622134:1 gene:SECCE4Rv1G0258390 transcript:SECCE4Rv1G0258390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEEPSKTVNIPSIVQDLVTCVQEPPSQYVVPEQNRPDVACSEMPEPIPIIDLSHLPAPGNSSDEVAKMQSALENWGLFLAVGHGIEPSFLGEVMKVTREFYKLPLEEKQKYSNLVDGQEFRVEGYGNDIVVSEKQTLDWSDRLYLAVEPESRRIYSLWPTHPPSFRDILSEYTVRCREIASLLLRHLAKMLDLHEDYFVEMIEVDAVTYARFNYYPPCPKPDQVLGLKPHTDATVITVVFIDDNVSGLQVQKNGVWYKVPIVPNALLVNTGDAMEILSNGFFKSPVHRAVTNAEEDRVSLVMFYTPDPEREIEPAAELVDEKRPMQYRKIKTKDYLTKLFETFAEGTLVIDTVKI >SECCE5Rv1G0361600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780313783:780315048:-1 gene:SECCE5Rv1G0361600 transcript:SECCE5Rv1G0361600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCELPPPKKKSAPTTISSLSDDLLREVFVRLASLPSLVRAALTCRTFLGAVRSSPAFRHRFRDLHPAPLLGVFLDIFGPDMHVFRPICRRHDLDHAAAVRGADVFLTRVSDLEDEVDGDGPLWSMTDCRDGYVVLVNRRTKRAAVYDPITRGLHLIPAPPKEVCKDPEDAEVEFHVVTCEEDRRSFRVVLVCGSEENRAERVAVFSPDSREWQIAIAPDAGSPQLQVEDIGTLVNGCVYWTDGVGDIHVLNAATLQFSQMDPPPTRMGWLQACKFGETSDGKLCMAWTSDRDRVLDVSIWRADDDNDGVHKWMPGTTGFEMLDAIDKLKLRFEDESPSMLEVNVIAIIGGTVYLSTFDSWASPPSSYGWFLSFCIETEELKKVCHITRSGSSYPYVMAWPPVLVRNSNKVNSLGQKGLD >SECCE5Rv1G0301450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:30801496:30802700:1 gene:SECCE5Rv1G0301450 transcript:SECCE5Rv1G0301450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQSHREERAQAAAQKAPDELAAARRGMLEPSSPGRRTGIFGSVQESARSLLGAVCDTFSGGVRDTTTAHDSHSTGATGTAGEKLNEYGSYASQKAEEGKENASEMADTAAGKTKETKDAAAEKTREMADTAAGKAAETKDAAAEKARGAGKMVTEKARSAKDAAFATAEGAKEYMVDKEEDARRALAGSAKDSKGETNESAWQQGQDVRRRAAEKAEEVGQRTHQPPEEERSKSATENIFGSAKGLTEAFKEKMTMPTDVIEQKLAERKGTPTDAGRGEVLNADDVIMRVKEADQMTSTGFNDVGKMGEEGTAMKAALRADEEEDVMLRVKAADQMTGQAFNDVGPMGEEGTGWGPALRARKDA >SECCE3Rv1G0166620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:189434426:189444169:1 gene:SECCE3Rv1G0166620 transcript:SECCE3Rv1G0166620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFSIRGYAASMRSEAAAEGRRPLGIEHLPPIKAPRFRWWADELASAVAAAAAAAAPSPRRSPGKAKPPKKRSISDLFAAAPPLDVPPAGDSGCNEQTVVDGDEALCAIVRRAKEEKKRKRRLQEEQEETAAAAAPVSSGGRDPRSNFAATKEVLENSNLPDELDACPSQKPESSQHRRKERENISGRTKKGKISTARKKADTKKCIDNSKAGKVGKSQDLGKSQSRQGILKYTEHPSVIMANEKDVKSKGSEVIDLCCKSVKRVKFSEADGKIDSDRQGCELPKQQSLCKLFSDAMASLSSLSSSSSASIEGDKCVTAESSSSDMPKEAFTKTKYANKHCDNEYSAEPSNRKISAPFIDLNMTPPECTDLDCTYDSNLEVPNLEHTHDETLSSDAELLAGGENLMNLSFASQGLESQPPAADFETIKSSRSAGTFIRGEPINVSDVAAVGSPLSLRELGETHRGCSNVPVKDTMTTSTSPCALPDHTFQGSFQQHKTRFSSNLNNVGSQLSREYYVSHSKELYSRSELNVQHECSPSTGQTVRLMGKDLTVCTTRGESFAETAQKHTGTTTNDYLKTNGLLPQGQPFFSLQAQRFPNVAVNSTSATQASTYHASTSQAHLGYRTPHDFSHPFPAANLFSGVRLPYENRYGDFSNSRTNQTFLLGCPSLPNHSSAAFHQNTPRPWRYFSDPIAGEVPPAAPFLPITGQHGTPPSVFQDNLPRQHVRHSARSSVCPLNSVSYTLSHPGRVVQEVSNSTRDAALPSRNTENRTGRAVPDNSKASSSCPSVQKRAGPVKLTPGAKHILVPSDSAHGDSMPVYSCLSFGSRSGNAAGSQNKGA >SECCE1Rv1G0048350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:634080804:634081217:-1 gene:SECCE1Rv1G0048350 transcript:SECCE1Rv1G0048350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFDEADILWPDNHEDEDRVQVQDRPQQQQRESPAIKPNDKVGAARRPAGSSSAPMGIPVAPRHPMMMDHGSWARRYHSDGVGNGSFVAPHVMTARRRCASSEERSVCVGQGRTLKGRDLLYVRTAVLRLTGFLET >SECCE6Rv1G0418910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:665321086:665322686:1 gene:SECCE6Rv1G0418910 transcript:SECCE6Rv1G0418910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKATGNGEDPFRVLPDDVLDHILSFLPGDDAMQTCVLNTQWRDLWRRKTSLRFILDEWSSYSTQRFNQVVKLIIHLRGDSPLTDCQINPCGDVGNCDFSQTKLLIEYVLKWRVEDLLVCAGEYVDDPLLLEARLISPHLRTIEFGDVDLVDSSLDFSGCPVLEELAIESCCVGTRKICSKSLKHLRFTGDCIFSDEIHSDIAAPCLISLELGNFLNLTPSLEEMPLLEKASILLGNECCNVCKSDEEDFSDLTCGCANKKCLLLNGLSNAVDLKLIAAPELLIFKRDLEWRPKFDKLKTLILNDWFTAIDLVCILQHSPNLEKLTLKIDFPEKFLGATGSRQSFVCPPHLVVYIKCRKVDNGVHRILEVLSTCGILPEQISIKCRDRT >SECCE3Rv1G0208870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:935459194:935459427:-1 gene:SECCE3Rv1G0208870 transcript:SECCE3Rv1G0208870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIQAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE1Rv1G0012180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:88904326:88907905:1 gene:SECCE1Rv1G0012180 transcript:SECCE1Rv1G0012180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSLGAPRSSTVKFRMPTRDNLVPIRVDIEVDGQRYRDAFTWNPRDPDSEVIAFAKRTAKELKLPATFVPQMLQSIQGQLAEFRSYEGQEMQVKEKIMPLKIDLRVNNTIVRDQFLWDIGNLESDPEEFARTLCDDLNITDPEVGPAIAVCIREQLYEIASQTVSAMREAKMSKKRRAPEFASNSKAMNNAVDMFKYFGSKGSVIRKKKEWYLYEPVVDVVPKEEAAVVDAKEHLK >SECCE7Rv1G0475240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:183703328:183707852:-1 gene:SECCE7Rv1G0475240 transcript:SECCE7Rv1G0475240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKSSNADVLQNSNQAEQEDKAPKFVQISPETYAHLTDSEEQVKVLDEKVKTLNEKLSASQSEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKVQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAELEEKLLEFDHELIRAGAENDALSRSLQERADLLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYEVHVVTKELEIRNEEKNMSARSADVATKQHLEDVKKITKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGMGRDYGDNRLRRSPAKNNSFHRPMSPMSPVPDYAFDNLQHMQKENEFLTARLLTMEEETKMLKEALAKRNSELQTSRSMYAKIAGKLRTLEVQMVTGNQRKSPSNPNMDVHFDGAHSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHIKKEKVAKSSVTDGSSRLELMDDFLEMERLACLPSEANGHDNAVDKIKIVDAEAAVSGLTESDGVKDLQSVPLPGTPSSKQQLSEGSPLLKLQSRLSSLLDSESPQNNAGKVLNSIRNILKDIEEEADLMNASKMVEVSESESLMNQDKRLSIGSKHSMDQEVINAVLKIQDFVKSLDQEMSKHQRPSSDYDGLSEKIQQFSALVEKVLSNENVINDIIMTLSHILSETSEIKFTMSRDSTNEADSNNLDYVDKVTLLENKVQPHSSSGPCPLIPHPSSDPEIVGPNDAGFDVKTTVQMCSPEDYERLKSEKINLETELARCSEMIEDTKCRFSEMEKNMEELTSKLSASENSNSLAETQLKCMVESYKILESRKVELEKEIEVLQSKIETLTAELSDERQSHQDDLARYKDLKEKMERYENEQSSVHVEEVDDTKSKQEVEIAAAAEKLAECQETMLILGRQLQAMRPPAESMGASPTRQRMEDFLQDAAGTTEGGEYAQKPSGQHDTDQEMLESENVSPLNGYKTHMTPSDVDGSPFLSTNSSKRPKHRSRSSSSSSFANQLPEKQSRGFSRFFAKGKE >SECCE3Rv1G0183880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:655971838:655972464:1 gene:SECCE3Rv1G0183880 transcript:SECCE3Rv1G0183880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATQPDMELQKDQRHPLLSNRTDGTNNLSPMQKAIRQAYQSTGHLAKLLPSGTVLAFQLLAPTMAKQGHCSDLGRMMTGGLVVLCALSCFVLSFTDSFRDENGKVRYGFATFKGLWVIDGGVTLDPHAAVEYKIQFLDFFHATVSAMIFVAIALFDQNVASCFYPIPSEDTKQVLTTLPVAIGVIGSMLFVSFPTTRHGIGFPVSPQ >SECCE7Rv1G0454310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:2107495:2108037:1 gene:SECCE7Rv1G0454310 transcript:SECCE7Rv1G0454310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNMVAPLLVLNLIMYLIVIGFASWNLNHFINGLTNRPGVGGNGATFYFLVFAILAGVVGAASKLAGVHHVRTWRGDSLATSASSALVAWAITALAFGLACKEIHIGGYRGWRLRVLEAFVIILMFTQLLYVLALHSGLFGNQFGNHAGGYPAEHAYGAGGDPHNKGMGTGGVARV >SECCE5Rv1G0322580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:421942659:421943306:-1 gene:SECCE5Rv1G0322580 transcript:SECCE5Rv1G0322580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISMDHLLNIKPPLPLPAPSASTGNLKLRTSTEAVLKARHLLHAFVIKHAARLAGALAGARSALLALLNAKIGLVATKLRRRSSSTKARKKRKEPCCPGGGGGNATPTQYLNLLPDGAVPSWSLGGSSPAEASASVAYYYDPSWNAVIPAEQLSPVIGGRCLGWPEEDGLEEEGGCNEIDRLAERFIARCHERFVLEKQASFRRFQEMLARSF >SECCE5Rv1G0344230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644339780:644341084:1 gene:SECCE5Rv1G0344230 transcript:SECCE5Rv1G0344230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSTKLVKPAYTAGETPVPATEYIPLSIFDKVTFNMQMAIIYAFAAPAPSTAAIENGLATVLAQYRAFAGQLGEAPDRTLSVILNDRGARLVEATVDADLVDMAPSKPTPELLKLHPDLEAEHEEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLPMGLPPVHHHKDLFKPRSSPRVEHDHRSREYYLPSPSDVVAHHGDAANNIVIHKAHFSKDFIAGLRAKASEGRGRPFSRFETILAHLWRTMTRARDLSPEETSKIRLSVDGRHRLGQPAEYFGNMVLWAFPRSTVGDLLNRPLKHAAQVIHDEVAKVDGAYFQSFVDFASSGAAEREGLARSAVCKDAQCPDVEVDSWLTFPFYELDFGTGSPSYFMPAYFPTEGMLFLAPSNFGDGSVDAFVPLFQENLQAFKECCYSME >SECCE4Rv1G0294920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:893653589:893654844:1 gene:SECCE4Rv1G0294920 transcript:SECCE4Rv1G0294920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHTLSFSTCRCFLLALCVLLAMSCLMLAGCSSESLPTSDDEHSGNHHDPMMERFQAWMTVQNKSYSTAAEKVRRFGLYRKNIRYIEAVNARAATSGLTYELGEGPFTAMSNQEFMALYTSQIPEGEHGEDGEQDEQIISTHAGPVGHAGTNTVYANFSVSAPRSMDWRKRGAVTPVKDQRHCGSCWAFTAVATIEGIHKIKRGILVSLSEQQLVDCDRVDDHGCNGGLPSKAFQWIKKNGGITAASSYRYKAAMGSCLTNRKPAAKITGLMRVKSNSEVSLMSAVAVQPVAVGISVHGRDFPHYKRGTYNGPCGGSLNHAVTVVGYGQQKQNGAKYWIVKNSWGTTWGEKGYIRMKRGTKNPSGQCGIATSPVYPLMEGGRSTD >SECCE3Rv1G0184160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:660242056:660242759:1 gene:SECCE3Rv1G0184160 transcript:SECCE3Rv1G0184160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQADKAAAPADDGIHEESPASAQNGRPGSEPAAAPEVEVQLFRRGRGPVAVFRSPLGGYTQDQLEVGDILEKYGLKCVFAFDAASRARGVAIRFNPRNGRSLLPYAADSTIFLDGEPKDPLLKPITKVMLTVCAMTVVTAVILKEAKMPEWLKGTKLGNLKFPPWVLACMVIVFVRLRKRTMDVMKKIGWAS >SECCE6Rv1G0426660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:714322928:714323194:1 gene:SECCE6Rv1G0426660 transcript:SECCE6Rv1G0426660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDMTPRQPAKAYGGEGGTYYEWSPAELPMLGVAFIGAAKLSLMEDAAGHHTGLRPHAGVPPRAHLPCRHPPRFQLQHRPHRPHRLL >SECCE5Rv1G0307230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:108281281:108285090:-1 gene:SECCE5Rv1G0307230 transcript:SECCE5Rv1G0307230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHAVPGAAGDAGAARADDEARALLPASAPGGDHGDAGSSSGGEEEEDLEERAFEASEKVLVSISDDPDADADLEAQLASSSGSPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAAAGDTLLWLLMWATAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVAMVGADIQEVIGSAIAIKILSNGYLPLWAGVIITALDCFIFLSLENYGVRKLEAVFAVLIATMAVSFAWMFTDTKPNGKDLLIGILVPKLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPKKEYQVREALRYYSIESTVALAISFMINLFVTTVFAKGFYGSKEAGSIGLENAGQYLQEKFGGGFLPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSFAIVPTIIVALFFDKSDALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFRIGRKMQAVTWTVAALLITINGYLLLDFFSSEIRGPLYGSVLCVAVLAYASFVLYLILRGTEISNQMIVAIRKRLS >SECCE2Rv1G0142340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:939357965:939360118:-1 gene:SECCE2Rv1G0142340 transcript:SECCE2Rv1G0142340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAAIVGGIVTAAATVAAKKLNTLVGNRSCEAAVKVNAQFICDEVGCIEALLIQAGSKRLSVLQKKCMEQLSSLACEIEDCVDLFHAGRIKGFSDEIDRLRTKSLETRDRMQTYLEIGERIAPGTPDGDVEKTDRMLRYLKPGQGIIDGGAERPADELQREFLDVLDTQALRAWFAKEHNRLPGTSQGAAVVPQELLDLLQDSNHRVWVLENFDCLLYWSLFRPKHDVNTKPLMRTWAAEGLVQGDKDAAENLRFFIDKGIIRSVHTNRSNNGEVETCQSTTEMHACISQKSMSQNFVMVFDGAAGITDLAVARRLSLHPKAHVELEKIHELPRLRTLAVFSDDDVDVDSYEAVLDFSRYKVLRVLDLKESAPLSKQHLMDIIDDHHQLLMKYLSINLGSIDEIPSSIKNLNQLETLDLSGTETVAVSQEVLLLPKLKHLLGKFQFLKRESIISHSGVREFLINESNLETLEGFVTGSRYGFQDLMSLMKNLRKVKIWCKSDASQAKLNVLSDAITKFIHRGINEPQCTRSLLIDFEACTRGFVNDIDPVKDCTLGPLELCGKLSEFPRFVAGLSQVEDLCLCSTGLSWVAIRDGLKNVRGLKFLKLVEDNLGHFDVDIPEKEADHLRSIKRITIVSSVRLDMTIPDKALPLLVSLRILCQALDVSPAPSGVNISHMEELEEIALHLGVDAAIRIKWEEAASVHSHKHVHVTEGP >SECCEUnv1G0547050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:166552761:166557074:1 gene:SECCEUnv1G0547050 transcript:SECCEUnv1G0547050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose transport protein SUC4 [Source:Projected from Arabidopsis thaliana (AT1G09960) UniProtKB/Swiss-Prot;Acc:Q9FE59] MPPRRPSTGGGTSSSAAAPPAPPPRKVPLRSLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLVGHLSDRIAPANSPLGRRRPFIAAGAASIAFSVLTVGFSADLGRLFGDDIVPGSTRFGAIIVYLVGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYNGWYKIFPFTITESCTVSCANLKSAFLLDIIILAITTYVSVVTVQDNPTFGSDEAAPPSSHEEEAFLFELFGSFKYFTMPVWMVLIVTSLTWIGWFPFILFDTDWMGREIYRGSPEIVADTQKYHDGVRMGSFGLMLNSVVLGITSIGMEKLCRKWGAGLVWGVSNIIMALCFVAMLIITYVAQNLDYGPSGAPPTGIVAASLIVFTILGAPLSVTYSIPYAMAASRVENLGLGQGLAMGILNLSIVIPQIIVSLGSGPWDQLFGGGNAPSFWVAAAASFVGGLVAILGLPRARLGPKKKTTQR >SECCE1Rv1G0038520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:540328649:540329146:1 gene:SECCE1Rv1G0038520 transcript:SECCE1Rv1G0038520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYSSLGSSPPQVAVAVVTRGARARRSLELTHTKETNAWEGLAIGAVTLARTFSTGSQRLCSRSGDRARGALPGAMRRAFSMRRHPAAPGKADGHYWRIHDMEGDSDHGDGHNAVAEEERGVEKEREEKKEARREEGQAKEEAKTKKKRGNHNILKACKKLFRL >SECCE1Rv1G0040770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:565916824:565917693:1 gene:SECCE1Rv1G0040770 transcript:SECCE1Rv1G0040770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQSTGVRFSDVPVNPGVSFHYILAFAIDYTPVAQQPTPAPTNGAFSAFWDTANLSRAEVAAIKAAHPNVSVMAGLGGDSVLDIVKVSFAPTSVDSWVANAVASLSRLINEYGLDGVDVDYERFAAGVSVDTFVECVGRLLTRLKAAFPNITTSIAPFEDDTVQRYYRALWAKYSRVIDYVNFQFYGYGANTDVPTYVMFYDRQTGFYPGARVLASLQTGKTTQELGLLSPDQGIAAAKELLRQNKLPGFFIWSADSSKQSTYKFTYETRAQEIVANH >SECCE5Rv1G0330610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:531553595:531554980:1 gene:SECCE5Rv1G0330610 transcript:SECCE5Rv1G0330610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQALVALALPLLLAASLYASTPPDTAEAFAGDVRVHLTHVDAGKQMSRSELIRRAMQRSKARAAALSVARSGSGRVAGRSAQQGGQQQQPGVPVRPSGDLEYLIDLAIGTPPQPVSALLDTGSDLIWTQCAPCASCLAQPDPLFAPAASSSYQPMRCSGQLCNDILHHSCQRPDTCTYRYNYGDGTTTLGVYATDRFTFASSSGETLSVPLGFGCGTMNVGSLNNGSGIVGFGRDPLSLVSQMSIRRFSYCLTPYTSTRKSTLLFGSLSDGVFDSDDAATGQVQTTRLLQSRQNPTFYYVPFTGVTVGTRRLRIPLSAFALRPDGSGGVIVDSGTALTLFPAAVLAEVLRAFRAQLRLPFTNSSSPDDGVCFATPMAAGGRRASAARAVPVPRMVFHFQGADLELPRRNYVLDDPGRGSLCILLADSGDSGSTIGNFVQQDMRVLYDLEAETLSFAPAQC >SECCE5Rv1G0320280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:385569199:385569836:1 gene:SECCE5Rv1G0320280 transcript:SECCE5Rv1G0320280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMLRSAVAGLALRRLRLPARPAAAVSFSSSTAAGRFEKRQVDEKNDESDAARRRRKQERHGISRGHAGDSGDSSWMTMMLDDDKPPSTGPDSTTDSSTRRASAAAESDVSSPPSGGFWGGESSSSWGSGGGGSGFGGGDCSGD >SECCE3Rv1G0189540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:727122146:727127619:-1 gene:SECCE3Rv1G0189540 transcript:SECCE3Rv1G0189540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MPSRWIPAAGRQQGLLTRLRSRSYSHGRVFDAAARDGELRVFVIAGEVSGDSLASRLMASLRKLSPVPVRFAGVGGELMCKEGLQSVFPMEEIAIMGLWELLPHIYNIKRKIKDTLDAAILFQPHAVVTVDSKGFSFRLLQQLKCRYNQKVDSPLHVHYVAPSFWAWKGGESRLSKLHNFVDHMLCILPFEDKICRLNGLPATYVGHPLLDDAAGLNVAGTSLQHKNVDSELSPDMSMRQRSGEAFRLEHGLSPDATILTVLPGSRMQEVARMLPIFFRTVQHLSHTLNDLSLVIPVAPHRDVRTYVENVVRSAPFPVVLIPGGSLEKRYGAFNASKAALCTSGTAVMELMLAKLPCVVAYQAHFLTECFIHLRKRINFISLPNILLDSPIVPEILFRACTDKNLAAKLREVIFNDEVRHLQIGSAERMLQVLYEPIKRRGNLFAEELGDSGLSSDVYSPSTIAALTVLYTDKNRRMVDRS >SECCE3Rv1G0189250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:723199858:723203964:-1 gene:SECCE3Rv1G0189250 transcript:SECCE3Rv1G0189250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAEGNFAALGPAGGGARRRSGSFGMKRMSRVMTVPGTLSELDGEDESEPAATNSVASDVPSSVSGERLLVVSNQLPILARRRPDGRGWSFSWDDDSLLLQLRDGIPDEMEVLFVGGVRADIPLTEQDEVSQALYDRFRCVAVFLPESLHDRFYHSFCKRQLWPLFHYMLPFASSPPTSTSSSSSSSAPPAGSGRFDRGSWEAYVLANKFFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLEYFGRTVGIKIMPVGIHMDQLQSVLCLPDRQWRVSELQQQFEGKTVLLGVDDMDIFKGINLKLLAFENMLRTHPKWQGRAVLVQIAKPVRGKGKDLEAIEAEIRESYNRINGEFGRSGYSPVVFIDRDVSSVEKSAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGIPRSESSSEVTGPKKSMLVVSEFIGCSPSLSGAIRVNPWNVEATAEAMNEAISMSDQEKQLRHEKHYRYVSTHDVAYWSKSFIQDLERACKDHFRRTCWGIGLGFGFRVVALDPHFTKLNMDSIVMAYERSESRAIFLDYDGTLVPQTSINKTPSAEVLRIINTLCSDKRNIVFIVSGRGRDKLGEWFSSCPKLGIAAEHGYFLRWSRDDEWQTCAQASDFGWMEMAEPVMNLYTESTDGSYIENKESALVWHHQDADPGFGSSQAKEMLDHLESVLANEPVSVKSGQYIVEVKPQGVSKGVIAEKILISMKERGKQADFVLCIGDDRSDEDMFENIADMIKRGMVAPKTPLFACTVGQKPSKAKFYLDDTFEVATMLSALAEATDPEPMTSSTDELATSMSSIDIGGEQSQSRGRPFDGL >SECCEUnv1G0554030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:281180705:281180953:1 gene:SECCEUnv1G0554030 transcript:SECCEUnv1G0554030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPSLSPRQCPDRYAFRAGRNLPDKEFRYLRTVIVTAAVHRGFGRRLPCHQFTNFLDLPALGRRQPPYMVLRLCGDLCFW >SECCE5Rv1G0355730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:733842300:733842755:-1 gene:SECCE5Rv1G0355730 transcript:SECCE5Rv1G0355730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHASAATAQKRKCPDGETAGMCAAGCGFFGAAATGNMCSKCYKDHVVAASTAPPEKKAKMTVSVASADAAGEAEPSVASAKQPAARASRCAACRKKVGLLGFLCRCEGTFCSVHRYSDKHDCGFDYKAAGQEQIAKRNPVVVAEKITRI >SECCE5Rv1G0327700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:495311743:495313638:-1 gene:SECCE5Rv1G0327700 transcript:SECCE5Rv1G0327700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSAAELERAERVVMRWDSTASAPAGGGGGGGEDQMLFDGGGDRAEAERFLQAVDDLRRLAPPSPGSPRRTSSAGASGAVQVAMARLEDEFRHVLASRAVDLEIEVLADLSSLSMCSDRSSFSDVGDAPPVDDDSVESSVGRRSSYRSMRSIREIDLLPPEAVTDLNAIASRMAAAGYDRECVQVYASVRKPAVDSALRRLGVEKLTIGDVQRLEWDALEVKIRRWIRAARAAVRGVFASERRLCFLIFHDLPFSNSTIATPAPTTTPAAPFVETVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDVSDIFAASKAGESIYVQTTEIKARLADAVRGILSEFENAVLRDPSKTPVPGGTIHPLTRYVMNYIVLISDYKATLSELIVSRPSASSRVSAEGNELTPSFPDLDLPDPDSQLPLSAHLIWTIVVLEHNLEGKASLYKDPSLSHLFLMNNVHYIVHKIKDSPELRGLIGDVYLKRLTGKFRLAATAYQRSAWLKILNCLRDEGLHVSGGFSSGISKSALRERFKSFNAAFEEAHRAQSGWYVPDTQLKEELRISISEKLLPAYRSFLGRFRHHIENGRHPELYIKYTVEDLEISVTDFFEGSPPPPHNRRRSHG >SECCE5Rv1G0354710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:726317080:726319536:-1 gene:SECCE5Rv1G0354710 transcript:SECCE5Rv1G0354710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRMTVSYSGYVAQSLASSFGLRCTTAAAAGSGAAPGAGCRVLQDALSRPFCLFASSRRVEQHNDAEDHNHPKPKPRPKPLPAATAGAGRPTKALPAAAGGRQLTKLVPADGGSYSLFVSPSGSTKADDPPVSLAVGLLSVLASGVGSGPGIAGVSSLSASPSISSGLNPATLLPFLQATKWLPCSDIITGASSRRSVRPADVSTAPSRRPAPSPVPSPAVAAPSKAGVMALLGSSGAAAAPAKMGVKALFGSSGAVTAPSKVGSVVAPLVGSSAVASGAAGVVRRSSAALGAAAGASKRTSWLSRWVNSCSDDAKTVLAAVTVPLLHKSSLAEPRSIPSKSMYPTFDVGDRILAERVSYIFREPQVLDIVIFRAPLVLQALGYSSSDVFIKRVVAKGGDIVEVTDGQLLVNGVVQDEDFVLEPPDYEMDPVSVPEGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSKITDTIYDHIDHDVVHGMAGIS >SECCE1Rv1G0056380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:686738085:686741385:1 gene:SECCE1Rv1G0056380 transcript:SECCE1Rv1G0056380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDVGMVSSRRNSTGAFHRDGPAKDWSQFADPSPSPKLLYSQSYVVMRGLLASLASLDFALWSSTMKSAWRSPERSKSKGPGCKRVAFRLFVCFMVGIFIGFTPFFSVDVSQKIVSRLPFDDGVDDKVKELDAIVVQKEVEVIDEPELEQQSPPVPAMLDDEVDFVEAAKPAITDLVIPVRKLLIVVTITSARPQQAYYLNRLAHVLKGVPPPLLWLVVEWPVTTFETAEILRSSGVMYRHIVCRKNLTSVRKIAVCQRNNAIYHIKKHHLDGIVHFADEERSYMGDVFEEMRKIRRVGAWPVANHDASKYRVVVEGPTCKGNRITGWNTIQKKGAPRRFPIGFSGFAFNSTMLWDPQRWNRPALDSVIVHSGGRGGLQESRFVEKLVKNERQIEGLPDNCNRVMVWNFALEPPQLNYPAGWSLWNHLEVVKDL >SECCE5Rv1G0359550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:762654322:762656216:-1 gene:SECCE5Rv1G0359550 transcript:SECCE5Rv1G0359550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQDVNMDDSLTDYAPFGSRTTTLAVHNEEEAAMIPTVGGNKPSGRYILVAGRADEKDGMRQPITGGVLKPGITYRVAGWISLGAGAARGTSHPVRVNLGVTMDDDENESLQVECGAVCAEAAGWTEIMGAFRLRTEPRSAAVYVHGATAGVDVKVMDLRVFQTDREARFRQLKDKTDKARKRDVVLKLGAATGAAASVRVVQMDNSFPFGTCINTTVIQNPAFLDFFTGHFDWAVFENELKWYHTEVQQGQLNYADADTLLALCDRLGKRVRGHCVFWSVDGDVQQWVKNLDKDQLKSAVQSRLEGLVSRYAGKFPHYDVNNEMLHGQFFRDRLGDEDVPAFMFKEVARLDPEPALFVNDFNVECGNDPNATPDKYAEQVTWLQSCGAVVHGIGLQGHVSKPVGEVICAALDRLATTGVPIWFTELDVCEPDVSLRAKDLEVVLREAYAHHAVEGVVLWGFMQGTMWRQDAWLVDADGTVNEAGQMFLNLQREWKTDARGNVDGDGNFRFRGFHGRYFVEVTTATGCRMLKTFTVEKGDTTPLLVDLGDA >SECCE4Rv1G0249930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:587805514:587805930:-1 gene:SECCE4Rv1G0249930 transcript:SECCE4Rv1G0249930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.7 kDa heat shock protein, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G37670) UniProtKB/Swiss-Prot;Acc:Q9FHQ3] MADLFFGGSPFRRILYARPLASATAAMDWVETPTSHVLRINVPGLGKDDVKVQVEDGNVLSVRGAAKGKGKEGDEEEAVWHVAERGKPEFAREVALPEHVRVEQIRASVDNGVLTVVVPKEPAPARPRTRPIAVSSKL >SECCE3Rv1G0167020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:195997980:195998693:1 gene:SECCE3Rv1G0167020 transcript:SECCE3Rv1G0167020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGRIQPAGSGGGGGSAGGGGVEPGFGKRLLHVLRAVYHMLRRGLCRKRLMMDLHLLLGRGKLAGRAFRDLLAHQPHAGRHHFGAAAASPSALSMYQHDPRDVEFSCETTPVYEPAVFPFKIGRGRGRGGNYGGLDAATVAAAFEMMNAHAAAGTSGGETPRVTGATPSPMLALSLGRRPAGARQLRVTDSPFPVEPEGVDERVDAEADSFIKRFYEQLRMQQSTTPDNCTRRRG >SECCE2Rv1G0121750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:810195518:810197632:1 gene:SECCE2Rv1G0121750 transcript:SECCE2Rv1G0121750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSMSMVEARLPPGFRFHPRDHELVLDYLCHKFNTACVGGREWYFFSRHDRKYATGQRTNRATLTGYWKATGKDRVITGDGAAAVVGMRKTLVFYRGRAPRGTKTEWVMHEFRVEGRPPPSVHRQLAAPHDGSLPSLLEEDRVLCRVFYKTTTADPEPAADESPGSLSGDLGVAPGSLPIAPIDAVSLIPMAVITDGYNRQQEYSTGLLLPAAHHWPAAAPLPFKSFRDLLGDMVQGNGGGLKPEFPQADWNVEDGYMPQGGMSQTWNPF >SECCE7Rv1G0523500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:882415208:882416017:1 gene:SECCE7Rv1G0523500 transcript:SECCE7Rv1G0523500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTVILTIVNEALTLPGSLLELFLESFRLGVRTQPLLKHLVIIAMDAKALERCQHMHPLCYPLGGGRSSDGALGGMTTGEVTFMSKDYVELMWARNRLQARVLELGFGFVFTDVDIVWFRNPLLRFPVGADIALACDQFLGNNPYDLDKAANGGFVYARPNARTLAFFQEWYEARNRFPGEHDQFVFGEVKKELSARHGVTVVLIDTVYFSGVCENKKNFYEVCTYHANCLIGLQKKIDTLAGVLDEWKQFRAQQELLGNTTTTLIY >SECCE7Rv1G0522880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:879504053:879504292:1 gene:SECCE7Rv1G0522880 transcript:SECCE7Rv1G0522880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPLFVLAMVMVNSCAVSSRTALRDPVLHGIVKTAAMGEGTAIDNHHAIPRTEYSSWSSPGNMPGSGHDIASEEAKP >SECCE3Rv1G0169210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:233569708:233575704:1 gene:SECCE3Rv1G0169210 transcript:SECCE3Rv1G0169210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPGAAAASTRRRLTVPRRSPVAASVWETRMEMDEVKGGVKVFSEGADDADEEGMRVYSRLRRNQSDGGGGAGAGAGTAAAAKKRRNWKASEPVTAIGELRKSRSDAAATGAVAKRAVARVTTPEKKLAAEVKEVVVVEVVEKAPLPQPKDIEEEAEEDQEEWEEELEAEEEEEEVLDQDQTGIDEDETDQATAPNQADEQDLAPPTKRAIRTVAAPTEDERAANPEPVKLAAAVNLRAMNPEPMTPPVKKKPTPVVIHRTDPEPARTSPEKKASPVIGRRVLKQEPVDDTPPEEEEYEEIQGRPSAVSTSNRRMQNIVDLVMWRDVSKSAFVFGFGTFSLISCSYAKDLNFNTITAASYLGLVYLGLRFLGKSLLHRGESVECDDESSSERSHYLVGEEDAVRLLRLVLPYVNEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGIFTIPKVCSSYSTQLARYGKFWLERFRDAWESCSHKKAVVAAVFTLVWNVSSTVARVWAVFMLVVAMKCYQQRMMEFGWSSSVEEAAESDEPQQQQEDSPAKPAQTEKAHDQGSHGFVAARHRRMPVSGEFARERLRARGGIQPRC >SECCE3Rv1G0165790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:179138074:179142333:1 gene:SECCE3Rv1G0165790 transcript:SECCE3Rv1G0165790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNISNIFHNDLLPSLGARANQSIKLRKFIISPYDSHYRIWETFLLVLVVYSAWIYPFELAFLRHLSWKLFLVENIVNSFFAIDIVLTFFLAYLDHKSYLLVDNPKRIAARYLSSWFILDVCSTIPYQPFGLLFNKHGNGLAYRTLNMLRLWRLRRLSALFARLEKDIRLNYYWIRCTKLISVTLFAIHCSGCFIYLIADTYPDPSRTWIGAAIPNYRSESLWVRYVTAIYWSITTLTTTGYGDLHAENPREMSFSICFMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTIHAASRFAARNQLPEQIRDEMLAHICLRYKTEGLKQKETLDSLPKAIRSSIACHLFLPVLEKIYLFKGVSFTCRLQLVTTMEAEYYPPRETVILQNETPTDVYILVSGAVEERIMIDGQEKVEKLLSGGDIFGEIGVLCNIPQPFTIRTSRISQLLRLNTTVLKNIIQENKHDKEIIMNNLYQKMNSDQRFSTDTTEVCEGMLDQHFGEYNGCSASNQVNINNESKAGETLRPACNEERSKELNESDRHGEIHSTTEQGFFNRNIDFPDKGEGMENRVATSQITDKWKADEHEHTSTDNCMTGYRGARDRFKYIWQDTILGQPKMTDKMLTCSVAGELQEIADTHTEAGSVVSERKRVAIHIHPQQNKSFAVPYAKVINLPKSLDQLFSIAREKFPGYCPVKLFNQDFAEIDDITVIRDGDQLFLMEV >SECCE3Rv1G0158500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:88625580:88629177:1 gene:SECCE3Rv1G0158500 transcript:SECCE3Rv1G0158500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(5)GlcNAc(2)-PP-Dol alpha-1,3-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47760) UniProtKB/Swiss-Prot;Acc:O82244] MGRSDLTRKSAQAQCPPRATAPPTNRRVAHRPTKIASAASGSAAAFASSPASMARARLAKERPASMPGKPADRRRPLHFAAFLLLADAALVALIVAFVPYTKIDWDAYMSQVDAFREGERDYTKIEGDTGPLVYPAGFLYFYSAIKFLTAGQVFPAQILFGVLYLVNLSLVLLLYVKTEVLPWWALGLLCLSKRVHSIFVLRLFNDCVAMTLLHAAMVLIVYHKWYLGLIIFSGAVSVKMNVLLFAPSLFLLMLKAMSIKGVFLALLGAAGVQVLLGIPFLLSHPVEYISRAFNLGRVFIHFWSVNFKFVPEKYFVSKELAVGLLIFHLTTLMVFAHYKWFKHEGGLFHFVHSRFRDATSIQELISCKPRQSILSKEHIVTVMFVGNFIGIVCARSLHYQFYSWYFYSLPFLLWRTQFPTVVRIILFVVVELCWNVYPSTSYSSLLLLFAHLAILFGLWSSPVEYPYVDKKEKADSKESGKAM >SECCE4Rv1G0268440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:742508157:742509284:1 gene:SECCE4Rv1G0268440 transcript:SECCE4Rv1G0268440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAATATSTAGDDRRRALQVFDDTKAGVKGLVDAGVTAVPSIFHHPPESLPLNSPQPQHEHRFAIPVIDLAGLVTPSGRASVVGAVRAAAETVGFFQVVNHGVPEAAMSEMLAAVRRFHEEPAEARAPYYSRDHGRRVRYTSNFDLFQSPAANWRDSVYIDMAPESPAPEEIPPALRGVVPEYARLARRLYRELLGLLSEALGLRRGHLEEDAACTDGLNLAAHYYPACPEPHLTVGTTRHSDPSFLTVVLQDDIGGLQVLVDNLEEDGKPSFWVEVPAVAEALVVNVGDYLQLVSNDRLKSVVHRVVANGAGPRVSVACFFRTYGAAASTRVLQPIVADGDRARYKSATVEELLQHYRAKGLDGTSALDHFRL >SECCE6Rv1G0398810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:426591444:426591731:1 gene:SECCE6Rv1G0398810 transcript:SECCE6Rv1G0398810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGCAPFLVGNDGEARQRFAVPVTLLGHPAIVELLAEAWEKYGYAYEGAIVIPCGVERFQRAVDAARAQERHHHHHHFRLSQLVGCFRPPHVVA >SECCE5Rv1G0354270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722743582:722744733:-1 gene:SECCE5Rv1G0354270 transcript:SECCE5Rv1G0354270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTKAAALVAVLAMAVLGLATEGQAQLQNGFYMGKCRGNDVEAVVRGIVKARFAQDSAIVAHLLRLLFHECGVNGCDGGLLIDGPGTEKTAKPNLSVKGYDLITTIKMELEKRCPGVVSCSDIEILATRDAVNASTGQGYAVRTGRRDRRRSIATDVNLPGQDFTVPQAAAFFHTLGLSSDDMVVLLGAHTVGVTHCSMIKKSRLYSYGGKAGATDPSMDPDLASTYKTYVCPNTASSDNNIVFLDDRSSASKLDNSFYKMLQRRRGALMVDQNLYGDGSTRWMVDRLANTDHFRWLFPQALAKLGEVNVLTGTQGEVRRVCTKFN >SECCE3Rv1G0208510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:932472714:932500396:-1 gene:SECCE3Rv1G0208510 transcript:SECCE3Rv1G0208510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRVELRRIEDRTSRQVRFSKRRSGLFKKAFELAVLCDAEVSLLVFSPAGRLYEYASSSIEGTYDRYQAFAGAGKDVNKPGASNNNDGDPSNIQSRLKEITSWSLQNNADDSDANELEKLEKLLTDALKNTKSKKMLAQRNSGAGTSASGENSYGPRGQKEGRT >SECCEUnv1G0535050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:51602189:51603361:1 gene:SECCEUnv1G0535050 transcript:SECCEUnv1G0535050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADDYRCFVGSLSWNTTDVDLKDAFGKFGRVTETKVVLDKFSGRSRGFGFVTFDDKKAMEEAVEAMNGIDLDGRNITVERAQPQGSGRDRDGDRDYRGGGDRYGGGGRDFGGGRGGGRGGGGDCYKCGKPGHFARECPSGDGGDRYGSRDDRYSSRDDRYSSRDDRYGSRDGGRDDKYGGSNGSSRYGPDRGGDRYSGSRDGGSRSSGGGDRYSRDRSGPYDRRSRDEY >SECCE1Rv1G0053470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:669865124:669867611:1 gene:SECCE1Rv1G0053470 transcript:SECCE1Rv1G0053470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDGASRRVALRVLLARGEGSSSSSPPPRGPEEEARRGKQQWLALRLRDLGCASAAASRAHAPVAASASVRPAPDAEEGEDEDWRASRQQRRRRRRERRGARAAGGGGLAASGDVWCTCTPGIPFAAEASSVDCVVARHHHTPAPGRRGEAERRHRERTAEQRARRVTMREHISSSFIDSPPRFHTPFHDADLLHSGRHRHPYDRTEEEIMMFRTRLLLGRMGMYDQYQDWRLDVDNMNYEELLALEDRIGYVSTGLREDEIVRGLRVGKHLAFDRKHLSAETERSCSICQEEFEASEEVGRLSCGHGYHVHCIKQWLSRKNACPLCKTVVSKP >SECCE3Rv1G0190330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:737653816:737656034:-1 gene:SECCE3Rv1G0190330 transcript:SECCE3Rv1G0190330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSAGEAGRQEGTPTGPAAPFGRSSPSSSSGLRAKDGATPQSFDGALRELKDLQSQLHQAADCCEKAFLATEKKQLILDSTKSYICDAVVAVIDHLGTVSSKLEHQLEDKTEIAQTEQKINFFKQRLLTCEQYAISLQLLAVRADAGAVQYHRRYLSQPTERQNEGNVAKSSRGDPQPFKLISTTSPGASRTLKPYDVESTMEREHTVAGADVGNPASIMRSFSFRAEDGHIAASHHKKKKKGSHGSNIMSFLKRSKRRA >SECCE1Rv1G0051970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:658093112:658098524:1 gene:SECCE1Rv1G0051970 transcript:SECCE1Rv1G0051970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSHRPGSARASLKVVLLHGSLDIWVRDAGGLPDKGMLYKKFGDLLGPRIVGSVADKVPSASMTSDPYVTVQVSAATVARTYVVPNSEDPAWAQNFVVPVAHEAAEVQFAVKDNDVFGGQVIGAAAIPAEQLLRGDKIEGAYPLLDPSGMPCAPGAVLRLSIQYTPVARLAAYHRGVTAGPEDSHGVPDAYFPLRRGMRVTLYQDAHVPEGCLPDIRLDNGLQYQHGQCWRDMYTAIIQARRLIYITGWSVFHTIRLVRDGAEEVPSLGDLLKMKSQEGVRVLLLVWDDPTSETIIGRTDGYMRTRDEETRRFFKHSSVQILLCPRSAGKRHSWVKQKETGNIYSHHQKTVIMDADAGGNRRKIISFVGGLDLCGGRYDIPGHPLFRTLQTLHKEDYHNPNFAAVDGHGPREPWHDLHSKIDGPAAYDVLQNFQERWLKASKRHGIKKFGKSYDDTLLTIERIPDIININDTSYFSDNDPEAWHVQVFRSIDSNSAKGFPKDPREATRKNLVCGKNVLIDMSIHTAYVNAIRAAQHFIYIENQYFIGSSFDWDSNKDIGANNLVPIEIALKIATKIKANQRFSAYIVLPMWPEGKPTGHTAQRILYWQNKTMQMMYEIIYRALKEVGLDDVYEPQDYLVFFCLGNREASDSPSASSTADIPQEQSRKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPQYTWANKISSPRGQIYGYRMSLWAEHIGAIEEDFNRPESIECMRRVRHLGEHNWDQFVANEVTEMRGHLLKYPVSVDREGKVKPLRGCATFPDMGGNICGSVPFTLIHDNLTI >SECCE4Rv1G0262700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:709536221:709536731:-1 gene:SECCE4Rv1G0262700 transcript:SECCE4Rv1G0262700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTRYLAALAAAVALLFMLGGLHGVEAGPADDDCAIAQTAFGDCAGYVAGVEDKLSPRCCRGLADIKAMAPTAVRRRALCACIHKEMVAAGKVLTRRAATLPARCGVRISFLPTTHDFDCHRIPRAD >SECCE4Rv1G0219420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:30750502:30751845:1 gene:SECCE4Rv1G0219420 transcript:SECCE4Rv1G0219420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWQARDFLFCGVCGTLLDFNSHRYASCPLCGFKRKIEGKETRYAVTAEDIRRELKIKSFVVLDSAPNLDIVVQRSLTERACPECNHPELEFYTKQLRSADEGQTIFYECPACGHAFNENT >SECCE1Rv1G0047390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:624953188:624955093:-1 gene:SECCE1Rv1G0047390 transcript:SECCE1Rv1G0047390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVMIRFGHDWDETCMQMDEVLSGVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFVDIVETVYRGARKGRGLVIAPKDYSTKYRY >SECCEUnv1G0556650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:313414344:313415997:1 gene:SECCEUnv1G0556650 transcript:SECCEUnv1G0556650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQAIYLVLALLLPVLLLKLITKRGSDGAGQKLPPGPWRLPVIGSLHHLAGKPLIHRAFADIARRLGDAPLVYLKLGEVPVVVASSAEAAREVMKTQDVTFATRPWSPTTKILMSDGVGVAFAPYGAHWRQLRKICIMELLSARRVQSFRSVREEEVGRLVTAISASVGKGEPVNVSERLAVLIADMTVRAMIGDRFSRREEFLEVLQQGVRILSGFNLGDLFPSSRLVGFVSGSAREAWENHTKGFELIECAIKQHEEVKAAAAAFNGDGKEGEQEDLLDVLLRIQKEGGHDVPFTMGAIKCLLVDLFSAGSETSATTLIWAMTELMRNPAAMAKAQAEVSNNLQGKPRVTEDDLADLKYMRLVIKETLRLHPSVPLLLPHEPTDACKVLGYDVPTGTTVFVNTWAICRDPKHWNAPEEFRPERFESGEVDFKGTNFEYTPFGAGRRICPGMLFAQSSMELALAALLYHFDWKLPAGGELDMEEEMGIAVGRKNDLYLHAKVLVPASDGA >SECCE4Rv1G0243970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:508653367:508657766:1 gene:SECCE4Rv1G0243970 transcript:SECCE4Rv1G0243970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETGYYDVLGVIPTASESEIKKAYYIKARQVHPDKNPNDPQAAGKFQELGEAYQVLSDPAQRQAYDAHGKSGISTDGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFDEDEQIDTKKLQDKMQAVQKEREEKLAEILKNRLHLYVQGNKEEFVRLAEAEVSRLSDAAYGLVMLNTIGYVYSRQAAKELGKKAIYLGVPFVAEWFRDKGHFIKSQVTAAAGAIALMQLQEDLKKQMGAEGQTTEEELEVYMQNHKKVMVDSLWKLNVADIEATISHVCQMVLQDPLARKEDLRLRAKGLKTLGKIFQGVKLNSGEGEGSHMKNIDNMDDNAGSSPDSSPKREASFNPIPNPPLTQSPYVEAPQFGGTYCSFNFPMPTAPPGAQRDPVP >SECCE4Rv1G0269370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:748520233:748521987:1 gene:SECCE4Rv1G0269370 transcript:SECCE4Rv1G0269370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRQDAQFAPRISIFPGTPSELNVPQRPAKAPVLRLDAQGREIDEHGNVVSMTKPSNLSTLKVNINKQKKDAFQIIKPDLESLAKSTIHFDERMGINQTKLLRPKRPGFQFIEEGKLSRQAELQMIKNQFGEAQAKELKVKQAQLAKAKVEAGMNPNLIEVAPGGRPPKQKQKEEIPDIEPWDSKILIPATYEDISLEKLNMDKITIYVQHPEPLEPPAKPMTPLPQPLKLTNNEQKKYRTQRRLATEKDRQEMIRQGLLEPPKPKIKMSNLMKVLGAKATQDPTRMEMEIRTAAAEREQAHVDRNIARKLTPSERREKKERKLFDDPDTLDYLTVCVYRIRDLSHSQTRFKVDVNARDNRLTGAAVTTDGISVVVVEGGGKSIKRYNNLMLNRIEWAAAVGDEDNDADEEPDKPVNGCALVWQGSVVKPAFPRWLGVRKCQSEAAAKKVFLDAKVAHYWDLAANFSDDLSCAQTSVEDGETDCCGSLYSVLS >SECCE7Rv1G0467260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:86090367:86092437:-1 gene:SECCE7Rv1G0467260 transcript:SECCE7Rv1G0467260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGMASSPSAFFPPNFLLHMQQAPPQHDPQEHHQHHHHHHEHHLPPPHPQHNPFLPSPQCPSLQDFRGGLSPMLGKRPAMYGGGDGGCGGDEVTGGGGGANEEETSDDGSQLGGEKKRRLNVEQVRTLEKNFEVANKLEPERKMQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDVLKRQFDAVKAENDALLSHNKKLQSEILGLKGCREAASELINLNKETEASCSNRSENSSEINLDISRTPPSDGPMDAPPSHQQGGGGGGMIPFYPSVARPAGVDIDHLLHASVPKMEHHHGGPDTASFGNLLCGVDEPPPFWPWADHQQFN >SECCE7Rv1G0478070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:231561088:231571793:1 gene:SECCE7Rv1G0478070 transcript:SECCE7Rv1G0478070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEQRGGANAVGAAAVRVNGVADLLPFLLGVSVTYRFAKHNAYLPGFVAERGYACACDSSCGYRRGGKALSALEFEKHAGAKSKNQNGHIFLPNGTSLYDLFHTLRGVDAERFPAAFREAAGVPMTVPAAAATCPPLAQGLPASREPELLQASGVMAEQPAWAARTQQAFNFDITSEEENAGLSLLDLRGNDPASEAEPMEGIEWPSMEHGLSLIDAAEQIENAARGDHEMPDVVEVRITTGDRPRDIGLSTATHVKVKAMETKYQSVRTSETKYKPESLLKDVRGLLSTGLLEGFRVTYKKNGVEMTGRIDGQRYSCGCMQCDYSNIMNACEFEQHAGQLTNNQNDHIFLDCGISLYKLIQVLKYKRLDLLGDLIEEQTGLAPNLIEYGKWKASFQVENNGFVDAPSYPCSTQRLQESAAGVTSRGTLATTSANSLKKSTSNHISNLSWSAFRRPRWQYKRGSTQTSAPTLSKSPEKGTSGLSTCTSMKSVTVEIPSENIADPLSPEDIKPHFVADAAVISTSSECDPIHLAFSLSSPGSIVQEPPLSHHMDSKTKEPRRTKVRDNSLHPLVFKEGGLPDLTLLTYKLKHGEVLKQGYKRGAAIVCDCCNEELTPSQFEDHAGMGKRRQPYRNIYTSEGLTLHELALKLQHSLNSNGVSSIDFSDIDDPHNIATSGCRKEPSTTSRPLIVPLKRTLQGRVVDTESCHLCGNACTTIGVISEDMIIFCNQCERPCHVKCYNSGFQENNEPLNVLREYMQCHFFCCKKCQLLRASLHQELNKREKIRHKRSNVCWHLLSGMNPRRDVQHYIDQVIGIFKVAFPETADLESDVIRDMVIATDVGGKNDFRGMYCAVLTTSSKLVVSAAILKVRTEEVAELVLVATHSECRKKGYFRLLLRQIESHLTALNVQLLTAPVDPEMVPIWSKKLGFTILADQEKSSLLEAHPLVTFEGLTLMQKSLAIKPDLEVLSNQVAMGEPSAPDLEILSNQVTMGEPSAPDLKVWSNQVTMGEPSAQAL >SECCE4Rv1G0290740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:873947357:873948430:-1 gene:SECCE4Rv1G0290740 transcript:SECCE4Rv1G0290740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAIVMLLLMAIVLVATTPTADAMDITDSDLASEESLWALYERWCKHHNVGHDLSDKARRFKVFKENARMIHEFNQGDAPYKLSLNLFGDMTDEEVDHMYGRCSNIRPDGGKRSQDEFTHEVVVVRDNLPMYVDWRMTGYDQRPSAVTSVKRQGGCGACWAFAAAAAVEGINSIRTRNLVSLSAQQLIDCDKGSGGCVGGGALVALKYIYNHGGITTEASYPYVAYKHSYCLVSKRNPVITIDGIKEVPQKDEVALMRAVAAQPVVVVVDPNAFRRYGGGVFVGPCGMDRSHSMLVVGYGTTDDHDPKRRIDYWIIKNSWGANWGENGYIRMARGAGPTKEGLCGILMEAFYPVKN >SECCE7Rv1G0455990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:9048096:9049070:-1 gene:SECCE7Rv1G0455990 transcript:SECCE7Rv1G0455990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFFHNAGSCDHPVASFVRSKALCPRYPDISNFLVRDCRHGRVLLQSRRNSEFVVWDPMTGSRSMVRRPADMGRYYAEVVVLCALGGDCNHHACHGGPFLVLYVGVKCMTQVASACVYSSDTGKWSAKTSEHILKMALNSVVISTVLVGGAVYFLCSDFGKCHNEILKYDWSRHCLSVVDMPLWVHFGHAGYPLLVAPEDGRLGFAQMVTYYGTQPRALLRMCSRDVNADGDGSIYAWTDRREIDLNALLPTGDRATKLHLHGSVEGADIVFMLANSSPLALYEIDLKSLQTRKLYEGKRKGSTVPKIFPFMSFYTPAGTLLF >SECCE7Rv1G0501460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:683059928:683061391:1 gene:SECCE7Rv1G0501460 transcript:SECCE7Rv1G0501460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRPKLPARYEQVKLLGEGNFAKVYLARHMDTKEEVAIKVMDKEKLIKLGAVQQIKREIAVMRRLRHPNIVQLHKVMACKSRIFVVMEYVRGGPLYRHIPANSGLKEDETRRIFQQLVSALTFCHAQGVYHRDIKPDNLLVDEHGNLKVADFGLSAHADTARREALLHTVCGTPLYVPPEVFARRGYDGAKADAWSCGIVLFVLAAGRKPFRDDDFITLYRTICRGDYRCPRTFSPELVRIVRRLLQPNPAHRITLLQIKETDWFKKGFKEVSFYIDNKDCLRSLDGSEEPDLCDSDSEDETAMSSSSSGSSSPVAHGDGGGMHTSVSAPSLVNLEKMHIAAARAPEPRIRRIKSMNAFDIIASSPSFDLSGLFEERGEQLRFVSSAPVNTIISKLEEIAGQVSFTARTKDCQVSFEATRNGHKGALAISTKIFQLTPELVMVQVCKKAGDTAEYRQFCGSELKPGLRGLVDGLPEDGLPPTLNVA >SECCEUnv1G0564400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:383135120:383135977:-1 gene:SECCEUnv1G0564400 transcript:SECCEUnv1G0564400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRDFPSFIMNPDDYMVEYAIKVTESAAGASAVIVNSFDDLEGEAVAAMEALLGRPKVYTIGPLTLLAPRSTGTIGSLSLWKEQEECLQWLHGKETASVVYVNFGSITVMTKEQLLEFAWGLANSGSQFIWIIRRDLVRGDVAVLPPEFMAETAGRGFMASWCPQQEVLNHPAVGVFLTHSGWNSTMDSMCGGVPVISWPFFSDQLTNCRYQCNEWGVGMEIDNNVQRNAVTGLIMELMQGESGKKMRKRAEEWREKSILAAKPGGSSHRNFKELICDVLLPKK >SECCE2Rv1G0117310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:771708413:771709057:-1 gene:SECCE2Rv1G0117310 transcript:SECCE2Rv1G0117310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIAEPGSPTSPMATSSSSSSSSSSSLAANKRPRKDSRHPTYHGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVATLAIKGRAAHLNFPDLAHLLPRPASAAPKDVQAAAILAASADFPCGASANSKSPDSGSDASAASPPPPPPPHAEPVPDPEDALFDLPDLLLDLRYEASAGLPCASSWVVDEDIVGAGVFRLEEPLLWEY >SECCEUnv1G0533720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:32676164:32677771:1 gene:SECCEUnv1G0533720 transcript:SECCEUnv1G0533720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIEYRPIQPSDLEVLEKIHLSLFPIRYEREFFLNVVNGHGVISWGAVDTSRSDEGRDELIGFVTTRMIAAKDSEIEDLFRYNNSHKDLTLLYILTLGVVDSYRNLGIASSLVREVIKHAASVSNCRGVYLHVISYNQPAINFYKKMLFKLVRRLPMFYYIRGQHYDSYLFVYYVNGGRTPCSPLLNSHIGLLPPSATFGVASREYIIRYILKFWVI >SECCE7Rv1G0474680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:175484346:175485655:1 gene:SECCE7Rv1G0474680 transcript:SECCE7Rv1G0474680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEAMAGAVRDLAALSMRFLLHLGGNQTNLAFSPLSFHYVLVLLAAGATGDTLNQIVSFLGPSGGMAHASLSSYAASAFVARGNGSEPDVRCGVGLWVDSSLQLRPAFADMVASRYNATAQAMHFLEKPDEARVEINRWFEDQTGGLIKELMPDGHLDDRDTALVIGNALYLRGSWLRRFNRQDTKDGDFFLADGSRVRVPFMTSEKRQRISSHPGFKVLQLPYDLGSRGGGGGRHRFSMHIYLPDERDGLQELIRELSSDTAGFLNRSAPAQAVEVGDFKIPKFKVSRKVDAADLLKDMGLERPFCASHDFAEMVDYSGPLAVGSVLHECVVEVDEDGTMAAAATEAEIMVGSSIVWEEPARVDFVADHPFLFLITEDESGIVLFAGQVANPVL >SECCE5Rv1G0299710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19899840:19901573:1 gene:SECCE5Rv1G0299710 transcript:SECCE5Rv1G0299710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYLTSRVTAEKHAHVKTSKNRNGRPSVKFQDLPEDILCTILSKLPAKEAVRASVLSSDWRCAWKACPTLSFGVDDVSKNAKRKPLRTQMFIDRVNTVLQKHCGRALDQIEVKYIFEGELVDHLNNWIRFAMAAHTKNLALDLAPPSNFPEYGDHYRFPFEQFTDNKNVSRLACLQLSFVRFQPPPTGFIGFPNLRKLHLHVVTTTRQDLESVLCSCVNLEWLSLLRCHLKDEMKVVRQPLSQLHYLEVVHCDITKIEFDVAKLSTFVYNGPYMPITLHHAAKLENAKVWFRGAVFQHATASLLDGLPDVQNLTLQLGMQRLERRWTLNSPRVFSHLRHAQILLIIHREDSDKILYLVFFLRVAPFIERLEVHFHGLYTMWFATDGPSRQEIPPCEDKYVNLKDMCVTGFKGVRGQAEFLMHAVENAPAIEGVTVGTAQRLTDAWDPEEPTPRLESAALEMVRGPLLKRLPPNAKLSLI >SECCE6Rv1G0398290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:418164443:418169857:1 gene:SECCE6Rv1G0398290 transcript:SECCE6Rv1G0398290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNAGGVLLPVSAEPGKGDGEAELFKGSAMTRRGAVAALSYMSCSVLLVMFNKAALSSYKFPCANVITLLQMVCSTCLLYVLRRLKIISFTNSEPSVPSDSLFFVPFRILLRTSPLSLSYLLYMLASMESVRGVNVPMYTTLRRTTVAFTMIMEYFLAKQKHTPPIIGSVALIVFGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKTSGLNSFGLMWCNGLVCGPAVLFLTYIQGDLKKTIEFPYLYSPGFQVVLLFSCILAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGLGWVLFGGLPFDLLNVIGQGLGFVGSGMYAYCKIKGK >SECCE6Rv1G0424310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:699990399:699991255:-1 gene:SECCE6Rv1G0424310 transcript:SECCE6Rv1G0424310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLIDRSVLLPAADGPPAPTTAQHGPPGSATDRDAVLAKVEMDRKLSMVKAWEENHKSKAHNRAEQRMSSIMSWENTKKAAVQAKLRTREEKLEKKKAEYAEKMRNRVAMIHKEAEEQRAAVEARRQEEMIKCQEMAAKHRSKGTTPKKKFLTCFG >SECCE7Rv1G0474960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:180202170:180207260:1 gene:SECCE7Rv1G0474960 transcript:SECCE7Rv1G0474960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFELLLTAAATLLVAFLLAKLFSGNNDPPRRDRAAGPADVIAEEKAAETAEEERTIEVDEVGAWADVAAPALAEGWVEVEKAPATVAEGMTEWVAAEEAVPATLAPELFLGTVSREQKEEGEVGKKQCDLTAAAEAAVEAKPRDSGAEAAPREVLGVELEEETAQQHDLGAEVAPSEVPDAGLQKQEVQAMEAVEVKQLHLDVGAAPAEVIDAGLEREEEGAQATEVNPRELASETLPADVLDVVPGKQEEQVIEVNQHELAPVVAPRVIPDAATKDEEMKEQSVEAVIEVQNKEEAQCEAGTVDQQEKHIPKDELVLKKSDDLNVSQEDCPNDTVDVQLPEKDTTLLGMPEDEARASMEFEEWEGIERSEVEKRFGAAAAFAASDTGAAALSKLNSDVQLQLQGLLKVAVDGPCYDAAQPLTLRPSSRAKWVSWQKLGNMHPEIAMEKYMNLLSEFIPGWMGDTTLSTEKHGVHVDSEGALSPMTTHTSDPQINQGNEGSTSIDEGPLTSPPNPEKGQSSDVPAE >SECCE5Rv1G0359100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:759428601:759430358:1 gene:SECCE5Rv1G0359100 transcript:SECCE5Rv1G0359100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRAVAPGPEGLRQPGEAGHGADGLAARFRESRPGAVTVDFGGGGAMVHSSHGQSPFLPRLFGVVDDIFCLFQGAIENMAVLKQQYGLSKTATEINLVIEAYRTLRDRGPYPADQVVRDFSGKFAFVLYDRSTSSVFIAADADGGVPFYWGVDSEGHLVVSDDDEVVKNACGKSFAPFPKGFFFTTSGGLQSYEHPLNEVKPVPRVDSKGEVCGTTYAVDERAKKDTAGIPRVGSAADWSSQY >SECCE5Rv1G0364570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802095319:802096152:1 gene:SECCE5Rv1G0364570 transcript:SECCE5Rv1G0364570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVDKPLFTATFNVQSSSADYVTFITGIRNKLGNRRHFSHNRPVLPPIKPKVPPNRWFHIVLKTSPASTGLTLATRTDNLYWEGFKSSDGTWWELTPGLIPGATYLGFGGTYRDLLGDTDKLTNVALGRQQMADAVTALYGRTKADKTSGPKQQQAREAVTTLLLMVHEATRFQSVSGFVAGLLHPKAVEKKSGKISNELKAQVNGWQDLSEALLKTDAKPPAGKPPVKFTPIEKMGVRTAEQAAATLGILLFVQVPGGMTAAQALELFHASGGK >SECCE4Rv1G0284600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:840397049:840403055:-1 gene:SECCE4Rv1G0284600 transcript:SECCE4Rv1G0284600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPSLEIHAYSYMASIADEMVCGFEPSVWGDYFITYQPPPLQRSEVWLRIRIEKLKEDVCMLLNTCEDGLEKITLVDSLQRLGIDHLFSEQIRTTLWDIHGAEFSSSSLHYVALRFRLLREHGIWVSTDVFNNFKGKDGRFKKDITNEPKGLLSLYNAAYLFVHNEPELEEAISFARHHLEVIRGSLNSPLAEQVKRALQVPLPRTSRRVETLHYLSEYKKEKDYKPLMLELAQLDFTLLRHVQLMELKDISEWWNNLKSICGLTYVRDRVVEAYLWSHTVFYEQSCARARMIMAKLITLTTILDDTYDVHATIEECRLLNAAIQRWDERAISDVPEYLKMFYRELLRNFQMFEGEVAIVDKYRIDYTKKAFQNQSAYYLQEAEWVQQNHKPCFEDQVNLTSMSSAVPLLSVGMLAGMGEAITNAALDWVASRPDAVIAGAKIGRFLNDIAAYKRGKNRGDVESSVECYIHEHGVTAEQAFAVIYSLIEDGWKTTNQARFDHGALLPAVQRVVNTVVSMQLYYYNGGDAYTFNMHIQEIVNKLFLKPIPM >SECCE4Rv1G0247970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:565231639:565234339:1 gene:SECCE4Rv1G0247970 transcript:SECCE4Rv1G0247970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAAEQTRHNSSHEITVDGPVPDASPPATNPTNGNSQPHDTQPPANGSSQPEEVIESYELMLDLSKYILLLATLVATVTYAAGFNPPGGVWQETVDATGQVAGDSIIRTTSYHRYLVFYYCNATAFASSLVIIVAILFLTLLEEKNKNRVTLLPLRAVMVVDLLSVMGAYAAGTCRDKPTTIFSSVLVVAVVAYLVLQMVLATLLGDKHSYIDAVHEKRLRKVLMLLATFAVSVTYVAGMSTPGGFWDNTENGHSPGDAILKDSHGMRLTVFLCFNTLAFVASLLIIVVLLDRKPRVTEAYWFIAVALISLIVSYTAGSCRETDTNFYVGSLVIAVLAFMALLQVAVIQGTIKAASDTRFWTKIKSFHRLVSGSLLRAITRAPGPETNDSRVAAQHARSLVLLLATLAATITYQAGLDPPGGFWPDNRDGHMAGDPILLTVNAKRYKAFFYCNSVAFVASLVAIILVQSKVMLQTHVLEAAMILDLFGLIGAYAAGSSRDLTTSIYAMALAGAVLVYVVIHVVFFTLNHATNPTLEEIKSVEKRRKRLLLFAVLAATITYQAGLTPPGGFRLQDDTRHHAGDPVLLYSFPRRYMAFFYCNTVSFMLSIALIILLVNPHLYRPAIRSYALAVCTGAGMFGLMGAYAAGSTQHLKTSIYIFVLVVVVLVVIALLLLVFLLRERKNIPEDTAGELEPIEISRSTPGKEKHVMRKYLMLLGILVASVTYQAGLDPPGGAWQHSGNGYDAGNPIMHDNQRHRYLAFFYSNSTSFVASIVVIIILLLEWKDEKKWSLKVMNTTIVLDLLALLVAYAAGSSRGWKTSMYVVALVAAVLAYVAIHIVLAISCCHSPQQEEQSVNPAQPAQVAPVSV >SECCE7Rv1G0479450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:253831045:253833764:-1 gene:SECCE7Rv1G0479450 transcript:SECCE7Rv1G0479450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPETRLKTLPGLVLSPIRPLSSAHAPAPRSFYNPSIFSLLPTAAAVTTLVQQASAAPKPYSTAMADPAEHREEEEEAAAAGEEEDTGAQIAPIVKLEEVAITTGEEDEDVLLDMKAKLYRFDKDGGQWKERGTGAVKLLKHKETAKVRLVMRQAKTLKICANHLVVATTKMQEHAGSDKSCVWHALDFADCELKEEMFAIRFGSVENCKKFKDLVDEIAEQQGKNEEKESEEVSSAAELVEKLTVTEGKKEEQTEKVETPAVDDKKDAEE >SECCE5Rv1G0362030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:782420561:782421439:1 gene:SECCE5Rv1G0362030 transcript:SECCE5Rv1G0362030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQIADAASSSSSAGKGAAQNLVTCLYQTQLAGRPCVISVTWSKSLMGQGLSIGVDGMSGQSLCKADIKPWLFSKKKGSKSLDVDGGKVDIFWDLSAARFGAGPEPLEGFYVALLFDLELALLLGDMKKDAYRKTGANRPALNAAFVARKEHIYGKKIYSAKAQFCDNGQFHDLVIECDTVGLKDPCLEIRIDKKPVMQVKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSTTTSNAVFMFQTCQAPEKSMPWSYSQIFRESQLQGLGFSLILYAWKIE >SECCE1Rv1G0029380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:413734081:413736496:-1 gene:SECCE1Rv1G0029380 transcript:SECCE1Rv1G0029380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MARAAAAEAFSRRLGAAVRGLSGAWYSRHMAAADRAIRARLPLVDLVVEVRDARVPATSASELLHRRSPGEPDVRRLVALNKADLADPSETEKWVAFMKQRGCSCIAVNSHSRESINELLNAVRGRIREIKLGVSDCTGTVLLVGIPNVGKSAIINAMHQIGRIGAAEKGKLKHAIVSSHPGETRDISGYKVASHPNIYVLDTPGVLSPIFTNDESGPRLVLTGAIKDSLLEEYEIAQFLLTVLNLRTECREWKNLNLDGDKSSFADAIPTRSCHTKRQYSSDHTQDFIVRAVRQALFETIASFQGDLGNENDLRCLVEIQFTHLQNAFRISAQTIEDRNKRVAVKLLNLYRTGRLGHYTLDHVPDVRQEVAA >SECCE6Rv1G0453120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:880657542:880658453:1 gene:SECCE6Rv1G0453120 transcript:SECCE6Rv1G0453120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMPRDASASGALVPSDSAVMAAAATAATAAGKLPFPLLTRTNYAAWAMRMKYLLRANGAWAAVDREDSSKEVDAGKEEMAMTIISQSIDDTTLLRVAEKETAADVWAALRSMHVGVERVREARIQSLRSEFDGLKMGDAESVDDFAARFTTLVGRIRELGDPMEEKYVVKKLLRAVSNKFIHVASSIALFGDTNKMAMEEAIGSLKAHEELVKGRETAREEEQLLMARGHDSSRGRGRGGRGRGRGGGRRDKSEVQCYNCDDFGHFAWECPEKKKNKEEEKNKEEKALLAGYGSDGPGLY >SECCE1Rv1G0043450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:592549170:592550186:-1 gene:SECCE1Rv1G0043450 transcript:SECCE1Rv1G0043450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADITRYWCHGCQQAVEEAMVEELKCPLCDGGFLEEMTAELEQVEALASQLSEQGPTQWDPLDNPFEQQGSPGDSDDEDNSDIGREFEGFIRRHRRASALRRVLDSIHDDLRDDRERDNSVLISAFNQALALQGAALDPDEDRGDHGNSNNDDGLLDEYVLGAGLSLLLQHLAENDPSRYGTPPTKKEAVEALPMVKIEEVVSCSVCLDDLDIGSQAKQLPCEHKFHSPCILPWLELHSSCPVCRFELPSEETKDLSEPSNVDRIDSSHEEARADGRGNNVEDSNTNDREDSNRPWALVPWFNGLFSTPEPQTVRATLTDQQLPPASGTNPNAGHS >SECCE5Rv1G0336230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:583088263:583089828:-1 gene:SECCE5Rv1G0336230 transcript:SECCE5Rv1G0336230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDAARLACVSHKFWRSWRCYPKLDLRQETLGLNGDLISKVDNILKNHSGVGLKELNIELSSCDKVDSCYISSWLRIAFTAGIEELTLFLPHIPEEEENYFPCSPLLNGSEDSFRYIDIGICAFRPTAGFGHWRSLTRLYLSSVRVADDELEGLLYSCDALEHLGVLNCPEIVCLKIPSLLHRLRLLAVSLCRNLQVIECNAPNISIFHFSGSLVSIFFESALQVKNVEMDCLEFGQSNIVLHARTKLLSYAPNVETLAISSPNEMISTPMLPSKFLCLKYLHISLIGDEAISPAYDYLSLASFLEASPCLETFIFEVRQPCMKHDSVIEDSSHLRWLAQQRHNSLKRVTIAGFCSAKSLVELTCHIVENAISLEHLTLDTTHGCQSSGGCSVDKPNRWFYTEKGILMAAPPDRCLPMGEDILMESHRARLAIRKHVERQVPSGVVLKVVEPCSRCIMLNF >SECCE4Rv1G0259240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:684117536:684120357:-1 gene:SECCE4Rv1G0259240 transcript:SECCE4Rv1G0259240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAAAAAERGRKERVAAVGHPGMEMEVDAGAEVEEVVEDGEIGGEGSEAEEEGEGYGDGTGWEDDGAFEGKGSRPLVSAGSRGSWRGRGGRGRGGFHHRAWYGDRNLPHHKLDIFSIPGVYGGAIILCNHVTKLESFKQKLFALPDYATSFIRKIRAGMLLFVFEREEKKLSGVFEATSDGALNILPNAFRSSRKPRPAQVRFRRVWFCKPLTEAEFSDEIKGLQPQMSFFGISYQQVLNLVHLFSSKRISLEPYKKPKSRVISDYNVSLARAGLEFSLHTGINAFPRRSSSMLCNNRISAPHSPFMYGKQNAKHAAYNYESSLHPHIKSVIFKAPDIKAQVLGPTADFIPLDLDDYKSDSDAVPSDLLGPAGLYLALPGSIINEDQDPEPFNVKHNEDGMYPAPVLSQSFHSLCETSQNSAIAHFMKERQSSMQGRGCKRMSTLQFDGHSHLPSPRSSTIAKKVSFSLDGDEISVTSDKALNRPALAELEQNREAVTKERKQQVSYSVQGTQSKSGDDSKKRSKLMSLSFAERVASLRVKSCFGNGQSLLMQSSKLPCTLLPDEE >SECCE5Rv1G0310690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:173701576:173705520:1 gene:SECCE5Rv1G0310690 transcript:SECCE5Rv1G0310690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLWPAFHQPPGPLKKQELPPAASSKERGIHHPRAEAHAPPSHQPPSRRPLTTTAMAAAMSVSAVVPPAAAVARARTLLCVPATARAPREMAAEVAAAAALGADLAELRLDRLAGFAPRRDLPALLAKPRTLPALVTYRPKWEGGEYEGDDEPRFEALQLAMELGAEYVDIELKVADKFIKFMSGKKPENCKLIVSSHNYDKTPSAEELASLLAQIQATGADIVKIATTATEIVDVSRMFQILVHCQVPIIGLVMNDRGFISRVLCPKFGGYLTFGTLEKGKESAPSQPTAADLINVYNIRQIGPDTKVFGIIGNPVGHSKSPILHNEAFRSVGLNAVYVPFLVDDLVKFLSTYSSPDFAGFSCTIPHKEAAVRCCDEVDPIARDIGAVNTIIRKPDGKLVGYNTDYVGAISAIEDGIRATQPTHSTTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELGNLIGGPALTLTELENYHPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPAVDGKFRALANSLDNPSAVKGNRSTSLKPRL >SECCE4Rv1G0281530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:826527461:826529025:1 gene:SECCE4Rv1G0281530 transcript:SECCE4Rv1G0281530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSALEFTVRRKLAVLVPPAAPTPRELKRLSDIDDQDGLRFQLPVIHFFRHHDGRDDDPAPVLRGAIAAVLVHYYPFAGRLRELEGRKLAVDCTGEGVLFVEADADVRLDQFDAALGPPFPCLDELLFDVPGSSGILDCPLLLFQVTRLACGGFVMAVRIQHTMADGAGIVQLLGAVAELARGAPAPTVQPVWGRELLQAPLLNDGVLLPPRFAHREYDDVMDMNGAIVPFEFMVHHSFFIGWREISAIRSHLPPALSRKATNFEVITGCLWRCRTAALAPRADEEMRMICIVNIRGKNNTIIPIGYYGNAFASPVAISTAGNLLANPVSYAVELVMKAKREVDVEYILSVAALMAQRGRPHFAVARGYLVSDVTKFGFRDLDFGWGKPVYAGPAKGGVVTIPGVASFFIAIRNAMGEEGIAVPVCMPGPTMDKFVNEMGKLMCPALADTFSKM >SECCE1Rv1G0045550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:610638523:610639221:-1 gene:SECCE1Rv1G0045550 transcript:SECCE1Rv1G0045550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSYTALRGGLGLVTYLNKVYDWFEERLEIHAIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFSSVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >SECCE4Rv1G0239050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:392549475:392553064:-1 gene:SECCE4Rv1G0239050 transcript:SECCE4Rv1G0239050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDNPRPLPPAITPPMAPLPVHPPIAPIPVPVPPSTSTGADDDEVDYEVSDDHRAARERHERAVQELLQRRRAYAMAVPTNDTAVRARLRRLGEPITLFGDREMERRDRLRALMVRLEADGQFDRLLRAQEDEQGAPGDDDDDTEQIQYPFFTEGTSQLLQARVDIALNSLPRAKARVDRAKRRLTDPDEDPEAEAALVVKQAGDFVLECSEIGDDRPLTGCSFSCDASMLATSSWSGIIKVWSMPQITKIATLKGHTERATDVAFSPVDNCLATASADKTAKLWKSDGSLLMSFDGHLDRLARVAFHPSGKYLGTASFDKTWRLWDINTGTELLLQEGHSRSVYGVSFHPDGSLAASCGLDANARVWDLRSGRLYCTLIGHVKPVLGVSFSPNGHLVATASEDNFCRIWDLRTRQMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTRAALWSTRDYKPIKSLAGHESKVTSLDISGDGQQIVTVSHDRTIKIWSCRGTARDNEMELD >SECCE7Rv1G0492730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:555544179:555544937:-1 gene:SECCE7Rv1G0492730 transcript:SECCE7Rv1G0492730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADAKPRALLPVRPLLLLSIPFLSLLLLYGYSSSTAPLPYAAAARASPPNPHIRMRRGAAFRSYDDYLRHQLNKTLDPRLRRVWATRDWRRKVDTFARAFRALQDEGLLSNASRALCVGARLGQEVAALRLVGVSDAFGIDLAPAPPLVVKGDFHAQPFADDAFDFEFSNVFDHALYPDRFVAEIERTLRPGGIAVLHVAVHRRGDKYSANDLLDVDGLLALFRRSEVVRVSKVDAFGLDTEVIFRKKRSP >SECCE5Rv1G0375580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:868718916:868722001:1 gene:SECCE5Rv1G0375580 transcript:SECCE5Rv1G0375580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITKVDLRGLNPSGPGWREARDAVTTSMVAHGFVVVAHDAVSPELREALFGRALPELYALPVEAKQQNVASTPVPFQGYISNMPDGTLESLRVWDPTDANRVRDYGNLLWPQQGGNPAFCDTIVSVAKNLVKLEQTVMMMVLEGLGVGEERIHAHRDQEALTYGLRLWRYGMRPLDAAASVSLLPHRDTCITSTVLQHEVEGLEVQTRDGNWITVPPQPDTATIIAGDIFTVLTNGRTSSCLHRVRTPSNRERFSVALHCRRKDDTLVTPMAELVDRDHPLMYNPCIAGEYTIFRYSEEGRDHSDPLKAFCGVDSLHH >SECCE5Rv1G0359780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:765263424:765267347:1 gene:SECCE5Rv1G0359780 transcript:SECCE5Rv1G0359780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGHTKLATKKKSKVARGKNLNPSAKMAPISKRNLMHATTTKLVSRIWGDYNATHSPEDSKKGDENDEQKVFEEEQEENEEDDVEGEVEVGEEHVSRTSPSTRSRNTSSVACEEIKWEGQTVGMTPSGEALYKSVRVGGLGVAVGGAVTVEGDSREDIMCFVEYMYETHDGTKMIHGRVMQNGSHTVLGDAANEREVFFTNDCLEAKVGDMRESLTVNIQLIPWGHKCRKEQLEAIRVERAMAEERKKKGLPLEYICKSLYCHKKGAFFSLPYGKIGAGTGACSSCEEREAVRDEFKILSETSFVLKDVTYNVHDFLYIRPEFFSPVKGRGTYRARRNVDLKPYVVCRLQSVNAAAGSHKANMKSTKISVRRLYRPNDISSDKAYSSDIREVYYTENIVTVPVTMIEGKCEVTAKDDLPNSNLPVVVEHAFYCEYLYDPGTRALKQLPTNVKLTLARKAPASRKNKGKQICDDEQAGSDKHKDETPENCLASLDIFAGCGGLSEGLHLAGASRTKWAIEYEEPAGQAFLQNHPEAAVFVENCNVILKAIMDKCGDADDCVSTSEASAGAAKLSDEKVKNLPVPGEVEFINGGPPCQGFSWMNRFSQNTWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFKKGRTFRLTLASLLEMGYQVRFGILEAGAYGIAQSRKRAFIWAAAHGETLPDWPEPMHVFASPELKINLPGGKYYAAARSTARGAPFRSITVRDTIGDLPPVENGASNPTIEYGGEPVSWFQKKIRGDALSLSDHISKKMNELNLIRCKHIPQRPGCDWHDLPDEKVKLSTGKTVDLIPCSLPKTAKRNNQWKGQYGRLDWEGNFPTSVTDPHPMHKVGMCFHPDQDRIITVRECARSQGFPDGYRFAGNIHSKHRQIGNAVPPPLAYALGRKLKQVIDAKPRVA >SECCE6Rv1G0381210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:30292736:30295191:-1 gene:SECCE6Rv1G0381210 transcript:SECCE6Rv1G0381210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGGGGEEVLVNYAQIEEYFNNLALEGPSAQDRMDDIVSQIISLLPTPFVPAPDAGDSSDSDDDHFSLTSSDSEDIAADRPAVQDGDGQDHISHLPDDLLSNIISRLPTKEAARTMVLSTRWRCVWAATPLLVDDSHLRAADGPRDFHAVRAVSRCVAAHPGPVRAVRFTRTSFYRQEYALERLIASLAAKNIQDLILSNRPWPLNMPLPDNILSCASLTRLYIGVWRWRFPDTTTVRPAFPNLEELGLFHSIIEDREVDALLAQCPKLKIFSFAIAYISCSRLRIKSSSLHVVMEWGCSFDEIIVEDAPCLERLLFQSIVDQRPVKIIHAPRLEVIGYLDLQLHALEIGGIVIRAGMNVRASAMLPSLKILAVKVRFSHDMEVKMLHTLLRCFPCLETLHIMFIQSTSPDGVHCAEFWESQSSCDCLESHLKTLVLHGFQGLNCESLFLGYILKNGKVLKTLGMVCRDSDDVVSEGGRMSCSVGEGNAPSGGSSGSDDVVVEGGPISGSAGEGNLSSGGSSSSHDVVMEGGAGPVSGSVSEGDAPSGRSTGSNVIHICPASPSWSFQNAIDLSVEDPFCVLRRARAWRASRVEA >SECCE6Rv1G0441320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810214364:810214708:-1 gene:SECCE6Rv1G0441320 transcript:SECCE6Rv1G0441320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGCSTCLEIIFAAVLPPLGVFFRFGCCSSEFFISLLLTVLGYVPGIVYSVYVVLKTQPELPGIDGDRPYYILA >SECCE4Rv1G0252830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:619276340:619278863:-1 gene:SECCE4Rv1G0252830 transcript:SECCE4Rv1G0252830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVPSNVPALADDCDNLRKAFQGWGTNEALIISILGHRDAAQRRAIRKHYADTYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANETARKWHPGNPVLVEIACARSSNQLFAVRQAYHDRFKRSLEEDVAAHVTGDFRKLLVPLVSSHRYEGPEVNTRLAHSEAKLLHEKIEHKAYADDEIIRILTTRSKAQLLATFNNYNDTFGHPITKDLKADPKDEFLKTLRAVIRCFTCPDRYFEKVARLAIAGNGTDENSLTRVITTRAEVDLKLIKEAYQKRNSVPLEKAVAGDTSGDYESMLLALLGKE >SECCE5Rv1G0328860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:510225310:510225546:1 gene:SECCE5Rv1G0328860 transcript:SECCE5Rv1G0328860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKAMFTVRKSKGVVASLMHRRPFQHMVLRRLRELKKIVPDARDADVDVLLRQTAEYICILELKVAALRRLSAIYGA >SECCE3Rv1G0207670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:923469974:923471259:1 gene:SECCE3Rv1G0207670 transcript:SECCE3Rv1G0207670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRSTQHDCGGGVGHSVSFLLGALLPTVLLFFLASDRVDEQLSSISTFRFGNGSSVHQLTSHAANLSTDADATAQEEEAFPGLAELLPRVAMDDGTVIITSVNEAFARPGSLLDLFRGSFRDGEGIAHLLNHTLIVAADPGALALCEAVHPHCYLLEVMAAGVSSANGFLTRSYLELVWSKLTFQHRVLQLGYNYLYTDLDVLWLRNPFRHISLYADMAISTDRFNGDAEDLTNAPNTGFYYVRSTNRTVEMLSRWRAARSRFRPKAHDQEVFEAIKGEFVGGELHIKLVFLDTALFDGFCEYHGEMDRVCTMHANCCLRLGTKLHDLRNVVADWKRYSSLTPPEKMSSKLRWTYPAQCAATMKPP >SECCE3Rv1G0167320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:201129443:201132079:1 gene:SECCE3Rv1G0167320 transcript:SECCE3Rv1G0167320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAFSLLRPQPETPRGEGEGMAMELRKRPRPRRLDPDFVSSPPPTPPRKRPRKQAAERKPPRRAAAANKPPAPSKRARCAQQGIGSPVAGLQPSRCCRIVAPLSRVPFIPRSRVPFNWYEPDIWTEVAKHLHGSDLLRLSATCLWFFRLLYEDSIWRYAFLRDLSLHTNDPKMLRLLNVPRPFHRSWRLLYATAFDDTHAYCFRQPEKHIEWFRIGGFLMDTTKLLLTAKLALPPWKPILNDGPEISIGFMGACLLTNVRPGIWIADMNMVRCPVCNLNKCEGTMQVLDARHCELYLENKFRDGTWEYEELGSYFSNGKLDTAAAAIFNHDYIDTPCVKNILNSKSWIRHHTNLLPKGCFTPVAVALSSNLKPNEGLLSRFQAMRDMSRGGQIVSVRITQQLL >SECCE7Rv1G0462170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:43342322:43343926:1 gene:SECCE7Rv1G0462170 transcript:SECCE7Rv1G0462170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRSASRLLGSAASASCTEPPRIEPPPAPAPANESGAGFVGPKTSSGGEPCCELSRSPWDLMAQLDLSDPQVEKLFVETCFMSVSWRGSWLFPSSITMPTGSIKEEEDLAVDMVDGVILKLHKAVIKMESKLKPNKGAKVKKGAWRCRKNDGKRWCCRRPAIVPNSYCSYHLDQKPHVSDKPRRKRPDIDLGEGFYYYAGFGPGTKRRRTSCRDSVPEPPLPAEPLKEAEPLKEEAPDEMQLDFSALQVQAANESDHQVVLPPSAHIVDEPGTAGMAGCDKEGSDDDVPEPPLPAKLPKEEAQSEMQLNVSAGQAQADDSDHQGAAASVRVVNKPTGNDGTTGIAGWDEESSDDEALGCNGERPRDVTKRKGPFKKRWRKPVKARSLKSLMMS >SECCE5Rv1G0340810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:618801475:618803578:1 gene:SECCE5Rv1G0340810 transcript:SECCE5Rv1G0340810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTARRSEPELVRPARPTPVETKNLSDLDDQWSLRFYESIVGFFRSPPGESVKPGKVAKGIKAAVAAALVFYYPMAGRLRKLSDENKLVVDCTGEGVVFVEATADVRLEELGQPLVPPYPCVEEFLGDAGDTRDVVAKPLLFLQVTQLTCGGFVIGLHMCHCISDGFGILQFIKSIADFACGELIPTTLPVWKRDTFTTRMPPSITHVYPAYKTFIQGLDCTGDDMMLSTPPESMEVQYLFFGPKEIAILRSHLSEHLSKSTTTFELLTAVMWRCRTLALGYGSSQKVRIMFTLNTRGRSINGESVVPRGYYGNAHFSPMAEITVNELVTKPLERVLELIHKVKLGTTKDCMKSMVDLMALWRERSPFGMDRTYEVSDTKWVGGNALKFGRAELVAAGTPHAGDFTSKLISYHTKCKNKDGEDSTVVSILLPKLAMVKFTEEMSIWLKK >SECCE4Rv1G0223050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:66154860:66158068:-1 gene:SECCE4Rv1G0223050 transcript:SECCE4Rv1G0223050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDCENCAFWRDHYYWEHMGDENKKQFSAVAKGDFKNSIRIPRELSTHLRSRISDTIKLDAPNGRTYDVVVTWEFGELVLRSGWDAFVTAHHIEENDTFLFIYHGNSNFEIHIFNSRGCEKMASCFQPPSEIFGAFPPSEPCDHRALNEQAAPNPRHVQTQVEFNYTMSTGCYLTKSQDEKVVEIAHKIRSEIPLYVAIMKKLNVNAKDCSINIPLRLVGHLKEAGSAIIKLEDPDGNIYNLNDLLIFHSKGQTRLKVIALDPSGFEIIDLTSSDDDHTEREDAGRSYGRRKQVPGSHAKARKIFFERSSQASSPSTKSGSDTHKLKRPISNNDNLQGPSRPSYILARGTTLTGRVEKKVQEKVQAIGSELPIYVAVMTKSCVGGNLFSLEFCKEYASTLPSIDQTLILELEDKEWHTALRVKGSRKIIYGGWSKFASDNNLQLGDICLFKMAEQRTRGLAMRVHFICKSGVFL >SECCE7Rv1G0465230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:67682320:67682658:-1 gene:SECCE7Rv1G0465230 transcript:SECCE7Rv1G0465230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAVVAAILALLAVAAAAQGPMPAPRMAPLPAPPARSPTAASPSPMASPLAPTTDAPTDAPSAMTPSAVSATPAGAPVGAPTGTPASSAVYSSAASFVAVAGAVAAAIVF >SECCE7Rv1G0459840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:27354175:27371921:-1 gene:SECCE7Rv1G0459840 transcript:SECCE7Rv1G0459840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDETAEPRALPLSLLMDITNYFSDDLKIGRGRFSVVYKGILGDKSVAVKKLFNAYMHEREFHQAVKCLMRVKHKNIVRFLGYCSDTQGTMASYNGKLVMEEVHQSLLCFEYIPNGSLDKYITYAYCEWRTCYKIIKGISEGLQFLHENHIIHLDLKPANILLDDSMVPKITDFHLSRCFDENQRLDITKTALGTMGYLAPELLKGDAITFSADLYSLGVIITEILTGQKGYQATKKVLESWSDRMERSQRDTLLKQIRVCYEIALECREFSPKRRPASARDIVDRLREMEGIQVEMGSSLAVMGAMDAPVSSSLADMGAMNAPMSSSLGVMGPLLRKLDSLLPLDYRLRGPLKDRVALLKADLEEISVALVEQSMVDSPNEMAKYWMNEVRELCYDIEDFIDDMMLTHADAKMRSVQSFKVGRVKIPWLPKTVRLCTRAAKIAELRALVREAIERHGRYLDGCTSSSRSLFTAYGHIPLMYAEAKNYLVGVDDAKTKLIKWITDEEQHPAETTNYLVGVNDAKTKLIKWLTDEKEQQLKMVAITGHAGVGKTTLAKQLYREVGHQFECRAFVRASRKPDMRRLLEGILSQVQQHRCPSNSYTVQNLIDNLNEHLQSKRYVIVIDDLWETISWDIVASAFPEGNNCSRIITTTEIEGVALECCVYQSDNILKMKPLGGEDSGKLLLNLVFGPECLCPEQLKGALDIIITKCAGLPLATICVSGLLTTQPDNPKLWQHVQEFLCSTLSTDSTLDETLQEILKLSYNSLPHYLKTCLMYLSVYPEGCTMWKFDLVKQWIAEDFICVIEGTDTAEVADSYFEELVKRGMIQPVDINYSGEVLSCTLHHVVLDLVTLESTEERFITALDYSQTIRGHYSNARRLSLHFSNPRYAKKPTGLTLSKVRSICFFGILKCVPTIVGFKHLRVLILEFWGDQWGITSFDLTRICRFFQLRYLKISCDASVEVELPTQMRMLRYLETLIIDAAVSAVPSDIIHLPGLLHLSLGDKTDLPEGVGRIRSLRVLHCFNLSSNSEDNVLSLGDLLNLQDLNLTYCTEESDDHLKRNLAALASSLGKLVNLKSVTLAPGASGTAIYHDVWSSVSSLPFFLQRLELLPPICIFSRLPQCLGQLRKLCILTVVVNELLVEDMDIVTGLPALTVFSLYVRQPTLESIIFKKRAFLGLKCFKYTCGVLSLTFQEEAFPNLERLELSFNAHRGEQYYDFLSGIGYLLNLKEIAGTIGAATGAEESERRVAESAFTDAIRKHPRFPSYDHIKRVDWVHEEYQLKTQAKDSSRGKYETLEKQHGRKEGDSLHEQTRILLKETGEETKQCTNSWSSYFPATESIIFDQMKSDMEQILKEAQTRWLRPTEICEILKNYRNFRIAPEPPNMPASGSLFLFDRKVLRLFRKDGHNWRKKKNGKTVKEAYERLKSGSIDVLHCYYAHGEENINFQRRSYWMLEEDYNHIVLVHYLEVKLPSANDGTTIEFLQLPSAIDGTTTEFQFPSATDSTFATMDSFEQNNRRLEEAINFPVLKTQSSNLSVILKDSFKKSDSFTRWMSRELAEVDDSQVKSSSGLYWNSEDADNIIGASRRDQLDWFTLDPRVAQDQLFSITEFFPSWTYAGSKTRVLITGRFLTSDKVIKLKWSCMFGDVEVPADILADGTLRCYSPSHKPGRVPFYVTCSNRLACSEVREFEYRPSDSQYMDAPSPHGATNKIYLQARLDELLSLGQDEQDKFQAALSNPTKELIDLNKKITSLMTNNDPWSELLKFADDNQLAPDDSQDQFVESGIKEKLHIWLLHKAGGGGKGHSVLDEEGQGVLHLAAALGYDWVIRPTITAGVSINFRDVHGWTALHWAAFCGRDRTVAALIALGAASGALTDPRPDFPSGRTPADLASINGHKGISGFLAECSLTSHLQTLNLKEAMGSNASEISGLPGIGDVTGRIASPSAGQGLQAGSMGDSLGAVRNAAQAAARTYQVSRVLQSFQRKQAVQYEDDNGVISDERALSLLSYKPGQFDPMHAAATRIQNKFRGWKERKEFLLIRQQIVKIQAHVRGHQVRKHYRKIIWSAGIAEKVILRWRP >SECCE4Rv1G0215140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:3337004:3342426:-1 gene:SECCE4Rv1G0215140 transcript:SECCE4Rv1G0215140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDELHPSTHPNQEIGEGVREGARPRVSDRSYKNGRQSRIIFLAQPPPPPPPLLPSPKSDAKSKPRFLSNPHSPTTTTRSRRRRQPERGPPAHSAAPNPSSARPCAPNPSSSPPHRVVPPRSGAAMRASMEASAAAARRSAAPDPPGAAKKQRLLAPPRDPRSSAAYGGGASNGSGAAAAAAAAAEQQSQVDELVAQYRTALGELTFNSKPIITNLTIIAGENLHAARPIAALVCANILEVPSDQKLPSLYLLDSIVKNIGKDYVKHFSSRLPEVFCKAYRQVDPPVHTSMRHLFGTWKGVFSPSSLQMIEKELGFQSSTNGSSGAAASKADSPSQRPSHSIHVNPKYLEARQQLQQPNKGILGAGAKTPIISDADDVIERGSRIGIDKGTGRRLDTLNSRPRAQKDTFSNPIHEKLDRDVRGPGIAGAGQVRSKPKGQDGIVGAYYTGGVSPPEEIFDRRNHLYATKDVRSSGSVRLDSALLPTPVSNSDRVGRLPSSDKSWKNSEEEEYIWEDIRSQGADYGGASSARKGEPLADDANIRSFHRANWAEPVDPLDPDFNKQDIIPRFGHPTSQDRRLAPYMDHAEYLHSKREGDPRIDREMWLEGQPFPESRGSSLWLSQEKPRPDIGRDPRISRFSNQSPSITSSVPVGLSGTYAGRSSLESAKQKYWPPSSPPLQARESSPSSSEHDIYASRPFLPPQEEHNQRAHALSQNSANSQGRPTLQATLSQASQKTQKHPPVQSKPHPKPFHQPFSQENSSSLFRPSVHLPLSTGMEEQPEEVSLPSGPAHAMSDQISASNLLAGLLKGGFIPNTSDHAVPHSHGLASLPSSSASENVPLKPHAPNSLRPPLPPGLPPTQSAEKAAPLSSLLSSLVAKGLISSPSTDSSAVARKPGKSSPSTSDVSASAPPPPPPIVQPSVAKETPAPIKALLPQPPKVDMSDLIGLEFKPVVLREHRTEVVNRLFDDQSHQCRTCGLRFRLEDELSAHAACNGPEEARNAGIAPERWYPSKSRWIDRLPEPQSIFLDSASDSDLGTAEEACEFMVPADESQVICCLCGEQFDDMYSIDRSEWMYKDAVYYDRSKAEGGSSQSKELAPIVHARCMPRISNDGMEVD >SECCE1Rv1G0051730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:656556268:656558530:-1 gene:SECCE1Rv1G0051730 transcript:SECCE1Rv1G0051730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPGSLVWELVKKNNCFLIKQFGNSNAKVQFSKEPNNLYNVHSYKFSGLANSKTVAVQPSAGEDKTVVLSTTKTKKQNTPAKLQHKTLMRKEFRKMAKSVKNQVCDNYYRPDLTKPALARLSAVYRSLQVSKSGIKKKNRQPTKL >SECCE3Rv1G0171780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:277832621:277833854:-1 gene:SECCE3Rv1G0171780 transcript:SECCE3Rv1G0171780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMVCLVALCLVSPLLLAGAVVGNPGYGGLFPQFYDHSCPKAKEIVHSIVAQAVARETRMAASLVRLHFHDCFVKGCDASVLLDNSTNIVSEKGSNPNKNSIRGFEVVDEIKVALETACPGTVSCADILALAARDSTILVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKRLGLNVVDVVALSGGHTIGLSRCTSFRQRLYNQSGNGMADNTLDVSYAAQLRQGCPRSGGDDNLFPLDIVTSTKFDNFYFKNILAGRGLLSSDEVLLTKSAETAALVKAYANDVHLFFQHFAQSMVNMGNISPLTGSQGEIRKNCRRLNNFH >SECCE5Rv1G0318370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:360055802:360056644:1 gene:SECCE5Rv1G0318370 transcript:SECCE5Rv1G0318370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPMPSPPHPASPPPPDQEDAAATETTPLHPTFYGPPAPPPGTYIVQIPKDQVLRVPPPDRARRYKSLAERPARRRRLRRACFGACGAVLFLALAAAVFVGAVYLVFRPRAPAFSVASLSIRGLDVAALPPSSLSPELDVALRADNGANRKVGVDYRGAGEVAVSYSGARLAAGQWPAFHQAPRNVTAFSTTLRGTGVSFSEEQRKQLVAEQAARAVPLTVEARVPVRLRFGKVLRTWTVDVKATCEVTVDRLAGEAAAANRGCRVKVRPFLWWWW >SECCE4Rv1G0251470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:602916948:602918360:-1 gene:SECCE4Rv1G0251470 transcript:SECCE4Rv1G0251470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMQVVIHSHCSFLKNFLTGVVFTLPLVYIFHYSTPSFLSYTNLAAFQAHRTSTSPPLPPPNAWQQCDYTTGKWVWDGSVTGPRYVSENCDMVSAEKCVLNGKPDNGYMNWRWQPAGCNLSALDPAEFLRAVRGKLLAFVGDSTARNQAESLVCFLSTVSRPETTHQYEDHPMSHKFWRWVFPAPHNVNISTYWSPFLVRAEGRSVDYAMTHDTLFLDAFTEPWTADVDAMDVMVISAGHWFNHQAVYYDNGQIAGVFARPDVNETDIAGGYIGAYRKVIRRVLEYVQEKSSGDKLVVVSTMAPAHFDAKYASNHRDACSRPNPYDEGEVPEDGGTAEMRKAVLEEAAAAAAKRQRRGLRFDVLDVTRLASMRPDGHPGAYIMKDRYGAGKPVPETVNNDCLHWCAPGPVDTFNDILVQVVTASG >SECCE4Rv1G0247840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:564297669:564302957:1 gene:SECCE4Rv1G0247840 transcript:SECCE4Rv1G0247840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWEFILRGGGRRFIKRKGSDAGEAGRAMEELRGSLYNEFHTSEGAKRQQQRFCGPGVALTFNFVVSVGIIMANKMVMGSIGFNFPVALSLIHYIAAWVLMAVLRALYLMPIAPPKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVAAEFILLKKGVSFRKVITLVVVSFGVAVATVTDLEFNFFGACVAVAWIIPSAINKILWSNLQQSGNWTALALMWKTTPITIFFFLVLMPLMDPPGLLSFNWNFKNSCAIMISALLGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGFLVFKSDPGLTSLYGAVIALGGMSVYTYLGLKESTTGGKRIPSASRQSSLSLKSKVIIDEEKPETRPVDSV >SECCE5Rv1G0316880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:331810249:331813189:1 gene:SECCE5Rv1G0316880 transcript:SECCE5Rv1G0316880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAEGKLALGDMRVLKPLGHLLVGLVLYWVAEEMTVPVLVDVTTAALCPGDGSTSCPEAIYLTGLHQTVGGIFRAVGFTLMGQLADEYGRKPLLILAAGTSIIPYSVLALSSTKVAVYVYLVTRTLSFMIGQGTITCLALAYTADLVEPSKRAFAFGCMTGILSASHSLGNVFSRFLPEEWIFQVSVLLLVSSVIYMKICLVETLQKAPSASCRRLSLSSLVLGLPHQRWESIKENISMIKINNTLKRITYISFFYELGMIGISDVLLYYLKLVFGFDKNQFSEILMVVGIGSIFSQILILPVAIHAIGEKGVLCISILASVAYAMMYGLAWNWWVPYFASSLGIIYCLVKPATYAIISREVVSADQGKAQGFIATVKSTAILMAPLFMSPLTSYFISEEAPFNCKGFSFLVAGFFLAISLAFAWMLDPESKDKLIAVADQDRLDQEAVQAPLLPQP >SECCE3Rv1G0187150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:695018210:695025454:-1 gene:SECCE3Rv1G0187150 transcript:SECCE3Rv1G0187150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKAKAAEPTRRSKGKRVHPTQAAAATTAAAVMEAAEATSQACVYDALPGLTLDFSPEEDLDAPEPRSSSYPAAAEEDATATYAVFRNEITAAGDPLVDIPAADFFSLDVSGAVRAEPASPSSAAVRPAAAATPSSSLAPTEQPAQGSERAWFRGGRRFRSPMLQLHKEILDFCDFISPSAEEQSSRTAAVQAVSDVVKHIWPHCKVEVFGSFRTGLYLPTSDIDVVVFETRVKTPQGLYALAKALSQKGVAKKIQVIAKARVPIVKFVERISGIPFDISFDIDGGPQAADFIKDAIKKMPALRPLCMILKVFLHQRELNEVYTGGVGSYALLTMLITHLQLIWGVKDMLGYRQSKEHNLGILLIKFFDFYGRKLNYTDVGISCNSARTFFLKSDQDFVNLDRPHLIAIQDPMVPDNDIGKNSFNYFKVKSAFLKAYSVLTDAKLITSLGPNRSILGTIVRPDSVLLDRKGWNTDGALADMLAEPWEPLPQRFDSENDALYNWHALDDDEPLPRNTQPASEDTSSSPLGKRKSSKSKKKSRKKAKPDASSSDDNDEEGSRNRREHSRGKGSAQSEKSRRSGGSSRRRKGTREYDRFTNTLPQHTHISRW >SECCE1Rv1G0000470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1654543:1656048:1 gene:SECCE1Rv1G0000470 transcript:SECCE1Rv1G0000470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPHVVVIAFPFASHAVKLFRITRALAAAAPAATFSFLCTAAQLQEQQKHDALLGNLRFVEVSDGLSPISESDGTAAAPSPHPKMRLKLFMAAAEAGGLRQALETARASAGGARVTCVVGDSFMWMAAKAAAEVEAPWVAVWTGGPSALLAHLRADALRDDIGDKAASRADELLTSHPGLGSYRVRDLPNGIVSGDMNSPIVSLFRRIAEHLPRAATAVAFNTFPGLLPDDLTAALAAELPECLPVGPFHLLPFPGNEDDSVETNTDPHGCLDWLDGHPARAVAYASFGTVVTAVAGNPEELRELRELAAGLEDSGAPFLWSLPKESWPQLPPGFLGLERGKVVPWAPQAAVLRHASVGAFVTHAGWASVLEGVSAGVPMACRPFFTDQKMNAQLVAHVWGFGTVLEEPMTREAVAAAVPSLLAGDQGIQMWERMQEMRAVAASAFAPDGGSRKNLDRLVKIVCREL >SECCE7Rv1G0504880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:727516630:727523725:-1 gene:SECCE7Rv1G0504880 transcript:SECCE7Rv1G0504880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLPLPQGLSFFKSVGWFEDSKVDSAAKQHLSPKFNLQTDKEVYRPGDSVTATIEISSPASLKGEAGTVPGGDVTSLLVDGLSFELKGIEKLDSQWFSVPKPIPGSKQRRGEYLFLDCSAPSLVSKVIIASGQTKTYIVRLELPRILPPSYRGISIRYIYYVRSRLFGRLIDLGNEDQTKGNVNSAVQLETRVPLQICVSQKSSNLLNEEGSFPLSVEQLSIFWREKDDESEWIRANDNTDLEEGYDSSKDEVSSVSSYNPSKSNADFPIRTSTSTHSLSSRLSTNEALYSQGERPTFPSYNAIPRFSVSEISDDHGGGPVSPLRKLDHLHLDRHPSNGQRFSLDSDRPKDDVGLPLTPKHVEPSGSEGLMRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGTGTRRCLEVSITLETSETINPRVIHPSRRVSPTITKVHSEHHEVVADLHQTSFLFSIPIDGPMSFATSKVSVQWSLRFEFFTTPAGMDSSRYEHPLLVEKREKGDWVLPITVYAPPMRRRATHGRNDRSALVGNLLNS >SECCE2Rv1G0075830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:86274164:86279022:1 gene:SECCE2Rv1G0075830 transcript:SECCE2Rv1G0075830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALQCCPLLSSRPASSISPRSSVRTPAVSRTGEARRRRPQRCRCSQSAGGRSPGSSPPQLDRLFSNVNQATMKHEPGSITGSVFLVAGTTVGAGILAIPAVTQEAGFLASAVTCVFCWTYMVVTGLLVAEVNVNTMCELGSGSVSLVSMAKRTLGTAGVRTVCFSYLFIHYALLVAYVARSSEILTNSLGIPLWESATLFSLAFGGLCYFGSQRVIGAVNGFLVFSIIASFTALVVVASGNIQWSSLLETNFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRKAIVLGTAIPLVLFLTWDAVILGTIPGFAESGTITDPLQQLRSSNGTVGPIVEAFSFLAIGTSYIGFVLGLTDFIADLLKLPSGQNKPLPYLVTLFPPLVLSLLDPEIFFKALDFAGTYGVLVLFGVFPAAMSWSERYSNDLEAPISPVVPGGKLTLSFVMGGALLVIFSEVFKDIMQLQGLH >SECCE3Rv1G0180150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:565284413:565288606:-1 gene:SECCE3Rv1G0180150 transcript:SECCE3Rv1G0180150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEGETAGSKEKSSGVARTSLDGLRDKNVMQLKKLNMALFPVRYNDKYYQDAIASKDFSKLAYYSDICVGAIACRLEKKEGGVVRVYIMTLGVLAPYRGLGLGTKLLNHVFDLCAKQNISEIYLHVQTNNDDAIAFYKKFGFEITETIHNYYTNITPPDCYVLTKFIGQAATKK >SECCE4Rv1G0226890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:115027444:115033056:1 gene:SECCE4Rv1G0226890 transcript:SECCE4Rv1G0226890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGAGFFDSRGGGAHHPLPDYHRAHPSKPSRIRRPGKPARRRSPAVAAAAAAVLLLAGVFILSRRLSRDPAEIGEDSGGGEGLPEWNRSNNSKELKFGHGGGGRSARDSRYWDQDDRRRDEDYSEDEKEKVSGAAGNTGDAGGGSEKSVSSDPGIEEKGLTLDTRVGADKEVPELAEGGKGGTLYNEGGRKELEQYEAASMGVVGTGMREVDPDDEYDDGIDDPDDSQMHSSVAGRKLGDGIHENIGKEENVALERHMKAGGRISDGGDAVITDQKKASGTGDKKHGSKKKPKRKKSGSTCEMKFLNSTAQLVEPAKNEKFASFKLEYVEIEQKPVGSENWEPRFAGHQTLQEREESYVAHDQQLTCAFVKGPNGSSTGFDISEDDRKYMSKCRIAVSSCIFGNSDRLRTPFGKTLTSLSKKTVCFAMFLDEVTLQTLLSEGQKMDSMGFIGVWKIILIKNMPYNDMRRVGKIPKLLAHRLFPSSRFSIWLDSKLRLQTDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYQADGLTRFNSSDPHKLLPSYVPEGSFIVREHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYLKLRRMNPKKSFRLNMFKDCERRSMAKLFHHRSEERHSSGQLTR >SECCE1Rv1G0043070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:588571433:588574520:1 gene:SECCE1Rv1G0043070 transcript:SECCE1Rv1G0043070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase NSI [Source:Projected from Arabidopsis thaliana (AT1G32070) UniProtKB/Swiss-Prot;Acc:Q7X9V3] MVAAFAVTPPSSICGVFAPTACRSEARGMVPAPSFFHSRNQANRRSATVWSLKAGLWDSLKSGFLKTSNSTETVQPPSTALEEEEIVPEELVLLERTLPDGSTEQILFSSAGDIDVYDLQALCDKVGWPRRPLTKIAASLRNSYLVATLHSITRSSETEGEERKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKTLMEKVIRTLLQRDISNITLFADSKVVDFYKNMGFEADPQGIKGMFWYPRV >SECCE4Rv1G0219270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:29063577:29067551:-1 gene:SECCE4Rv1G0219270 transcript:SECCE4Rv1G0219270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEGPVSLRSTSRRHRRSHGDGVDEASSPKRQKRSHHLHHRRHHRHHGHGHGDRGDQEAGSRPRVSAARPGEGEVEDGEIVDNAAAASRGPDAGFGKAGSVFGGLAPAPGCDDKFDANKNCREANHRRKRSEEIKEQSCKEEDQGDFEHFANQEEDDLKKTKEEARKRMDAILEKHRQRQFQKEHQGPVPCHDSTEVKSLDRDVATTEVKDANSVTVIVNEKSYTLGKTPPIQPNNSINLGISGDQRTACFSGFQEGIPMDDRSSDILCDDIFGASPIRVQKLGNLDGMHVRKNSLRDNWDDEHGYYKYHLGEVLDGHYRITTGSGKGVFSTVVQAKDLKAGKNDPEEVAIKIICNEKERYKSGKREVSVLEKLSSADREDKRHCVRFISSFMYRDHLCLVLESLHMNLREVIKKFGRDIGLKLTAVRTYSKQLFIALKHLKDCSILHCDIKPDNILVNGSRNLLKLCDFGSALPAGINDITPILVSRFYRAPEIILGLPYDHSLDMWSVGCCLYELYTGKILFPGGTNNGMLWLHMELKGPFPKKMLRKGAFTTQHFDQDLNFHATDENLMMKKAVNKLCMNIKPKGVGAKISSSAGEDPKMLSRFKDLLEKIFVLDPQKRLTVSQALSHPFITGK >SECCE2Rv1G0106070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:629566366:629568460:-1 gene:SECCE2Rv1G0106070 transcript:SECCE2Rv1G0106070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSVVLPKVVDGEKEGTARRAKWWYVTFHNVTAMVGAGVLSLPYAMAHLGWGPGIVALLVSWCITLYTLRLLIELHECVPGVRFDRYRDLGVHALGPRLGLWVVVPQQLIVQLGCDVVYMVTGGNCLQKFAESVCPSCTRLHQSYWICIFGSSQFLLSQLRDLNSITAISLAAAVMSLSYSTISWAACLAKGPVAGVSYAYKAGTAADSVFRVCSALGQVAFAFAGHGVVLEIQATIPSTPTKPSKVPMWKGTVAAYMVTAACYFPVAFIGYWTFGQDVSDNVLVALERPPWLVAAANMMVVIHVIGSYQVYAMPIFESMETFLTTRFRVPPGLLLRLVARSTYVAFTLFVAVTFPFFGDLLGFFGGFGFTPTSFFLPCILWLKIKKPRRFSASWFVNWGCIAVGVLLMLVSTMGGLRSIIQDASTFQFYS >SECCE1Rv1G0048480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:635480768:635483567:1 gene:SECCE1Rv1G0048480 transcript:SECCE1Rv1G0048480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARALGALLHRASSLSSSASALRSASLVHRNGPTGGASLFQGHVARRRIWTFQPLCMGRRSCKIAGRKGAQNLKKMKRNSKIGKEIVAAIKKGGPSPSSNTALAAILEKVRELDVPKEVVERNIKRASEKGQDTYTEKIYEVYGFGGVGMVVEVLTDKITRSIADIRNVVKDCGAKLADPGSVTFRFRQARVVNIKVTDADKDQLLSVALDAGADDVIEPNFDDEDDSEEEVLERFYKIVTTSENYPVVLSKLQEEGLKFETDNGYELLPLNPIEVDDEAMELNKDLVCKLLELDDVDAVYTDQK >SECCE7Rv1G0483270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:320221098:320225367:1 gene:SECCE7Rv1G0483270 transcript:SECCE7Rv1G0483270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPDHAAEAAGGGACSICLDPVAGRAGGRSIAKLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYATGQRPSPDIDIGGWVTGETYDIASDLPFGFQWCPFNGFTQLASVFEEGEAEPPSYHTVADHSSAASSSLVCPYLSLRGFLHPVHVPLGSNSGAEGASFHRHPSSLEGHAAPDLGNTQAAFHATEPRMLDSEHRYLTNLPVSGIPDHSVAPFGIGLPRYETSSQQRSRPYVHHHPLVHRPTPRNGSNLVAPLGSVPAVMGETRGHGHGARGHMYQHSMHSSMQSSPFPPTARRVRPRALTITSFIAAAAASSVEVGMPHGFAPPAAVNRSLPSDGEGVSRPPVDRPYAWGREGFGPFPWVPVDGEPHWWSTFNPMQNHTHGGFTRRPAPGERIPQSHPDNVYQPVPPQRMPPFL >SECCE1Rv1G0004310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:17260446:17265670:1 gene:SECCE1Rv1G0004310 transcript:SECCE1Rv1G0004310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTSLASNPNPNKSFEVLPNPGDSLSSLSFSPKSNLLVATSWDNQVRCWEIGNGNSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQAQTVAMHDAPVKEVAWISQMNLLVSGSWDKTLRYWDTRQPNPAHVQQLPDRCYALAVNYPLMIVGTADRNIVIFNLQNPQTEFKRIQSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPQPIPCSSFNNDGSIFAYGVCYDWSRGAENHNPANAKTSIYLHSPQEAEVKGKPRIATGRK >SECCE5Rv1G0368130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826551633:826552603:-1 gene:SECCE5Rv1G0368130 transcript:SECCE5Rv1G0368130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPAISPAVARVAACLLEKDVAFQLEAVDMSKGEHKSPSFLKLQPFGQVPAFKDHLTTVFESRAICRYICDQYSDRGNQTLLGRKEDGAVGRAAIEQWVESEGQAFNPPSLAIAFQLTFAPLMGMATDMAVVEQNEAKLAKVLDVYERRLAESQYFAGDEFTLADLVHMPNTDLLVSKTGKAGLITERKNLSRWWDEVSARPSWKKVVELQSVPRPPRS >SECCE1Rv1G0006710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:35292212:35298468:1 gene:SECCE1Rv1G0006710 transcript:SECCE1Rv1G0006710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPALPVAAGRDKEERRRLMGRCALAVVGIMSTLLVYGVLQEKLMRVPYGAEKEFFKYSLFLVFCNRITTSMVSALVLLSSKKSTDPVAPIQKYCVVSFSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMKKKYGGKDYFFAVIVTMGCSLFILYPASMDVSPLNKGRESTVWGVSLMLGYLGFDGFTSTFQDKLFKGYEMEIHNQIFYTTMCSCVISLSGLILQNHLLPAVDFMVRHPDCFYDVLILSTVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFVHPLSWMQWVGAAIVFGALYAKTFSRNKPQKAAVASSSSQGSVPNAANS >SECCE4Rv1G0216700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12188942:12192557:-1 gene:SECCE4Rv1G0216700 transcript:SECCE4Rv1G0216700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQPSFSSLMAMNNSCNTMKFPKTALLPGFGAISRPQDVQDRNASLTCSRPKAVSLTDQSVAEPAKPRQTKHTVDPAAPEFLPLPAFEDCFPRSTKECSEVVHEESGHALKVPFRRVHLTGDSGHFDTYDTSGPQNISPRLGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCAKRENLAPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWIIRNSSVPIGTVPIYQALEKVNGIAEDLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKRHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMSFHDETLPSDGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVRQGMNDMSAEFLAARKTISGEQHGEAGGEIYVPESYVVQK >SECCE6Rv1G0429070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:733443708:733445105:-1 gene:SECCE6Rv1G0429070 transcript:SECCE6Rv1G0429070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDRHQSRRRRRSGGEIEEGEVVSDYYTSSDTDTDDEDARYYLHPLPLHEHRQAMMVTVARPGPGPVQEGVVGGGGSAGSSSTSRSTTARASSPTSSLGSDGTISDHAYAGVDAAAVTALFPACPVCHRQFHSSKAVHGHMRVHAHAQPKEAEKMSATVCAVDEVADSVSVSTATLVEEPKISDDPTKVQLASSEQVVSEQVVPSSSRSSDSASVQKPCQSTADQSMAIVVAGAVQPAAVSDQVNIAAMPPTSQQVAVSPPVAPAPEPAAAYPHPPAAAQQHAFAPPPPAAAVAPLHAFHGPLHMIPHQDLAAPRGFSCKECYRWFPTHQGLGGHAAGHKNRRIAAEAAAAIAAGIDPIAVGGPRQEKLHTCKVCGEVYTSGVRLGGHMRKHYTGKPIVPRKRARLLFPPDVLGFAIPAPAPQAPAAEVAAAPAQAPAVPAGCLRIFGVTIVPEAKKEEDEP >SECCE3Rv1G0150500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:32457240:32458756:-1 gene:SECCE3Rv1G0150500 transcript:SECCE3Rv1G0150500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPEEGDLAARLPEDVLVDVLRRVTGPRSLAVSRCVCKAWRAIIDGEALLRRQLPFSGIFICFRRLCLPEFFSRPASAGQPVISGKLDFLPRAVELRRGSGDYYIQDHCNGLLLLQGGYIDDHYVVNPATRWWNALPLCPDNHTAPGGISHGISYGHYYLAFDPTVSSHYQVFQIPYLDWGEDETDPLEGTSEWPPSIYILHVFSSRTGRWEERLFVRQGDAAGTIAKARVLFEGQQNSVYWRGSLYVHCQTDFVMRISLSEDKYSVIKPPMDIGWSSYLGLSEKGVYCASFVENDRILVYTLTESCDQFEWILKNDYDLKPVQMFDGQVNGPWILEDINYEKFRSHLPNISKEEVIQEKFEWNSDDDDFHENLDIVEVQHCPYFDIEVLGFHPYKEILFLSRSEDCKFNAMAFAYHLNSFKVENLGSIYPTGHDYFSCSIPNESHEIDSFPYTPCWIEETPERVS >SECCE2Rv1G0112330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:708345633:708346535:-1 gene:SECCE2Rv1G0112330 transcript:SECCE2Rv1G0112330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHAPTRLNLTPTSPLPTLPSRSHLAAPGPKPRPNPLNPAPNPSAATMLRRLASAAAPRAFFSPSPAASHAPRREYGLVPMVIEHTSRGERAYDIFSRLLKERIVCIHGPIADDTASLVVAQLLFLESENPAKPIHLYINSPGGVVTAGLAIYDTMQYIRSPVTTLCIGQAASMGSLLLAAGAPGERRALPNARVMIHQPSGGASGQASDIAIQAKEILKVRDRLNKIYAKHTGQAIDRIEQCMERDMFMDPVEAHAWGLIDEVIEHRPISLVSDAVGSDPPKNGGGGENKGTEEPSPA >SECCE4Rv1G0295790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:900145671:900149125:1 gene:SECCE4Rv1G0295790 transcript:SECCE4Rv1G0295790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGLHKAAVQGSVASLRKLVAERPGILDSKTPQHNTALHIAADLGHAGFAEEVLGVDYKLLATRNADGDTPAAPGGQGGEGGRGGAAHQPRPSMACGALPWERAGASVDGQQGRTAGALLAAQPSRGHALSLNLKQQSPLHIAAREGLTDVVAKIISHPWVHERFVSSDSIGGTALHQAVLGGHIRVVEMLLDATPQEQIGLTDSSENNALHYAALKNNARVVRLLLNRKVELAYKRNRELQSPLHLAACKGSTEAMAELLNYCPDVAEMVDSNGRNAFHVVITSGRVDALKYLLKHVRPQEIVNRVDHQGNTPLHLAAALSRVQPALLLLKDRRVSPCVLNRDGQSARSIIEKRAATEEMDDYEMYVWKKLKKSEASRCKNVQLPPPPAPYRSVRMRSQTAGHDEFNVTMLVATLIATVSFAATFTMPGGYNQTEGTAIHGHKVAFKIFLISNTVAMCSSIIVVFCPTMWAWRERERDPVEHKLDQLNWRRRLMWSRRLTNMAFLGMLVSFMTAVYITVAPTARWPAYLVIAIVASTPVVVFFTLWEEM >SECCEUnv1G0557810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:327130238:327131054:1 gene:SECCEUnv1G0557810 transcript:SECCEUnv1G0557810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFLLLAALLALVSWQATASDPSPLQDFCVADMNSPVRVNGFVCKNPMEVNADDFFKAANLDKPRVPNKVGSNVTLINVMQIAGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNLPAPNKNKFLSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSDPPISDDVLAKAFQVEKKTIDYLQAQFWENNHY >SECCE4Rv1G0258560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:678190823:678202275:-1 gene:SECCE4Rv1G0258560 transcript:SECCE4Rv1G0258560.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLASAMRRDNRRFKAPPSSSAAAASAGRVPLVMAFLACLAWVYVAGRLWQDAQTRAILSGLLEKRTGNLPKEISVGDKLRDLGCTGIGRKIAEAEMEIAKAKSEGYLWGNGTGAGTGGSERKKVLAVIGVYTGFGSRLKRNTFRGSWMPRGDALKKLEEKGVVIRFVIGRSPNRGDSLDRNINEENRKTNDFLILESHEEAAEELPKKVKFFFSAAIEAWDAEFYVKIDDNINLDLAGLIEMLNVRRGSHGLYMGCMKSGAVVSEEEQQWYEPEWWKFGDSRTYFRHAAGSLFILSNNLARYININSASLQSYAHDDISVGSWMMGLNATYVDDDRLCCLSAVQEKVCSIG >SECCE2Rv1G0074990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:81712036:81712938:1 gene:SECCE2Rv1G0074990 transcript:SECCE2Rv1G0074990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADAALPSPPLPPPSPPARIVVVVLALVHFAVAAMLALGRVLCVAAEALPCLWLAVVWITSAASAAKVVARRAWGEGSASFLFLQTLTYGGFTVLVCGFLALLALGVLLLCGLGVAYVIAAVRGSHSRHEFKERAVGAIKPDPAAGSFSLPRTAVLGFMADVPFMLLVVAGLLIAAVSHVEGLVSQGEMVGLVIVDVGTFAMHAISCSVIIPALALGAWREDQADRKAPSQFC >SECCE3Rv1G0167660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:206223885:206227939:1 gene:SECCE3Rv1G0167660 transcript:SECCE3Rv1G0167660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIAGEPHRVVLLVDLNPLLPSPNPSAPTAQSAPSTYLAAVLPTAASLLAASPSPTSLSAVRLFFSSLSPILSSSLLPKHLPSAPTPLSFHLPPATLASLAPLRRLALPASPHPRVTASSSIAKSLLQLEHDYSWDAESQHGRRRRVFDRPPNLAVLFTAVSDFEEFGENASPEKRFRGVFEPVRDRLRSRGIQVCWVAVGSTSEGIRRAVTDLGWRFTAADAVTLGSAVAPPGLVWGGLGFGCQGGGGRGEVVLEIADVKGKPLVCKGCDVEIVSSTPWQVGSHGVSRIHVKAVCEVGNWEQLMCRDGEVVLVRGLPQDRSKGDGEGAVDREFFPHRLLELVLADESNCLRAGMPIWQLILVFLHRKNYCAMVSISDGDEKFVDGLLVPFSMNYALLHVDRNGTGLEQLFAKSPETLDSSMPDPPKELSARKKRIRLVSKLLEAASWSTFCDVLLKHADGSMPVVELEDLYFSRYGTASKKLRFLKCWLKQVKLSSLGTSSSLHTGETRPSSKDEGEAKLQVSEEDGAPHVNCSVDEADCNKIDKPVDEADCSKVEQQVDEETSAFSSMEDLEAFLGSIPQKIEQGLCSEDADLGNLAERLVGLSVDALLIKNGKITVRYFEHREVDDASDAKIISEASIILLRKPKELLLKYKDNNSASAGSEQTSKYNANYKIREHELQILLRLEIIRSKLGPDFEEGSKHKMIKEICSLLQFIDINLQGDSFQSDSIVKFAEKTIKSRYMDSMEDVIKKIYTEMEFDLFDEDDLPCSDSLPSSSNQDDGKGNKSRSSQRNCAGSSSAPTLHTRSRHHDRHEEQLARASERRNRERRLSSFTSWVPDLRRVWALKHPGKEPPLAVAVPRSRQHSKRRKRRAACTDMVCETPMTAAKKQQPEQAGSGSDEMMSIGSVSKTLFDDDDTEVSSSSS >SECCE4Rv1G0274500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:785119303:785119698:-1 gene:SECCE4Rv1G0274500 transcript:SECCE4Rv1G0274500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLRLVLLITFVLANFFAIAHAQTSTSPPPPSPAVPAGWTMVTNTTDLVIQQVGQFAVRIYALSTGKLQLGFVDVVSGMTRPMNGGFDYQLVITVSDGLAPRNYRPYNASVWGILGTMSWKLWSFTLVV >SECCE5Rv1G0343960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:642765760:642766704:-1 gene:SECCE5Rv1G0343960 transcript:SECCE5Rv1G0343960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRRRRRKNSRPVPEREVDIDAVPGELLELVFLRLPSPAHLIRTASTCTRWRNVIAGDGGGFLRRFGSLHGAASDHVVGHYRVDERYGHPRPPGLNPVFVPSSSSSLPWADAIANRNLALDFLPRAEFGDCGWELADIRGGLLLLFHSELAPGLLVCDPLRLCYKEIPRSAWFHGCHMLGAFLLEGEGEEHAGISLSNFRVTCALFRFGDRNARACAFSSTGGGWTSGAGRSSTPVCHDRELAPIYFAGSSKRAAYWTVGDYVVLALHKENVEIFSSIVLGDAQYALLRDKRQATEYAYQLPWPPTIEACVT >SECCE7Rv1G0466160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:76803383:76805199:-1 gene:SECCE7Rv1G0466160 transcript:SECCE7Rv1G0466160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAHTSKVVLGCVAFGIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLQWKSRGPKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWRYLAVEPADQERGVSTGPEVVGDDEVTSHRFTPARMSHVSSLNPDDFDCVSEPIIRSASVNGASDHLRSRSVNANANANADADMHFSIRSLRSSSMSHEMVEVSTLPVLDGASSRKFTRTASQQRSVIIEDAPPSSPTDDEAAAANGGDKDKGELPEVVEKRWKVLVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTMWELVEPYSRIDSARGVALLGLVILILSNVASNVPTVLLLGTRVAASAAAISPASERKAWLILAYVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVSSY >SECCE1Rv1G0000350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1343031:1345149:1 gene:SECCE1Rv1G0000350 transcript:SECCE1Rv1G0000350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAARRGAAAAAATASFHSTAAALSKSTPRIRFNVREKRTDAKNALKNILLNGGPSQERSNKQTRQQKAGGKSKTRYGSGSNPYSKGRGGIDWRNFDDDDSSDSPYGSVGGKTSFTWYWPGEEDDDDLPNGFQWREEPRPNKSREKVWNESDVDEEEAPRRDDLKSHRISLGLPALGPLKLDHIKSAFRASALKWHPDKHQGSSKAEAEEKFRRCVEAYNALSGAFKRSS >SECCE6Rv1G0396030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:355016856:355019664:1 gene:SECCE6Rv1G0396030 transcript:SECCE6Rv1G0396030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTSSDPPDYCSAVSPELKLYQAFIFSVPVFFTFVLLLFFYLFYLWRRRANRQSLQMRADNLIRGGNPRLECGINKEMREMLPVVVFKESFLIRETQCSVCLADYQPDERLQRIPPCGHTFHIDCIDHWFSKNTTCPLCRVSLLTAPRAASVAPTDLETQAIEEDCSLNAQHHVGLRDEHTRLEDQAVDGRISDGPSQQSNVEASVVVVIAPQAAGSPSACHLSAV >SECCE6Rv1G0444310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827435486:827435731:1 gene:SECCE6Rv1G0444310 transcript:SECCE6Rv1G0444310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLIAAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >SECCE4Rv1G0293100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884614645:884617187:1 gene:SECCE4Rv1G0293100 transcript:SECCE4Rv1G0293100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSYVITNAHSFSKFAGAMAKDYPASPKAQHLQESKKQRLTYVLVVSALCVAFYVLGAWQNTTMPNTVADSAISRVDCDTVAQRDGSVPSFAPASENVLDFDAHHQLNLSDTESAVQQFPACSLNQSEYTPCEDRKRGRLFDRDMLIYRERHCPGKDEQIRCLIPAPPKYKNPFRWPESRDVAWFDNIPHKELSIEKAVQNWIRVEGNKFRFPGGGTMFPHGADAYIDDISKLISLSDGRIRTAIDTGCGVASFGAYLLKRDIITMSFAPRDTHEAQVQFALERGVPAILGVMGSLRLPYPSRAFDLAHCSRCLIPWGGHDGLYLAEIDRILRPGGYWIHSGPPINWKTHHNGWQRTEEDLKREQDKIEDVARSLCWNKVAEKEDLSIWQKPKNHLECADIKKKYKMPHICKSDNPDAAWYKKMESCLTPLPEVSNQGSIAGGEVARWPKRALTVPPRVKRGTIPGIDEKKFEDDMKLWEKRLAYYKRTTPIAQGRYRNVMDMNANLGGFAASLVKYPVWVMNVVSVNSDKDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITDILLEMDRVLRPEGTAIIRDTVDVLTKVQAIGKRMRWDSRILDHEDGPFNPEKVLVAVKTYWTADPSEHS >SECCE1Rv1G0031160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:439369264:439371119:1 gene:SECCE1Rv1G0031160 transcript:SECCE1Rv1G0031160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLGVAAKAVLLVLAPVVISVALYSPRDFSPAALPPDHSFGADVSAPRHDARVLAASERIGEGLLPGPEDLAYDAAGGWLYTGCADGWVRRVSVPGGDVEDWAYTGGRPLGVVLVGDGGIVVADADKGLLKVSPDKTVELLTDAAEGLKFALTDGVDIAADGTIYFTDASYKYSLAHYFLDVLEARPHGRLMSFDPSTRRTAVLARDLYFANGVAVAPDQDSLIFCETVMRRCSRYHIRGVKAGTVESFIDSLPGVPDNIRYDGEGRYWIALSSGRTLPSDVLMWSPLVRKLVYMVEKHVMAVPQGLRDSGTMSVALNGEPVTMYTDPGLALATGWLKVGGYLYYGSLVNSYISRVDLTKSSIEA >SECCE3Rv1G0194140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:777382206:777383764:1 gene:SECCE3Rv1G0194140 transcript:SECCE3Rv1G0194140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETAVRHVVAVPYPGSGHINPMLAVCRLLVAAADGALTVTVVVTEEWHALLASAPTPPDRLRFATIPNDVIPPERSRGVDHAAFFEAVSNKMAEPVEQLVMKLEPRPEAILVDTYLTWGVAVGARCRIPVCSLWTQPATFFLALYHLDLWPSGDDDHEHDEELSTKSLDQYVPCLSSVRMSDLMVFSRWKRHMKITAEAFENVRKAQCLLLTSFHELEPCAINIAAELLPFPIYPIGPAHMPPDGNAGRIQDEEHRDWLDAQPEKSVMYVSFGSYASMPHSQFQEIAMGLLDAGVKFFWVARDKAPELRRMCGDKQGLAVAWCDQQEVLRHPSVGGFLSHCGWNSVLEAVCAGVPLLAFPVAWDQLVNARMVADEWKVGIDLREQRGEDGTVGRAAISDAARKLMDSDSGVGQEMRKRAAQLREVSRSAVREGGSSRRSLSGFLEDTLLCHLMICSGLS >SECCE4Rv1G0277970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:806548067:806551523:1 gene:SECCE4Rv1G0277970 transcript:SECCE4Rv1G0277970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSHQEEEEEEHGHCGGHGDRITAPLLPNRPSASRSSPERHDDDHGESSENSPIEQVALTVPVGDDPDTPVLTFRMWVLGVLSCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAALPERAFLRGSRWKFTLNPGPFNVKEHVLITIFANAGAGTVYAIHVVTAVRVFYGKDLTFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHDDERRRKGGFTRNQFFLVAFACSFAYYAFPGYLFQMLTSLSWICWVFPNSILAQQLGSGLHGLGIGAIGLDWASISSYLGSPLVSPWFATANVAAGFFIVMYIIVPIGYWFDFYKAQTFPIFSSGLFTMSGQKYNISAIVDDHFHLDTEAYEKNGPLYLSTLFAVTYGIGFASLTATIVHVLLFHGRDILQLSRSAFQGKSVDIHTKLMRRYKQVPEWWFICILVVNIAVTVFACEYYIEQLQLPWWGVLLACAIAFFFTLPIGIITATTNQTPGLNIITEYIIGYLYPGRPVANMCFKVYGYISMHQALMFLQDFKLGHYMKIPPRTMFMAQVVGTTIAAFVYLGTAWWLMDTIPNICNIEVLSAGSPWTCPGDHVFYDASVIWGLIGPRRIFGDLGTYAAVNWFFLGGAVAPLLVWLAHRAFPGQNWILLINMPVMLGSIFQMPPATAVNYTTWILIGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKGIIIKGCPVYN >SECCE2Rv1G0127720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:855596755:855600491:1 gene:SECCE2Rv1G0127720 transcript:SECCE2Rv1G0127720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGAGEEGAAVAAVLQGPLPVEHRKGANGLDKVVLREARRISAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGHLEAHGFARNKIWAVDTDPPPFPVPISSRAYIDLILKPTDEDLKIWPHSFEYRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEIRVEGLETLDYLDNLQDRARFTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMADFGDDEYKRMVCVEAAAIEKAITLKPGEEWTGKLELSAVPSSYYSGQLDPDRVIQDSVVPEDSVS >SECCE2Rv1G0124210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:830162614:830172556:-1 gene:SECCE2Rv1G0124210 transcript:SECCE2Rv1G0124210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQTPATTILLLLLLLALPPPATVALTNDGLALLAFKAAVTDDPASVLSRWSESDADPCRWPGVTCANISSQPRVVGLAVAGKNVAGYIPSELGSLLFLRRLNLHDNRLTGGIPAALSNASSLHSIFLYNNALTGRLPMALCDLPRLQNLDVSRNSLSGDLPLDLRNCRSLQRLIVSRNTFSGEVPAGVWPELSSLQQLDLSSNAFNGSIPPDLGLLPKLSGTLNLSHNQFSGIVPPELGRLPATVTLDLRFNNLSGAIPQTGSLASQGPTAFLNNPALCGFPLQVACRAVPPPTQSPPPQNTSSSAASASTDSQHQPIKSSLIALISVADAAGVALVGIILVYIYWKVKDRREGRRGRGRAIAQDDDDDDRSKGLCGCIWGRRGRGWADGSDGSSDDEEGGGDGKCSGADGELVAIDRGFRMELDELLRSSAYVLGKGGKGIVYKVVVGNGSTPVAVRRLGGGGGGAERCKEFRAEARAMGRVRHPNVVRLRAYYWSPDEKLVVTDFVGNGNLATALRGRSGEAVLSWPARLKIAKGAARGLAYLHECSSTRRFVHGEVKPSNILLDADFTPRVADFGLVRLLAIAGCGPDGAPGGGLLGGAIPYTKPAPAQAQASGYRAPEARAPGARPAQKWDVFSFGVILLELLTGRGPADHASPSTSASFSGPSATTTDRSGSAEHEAVPEAVRWVRRGFEDARPVAEMVDPALLRGAPTLPKKEIVAAFHVALACTEADPELRPKMKTVADSLDKIGS >SECCE3Rv1G0187880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:706188077:706200412:1 gene:SECCE3Rv1G0187880 transcript:SECCE3Rv1G0187880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIILPKAEEEEEVEEGLGVAVEADHDSPEPPRYRHTQPAQKALPFSATCVRISRDSYPNLRALRNASATSLRDDDAAFAKLDEGDYGYVLDDVQHLTDYLPELPIFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKNSPRGVHFRRAGPRQRVYFEPEDVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDVFGIQNGYKGFYSSNYLPMTPKSVNDVHKRGGTVLGSSRGGHDTHKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRRRGLKVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAIDAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIERRLKENNHMVIVVAEGAGQDLIAKSIPVADQLDASGNKLLLDVGLWLTHKIKDYCKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVKITDRMWARLLSSTNQPSFLSKEDIMEAREAERLANRLPVPAGSSEHTKKHSASVLSNGEK >SECCE5Rv1G0355590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:732591955:732592560:1 gene:SECCE5Rv1G0355590 transcript:SECCE5Rv1G0355590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYRGPRLKKIRRLGALPGLTRKTPKSGSNLKKKFNSGKKEQYRIRLQEKQKLRFHYGLTERQLLRYVHIAGKAKRSTGQVLLQLLEMRLDNILFRLGMASTIPGARQLVNHRHILVNGRIVNIPSFRCKPRDIITTKDNQRSKGLVQNYIASSDPGKLPKHLAIDTLEYKGLVNKILDRKWVGLKINELLVVEYYSRQT >SECCE7Rv1G0463380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:52365741:52366043:1 gene:SECCE7Rv1G0463380 transcript:SECCE7Rv1G0463380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGAAAAPEKEVAAAVPEKEVAAAESCFKSTLGEDASLLELAKEQYKQFTEAQAGEHWECIKNKVSSMLAEPITFFGGGAKDHDSSTSTTTPQSVESQ >SECCE6Rv1G0437790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786324773:786326299:1 gene:SECCE6Rv1G0437790 transcript:SECCE6Rv1G0437790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLASTPAAARAHFWPFLRDMDAAGHTVDQGTYLAALASFKRASLATDYATLSARLAKSRDDHAAQAAAGGTPVTAVADAVRGAEGAEEDLENRLEGVAEHLLPLTEAMVAGVLREARDVPTKALTFFRWAGRQKGYEHGSVAYNAMARVLGREDSLREFWELVQEMKAGEVHIDIDTYMKLSRQFQKRHMMTEAVELYELMMDGPYKPSQQDGPLLLRRIAMGPEPDLELVYRVVRKFEAVYEFKTKNVFDGIHRALTSNGRFDEAAEIVERMRAAGHQPDNITYSQLVFGLCKAAKCDEARQVLDEMEAEGCTPDLKTWTMLIQGHCAAGEVDKALQYLTQMIEKDLEADADLLDVMVKGLCRQDKIDAAYTLFVEMVDNAKLTPWQGTYKHIIAELLRVKKLEEALGLLKSMKANKFPPFAEPFPSNIAEYGTLEDARDFLKALKGSSNNYPQPPIYLQMFKAFFAEGRYTEAQDLLYKCPIHIRRHHDVTELFEPKKAVATA >SECCE5Rv1G0319220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:371816889:371819176:-1 gene:SECCE5Rv1G0319220 transcript:SECCE5Rv1G0319220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGAPFFFLFLLLLAAGSGAMLQDKRVRRNYVLLDLNTIEIRPNDEEEITSSKIPVSVESGSTVCSTCENLTNKAVSYLSNKQTQDEIMEILHGACSQTFSLEQKCLEMVDSYATLLFAKITDIKPDEFCKQYGLCRDVSILSVAKSESTCAFCHHLVDEVLSKMKDPDAQFEILQLLIKECNKVQGHVQECKRMVLEYVPLILVNGERFLEKKDVCTLMQACDASKRRAVGSFLDGGLRTDA >SECCEUnv1G0529550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:8540355:8544238:1 gene:SECCEUnv1G0529550 transcript:SECCEUnv1G0529550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAARCLLPRASPPTDTGKAHTSFATSSSATLLHARAAASPSSARRLQPMPLRCSSPPSGGPAEPGLAVLLEVEGVLADVYRFGYRQAFNVAFQNLGLDCANWTEPIYADLVRKSSGDEERMLVLFFDRIGWPTSLPTSEKGSFTKRVLREKLKTLEKLSASDDLPLRPGVEKFIDDALSEGVPVAILATYGRNGEKISRSIVEKLGPERTSKINIVGKDEVERSLYGQLVLGEGVASSLDEQLSKEVQKAASAEKQRIAEEVASLLKLSVDINTASKSSEKIIATLRAGAEYVGCDVQNCILVAGSQPSVIAAERIGMPCIVVRSSLTARAEFHSAKAVMDGFGDTDLTVSKLLSKRWC >SECCE1Rv1G0010100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:60016609:60017934:-1 gene:SECCE1Rv1G0010100 transcript:SECCE1Rv1G0010100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPAASPGRAEKPPQQQLPAPPGLARLLLSKSRRGARSRRAPATSPMFVSRGRSRAAAAAGADGEPSSPKVTCIGQVRMRKGKKGSKKGPPAAAPPPPVKEEKKARGYCRCLKKAFLCGGLFDFDRKHRRKGPAPEAERTRRSPWVFSSRDVAVAAAPKPPDPTSDRAEEEGEEDDDKSVGAFGSVERDEKASDPGTDSGSKEEEECEEGETAELVSSATTTPPKNALLLMRCRSAPQNRSSPLTTRFAAGAAPSPVQEMAADFAVESIAATPPRASPSPRKPDMLSPWASPSPRKPDMLSPWASPSRRKPDMLQSPRASPSPRKPNMVAVESNDEKRQEMAVSTQEDEEKMLEQEHEGDEDEEEEDEAEEMRCSSARPLVLQRCKSEPATTAAANMAAGAGAEGAPSGCFWANGGSSGRRRHAPQMSPAAAAPVALTGH >SECCEUnv1G0569210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:438319833:438320426:1 gene:SECCEUnv1G0569210 transcript:SECCEUnv1G0569210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEGSPTPVTAFLSIAPKISISANMSRVSIVASYGGTLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVPALRQTRVKYIADLGALAKTNPISAMTFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRLP >SECCE7Rv1G0477240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:216281830:216282789:-1 gene:SECCE7Rv1G0477240 transcript:SECCE7Rv1G0477240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETRSVMDAAPGDTAPTVTGHGQYGIPVLITPFHQPGGATASTETMRTAFQGFLVLQGQGQGQGEAKEDERKKWFREMRGWLMVLATVAASVTYQAGLNPPGGFWQDTKEGPGGHRPGNPVLRDEHWVRYVIFYYFNATAFVTSLVIMVLLMSERFYHGEAKVVALMLTTFVDLASLVGAYIAGTTRYATSCVYIVVITCVSFICVVYIGEAMGEICAFVLKKIKCMRNLAKRKWFPVPAGVVTRSLPDEEAEERRKTAARSNRPTCCLCCGQSPTMSDRRDVEVQ >SECCE6Rv1G0420600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:678238522:678245493:-1 gene:SECCE6Rv1G0420600 transcript:SECCE6Rv1G0420600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPEMPLGLPFTVDTWGPSRRYRRHRFITHAHTDHIAGAWAGPGDTVYATQLTMRLALQRYPQLERGKFVEMEVGKRCVVDDPDGAFSVTAYDANHCAGAVMFLFEGPFGTILHTGDCRLTSHCVRKLLLKLEANLCRLDTVYLDVTFPKFLEFPSKESAIQQVIACISKHSRAPFIYLGCQRLGQEEILKEVSRSFRSKIYVDKGLYLDCFNTLAHTVPEIMTDDPTSRFQVLPVQSKELNKIATEKLEEARASYQPEPLFIRPSAWQYSNAYVQNRKPSLTEAEQDGNVWRVCFSNHSSGNELEHALQLLQPQWVISTTPPNLATELSYVRRRYLSHTTQGHAPSSICFRTISRGKEDYEYFIRGIPSRGQRSPRVVQIEETWVDHRTFGLSMGVNGYISKHVINMMGKAIMSEQRDASFLKHVVQFQLGGPSTSRKSISNCLPEPNQGFQLENIDAVFVPLQNCKTWYLVVANFWKRRFEVLSPMGCTNELITQAQSFVCNFRKVFHSAYPQFHTVTIEDMDITFHTISNSDNQNDGGIFIMKALDLYDGEKHIFFNDSDAKGLRQHLALYLLHHKYNEMSHIHVQGLVAP >SECCE1Rv1G0058120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:697597999:697599344:-1 gene:SECCE1Rv1G0058120 transcript:SECCE1Rv1G0058120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGGFRGRGGDRGGRFGGGGRGRFGGGGGGFRDEGPPASVVEVSTFVHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESYFSVKMFEGVIATSYNQGDKFFIDPMKLLPLSRFLPQPKGSTPRGGGRGGRGGGARGGRGGFRGRGAPRGRGPPRGGGRGFRGRGRF >SECCE3Rv1G0154870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62517546:62534258:1 gene:SECCE3Rv1G0154870 transcript:SECCE3Rv1G0154870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILEALLRSCASKLQDIVTNEAILILGVEEELTKLLQRVELIQCCIYDAEKRRAKELAVNNWLGQLRDVIYDVDEILDVARCKGIKLLPDHPSSSSSKSAACKGHSVFPCFRNIGSRRDVAVRIRTLNKKVENISKDKIFLTFNSSAQPNGCGPTSKLIRSSNLVEPNLVGKEIIHSSRKLVDLVLANKEKKSYKLAVVGTGGVGKTTLAQKVYNDQKIKGIFKMHAWICVSQDYSEVTLLKEVLRNIGVHHEQGETIAELQRKLAETIEGKSFFLVLDDVWHSNVWMDLLRPALHKTTSGVILVTTRDDQITRRIGVEHTHRVDLMSVEVGWELLWKSMNIDEKEVQNLRNTGIEIIRKCGCLPLAIKVTASVLASRDRTDNEWKKILRRYACSQNMLSNEIEGALYLSYNELPYRLKQCFLYCALYIEDSTILSRAITRLWIAEGFIEEQQGQLLEDTAEEYYYELIHRNLLQPDNGYFDQAECKMHDLLRQLALSISKEECFIGDIETLSGENMSKLRRVTAVSKKDKLVLPSMNNVEAKVRTFFTVHGPRSIEDSLFKRFLLLRVLVLNYSLVQSIPDYIGRLIHLRLLDLDYTGISCLPESIGSLQNLQILSLNYCDALHNLPSAISKLYSLRCLSLLDTKINHVQKGIGKLKFLTDLRGFPVGAGIENADVQDGWKLEELSSLSLLRYLTLVKLERAACHSTNTLLTNKNHLKGLVLEWTSYSEDVSTEEVFEQLIPPSNLETLHIIGFLGRQYPNWFGNTCLSSLAHVTLRNLRCVDLPPIGQLPNLKFLKIDGAYVSGETVGPEFVGCGKGDPLCNELVAFPKLEWLGLKHLPNWEEWSFFEEKEEAEDADDEGGANGVAEIRKDDAQSARLRLFPRLVRLKLEGCLKLRALPRQLGEDTVSLRKLELISTNNLKAVEDFPHLTELLHIEKCEGLEKVSNLPQVTDLQVRGCLNLSRVEGLGSLQQLGLGEDTQEISSHWVLGLQNQHQRLHGEDLDVYSLSTS >SECCE7Rv1G0470570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:122509945:122513191:-1 gene:SECCE7Rv1G0470570 transcript:SECCE7Rv1G0470570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP65 [Source:Projected from Arabidopsis thaliana (AT5G67530) UniProtKB/Swiss-Prot;Acc:Q9FJX0] MGKKQHSKDRMFITATEWATEWGGAKNREAIAPFQRLPFYCCALTFLPFEDPVCTADGSVFDLMSIIPYIKKFGKHPVTGAALKQEDLIPLTFHKNTDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAVQELNIKPKNWKELLTDEPFARKDLITIQNPNALDTKVLGQFDHVRQGLKLDDEELQRMKDDPTYNINVAGDLKQMMKELGTEKGKLAFLHGGGGQKAQKERAAALAVLLAKEEKSDSKLSKEPKPPQAFSVVDAASASVHGRSAEAAKSGTAEKTAARIAMHRAGDRNPINAKLVKSRYTTGAASRSFTSTSYDPVTKNEYEYVKVERNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGQPFKDELNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSAAHLNFKHTVFGMVVGGLHNLSAMEKVPVDDDDRPLEEIKLLKVSVFVNPYTEPDEEEEKAKEEEKNKDEDHDKVGSWYSNPGTGVSASASAGGGVGKYLKARTAGSADATGNAAAADDSSKKRKASAPSVEFKDFSGW >SECCE5Rv1G0330180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:525719526:525720482:-1 gene:SECCE5Rv1G0330180 transcript:SECCE5Rv1G0330180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGAGFLLIGLWQLFNHIRLFALRPSSYAAPVWFPARGVRHLELILIIVGTAASILMELVIGPAKHQPFDDDGTIPSDHLHNFEHASISLALLAFAAVTIHMDRVRAPMRDAVSQLVAAAAFAQELLVFHLHSTDHMGVEGQFHWLLQTVIAVTLATTVLGIPYPRSFVVSLVRSASLVLQGVWFIVMGVMLWTPGLVSKGCFLNHEDGHDVVRCRTDEALHRAKALVNLQFSWYLTGTLVFVVVLYLQVSRLYPEEPQYLPLVKGGHAGRRFSIGDDNDDDDDEDDLEAAKRGYGHVVSGGTTPMEVER >SECCE3Rv1G0205490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900483641:900484606:-1 gene:SECCE3Rv1G0205490 transcript:SECCE3Rv1G0205490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARCSLLLTAALLLALSHGAYGHGGAGAGLSSSFYDESCPGAGDIVRRVIQKARVTDARIPASLIRLHFHDCFVQGCDGSLLLDNDLPAIMTEKEVPANDRSARGFKVVDSIKRALENACPGIVSCADILALASEISVQLAGGPRWSVPLGRRDGTTTNIESANNLPSPFDSLETLQEKFKNLGLDDTDLVALQGAHTFGRAQCQFTQRNCNAEQDEETLVNLDTVTPNVFDNKYYGNLLRGRAPLPSDQVMLSDPVAAATTAPIVRRFSGSQKDFFKNFAASMVKMGNISPLTGRAGEIRNNCRRVNRKPY >SECCE3Rv1G0185700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:676824715:676830637:-1 gene:SECCE3Rv1G0185700 transcript:SECCE3Rv1G0185700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQSWRKAYGALKDTTTVSLASLNSDFKDLDVAIVKATNHVECPPKDRHLRKIVAASSMARPQADVAYCIHALARRLTKTRSWIVALKTLVVIHRLLRDGDPTFREELLNFTQRVQILQLSNFKDNSSPIAWDYSSWVRTYGLFLEERLQCFRILKYDIEAERLPKQGQGTVKAHSQTRELDSQALLEQMPALQQLLYRLIGCRPEGAANTNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHDALKALEIYRRAGQQAGNLSDFYENCRGLELARNFQFPTLREPPQTFLSTMEEYVKEAPRMVPIKDPLFPERLLLTYKPEESEEVPEPVPVQEEVPQMEEPAPVPSLTEVASSPPNTRVADTGDLLGLSDPNPSVSTIEESNALALAITPTGVNTSTTSTATQDIGFDPTGWELALVTTSSSNTSSLPVDSTLGGGLDKLTLDSLYDDGTYRQMQQQLPYGSAPHNPFMASDPFAVSNQVASPPSVQMAAMAQQPQQMQPNPFGPPSHPQPVPNPFLDAGFGPFPAANGNGMHAQANPFGTAQLL >SECCE2Rv1G0063950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:691664:692404:-1 gene:SECCE2Rv1G0063950 transcript:SECCE2Rv1G0063950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLINTICDAQTVAETGIFFHEAELHPGSTMTLSFPAEAETAFLPHDVASKVPFENLSDVLSTFHISPGSAEAAQVEDTLRKCQQPPIAGEMKACTMSLESTVKAAMEMLGTTIQQGAGGGDVWAATSTLPRGGLLPRREYIVEEVTKLDGTAYVACHKVPFPYAVFHCHIAPTGYTAYKVTLHGRGDDEGPVVSLLAFCHFDTSRWNPAHPAFQILKAHPGARTSVCHFMSYGNLAFVKKARTA >SECCE2Rv1G0119420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:791129518:791132243:-1 gene:SECCE2Rv1G0119420 transcript:SECCE2Rv1G0119420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAATLLFLSLVSLIALFSLLGRKPTPSSRKRQPPGPRRLPFIGSLLHLLTETPQVALRDLARKHGPVMYLRLGQVDTVVISSPAAAQEVLRDTNHNFASRPSLLATEIVCYGNTDIAFAPYGAYWRTLRKLCLVELLSARKVRQFAPIRDSETLSLVSNIRAAAAAGGSGGPVNLGKLLVSCANTITARATFGDGCDAELQERFLSAIQVVLDTSGGFCIGDLFPSLRFVDIVTGLQRRLWRARGQLDDVFDTIIAGCEARREKKRTAATTGGDDLLTVMLRIKEEGELEFPIGTTNIKAIIVDLFTAGTETTSSTVEWIMSELMRNPEVMAKTQAEVRQTLDNKSPEDHEGHMDKLIYTKMVIKEAMRLHPALPLLLPRVCQETCNINGFEVSKGSRIMVNAWAIARSPANWNDAEEFRPERFVGNSVDFNGTQGMYLPFGSGRRMCPGGTFGLAVLELILARLLYYFDWSLPGGIKPDELDMDMIVGSTTRRRNQLHLLATPYNAPTKN >SECCE2Rv1G0124400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:832510834:832523212:1 gene:SECCE2Rv1G0124400 transcript:SECCE2Rv1G0124400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDLEDVVLSWSAQEITDDDLYRDKVATIPCNFKSLDHYLVSFRVPLIEETRSDLCSCLQLISEAPSSKIRSMEVAGKSGLYFMDVDFWDNDAGFSTGNYTMRNGDILILSSIKPESAEDLNHHGVTYCLAMVAEVSMDDEYQKSFRVKVAKNIGLEQDLNKLKHAIFLNNITTNMRIWKALTFDRHMDNNFTVIKSLLAPTNLGEDVCGVCIKQDEGCLASFTEQLVSTKLNRSQVDAIESVISAVQCGHVNLMKLIWGPPGTGKTKTVSALLWVLACLKCRTLTCAPTNVAVAGVCTRFLQKLKGFSEHTDDNGLPFSLGDILLFGSRSNMDITDDLQEVFLDFRVDELVECFSSSSGWNYRIASMVSFLEDCASRYDMLLEDDGKIDPVCFLDFTKKHFDATAIALKRCIMNLWAHLPGRCFSHDSVINISTLLKMLEKFGALLSNVDLTDEGLKRGLGCLSTANSVCAKPISSIEKELDEARYTCIKLLKDLLHLLNLPTGVDKNWVKNYCICNATLLFCTTSSSYQLHHMDMAPLDVLIVDEAAQVRECELVISLRLHWLKYVVLVGDDCELSAMVKSKVCKEAGFGTSLFKRLAMLKFEKHLLNIQYRMNPCISLFPNAQFYERKMLDGSNVLSSSYNKDFTCLPFGSYMLINVTDGREDKEGTGNSRRNMVEVAIVLHLIHIIFKSWKKTGQGFSIGVVSPYNAQVNAIKTRLGKKYDKCDGFHVRAKSIDGFQGEEDDIIILSTVRSNRRGVLGFLADNQRTNVALTRARHCLWIVGNAHTLYKSGTEWTDLVADAERRKCVFSATNDATICRLVLQVKQELDELDDLLNADSVVFSNTRWKVILSDEFRKSFTKLKSPQLRREILQKLIKLGAGWRTTVKNLDIPGVSHLAKVYKVRDLYLVWSIDMEKTERKYFQIIKIWDLLSQQNVARTVQRLENLFSMYTDDYLDHCRRVQTQGKLEVPMVWDVGHAIIRYNMDCKVDAHEEHGLVDTSYAMENSKVSESFLLMKFYSLSSGMAKHLLTATDGSEINIPFELSDEEQVIIQFPLTSFILGRSGTGKTTVLTMKMIQKEQLSLIASQGLNLNGADLSAADDNKLMPLKNGGECSVKQIFITVSPKLCSAIKDYIYRLKRFGTGDVSDQPSILRMHDVMDDLEEFTEIPDNFCDLPHEHYPLTITYRKFLMMLDGTCRTSFFDVFYGEMKSSFERGHSRSRAVQTFIELNEVTYEKFATSYWSRFNKDLTKKFDASTVFTEIVSHIKGTYQASGPYTGKLGRQDYVMLSDKRISSLNKEKRNKIYDIFLEYESMKCSAREFDLSDFVSSLHTSLVSDGYNGDLVDFVYIDEVQDLTMTQIALLKYVCRNIKEGFLFAGDTAQTIARGIDFRFEDIRSLFYTAFLTETEAPKQGLKHGEKSHLSDMFQLSQNFRTHCGILRMAQSIMRLLCFFFPSSVDKLNPETGLVYGEAPVLLESDNDENAIMRIFGENKSQHGNLHGFGAEQVILVRDDATKKQIIDVVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLRNKWRVLYGYMEHKAIIAHSEETSHPGFDRNKHYLLCSELKQLYVAITRTRQRLWICENTDDHCRPMFDYWKKLCLVEVRLLDSSLIQAMQTGSSIDDWRLRGTKLFNEGQFEMATMCFEKAGDAHREKWARAAGLVVTADHAIATNLELGKASLQTASEIYESIGMHEKAATCYIKLGDYKRAGMLYMQNCGTSRLEAAGDCFARAECWSEAAEVFFKAKCYTKCFSMCSKGKQLFNRGLKFLQQLEEEQLLEDSKSLEVSAIRSEYLDNCAQHYFECGDIKRMMPFVKAFSSMDNVQAFLKSRNLLEELFSIEMKKGNFVEAAGIAKHKGDVLLEVKMLEKADLFEDATRLLLLHIIVNSLWFLNNKGWPPKRYPEKEQLLAEAKEMAKKVSDCFYCFVCLEADALSDVIKSLPDLTCTLLEGRKCGNLFVEFIASRSIIDVHLQSRTSGYNLEIGPGSEDEYSCNNMLASNQMSPQTLFNAWNRWKSIILNALSHLRRTDGDGPELNDYAVMYEDLFAKYFGLRKDDEVDRYVVLNMNASWLSNAGISSLQQDGNRCLLDAPRCHSCAQYFWMNEVSSVGFSVLKKLESFVQISPKPESLYTLVRTNVIINEIEKFLEEPQFSMPKMKLRSFFVLCERRFFELIFLAWRDGTTRSLLRIFDSPAAYGLIGDSLSAHLRRTDKNLTHGHLGRTTMLLLHTAQLDDALLSRLVHHLDNNSRWADFYRCLKRFLDTGVDRPPLILNFKRALEFTFSRVMRRDERDYISPICYVGLMECLGFMASSYLLQKGCVYCTKSLLVNMLECRTSKVYLDTCLASNSSPDYDLNRLAFSSGRFIFEAIMTLLTEKDMLWEWVQRTSSPSSYPEVLRRLVVTLYPLILTHELVFKKGTLAHDLQNCYDLTSTLQRCRFFVDLPLEFSEKMVRALQVRPRTPSNFTRVLADALDAVGDRMVVIVGSRKARAVCPNLNAYMISKEELHDVPKIMALLRSDEPSCVKQEAALPENSDGNKNIPKAVQDTNKAASTGEISSSDENAPFWDMFERFQDNKQGQEDARFIVQFLRSTLSWLAQTGFQEKIDAQLLEEVRHICGQFEERSTRMGKAACLTLEDLYSMWQDGENKLQLIISFLCSERASAKEDGRTNKVQSQTDEWTGCSDNETDVQSQTDEADEWTGCSDNEAEWVKEDEAAAQKAARMKKSNKKPKEPHNGRFRK >SECCE6Rv1G0452650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:878790266:878790774:-1 gene:SECCE6Rv1G0452650 transcript:SECCE6Rv1G0452650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEGKKKIIKLKSSDGKEFEVEEAVAIESHMIRHMIEDDYTDNGLSLRNVNSKILSKVIEYCNKHVQAKAANTSDFGGGARASDATSAVPAAPAEDLKNWDANFIKLDKATIFELMLV >SECCE6Rv1G0409480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:589418534:589421484:-1 gene:SECCE6Rv1G0409480 transcript:SECCE6Rv1G0409480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGAMAAVALVALLFPASSAFPSAGQQQQLDPHFYDHSCPQAQQIVASIVGKAHHQDPRMAASLLRLHFHDCFVKGCDASILLDSSASVVSEKRSTPNKDSARGFEVVDEIKAALEAACPRTVSCADVLALAARDSTVMTGGPGWIVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKLQGLDIVDLVALLGSHTIGDSRCTSFRQRLYNQTGNGLPDSTLDPAAAAVLRPRCPRSGGDQNLFFLDHVTPFKFDNQYYKNLLLHQGLLSSDEVLFTGSPATAELVKLYAANQGIFFQHFAQSMVKMGNISPITGGNGEIRRNCRRVNHN >SECCE4Rv1G0217550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:17298206:17303535:-1 gene:SECCE4Rv1G0217550 transcript:SECCE4Rv1G0217550.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRKGIVELRDRLDKTLGCSDLTDEGSLRSLVKKQILESSLPGSDQGNIDLIAEARAKEVSNFLEMLDTSGNELPSEIRGPQQKEWKVKQDTDQLRVMYREGPDGTPFHTLLAEGFADGPIDVCTCVSWESGLYRKWFPQYNLPTFKIAQSGCLKKIRIGEEISLIRVKVPWPVSEREALVHYFQFEYLKEDLVIVIMKTISNLDNLSMQTHGFTIDGIPEAGDTIRMDVVGGFVLQRITKERSFFRAVANMDIKLDFVPPWLINFMSRQLIGSGHKLYQKAVSTVAACDEDYKQALRAPLYARIREYRHSADKAKVTPVEESANELLPENPTVHNPLAVTNLTPCSEIIEEESEQNTSFKVDNLATGFSNQPAGQAQQVENKSFTSPDRTVKQAQQVENKPLISPEVQKALGILDTAIAVLQGNRSANISALRKLLSYDATSEEGRAISTKNSHTLDVLDTDSLPNGYPLATPSTLRDLNSLETRQTYFLPDKEVRDREEGAIESDSPKNMTTSTITATKSMTLRSTIKVHEEETLNSDGLYQNGFHSGKEPRRPKKTKRWLCCSTPSTIG >SECCE2Rv1G0113980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:728213796:728215421:1 gene:SECCE2Rv1G0113980 transcript:SECCE2Rv1G0113980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLIPSSLAFSAPASSDPLPFLASNATPTAAAAAAAATPLRSSAMPSYAHHHSSLDERMDALKGSSRQEETPDEAAEAPAAWGFAERDGFSVEDLLDLEEFCEPDKDGADEPEEAPAAAVKEEELHDGPQQPVVSYQLAPPAPPAPEIVDLPAHDVEEELEWVSRIMDDSLSELPPQPAPPASVMASLAARPPQHRLPLPQRHPQDGAYRALPSMSEPMRTPTICALSTEALVPVKAKRSKRSRASGWSLSGPAADSTSSSTTTTTSSCSSSASFSPYFLLDSPQFGASELMEEYNILPPPPKKSKHGKSSKHKPKKRGRKPKNLPAHPSSATEPTQSDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTYVSSVHSNSHRKVLEMRRKKEDGPLTVTVTATAPAVASF >SECCE6Rv1G0409700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:590796592:590799090:-1 gene:SECCE6Rv1G0409700 transcript:SECCE6Rv1G0409700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESPRSALRRTPAAKSGAGLLSPRFRTAAELAGWDEESILLAALVVEDTPVRESRRKRRPSGSSTAGGSAGSNTRKRRSRRQSADETPIPPVALVLDDDEKPNEPEGGEKEVKQAEEEKEKVPVVEGKEKPGSAEEAAAAAELPCIDRLREELSCAVRICLDICFQPSTTPCGHSFCMQCLKHAASKCGKRCPKCRQLISNSRSCTINTVLWNTIQLLFPSEVEARKSSMASSSASKDDVKQSLPRSSNYTHVGVRNSSGSGSGSSSSSFITQDYTRIGNSTRSSLAAGGRSMPGPGTMNTSSGSFDTQGRSTRSRDSSRGFVRASQLVATRSSARSDQSDDASLAYRLQQEEFMTAFDNEGERQPQNTVSTARANLRAMASRAERAVLLRDNEGERQPQNTISTARANLRAMASRAERAVLLRDNEGERQPQNTISTARANLRDMASRAERAALLRARGWPL >SECCE2Rv1G0102050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:572602902:572603909:-1 gene:SECCE2Rv1G0102050 transcript:SECCE2Rv1G0102050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHAPSFEKSCSGDRQRQEAGGQSLRGPGQRSASFHGRGAEQRHQLPKQRPKTQPDLLAGVRGRSFRAADGGEPLAVRRTPSKVLVNVTVQRSLWPLNVMASAEWSVADLVAAAVGIYVKEGRRPLLPSTDPSTFGLHYSQFSLESLDPREKVMELGSRSFFLCPRSSSAAVQTSSSCCSSAGASGVRNAGEAPTSAGEPPAWLRYMPFWPTM >SECCE3Rv1G0206060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:904738783:904741721:1 gene:SECCE3Rv1G0206060 transcript:SECCE3Rv1G0206060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNHRFWGVVVKPGETVKCDPGDSYYHISTIALEAGNAEENVQVFVNSDDTRTMIGTLSLDNHPHVLADLVFNKEFELLHSSMTSNISFTGYKFDCAESDEEVPLAIPLDFNTDGYKNNEATHGANNLSAPRPADAPSSVPKAKVEETNSPGKQKGDDKDKTGMQNSSDGVDNESSDQDVDYLRKRTKDDYNPMETPLNTPQGKRAKISTPTTGNKTGYVHVATPHPAAKQARKSGDHVHVATPHPAAKQARKSGDHVHVATPHPAAKQARKSCDHVHVATPHPAAKQARKSGDHVHVATPHPAAKQARKTPENSDDKSKQSAGHVCNSCNRTFSSLKGLGDHSRAKHGDAK >SECCEUnv1G0568770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:431150037:431150735:-1 gene:SECCEUnv1G0568770 transcript:SECCEUnv1G0568770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISCSDYIPSCLKFSDDTGSSSRKCWLRFVLPAVLLLGLGAAAGSVLLLFRFTITPQVKAYVEDARLNSFVSMDTRSSSAQRRTSFAYNISISVALTVRNLNTVPTGITYTKPLVATFLFHDRRLYNVTVADKGHKHKPLKREVHLFHTGGEAPCVLDTEAVEEFKKRNETGVLKVEMRLSVGITLGIGNNRGLELSCPLMLRRPPPPPVPDVVVFNEVDCEPAKPKKIVF >SECCE6Rv1G0398050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:410317128:410322189:-1 gene:SECCE6Rv1G0398050 transcript:SECCE6Rv1G0398050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT1.1 [Source:Projected from Arabidopsis thaliana (AT1G80050) UniProtKB/TrEMBL;Acc:A0A178W1K2] MGEEANCNAVMGASNGKAKENGHAVVAMPDVKADDAAVAPADPRLQGISDAIRVVPHFPKPGIMFNDITTLLLRPGVFKDAVDMFVERYRGMGIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLEMHVGAIEPRERVLIVDDLVATGGTLCAAINLLERAGADVVECACLIGLPKFKDFYKLNGKPVYVLVESLEHEK >SECCE6Rv1G0451940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:874262512:874265190:1 gene:SECCE6Rv1G0451940 transcript:SECCE6Rv1G0451940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAATAPALAPPVLLPLCLPTGAGSSARPRRAARATVRCELAAASASSSAAPAAAPGSVAAPRWAQRTVVIPPQRRGCHIITHKIMHAIRSDLSEFKCGLAHLFLHHTSASLTLNENYDPDVQTDTETFLSRIVPEGPSAPWRHTIEGSDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGIWLCEHRDYATPRTIVITLNGI >SECCE6Rv1G0447030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:846976940:846977659:-1 gene:SECCE6Rv1G0447030 transcript:SECCE6Rv1G0447030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSEHSAVDIAPPTIAVVKPPADGKTCVSQKVLSVSANLVQLLPTGSVMAYQILAPSFSNQGKCYPSNWWITLGLVVVLAASCVFFAFTDSIVYKGKVYYGIATIDGMNIFNISSDEQKTEFKGMKSELEKRQIRKQDFSHAFLTVVVFLTMAFSDVGLQNCFFPKAGTDAQQLLKNLPLGMAVFSSLVFTIFPSKRNFIGCNDPNGDGYDDIAKVVAQSPSTVTITTAPCPGCGH >SECCE1Rv1G0007920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:43004883:43005235:1 gene:SECCE1Rv1G0007920 transcript:SECCE1Rv1G0007920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSRMTASALLLLLLLVATEMGATRTKVAEARDCLSQSHKFKGACLSSSNCAAVCRTEHFPEGECHTPHFARKCFCKRPC >SECCE6Rv1G0387680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:119587971:119593415:-1 gene:SECCE6Rv1G0387680 transcript:SECCE6Rv1G0387680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVMTADEQFLNLDVDPDESVENLKALLEVETQVPLQQQLLHFNGKEMNNADKLSAIGVHDGDLVMMVASNNRASEDIMRLNPDGSAVNPQAFQQHVRGDSQLMAQLLQNDPSLAQAILGDDITELQNILRSHHQQRLQLKRKQEEELALLYADPFDVEAQKKIEAAIRQKGIDENWEAAIEHNPEAFGRVVMLYVDMEVNGVPLKAFVDSGAQSTIISKDCAERCGLLRLLDQRYRGVAIGVGQSEILGRIHVAAIKIGHAFYPCSFTVLDAPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLHEKDIPSHIRDGEKSSNLPSSSQGAPGESSKAREKTPDVPPTSSPAGAPAVIPPQGGDFEAKVIKLVELGFDRASVIQALQLCNGNEDQAAGYLFGG >SECCE3Rv1G0147550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15335908:15337510:1 gene:SECCE3Rv1G0147550 transcript:SECCE3Rv1G0147550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDFIDISDHDIIDLSSDDETVQEDQIATQYRTALLDSQTMLVVAGEGSQDVQAAFVAASEGCQDVQAEFAAASEVRQEAAECGHALEGSTSFLVTEKAPLVAASEGSKDVQVVFVAVREGSEDVQNAFVAAGEGSQDVKAVLVAATEGRQEAADSGNALEATASSLVTEKAPLDMAESHNCPRSPTSAPFPSPTSTVLKAPTSEGGDAKLIRGKVKHSMKNYHTGTRRTSPRFELKTECRNGPLEELPAEALTSEGGDAELVREKMQHPGKDCHTGTPGTSTKFEPKRECHNGPVKELPAKALTFEGDNRKLLRGKVKHPRMNYHTSTPRISPRFVPKLECHNRPMDELPAETLTSEGGNEELVRGELKRPRKKYHAGTPRTSPRFEQKLDCHNGSVEELPAEALISEGGDAELVRGKVKRPTKNYHTGTPRTSPRFEPKHEYPNGPVEELPAEALTSEGVDAELVRGKLKHPRKNYPAGTPR >SECCE6Rv1G0377380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:1334517:1338595:1 gene:SECCE6Rv1G0377380 transcript:SECCE6Rv1G0377380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPASLPPPAPAGTPLADLLEPATFAPPAPAPPPPTPAAILSAWSHLRGAAPSPAATLSALETLHLHRRSLRLSSAHLELLLPLLPLHPRLVSPLLATCPRLLPNYPLPLASLPLAPRLLLLGALATARSSKNSASNGSPGSPSTGNLGSGHGGDDPVVAVSRILENMEQGPETGDGIDHLALAGIGHALACADELHFGRILVSLVRICGRIGDVGVGVRVLKLVDWLVSGFVESRRMQKVQVLFEVISQEKCESEGYVLFPAVMAACGGLRALRVASVRHRLDFAPKLKEAPERTIRFAARRATVEGRPDDDPRRVLLQCVALGLTQCGPVAFNDSVLQCVLMALLEELLPLPRLLRISVKSPDGNSAELAKTQVKQHQDSVLFKEAGPVTGVLCNQYSFADEKTKDYVETRVCEYAQELYHHLRAAVLLHQAKRNGLLAEIDKIAEAAFFMIVSFAAEVAKHRLDANSSGGFQPEVAVRILVEFSCVEHLRRLRLPEYTEAIRRAVVVNQDNAAASALFVESMPSCAELTTKPDLLTLDGTRYICYTDEVQTSRILFYLRVMPTCISLIPDHLIRDKLAPVVFLYIQHSNEKITRAAHSVMVSFLSSGNDTDQDDRVALKEQLAFDYIRRSLEAYPGVTPFEGLASGVAALVRHLPAKSPAILFCIHSLVVKAKDLCTTAMIQDRSLWRSWEESTEPCKKLLDLLLRLIFLVDIQSFSHLLKELAEFVMSLPKEGQDVLLDDMHAHVAESDDVVRKPVLVSWLQSLSYISSQAGVRDSRNNAKNARARSASGVELSLNRTIARL >SECCE2Rv1G0111820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:704469935:704474851:1 gene:SECCE2Rv1G0111820 transcript:SECCE2Rv1G0111820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQNGSSPDGAGKEEGEIAAARSRSRSRSKSLEAAEEEEGRSKGRRHRGHHGKSKRRDEGEESESSDEDSGERRKRRRKEKERRRRRRRSRSESSGSESESESESSYSGSSAESESESESEEERRRRRRRRRKEKEEEERRRRRKEKEKRKRKDKEREKDKKKKKRKDEKKDLGKKAAVTNSWGKYGIIREVDMWNKRPEFTAWLLEVKQVNLEALANWEEKQMFKEFMEDHNTATFPSRKYYDLDAYHQRMMEKEKRNGLKNAGSVAVRTVFNDEEVRRLELLQERERRKEEEVTALKRSMQTGMAQAMKQQSLLREEMMLQYKLGNFEAAAAIQKRLDPDAPPQ >SECCE3Rv1G0153810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:57293863:57297012:-1 gene:SECCE3Rv1G0153810 transcript:SECCE3Rv1G0153810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEFLVTLLLVCLLTYESYVEAASVDQDFLRTCPSHRCNKHGPEIRFPFRLSTDPPSCGAPGMQLSCSGDNTILDHHVLGSCRVTEIYYRHRVINVIPLVEPSTQCPVQKLISTNLATDVYKQPQSSQVTTLVRCSRGFTPVDQYSIVGPASCLSNNTRQFWYLASASAYMSDLPRDCMAISKGISIPFTYDKRGQNSDDFAFNERGNAAINFGETTFTWHLNNITDVCQQCEHEGRHCGFSSRRRQAFCLHHGIQVILIAASSVAAFVVLSLMVTTAIYLSLKSRYNEEINMKVEMFLKAYGTSKPTRYTFPKVKKIARRFKDKLGQGGFGSVYKGELQNGVPVAVKMLESSKGEGEEFINEVATIGLIHHANIVRLMGFCSEGTRRALIYEYMPNESLEKYIFSHVSNISRQLLAPTKMVDIALGVARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSYKSDVYSFGMLVLEMVSGRRNSDPSVENQHEVYLPEWIYEKVITEQELVFSLEMTAEDREKMRQLTIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQIPPKPYVSSENRHINI >SECCE7Rv1G0522210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:876298186:876300450:-1 gene:SECCE7Rv1G0522210 transcript:SECCE7Rv1G0522210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSTALYPQRRCKNIYLVRHAQGIHNVEGDKDHSAYKSPALVDAHITPLGWSQVDCLREHVKKCGLAKKIELVVVSPLMRTMQTAVGVFGGGNCTDGASASPLMVEGAGHSGRQAISSLDSPPFLAIEACRERLGVHPCDKRSSITKYRTLFPAIDFSLIENDEDVLWEPDVREAIDVVAARGMKFLDWLWTREENEIAIVTHSSFLDFTLNMYSKECHPTIAEDMRKRFANCELRSMVLVDRSKLGSDTPTCDFPGKIPAGLDLPSDVADKKHIEEASNGNRGAVGCLEHTHMSSPSRLIST >SECCE3Rv1G0213100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:958292412:958302506:-1 gene:SECCE3Rv1G0213100 transcript:SECCE3Rv1G0213100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVGLAKSVVEGTLSKAQAAIEQEAKLRQSAQRDLVFITGEFQMMQSFLNVADAERVGNQVVMTWVRQIRELAYDVEDCIEFVVHLDKKARWWIRMIPSCIARDTPPLDEAVDEIEQLKARVEDVSRRNARYNLISDTGSKPAAASVQQQYAAPGAALSVTMLIGAGRKKQQDGDLTQLLANAGQHLGVISVWGTGGDLGVTSIIWKAYNDQEIRQNFPCRAWVKLTHPFNPQEFVQCLMDQFYANKPKEEEGEIVGLDVLQRSSTSDDAADLKEFARQLKEERYLIVLEDLSTMTEWDAITKFFPARNKGSCIIVSTKHSEVASLSVGHPYHVLDLNHFTVDHSVYAFYKEGSGQEMDDKALDNTCKKKAAEEWVTKHHLVGRESEMNELRLYTTKACFDSIQVMSVWGIAGIGKSSLVKNLFCDRILNKQSPDQIKKRPDPFNKYAWVELSYPFNLRDFAQSVLQNLHSESLQSKETAHHDMMGSKNPVPQCQYILKQYRCLVVIDGLKSTKEWDLIQSELVSGSSRNCIIVITTEAGIAKHCRGDRGELVFNLKGLEPDSAFQLFKNEVSAGGNEELQELVSKCGGLPKVIVEIARSLGTKSVGRMESARSLNKKFMHDLESNREYDRLQSLFAWIRTYFRTCPDSLKPCIFYLSIFPRDQFIRRRRLVRRWIAEGYSRDNHEDSAEHNGEKHFSDLLDLSIIQQPLTAVGETRMVLCQVNGFIREYIVSRRMEENLVFELGGSCTLTSQRTGRHLVILESWDRDIIVFESIDFSRLRSLTVFGQWESFFICKSMKLLRVLDLENASGTVEHEDLEKIVKLFCRLKFLSLRGRHEINHLPSSLERLRQIQTLDVRHTSIVRLPESITKLQKLQYIRAGTTDNNVLLPASSPLLSSRWFCRRRHPQIGVEVPRGIGKLTALHTLGVVSIAAARGKTVVKDLEKLTQLRKLGVSGINKKNSSEFFSAIKRHVHLESLSMHFDRDNQGSCLDDMTSLPVENLLSLKLYGLNEKLPEWSERLTKLAKLNLELATLTENDMKLLGKLPQLCILRIKQHQDGELHFRVMTNGEEDHSYQNVKVLQIACSSSISSLAVTFWTKTLKKLELLKVDCCGGLPSYQFAGLENLKELKEVMLTRGSSSETLKQVLDAQLAEHPNQHKKPVVKLEKLP >SECCE6Rv1G0435050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:769451990:769454803:1 gene:SECCE6Rv1G0435050 transcript:SECCE6Rv1G0435050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAAEKAPAPASGGSGTRRVLLFPLPFQGHLNPMLQLADVLHARGLRVTVFHAAFNAPDPSCRPAGYRFVPVGAGVPTADLVPTGSDADFAGALLRINERLQGPFEDSLRAVLQEEDDEEGARPACLVLDSNLRGMQVVADRLGVPTLVLRTGGAACLVAYMAFPALCDKGLLPPQDHSQLNMTLDDLPPLRLQDMVFSTTTPHETMTTCLERILESAKCSSGVILNTFNDLEDVELQKIIDGVGVPVYAIGPLYKISSGAQSSLLPPDQTCLDWLDKQEAESVLFVSFGSLASMDQEELVETAWGLANSHMPFLWVIRPDAVQGSVKVGLPDGFEEETQGRGMVVSWAPQQDVLGHQAVGGFWTHNGWNSTLESICEGVPMICRPHFADQMINARYVEEVWKVGFELEGKLDRVNIERGIRKLLCEEEGGEMRRRANDLKDKATRCIKKGGSSQNMVDLLVNCIVSLPSSI >SECCEUnv1G0532540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:21205289:21207386:-1 gene:SECCEUnv1G0532540 transcript:SECCEUnv1G0532540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNNFRNGLSLSKKGYVGSCYAKCKPFSFSALLPQGLSGRILYVKLVLLVLMCGSFMGLLHSPSIHHAGDQRGTQSPEMSTVMRTSDADEPDLGYTSDLRVDWSSVSMAVQRVAREGDGGLRVVILNFDGDEMDQWRTLLPAAASAVHVHLDRVASNVTWAHLYPEWIDEEELYHAPVCPDLPEPSPAPEGGYDVVAVKLPCSGAAGWSKDVPRLHLQLAAARLAAGRSEERGRQAAHVIVVSRCFPAPNLFRCKDEVMRDGDVWLYRPDMGELRQKLALPVGSCRLAMPLRALGEAYVSGAPRREAYATILHSEQLYACGAMVAAQSIRMAGSDRDMVALVDETISERHRSALEAAGWKVRTIRRIRNPRASRDAYNEWNYSKFWLWTLTEYRRVVFLDADLLVQRPMEPLFAMPEVSATGNHGTVFNSGVMVVEPCNCTFRLLMDHIADIESYNGGDQGYLNEVFSWWHRLPSRANYMKHFWDGDTAERAAAKRRVLAADPPAVLAVHFVGMKPWFCFRDYDCNWNAPELRQFASDEAHARWWTAHDAMPPRLQGFCLLDERQKALLWWDVAEARKANFSDGHWRYRIADPRKTICAGVGVEGCRRREIQGRRVDGNRVTTSYAKLIDNF >SECCE4Rv1G0250400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:592157837:592165059:-1 gene:SECCE4Rv1G0250400 transcript:SECCE4Rv1G0250400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEELSQLSESIRQAASLLADDDPSDDTAPRRPSTFLNAVVLGNVGSGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQREPGLSTKSIVLQIDSKSQQVSASALRHSLQDRLSKSVSGRGRSDEILLKLRTSTAPSLKLIDLPGLDQRAVDDSMINDYAGHNDAILLVVIPAVQAAEVASSRAIRLAKDIDSDGSRTIGILSKIDQAEGDAKTLACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQSGSVGSENSLETAWQAEAETLKSILTGAPPSKLGRVSLVSTIAKQIRKRMKVRLPNLLTGLQGKSQMVQAELARLGESMVQSPEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKEPSRLCVDEVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDSFKSDAKKMVVALVDMERAFVPAQHFIRLVQRRMERQRREDEMRNQPSKKGQESEQSVTNRASSPQTKSDQAGGSSKPTKEKPSQDKDTKEGPNLQVAGPAGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVDEEEPSKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESTADKVEWVNKIKAVIQSKGGSFKAANSEGGPLKQSQPDSSTDAMIRRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRASASSYSNDSPEAESPRTPGRPGEDWRSAFDSAANGPAPNIESRSRSADGRRHSENGDPNSSSRRTPNRLPPAPPRY >SECCE4Rv1G0259690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:689598870:689599195:1 gene:SECCE4Rv1G0259690 transcript:SECCE4Rv1G0259690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARNALVVTGLVIFAGSGLAFPFYFVKSKNRPIIDSTKPLPPQATFRGPYVNTGSRDIGPDHTEYPKK >SECCE2Rv1G0122660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:817811414:817811611:1 gene:SECCE2Rv1G0122660 transcript:SECCE2Rv1G0122660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGPRFPCFCVGNTGSGAAAPLARHDQLAAVRAVDPVSRPRGWRDPVVVGGRWRLLRQSVHAI >SECCE6Rv1G0436650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778785276:778785716:1 gene:SECCE6Rv1G0436650 transcript:SECCE6Rv1G0436650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVMLVAALLCAAAAAAAAQQATNVRATYHYYRPAQNNWDLGAPAVSAYCATWDARKPLSWRSKYGWTAFCGPAGPRGQASCGKCLRVTNPATGAQITARIVDQCANGGLDLDWDTVFTKIDTNGIGYQQGHLNVNYQFVDCRD >SECCE5Rv1G0328960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:510925046:510931264:-1 gene:SECCE5Rv1G0328960 transcript:SECCE5Rv1G0328960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAARLRLLASRRLFSALSGTVPRCRRGLRGLSTLSSTLGSGAGEDEIERIRREFEDAKRNYLSIPAAIKDMPKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYSDHLQCLIYDLAKKHLVNELKYPESCLQYDYDSSFPVRGLYYDKLKGCLLKLDFFGSIEPDGCFFGRRKLSSTEIKELYGTRHIGRDQARQLVGLMDVFCFSEACLIADIVQHFVDAKLEFDAPYVYEDVNQAIQHVHRSGLVHRKVLSEPQKFLLKNSQVFRFLKTLREKGKKLFLLTNSPFYFVDGGMTYLLEDQNFDGNSWRELFDVVIAQANKPSFYNSDHPFRVYDTEKDTLAFTAVDKFLPNEVYYHGCLKSFLQITKWRGPEVIYFGDHLFSDLRGPSKAGWRTAAVIRELEDEIGIQNGDSYRFQQAKLGIIHDLLGKVHATVVSTEKGQVYRTLLDELNAERRQCRSGMRDLFNSSFGATFLTDTGKESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFNSS >SECCE5Rv1G0370820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:844085513:844088006:1 gene:SECCE5Rv1G0370820 transcript:SECCE5Rv1G0370820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGPVELRRIEDRTSRQVRFSKRRSGLFKKAFELGLLCDAEVALLVFSPAGRLYEYASSSIEGTYDRYQAFGRAGKNLNEEGGASTNNDKDPSNIQSRLKEIASWSLQNNADDADVNELEKLEKLLTDALEYTKSKKMLVQRNSGASGGNSISPRGQEEEGRS >SECCEUnv1G0534140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:36059412:36060764:1 gene:SECCEUnv1G0534140 transcript:SECCEUnv1G0534140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNKDDAFYRYKMPRMLTKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGIALVNGAHDTSKLAGLLENFIKKYVQCYGCGNPETEVLISKKEMITLKCAACGFLSDVDMRDKLTTFILKNPPEAKKGGKDKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGASSKDTKKKAAGGGSDEEHATSPTHSQGADFAAAADDDDDDDDDVQWQTDTSAEAARKRMEEQLSAATAEMVMLATEETEKKKKQALHKEGSSNGTPKAEDTSNGNQTGPKTTPYDELVEEIKANLGNAATAAQLKGILSASALPPKDVMHALFEALFHGAGKGFAKEVMKNKKYLSAAVPDEGSQMLLLQAIEAFCGKCSAEALKEVPVVLKALYDGDVLEEETIVQWYNEAVAAGKNSQVVKNAKPVVEWLQSAESDDEE >SECCE5Rv1G0316670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:327366482:327367202:1 gene:SECCE5Rv1G0316670 transcript:SECCE5Rv1G0316670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARDLAGNQPGSTRVCIEEDVAWSDVKGVYDRDDSLKENTNPKCFIRFSSNLKPTAALIIGISGNLGQGCAQRHRPPAMFPKNAKIGGGGRNPKPAVPEPGSPKVSCIGKVLSGQERDRRRKPISLEKTRGGGCPWFGFPIRRNRSRKSAVESVDWSPPSMLPMAYVERKMEAKEENTDQSAPRAPAPTLAWMKRFASDRRVADWAAGMEEDGRLARSGPL >SECCE6Rv1G0436800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:779246796:779247314:-1 gene:SECCE6Rv1G0436800 transcript:SECCE6Rv1G0436800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVFAELVVLLAAALLLPVVICCRRWSGGGGGVTRPLPVSEQPGASRKERRVERLRRALHALRVWCRRSRVEPAASASASASPSPPPDDGAQAQGEVQLERAGPEEEGVAAWRERWFGPTGSRALYTIEEASETEGDVESQCGAEPETPFYTPPASPPRDAEQPERGESS >SECCE1Rv1G0034420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:481829123:481829533:-1 gene:SECCE1Rv1G0034420 transcript:SECCE1Rv1G0034420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAESYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE3Rv1G0179590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:544799513:544802070:1 gene:SECCE3Rv1G0179590 transcript:SECCE3Rv1G0179590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGILVDFPSMGSACFFPSLESLLRDSTSRFLAAVSAAPDPDLTNFRSLFSRVLTTYPDPPLEAVWFFSALTFHDAPDDLRSILHLLSAFTASSPAAAKPLALLAPVVSELFHSAKPRREIETLVEAVLSYISICSSRPAGGEVNADAGRLLPGFGELVKVWSVRNARDRCPFQVLFPLVGEEARRELMKDGCNVTFLAGVVVAEAFLLRLCLKVQGVAGVPRSELQKELRIWAVSSISVFQNQQFFGVLLNMLVNPPLPVYSLLSADDEILVRDVLYDALILVDYSFLNGAEVDQADSSLLPIFVSRLVITLDAINDARAKGDQGRAMSFINAFSTSNIPIYLARWAARQAGMDQLGKPVAITPQAFLKWLVDLEDKGLKVFGENCSKIRERLIHDDAKNDYHYQSRMGHSDADLFFIDKQSRQEGMHTEGGEDEEAVEMETADNAFMAAAHSMKMMANGIRKRKDCGNEDAAVVKFVKYKAEDSSVKDYFSSAATNGMSSGSEVENPQSDDEMEETV >SECCE3Rv1G0206500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:909319094:909320458:1 gene:SECCE3Rv1G0206500 transcript:SECCE3Rv1G0206500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAVVATVLALLLLPSVAEAAVGGRTPAHIPQLGQELWRKPAKAAPKAVIRRAFGAPDKDRLGSAAADNAGLVVYKISVGVAGEVFSGVVDVATDFIWAQCPYGPGISTTGYISAEEVTAVGTHIAGRALFGCSLASTVPLDGESGVLGFSRGPYSLLSQLQISRFSYLMLPDDADKPDSESVVLLGDAAVPQTNSSRSTPLLRSQAYPDLYLVNLTGIMVDGKILSGIPAGTFELAANGCSGGVVMSTLSPITYLQPAAYDALTRALVSKIRSQPAVVRAKAHDMADLRLCYDVQSVANLTFPKITLVFDGVDGRPAPMELTTAHYFIRENSTGLGLQCLTMLPTPAGSPVGSVLGSLLQTGTHMIYDLRGGSLTFEKGGSPPAAAPATMTPQVSLMVMASVILALALLLFY >SECCE6Rv1G0383480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:53083591:53083905:1 gene:SECCE6Rv1G0383480 transcript:SECCE6Rv1G0383480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRADKENLPPPTAAVARAHGIVAVRSCKLKRLGRARRRLPLRDITNLFVAESAIAEWQQALLQQPRDGSVAAPAELVVKNGPAGGVALKPGRYLLRKEFRL >SECCE7Rv1G0470940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:125463699:125464880:-1 gene:SECCE7Rv1G0470940 transcript:SECCE7Rv1G0470940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACKRPAAALDAGQATTTTTQQSPACCKRSRTRIRSTAEYEEETCLGKGGFGCVLLARHRDTRKIVAIKYLNRPVGSQQPLAAAELLREARFLEACDGNPYVVGFEGLVRDPDNGAFGLVMEYVAAPSLHKFLRNSRGGQPLPESTVRAIMWKLLTGAKTMHGRHVVHRDIKPGNILVGQDGELVKICDFGLAISMSELPPYNQAGTPFYVAPEVLLGKRDYDALVDTWSLGCVMAEMLTGKTLFLGDDDDDAKDNEIIQLWSIFRLLGTPDERTWPGFTSLPLIGKALKLLPPGHQQNKLRDLFPLEKLSEHGFQVLEGLLTCNPNQRLTAATALKHRWFAAPRPAAAAPKVDALPFPKKKAPRIKFIPPAMPEKNLLKIPLAVWKAAQRV >SECCE2Rv1G0134470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:901712163:901715348:-1 gene:SECCE2Rv1G0134470 transcript:SECCE2Rv1G0134470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLAGAGRAVLSLPSVRRRATNSWAAVRDTFFSTKEVFESHRVVFTVGTSIASVLTAWAGYSLRHMQQTKIDKRLHSIEESLRNTHKVEHDEIKKIVTSYNISTSACIATALTTTVVGYALGWRGGAWYTRRIVRREQQKLMGQIKSQNRWHWRPFSKLRTRFRSNRHASKSSDAPHPPGKDAPSISGASVNKGQSAEALSNNASSAANVSSGSQPAAAAAGCR >SECCE3Rv1G0155690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:68105765:68108734:-1 gene:SECCE3Rv1G0155690 transcript:SECCE3Rv1G0155690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDALTSKFLRRLGRLIEDEVVMTLSVKKDIKRLKKNLEHFSAVREDAEALAMEDRRVEAWWKNMSDVMFDVDVIIDLVMVHSQKFLLPARSLCFNQPMVSCFEKILFDNKVARRIKDINEKLDEIKMNTEMFSLDRSLRQQFQVTSVDRNQTSPIDELEVVGREIKQSVDDMVQIIFSGCHENNTSVLGIQGMGGIGKTTLAQKIYNHQMIREKFQVHIWLCISQSYTETGLIKQAIRMAGEKCDQLETKTELLPLLVDTIKGKSVFLVLDDVWKSDVWIDLLLSPFMRASNFQILVTTRDLYVLSEMHATYIHRVNKMNYSDGLELLMKKSFQSSEQICEFKNVGHDIVKKCDGLPLAIKVVAGVLSTRRTVAEWKSIRDSKWSIHGLPKELGGPLYLSYSNLPPQLKQCFLWCALLPPNFAIGRDDVAYWWVAEGFVRKEHDYSIHEIAEEYYLELIRRNLLQPIPLFVDKGESTMHDLLRSLGQYLTKDHSLFMNAQSKNSLSNLRRLGISHAIEEIPPLKEHKCLRSLLLFNNKNFKSIRKDIFRKLEHIRVLVLRGTSIKDIPDSVGNLVLLRLLDLSYTEISKLPESTGKLISLEYLSLLGCHQLDSLPAGLMRLSNISFLQLEQTAVDHVPKGISKFQQLYSLKGVFESGIGFRLDELRCLPNIQRLWVQKLEKAAPVGELVLKNSHNLRELRLGCTMASTKERTRYQTGVVERIQQVYDMLIPSPSLVYLYIDGFPGARFPEWLCSEPELNMPSLCHMHLNDCISCSELPPAGQMPELLVLHINGADKVVTIGTELLGKGVRSAAAFFPKLELLHIIGMCNLEKWSLYIRNMCDDMEDNSQQFSLMPCLKRVLLLDCPKLRALPQDMYMIVNLKRIHIEGAHELHEVVNLPAVVWLKVKNNACLRRISNLCKLQDLFAQDCPMLDQAENLCALNRVYMIDCQRAQEFRNSLAEEEQGILVHVAADGHNIFPDESLYN >SECCE7Rv1G0458800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:22798698:22799930:-1 gene:SECCE7Rv1G0458800 transcript:SECCE7Rv1G0458800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSWLLLFCLAFLLPAASATCHPHDLRALRGFAQNLRGDGAAHLRGVWSGASCCDWEGVGCDGTSGRVTALRLAGRDLTGPIPGASLMGLTRLEELFLGSNSFAGVLPDALFVLAGLRKLSLASNRLAGQLSSRLGDLRNLTLLDLSINRFSGHLPGNVFHELTSLEHLVAHSNGFSGSLPPSLSSLSSLRELNLRNNSLSGPISHVNFSGMPVLASVDFSANYLNGLLPVSLADCVELRSLSLANNRLVGTIPSWIGELDHLHYLDLSNNSMIGKIPKSLTQLKGLAAFVGHSPGMAFTNMPMYVKRNRRMLGQQPNVISGSRNTIRSGSNNVLSGNDNTVISGNDNVVTGSDNVVSGSGNVVTERNHVVSGSDNVVSGRNNVVTGSNNVVSGRNHVVYGNNKVVTGG >SECCE6Rv1G0432480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:752150460:752151371:1 gene:SECCE6Rv1G0432480 transcript:SECCE6Rv1G0432480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGGTSLAQLFEPAPASELALLPQPQASTQGASPASARTKPRGRPPGSRNKPKPPVVVVRESAAAMRPVVLELAAGCDVAGAVAAFARRRGVGVSVLCGRGALAAVTLRLSTSAGTSRAVRLEGRFDVLSLSGTVLPAAGEGAGAAPTPFSVSLAGGDGQVVGGTLAGEMTAADGGVVLVAATFVTAEVHRLPAVEAEAVVEGDDGLGGRQEEEKRPPTPPPPPPQQQQQHQQQAMVAASAADVGRVAAYGGVLGWGPGGHPGQVGLYAQHAEQMLSPWGVFADSRAAPNHPASSSHHHYL >SECCE2Rv1G0135450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:906958595:906963054:1 gene:SECCE2Rv1G0135450 transcript:SECCE2Rv1G0135450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQWWDEWQLRVVVLASLTAQYFLVLFAGVRKFHIPPWLRASFRLSHIGSDALAIFALATLFSRQKNGPRCSYVRGSRELELLWAPIVLMHLGGQVVTTTYKIEDNEQWSRHILTSLSKVTVALYVFYKSWSSDDKKLLSATILLFILVIIRCFQKALDLKSSSFNAIRKNSLSEISFVQGNFVTEEVRTGGYVKRARNIVLGCPEPLKETHHAYPSLPYQLCLDFPCPYPDRLAILNKFWSLDSGSAYRAIEGALSAMTSFLYTKYDTIPYLALKNAPTSLIFRWCTQVLAYGTLIAVICLVHTSSHKEAYSGRDTRVTLVLLYGTLLLELVYLGVQTAFRNRFSGRILQRSLIGLLDHNRRHPRLRRMAGWLQCKDLVDKYFGHMEPSYSCKEITELIRCHVESGWKNCIVDTKSYRRCNDTRGEGTLASKLCLQKLGWSLKRPFDETIILWHLATDICFRRKDTSPDDHQCAPQCKEISNYMMHLLFRNPEMLMPGSRKSLFSRVYNELESILKGKQAQWHERDFTRQLIERCDTRHHGHHIFSYISDTRHNPDSSVSDAYIPNSFIRDACLLAENLSRDIGHEIKMWEVIQGVWVEMLCFSASRSRGYLHAETLGTGVEYLSYVWVLLAYAGIETFPEKLQKRDGLLFDSGEPSV >SECCE5Rv1G0365220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:805314813:805316453:1 gene:SECCE5Rv1G0365220 transcript:SECCE5Rv1G0365220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF2.4 [Source:Projected from Arabidopsis thaliana (AT2G37590) UniProtKB/Swiss-Prot;Acc:O80928] MVFSSVPLYHDPPNWNQNHNQQQQQQQQQLQQQQQQQQLSHHGQMFASGGGGGGGVEMHHHQQQQQHQQYQQQQQQQQPHQLRPPVPPPGALMAPRPDGMGSAVVLSGAGGGGGTGSGAGGGPTGGAVVRPGSMTDRARMAKIPQPEPGLKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGTLRNVPVGGGCRRNKRTKSSKSSSSAASASGGGTSSSTTSSTTTAGGGNGNGSSAAAAAGAMMSSQGQGHAQMPFFGSLHPLGGGSGDHYGTGASRLGFPGLINSLDPVDYQLGAGGVAAAAMGLSEQWRLPQMQQFPFFGRADGMHQQQQQMAGLYPFDAADASGFAGEMMGGGGGSKQQVPGSAGLITQLASVKMEDNPPSNNAMAGAASAREFLGLPGNIQFWGGGNNGAGSNDNGGSHSSGTVAPGGAGGGGGWVVDLSGFNSSSSGNML >SECCE4Rv1G0247810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:564103395:564106095:-1 gene:SECCE4Rv1G0247810 transcript:SECCE4Rv1G0247810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSGGDQDAACKATIPWSEMFRSASVRRPKQAEDPPKPAPTPPGKKAPPPAEAEGLSLEPDARLALYIAMAHAGLATALLVLYGLYLLLADFLRPLQWALLCSVPLRETQHALVAFWEPPLRGGFSATVLALPLAALRSCAATLADARAALLRRPLPPSPSFPRLLRWLASSFFFLLLLERLGTAAALLLLGVSLAFYAASPKPSSFIPRAASSRISGRTPSSRGLLLTGGILRHLKTLVAVGLMLGMIAGFLGGSFFFSYKIGLEGKDAVMSLKSHVENGNYSEKIGLKKLLDDNDIPGLVEQYSGKLVETVWEQVDQLAVQYNLTDFTSGFKHFLISQSVPSGAKSKELITSGPHPYSLKLQAITLRVKKREWLEIYKELDSFFRELLITREDLVVKAKDLALQGTEIAKSLLSSGTSVLGGSANLMLSIALRILSGAAEVLNFVSQLMVFMWVLYYLITVEGSGATEQVIDLLPVSKQVKERCVEVIDHAISSVLLATAKIAIFQGCLTWLLLKFFKVHFVYTATVFTIISALFPILPAWLSSIFAAAQLLTEGRYVLAVMVTVIHLVIMDYGTTVIQEDIPGYNGYLTGLSIIGGMTLFPNALEGAILGPLIMTVVIALKNLYTEFVLADTEEMSS >SECCEUnv1G0531300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:16704590:16711815:1 gene:SECCEUnv1G0531300 transcript:SECCEUnv1G0531300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSVYARSSGDGTAQDAALRAAFYGDLRRLKGTVKSLADPRAVFSFLNKGGLGVLHLATVRGHIEVCKYLVEELGGDVNAPAPGVGDFAGMTPFMTSVQSDDVSTVKYLLDRGGDLTKADGKGRTVLHHAATVGSCKVTEFLLSEGVPVDIHYGHGTPLHLAATNEQDKIVKILLEHHADANTSVTYMGSVLMGALLSRSLKCMKLLIKGGADVNRMTSLLMTPLVFTAGRKDYTNFMQFLLKAGADPNIPDAFGRLPIEHAVRSDCMEHVEMLFPLTSPIPSIPNWSIEGIISHEKIESAKPLDQRHVERAKAIFKSQADHAFRLKDYKFASKSYDLAIDAAPSATLYANRSLCKLLLDDGEGALSDALSCRMLRPNWAKACYRQAAAHMLLKEYKQACDALLDAQKLDPGNTEVERELRKAREFMKATGEADK >SECCE6Rv1G0407990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:566994753:566995474:1 gene:SECCE6Rv1G0407990 transcript:SECCE6Rv1G0407990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPPPPATMSKSAWATRRIRKELKLLWLDPPAFCRPGPAPLTDLFHWEVVIDGPDGSPYAGGTFPVDVALPKNYPFHPIKLTFKTKVYHPNIGPDGRMALDIFGEWWSPAITISTALLSIVSVLYDPLLDLPVRRDAALQYRHERGLFEQKARRWTRRYASAPVASFCPAAAGKDPLEEAATSGAVARRRSCGAEKWRFFRAHFLAI >SECCE1Rv1G0002380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8932352:8936905:1 gene:SECCE1Rv1G0002380 transcript:SECCE1Rv1G0002380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTTSPHTLTQPSHVYRPVSLSPRASSSSSSSSSFGTSNAKVLPFPPVLKTFRLVWKSLIQQLQSQLSTKIDEASQAMKNVPQILLHAIVDSAFKFTYQALHSSERNFAPVDEIGSSIEIFELEGNIPEDFPEGVYIRNGSNPLFGALQSTASIFGESNDIWVEGEGMLHALYFTKNHSASWSVSYANAYVQSETLKIERDRQKPCFLPAAQGDSAAVISAYILNYLRFGKVNKNISNTNVFEHAGRVYAVAESHQPQEICIHNLETGNTWDIHEEWDRPCGSHPKVAPGSGELVIFGSDARKPFLVVGVISDDGITLKHKVDLKLDRPTLCHDIGVTIRYNVIMDLPLTVDIGRLTTGGQLIEFEKEGYARIGVMPRYGNAESVVWFDVEPCCMFHLINCFEEGDEVVVQGLCSADSVIPGPRINKPVLLPRRSQLTGDDKTMKQGINEKLFSRLYEWRLNQETKTVSGQYLTGMDCSLEFPVINNQHTGVRHSYAYAQIVDSVTRPGEVCAKVLPKYGGFAKLCLDKRGTIIETSGKDLIKMEIHRLDEDQFCSGASFVPRVHGSHEDDGWIISFTHDEVTNTSQVHIIDTQRFEGAPVAKITLPHRVPYGFHGTFIHRNITEHENNKQAGNSKA >SECCE4Rv1G0272900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:772872757:772874115:1 gene:SECCE4Rv1G0272900 transcript:SECCE4Rv1G0272900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALMAAVAVALALLAAPAAAEIRSTAIRADPRTIIPLDEFGFSRQGVLELNVSGIAFDPPASADLDLSQFGFFLSTLDAWVHVLRQLQDLEVTCALQSDLVKLAYSFDRLRPPANPAGVEVARSSSFTHVFRVSDPGQYTLVFANCLTGGGDLRVSMDVSSAMYNVDPATGQRAYLSVGSSALPSIYFLFCVLYAALAAAWVSILLRKRTAVFRIHYFMLAVLVLKGFNLLAEAEDKSYIERTGTAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLADREKKVLMVVIPLQVVANIAQVVIDESGPYARDWVTWRQIFLLVDVVCCCAILFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALVTITSYRYLWTSVVAGEIATLAFYVFTGYRFRPEVHNPYFAIDDDEEEAAAEALKLDDEFEL >SECCE5Rv1G0353420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:716915015:716925844:1 gene:SECCE5Rv1G0353420 transcript:SECCE5Rv1G0353420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPRINTAPRGNRAPLLDHGETARVPSDLEEGSNVQAANVGFCRVIKLAKPDAWKLIFATTALLVASLSNLLVPKYGGKIIDIVSRDVRRPEDKAQALADVNGTILYIVMIVMTGSACTALRAWVFNSASERVVARLRHDLFSHLINQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLTTTAIGLGFMFSTSWKLTLLSLAIVPVISAVVRKFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRAFAQEPHEISRYSGKVNETLKLGLKQAKVVGLFSGGLNAASTLSVVIVVIYGAKLTINGYMTTGALTSFILYSLTVGSSVSALSGLYTTVMKASGSSRRVFQLLDRISSMKNSGDKCPKNENDGEVELDDVWFAYPSRPSHLILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPIKGRILLNGVPLAEISHQYLHQMVSIVSQEPTLFNCSIEENIAYGLEGKASSADVENAAKMANAHDFICGFPDQYKTIVGERGIRLSGGQKQRVAIARALLMNPRVLLLDEATSALDAESEHLVQDAMESLMKGRTVLVIAHRLSTVKTADTVAVISEGQIVESGTHDELLDRDGIYTALVKRQLQLPKFEGTANGTAETC >SECCE3Rv1G0161720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:121406180:121406578:-1 gene:SECCE3Rv1G0161720 transcript:SECCE3Rv1G0161720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMEQVVPEVGESKSVAAVVADILTKECPSSTFLQNVGLESSSKKKFNKSASALDAHVQELEYKLEKERQASELMREELVEVKKKSEETEAARAAEYQLLLRRVEATDARAAASDDRFARLMDLFEGKIV >SECCE4Rv1G0287820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:856897549:856898715:1 gene:SECCE4Rv1G0287820 transcript:SECCE4Rv1G0287820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSCMAWHCLLALFLLSSAAYAQLSPSFYDTSCPTLQRTVRATVTKAIRAERRMGASLLRLHFHDCFVQGCDGSILLDDVAGSFVGEKTAFPNVNSVRGYEVIDQIKKDVELVCPGVVSCADIAALAARDGTSLLNGPSWVVPLGRQDSTTANMMEANSDLPAPSLNLDGLIAAFAKKQLSPRDLTALSGAHTIGFSQCQNFRGHIYNDTNIDPAFATLRQRTCPAAAPAGDSNLAPFDVQTPLVFDNAYYRNLVARRGLLHSDQELFNGASQDALVSQYGANRALFVSDFATAMIKMGNLAPPTGAVTQIRHNCRVVNS >SECCE2Rv1G0086340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:210461869:210463019:-1 gene:SECCE2Rv1G0086340 transcript:SECCE2Rv1G0086340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSSSSATAVAALPSNGLAGARSSFLGAGKAAASRASFAVRAAAPERPIWFPGSTPPPWLDGSLPGDFGFDPWGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPELLTKIGILNTPSWYTAGEQEYFTDTTTLFIVELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGYGTGSPEKLKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFRAFTPK >SECCE3Rv1G0187630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:703041555:703043078:1 gene:SECCE3Rv1G0187630 transcript:SECCE3Rv1G0187630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGAELGSPAPAQSPAPKRRKIEPSRRSRPPSETAVDKDKVAASSNSSVSGTPLAKVDLNKVREAKRFAVLQAQHEGCLGSFKSFDSLFGNYLVPVTPSDDFFEQIAKK >SECCE5Rv1G0354180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722234327:722235196:1 gene:SECCE5Rv1G0354180 transcript:SECCE5Rv1G0354180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAKSKELMQYQVMEGRKDMQESYTAPVVQVPREPAFVINGVPDFPPDFASGSQLAVSDAPRSRVDYRFGEWLVERKVRKLFEDKYYEGIVVRYDSVNNWYTVVYEDGDQEDLEWHELEEILLPLDITIPLNTFVMDKFKHQNVAPDYRTNVAANQMVVRAVNGQQSNNLPLPGWLQASASAGENALVCLKPGDQPKKRGRPRKDTSTSGDIQPKKRGRPRKDIISTSGELSKQRSTSGDIQPKKRGRPPKEPGEKSIDRLKLDTVRVEKLKRESMLLRGPPPGSQSF >SECCE2Rv1G0078060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:104150193:104157711:-1 gene:SECCE2Rv1G0078060 transcript:SECCE2Rv1G0078060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQSPRFPSAAGVARAISSMQDTLLDAAFKGDLPLLKRVVWVLDNGKGRPREAVEAARTDGGMSAMLVAAANEQLEVCSYLAGELRVDVDAADDKGRTPLFYAVMSEKIAIVKCLLDHGADPDKADEGGLTPLHSAAGIGDCEMIELLLAKGAYIDPIAEEIGTPLHLATKEQHVGAMKTLLEHNADCNKTYMSYGLYPMTPLFQAVNVSSLQCVKLLVEAGAVINPDCIETVSLDSAMGNDGSTECLNFLLKAGAKRNASNDAAVRSSARGRVAGTARRSGRGRGRDQGPRQQQEQHVAHGEEVDVKVNPKDEHATKREIAKLKSSAIKAIESKDYFSATMWYTKAIEHDPNDATLFSNRSLCLLRMGDGQRALLDALDCRGMRPDWPKAYYRQGAALMSLKDYGNACVALLDGFKLDPENAEMESALREAMESLKISKGDTKAT >SECCE2Rv1G0080230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:128328306:128329343:-1 gene:SECCE2Rv1G0080230 transcript:SECCE2Rv1G0080230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA5a [Source:Projected from Arabidopsis thaliana (AT5G47520) UniProtKB/Swiss-Prot;Acc:Q9FGK5] MAYGGDEQQSQDYLFKIVLLGDSSVGKSNLLARFARNEFFPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDITRRQTFDSVGRWLNELHAHSDMNVVTILIGNKTDLKHAREVSTAEGKALAEAHGLFFMETSALDSSNVTAAFQTVVKEIYSILSRKVFQSQEQKKSELQSLSNGKAVVLQNDAPSDSSGGGRWCCSS >SECCE2Rv1G0130380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:876206818:876207135:1 gene:SECCE2Rv1G0130380 transcript:SECCE2Rv1G0130380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVVLLAAPAVGGFLLHAFKFSILLWPLNLTLPLLRDLPRVCATLRGAASLYATELRASLAGRRRRAPVPQLDRQYFSLRGVRRRPAERLVDHAMLALVDISY >SECCE7Rv1G0469970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:115054903:115056102:-1 gene:SECCE7Rv1G0469970 transcript:SECCE7Rv1G0469970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYPMPGPSVLGNNATITQRLDNFATEAAGRGESIGYLDIFVHQARDIHNVCIYQKQDVYAKLSLTSDPHVSCSTRVINGGGQNPVFDEGLRLDVRSVEGSLRCEVWMLSRVKNYLEDQLLGFTLVPLSDILLADGNLAQEFSMSSSDLLHSPAGFVRLSLSYAGSSPDVIEISSLNKPASAVTDCGNGSLDPCEIERMEFPDLNMVNENEMMVSKYFEMDCESAAKAETCKLPQHDADVPGPEVSKITPKEYPDESPVSCISTTGSSPELSGTPQSVSEPSETAVAAASSTGSQREKSQGVTTDGEADSSEAPSKDEVAQPAVISVNLQPREAVVQQDIVDMYMKSMQQFTDSLAKMKLPLDVENGSPSTADNADSSTTEKPSPGPRVFYGSRAFF >SECCE3Rv1G0163980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:154797479:154799139:1 gene:SECCE3Rv1G0163980 transcript:SECCE3Rv1G0163980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESWEEGRGLDFLESLGPDISATVFSLLDDPADLARATAVSHSWRRFVIANQFSKILCLRVYPEVSNFTRVELSSISSAHRNAGSSACAGLEKLGRDHRVYMHLSHGLLSPYDPKDCISHCVGASSTDHFPEEAIENTLEHHDEWRPSYWSSGGQKDPAVPECLIYSLQSELCLVDEIRILPLKAFFRLGHPIYSAKHVRFRLGYLKPPHWSETHVYVQSDAELIDDSNYIWTYTSPEIPMLQENVMQSFKLPHSVLCIGGVVKIELLGRVHKDTFDGLYYICVSHVQIVGKPLSEDFGVAPSKNDVVLNYYLDYRRLGGRPQQST >SECCE6Rv1G0431080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745554961:745555839:-1 gene:SECCE6Rv1G0431080 transcript:SECCE6Rv1G0431080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTVREVLYMYSVARQAYERFLSVCGSPEKAQNAVALLVWLDQGTISAIHHVPAMAPDAVAVVAEEANAVLGCLRHQEPALPPIPLISVLCMQGGVHIEPGFFAFHQDLVVRGVAHFLDGAGKFVFNNRLHVLLQRSETGLMVNPPELMAPYTSQPVVVPEDSRSMFITFSKGNALHREEIFEYFRQKWGDCVVRVLMEKTKRGHMPMYGKIIFKTEAIVKLVLNGERLVKISIGQREIWLRKYVPRPTNAAA >SECCEUnv1G0553100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:264924942:264925876:1 gene:SECCEUnv1G0553100 transcript:SECCEUnv1G0553100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLSTSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDLEPVLYMNPLN >SECCE1Rv1G0037980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:533625138:533628311:-1 gene:SECCE1Rv1G0037980 transcript:SECCE1Rv1G0037980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCEAEIALIVFSARGRLYEYASNSTRTTIDRYKKASASASGSAPAIDVNSQQYFQQESAKLRHQIQSLQNANRHLMGEAVGNLTLKELKSLENRLDKGIGRIRAKKHELLFAEIEYMQKLEADLQSENMYLRAKVADAERLALAAPPPSSGSGAELEVLPTFDARSYYHHQAVNMLQDAAAASSSSRYSQSSQAAAAAATTALHLGYQIKGGQLN >SECCE2Rv1G0126600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:847305556:847311329:-1 gene:SECCE2Rv1G0126600 transcript:SECCE2Rv1G0126600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATSSKVILLRLLFFLACISLLPIQAPAADKLEKGQNLTDAGGDTLVSAGGGGTFTLGFFSPGASTKRYLGIWFSVSNHTVYWVANRDQPLPDRSGMLVFNDAATLVLLDGFRRTVWSSDFSGGPSAAVAQLLESGNLVVRNGSSDAYLWQSFNHPSDTLLPDMKLGKIMWARSQVQLTSWRSADDPSPGDYRRTLETKGLPELVLWYRDVKTYRTGPWNGIIFNGVEEASTYADMYPLHVSGLADRSTEITYGYTALPGAPLTRVVVNYTGVVERLVWKVDTGEWVPYFTRRAGDNTCDAYAKCGPFGLCDPDAVSSSFCGCVPGFSPESPPAWRMKQYAGGCRRNATMNCATDRFKVVPGVKLPDTQNATVDINVVTLEECGATCLADCSCLAYAAADIRGGGNFSGCVRWTEPIVDLRIIDNKQDLYLRLAESEFAVADDHGRKSKGFPTALVVAPVASVVTILLVVIVFWWRWKCRILGAIPQNPSMSVPLLNLATIKHGTGNFSESNIIGQGGFGVVYKGQLPQGKMIAVKRLKQAALTRKGKKDFAREVEVMAGLRHGSLVRLLAYCNEGKERILVYEYMQNKSLNVYIFGTPSLRASLNWAKRLEIIHGIAHGVAYLHGGSGKSVIHRDLKPGNILLDDEWNAKVADFGTAKLFAVDQTEPDQTVVVSPGYAAPEYERQGEMTLKCDVYSFGVILLETLSGQRNGSMQSLLLQAWGLWEQSKTVELLDTAIALPLPESEPELLCELKRCIQIGLLCVQEMPGDRPTMSAIVALLTSTTSQIDRPGRPTMDSTAVHSSHELESGLSSPSTDDLT >SECCE7Rv1G0475390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:187473662:187475063:1 gene:SECCE7Rv1G0475390 transcript:SECCE7Rv1G0475390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPSPSPTLTPAVSETKRHAGVATTASEKLDQKYAHSATPLHGHGGGGGAKKTPRRGRSEGGAADSAAYVAAVSCSDCRFKQRALAPASPGAVIRSLFVSLTRRSTPRSSPSPTSASEGDAGEGEQWRLAAADLSRRLAAATRTRDDAVEETSRLKQSLAELELKLARLEARVLPTPAAAVFPVDSFLRAVSTARATVRNLTRALSTHLRSPASPGPNLESFLNRAFHADFELDTEGDVHTADPAGRCEANLAAYHAVAALTWEEVLIHGTKHYSDGLSRFCDAKMSEVVSSLGWARARPWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRVDRGARFDSRFMEDAAASRAGRLEPASVKMMVAPGFHVYVAGAGVVKCKVVCFYNNGRTGGHIGGGSSANGGEGLGSSCSDMNGGGTDSVKSCQSSRV >SECCE3Rv1G0194840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:786108151:786109615:-1 gene:SECCE3Rv1G0194840 transcript:SECCE3Rv1G0194840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEFRKMKPVLALVLLLSCHLLLPLVSSVPVSRSVALGNHQASASSLTPEAVPVQGVVTAVAEERSVVGEVLARMDIEVNDYPGSSANGRHEPPNRSPGRG >SECCE1Rv1G0010550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:63291894:63292298:-1 gene:SECCE1Rv1G0010550 transcript:SECCE1Rv1G0010550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCLAQGSSRGGVVAEASFRVYYSLGAGTVPFVWETKPGTPKRTIDHGAATEDALPPIKPPPLYQSKTMKRCTSTGKPSSCWPPRMTSWLNIRSRRRRPIATPGLHQTAASMINGNGIVPSLGASEPGMDRWN >SECCE2Rv1G0142820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:943010002:943010939:1 gene:SECCE2Rv1G0142820 transcript:SECCE2Rv1G0142820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLESLVSGFTKVLADLLAKPLDFLSGKACTTACGPMWDIVCYVDNFCVANTAATLFLLYLVLLFFYVVYKLGICGCLCHGISKLLCSCLSCSCSACKHGCTHLCNKMRSIRRARRRHPRRRRPRHQDDDVEQGHFSSSSSDIDSPEDTTTTTTRHRHAVVARHGDSERSPVSRRRVYLERSLRPRNHRVTVGVSRRSDIIIKEGKEPRPVNHRHDGSDRELHHHHHGIKVTHTSRFARKGSGKITKSRP >SECCE1Rv1G0033450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:467866783:467869249:1 gene:SECCE1Rv1G0033450 transcript:SECCE1Rv1G0033450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGQEGDDVDHYEVLCLPSGEEGAALSVEQIEKAYRTQSRLRHPDKRPDDPNATADFQSLASSYKFLRDDSLRRQFDARLRGRREAAARAAATGVKRRKAVSDLEERERAFAAGGGPAVDPVELARREDKRKAADVKRELDEFFAAKKSGVSGSASTPAHGDKKGGTPENGPKTDKGKILKVSWEGGADYYTAAKLDEIFKQFGTVEDIVIKTRKSKSKGSAIVVMASKEAAQTALKNHSVYNVFPVPLIVASVQESGGLPARSTQTPEARTSNIDGTGFSDLEASVFRKLQEAQKRKKSG >SECCE1Rv1G0043380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:592058131:592061382:1 gene:SECCE1Rv1G0043380 transcript:SECCE1Rv1G0043380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSTWLDGVVGGGIVETITYQVYDRASGTYATRTFQNPNTLLDDVASVVCTGAAAAACWAAWRYYQRSVSLRKYGRDMTALAGKADPVIGRDDEIDRVICILSRRTKNCAALVGAAGVGKTAIAEGLAQRIAAGTVPAALAGARIVEVDLGAMVAGTILRGMFEQRIKNVIKEAEDADGKVILFIDEMHMLLGAGGGLDRTTDASNMLKPALARGRIRCVAATTLDEYNKYIEKDAALERRFQKVHVEEPSTQSTIAILRGLKERYEQHHGLEIQDAALVAATTLAARYITGRQFPDKAIDLIDEACATAAKKMMQIDKQEQQFSAALPTSAAKEAIVGPDQVAQVVSRWTGIPVTTLNQGEKDKLIHLAARLHGRVVGQDEAVNKVARAVLRSRTGLDQPGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKMLVRIDMSEYVGAGSVWRLIGAPPGCHEHQDGGQLTEKVRRRPYSVILVDEVEKADPLVLNVFLQLLDDGMLTDGKGRIVDFKNTIVIMTSNVGSEHLMAGMSGETTMETARSLLMKQVQKHYRPELLNRLGAIVVFEPLSRDKLKEVVTIQMKSVIARVADKGIRLCASNDALEVILSESYNPMYGARPIRRWVQENVTTMISEMLVKGEAGPGSTIFVDATVDKKGLKYEVAKEVVGLPSDSSDDLIVATPTRNGNRPENMARQKTKPPCVASRSLGNLYFPIKALLARLNA >SECCE5Rv1G0369810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:837834967:837837248:-1 gene:SECCE5Rv1G0369810 transcript:SECCE5Rv1G0369810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDQENPCAICLGGMAAGGGQATFTAECSHTFHFNCISASVAHGHLVCPLCNARWRELPFLRPTAPVPPTLPTLLGRPTPMHAVQPPNEPISSAPMLLGMPPFPAQAPPPPHLHTVQHHQPPPVPTVVFDDDEQVEPASRPPADSTPAAASNGAVVVNTHAEYAAVARDSSSDNFAVLVHVKAPGMADAVAAGSDKPPPRAPLDLVTVLDVSGSMSGHKLALLKQAMRFVIDNLGPDDRLSVVSFSSEARRLTRLTRMSDAGKALAVSAVESLVARGGTNIAEGLRTAAKVLDERRHRNAVSSVVLLSDGQDTYTMMRRRGASGVQASNYEDLVPPSFARTGADGEWSAPIHTFGFGNDHDAAAMHVIAEATGGTFSFIENEAVIQDAFAQCIGGLLSVVVQEARIAVSCLHPGVRVVSIKSGRYESRVDEDGRAASVRVGELYADEERRFLLFLAVPRTETDGDTTALVKVVFSYRNAATCAEVSVTAEDTVVARPEQAPDASERSVEVDRERIRVEAAEDIAAARAAAERGEHQEAVEILENRQRALEQSEAAGDGDPVIVALGAELQEMRGRVSNRQSYMRSGRAYMLAGMSAHQQQRATSRQMLEPEEQQTLMGARNGGARRMIRRGVGSSAGAAAMRVSNEAPMSYATPAMRAMLLRSRESRGASAEQGQQQPMAVEEDAGSSEVRTEDPSAKGDVNQ >SECCE5Rv1G0337810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:594213517:594218279:-1 gene:SECCE5Rv1G0337810 transcript:SECCE5Rv1G0337810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATPSAFAPPRPRLLSSRRRRAAPLPRWTASATRSGAPRPAPLSSMDATMKSGRRPGVREYVEAAREMARRPDGGPPRWFAPLDCGGGERVPGAPTLLYLPGIDGVGLGLIRHHERLAKMFDMWCLHIPVQDRTTFQGLVEHVERTVKSESSREPGRPVYLVGESVGACIALAVAARNRDVDLVLVLVNPGTSFHRSQLQSLSALLDLVPDPFHSSTPELLNFLTGNFIKMSSRFGGAGQAVSEVATGLLPSLMYLADILPKESIVWKMKMLRTASSFVNSRLHAVKAQTLVVASGNDELLPSRDEAERLRGTLKKCRVRHFRDNGHKILLEDGFDLATTIKGSGDYRRSKHTDYVLDFLPLTDDELEKAIDRDRLLTFATDPVMLSTQPDGKIVRGLAGLPREGPVLLVGYHMLMGFELGPLVTGVLRSTGIHIRGLAHPFMFNESSEQLLPDSSNYDLHRIMGAVPVTAVNFYKLLSEKQFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDICDMLLDYNDLMKLPFYNILDKKLNGEGLKLRTDSTGEIKNQDMHPVVLAPKMPGRFYFIFGEPIETKGREKELRDKEKAQHLYLHVKSEVESCIKYLKEKREEDPYRSILPRLLYQAAHGSDAEIPTFEP >SECCE7Rv1G0462800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:48827508:48828799:1 gene:SECCE7Rv1G0462800 transcript:SECCE7Rv1G0462800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRPIVLIFLLLVLIISSQFEWKQQIGEAEASPEATLRRQQPAVLREDAVKEKIILAQEKNIQQLTELIQSLQVQLLHCRGSNNTAHGGSSNQSSTSYSEADKHQIIDD >SECCE1Rv1G0039220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:546665438:546667278:1 gene:SECCE1Rv1G0039220 transcript:SECCE1Rv1G0039220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEDVAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTSIEIDDETYEEIVRTSKRTIPYLFVRGDGVILVSPPLRTV >SECCE2Rv1G0128060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:857705180:857709321:-1 gene:SECCE2Rv1G0128060 transcript:SECCE2Rv1G0128060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFMQLGKSGRKIAQAVLVDPKPGSSGAHSIGSSFAAGLAYKSRAFLHQGVHNGPGTSHMLGRAKESLNWSRGARNFSVLSTCSRNAFHNQLAWKQLMAMGARVPKASPQFAWKQLMSTGSAVPKASPLLSRAACAVTLTATRYKLVPYLLAFVAGELMLGEKSFADGEYLPIRENIYSRAQDSRIYVTTLIFSAVEMVIIILRSIYLAFLFTPSILMAPFADTLGSKYRKTWLRLVHRTLEKAGPAFIKWGQWAATRPDLFANDLCTELSKLHTKAPAHSYAYTKKTVEKAFGRKISEIFENFEEEPVASGSVAQVHRAALKFRHPGQKTPKIITVAVKVRHPGVGDSIRRDFSIINAVAKASRYIPALNWLRLDESVQQFAVFMMSQIDLAREAAHLSRFIYNFRRWKDVSFPKPLYPFVHPAVLVETYELGESVSHYVDDHDGEERVKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVAQPRNPNNTLLKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAESTLKLSKQQNCPNPKVFIEEVERAFSFWGTPEGDVIHPADCMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIEGLMAP >SECCE1Rv1G0042460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:580477979:580478500:1 gene:SECCE1Rv1G0042460 transcript:SECCE1Rv1G0042460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACMLPCGALDVVRVVHLSGHVDEFTCPLIASDVLAAHPSHALTAAGSAGAARRIVIVSPDSELKRGRIYFLIPTACSAPAAELKRPKQQQQGHGACQSKTKRRHGHRKSGTAVAAAASTAEQDNYLRELLSEKRESGHRRRRSSSARAGVWRPRLESIAEEPSD >SECCE4Rv1G0226400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:109682604:109683236:-1 gene:SECCE4Rv1G0226400 transcript:SECCE4Rv1G0226400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAVLDEAQRRRRQSVYEFLDSTKPARAQALRWCETAREMRRIDGDMKEAGQLLRGALSCVKDYASVYRTWIAMEMDGGGGVGVARWLFEEWGTVCAKDRNLRKDDDGSTADEYGDYWCAYLAFELRHGDARRARTVAARAVKACPHDASLRDTVELRLSDAIEIEQQRRHRSGLLRTAKKWLSNVEQSRGCSSLVPRPPQGYRRLLSG >SECCE2Rv1G0111240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:698833575:698833808:-1 gene:SECCE2Rv1G0111240 transcript:SECCE2Rv1G0111240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLQAHREIEDATTHGRLRDDLVEHHWQLDGRRIGP >SECCE7Rv1G0502740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:700320915:700322770:1 gene:SECCE7Rv1G0502740 transcript:SECCE7Rv1G0502740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGNYLCCFSLLLLLLAGLASGHQVLFQGFNWESWKQSGGWYNMMMGKVDDIAAAGVTHVWLPPPSHSVSTQGYMPGRLYDIDASKYGNAAELKSLIGALHGKGVQAIADIVINHRCADYKDSRGIYCIFEGGTSDGRLDWGPHMICRDDTTYSDGTANLDTGADFAAAPDIDHLNDRVQRELKEWLLWLKSDLGFDAWRFDFAKGYSPEMAKVYIDGTSPSLAVAEVWDDMATGGDGKPSYDQDAHRQNLVNWVDKVGGAASAGMVFDFTTKGILNAAVEGELWRLIDPQGKAPGVMGWWPAKAVTFVDNHDTGSTQAMWPFPSDKVMQGYAYILTHPGIPCIFYDHFFTWGFKDEIAALVAIRKRNGITATSALKILMHQGDAYVAEIDGKVVVKIGSRYDVGAVIPTGFVTSAHGNDYAVWEKPGAAATLQRS >SECCE1Rv1G0047500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:625903165:625904199:1 gene:SECCE1Rv1G0047500 transcript:SECCE1Rv1G0047500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSSSSPRPRFTIGYALPPGKAGSVIQPPLEAVAAERGMRLVAVDASLPLADQGPFDLIIHKLFDEPWRAQLEAFSALHPSVPVVDDPAAVDRLLDRFTMLDVVPGLATAGLDHPLSVPAQVTVSDAAALAGDDPSHGLRFPLIAKPLAVDGSAGSHDLCLVYRAEGLRGLHTPVVLQEFVNHGGVLFKVYVVGGRAVCVRRSSLPDVPAARLADTDADACVPFHNISSRPADLDKAMPPAAFVDQVARGLREALGLHLLNFDMFAATELDDGGRRRYFLVDINYFPGFAKMPGYETALTDFFAEMIQLGTGQEKPESVPCTGPDLEESGLTLDSTCPAPMV >SECCE1Rv1G0035180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:496844837:496848029:1 gene:SECCE1Rv1G0035180 transcript:SECCE1Rv1G0035180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEENSLLHFLDDTPSSHYRRTCGGYSSQNDGDDHSDTSDADPSSARDRLETLLNQPANKFCADCGTPDPKWAALPFGAFICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLANSGGNATVNTKYEAFLPENYKKPRQDFATEDRAIFIRKKYELQQFVTNPQYACPLHKPGAEKRHNQQHSSSKHGTFRNSWRKKESDNKGVKRMMDVGMVEFVGLIKVDIIRGTDLAVRDVMSSDPYVMIMLGHQSMKTKVIKNTLNPIWNERLMLSIPEPVPPLKVQVFDKDTFSSDDRMGEAEVDIQPLISAAREYQSSIITESTQICTFLASENSILAKDSIISIVDGKVEQEIVLRLQNVEHGELEIKLECVPLSQ >SECCE2Rv1G0077100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:96865739:96872000:1 gene:SECCE2Rv1G0077100 transcript:SECCE2Rv1G0077100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPATYAYAAIAKTSLQPAMQNLLLPNAAAVPWCAQPRRPSRLSIRASAVSSSAPRRETDPRKRVVITGMGLVSVFGNDVDVYYERLLAGESGVDTIDRFDASGFPTRFAAQIRGFSSEGYVDSKLDRRLDDIHRYALVATKKALECASLAPGTSAMEKVDKERAGVVVGSGMGGITALSDGIANLVTKGCRKISPLCIPHAITNISSALVTMDAADGFRGPNYSISTACATSNHCFNSAADQIRLGRADVMIAGGTEAGIIPIALGAFFACRALSQRNVDPGTASRPWDKDRDGFVLGEGAGVLVMESLEHAMRRGAPILVEYLGGAVNSDAHHMTDPRPDGLGVSSCIMRSLENAGVAPEEVNYINAHATSTLAGDLAEVKALNQVFKDPSQIKLNATKSMIGHSLGAAGALEAIATIKAITTGWLHPTINQFNPESEVDQFDTVRNVKQQHEVNVGISNSFGFGGQNSVVVFAPFKP >SECCE3Rv1G0146490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:11491112:11498898:1 gene:SECCE3Rv1G0146490 transcript:SECCE3Rv1G0146490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04350) UniProtKB/Swiss-Prot;Acc:Q9XEA0] MSLTTHPPLQLHARPPALGAAHRRRALLLPPRLARPCLCCAAAGADAGKAQARRAYPYEEIEPRWQAHWEERRTFRTPDLGDGLDTSRPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMKGFNVLHPMGWDAFGLPAEQYAIQTGTHPKTTTARNIDRFRTQLKSLGFSYDWDREISTTEPAYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGYPVIRKPMRQWMLRITSYADRLLEDLDDLDWPESIKEMQRNWIGRSEGAELEFCAVDQEGHDLGAKLTVYTTRPDTIFGATYLVVAPEHVLLPSLTSEEQRAHVEEYTEVAARKSELERTELQKEKTGVFSGSYAKNPATGEIIPIWVADYVLASYGTGAIMAVPAHDSRDHEFALKYELPIIKVVSPPNGNCDPEEAYADDGIMINSSSSSSGLNINGMLSQDAALEVTAWVESNGFGKKKVNYKLRDWLFARQRYWGEPFPVIYLDDTNEMVPLTENQLPLTLPELDDFTPTGTGEPPLTKAADWVRTTDLLTGKPARRETSTMPQWAGSCWYYLRFMDPKNSSTLVDKAKESYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFKCLINQGLILGEVEYTAYRDNEGKWVSADSDSSLSDCIQEKVPADKITKVGDHYVLKDDPNIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLVVGAPLPDGSYKDGTMATDVEPTFEQLRVLHKCIARVSEEIQETRFNTAISAMMEFVNAAYKWDTQPKSVIDSFVLLLAPFAPHLAEELWFRLGHSQSLAYEQFPEAKNEYLKESEIVLPVQINGKTRGTILVDKECSEDDVFQIAALDERLSKYLDGKAIRKRIYVPGRILNVILDQQKART >SECCE4Rv1G0258360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677423838:677428289:-1 gene:SECCE4Rv1G0258360 transcript:SECCE4Rv1G0258360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGELGTQIIIPVCGVVGIVFAVAQWFIVSKVKVTPGAASATAGSKNGYGDYLIEEEEGLNDHNVVVKCAEIQTAISEGATSFLFTMYQYVGMFMIVFAVVIFVFLGSIEGFSTKGQPCTYSTGTCKPALYTALFSTASFLLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLSSSGLVVLYITINVFKMYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTAMCYPLLVSSVGIIVCLLTTLFATDFFEIKAASEIEPALKKQLIISTALMTIGVAVISWLALPAKFTIFNFGAQKDVSNWGLFFCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSIAAMYGIAMAALGMLSTMATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGNSEHARSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATYGGVLFKYI >SECCE7Rv1G0469020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104765868:104766779:1 gene:SECCE7Rv1G0469020 transcript:SECCE7Rv1G0469020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMRFLQLFAAVLAFCFAPANSNWIPATATFYGGADGSDTMGGACGYENLYVAGYGINNVALSTALFNDGASCGQCYVIICDTSKSDMCKPGTSITVSATNFCPPNWDLPSDNGGWCNPPRHHFDMSQPAWENIGIYRAGIIPVFYQQVKCWRQGGVRFTINGFNYFELVLVANIGGSGSIKSMSVKGTNTAWIPMSRNWGANWHCLSGLVGQALSFGITSTGGQYLVFEDVVPAWWQFGQTFTTWRQFDY >SECCE6Rv1G0379770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:16743728:16744288:-1 gene:SECCE6Rv1G0379770 transcript:SECCE6Rv1G0379770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATLGPGRFYGDGLSRRCVFLGSDRADPPALLSWAHEVKWSTGGQGSRRLRLEGRIEGRLCRTDALGSDDDDEDGGVASQEREVIDDKESEEDDESRESWEEEEESGDLKDEEEVALVTPARGLLRKKAVAAASAPSAKALGKASPKRKAAVAGPGLTVRTPPTRKRKVAEAPASGRRTSPRSKH >SECCE2Rv1G0132630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:893515946:893521940:1 gene:SECCE2Rv1G0132630 transcript:SECCE2Rv1G0132630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLTQSVPSRTTALHHRPASPRCPPATAFPPASTAPPPAATPSNPRPNSSSLASAAAVPDVPCGGDMTRSHGEGREEDRPVACETGPRKKGRKRKRGRAKARPPPPAPSQEHRATTSDDDGSAQVRAMVAEQMRNILAVDATKKKKVAELLKGLSGELDLSVEDTFGDSNDGSLESLQARKVALLVSKSVVSLSSFAGGKRIRVCSGFVMHGTDNTGPNIILTSTTLVRSLNGDSTVISDVTVKVLLPDGHITDGYIFLVDFHYNVAVIKVAAYLALLEEGTTNNGAVLALGRAYEGGHLMCSRGQIVNKKSKFGCAELMVSSCKVSMAGSGGPLVNYNGQILGINFYEKNQTSYLPMLIVSRILEQHHCFGKLISPWLGLRYSSLHMVPLAVLERINKKFPDVEQGLYVSKVVEGSPADVAGLCVGDVIVKCGDKLLSCVPEFGAMLLDDAKTHSEAFGGWAGDMTIEVVVKRQRDGSTVSKTIAAEMLRENNYNRWPAPMPSYTIRLINVTPRR >SECCE2Rv1G0086760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:215400867:215403672:-1 gene:SECCE2Rv1G0086760 transcript:SECCE2Rv1G0086760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAMANASANAADCGEYAELDPTGRYGRYNDVLGKGASKTVYRAFDEYQGMEVAWNQVKLYDFLQCPDDLERLYCEIHLLKTLKHKNIMKFYTSWVDVSARHINFITEMFTSGTLRQYRQKHRKVNIWAVKDWCRQILSGLLYLHSHNPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYAEEYNELVDIYSFGMCVLEMVTFEYPYSECSHPVQIYKRVISGTKPEALYKVKDPMVRQFVEKCLTTASERLTARELLNDPFLRIDGMAICSGDGDYTLLNNYLRQPYLRHAYSNGSVMSNGFSESIDEGAPTEDRWECEDDDSKADGIDLFNGHEDEPLGTVDIAIKGRKSEDGGIFLRLRITDDDGRVRNIYFPFDVEADTALSVATEMIGELDITDHEVTRIAEMIDGELSALVPDWMAGPGIEEAPDAAYCHNCGSNVSSCGSLFDYVSSASRGCRCAELHGRFEEITFQAADEEQSGLHDSGASSDDGGTQKEQHVKDKEPIRLNGFPKMGRRGLSDRLCFSSFQERSCPTENYESDADHQLKGFDIKHEVKMAKYKARKMAHLKRAIHPSLDFDNTANGASRTKATLSKLESFHVGKHHNFRVPTCQRSPGTGSTDQHSGMSNQACPSPGAQRALRTESSPDCMFTARNYYTGAQLPPNLPRTKSVPLSAVDA >SECCE3Rv1G0177820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:483439590:483450356:1 gene:SECCE3Rv1G0177820 transcript:SECCE3Rv1G0177820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGASAGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNSTAPLTHIDEKLCYQILHEYKIHNAEVLFREDSTVDDLIDVIEGNRKYIKCVYVYNKIDVVGIDDVDNLARQPNSLVISCNLQLNLDRLLARMWEEMGLVRVYTKPQGQQPDFGDPVVLSTDRGGCTVEDFCNHIHRSLLKDVKYVLVWGTSARHYPQHCGLGHGLEDEDVVQIVKKKEKEEGGRGRFKSHTNAPDRISDRVKKAPLKT >SECCE5Rv1G0352920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:712597439:712600096:1 gene:SECCE5Rv1G0352920 transcript:SECCE5Rv1G0352920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRLHGTIQATIVGADNVHDQSRITGIVPGFFGNLAQGLQEATGFGKGLPRMYATVELDKARIARTRTVTAPAAGNPRWNEAVRAYCAHHATDVVFSVMVEQLYTYDDTLLGRAYLPVRELLAARPGDNLERRLDVLDAEKKGLHGGPKIYVLINFRDADREGISFGGGVVGAAFTGVPNTFFSQREACRVTLYQDAHVSDKFTPTIPLAGGGLYKPGRCWEDLFDAISNARHLVYVTGWSVFTEITLVREGQRPDGEATLGELLKRKAREGVRVLMLVWNDPSSCQLLNALGVPILMNTSDEKTAKYFRNSGVHCVLCERTMSVVSQIGQSETPLAMSNLLLAHHQKTITVDQELPHASGRRQIISFLGGIDVCDGRYDTQSHSLFGTLGAGQAHAKDFHQPNFPGASLHNGGPRGPWHDVHCKIEGPAAWDVLHNFEQRWRKQGSDNGLLIDLVALESTVVSSSSPVILPDDQEAWSVQVFRSIDDNSTLGFPATKGDTHVAGLVPGKHQMIERSIQDAYIRAIRAAKSFIYIENQYFIGSSFQWKANGGKNPADVGALNQIPRELSLKIVRKIEAGERFTVYVVLPMWPEGAPTDDSVQAILDWQRRTMEMMYDDIAVALQKMKMDDADPREYLTFFCLGNREVKKSGEYQPAGRPQDGTDYARAQKARRFMIYVHAKMMIVDDEYLIMGSANLNERSMDGARDTEIAMGAYQPHRLNTKEEVARGQVHGFRMSLWYEHLGGITHDDFLNPGSLACVQRVNQTADEYWSLYVADQLNDDLPGHLLTYPIAVNKAGTVSALKGFEVFPDTQAPVRGKQSDTLGPLFTT >SECCE4Rv1G0293520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885966939:885967580:-1 gene:SECCE4Rv1G0293520 transcript:SECCE4Rv1G0293520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDCPADCGDGDCDCLCCCLCDDRSRGSGHTPHRCLLILLLLLLLVAILVAAYAFVLPVRIAVEDASLARLALAGPNGNALAYDISLAVAVHNRNWAMHARVGAPLDAELLFAGERFASVRMRGSSRGNIRPWKTEVYNVAASGESAAPLGSAGVAEFMKESAAGGAFRLQLKLAGKVKYPPHGNAHKLETTCPLELPLSSPARFKKIKCV >SECCE5Rv1G0331630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:540345479:540349296:1 gene:SECCE5Rv1G0331630 transcript:SECCE5Rv1G0331630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAATVAAAANGGGDVQKQQQPQQQVGAAPLPAVAALAPPPHWVAMPFAPPGAAAMVVPHQMAPAPPHQFAPHFVPFHAVAPPPPPLQPRPAHVAMGSPAPAAQPGQEENKTIWVGDLHYWMDENYLHTCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSRAAAEKVLDGFAGHIMPNTDQPFRINWASFSMGDRRSDIASDHSIFVGDLASDVNDTALLETFSSRYSSVKGAKVVIDANTGRSKGYGFVRFGDDSEKTNAMTEMNGVYCSTRPMRIGPATPRKSSGTSGSTGSSARSDGDLTNTTVFVGGLDPNVSEDDLKQTFSQYGEISSVKIPVGKQCGFVQFLQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQLRSDNGNQWNNGMYYAPSPFYNGYGYPAPPFPDPGMYAAAYGAYPVYGNQQQVS >SECCE7Rv1G0460650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:32723461:32724916:1 gene:SECCE7Rv1G0460650 transcript:SECCE7Rv1G0460650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSNMFIQWAMETLEQEQDVGAAAGHVFPSSLLEELDNSAALQNGTHMAAHLHGATDSWSSGDSGAAVAVENDGWSSNCSTNYPAASWNFTSAIPSIIEATPSPPAVPSHVVPELAHRSPPSRKSSGASTGTVPTVQEHVMAERKRREKINRRFIELSTVIPGLKKMDKATILSDAVRYVKEQQEKLKALQDRDVRSIDSVVLVKRPCISNDYDGCPSPPPSAAAGGGPPTTSIPLPEIEARISESNVMVRIHCQDGKGVLVTLLAEVEGLHLSITHTNVVSFPASTLIINLMAKANEGFTVTADDVVWKLDSALRRHHSGK >SECCE5Rv1G0377000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:875160401:875161467:1 gene:SECCE5Rv1G0377000 transcript:SECCE5Rv1G0377000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDKYALLGVSSDCSDAELRSAYRKLAMKWHPDKCGGSEAAKARFQKIQAAYAVLSDPNKRILYDVGAYDSDGDDEGAGEILGDILEAMNHTPPHGEDGEGESLEDLHKQFEELFLKPDAYAYNSSSKSSSSSSSSKISAGKK >SECCEUnv1G0546810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:163172447:163173813:-1 gene:SECCEUnv1G0546810 transcript:SECCEUnv1G0546810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVLALLALLVTSASAAGGCAADASLSSSNGNAYTACSDLPRLGATVHWTYDRATGSLSIAFVAAPAAPGGWVAWGLNPYGEGMAGAQALLAAPSSSSGAWAVRTYNISGYALGAPGPIAFPATGLAAELGADGRVKVSGTLSLGQGAAVLNQVWQVGSAVSGDGTPAPHAMGGDNLAAKAKLDLVRQTTTSSDSGGSGIARERNIHGLLNAVSWGLLLPMGAIFARYLKTFRSADPAWFYLHVTCQIIGYAVGVAGWATGINLGNGSNGVTYGLHRSIGIAVFALATVQIFALFLRPRKDHKFRVYWNAYHHAVGYAVIVLGIINIFKGMAILGVEQRWRTAYVAAVWVLGAAAVTLEAVTWSVVIRRREAEQHGKTSNGHMSHGV >SECCE4Rv1G0291650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:877250912:877254635:1 gene:SECCE4Rv1G0291650 transcript:SECCE4Rv1G0291650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALPWLIFAATLLLLASIKSSTASRMAKPGCQETCGNLTIPYPFGIGEDCFREGFDVSCENNRVYRHNSSSRMEIYNMSLLGGQARVNTFIASKCFYNSSNNTNGWASLNTGKFFTVSSKANKLTAVGCNTLAFLGGYNKHSVGAGCFSMCTDNQSVDRSGQCSGMGCCQTTIAPNLSSSNITFDDRFDNSEVRGFNPCSYAFVAEQDWFRFEASYLEDYKFIEKFKDGVPTVFDWVAGYEYCHEAAKNKSAYACISKNSQCIISPNATGYLCTCNNGFAGNPYLEEGCQDINECNSTDQYPCHGICSNIIGDYRCSCKSGTWSADPKTKTCDPVAVSEKARLTKMFAGISACAVFLLICIFALLIECQKRKLVKEKKIFFQQNGGLVLYEQIRSKQVDTVRIFTREELENATNNFDSSRELGRGGHGTVYKGNLKDGRVVAIKRSKVMNMDQKDEFAQEMVILSQINHRNVVKLLGCCLEVEVPMLVYECIPNGTLFELMHGKNRRFPVSLDTRLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYTAKVTDFGASRMLPTDEIQFMTMVQGTIGYLDPEYLQERQLTEKSDVYSFGVVLLELITMKFAIYCDSAGEKKNLASSFLLAMKENGLQFILDKNILDFETELLQEIAQLVKCCLSMRGEERPLMREVAEKLRSIRSTWREQLIQNPSRETECLLENSSHYDLSSTGQHGSLMALDLETGR >SECCE7Rv1G0509570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:782494002:782494746:1 gene:SECCE7Rv1G0509570 transcript:SECCE7Rv1G0509570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLLRVLAVVALVSGHVEAFDPNPLQDFCVADPTSKVHENGVVCKDPATVVAEDFLFGGLDKPGGKTSKRFGFTAQQVQIPGLNTLGESHVRLDVVPGGVFPVHYHPRAAETALVLEGSVYFGFVSSYPDNKLYAKVLRKGDVFAVPQGLVHFLYNNGTAPATLYASLSSQNPGLVLLGSSLFAGALPDDLLAKTLLTDQHTVQTIKANFRRP >SECCE7Rv1G0490850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:510829236:510832412:1 gene:SECCE7Rv1G0490850 transcript:SECCE7Rv1G0490850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDDDRVTVSKHHHRDKDKDRDHSSSRRHRDKDRPSSRHHREDRDGERERDRDRDRHQRDKERDREERKARERAEKEREKEEKRDREKEKEREREREVEKERERARRREERDREKEKSRRREAVDEEESEDRDRDRKRRRRSLHGHHHRDVEPEETPLTREEEDAEEVERRRQKEEDMEAEQQRLDDEMERRRRRVKEWQEKKRREQQQEDGASGGAAAIEADGGGKSGKKWTLDGEESDDEDVKKLEENVGTGAMDVDLPNMDNGSNSAAGLEEDEIDPLDAFMDSMVLPVVAKLESATTATESMLADNAGVLNDKSVKDATSNEDKKRQMMAVGRIMQGDDSDSDYDDANNGEAGSDDEDDAEFIKRVKKTKAEKLVIVDHSKIDYQPFRKNFYIEVKDIKNMPAEEAAAYRKLLELKVHGKDVPKPIKTWIQSGLTSKLLDTIKKLGFEKPMPIQAQALPVIMSGRDCIGVAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIYSDIKKFSKVLGINCVPIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVLDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQMGGRSVVNKDITQLVEVRPESERFFRLLELLGEWFANGKILVFVQSQDKCDSLLKELFQHGYPCLSLHGGKDQNDRESTLADFKSNVCSLLIATSIAARGLDVKDLELVVNYDVTNHYEDYVHRVGRTGRAGRKGCAVTFISEEEERYAPDLVKALELSEQAVPEDLKALADRFMSKVKQGTEQAHGTGYGGSGFKFNEEEEEARKSAKRAQAREYGYEEDKSDSDSDDEGGVRKQGGDVAAQAIAAAQAAAALAAARATSNAQQQVPATTAGSLLPLPVAPNQQNNEATQRALDAAYNLQKNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLVPIQEWTGAAITTRGTFIPQGKIVGANERKLYLFIEGPNESSVKKAKAELKRVLEDCANQALNLPGSAQTGKYSVL >SECCE6Rv1G0439000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:793710856:793712604:1 gene:SECCE6Rv1G0439000 transcript:SECCE6Rv1G0439000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTSNAEVMSMDLSPKMPAKAYGSDGGAYYDWSPADLPMLGAASIGAAKLHLSAGGLALPSYSDSAKVAYVLQGAGACGLVLPEATSEKVIPVKEGDTLALPFGAVTWWHNAEGSSAELVVLFLGDTSKGHTPGRFTNFQLTGAAGIFTGFSTEFVARAWDLDQDAAAKIVSTQPGSGVVKIAAGHRMPEPRAEDRQGVVLNCLDAPLDVDIPGGGRVFVLNTANLPLVKDVGLGSDLVRIDGKSMCSPGFSCDSAYQVTYIVRGGGRVQVVGIDGTRVLETRAEAGCLFIVPRFFVVSKIADDTGMEWFSIITTPNPIFSHLAGRTSVWKAISPEVLETAFNTTPEMEKLFRSKRLDSEIFFAPN >SECCE1Rv1G0002650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9927893:9931253:-1 gene:SECCE1Rv1G0002650 transcript:SECCE1Rv1G0002650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWQENTSPCNWTGIMCAAVRHGRRMPWVVTSISLPDAGIHGQLGELNFSALPFLEYIDIHNNSLHGALPASITDLSALSELDLHYNQLIGKIPYEIGGLQSLTWLDLSFNRLTGHVPASLGNLAMLTYLLIHQNMVSGPIPEEIGRLVNLQILQLSNNTLSGMIPKILGNLTQLDTLYLFGNQLSGPIPQELGRLVHLQSLQLYSNDLSGPIPISITNLTKMNQLFLFENQITGSIPPELGNLSMLNELLLNKNQIIGPIPLELGILLNLQFLDLSNNKISGSIPDSLGNITKLVVLSLTKNQITGSIPEKIGNLMNLQDLDLSDNQISGSIPGSLGKITKLVELILHENQITGFIPQEIGNLMNLESLGLNLNQISGSIPNTFGKLQSMTNMQIYDNKLSGPLPLEFGDLINLVALGLSNNSLSGPLPANICSGGKLQYLSVFSNKFNGPIPGSLKTCTSLVRLRLESNQLTGDMSQHFGVYPQLVQMRLASNRLSGQISPKLGACTHLMVLHLGQNMITGSIPPTLSKLSKLEELTLAYNHLSGEIPPEIWTLTNLYNLNLSSNQLSGSIPTQIEKLNNLGYLDLSGNRLSGLIPQELGACMKLQSLKINNNNFSGNLSGAIGNLASLQIMLDVSKNNLSGVLPQQLGKLEMLEFMNLSHNQFNGSIPSSFVSMVSLSTLDVSYNDLEGPVPTTRLLQNASSSWFLPNKGLCGNLSGLPPCYSTPMSHHKRKILGLLLPIVLVVGFSIVAAIAIIIMISRNKRKPQESVIAEGRELFSVWNFDGRLAFDDIVRATEDFDDKYIIGTGGYGKVYKAQLQDGQLVAVKKLHQTEEDDDRRFLGEMEILSQIRQRNIVKMYGFCSHPAYKFLVYDYIQQGSLHKTLENEELAKELDWLKRIAAINDVAQAISYLHHECSPPIIHRDITSNNILLDATFKAFVSDFGTARILKPDSSNWSALAGTYGYIAPELLYTSVVTEKCDVYSFGVVVLELVMGKHPRDLLDGSLSSGEQAMLVKDIIDQRPTTPTTTEENSLTLLIELAFSCLESSPQARPTMREAHQTLIQRPSSSSCPMPFSALTLQQGSDAC >SECCE7Rv1G0470150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:116808336:116811956:1 gene:SECCE7Rv1G0470150 transcript:SECCE7Rv1G0470150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGGGGSDGVSPGNVPVCYYGAGGRVSATLERRVRAAEVLLRCAACGLAVLAAALLGADRQSRTFFSIQKVARYTDMQSLVILVIASGMVACYSLLQGARCLVSIIRGGILLNRPLAWAIFSCDQVMAYVIIGAVAVAMEAALLGKTGEVEFQWMKTCELYQRFCTQAGGGVACAVAASATMVGIALVSAFNLFRLYGHGKGGSK >SECCE6Rv1G0405700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:534194575:534196524:-1 gene:SECCE6Rv1G0405700 transcript:SECCE6Rv1G0405700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLLLLLLVPPVGLLAALAFLTRPRAARIPLKGRHVFITGGSSGIGLALATAAAREGARVSILARNAARLEDARAAIKLATGQDVGVHQADVRDAAAVVRALDEAGPVDVLVCNHGVFVAQELEKQDMAEVKWMVDINLMGTFHLIKAALPAMKARTRETSLPASIAIMSSQAGQVGVYGYTAYSASKFALTGLAESLQHEVVSDDIHVSLIFPPDTETPGLVEEKKKRPELTNIIADSSGGMKADDVAMKALNGIKSGRFIVPCNFEGAMLAIATCGLTPQSSPLIAFVEVIGAGLMRFVALCFQWNWFSTIENWCAKNKKHG >SECCEUnv1G0532830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:24153649:24154005:-1 gene:SECCEUnv1G0532830 transcript:SECCEUnv1G0532830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDSSTALRSSIQPHPSSICSCTMPPKLIVINAVDTTPHHLATSTPLPLLEVLGVHRPSHLSAEQQVLPRRRLHGGYDAHDAAAAQSRLNFGLSSGRGSGVDRKDLGFASTEGNNVK >SECCE1Rv1G0035380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:498017119:498017549:-1 gene:SECCE1Rv1G0035380 transcript:SECCE1Rv1G0035380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHASLAALGVLVVLLLHASTGTVARSSSAFSASKVYGMPAVMSVNGFERGEDGGPPAECDGKYHSDDDMLAALSTEWYQGGVRCFRAIRCDTARSCKDNIVDTSRAVWAALGLDTNVGEVAVTWSDS >SECCE1Rv1G0032350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:454863547:454908898:1 gene:SECCE1Rv1G0032350 transcript:SECCE1Rv1G0032350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAFLLQKYLGNYVRGLSKEALKISVWRGDVELTNMQLKPEALNSLKLPVKVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATDVEGCSEDAVQEIKRTRVREMEIKLLESQQQLNSELNSSWLGSFISTVIGNIKLSIGNIHIRYEDIESNPGHPFAAGLVLSKLSAVTVDDHGKETFATGGDLERVKKSVELESLALYFDSDSSPWSVDKPWEDLLPSEWSQVFEFRKQDSSSTASKAHTYILRPISGKAKYTKVHIDEAKRSGQALQNAAVDLDDVTLSLSKDGYRDILKMADNFSSFNQRLRYAHYRPSLPVKSDAKSWWKYAYKVVTHEMKKASGSLSWEQLLRNARLRKTYVSLYASLLKSDMSRPVVEDNEEINNLDRELDMEVILQWRMLAHKFVEQSAERQHAQQNKKQSWWSFGWTGSSKDDGDSKSFSDEDWERLNRIIGYKENTEYIPAQQDMKLMQFYFEIRMKHNASRLTIDGSECLADLSCEDFRCNLKMYPEAKVFDLKLGSYKLLSPYGLLAESASVVDSLVGVFSYKPFDEQLDWSFTARASPCYITYLKDSIDQIVAFFKSSPTVSQTLALETAAAVQMTLDEVKRTAQQQMTRVLKDQSRFSLNLDIAAPKITVPTKFRPDDVHETKLLLDLGNLILRTEEIWDSYSSEEKDMYLNFNLVLNDVSAFLVDGDYHWNDTSKEVNLLPVIDKCGIVLKLQQIQVESSLFPSTRLAVRVPSLGFHFSPARYHRLMEILKIFQDTDSENNSSDLAHLWDQADFEGWSSLLTWKGVGNREAVWQRRYLRLVGPFLYVFENPESTTYKQWSSLSGKQVHQVPTELTNGVQNIVALHDSGQVNPKERCNTGALILLFDSEETRKIWQNRLQGAIYRASGSATVSNFPEAAFTSEARSFKGSLPDVVNIEKLFLAGILDELKICFSCGYESNHRLKKVLLAKESSLFEFRAVGGQVELSMKGGNLLIGTILRSLEIEDQYFYPGSPVPRYLARSFINSMQTKEIPTPARKNSSETKGTPLKKSDSEERFFEASDDFDEFGTPMLKERSISDYFSSQDVLPTGLPSLQPPAFSRIPGLLPDSEIKMVGFTSEGSGTSDSFVKAQIVIYDQQSLQYNNLDTRVVVSVATLTFFCHRPTVLAIMEFMNAINLANDPDSDKDRNTDDIKSDNMVEEPKSDLESEPVIKRLLSKGKSRVVFHLTSSMAEAQVLLMNENGGLLTTLSQNNLSTDIKVFTSSFSIKAALGNLKISDDSLRSNHPYFWVCDMRNPGGSFVEIDFCSYTVGDEDYCGYDYSLVGKLSEVRIVYLNRFVQELTGYFMGLVPKSSDGVVKLKDNVTNSEKWVSKTDMEGSPALKLDVSFSRPIIVMPHDTNSHDFLELDVLYITIQNEFQWIGGDKNEMGAVHLEILTVTVKDINLTIGMDMVRGETIIQDVKGLSVEIHRSLRDLMHQLPVVEAAIKVDVLKAALSNREYEVISECAASNFAEAPHIVPALDGPRDGTSTSESHVSASSVSSESIQDLSQDTETWIANKFSVSINLVELSLHSGSTRDSPLASVQASGAWLLYKSNTREETFLFATLKGFSVFDDREGTKDELRLAIGKSATVRDTSSADGYDNPNELDSGERRIQKDLGLEPIPSMLIFDAILRKSSSSVSVCVQRPKFLVALDFLLAIVEFFVPSARSLLSNDEDKDLLHMISPVVFTDKVYYQEDSTFSLSPQKPLIVDNEKFEHFIYDGNGGKLYLRDREGEILSSPSAESFIHVLGAKTLQFRNVKIVNGEYLDSCVSLGSDCWYSASEDDHVYLVRENAPEKEGLQSILNEEIPEGIAENESSDRSTEFIIELQAIGPELTFYSTSRNAGENVALSTKVIHARTDAFCRLVMKGDSMDMSGHILGLKMESNGIRVIEPFDMSMKYSNASGKTNLHLLVSEIYMNFSFSILRLFLAVQEEISAFLRMSSKKMSMMCSQFDKVTTMQGNVKDEVYSFWRPRAPSGYAIFGDFLTPMNDPPTKGVLALNTNVARVKRPLSYKLIWQSGSAANELHHDNKDTKSSLSVIDQLCSVWLPVAPAGYVALGCVVSAGTAEPPLSAVFCLTASLISSCGLRDCIALRGNTNTSFWRVDNAFGTFLPGDPANVSVHPNAYDLRHMLFNSADSSSKNSSKEKDTRNDDASQIERSALTSGRLFEAVASFKLVWSNDGMSAPKKLSVWRPMMSEGMFYFGDIAVNGYEPPNSAVVLRDTGEDTFLRAPESYKIVGQIKKHRGRDGISFYYPQAPPGFVALGCVASKGPPTKEDFSMLRCIRSDMVTGGQFSEESVWDSSGSKTSENFSLWTVDEDAGTFLVRSEFRKPPRRLALKLAGPPISSSSDNIIIDAVIKTFSAVSFDDYGGMMVPLFGISFDGVGFSYHGGPHHLNATVSLSFVARSYNDKCNSWEPFIEPTDGFLRYQYDVNTPGSPAQLRITSTRDLNLNVSASNTNMLSQAYLSWSNITLGDELYRKETSSPTQGSILDVHQRRNYYVIPQNKLGQDIYVRTTEYRSSDITLLPSGDDRSIKVPASRDLLDSHLKGKSARLYRLMITAIIADAEINAGKELATGEYMTAVRLYSEGSSISGVQQQSARTCAAAGESSSQITRKVTWNEMFFFKVDSEENYVLELVVLDAGKGQPVGIYSAPLKQVVQKLPSASSSDYAKFELTLGDLMTTKVVEHEILKPSGKIRFAVLVSGRATAKQGSRASPNRSRSGYIQISPSKDGPWTNMKLNYAVPAACWRFGDCVIASEATVKEGNRYIGIRSLVSITNTTDFAIDLRLKGRDSQSAGSDDQLENSDKDDQIEVGVLEPGSTVPVPLSGLSHPGMLYTLQLRPTNHHELVQHSWSDVQERRSQTEFRNEQVLDICVSDLYESENLLFCSQIDGSSSTCQGLWFCLSIEAKEIGKDVRTDPIYDWSIIIKSPLSLTYYLPIPAHYTVSASHLDEEETSCSRGELNPGEVVKVQNVDPRNPLYLSLVPHGGWESVHEPVPISHPTEVPSKFINLRSSLSGRVVQIMLEQSSDKDYLMARVIRIYVQYWISFARLPPLTLQFIDITGRRDKRRYLARPRAEKSDKLLYGIGHEELVDGYTIASGLNFKGLGLSACVSRNGQQLGALKELSPLADMDGTVDLSAHDNDGKCTHVFLCSKLCSYQAVPTKVIYVRPYTTFTNRVGQDMFIKLSAGDDPKVLHAYDRRVSFLYSEAGPDKLQVRLEDTDWCQPLDIVKEDTIVIAMRKQDRTQKFVKAEIRGYEEGSRFLVVFRLEPTDGPIRIENRTSNATIGTRQSGLGEDTWIQVKPLSTRKYSWDDPYGQKAVDVSIQKGDVTSFQYVDLENPVASSTSFGEHGVKFNIVETADVTILKFTDYHRRQEGSPESELVASTLTQNETETGAGPLELIVELGVVGVSLIDHKPRELLYLNLQKVFISYMTGYDSGTTSRFKLIIGQLQLDNQLPLSIMPVVLATESMPDSNHPVFKANIAVSNVTSNGIQVYPHVYIRVTDQTWRLNIHEPIVWALVDFYNNLRFTGTSSSSTVTEVDPEIRIELVDISEIRLKISLETAPTQRPRGVLGIWSPVLSAVGNALKIQVHLRKVMHKSRFMRKSAIVPAIVNRIKRDLIHNPLHLIFSVDFLGVTKSTLSSLSKGFAELSTDGQFLQLRSKQGWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGVIGIAHGLGRAFVGCIVQPLSGALDFFSLTVDGISASFIKCVNILNNKFVPQRIRDPRAIHRDGVIREYDSLEASGQMALYLAEASRYFACTDLFREPSKYAWSDYYEDHFVVPNQRIALVTNKRVILLQCLDLDKMDRKPSKILWDVPWEEVLALELAKAGYQRPSHVIIHLKNFRRSENFVRLIKCSVDEEREAQAVLLCSSIRKMWRSHQTGTKVVPLKVPSGQRPVYFASDDDRRESQSPARPLLSSRGASSNVEHRLINHTVNFQKMWSSEQEVRSRCKLLGKQVADDGRIFSIWRPLCPSGYVSIGDVAHVGIHPPHFAAIYKNVNDNFVLPLGYDLVWRNCAEDYRSPVSLWQPRPPEGYVALGCVAVSAFEEPPLDCAFCVNERFAEDAVFEDQIVWASSDAYPWGCYIYQVQSSSLQFMALRLPKEQSEQKPKKILETYLQRASD >SECCE2Rv1G0064770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:5015513:5020919:-1 gene:SECCE2Rv1G0064770 transcript:SECCE2Rv1G0064770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGKGYKAPALRLEKLVALGLDQRTAENTLVNSKVTANLAAVIAEAGIGGCDKSVGNLLYAVATKYPNNALVHRPALIDYIVSMKIKNPAQLDAALSFLTNVGPDPLDTGKFEEACGVGVVVSIEEIHSTVTKVLHENMEAILDQRYHINVGNICGQVRKRHPWGDAKATKEEIEKRLAEILGPKTEADNVKPVKKKKEKAAKAEEKKVAVATAAPPSEDLNPYSHFPEPAENNKVHTEIFFSNGDIWRAHNTKEILEKHLMATGGKVTTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGNCYLRFDDTNPEAEKKEYIDHIQEIVHWLGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEQIRKDREANTDSPWRDRPVEESLQLFEDMRRGLIAEGAATLRMKQDMQNDTRNMYDLIAYRIKFTPHPHTGDKWCIYPSYDYAHCMVDSFENITHSLCTLEFDVRRPSYYWVLVALGLYQPYVWEYSRLNISHNIMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSAAAINSFIRGMGITRSDNSLIPVDRLEYHIREELNKTASRAMVVLHPLKVVLTNLEDGKVIDLDGKKWPDAPADEASSYYKVPFSRTVYIEKTDFRLEDSKDYYGLAPGKSALLRYAFPIKCTEVIHGDNPNEIVEIRAEYDPSKTSKPKGVLHWVAEPAPGVEPLKVEIRLFEKLFLSENPAGLEDKESEEKWLGDLNPHSKEVVKGAYAVPSLAAAVVGDKFQFERLGYFAVDTDSTPEKLVFNRTVTLRESYGKAGGPK >SECCE2Rv1G0122890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:819792088:819792378:1 gene:SECCE2Rv1G0122890 transcript:SECCE2Rv1G0122890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVACAFFFDAEPAGEPGKHALDACALCAKRLARDSDVFMYRGDTPFCSEECRDEQMHLDAVCARQAAQRQQRFSSGTEARRGHQESRKVSVAS >SECCE1Rv1G0003170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:12566387:12567722:1 gene:SECCE1Rv1G0003170 transcript:SECCE1Rv1G0003170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLATVREIRRSQRAEGAAAVLAIGTANPANCVSQEEYPDYYFRVTKSQHLTDLKQKFKAMCQMTPTDKRYFHHTEELLDAHPDFLSRVKPSLDARLAIAAVAAPELAASAAAKAIAEWGRPATDITHLVVSTNSGAHSPGADLRLASLLGLRASVRQTMLYLNGCSAGAASLRLSKDLAENNRGARVLVVCVELTIISFRGPEEADAHPHTLISQAFFGDGAGAVIVGADVVHPERPIFEMVSASQTMIPGTDRVLTMQLTEAGLDGHIFTKELVPIAAQHIDQCLMDAFQPLGVLSDVTTLWNDLFFVVHPGIRGILDHIKGALHLESGKLAASRTVLREYGNMLGATVIFVLDEQRRRMEEDRGVRGEWGVMMGFGPGFTIETMVLHAVAGNPHNKN >SECCE7Rv1G0476880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:212545725:212546099:1 gene:SECCE7Rv1G0476880 transcript:SECCE7Rv1G0476880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGARGKEFGSMEEFWGFYLGQHSKPATRRWHFAGTLVSLVCALLAAATGRAALLAACPVLGYGMAWYSHFFVEGNRPATFGHPVWSLLCDYRMFALILTGRIDAELARLRIHPPRPRPASD >SECCE4Rv1G0223900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:75314926:75315192:-1 gene:SECCE4Rv1G0223900 transcript:SECCE4Rv1G0223900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFVSSRSVATTCLLALLLAGCLAVAMPAADARRLLVEAMPPAASPGFAPSPASGGHHAGRSLFEGRGLLAGGLRLAGRLLIGVEL >SECCE6Rv1G0437270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:783003839:783013483:-1 gene:SECCE6Rv1G0437270 transcript:SECCE6Rv1G0437270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAISIGKSVLSGALNYAQSAVAEEVALQLGVQRDHSFITRELEMMRGFLMAAHDERDDNMVVKIWVKQVRDVSYAVEDCLLDFAIRLEKQSWWCLSRKVLARRYVANQMKDLRAEVEEVSQRNQRYHLIKGSSSKPTSAIGRPFISSATMSAADDARLRRQKAKLDLVELINCKDSALQVIALWGTSISELGEISIIKTAYEDPMIHKKFDCCAWITLMGPFSQTDFIRSIARQICVNSLQETGEEGKTTIGAQVLKMMATTEEDGLACDFKRYLNDKSYLIVLNDIHNIEQWDCIKTCFPNNNKGSRIIVCTKQIEVACLCIGAEDEALVHKKLFSDQSLYAFYTKVSQEGRNSTEERSIPFVVSAGVNSSTHKNILTRTETMATLEESRLIGRGNEKEEIIKLISSKYLQQFRVISLWGMGGIGKTTLVRDIYQSQEISSMFDKRACVTVMRPFNSEALLESLLMQFRDKNEDKNETDLRRCLQGKKYLLVLDDLWSIGEWDAIKQYLPETAACCIIVTTRQENIAKHCSKDERNIYKLKHLGPDDAWALFTKKVFRETANLDEQYPELVEQAKLILKKCRGLPLAIVTIGGFLASQPKTAFEWRKLNEHISAELEMNPELETIKAVLMKSYDGLPYYLKACFLYLAIFPEDQQIARRRLVCRWIAEGYSNEVRGKSVEEVLDCYFMELISRSMILPSQQSIHSRKGIDSCHVHDLIREIAISKSMEENLVFTLEEGCSLNNQGTVRHLAVSSNWKGDQCEFENIVDLSRIRSLTVFGNWRPFYISDKMRLLRVLDLEGKWDLVDHHLQHIGKLAHLIYLSLRGHAGIFHLPDSLGNLRELQTVDICGTSITRLPRTIAKLVKMQHILASNKGGSDYQNADMPSRLISLPVGSALCCVACCVPNLLEDVFKLAQVNRCDVCTVFCGNILPFLAAGRNQGGVAVPRGIWKMKALHTLLTVDVSVGKYVLKDIKKLTRLRKLGLTGINKRNCQKLCSAIARLSSLESLSLHSNGEPGLSGCLDGLSSPPENLQSLKLVGNLVKLPEWIQGLKSLVKLKLDGSRILEHDASIQVLGNLPNLAILRLLGYSFVGEEVRFSFGREAFPSLKVLQLEWIENLELVGFEEGAAPKLELLQYWGRRNPSVGLFSGLAYLPSIKEFMLHRSDWQETEFVGYLRGQLAENENKPVLKSWQ >SECCE5Rv1G0357610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:748251089:748255010:1 gene:SECCE5Rv1G0357610 transcript:SECCE5Rv1G0357610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSSSSSSWGPSPAAVMALVALLGLGVAAYIVGPPLYWHVAEALGRSAGACPACACDCDALPLLQLPEDCAKQFKEVKSGASSEETELILEELKQREEEATEAQQQADVKLLEAKKLASQYQKEADKCSSGMDTCEEAREKSAESLLGQRKLTALWEERARELGWKPANVKPHRNQ >SECCE5Rv1G0346250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660498542:660498952:-1 gene:SECCE5Rv1G0346250 transcript:SECCE5Rv1G0346250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKWQRKVAAGAGGQQANECCSTVADKGHCVVYSADGARFEVPLAYLGTTVFVELLRMAGEEFGFASSEGGRITLPCDATVIEYVLCLVRRDASEEVERAFLSSIAGHCHGQDALMGLTHQFALCT >SECCE7Rv1G0505580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:738146970:738148087:-1 gene:SECCE7Rv1G0505580 transcript:SECCE7Rv1G0505580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPRPFGSGVASAAAAEVSVGAGCRGGWAWRPRPRARPGQRLRVRGEPGPHAAAAASAVHAEPHHGVRFRPFGLPRAGFGSDLEAGIEKVIYACRFMAFLGIAGSLAGSVLCFLKGCTFVMDAFVEYYLRGDGKVVLMLIEAIDMYLIGTVMFVFGTGLYELFISNMDIAKQSHDRSSLFGLFKLPERPKWLEIRSVSDLKTKLGHVIVLVLLVGISEKSKRVTITSCTDLFCFAGSIFLSSGCLYLLSKLGSTKGGSHA >SECCE7Rv1G0513310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:820782419:820789964:-1 gene:SECCE7Rv1G0513310 transcript:SECCE7Rv1G0513310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMTSNLFQGGTTTSRFSQINKFRSPEKRCSLSLPSTSLPSVAYGQDSLVQNVLERSYRPMLYVPCRYRASGAKSFALPLSWQEIPLVKSTSSALARSCDSLLANPATALVAPAVGIIAFALWGFLPLVKDIRNRIDHGGNWKQSPTYLISRSFLQPLLLWTGATLICRGLDPVVLRSSASQAVKTRLVTFVRSLSTVLAIAYVLTSLIQQVHKFLVDVRNPNDTKKMGLDFTVKAVYTGIWIASVSLFMELLGVNTKKWITAGGFGTVLLTLAGREILTNFISSVMLNASRPFVVNEWITAKIDGVEITGVVERVGMWSPTVIRGDDKEAIYIPNHKFTVSIVRNNSRRSHWRIKTYLAISHMDAGKISVIVADMRKVLAKNHHIEQQRLHRRVFFEKIDETTQALMIFISCFVKTSHVEEFLNVQEDVMLDFLRIIGHHRARLATQTRTVQKSYGNADIDNIPFGEEIYNRVRGRPLLIDTSAKVSEGKSKSRSTSREDQRVKTSASAETRSGSPDSASVSNSDKKEQRKTGSEDGPMKNGKNDHVMSTTPSLAGKGEPRGSEATERQGDGSVSLPNPKKESRPALGDNIVLGVALEGSKRTLPIEEGTDPHASESEQDTFEVGSLPKDKKGQSHMP >SECCE6Rv1G0400660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:456012138:456012701:-1 gene:SECCE6Rv1G0400660 transcript:SECCE6Rv1G0400660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARRQRGFRLGRKLLGLWRWALCNRRRRRGGGYARLQRCQLGAVTKPAPAAVASAKQKQQQQLVVVPRERDEPRRMLGWGRSLARRMRLLPRRGERLLEEAGEATTPKGQVAVYVGGDEPGGESMRYVVPVVYFNHPLFGELLREAEEEFGFEHPGGITIPCAATRFERAAAMAAAGGGRKAPAWW >SECCE5Rv1G0327720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:495624167:495627066:-1 gene:SECCE5Rv1G0327720 transcript:SECCE5Rv1G0327720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVGVSSPRASVAAAAAAAAASASAGPAVLSSPRAALSRGAHQFHHRRWAPPAISPSYRAYLVALWLVGFVLVFLWQNTSMGRLRLYNRPPMPKRAPSAAASMGQWVASPPVYDLREFGGVGDGRTLNTAAFEAAVAAISERGGGRLTVPAGRWLTAPFNLTSHMTLFLAAGAEILGVQDERYWPLMSPLPSYGYGREHKGPRYGSLIHGQDLKDVIITGHNGTINGQGQSWWIKFRKKLLNHTRGPLVQLMRSSNVTISNITLRDSPFWTLHLYDCKDVTVSGTTILAPIVGAPNTDGIDPDSCENVMIENCYISVGDDGVAIKSGWDQYGIAYGRPSTNITIRNVIIRSMVSAGVSIGSEMSGGVSNVLVENVHIWSSRRGVRIKTAPGRGAYVNNIVYRNITLENVRVGIVIKTDYNEHPDERFDPKAVPVVGNISYTSIHGQRVRVPVRIQGSAEIPVRNVTFHDMSVGILDKKHHVFQCSFVQGQVIGYVFPVPCKNLDLYNERREMVKQSTLQNISDIDYSF >SECCE2Rv1G0112740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:714637452:714639151:1 gene:SECCE2Rv1G0112740 transcript:SECCE2Rv1G0112740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMALLRSLACVLILLCSSLGAANGMYRRAGGEEGEEAGSRVTGGARGGVRSGWPGYLYTRAVGRCTPQFWSSGAEQWPNIVPQEAAVSKVFGSRSMDKYGPRLTLLEATMRTDDVGSPFVKLVKHGSAALINAYTRTGFPFDSWEVKALLLEALVSEDAAAAQADRFQQANESCV >SECCE6Rv1G0414720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:635963796:635967433:-1 gene:SECCE6Rv1G0414720 transcript:SECCE6Rv1G0414720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1A [Source:Projected from Arabidopsis thaliana (AT1G53750) UniProtKB/TrEMBL;Acc:A0A178W3N8] MAPEPEDDIMAEKNPRPLDEDDIALLKTYGLGPYSTSIKTAEKEIKELAKRINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTDDAKYMINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIIFFDEVDAIGGARFDDGAGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNATGADIRSVCTEAGMYAIRARRKTVTEKDFLDSVNKVIKGYQKFSATPKYMVYN >SECCE1Rv1G0059840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:707947634:707950492:-1 gene:SECCE1Rv1G0059840 transcript:SECCE1Rv1G0059840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to PIN1-like auxin transport protein [Source: Projected from Oryza sativa (Os05g0576900)] MISWHDLYTVLTAMVPLYVAMILAYGSVRWWGVITPDQCAGINRFVAVFAVPLLSFKVISGSNLYAMDLRFAAADTLQKLLVLASLAVWSRLPVRFAGLDWSITLFSSATMPNTLIMGIPLLVAMYGRHAGDLMVQIVVLQCIIWCTLLLFLFEFRAARLLISGRFPAAAVAVVRVDPDVVSLDGSHAEAQAEVAPDGSMRVVVRRSAASVSRRSLLNGAATGMSSPPRRSNLSGVEIYSVSSSRNHTPRGSSSFTHGDFSATTGGGGGGAAPALPPQPHGVVRASSFGAADLFSLHSSRQHTPRPSASYDEHAPRGRSAAAVAPVEDPKDNVHMFEWGSGASGASEVSGLPVFRSSAKESSRRRPPSEAASINSDSSRLNRTGAAGVERVISEAAAQESLERLEAGTEATEKEQEQDGTKKDGGEVGGPPASVMLRLILTMVWRRLIRNPNTYASVVGLVWSLVEFRYHVSMPAIVAKSISILSDAGLGMAMFSLGLFMALQPKLIACGKSAAASTMAVRFLLGPAVMAVSSAAVGLRGTMLRIAVVQAALPQGIVPFVFAKEYNLHAAILCTGVIFGMLIALPIVLLYYIILGLL >SECCE6Rv1G0412060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:612436804:612439395:1 gene:SECCE6Rv1G0412060 transcript:SECCE6Rv1G0412060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAIFAAAVTVATVVVASEAKTTIEPCSGSDTCAALLGYTLYADMKVSEVAALFATDASALLAANALDFAAPGAAHRILPMGLFLRVPARCACADGVRKSVDVRYAARPADTLAAVADVVFAGLASADQIRGANGLADADADAPLDAGQRLVVPLPCVCFNSSDSNLPAVYLSYAVQVGDTVPAIAAAYQTTVTDVMNVNAMGSPVAAPGDILAIPLPACASSFPKTASDHGLLVANGTYALAAGNCVQCSCGPGNLNLYCTPASLSASCPSTQCSNSNVLLGNASTHATNAGCNVSSCSYGGFVNGTITTLLNTGLQPTCPGPHQVPLLTDPPTTVNRDYSTSLAPLSAPVPAEAGGVMPEPPPPRSSQHGGSFTLPKVSPTHGPAGSVSGAPPPPPMSKLLSGLILCMLLQRFHV >SECCE5Rv1G0320230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:383759648:383760634:-1 gene:SECCE5Rv1G0320230 transcript:SECCE5Rv1G0320230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVFSSNSKSKAGQMTGEATTTVEKKSRPKPEQKVECPRCKSGNTKFCYYNNYSMSQPRYFCKACRRYWTHGGSLRNVPIGGGCRKPKRSGTTDAQKVGVASSSEPTGVVPPSTCTGMNFANVLPTFMSAGFEIPSSLSLTTFGSSSSSNTAAVMSPGGTTSFLDVLRGGAGGLLDGSLSQNNGYYYGGPATGSGIGMLMTPPVASFDIQGPMQQHGDLVVGGNGIGATTASIFQGGTSEEADDGTGGMMGLQWQPQVGNGGGGGVVSGGVHHLGIGSNVTMSNNNINNNNGGGGDDGDGGSSRDCYWINSGGSNPWQSLLNNSSLM >SECCE3Rv1G0160000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:101492508:101502106:-1 gene:SECCE3Rv1G0160000 transcript:SECCE3Rv1G0160000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGSKREGDCPGQERKKKVRRRSTGPDSVAETIKKWKEENQKLQQENGSRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGNRLWLGSFPTAVEAARAYDDAARAMYGAKARVNFSEQSPDANSGCTLAPPLPMSNGATAASHPSDGNDESESPPSLIPNAPTAALHRSDAKDESKSAGTVAHKVKTEVSNDLRSTHEEHKTLEVSQPKGKALHKEANVQPHKLTDLCPQNRLAESSLAVEDRGQSTGHTRRSGSSSLRSEPFPTIYSEQHKSMLGDGGPISIQKEGPASRQLKVKQCPVQKLQRLPDGCHPDFDNDHLYSVNKLLEAWSKSHGSVLVDDKEHILKAILFTVVVLADARQPFLIVTTTASLSIWEVQFKKLAPHINVVVHDGREDMLKLIQAQEFNENGSHITSQVLLSHPEAILEDIEPIARIGWEAIIVDYYQHLALKNLEQLKQLCTGFRVLLVSSPIEDIPEYRNMLAFLKSGQKDNSGYVDTADALEMSKIRFAHHIAYERKADSSKFLEHWVPAYISQVQLGVYCSILLSNSSVLQCKKKADSVEALRDILLSLSKCCNHPYLRECLEASPVNNPDMTETIDIGVHASGKLILLDKMLKEISNRRLRVIVFFQSGGAGANLMGDILEGVVCHRFGPESYERVKCGAVMSSKQAAIDMFNDKTKGRFVLLIESRACLPSIKLLSVDAVIIYSSDWNPSNDLEALQRINIESQLKYVSIFRLYTPFTVEENNFVLAKQGRFIDSNIQDVMRSSSHSLLSWGAQFLFTRFDQLLQDRYASKHSERGTSSMDKVILEFLTKLPPNTEDSSKINSVYISKANMSGEFYSRSITVIGEKEGMSMLDGDPSVFWLNLLDGKSPCWSYISELTQSINRMLQNIEEPAQVADEETDEATNVSVTQQRECHVSVTQQREGHGSVDVFPNMAVDRRIDLVDDVFSFRENNILHKQQAEISNLVTHSQNKQIPRTGATQICGLSMHMQQLRNLPAQQSLATSPHPPAEAEPAGIPGMEATTCLQSMQYQPTEAEWGGILCALAAHGSQPEMQPSTSMQDVLFEKTDLSGMPLLGSMNARQSVEPSWDLHAGEEPAGTLGMVTTDKLQPEIQQSATVHDQPAEAEGTGTLGTRALQNLHPEIQSSTAMHHVPLERAHSEGETGFQPNTSPGPEQLSELLFFEEELNQLLSTSDQPLKDMLEMHEHHFNFLRKAHEQKKSQLQIERYQEIEKVNRKYYSLLQNEDPTLPHSQKGLDSYKEAYVNKSPAHDFPGEVAQSSAAQGRSERPAMVLPPKSSRAQTTASPGAPPYDHQ >SECCE6Rv1G0418460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:663108268:663109338:-1 gene:SECCE6Rv1G0418460 transcript:SECCE6Rv1G0418460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAGGKPSRSAIVADTASGHHFVTIHGYSCTKDLPTGEKISSRPFTIGGHHWRINYYPNGLNSAVADYVSLSLTLDEDAAVMVKAKHCFCLSGEEEKTQAARLALAPVVTFSSRGSCFFNWYSTFVRRENLQKSKNLQNDSFTIRCDILVVHGYRVEDAAAAFVSVPPCDLRADLGKLLETEKGADVVFDVGGKTVAAHRCVLAARSSVFAAELFGPMKEGKADNGIVRVEDMEVEVFKALLHFAYTGSLPEMHKEEEDITCQHLLVAADRFDIGRLKLICEEKLCEYIDVGTAANILALAEQHCCEGLKKACFDFLAAPENLRAVAATDGFQHLSVSCPSLMVELVAMSPVH >SECCE3Rv1G0210520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:944019332:944019922:1 gene:SECCE3Rv1G0210520 transcript:SECCE3Rv1G0210520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPSSPCSSSSDGNQHSSQAYTVWMKSLVFNGNGCAVYGPDGAVAFRVDNYGCRGGRDVLFMDRAGNALIRIRRKGFGMFRRWEVCRCAHDGGEGDEAAPWFSVRRAEKGGATVAMHGAGTSYTMDGCCGRKPEYRIRDVDGTVVAEVARKQTAAGVVLGEDVLTLTVGPEVDHQLVLGLVVVHGLMNRSL >SECCE7Rv1G0477990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:230373658:230376742:1 gene:SECCE7Rv1G0477990 transcript:SECCE7Rv1G0477990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKDAANGNGATTRPPPTPSPIRFSKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRGEALTVQKPGTQTRSFCYVADMVNGLMKLMNGDNTGPINIGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLDWEPKVVLRDGLVLMEDDFRERLAVPKKANA >SECCE6Rv1G0431460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746477959:746479971:-1 gene:SECCE6Rv1G0431460 transcript:SECCE6Rv1G0431460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSPSPSAHHPFPFPAPPNPPRAHRSRLRPRLRVRLAASRWVSRGRAPPERGAGAGNSIWVNPAAPARPGQAGQKLRRLVQIGDLDAALRLLGASPPSSSSDPPAVVACNILIKKLCAQRRLADAERVLDALKAAGAADPVSHNTLVAGYCRDGRLADAERLLAAAGLSGAANVVTYTTLINGYCRSGRLADALALIASMPVAPDTYTYNTVLMGLCGARQWGDAEALMAEMVRNHCPPNEVTFATQIRAFCQNGLLDRAVQLLDRMPRYGCTPDVVIYSTLVNGFSEQGRVYDAIELLNGMLCKPNTVCYNAALKGLCISQRWEDVGELIVEMVRKDCLPNEATFSMLTSCLCQNGLVDCAMEVLEHMHKYGCRPDVVIYNTLIYSFSEQGRVEDALKLLNSMPCTPDVITFNAALKGLCRAERWDDAEDLMGKMLREDCPLIEMTFNILIDSLCQSGRVNYAIEAFEQMPKFGCTPDIVTYSSLINGLSEQGLVESAIELFQSMPCKPDIFSYNAVLKGLCKAARWEDAGELISKMARKDCPPNEITFNILINSLCQKGLVDRAIEVFEQMPKYGSTPDIFTYNALINGFSEQGRLDDARKILSTMSCKPDAVSYNSALKGLCRAERWKEAEEVVAEMLRKKCPPNEVTFKYANRLFVPNRVT >SECCE2Rv1G0064270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:2572187:2572873:-1 gene:SECCE2Rv1G0064270 transcript:SECCE2Rv1G0064270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSTTVIQMPLSPNTNGQPMAPATPKDAGDVPTTAPATASATDKVMSSAANLAQLLPTGTVLAYQALSPSFTNHGKCFSSNQWLTAALVVVLAISCVLFSFTDSVLGRDQKLYYGIATPRGFNVFNFSDDEEKLQWTPAEFRRLRIRPLDFMRAVFTALVFLTVAFSDVGLQNCFFPGAGRNTEELFKNLPLGIAFLSSFVFMIFPTKRKGIGYSDTTPRAKLT >SECCE4Rv1G0220520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:40291073:40293173:1 gene:SECCE4Rv1G0220520 transcript:SECCE4Rv1G0220520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGRSKQPLLLALLLLGLLLLIQAPSAAPQSFIGINYGDIADNLPPPASTARLLKSTTIGKVRLYRTDPAVVAAFAGTSISLLLGAANGDIPSFASSPSAAASWVAAHLPSSSTPAVNGISVGNEVLYSGDAALISQLVPALQNIYDALPANSGIKVSTVNAMDVLASSDPPSSGAFKPELSAALDPLLAFLSKTGSPFLVNPYPYFAYQDDPRPDTLAFCLFQPNAGRPDAGSGLTYTSMFDAQVDAVRAALDAKGYKDVEVVVAETGWPHSGGADEAGASVENARAFVSNLVSHLRSMVGTPRMPGKSVDTYLFAVYDEDLKPGKASEKSFGLFQTTLTETYPTGLMRNGTAGLAPAPAPTVRPASPPPAIPQVTPVQPQPSASAAATSPPRHARSAAESPRAASALHVFACFLFMSLLV >SECCE1Rv1G0045910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:613363669:613364562:1 gene:SECCE1Rv1G0045910 transcript:SECCE1Rv1G0045910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGEVDVPLKIDGDVAAGARAVWGPPVHRVLYAAEGRSLGPGRRIAYAGNTVGLLKLAAVVPPPGDLPAADSQGQGGGKQILVLYRYTHFSAVPDGVEVCGSKKLHYLRFAVPPAASPAGSVRWAWSSLAPLIYPSGHSRELQALWPMLISSGGSNSFPKKATCVKVIADVGILRHEDYTQERMYDVSMVLRDMAEEPWPGYHVGMELRLPEPVRVHRACADKGEAADGERPAKRRKFVAERCPICFELLKRDVAVWPGCLLPHVFHGACLVHALTRSEMCPLCRRRLSAPDEQV >SECCE2Rv1G0131250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:883943028:883944571:1 gene:SECCE2Rv1G0131250 transcript:SECCE2Rv1G0131250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGGPTVAVKLFIDKAKRRVLFAESDKEFVDVLFSFLTLPLGRIVRLFDKQSQVGCLDELYKSVESLGECHFQAKACKAMLLAPLNAAAAHCHRLQVKVDDSNPVYRCKSPWCAYSLFSSVPDAVCICRNVVQYIQEWTTQNPRVVVEDGVFAISGSKFIITDDLQVSLASTRVMFSLIDQFGMPGNGNIQEKVIQLDSAKMTSLLRRALLTKQPLTGLYFDVAIEANGACPCMFPENVLAEQAAEADTDPNFKAIKVRVVQAKDDSSLLYAEVGQDFVDLAFGLLSIPLGTIMNTFSQLPRNGCMDNLSKSVTGSVKQECQSVLLFPKAAPFYGCSNNVLQVEELVRREGLVGYVYCYEMNPRSPTDTAVRTYIKGSPINFMVTNDLQITPISLTNTIQLLHASNIPKNKLGEKELTLNKSQVLKIVRAAFETREALSSVLLP >SECCE5Rv1G0319710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:378020749:378023063:1 gene:SECCE5Rv1G0319710 transcript:SECCE5Rv1G0319710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAGWYLKIAVGGAAIGAAMELFMINTGFYEKVTVLESEKRAWESSPEAQAMREALNPWHKRDEQEKK >SECCE2Rv1G0112090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:706625963:706629566:-1 gene:SECCE2Rv1G0112090 transcript:SECCE2Rv1G0112090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTLFHPLSAPIAGGRVRRCPLTLLAPTRTAPRRPMPLLVARAKRTNNSSAAPKREADEEVEVEEELPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVSFALSVVRTVRRFTSPRTQKKKRVTKNIFLLKSLDELFQKGRDAVDFPALQELMQKTGFDMDDVVRKYIRYTLNEKQFNPDVVVDLIHLRKASMLEDNEVAEILNEISRRIVREKGPIVMDLSGFTEQGFKRKLAVQTLFGKIMYLSELPEFCSRDGSLVVKEIFGVTDEDADSLRSRTLSEAGDIESLERMVDDSDFEHGTPSSS >SECCE7Rv1G0459910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:27846112:27848944:-1 gene:SECCE7Rv1G0459910 transcript:SECCE7Rv1G0459910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQTSQPPQAAAAAPDAGGGEKQLALEAPPQPVREDYVQNAVKFLSHPRVRGSAVVYRRSFLQNKGLTNDEIDEAFRRVPDPQPTTPSPYTHNLDRSYYNGVIRTAPQQEPQHMMKPLTSHPSRNPTDKLAGYCGSADPPPLLPDDMLMEILLRLPPEPIHLFYASFVSKHWRGLIHDARFLRRFREFHGKTPPILGFFTNEPHSPLFVPTSDGFALSTTTMSHRDWWALDCRHGRALLNGLASGTLLVWDLMTGSKCYVPLPMQVCDGQLRCSGAVLCAAGHANYDHDCHSHPFLVAFLFSDYRDSITSAWLYSSETGVWGEITSIHVPNSYVKGEQTLLVGNTLYCPLNDDGIIGFDLDKNTLDLAAEVPYIYAQSHIIIILADDGLVGFARVDGSSLRFWSSVVKIDGGLSWTHRRAIDLEKLLPPEILEACMVPVAPVAYAEDAHVIFIYVNHSMCMYMIHLKSMRVEEVSRKGVYRSVLPYTTFYTPGITTGGGDDQAELLNNN >SECCE1Rv1G0018570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:202863280:202871226:-1 gene:SECCE1Rv1G0018570 transcript:SECCE1Rv1G0018570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to MADS box protein [Source: Projected from Oryza sativa (Os05g0203800)] MQILNEQQLATSSTGLMVKESASPGSGSGSAAEKMGRGRIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSGRGRLYEYSNNSVKATIERYKKATSDTSSAGTVAEINAQHYQQESAKLKQQITTLQNSNRTLIGDTMATMSHRDLKQLEGRLDKGLGKIRARKNELLCNEIEYMQRREMELQNNNFFLREKVAETERGQQQTLNMMGAASTSNEYEQNMIHCDPRTFLQFNIMQQHPQYYSQQEDRKSFNSVGR >SECCE5Rv1G0298960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:14394317:14398278:-1 gene:SECCE5Rv1G0298960 transcript:SECCE5Rv1G0298960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPDRYSWTGGEINLSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPDTKFSYSSHKAINEYKEAKALGVDTVPVLVGPVSYLLLSKAAKGVEKSFSPLSLLSSILPVYKEVIAELKAAGASWIQFDEPTLVKDLEAHQLAAFSAAYTELESTLSGLNVLVETYFADVPADSYKTLTSLSSVTAYGFDLERGTKTLELVKSGFPAGKYLFAGVVDGRNIWADDLAASLATLESLESVVGKDKLVVSTSCSLMHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAALASRRSSPRVTNEEVQKAASALKGSDHRRATTVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKAKKISEEEYTNAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFTFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKMAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIQSIINMDADVITIENSRSDEKLLSVFREGVVYGAGIGPGVYDIHSPRIPSKEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYAEVKPALTNMVEAAKQIRAELAKAQ >SECCE2Rv1G0112070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:706461940:706463224:-1 gene:SECCE2Rv1G0112070 transcript:SECCE2Rv1G0112070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTRFAIRRAADAAGPEPLVPAASRAVLSEFIGTAVFVFAAEGSVYGLWKMYKDTGTLGGLLVVAVAHALALAAAVALASDASGGHVNPAVTFGVLVGRRISFARAVLYWAAQLLGAVLAAALLRIISGGVRPMGFTLGYGIHERHALLLEVVMTFGLMYTVYATAVDRNRGGNVGAIAPIAIGFVLGANILAGGPFDGAAMNPARAFGPALVGWTWRHHWVYWVGPLIGAGLAGALYEFVMAEQPEEPAAAARRELPVPAEDY >SECCE7Rv1G0504190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:720823904:720824506:-1 gene:SECCE7Rv1G0504190 transcript:SECCE7Rv1G0504190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSHNHRSSVAPQQQPSSARVIATDGSLTEFATASSSPVSVSDVLSAGNAGAGGPFFLCNSDALYFDEDVPELGGGELLRPGQIYFLLPQAMLGRPLSSEDMAAMAVRASEALATRARPRGRGAGIRKVRVTPVHAESGRGDVEAQVNARLNERTLGEYSVKASRNPAKIGKKAAVAAFPPVKKALKPLSTIQEDAE >SECCE1Rv1G0049440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:640685908:640688577:-1 gene:SECCE1Rv1G0049440 transcript:SECCE1Rv1G0049440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14U [Source:Projected from Arabidopsis thaliana (AT5G27950) UniProtKB/Swiss-Prot;Acc:Q8W0Y9] MEKEAMGGHVNIMLPLENLSSDLPNGGVVLGHDKEISTLHEEISALRSRQRHLNRRRRDALDKLIDLKGSIRVFCRVRPSISTSNIKIKSPVTVEQENILVRAVGIKKDFSVDRVFDQESTQDDVFHEVKPILRSALDGHNVCILAFGQTGTGKTYTMEGTSDNPGVVPRAIQELFSHAAQDTSSTYSFSISMLEVYMGSLRDLLAPRQHPLFRSTEGNTTCNLSILATTSGSVQVEGLTDVAVADLKKANQWYCRGRRSRSTSWTNVNNVSSRSHCLTRISIRRCGGVSEQVSKLWLVDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVVMVVHISPCKEDVGETICSLGFAKRARLIESSRELSLSEDLKMLKRKRLAELDKEMRDAEQELGVLNEQIRSAEMSVEERKKLSPSVVCQAQPLGDHNGSPKSILVAVDSPQVTERAKSKSRMVPRFMSATVCSRQRHGAATHSVSTPRLTKSVNTYSSKPSSASKARSSVAFSSGEPRMKYLSVKFDQINVSSNSIDSTAASAPRQLRAPLHQHRRRMSCLT >SECCE5Rv1G0358080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:753496849:753499739:1 gene:SECCE5Rv1G0358080 transcript:SECCE5Rv1G0358080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGADPPRYRRLRKASDAAAHPPPKRMRPNEEQDSCCEDKISSLPDEMLIMIIDKLDARTAITTTILSKRWRDLPAHSHTCYDLGVDDILPPRYHKLKQMVVEAKAGYVAEKSALKLAGSHAFRDRFFAVDDWMWRARWLTTHLQRYERWAMRRYVKRVNAFLLPPTNVQQRFIQKLRLQTFGTSNCIDQWITSAIGRWGVEDLELVIDNSSWRYDFRLLDECKNVRLKRLVLSNCYHHDAPNSLTFQRLTALTLCKEHISHVCYVLRNCVQLVDLSLKHSDYNQDPLHIRVPKSKLKNLQLDNCNVGQVYLTSLPCLEAFACRGQPIELHYGEVPRLRHVSLNFLQTGDNGKDGSLCRLGKLFLGTPPPLEYLALQLRGGQMWIKPVAIRSQLNYLKKLFIANVAMNWGTFWILTLLAAAPALESLHVHFDNSSEKASASGLLDMQAEHHQHHYHLKELMVVGFDGVAWQTGFVKRIMQASPILERVHLLDGHVVEDEDREVISLDIVRRRREWHECERSEVLEELTDGISSPHLKIVLE >SECCE2Rv1G0087280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:223833113:223834327:1 gene:SECCE2Rv1G0087280 transcript:SECCE2Rv1G0087280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLLKYCKRRGLLIELGGEAILVIRSERGLARKLAPFKSHSLLIRICYARYADDLLLGIVGAVFLLIEIQKRITHFLQSGLNLWVGSAGSTTIAARSTVEFPGTVIREVPPRTTPIQFLRELEKRLRVKHRIHITACHLRSAIHSKFRDLGYSIPIKELTKGMSGRGRLLDAVQLAETLGKDGLKSPQVSVLWGTVKHIRQRSRGISLLHSSGQSKVPSGVQQVVSRSGMSVLKKKLYTPFGRKAAGEGRGHWAGSFSSEFPIQIEAPIKKILRRLRDRGLISRRRPRPIHVASLTNVSDRDIVNWSAGIAISPLSYYRCCDNLYQVRTIVNYQIRWSAIFTLAHKHKSSARNIILKYPKDSNIVNQEGGKTLAEFPNSIELGKLGLGQDPNNDGALNYMFNK >SECCEUnv1G0552970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:261954035:261954874:1 gene:SECCEUnv1G0552970 transcript:SECCEUnv1G0552970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFGRSISFPLSPARVSRARAAAYHVRSVSLPCHSHPLLSHLATNISAVRAWIAAPSAPSTGLARLDALHAALAELLLLPEARAALQSGSNTADCLLDGFLNLADAHGAFQESLLELRAHSTEAQAALRRRDDNRLASAVRSLRRAEKDLARLAASVRVAAKFPTPSASTSAAEVEVSVVLAEAVATAACASAAVFSAVEAVSSAATSKKTTAASSLRALVMRAKASSDEDKEVAALERLEEVEACAAEIETGSDKVFRSILHTRVALLNIQTQACC >SECCE4Rv1G0284830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841158187:841159636:1 gene:SECCE4Rv1G0284830 transcript:SECCE4Rv1G0284830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR6 [Source:Projected from Arabidopsis thaliana (AT5G24330) UniProtKB/Swiss-Prot;Acc:Q9FNE9] MGPATVQRHRTRAGRPAARAAAADDDGGVRCEACGSGRHADELLLCDGCDRGLHIFCLRPILPRVPAGDWFCPSCAAASITASSSAKSAAVVQTKMPKQFPLIQTKIVDFFKIQRRPAFVAAEAPEGKKRKRKAASALVVSKKKSRKLLPFTPSEDPVRRLTQMASLATALTATGAVFSNDLTYVPGMAPRSANQSALEAGGMQVLQREDAETLSLCKRMMEQGEWPPLLVVFDPVEGFTVEADRHIKDLTIITEYVGDVDYLRNREHDDGDSIMTLLSAEPPSKSLVICPDKRSNIARFINGINNHTPEGRKKQNLKCVRFAIDGECHCLLVANRDISKGERLYYDYNGDEHEYPTHHFV >SECCE4Rv1G0269710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749850168:749851205:-1 gene:SECCE4Rv1G0269710 transcript:SECCE4Rv1G0269710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLLRATVALVILVLLFMPGAMAATAASFDASRTQQLPLPPGEVHGPESVAFDAQGRGPYSGVSDGRILRWDGPKLGWSTYAYGPGYDSETCTTSRYGTEADVESRCGRPLGLRFNHKTGDLYVADAYKGLMRVPPGGGEATVLVDQIDGMPLRFTNGVDVDQVTGKVYFTHSSMNYDRSDHELVTKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIEGVDAGKSEPFSDLPGYPDNVRPDRKGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGSKKVRPTEIMERDNGKIYLGSVELPYVGVVKRK >SECCE4Rv1G0243980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:508661579:508664787:1 gene:SECCE4Rv1G0243980 transcript:SECCE4Rv1G0243980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDGGWGDGGDSLFEGMVLFAPALSADPAAAEEAPEPPKPPTPPADATASDAESSAASQPLDEDLFSDLTLLVPPQPPLDQDQRPQPQAQDQNHRPASSVTPPPAAALSHQPSSSSLRKKKRAVRIGYGRSPQTAPALPPTTPIATAAAAAPAAATATVIRTASSSSSLSDASTYDAAPPIPVQRPDQLGNDDERDAAVVDPDANSLDAVSVNSLDAKLEAKEEDGDKDVGEAGVAVVVGIEERLALLRSQISSKLDSVQQRAAAVAAKRRQLAGRQRKVAEDVGSAASKHKDLERELEEACEAEDFERAERISDSLAALEKDKDRLLTALRDAELDYDSVDLELQDVLESRIAVEEEAAALLEQFAKDATEHADSVSKQAEEMSSKEIEGWQTSMELLQIKKLEMEVETELVLAARSGLEGSVEHLIEDDKREKDMLSKKGDTLAVELAELLELVRLKEAEIAENNAQIQEVQERISAVVSRFHGSQSDIDMKINSLQESQTKIDQDTEALALKKNEIDNCISSAEQKDSDLREIINACSSEAKACQQSVEIRRKLASSILKSRQDRIGLLKMEEEISQDIQMLRQQTTDARTSLQEISSKRAGIQQEIATCKQKLSFIEKRGPELEAEKKVAAAARNFKEAGRIAAEAKALNSEKEELRAKLEKAGTDLEVIEKDITATTDKIQECEGLIVLKEQESAMASYKRLRLDSSAARAELTAATETDDGEEVEILRKEAEAAESKALELKTLYNLQPDSDEYIFQPVVPIAFITNSTWQHLAEMASSFGLSPET >SECCE4Rv1G0214510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:841963:846061:-1 gene:SECCE4Rv1G0214510 transcript:SECCE4Rv1G0214510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00490) UniProtKB/Swiss-Prot;Acc:O65258] MLPRLDPGERDDEEMMGGGAVKEEGEGDDSDEEEEEDDFLLAGDEQHARPPEQRRRGRGREEKERTKARERRRRAVTGRILAGLRRHGGFGLRSRADVNEVVAALARHAGWVVLPDGTTFPSNPQTPRTAMLAPAISLSSPSAAQTTLLPVPSHAHQQALRGAVAVAVPPLAARPISRRAGCAAALRTAPARAVPEGGPASPLLAVPVPDDEDAGAAMDGDDGGKQTGLATRPAATAAVLVPPRPPPERDFAGTPYVPVYVMLPLGVVSVKGEVPEADELVAQLRVLKAAGVDGVMVDCWWGNVEAHRPQEYNWAGYKRLFHIIRDLKLKLQVVMSFHECGGNVGDDVSIPLPEWVIEIGKSNPDIYFTDREGRRNTECLSWGIDKERVLQGRTAVEVYFDFMRSFRVEFDEYFEDGIISEIEVGLGACGELRYPSYAANHGWKYPGIGEFQCYDRYLQKNLRRAAEARGHAMWAKSPDNAGHYNSEPNNTGFFCDGGDYDSYYGRFFLNWYAQVLLDHADRVLMLARLAFEGSAIAVKVSGIHWWYKTASHAAELTAGFYNPCNRDGYAPIAQVLKKHGAALNFTCVELRTMDQHEVYPEALADPEGLVWQVLNAAWDAGIQVASENALPCYDRDGFNKTLENAKPRNDPDGRHLFGFTYLRLCSTLFEGPNFPEFERFVKRMHGEAVHDLRP >SECCE1Rv1G0015450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:124767570:124771804:-1 gene:SECCE1Rv1G0015450 transcript:SECCE1Rv1G0015450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAVGGGRLADPLLATDVVLGPKDKYWVPADEREILASQNGGGDGEDGRIPLLYRTFRVKSLLINLYRLLTLVRVMVVILFFTWRMRHRDSDAMWLWWISVVGDLWFGVTWLLNQITKLKPRKCVPSISVLREQLDQPDGGSDLPLLDVFINTVDPVDEPMLYTMNSILSILATDYPVEKYATYFSDDGGSLVHYEGLQLAAEFAASWVPFCRKHCVEPRAPESYFWAKMPGEYAGSAPKEFLDDHRRMRAAYEEFKARLDGLSAAIEQRSEACNRAANNTKEGCANATWMADGSTQWQGTWIKPAKGHRKGHHPAILEVMLDQPSKDPELGMAASPDHPLDFSAVDARLPMLVYIAREKRPGYDHQKKAGAMNVQLRVSALLSNAPFIINFDGDHYVNNSQAFRAAMCFMLDPRDGADTAFVQFPQRFDDVDPTDRYCNHNRMFFDATLLGLNGIQGPSFVGTGCMFRRVALYNADPPRWRPDDAKEAKASHYRPNMFGKSTSFINSMPAAANQERSVPSPPTVGEAELADAMTCAYEDGTEWGNDVGWVYNIATEDVVTGFRLHRTGWRSTYCAMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSRFCPLLAGRRLHPMQRVAYINMTTYPVSTFFIVMYYFYPVMWLFQGQFYIQRPFQTFALFVVVVIATVELIGMVEITWAGLTPLDWFRNEQFYIIGTTGVYPMAMLHILLRSLGIKGVSFKLTAKKLTGGARERLAELYDVQWVPLLVPTVLVMAVNVAAIGAAAGKAIVGRWSAAQVAGAASGLVFNVWMLLLLYPFALGIMGRWSKRPYVLFIVMVTAVAGTASVYVALAASLPFLH >SECCE7Rv1G0484990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:354172726:354174640:1 gene:SECCE7Rv1G0484990 transcript:SECCE7Rv1G0484990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEAVKDAITQIVGEAREKKRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMRVCMLGDAQHVDQAEKMGLDYMDVESLKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVSHQESLEAKVNETKATIKFQLKKVLCMGVAVGNLSMDEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYVKSTMGKRVRVF >SECCE3Rv1G0179840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:552228703:552228924:-1 gene:SECCE3Rv1G0179840 transcript:SECCE3Rv1G0179840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVDRRRRCPLLFCYCTDANTKLSRRRPLLLASRMRAHAAVRRLPLSSATSWMRLRVSGTTVRSTSVAPRT >SECCE5Rv1G0367010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:819073426:819076181:1 gene:SECCE5Rv1G0367010 transcript:SECCE5Rv1G0367010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRSPAPLPLLALAVLLHWAAPRAAVEAATPAERRILLDFKAAITADPDGALASWTPTGDPCAGFAGVSCDPATGAVQRLRFHGAGLAGALAPSLARLPALESVSLFGNALSGGIPAGFASLAPTLRKLNLSRNALSGEIPPFLGAFPWLRLLDLSYNAFSGEIPPGLFDPCPRLRYVSLAHNALQGAVPPGIANCSRLAGFDLSYNRLSGDLPDQLCAPPEMNYISVRSNELSGRIDGKLDACRSIDLLDVGSNRFSGAAPFGLLGLANITYFNVSSNAFDGEIPNIATCGSKFSYFDASGNRLAGPVPESVMNCRNLRVLDLGANALAGDIPPVIGTLRSLSVLRLAGNTGITGSIPAELGGIEMLVTLDLAGLMLTGDIPVSLSKCQFLLELNLSGNKLQGAIPDTLNNLTYLRMLDLHKNQLDGGIPVSLAQLTNLDLLDLSENGLTGPIPSELGNLSKLTHFNVSFNSLSGIIPSAPVLQNFGSTAFMGNPLLCGSPLSNLCGGQRAKRLSVAIIIVIVAAALILMGVCIVCAMNIRAYTRRSKEEQEGKEDEEVLVSESISVGSPGQNAIIGKLVLFTKSLPSRYEDWEEGTKALVDKDCLVGGGSVGTVYKATFENGLSIAVKKLETLGSLTDQDEFEHEMGQLGNLNHPNLVTFQGYYWSSSMQLILSEFVTKGSLYDHLHGNRRRAFSRSSSGGELSWDRRFKIALGTARALAYLHHDCRPQVLHLNIKSSNIMIDEEYEAKLSDYGFRKLLPILGSFEVSRSYAAIGYIAPELASPSLRYSDKSDVFSFGVVLLEIVTGRKPVESPGAAIHVILRDYVREILEDGTKSDCFDRSLRGFIEAELVQVLKLGLVCTSNTPSSRPSMAEVVQFLESVRTNS >SECCE6Rv1G0379850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:17259686:17261069:1 gene:SECCE6Rv1G0379850 transcript:SECCE6Rv1G0379850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSDATSEDSVMNISSSCDGIIKLPATIHDPNFNGTNADVNVLCEHGEPAERFVAFEGMHTGRRFLGCAKKEGINCGVVQWIDFEWPDSMEKALAKLWDMYEEIKSARTNDNLESSFAIHNLTEEKKKLQENYDSLYADVNALLDAQQQRGVELTNQKEQKKYLVLKIAELESVVCNLKAELSKKEEEKNKLQENYDSLNAQQQRGVELNNQKEQKQYVDLKIVELETIVGNLKAELSKTEEEKKKMLQKYDTLKNLTGAQANVIRNLKFNHLKEKERLTEERHKLQHHISELQQSEEKIKLKLQGVKAILDE >SECCE7Rv1G0469540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:109866580:109871027:-1 gene:SECCE7Rv1G0469540 transcript:SECCE7Rv1G0469540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVPHRPDENGGGGGPSFPQQPPPVGTPPPSSGPAHSASTSGGSAGGSPSSRSDQQGPAASNGAGPGPAASTPASDGTAFLRLNNLDINGDDAPSSQAPISIKKKKRRAAAVGPDKGGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFTDPNNNIEPPDPDNPNAQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSINDIEELQAELAGVKGRIEKKSAYLQELQDQYLGMQNLVNRNEQLYGSGNIPSGGVALPFILIQTRPHATVEVEISEDMQLVHFDFNTTPFELHDDSYVLKALNSYGKEENAGTPEPMSNGCEGSSTPNIYRHQIQQSAMASNGTSRLPSSPPPPVPGILKGRVKHEHLY >SECCE2Rv1G0116940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:768680069:768689848:1 gene:SECCE2Rv1G0116940 transcript:SECCE2Rv1G0116940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPPPLLLCFFLWAAVTTGQFAVAGAASSSKVVTSLPGLPGRLPFHLETGYVEVDEENGTELFYYFVESEVGAEDAPFLLWLTGGDRCSVLSGLALEIGPFQFVPEPFNGTVPRLRINPYSWTKVANILFVDTPVGAGFSFSRRPEGYDVGEVSTSLQLHELLLKWFTDHPKFLTNPLYLGGDSLAGQLVPFIAQKISEGIEAGRRPILNLKGYLVGNPATGEIIDESSKVSYAHGVGIIPDQLYETILEHCHGEDYRKPTNTPCAQALGTFNDLRSEVMTAQILLDTCLYLVSSRRGTQTDKPASASRKILSEEEAVIVTGRRVKHPPPRVPLGCYSYTSYLSYFWANDALTRDALGIKDGTVDEWVRCRSGDLPYAVDIGSSIKYHRNVTVNGYRALVYSGDHDAIVPHLGTQAWVRSLGFPIVDEWRAWHIHGQSAGFTITYSNNMTFATVKGGGHTAPQYEPERCYAMFSRWVLHQPL >SECCE5Rv1G0360490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:770440660:770441295:-1 gene:SECCE5Rv1G0360490 transcript:SECCE5Rv1G0360490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGAPGAAGRGGALGHVRAAARANFFLGLALAAMALVVATSYHPSGFHVHAQIGHAAAPAAYAGETQQQQDCAATEAEALDLRKAALMLVLSGLAQAMIAAAADVALARSEGFLGRLLAVLGLLVGAMNVYSICYVVHGVAVVAAGHCAAGYLYLPDFVSYLVIAVSYGALLVVSLAVTLCG >SECCE1Rv1G0036350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:508648667:508663137:-1 gene:SECCE1Rv1G0036350 transcript:SECCE1Rv1G0036350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase subunit SCY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31530) UniProtKB/Swiss-Prot;Acc:F4IQV7] MAMSSSLALSLPPRCALPLPTPRLAPKHPSLRANQCHLLVRTPQHPLPPPRRLLLAPRASLSATSPAEPAREDGAGGKKVPGFRNRFLDLARLGAVAEGAAEAFFRSEIRRRLAVTVVLIVLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLVDFASELKLSFFQLGISHQISASIVMQVLCHVLPSLEKIRKEGLDGHEKIKSYIWWLSLAFAIVAACTVSCYSLQYSIYAASYRVKHVILTSFLLVLGAMSTTWICDTITESGFGHGSSLIICVGILTGYTNTLHKMLTQFSGNVHTSWPYILGVAGIFMMVTMGAVLVTEGCRKIKLQYYGFKLASSARNENSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFRSPFWEKLKEILNPMTSAGGSPWVYYLTYAFFVFVFNIFDIANLPKEISDYLNKMSARVPKIKPGRATVDYLTKIQTSTRFWGGLLLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLKRYGA >SECCE2Rv1G0084090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:172744788:172746071:-1 gene:SECCE2Rv1G0084090 transcript:SECCE2Rv1G0084090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAGAVPSTALLLLVVLSAEEQPFKGLLPENRSVALAGNNVTATAGAAAMCYPRRGVDEEANPSKTVLDVAPFAVCPSPEIKKGLSGRAKAWCCWGLAVAMTMVVFVVWPETFIVSPIDPKFTGDDASVVDGDGDGDLFDCAICMETVPDTLKFSVGSCGHAFCSSCVIQYVAAKLGENVARVKCPDPGCKDGDVEPESCFGIISSDLLDKWGFLLCESLLGAKTVYCPYRECSAPLLADGEAGAAAIAEAECPHCHRLFCARCAAPWHAGVGCREFQELGQDERGREDLLLRSLAGRQRWQRCPKCRMYVEKSEGCNYIKCRCGNSFCYRCASKVSALTHYCNKCKR >SECCE5Rv1G0312390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:227101740:227110235:1 gene:SECCE5Rv1G0312390 transcript:SECCE5Rv1G0312390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTSQVSAMAGASPSSSLFVSRRRPAAMPLQMRVARGGRPRGLAMRVTCEKVVGIDLGTTNSAVAAMEGGKPTVITNAEGQRTTPSVVAYTKGGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMAEVDDEAKQVSYNVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKKIVDWLASTFKNDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQANISLPFITATADGPKHIEATLSRAKFEELCSDLIDRLKTPVNNALKDAKLSVSNLDEVILVGGSTRIPAVQETVRKITGKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAVDKGTGKKQDITITGASTLPKDEVERMVEEADKFAQEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVDVKLQELKDAIADGSTQSMKTAMEALNQEVMQIGQAMYNQTSGGGAGSTDAEAEPGAGSTSSGKGPDDGDVIDADFTDTN >SECCE7Rv1G0470250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:118013950:118015614:1 gene:SECCE7Rv1G0470250 transcript:SECCE7Rv1G0470250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDADAVPAAVAPAKRPPINKYAFACALLASMNSVLLGYDISVMSGAQLFMKEDLKITDTQIEILAGIISIYSLLGSLMAGLTSDWLGRRYTMVLAAAIFFTGALLMGLAPNYAFLMAGRFVAGIGVGYALMIAPVYTAEVAPTSSRGFLTTFPEVFNNFGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPVFLGFAVLAMPESPRWLVMRGRIEDARRVLLRTSDSPEEAEERLLDIKKVVGIPADATDADDVVAIVRANQAARGQGVWKELLINPSRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFEKAGMKSRTNSLGASMAVGACKTFFIPIATLLLDRVGRRPLLLASGGGMAIFLFTLATSLLMLDRRPESEAKALGAVSIAAMLSFVASFASGLGPVAWVYCSEIYPLRLRAQAAAIGTGLNRLMGGATTMSFLSLSEAITIAGSFYLYACVAAAGWVFMYFFLPETMGQSLEDTGKLFGKDTDDDTDDGGVVHHERKRSTELITQQ >SECCE6Rv1G0437910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:786640886:786641383:-1 gene:SECCE6Rv1G0437910 transcript:SECCE6Rv1G0437910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSPKLAAMLLLALASAVIVTAQNGADDMLNAHNEVRAAVGVGPVTWDPIVAAYAQSYAEKRRTDCKLVLSPEVRPYGENLFHAPGTDWKAVDAVIYWASGKQYYDHATNTCSAPSGESCDEYLQLVWRDTKAIGCGAVLCDGNAGVFIICSYSPPPMVGQVPY >SECCE3Rv1G0205360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:899926559:899928190:1 gene:SECCE3Rv1G0205360 transcript:SECCE3Rv1G0205360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGDRISDLPDDLLRRILYFAPVKEAASTSALARRWRSLWLSSGAVNLDTRSYHVHDDSLYGKRAAFVRDAHAALGARGRHCPLRKLTLHVEGHHCDIIQVFLCIGDGGLRDDIMEPVLTHPAARSVEELCVDAYVGRHPYEDRSERGYYDLSLASLPSTNLRRLRISSCTSLGVPPVSAPVAFPRLEELRLHFCNDVPLQDLQSVVDSAPHLTVLELQSVCLSSLQIDVPAGDAARHEGSPPPAKLRCPAITALLVSDCTWTNRTGVELDAPMLRCFRYNGNFCEPFALKPQEAANLTRVDLDFSTHMYYYIENNTCACFWQCAASFSNARVLKLRTNQLEHLRPGGETNGPELNKVLFRDLKRLELEVEYKPGRSKATGEAIAYLLHCCPVIRELRLNLSLSTASEIKSYRDEGYHFQDVFAEKDELDFDKSVNHFLRRRFKNPTMPYEVPDYIHGLTGQSFTCLQSSLRCVILRFRMDESNRFGVRLAKFLAENTMFLEEMHIDDGNQKIWEHINHVVGRSVAGSASGVAVLPLEGRN >SECCE7Rv1G0508670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:773589906:773593228:-1 gene:SECCE7Rv1G0508670 transcript:SECCE7Rv1G0508670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAAFEEEEEQRLHYRSEWHRYNLKRKVAGVPGVTEALFIARQTVLAEGSNSTIAPPMSYSCALCGKGYRSAKAHAQHLTTRSHLLRASQEPNASTAAVVKPLPERVPRRAPSAMDEDEDEDEDEEEEWVEVDPSELESTSNMQVDEDSKSDDEMADLEMLDPSVCFMCDLKHDNIEDCMIHMHKKHGFFIPDSEYLKDPNGLLIYVGLKVKRDFMCLYCNDRCQPFQSLEAVRKHMDAKGHCKLRYGDGGEDEDADLEDFYDYSSSYVDVEGKQLVAAGDMDNSIELGGGGSELVITSKSEKGRRVTTLGSREFIRYYRQKPRPSVAADRALALSLASSYKSMGLVTVQSKEQMVRLKVLRAMNKSGVETMRTKIGMKSNVIRNLPKNVPY >SECCE2Rv1G0128740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:864550994:864551712:1 gene:SECCE2Rv1G0128740 transcript:SECCE2Rv1G0128740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSTIQHRAHHHSLTLVATGQAKFECSGCLEHGTGGDRYTCLQRSFVFNLHKDCALAQPTLEHPLLPETACGTRVQGIHYHCNKTDLDLHPCCAKLPLEIPLRELTFELRKEASHRDRCAVCRGRGGYMTFWFYRSICKTQYLHVRCVKQEVFRLTAGAGSQVDDDSMLVRFVKEIRKPGTLERTCHIIAAAARIIFALISGDPGTAIDVAQNAVIGLLSNSTG >SECCE1Rv1G0005650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:26941303:26941664:1 gene:SECCE1Rv1G0005650 transcript:SECCE1Rv1G0005650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTALLALVVLVASATSSEELHCCTDHHSWGNGLKNIGCRLPEQNVECNTWCQSDCRSGECKMCDGLHFCHCYC >SECCE3Rv1G0209730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:940150035:940151075:-1 gene:SECCE3Rv1G0209730 transcript:SECCE3Rv1G0209730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTMAATEASTAGSSSPRTSFVVDSLILPTRNGRLFASAFALVYAHTFVSLSVAVLYAHPLATAVLLRVYSLVRDNDGGPGRSQHVVYASESDDEIRGHAKKLLLLYLAYLASQLATRVAVALAASATCRGDRRPRSLAELVRGKAAAGRVRGTLATAAVVAVLEHASFAALLAACLALASWRWWTSGAAARSDAAASFVTGYVLFLLLLLLLFHIILAAVFQVAIAASAADEGHGEGRTRAAFRRAWRLMAVRARRKEAAVMVLVASLLPVAISPAYALAMYCSQRAPDAGIIGVLHGYLLPSVGVQLFSTVAAAVFYHRCMERHHDPAVLQLTTKLAMAKPA >SECCE6Rv1G0416270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:647922077:647923072:-1 gene:SECCE6Rv1G0416270 transcript:SECCE6Rv1G0416270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWTPPMSALMLKGLSEVAARGAKTDKGFKEVEKLKVAKRISSFVGYDVSITQVHNHIRKWRNRWTRLVYLKGLSGALWDDDKKMVVLEEQHYLGHTQDHPTDAELLNSPLENYDYMELCFANKHATGNYSMGPGVPLGTPIVVEDKDKPNVMEGEGTTDEVLQHLPGSNFVLPTASATQDPSPTSNKKRKRASGLTEEDSIQCSNMTDAMREIASAINNTCHAETHPDLYNAVMDLLLFDQDERLAVLDYLTEHKAKGLNFVKMSDEVRKASFKRILKANPDLL >SECCE2Rv1G0085900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:197740547:197743522:-1 gene:SECCE2Rv1G0085900 transcript:SECCE2Rv1G0085900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MALLQLHPPPLAALGRSALPCRLFPPATARRSLASVAFSLQTNVRLLKPNRRSRRSRYPYYDLDDDEEEEDEEDYEDEESEDDLSGLEYPGVLYTNNPRAPNKRAGRKTQLVKENWEGRRPKTRDKHASPGRSNSLQPRSKINRTLLNLTSMNSEVELKNESISRSLFQKLQEEYDFDDKWLPLIDYLCSFGLRESHFTYIYERHMACLQINRASAEERLEFLLSVGVKSKDLKRMLVRQPQILEYTLSNLKSHVAFLAGIGVPDARMGQIISSAPSFLSYSIEQSLKPTISYLIEEVGIEESDLGKVVQLSPQILVQRIDNAWKSRFIFLSKELGAPKDSIVKMVTKHPQLLHYSIEEGILPRINFLRSIGMRNSDILKILTSLTQVLSLSVEKNLKPKYLYLVNDLKNEAQSLTKYPMYLSLSLEQRIRPRHRFLVSLKKAPKGPFPLSSFVLTDERFCQRLAGTSLEKYHTFRQSLLLTGFEDKTGRKPLASRR >SECCE4Rv1G0290940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:874635759:874636277:-1 gene:SECCE4Rv1G0290940 transcript:SECCE4Rv1G0290940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGEKKMITLKSSDGEEFQVEEAVAMESQTIRHMIEDDCADNGIPLPNVDSKILSKVIEYCKKHVQVSPKLADSADASSSTSTAAAAPAEDLKSFDAEFVKVDQATLFDLILAANFLNIKGLLDLTCQTVADMIKGKTPEEIRKAFNIKNDFTPEEEEEIRRENQWAFE >SECCEUnv1G0554860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:291820427:291820666:-1 gene:SECCEUnv1G0554860 transcript:SECCEUnv1G0554860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKMRTTCTWTERPYEALLFPGIGFGPFLRSLGGRRRRLPSGGARAISEIPLWKSSDSNLVSDPRAKGKSQVDSFYGA >SECCE2Rv1G0120500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:799193755:799194072:-1 gene:SECCE2Rv1G0120500 transcript:SECCE2Rv1G0120500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAHTLAATLLLVMSVSLAALEGVHGVCGMSNDEFKLCQPAAAANNPTDSPSAECCAALGKANLSCICRYKGIAGIWLRKYHIDAKRAMALPGKCGLTMPNNCS >SECCE3Rv1G0161280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:114631007:114633922:-1 gene:SECCE3Rv1G0161280 transcript:SECCE3Rv1G0161280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTDSRSEEKPSQHSQEEKRWVLSDFDVGKALGRGKFGHVYLAREKRSSQIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEHAAKGELYKELQRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLVGVQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGLPPFEAKEHSETYRRIVKVDLKFPSKPFVSPAAKDLISQMLVKNSAQRLPLHKVLEHPWIVQNADPSGVYRG >SECCE5Rv1G0365150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:804755633:804759509:-1 gene:SECCE5Rv1G0365150 transcript:SECCE5Rv1G0365150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFTKNFQSSSKPDGERKYQGQLVASPAKAISPKTIKQIVPKQLILSRESTAHVASFLVKVIALEVVRRISKAKCPFVWNSIQALQVLGYPPFRWIQRWAPLKFIVQGIQKLSTPLLFLSVTSALGDLSSKSDEADDGPSSTTGAPDLPAESNETSSTSDTREAADGDGTKDIVVSENWLVLLFKELEKQGITLPERFSEDELRRFHMAANGDLSILVSSVKKTIRWRETFHILTLQELEKWSHLVFWHGFDTTLRPCLVIRLGLACSSIAPRDRPCFGQAVVSQIDHGIIHLTNDEDPRVTVLLDCHGISPFRFPMQMMRSFVNLVQENYPNRLGVLIVVRLPPVVRVIAQTFIQLLKPSTKQKLRFEGESYKKTLAEFLQIVPAFLGGKCNCSRCKKPRDSSLIQAGEGSRSRSLTSAGSRSPVSDMDFDEELEELPSPYNCENAIRAAVVGLLMVWIFVAFLAGMNDPQSMS >SECCE7Rv1G0475260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:184023359:184032087:-1 gene:SECCE7Rv1G0475260 transcript:SECCE7Rv1G0475260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAPPPPLSSPESDPRLVEAFVPFLEKLVKNASWRNKAHSKLSHTAKSIIDRLQRPPPSSPTAAQTPSTPTSPSTPTSSSWQPGPLRNLSLEDSELLLSPISSALGSGSAKLVEAALELLHRLIAHSYIHGEADPSADPSSQLVASLLEGACNALHLDDEHIELLLLKTLLSAVTSTSVCLHGDCLLRAVRACYDMYLGSRSTVNQATAKASLVQMLVIVFRRMEADSSTIPVQPIVVAEVIELPDAGSGASPTADVTFVQGFISKIIGDIDGAFTPLARTTSSAAAGTVPHDGAFETTAAAEEGANPADLLDSTDKDMLDAKYWEISMYKTALEGRKDELGVEGAVVATLDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASSHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNFQAKMIVLRFLEKLCTDSQILVDIFINYDCDVHSSNIFERMVNGLLKTAQGPPAGIATTLVPPQDTTMKSEAMKCLVSILRSMGDWMNKQLRIPDPDSPKIESEQNDNDGGNEFPQTEINGDASSEVSDSHSEVSNGVSEAASLEQRRAYKMELQEGIALFNRKPRKGIEFLINANKVGESAEDIAAFLKSTSGLNKTMIGDYLGEREDLSLKVMHAYVDSFNFQNMEFDEAIRAFLQGFRLPGEAQKIDRVMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYGRIWKKEIKMKEDDFVPHQQQSTSSNKILGLDNILNIVIRKRGSAMETSDDLIKHMQEQFKEKARMSESVFYPATDVVILKFMVEVCWAPMLAAFSVPLDQSDDEIVISQCLEGFRCAIHVTAAMSMKTQRDAFITSLAKFTSLHSAADIKQKNIEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPDLDKSKQAKSSIIPGLKKKAPNAGAASKRGTYDSAGVGGKASGVDQMNNAVTVLLEQVGMAEMNRVFIRSQNLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEITHYNMNRIRLVWSSIWHVLSEFFITIGCSENLSIAIFAMDSLRQLAMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVNHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILRDYFPYITETESSTFTDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSSRLKEASPHLTKDGKQEVAIQVDKDDHIHFWFPLLAGLSELTFDLRPEIRKSSLQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSGGSSQGQNAENDPAELDQDAWMYETCTLALQLVVDLFVKFYDTVHPLLKKVLSLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSVFVDEKWLEVVLSLKEATTETLPDFSYIASGAYLENVPIENGHSSDKREDESQPSEDGTEETSRSRNLYFAIADAKCRAAVQLLLIQAVMEVYNMYRAQLSAQNTVILFEALHTVATHAHKINSDNDLRTKLQELGSMTQMQDPPLLRLENESYQLCLTILQNIFLDRAPDEGSLEVETHLVGLCKEVLEVYLSTARPAHLSGGIQPLGHWLIPVGSSKRRELAARAPLVVSTLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSGEVQVALSDMFSTWVGPIVLQSC >SECCE5Rv1G0364560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:802063080:802063925:1 gene:SECCE5Rv1G0364560 transcript:SECCE5Rv1G0364560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMAKNVDKPLFTATFNVQSSSADYVTFITGIRNKLGNPRHFSHNRPVLPPIEPKVPPSRWFHIVLKTSPASTGLTLATRADNLYWEGFKSSDGTWWELTPGLIPGATYLGFGGTYRDLLGDTDKLTNVALGRQQMADAVTALYGRTKADKTSGPKQQQAREAVTTLLLMVHEATRFQSVSAFVAGLLHPKAVEKKSGKISNELKAQVNGWQDLSEALLKTDAKPPAGKPPAKFTPIEKMGVRTAEQAAATLGILLFVQVPGGMTAAQALELFHASGGK >SECCE7Rv1G0507390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:761383243:761385904:-1 gene:SECCE7Rv1G0507390 transcript:SECCE7Rv1G0507390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIPTGLRLPDLDMVKAAAAASAPGAGAAPLRPAHSSASSALSDASNSSSASSLSLKRARTPRKRPNQTYNEAAALLASMYPSVFPAGAGDRAPPSPRLLGLASALAGDPSCADLLPPFPVLGNAACLLRDAAAPPTTPRSPVLARACPSPAAVSSAFTEFRDSAPSPGTPDGAAGADGPGELDFEDDDDSFDADSFLLGGVDEGAAAQGIDGIMGKLSMESGSDASSINRVLSSSGIDPYIRNLMVLGLGFRRSRSNIKQALKRHDDDSEWWMCPAIPLKDIMPPPPPSMEPPPPVEKKKKKTKKKALKDIAAGPCITCVKEEIPDPAYGDDGIFGLKAPKTGLGLSLNTEEVLKAWYDRGSVFSDGNTPDVSSTDGLAKLSDIELFLENGAAGAIREGSIQKLKHKQKQCTPLLTNKTRYQARKVHAESRPRVKGRFVSQAALLQKAAEKET >SECCE6Rv1G0388790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:142327748:142329875:1 gene:SECCE6Rv1G0388790 transcript:SECCE6Rv1G0388790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGDASPSENQSAHKEKRDETTPLLPVKAEEEDGIHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIILVALLTEASIDMMVRCSHQAKITSYGWLMGDSFGQWGRIALQASVVINNIGVMIVYMIIIGDVLSGTSTTGVHHRGIFEGWFGPHFWNSRPVVLLATTIFVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAILRLIEGTAEIPKLFPEIHELNSIWELFTAVPVLVTAYICHYNVHSIDNELEDRSQTKPIVRTSLALCSSVYVATSFFAYLLFGEGTLSDVLANFDSDLHIPFSSVFNDIVRVSYVVHVMLVFPIVFFALRLNLDGLLFPTSRHISHDNRRFTIITVSLLAVIYLAANFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDSYGIATKRDKVLAVTMIVLAVLSNSVALYSDAMSIFYRKVEA >SECCE7Rv1G0460700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:32876422:32876776:-1 gene:SECCE7Rv1G0460700 transcript:SECCE7Rv1G0460700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTTVRVSTVCMLLLLLLVSTATAAATERPQRRDVAEEGVSPSAADGAPAEKEPPSGHLGFRVVTGLIEKATGKSARLDLEQEEKVH >SECCE7Rv1G0493970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:577513530:577514225:1 gene:SECCE7Rv1G0493970 transcript:SECCE7Rv1G0493970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTSAVSVRQRTAAAASPLLQDQQQEKHEAVDPVPPPPPPPAPRSTLYQALTSTASLANLLPTGTVLAFQLLAPAFTNHGACDATTALLTRILLAVLALSCLLASFTDSLKGPDGRVYYGVATLRGLWLLDYPPGAPTPPDTSRYRLAPIDAMHAALSVAVFGVVAARDKNVVRCFYGPSPARETEEVLDIVPLGVGVLCSLLFVAFPTKRHGIGYPVTNGAGGGST >SECCE7Rv1G0517600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:854991795:854992373:-1 gene:SECCE7Rv1G0517600 transcript:SECCE7Rv1G0517600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANIIVFFLVLVPIRIRVAAALMDCPDVPSLGAVAACQKACGTKLMYDLCIRTMREGDVDMSPSHVERATAYAILAAHATANSLDNTTIAMGDQLSQNSALSRKQRHAYEACMEDYAPADSSIDTIEEETVPSCHFRFLAAEYTRVITNVEKCRDRLLSPTLVKTPLYPMVLADLNKAVLASMLGKLLGP >SECCE5Rv1G0339570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:608576371:608579279:-1 gene:SECCE5Rv1G0339570 transcript:SECCE5Rv1G0339570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESLSVESCEPGERSVAGKTSKKRGTGKVVAAAAATTMGKTSKQALLATGNSAGSEVRKAGLLLSQAARMRGWEHDVSLRETRERLIGQSMEALSNAEILASLEEEDARRAIAQEVKTDLNAYHVCGQEEDQHELLMQNMADDDHGYVKCECEAAAPQEAYDPAFILENDTMTTRILADAAKGEISLAETVAVATKSGQQKKRVNLSTEEKAARKIAQEVSTGPNAYQACAQLDDDCSDKEEQHEVMELLKQNMADDYDGRAKFEAAAPEDAATEDVIRMMRISEEATELAAEEEMMLMGLGDLAEEDAEELTKSGEQNKRRRRRNRSSHDMMVEATEKEGKRPQEALTDPMAYEARGHRIDWDWIFAPHYGPFDQITSIPPSCFTHHRFPNPYAKPQETLQLFSIKVGKIKKPLKWPLHVFGSVAVRDVMDHNRIMVFHRERENCQTLIKKDRFLTLTGPTRGVVVDVHPTYIEVDLKVKGATELEDMDLSYLVVRSMPCSPSYRAVPSKLSTLELTYIRMHNCVEAAISVKVKKHGLWPGRRGLFTASTASYEDMEIVLLAFEGDRLPVDASRRIELSRRVVCVELPQPEGKLLFSAKALHGSDEKNVRRVRKTFTPKRAGKSKENIKIGSCEMEVTVTWSLLPSCKYYYDKSLERARLPSVWYEYD >SECCE3Rv1G0147680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:15781003:15784507:-1 gene:SECCE3Rv1G0147680 transcript:SECCE3Rv1G0147680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPAAASPNLYLLDLVESGRMLIDCGLVLADGQVDNIYLPVNTFKLLRIDDNVVYKNVGDIKVIDRSHLYPGQVVGSASDLGGQIGIVTGVNTVLSLAKLDNNGVPTKVIRGVSPSSLRRVRSLNLGDFVVSGPWLGRVVEVSIDVDVLFDDGAVCRVTDAESTNLARVHESGSIVTMHRRQMNSVLHQGQRVTLPGACSLFKDARWLNGYWHPYRQVGTIIKVETSGVLVYWVASRHCGTDKGLVEASAPPAYQNPDDLTFFCASYNCCWGLADRYFFLETSPTKEDAACAPDQHGDDNDDDDEAEEEDTEEEYNACSQDNQEVCEGSTSQVVPPTKQKDARFYRKQLRKVVFEGHRRAQRPQVMRHVQVEFPMLVADTCTTVDVLWQDGTRQHGRPSTTVVPFGIWNEQEFFPGQHVVANVFPISAAVEATDGHDVTTTSVNNEIAVSGTGPTERVGIVKSLQYEDQTVCVSWFKTSGHPDEVREVDCDQTISAYDLKLDSNHSAYYGDIVIRILPSGSTDDGESAPLLPGNKKKNAVPADLSWVGRVVEVPNGHIQVKWGDGSMSTVSPHEIVVVKDEHYKELLLEMGDWVEDDGIDDAPEELVAANTNLDYDVENVSPAMSMTSLLGFSFRSLLQFTSDVVARGKGYMMNWLPSSSSPSSELSAPANDDSNGRAAMGTNSETKAADATCCCDESFVFPRFDVLQMSPLDHHYLDTTDQGASRGKSWAKTVQKEWKILENDLPESIYVRAFEDRIDLLRVVMIGASGTPYHHGLFFFDLQLPPSYPSAPPQVYYHSFGLRLNPNLYESGTVCLSLLNTFGGEGTEVWSSTTASLLQVVVSIQGLVLNDQPYYNEAGYGTLVDKPEGRRNALPYNENAYPLTLRTMQHLLRRPPQGFEEFVKEHFRLRGRFVLKTCNAWLQGNIVDNAHDTEASRNRPCSAGLRLALTNVVPSLMAAFIEIGAEGCEEYQ >SECCEUnv1G0570440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:470976260:470976493:1 gene:SECCEUnv1G0570440 transcript:SECCEUnv1G0570440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE5Rv1G0355210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:730402438:730403769:-1 gene:SECCE5Rv1G0355210 transcript:SECCE5Rv1G0355210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNSHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >SECCE3Rv1G0192510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:759702204:759703028:-1 gene:SECCE3Rv1G0192510 transcript:SECCE3Rv1G0192510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSKKRMKHPDGAAAFPPRYKPEDRDPPPPPSPEEEKVKEVLSETPSTKVAAEPKPVANVVAVEEQDVLKVEVLKVKASADPAVSDLGSCLSMATDERSEAASESSVATSSLAGPERSPGKPARKRAVSGELGLVRRDRAAADAYGVRSRSCRGSPSPPPRRDPRDRCVRRSPSPAAKRASPELHRAASPVASLQRKPPVPSRPSSRTSPRRAREAPPPLSPPPQPEEDAVTAAGEQSVAEANAGADGDAGGEGKESLENPLVSMECFIFL >SECCE6Rv1G0378610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:9516323:9517240:1 gene:SECCE6Rv1G0378610 transcript:SECCE6Rv1G0378610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPAALSSHLPLYYQIISTLTHLFENPYNTADTFLSFPMAAATMSLSSSTFAGKAVKNVPTLALFGEARVTMRKTAAKAKQVSSSSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFSKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEIVDPLYPGGSFDPLGLAEDPEAFAELKVKEIKNGRLAMFSMFGFFFQAIVTGKGPLENLADHIADPVNNNAWAFATNFVPGK >SECCE6Rv1G0436790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:778989665:778991104:1 gene:SECCE6Rv1G0436790 transcript:SECCE6Rv1G0436790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCKKARAEVTSVVSSDRLSSLPPEIKGDILSRLNVEEAVRTSTLSTTWRDAWTDMPVISLCDGNLTRTKFVTLVDMVLLLHKGTIEEFDISGNKNYHDEFGRWMIMLSRKSPKSVTIKLNSGTKYKIPSCLFSIGDLESLDLKNCIISLPRAFQGFKSLTDLSLKIFSSTDKDIQNLISFCPALTYLRLKSSEGINRLNIKAPKLEHLYVGGDFEDINLDAPNLEVACLCLDKAYQSVPIAHDKECYVKQLLRSLSDIKVLGINDTLLKCQSEGCIDTKVPAVFTRLENICLTICFWDQRQVLTACSLFQNAPDLKSVEMWSYPSSTWDQDQASIQELTLQMQMDHLVTASVKWFQGLDYEVDFVAKLLSWAPALEELKIEWEGETDRSMVLTKLLALPRVSPRAKIIVT >SECCEUnv1G0534530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:42278906:42280207:1 gene:SECCEUnv1G0534530 transcript:SECCEUnv1G0534530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACDGGDDDVSSCDWNETQDALAANRWYATNQILPDGRAFIVGGRRQFNYEFFPKADASDASAIALPFLVQTRDPEENNLYPFVHLNIDGNLFIFAKNRAILFDYKKNKIVRTYPELAGGDPRNYPSSGSSVLLPLKPSPTEAEVLVCGGAPAGSYNSTKEKTFFPALVTCGRIKITDASPAWVIERMPSPRVMGDMILLPNGAEVAIINGAMDGSAGWEAANTPAYAPVMYRPDHAPGDRFEEQSATDIPRLYHSSAILLRDGRLLVGGSNPHIYYNFSNVQYPTELSLEAFSPEYLDSSNDALRPKITDPSPTGPPVSVKYGDSMTLQFEVPAVAPRRRLPGGGDGGGGLGLGLVSVTMVTPSFTTHSFGMNQRLLFLDVAETTALHGAAGAYEASVVMPATAVLAPPGYYMVFVVNGHIPSEGVWVHIE >SECCE2Rv1G0135390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:906685274:906688871:1 gene:SECCE2Rv1G0135390 transcript:SECCE2Rv1G0135390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAAAEAKPLTPEEEALRRNTDCVYFLASPLTCKKGSQCDFRHSEGARMNPRDCYYWLNGNCLNPKCSFRHPPIDGMFGAPTPGIPAVSSHYAAYNSGKQMVPCYYFQKGNCIKGDKCPFSHGPQPAGNNPPEQVAKVSSFPLEQPQTQKNEFLGVKEFAQTNHLIQQGGPISDDRSKSVNRAAANFARTAAAAIPAELASSALKSLPKSGSVQNSMPAANKSFRTSSGEDHPECYQNNLPAETDPMQDWNHPYQTPPQDDMPEDSRDADDLLGESSPGFDVLVANDADAGAYMHDPDDFGRDIYPVEDYEYAPADFEIQPHHERELFNGMGEQGPIGQMYDGYDRKRRRTSSERNLDRPSYSERRSRQRETGPVEIDGSDLRHRLRRRKINGSPGISPERSGESRRRDDRYRERAYDGHRTHRDRHQGPRGSTLSSRLQGRIKLPGRSPDRVDARSERERDRRQLRDRLSPVVPMDVQGGRHREAGHHQERIRQRSSERASSARIADGKHSRRNVTDSLNFASRKDFGPESRKANGSVQSEASLDFEGPTPLSVILQRKRQAACGNGNGSSAHSVKEDKSAAVSHRQAESVVEAEKEGYGNTISSEEYKSRSGDEEYKEEGQVPASSSHGDKAEAEDMIEVENEEADNYEQRQGESEYEATEGYEYKSEDENAYQDEEEEFEDDDDFARKVGVVFS >SECCE1Rv1G0007250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:39700459:39703347:-1 gene:SECCE1Rv1G0007250 transcript:SECCE1Rv1G0007250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTQAEIDSYIELYWADRMEAILEDEEAEEDAERRKRGSCGETKKMKTAAAGVISNKAASMDDSVDENYDEEGAVESISQTKSNFSRTKKIINAKTAKLMGVKSGEGPHYKTKTMTEQDVAAAAMYRAKGEEAHRNQDRKGELDAVIKWIATGDPEAVKVSDQWMAENVEAMKLEPEDPTDWLAEQAKDYREFWDFLWAGSFGSWEDITRIQPMLYTDEKPPPDTVFPERTLQVFSVKVAAIKEGLNWPLDVFGIVAARDSLDHNRNIIFDRKRDNCQTIDSENRCLALTGPTRAIVVVDPVYFEVDLQVKGRTEAEDRALSYLVVNYRESGCESYMFKCVETSKLSTVELTLGDMAESVEATIRVKVVDGEWPEGFGGLISARTASISDMEIKLLAFDKLTVAADGTVQLSRRVVSVEADGMLRVSVIANCLEDQTVERDSKAFKAREASRSTRMLEVRSCKLEVTIAWSLVQWFP >SECCE3Rv1G0193410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:769560100:769560420:-1 gene:SECCE3Rv1G0193410 transcript:SECCE3Rv1G0193410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFKLQRAPESWVDDDEWEVDVVEGKTAAAQNEKTERVEVKIRVTKRQVQELLQQAARDGKGKRATEKVLTDLINSGTVCYHDDHETRGHWRPSLQSITEAEEP >SECCE3Rv1G0200870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:858327410:858333015:1 gene:SECCE3Rv1G0200870 transcript:SECCE3Rv1G0200870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MAGESGGGRPRDWLSIDETAAAFLSRSLSTRPPILLPPPLHRAPLRPGNVVEIAGPSGSGKSQLLLMSAVQCILPKEWEGVYFGGLGKTVMYIDLDCRFDVLRLAQILRKRIAAGCGSAHLTNENFETDGLEDKFHSFESTLFSDCMKRFLYVRCYNSSELIAALKSLKSQSEARSEVFGIGVYFLMLDSIGAFYWIDRALQPTRESKGKTLSFQSITETVVQEIHKFLQLQPILVLVTKAPIYGEGITSNDFQRGSLKHMSEDSTALRYPKREEERNGSCREYMPSAWQTFVTHRIKLQDLAQEAGFFSEQGNEMPSVHTCEWVQPSLNSKEKFYIKDDGVGLIQ >SECCE2Rv1G0141580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:935927761:935934912:-1 gene:SECCE2Rv1G0141580 transcript:SECCE2Rv1G0141580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAVVSTSLSGIMSSLVKLVVNEWCVEKTMKGELANLYDELMNMDAFLEDVSSVPPDLLESQVKLRAREVRELSHAIGTHLHSFMARIESTKGALLPSVSKVNIQQEMDRYVKEIMKKVEKVSKRYGPYPCKSALESTSSLEASTRMCVTDTRESNHVGLEGAIAELTKKLSKSDHVSIVGMGGMGKTTLARAVYDKMKGDFDCGAFVPIGQRADMKRVLMYIFDGLQMEIYGHEPDQHQLTNQLQSFLVDKRCLIVIDDIWDKEAWNFVELVFKGCAGIKIITTTRNRNIVKGVGVTVYTMKPLSPADSKELLRTKAQVKSHDAEFDTLSDQVLRKCGGVPIAITMIGVLLAEHQSKEWHGVYDSIGFDEHKEDGAFQNIRKVLSYSYYALPYNIKRCFLYLSLFPEDHWIEKNMLIWRWVAEGLVPEGSFEIGESYFKELMDRCMIQWAVSPHDPSQGGCRVHILMLRVIRDLSSSENFSTVLGLGLKQESISRTIPIHRLAIHEEGEAMVGRSQNSRLLEVREVLSLYASTCPGSSLPPLREFENLRVMDLERCDLSLKDSNLEQLGRLKVLKYVGLVGIPMAELPRRIGRLKLLEILDVTATGIRELPPFVEELKKLRCLRAGKGTRMMGRVGKLTCLEELWLHSADKSPDFAAELQKLREMRVLVIHLDEVDEGMQKKLVVSLHELRKLQVLQVWSDAEEKARLGGRDWEGSVPSSQLRQLLLLGIILPRPMPWIHHSRVPKLSKLVLQVETLEVQHLQILGQMPALRSLCLHIHCYGNRLLYTAGKHEFTLLAYLYVTNIELICGEGALPRIQELEVGGIRVGTDVGLRGNMPLLERVSYHLDCWGCSPVEVINAQEELRQASQAHPNSPVLIIKRWDGVDVCSVPLQGAALLSEEATKSTINPLLSGAYGQNPQDCRHTTTRESPHNEAMEPTSLPMILLQKISNNFSTKRLLGQGHRNIPALTMKRSNDVVSGPSWSGSEYEGQVSTNSTSACLSNQCTTNVEPPPPRVDTAGSTLSLLNSTGGAALLSEEAAKLTIGPLPSGGHCDTAKAGYLDCSRHTTTGERSPSEAMEPTSLTMTLLQKITNGFSKERLLGEGGYGKVYLGVRDDGQKIAVKLLNHNSQTIDGEQFTHEFHNLMMLKHPNTVRLVGYCYETQHQPVDFEGRIVFSERIHKALCFEYMPQGSLHERLSDKRNVLDWQTRYKIIKGTCEGLKYLHEGLEKPFYHLDLKLGNILLDEKMAPKLADFGLSKLFGEEKTCRVTQRSRVGTMGYMPPEYINEGTVSNKFDIFSLGVVMTKIIAGPSGCTTCAEMQHLHQEFVDQVEGNWRKSLKETWSCPKLLDAQCRQVKICTEIALSCMKTDRHERPSIVDIINELNETETFIEKSSNCEQDPSLLSSTSNIVQPAASPPPSTQSPPPSPTSGEGMNGKFMISRGARLREHNKKFTVHAQNTKKWLMSGRARAPVGPPLPLPLSPTPFAIATFSSVLMPDHDEIDVTRDAKDQCPENSKAEVAGVEEEDLPVNSSASDQEMFGNTD >SECCEUnv1G0528530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:4732923:4735219:1 gene:SECCEUnv1G0528530 transcript:SECCEUnv1G0528530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGSEDENAALMSATDRIGALPDHLLHHLLSFLPAQAAVRTCVLARRWRHLWKSTTGLRIVGLDDDDEETVQVQDLRKFMDHLLVLRERTDLDTVEIKFDLFNQDDEPYVNLWTRFAVICKVQVLILHIHDGLHYLDLDDLPLVSRHLTTLNLHGVALRKTFVDFASCTALANLKIDDCFINVNKISSCSLKHLSITGCQSDLDCRVRVSAPGLVSLELEDFIGITPLLEDMALLEAACVNLSDECKDVCLNYDSGVFCGGNDNTCKNCVPIIDDCGSDCVLLGGISSAKHLKLTSDTGKFIFTRDLKHCPTFSKLKTLLLNKYWCEAPDLDPLSCILNNSPVLEKLTLELSPEAQNPKLEIKGSYCCMERPSAISKHLDIVEVKCDVIDEIILKVFKFFCAFNIRFSFE >SECCE7Rv1G0507430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:761747009:761750004:-1 gene:SECCE7Rv1G0507430 transcript:SECCE7Rv1G0507430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAKEVVVQAALSEILGRIFSFLLDSLRLPAAAGDRDVHRRQLERLLGCIGGMVEEAEGRHINNHQLLGHLKALTEGMYRARFALEAADLDDARDHDAAATDDDGERRRSFSLRSPFSRAKRSRVTRLIWRAAAGAEDREGGSTQRLAAASEELEGLTRDCTREFVLLVQGYPRKVHRPVRTTLYMDRCVFGRHVETERIVDFLLQQRPPPSGCLSALAVVGAKKVGKTTLVKHACDDERVRGHFARVEWFETPDVVKAGGEEGQTEWASDGPEYLAGVRRILRRTREGRSLLVFEDAWPVDPSAWRELASITPGASYCKLIFTCRDADLARVVGTEAEPVALDKPPMEEYWYYFKAFAFGGGADPRDHPRAAAVVGRDICERLERTYLDARVLGALLGANLGDALFWRRMLAAVARCERRPLHVGVLLELLPLDGRRLQSYGYCRNPPKVTMQDMLGGRAIGGSDEDGSMTVRLCRETLYMDHWYSITFKN >SECCE1Rv1G0038410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:538600277:538600733:1 gene:SECCE1Rv1G0038410 transcript:SECCE1Rv1G0038410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLVVILVLQAVLVMGILSQANAEFPKCCDNCRFFSGAVVCDDAGPKCRDGCVNCRVVQTSPKKTFRCADARVDDGTPCPPCKKH >SECCE6Rv1G0399730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:443144909:443146253:-1 gene:SECCE6Rv1G0399730 transcript:SECCE6Rv1G0399730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRLSKLPDCLLQGILSSLGARQVLQTAALSRRWRHVWRGVLCLSLDIDQREFEAATADRDGRGSRSVELEEWARFDDFADSLLQLELDRRDGGDGLPLDLDSLRLHVVDHLQASRRRLPLYIKWLEWVHGCLDRYRPAALEIQSSYDITVDLRLMGLRSDLSLLKTVRLTGILHSGGLGDSVCPQLEHVEFTSCAIGFGEVVISHTLKKLVMDRCWRRRDMKQHAPPLVDAPALTSLRLVLEFQGIWVFRTPSLVEASVRSTRGWVDRSDEYQLLCNLYNVTRLELSGFLALEKIRMRRGHQDLPTFHNLATLLLDECDLTGEDYNMLELFLNNAPNLKKLTLQNCKLPQDWTESKKMCLNLKFTEINCYKEDDLQQADH >SECCE1Rv1G0030500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:428510756:428515922:-1 gene:SECCE1Rv1G0030500 transcript:SECCE1Rv1G0030500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRGGGSNRPAWLQQYELVGKIGEGTYGLVFLARLKPTHPQAAGRRGSPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEVIRHHREKLNLSINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKATPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPCWQNDQQHIQGHKYENTGLHTIVHLPQKGPAFDLLSRMLEYDPRKRITAAQALEHEYFRMDPLPGRNALVPSQPGEKIVTYPVRPVDTSTDFEGTTSLQPTQPPSGNGPPGGQPVPRQIPRQMQQPMGGMQRMPPGANMGAFGAAPQAGMVGMNPGNIPMQRGAGGQSHPHQLRRKADQGMGMQNPGYPQQKRRF >SECCEUnv1G0566170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:401088731:401091423:-1 gene:SECCEUnv1G0566170 transcript:SECCEUnv1G0566170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEFPEVVTVKPNTFHETHTTRSWDFLRLDHNQPGQQHGLLRKAKYGEDVIVGVIDTGIWPESRSFDDNGYGPVPARWKGICQTGQDFNATSCNRKIIGARWYGLGISDEVLNNNYKSPRDIDGHGTHVASTVAGGEVQGVSYGGLGMGVARGGAPRARLSIYKVCWLGGNCPEAAVLAAIDDAIHDGVDVLSLSLGGAGHEFPGTLHAVQRGISVVFAEGNDGPVPQTVSNALPWVTTVAASTIDRSFPTFISLGNKEKLVGQSLHYNASVINGDFKGLVHAGSCDTESSLALSNVTGKIVLCYQPAAANSMPPRQALPIAINLTIMAGAKGLIFAQYTTNLLDFLPLCKGVMPCVVVDFEIAHRIASYWRSDTGNAVVKVSPAMTVVGKGVLSPRVASFSSRGPSLLFPSILKPDIAAPGVSILAAEGDSYAFSSGTSMACPHVSAVTALLKSVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEAVPRKLADPFDFGGGHIDPDRAVDPGLVYDVDAREYNKFFNCTLGYVDGCESYYLNLNLPSIAVPNLKDHVMLRRTVTNVGPVEATYHLVVEAPAGIDVSVEPSVINFTQSSSKRATFMVTITTRQRVQGGYTFGSLTWSDGSTHSVRIPIAVRTVIQDFVADTA >SECCE7Rv1G0483180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:318264842:318267050:1 gene:SECCE7Rv1G0483180 transcript:SECCE7Rv1G0483180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTGSVVLLISLLSAIAPLPSEALNVRGHLLKSKTFRSPPILLGPGSVSNKYHHDVDFPRGHLAVKSFNAEVVDENGVPVPLHETYLHHWVAVPYYALKNSQSSDEQKVPAMLKGNDGVCKRALTQYFGLGSETRHTATWVPDPYGIETGNQEKAPEGYEEKWLLNIHAIDTRGVVDKPSCTECKCDFYNVTIDEYGRTVSKNYTGGLFCCYDQTQCRLKEGFNGEVRKVFLQYTVTWLDWSDAVVPVKIYIFDVTDTAMLDGTHEHSCKVEYQVEECSAENRANNECIHTKTTRAVLPRGGDIVYSVAHQHSGGIGASLHGQDGRLLCESLPTYGTGKEAGNEANYIVGMSSCYPKPGSIKVSDGEVLTIVSNYSSAREHSGVMGLVYILVAEPQQPAPAPSLCFSFPARWCLPAWMSSNM >SECCE4Rv1G0259500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:687748648:687762654:-1 gene:SECCE4Rv1G0259500 transcript:SECCE4Rv1G0259500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSPPPRSSASASRSTTPSSSPPSHFLAQLVLATLVQLIMTTKMKAICNLAVWCISVQKLEASVVEDRATPLLNAIVYALDNPFGSLSTTFEAAQATMKLASQHPKGMRDLSSIWVPPIYRRLLSADKPERDMAERCLIKVSSVILPPQSPLSKEVALDLEQKLLSSMLNMLNDPSKKIQAVKSWGWFISLLGASAVSTRHLLNKILKVPEQLFTDPDPQVQITTMVTWRNLVDAFFGPQALENMDQGTVMSPIEPRAHASAQMKKIRLLLQGVRVQCNSKFADDNVMICITKVCKFVKKVFLDMVGKQKSNSSAVLVQFCLQFVKCTVEELDNSLLASGKYELCLGIEQIKEIEYAECSLKLSHPRIRPLAYLELVSPAVYLTALSLSIVAQFTGELSPEDAVQLASIICPPDLLENFHAVVAFLYMQIMRPVDSRLRIKWLVVWNKVSKRLNEQMISYLKVGCGASGHDVLCQFFCYPFFALVSPGSISAHWNAENSLEGYLNMTQDLEVELVIEVYRSFCTNSSYCSEPAYMVFLEHFFEYLIHIVDENMSSIQANLKYCLENKSKNITILSVLGSVVIGLLENAQIFNHANKEREVKTNEDPAGCRGPNLFLDSLKLANRFMKLSGLAFKENPAAQHQVTSRYFSSLSDFIGHITSTKHILLLFEIIGDQFTEWLTLSSTLYCVIRQGDTIDQLEKLWLNAVTCLTTSQLISDGSFLEKHHLLLQAAVNHPHGRISAATTAIWRSPGSSNEGLRHAGRRSVSKADELSLDRSGKDPNCASDAERAFTLEESNISRMSVAPVVSARGTVRSSTTDRGQSNGESLRVSAGLGRKRLKIMRYSGKGKGLGKVTDASFSPGWAEGEICRKPELILEMLKRKR >SECCE4Rv1G0224940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:86736696:86737137:1 gene:SECCE4Rv1G0224940 transcript:SECCE4Rv1G0224940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKEQLREGEAMAAASPPSFFLWHPLSLLHHVTRSCAGYLSLSRLCHALKDLKPRAATSPLAATSPQLHDEEAGAGGTGHAADDDCAAVEVRSRGVPQRPRGPREGRGGTHH >SECCE7Rv1G0504920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:728067466:728070187:1 gene:SECCE7Rv1G0504920 transcript:SECCE7Rv1G0504920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRKRAVPEGANGGAAVKRARESESVQTGVGSKSKPCTKFFSTAGCPFGSSCHFLHNFPGGHQAVSKMTNLGGPAVSAPQGRMPMGPGVPDGPPTPSLKTRLCNKFNTAEGCKWGNKCHFAHGERELGKPMLLNNSMAPPMGPRPNGHFQPPPMPGQDMVPPSTFGASATAKISVDASLAGAIIGKGGVNTKHISRMTGAKLAIRDNEADPNHKNIELEGSFDQVNHASAMVKELILRIGGNAPPQAKNPGRGPAGGGGGSNFKTKLCDNFNKGSCTFGDRCHFAHGESELRKSAAA >SECCE1Rv1G0032750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:458965173:458969236:1 gene:SECCE1Rv1G0032750 transcript:SECCE1Rv1G0032750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTDAYKQTGPCCFSPDARFLAVAVDYRLVVRDVVTLKVVQLFSCVDKINFLEWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGSAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHAARGVSFTKDGKFAAICTRRDCKDYINLLSCHSWEIMGVFAVDTLDLAGVEWSPDDSAIVAWDSLLEYKVLIYSPDGRCLFKYSAYESGLGVKTVGWSPCGQFLAVGSYDQSVRILNHLTWRTFAEFTHAASIRSPYNAAVFKEVDDPFQLDMSELCLSEGLSRNMRDNGTENGSEGGSRVKYAVMDVPITVPAQKPASDKPNPKQGIGMLSWSSDSHYFFTRNDNMPTALWIWDICRLELAAVLVQKDPIRAAAWDPTCPRLVLCTESPHLYMWTPSGACCVNIPLPDFRIVDLKWNSAGSCLLLKDRDSFCCAAIVSALPEEEPDEQTDETSEDE >SECCE5Rv1G0297470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:3109895:3112303:1 gene:SECCE5Rv1G0297470 transcript:SECCE5Rv1G0297470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTAAVPFWRAAGMTYVGYSNLCAAMVRSCLKEPYKSEAAAVEKVHYSRSKWSDGKQPKPTICEDDK >SECCE4Rv1G0292330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:882029172:882030631:-1 gene:SECCE4Rv1G0292330 transcript:SECCE4Rv1G0292330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGRGHRVSPAAVAGPLEDDNVLGEVLVRLSPEPSSLPRALVSKQWGRVAASADFRRRWRDRHGRPPVLGVFEKRMTTLLFTPGLQAPDRIPMERFSLQVCTEAAWNTWCVLGCRQGRVLIMNWTLREFLIYNPFSHDRRRVSFPPDLSLPPDVFDDGHTANGALLSDEQSPLKLVLVTCSGRAGAQARVYSSETGTWGDSVSIPDPCRLTSVPATVVGNRLYCWLKRPGDRILELNLDSQTLALITRPPRANIKSRNCRIIPGEDGAVGLALLSYPAIELWNRNVNSHGVATWVLRKTVVLDSIFDYAPSSTGAWRSLVIGYTEDANAILISVYKEMCIRVFTVQLESMQCKRLRGHFLNDLYHPFASFNEAGPSTLQILAGANNDVGAGGAQA >SECCE1Rv1G0029000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:409028087:409028883:1 gene:SECCE1Rv1G0029000 transcript:SECCE1Rv1G0029000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDLKLLGAWVSPFVTRVKLALSFKGLSFEDVEEDLGNKSELLLSSNPVHKKVPVLVHNGKPICESVIIVQYIDEAFAGIGPSLLPSDPYERAVARFWAAYVDDKLVAPWIQSLRGKTEEEKSEGIKQTFVAVETLEGALRECSKGERYFGGETVGLVDVSLGSLLSWLNATEVMSGIKIFDPVKAPLLAAWMERFSELDAAKAALPEVDRVVEFAKQRQAQAAATAAASDNS >SECCE2Rv1G0100200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:536312631:536314248:-1 gene:SECCE2Rv1G0100200 transcript:SECCE2Rv1G0100200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTNAAAAAPPPVPMAAPVVPVDLTVVKKLLGGELAVHDASGVLAFRVTAADGCGRRCGGRALLDASGSTLVTARGSEGAWQAFRGISWEQKDIIFSTKVMCASSDRKEVHVFVPPRSTSEEQKPSYTLVGNPSRRACTVIRGNSIVAQTNLPYKLNKAVYSRRKFRVTIYPGNDNILIMAMVMTFLVQK >SECCE3Rv1G0180930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:597840060:597843374:-1 gene:SECCE3Rv1G0180930 transcript:SECCE3Rv1G0180930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADRLRLRAAALALDGGAVRDKPDSKANVFADLGSPVSPLRARASMTTSSSSSSGSAKSPAQSNVGPAGVAGGRSHSGELAVESHPPRLPGHRRCGSGPLIFSGGSSSGGSGGCGGDRGRTASSPITNALPAGNICPSGRVPGAAAPPPPRSRPDVLGSGTGHYGHGSIMRGAGMAPARSSIDAPSFLGHSMRSPASSPVVPPNGGSLPEMTRLGNEWYKKGRHGEALRYYDRAVSLCPESAACRGNRAAALIGLGRLADALRDSEEAVRLDPASGRAHSRLAGLYLRLGMIEKARRHFTQARHLHESDPADWEKLQDVEMHLGRSTYARKIGDWKSALREADAAIAAGADSSQLLRALRSEALLQLHKLEEADSTLTSLLKLDKSLLSCTAAKLSGMLVESYVHIVRAQVDMALGRFDAAVGAAENARLIDPGNAEVGMILNNVRLVARARAQGNELFKAAKFSDASIAYGEGLKYDPSNPVLHCNRAACWWKLDRWEKAVDDCNEALRIQPTYTKALLRRAMSYSKLERWADCVRDYEVLWKELPADTEVAEALFHAQVALKTTHGEDVSNMKFGGEVEMVTSVEQLYAATRSPGVSVVYFMSSVNQQCIQVTPAVDSLCSECPSMNFLKVNVEDSPTVAKAENVRIVPTFKIYKHGVRVKEMICPTLHVLRYSVRHYAVSSS >SECCE6Rv1G0392240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:257007484:257015461:1 gene:SECCE6Rv1G0392240 transcript:SECCE6Rv1G0392240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGPKSGGGGAAAARAAAAGPRTVLITGVSRGLGRALALELARRGHAVVGCGRSADPIRSLEAEMGSPSRHFLTVADVRSDSSMGELAKAVVERKQIPDIIVNNAGTINKNNKMWNVPAEEFDTVVDTNIKGTANVLRHFVPLMIQKKHGMIINLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLTSCFGSSAALYQSTEQWAPKAATMILSLSLEDNGASLTV >SECCE2Rv1G0138840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:923603128:923607471:-1 gene:SECCE2Rv1G0138840 transcript:SECCE2Rv1G0138840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLASAALNPTTHLGLRSHARPSSGNLCLRSAASSQKSKGRCNLIVRSQADSQPAEPIGLVTTKLKPWQIPRRDWFSPEFIFGAATSAYQIEGAWNQDGKGESTWDHFCHKYPERISDRTNGDVAADSYHLYEEDVKALKAMGMDAYRFSISWSRILPHGTGKVNQAGIDYYNKLINSLIRHDIVPYVTIWHWDTPQALEDKYGGFLDRRILRRQGEALVTFNEPHTYCCFSYGTGEHAPGRCSPGMDCAVPEGDSLKEPYTAGHNILLAHAEAVELFNTHYNKHEDAKIGMAFDVMGYEPYEDSFLDKQARERSIDYNLGWFLEPVVRGDYPFSMRSLIGDRLPMFTDIEQAKLASSCDIMGLNYYTSRFSEHIDISSNVAPKLNIDDAYSGSEIVGCDGNTIGPKTGTYWIYMYPKVLRDLLLIMKEKYGNPSVFITENGIADVDGDETMPDPLDDWKRLDYLQRHISAVKDAIDQGADVRGHFTWGLIDNFEWGSGYSSRFGLVYIDKNDGLKRKLKKSAKWFGKFNTVPKRLIGTTKPTGKGHV >SECCE1Rv1G0063550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:725762677:725765919:1 gene:SECCE1Rv1G0063550 transcript:SECCE1Rv1G0063550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKANGVGVRGAVDADVSAVGALVWVRRPNGSWWPGRVASRLELPDGCPAPPRSPATPILLLGRRDGSAFVEWCNLERSKRVKPFRCGEADLDDLIRRTEEQAARRRRAAAASSARNARKEDAVLQALDIERARLRLRPRPPTPSCPPPPPPPRKRKTPNDSEDDAPAARRMRDLTDIGSPQKPTTGQMTRSRQAHHDAAPAKRSKLPPAADQVDNNLPCGALRKKDRSRPLSELCNGVKPSNGLDTSLDKFKPEQAKGVNGTSCVPRPLEDAFAHGPGDQPVDAPIAATAAAASILKPDHLHAQQPCAPTKAPPTLECTKRAPNCSKNGMSSQCDTRNPKKKTTSSADHEGGGRARKGRTAKHKAAPINEVILLKRRTAKSAAAPDEDDKSLVVMLPDALVHAALWPRSEIKCEPEEPSGTIGRHHSNGKTGSLPPVVSEPAPPRRRDLRCHVAVKRTKTLQLNPSLYDVEISSGPGCGGGSKGRHVPLVSLMSRSTRRPVVGYPVSVEVLDAACCHPPAPSVDVVQDAACRHPPAPSVDGVRDAVRCHPPAPSFNGVPDAARRQPPAPSVDGVPGVACRHPPVPSVNRVRDAARRHPPTPGVGGDHPPSTSSGANGLVKVKEEVEEEAPQRAVPASAQRARARRSRRKASEDELWRPHSKEEGVGAALRPARARRSVRRKAVLEDESWRPHSKKTPASTVTSPRKMRRLSSLGAPGQRGGGEEERRRRKVAGAGAGQLVVACVPVRVVFSRIKEALVSQPLKLKSK >SECCE6Rv1G0398310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:419375870:419380308:1 gene:SECCE6Rv1G0398310 transcript:SECCE6Rv1G0398310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNDWLGFSLSGQENPQPHQDCSPPAGIDISGASDFYGLPTEPAPDGQLGVPGHRPSYEITEAFNRGAHESETHDWNMRSDLDYNGGASELTMLVGSSAVGGKRAMEAEAEPKLEDFLGGNSFVSEQDQAGGFLFSGVPMATSTNSNSGSNTMELSMIKSWLRNNQVPQAAPHPQSQTGAPAQQPQPHEEMSTDASASSFDALGRNGALVVAGSSHGLVLSMSTGSGSHLPVAVVGGSASGGVSESTSSENKRASGAMDSPGGAVEAVARKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARAYDLAALKYWGTTTTTNFPINSYEKEVDEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKTILESSTLPVGGAARRLKEAADHPEAGATIWRAGMDGGVISQLTDVGMGGYASYHHGWPTIAFQQPAPLSVHYPYVAQPSRGWCKPEQDATVSTQSLQDLQQLHLGTAAHNYFQASSSSTVYNGAGYQQGTGGNTFLMPASTVVDEQGHKSAANDQGSTCSYEDEEGKLNIGYDAMAMASTGADPYAAASTLSIARAKANGYSNNWSSPFNGM >SECCE5Rv1G0352020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:706247423:706247875:1 gene:SECCE5Rv1G0352020 transcript:SECCE5Rv1G0352020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVLGPFSLDLFDGFPFVPGSGLVPRTSSDTAAFAGARVFKADVPGMKREEVKVEVEDGNVLQISGERSREQEEKMDTWHRVERISGRFLRRFRLPDDARAEQVRASMVNGVHTITVPKEEVKKPEVKSIQISG >SECCE5Rv1G0320390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:386990855:386993700:-1 gene:SECCE5Rv1G0320390 transcript:SECCE5Rv1G0320390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEENEDGGNPALLGSCNDRAKQLHASPSGRLLTALIGEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKNGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMAGRRMINSEPEPASNTEHRNMRRPPSSSSVAGMPPMGRQMPPSQTSDRRGGSSASNTRKDEYNWGYDADDLSEEVLRTSTALENIQLDRKARNLTTSWRHPGNGAE >SECCE2Rv1G0063910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:416039:420198:-1 gene:SECCE2Rv1G0063910 transcript:SECCE2Rv1G0063910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRRLAAGVVRRRLALAGAPPLPAYRQPAAAAVPIPKVKEEVDGAFLPWLRSKAGSAISSALRIGTSPLGRSLFASRPIQEGDCILQLPHTAQLTQDKLPQEVRLLLDDDAAVAGDATAKIAVLLMMEQRLGHESGWAPYVTSLPAKDQMHNMMFWDWDELDMVRHSPAYDEAIKQKEQIRKQFSAAKPALERFPHLFGEIKLDDFMHASALVSSRAWQTSRGVSLVPFADFLNHDGVSDSILVYDEEKDVSEVIADRNYAVGEQVMIRYGKYSNAMLALNFGFTLSRNIHDQAHIWIDMPEEDPLYKNKLDIWQKHRTPKFEHMCSSGCTRTSFALKEVKYSGNKGVGIPQALRAFVRVFCATSIEELEEMAVEAAENDGRLARRPLKHAEREVHAHRMLLLRLDNMIQGHSTALEQLEIVDGAASRSMHPFRKGMAKNLLAGELQVLQSAHAWVANYCGTVKMS >SECCE1Rv1G0033570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:469047537:469051237:-1 gene:SECCE1Rv1G0033570 transcript:SECCE1Rv1G0033570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRRKAAVSLGVPSRRAQIAAVFALAVLLGVSVLYDSAHIAASLRRHSGYNRLSPTREAPAGAGPRATPAQAVESAGAATDRTDPPPRLGAEEVSKSNPGTAADGSSPETGVLKEVGEATCDMYKGRWVYDEENAPMYKESDCEFLTEQVTCMRNGRRSDEYQKWRWQPDACDLPRFEPKLLLEKLRNKRMMFVGDSLNRNQWESMVCLVQSVAPWDKKKLVKNGSLNVFRLMEYNATIEFYWAPFLVESNSDDPDIHSITDRMITPTSIAKHAANWIGVDYLIFNTYIWWMNTPKMKIVPDGSFTRKPVKYDELDRVVAYRQILETWSGWVEENVDPKRTTVLFMSVSPVHMQSEGWGSPDNIKCFSETQPVLNYTKTLDVGTDWDLFTESHEVTKAMKKVPVHFINITALSEIRKDAHTSVHTLRQGKLLTKEQQANPRKFADCIHWCLPGLPDTWNEFIYGHIVSSPLQRQIENQSAR >SECCEUnv1G0564440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:383308547:383309414:1 gene:SECCEUnv1G0564440 transcript:SECCEUnv1G0564440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAIPDELLVKILLRLPTPEDLIRAAAACVSFRRLVADRAFLRRFRKLHPAPLLGFLDYSGFHRAVPPHPSAPAARAVAKAADFDFEFLPGSSLDWSVREVRDGRVLLDKPSLPDELGSVFKEMVVCDPLHRQYILLPPLPGDLSISIVVALANEGPCFGESFLAPPGDDEEAAATTEGTSFRVIWMMLLKTKPMAAVFSSSTGQWRAITSLIHSDSLPGFALSTWMVWFLSRHYAHSCFYWVSDFRVMMWPSLRQAKARL >SECCE6Rv1G0416370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:648356162:648360975:-1 gene:SECCE6Rv1G0416370 transcript:SECCE6Rv1G0416370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAMGVMNPLIGKLTALMGDEYKKLKGVRKEVAFLRDELSAMNAALEKLEFMEKLEPDTKNWRDHVREMAYDMENCIDDFMQDLGGADASAGAGFVKRMSRRLKTLRVRHRIAGRIEDLKALAVEANERRLRYKIDDCNTSCGSVDIDPRISVIYKDAAGLVGTDGPKKAVDSLLTNTEKKLKVVSIVGFGGLGKTTLAKQVYDDLKGQFDCKAFIPVSQKPDMPRLLNGLRLKLGINESSGICEVQDIIDQLREHLANKRYFIIVDDLWDEEAWDIIRCAFPENGNGSRVIVTTRVEAVAISACSYHYEHIYKMKPLSPEDSRKLFTSRVFGSDNKCPPNFEEVSNEILKKCGGLPLAIITIASLLASRRERSRNDWENVRNSLGAQFAINPTLKGMRSILNLSYMNLPLHLRTCFLYLGMYPEDYEIMRDDLVRKWIAEGFISNLHGPNLEDVGISYFNELVNRSLIQPITNTDRQVCCKLHDMMLDLILSKCAEDNFSSVAYTSKEMTRLRDCTYKIRRLSLMSSVDRPAKETIWTVSDRTSQVRSLVWFRACKSMPHLSQLKYIRVLSFEYPGLLGGSTLDLTAISQLFQLRYLKVSASFYAKLPTEIRGLVHLDTLDVPNGSIPSDIEHLPRLSNLTMDRYAMIGLPERIGTMESLRTLEGFTLDRSSLEALEGLGKLTNLRSLKLYNSNDNARNLLEKAKCDALASSICKLRNLKCLLMMKGDHDDKDDILGSVSDPPALLEEMDLNNWKMLGVPKWIGDLNCLHSLGLSVRETKTDGITILGGLPSLVDLKLRVVTCPKEEAVIVSKGLFPVLERLRFFSGEDVTAYLGFEAGAMPKLRELHLQLVALSWGGAAPAGMEHLLDLQQISFTVYRNHKESLEVKLKIQSAFRNALQLHPSPPSLDINCYSY >SECCE3Rv1G0212160.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954709214:954710443:1 gene:SECCE3Rv1G0212160 transcript:SECCE3Rv1G0212160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRRLSAAAALTSVAAVLGNDDLLREILLRLGFPTCLVRAALVSKRWLHHASEPAFLRRFRERNPPRILGVFASYPETPYKFLPLPQPPELAALSRRVASTCNDAFAAHIYQWVEHCRNSRLINVFLQDGKFMHSLLAPLHAGESEAVLAQVPLPRRLMRARTQGLFSQTFLPEDGGRDGITLVTLWKVRREVRAEVRVLGSGEWGVPTTAVTEVDLPYAETSFIKMLPPVHGKVFMVTGFGYTLGLDLARASFFTLELPPVGVRSNYLLSCAEGSGIYLVSANGFQLSVWLHPMTGDDNDAGWLLVDTFCVRKAYARSAGHDWVWMAQDGDFLGVAAVGDNAEFVILDYPRYGVVLYVHLGSRVVEKLYEHQALLDHCFYDIPISPFMMIWPHVFPALKGGHDQEQ >SECCE5Rv1G0358490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755685208:755688066:-1 gene:SECCE5Rv1G0358490 transcript:SECCE5Rv1G0358490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLIFLLLLSQILACTAVDVINSTTPLSGTTQRIVSQGKKFTLGFYSPTRGNTTSSSSSNTYYIAIWYSDILPVTTVWTATTDVPVSDPTRATLAMSSDGNLVIFDHSRNRELWSTSVSVPSNSTVAIIRDTGSLDLTDASNSSIIYWRSIDHPTNTWLRGGKLGLNKTGVSQRLVPWKNMADPSPGLFTLELELVPNGTPQFFIQWNLSITYWSSGPWNGHNFSVAPEMTVGFTFDFPFINNDTEGYLFYSLRDDSVISRFIIDVGGQIKHLSWMDSSQQWMLVWAQPPIQCEVYALCGAYGSCNLNALTHCNCVKGFSQKVQSDWDLQDYSGGCKRNTPLHCQTNSTSAHAQTHQSDKFYVMEDVLLPDNAQGAVATSSHECQVVCLDSCSCTAYTYNRTGCFVWHGDLVNLREQYMGNGGGDLFLRLAASELADPKKPKMVIIGVAAGVGLIILAMFFRYQKCRQGRRTHWISENVGRVAPTECRHNDLLDDIRSIDSLLLDLSTLRVATNDFGKGNVLGEGGFGMVHKGVLLPDGKQIAVKRLCQSSKQGIGEWKSELVLVAKLRHRNLVSLIGICLEEQEKILVYEFMPNRSLDTILFDSEKCKGLDWGRRFKIINGVAKGLQYLHEDSQLKIVHRDLKASNILLDFDYNPKISDFGLAKIFGGDQSEDFTSRIAGTYGYMSPEYAMHGQYSTKSDAFSFGVLVLEIVIGRRNSGSCTSEKYVYLVNLVWEHWVRGNVIELIDASLSSHPSPIDQVLKCIHIGLLCVQNRPKDRPTMSSVNVMLSSHNVRLPPVSMPAFCDRLNGCSDNSEAGSSNGVTMTRLDPR >SECCE2Rv1G0136050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:910158146:910158994:1 gene:SECCE2Rv1G0136050 transcript:SECCE2Rv1G0136050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPIKKKTRNYLTWTNEMDEALLAVLVEHHNIGDRAQNGWKPHVYTACIKHVKDTCDVDITKDNIVGRIKMFDKHYEIISRMLAQSGFGWDWETNKVTVDSDEVWTRYVEANKDARAYRTKVVHNWSAIETIYSKDHANGGGARKGAECAQEQNTPVVEESPEVPQKRQRTGDAILCMMGQMRTSFDEALKATEPLPMPKATPATEILEALKKVEGLEDADMLRAYGKLTANERMFESFMALPQNLRKPWLLTLH >SECCE1Rv1G0017100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:147419159:147422362:1 gene:SECCE1Rv1G0017100 transcript:SECCE1Rv1G0017100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPSPTQCSGSPSSRATVEPTGAHYSSPDPRRSSTAAGTPPRGASDDACVAISDVDAFARTIAAIRSKPQAAAAAASPSSDHLASVLSHYAARWLPDIAASSPSGRLQLPPESPTATWLKKRLLLESLVAALPPDDAAGEDRDDGIACDFLLRLLRAGSMVGADAALLGDLEARAARRLDQASLGAVMIPAFGLQGVARDRHHPTTTTTTRPPCATLLDVPLVLRLVRGFLREGAKAGGGGAAAARVAKLVDAYLSEAALEAGLRPAEFEELARAVPAYARAADDGLYRAVDTYLKAHPHASKEERRSLCRLIDARKLSTEAAAHAVQNDRLPVRCVVQVLFSEHGSKLSRLADWSGSFRSLQNRSPGALDLTSSSAAAARCPSKREVVSQHHELRRLREDVSRLQVQCHALQAQVERLSCDRRRRGLFKWGAFLFGGGGGADAARVEDSDSGMERTPLSGSKKARAAAAAALTPATGTPTMARWRRSHS >SECCE5Rv1G0340880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:619233807:619234469:-1 gene:SECCE5Rv1G0340880 transcript:SECCE5Rv1G0340880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGTPLPLKDEFAAETSSSSASFTVEVTVLSAEEVVFGERWRRPLDHGAYAKVYTDKSTASTGVNSEKGHCNGYPYWGEAVRVTVPEYATAIEVEIYRRQEPVAAASVPVADFSVGPPGHLHCLSYRLFDTAGSRINSRNGIVNITVKRLDGPAVPRGEGKGGKAVDDAASGTGDSCCGGVAGKGKVTPAAAAAPAGAVMGYPVEFSAAGQAKGKGCV >SECCE5Rv1G0305040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:65540359:65544931:1 gene:SECCE5Rv1G0305040 transcript:SECCE5Rv1G0305040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGPASPAPPEDKPMAAAAAVAEVEVVEESDGEQAKEDAVKVAEVQAERGVKRGRGRPRRRPVAVEGSGVVMVKREQLARCMTCQLCHRLLRNATTISECLHTFCRKCIYKKLNDEECDHCPVCKIDLGCAPTEKLRADHNKQDVRSKIFPLKRNKIDAKESPVTLPIKRKERSISSLVVDTPRITTGLTGRRTRAVTRKAAAALRGLGPILDPVERDNGSANKHPDNLSLLDSLSKVPQTRRKASSIAETSSHNSNKDKAGDDKDLDKADLWKPLNCLVEAASKTKSFRSSPSVKGDQPNGSPSSEHASKEKSVENLRKTRFQDDKKDAPQPVILKKKGTGRAKNATSVAAASQKAQNSRALNPVWFSLIASFEQKGVPPLPQIPAHYLRIKDGSIAASSIQRYIMQKLSLGSESEVELSCCGQSINPIQPVHNLMDRWLRVGPSRHLQTSVGSSGGEYVMVISYGRPKS >SECCE1Rv1G0049540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:641949144:641956899:-1 gene:SECCE1Rv1G0049540 transcript:SECCE1Rv1G0049540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGGGGGGAPGRPRWGGGSGAATPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIVLRSVEPAAAAASSSAASPSVMGWSAEPTPPPMGAGAGPSRSRSPAIRRTSSHRLLQFSQELKAGVSRAKQISQDLTKRFTRTQSRAEPLPPASAHPPPSGIESALAARAERRQRAQLDRTKSTAQRAIKGLRFISGNTKASNNAWIEVQRNFDRLALDGRLSRADFPQCIGMTESKEFAMELFDTLSRRRQMQLDHINKEELREIWLQITDNSFDSRLQIFFDMVDKDADGHITEAEVKEIIMLSASANKLARLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRYKSPIRKMSSKLSYYLEDNWKRLWVLALWIGIMTGLFIWKFIQYRNRYVFSVMGYCVTIAKGAAETLKLNMALILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGVILHAGNHLACDFPRLIDSSVEKYDPLRKYFGETKPTYLELVRGVEGVTGVIMVVCMLIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYISLVIHGEHLYLILDWYKRTTWMYLAVPVGLYVGERTLRFFRSGSYSVRILKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDFLSIHVRQLGDWTRELKRVFSAACEPPMNGKSGLLRADENTKKTFPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLINNIIKMEEEDEASTDLYPPIGPSKASVDLDTLMRITSKPKRVFKTTNAYFYWVTREQGSFDWFKGIMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDVVSGTRVRTHFARPNFKRVLSKVASKHPYAKIGVFYCGAPVLAQELSNLCHEFNGKCTTKFEFHKEHF >SECCE5Rv1G0362460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:786910808:786912330:-1 gene:SECCE5Rv1G0362460 transcript:SECCE5Rv1G0362460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSSSSPLHIVVVPWLAFGHLLPYLELSERLAERGHRVSYVSTPRNLARLPTLRPAAAPRVDLVALPLPRVDGLPDGAESTNDVPGDKRELHFKAFDGLAAPFAEFMAAACAHEATRPHWVIADCFHHWVAAAAFEHKVPCVILLPTAALIATAHRDSPSEHGGAPSVTRTTRPRYEEEETAPVFDNQGASGISIVQRFYLTKEKCAFAAIRSCVEWEPESFPLVPTILGMPVVPLGLLPPSPDGGRRADGTNGSAEHATVRWLDVQPPSSVLYVALGSEVPLRLEQVHELALGLEVAGTRFLWALRKPSGAAVHDDGADMLPPGFQERTRGRGLVTTEWVPQMSILAHAAVGGFLTHCGRNSLIEGLLFGHPLVMLPIFGDQGPNARQMEVKKVGLQVARDESDGSFDRHGVASAVRAVMVDGEARRSFVAGAAKMQEVVANSERQERYVDDFVHRLRSYTAEGHPSTVPTSL >SECCE5Rv1G0336130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:582462223:582462432:1 gene:SECCE5Rv1G0336130 transcript:SECCE5Rv1G0336130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLHPQMQWISYVTQSGRLINIMMTKVNHTGKVYHMRAKRQMAQSLGQIAKFKRRYEQEAPEENQDK >SECCE7Rv1G0503250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:708824228:708834139:1 gene:SECCE7Rv1G0503250 transcript:SECCE7Rv1G0503250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to DNA ligase (EC 6.5.1.2) [Source: Projected from Oryza sativa (Os11g0572100)] MSSDIRKWFMKPHDKNAGATKPAGAAGGAAPAAAKKPVLSIPEKAATSSVPGNQDASARRKTSKYFAPKTEKDADVAEKSSSKRKLQKSSEDLEDDIKPFAANKALKDEEDDDDFVAPSKKKTPVKPPPLKKLKAASNDDDQDERMDEEAETPSKAAGRGRGRGGRGAGAAHGKTTSHDDDGGEDRMDEDAKTPSKAAGRGRGRGRGRVGRGGGTAHGKTTTGLDDDGEEDRMDEDDKTPSKAAARGRGGRGAGATPGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAGDLIKRYGGRVTGSISKKTSYLLADEDIGGVKSNKAKDLGVPFLTEDGLFDMIRKSKPAKAPVNKHEGNSNSEKLQKSQTKSSPVKAERRAVDQVGTMGKSTPSKSNKESNSTNNQKVKVVDRGSLQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWEDQFLHSGQKGKGKKQADGGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKELISNATLNYSDNRTKKPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIVCICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIARKEGIQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRLRLNSSSKDEDISPFTAVDKLFGFNGGRLRMDERIDFSMSDPDLVPLIIQENYINYRPSAVGKDDSGVKRMNYLARAAESIADGDIVNVQIRRYRQWQLSQAACLASSIVPAALMHGNREVLEAGERNFNRFGGWLGKYSTTNKNKRLLEDVHSHILASQQANLDREALRLDYLTLLLRQLTEPLKTMPKEEAVQKVVEFMDTYSLSQEDFDTLVELSKFKGHPNPMDGIQPAVKSALTKAYKQGSSSRVVRSADLINIPGMKKTLKKRVAAILEPLDESLPEETGVASAEGDEEELSDAENDDELVPGDSKPKLDLQSDNKKGIQVQLNLKSNGNGSSAKKAPAKSKTPRSAGKAVGGSGGKRKR >SECCE3Rv1G0186860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:691836452:691839450:1 gene:SECCE3Rv1G0186860 transcript:SECCE3Rv1G0186860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNAGAMQREGSVKDWSEFDPSPSPKMAYSQSYVAMRGVLTSLASLDLVLMSSSLKSAWATILSHKHARSLERPKSKGMSWKKAMINLFVCFMIGIFIGFTPLFSADLSNKMPAEKDMLPFEGDVIDRRQMAEHQGTKLEPFAVEAESEAVNEQQAEESPPVPAMLDDEADFVEALPIVHSVNDSGIVARKQLIVVTATTVRPHQAYYLHRLIHVLKDVPPPLLWIVAEWPYQSRETVEILRSSGIMYRHIVCNRNVSNIRKIIVCQKNNAIFHIKKHHLDGIVHFADEERAYSVDLFEEMRKIRRFGTWPVATHVGTKYKLALEGPLCKGDQVTGWHTNQRSSILRRFPIGFSGFAFNSTILWDPKRWKSPTVGSIILHSGGRGGLQESRFIERLVEDESQMEGLADNCTRIMVWNFDLDPPQLNYPTGWLLQKNLDAT >SECCE1Rv1G0026510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:371615306:371615983:-1 gene:SECCE1Rv1G0026510 transcript:SECCE1Rv1G0026510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVATVASFLLVALAATAQAVTFDASNKASGTTGGRRFNQAVGLPYSKKVLSDASAFIWKTFNQHAAGDRKPVDAVTLVVEDISGVAFTSANGIHLSAQYVGGISGDVKKEVTGVLYHEATHVWQWNGQGRANGGLIEGIADYVRLKAGFAPGHWVRPGQGDRWDQGYDVTARFLDYCDTLKPGFVAQLNAKMKSGYTDDFFAQILGKNVQELWKDYKAKFRG >SECCE7Rv1G0484340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:343813967:343814680:1 gene:SECCE7Rv1G0484340 transcript:SECCE7Rv1G0484340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLRSASTPSSPRSNKQQVEQQLQSLSATVSSPSASINTICHGLRRLADIYSCIEEMVCTPSSQASLCRTLQRVAVEAELGRSLVVLDLCNAMQETLMELKMTVQELLLVLKRGEDATYQVKAYIRLSKKAQKQFKKISKKTASDKNDSRVVMLMAEAREITVSLLESTSCILSKQIEMPKRSLVSKTLQKSKVVCEEEQLRVLECSIKDLESGVELLYRRLIQNRVSLLNALSL >SECCEUnv1G0538940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74677098:74677343:1 gene:SECCEUnv1G0538940 transcript:SECCEUnv1G0538940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLRNAYKEKLRRMDQAAALDGAKSSKDKEAAGAAGEKKATAGASSWGGFFGLMKKKVHPKPKAAATSS >SECCE1Rv1G0033000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:461891045:461894238:-1 gene:SECCE1Rv1G0033000 transcript:SECCE1Rv1G0033000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALGELASRSLLRATRPIALAAPLAPPETFPGPGPWDRAAVEIRLDRATLHQWLAEGGEASGQEEELGEKLILFSGNDYMGLSSHPAVREAAAKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSISSLLAVGRKPAKDERIAIFSDALNHASIIDGIRLIERQQEAVVFVYKHCDMSHLDFLLSSCSIEKKVVVTDSLFSMDGDFAPLPELVELRRKYGFLLVIDDAHGTLVCGDNGGGVPELLKCESGIDISVGTLSKAAGCQGGFVACSTRWKSLIQSRGRSFIFSTALPVPVVASVQAALHVSRKERWRRSLVWRHVQYFASLTKLNISSPIISIVVGNEEAALRAGRHMLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKRLVNALNPWLSDKHAKQSFVEGRAEQSYVVASKL >SECCE4Rv1G0269740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749863217:749864254:-1 gene:SECCE4Rv1G0269740 transcript:SECCE4Rv1G0269740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGMSRLLRATVALVILVLLFMPGAMAATAASFDASRTQQLPQPPGEVHGPESVAFDAQGRGPYSGVSDGRILRWDGPKLGWTTYAYGPGYDSETCTTSRYGTEADVESRCGRPLGLRFNHKTGDLYVADAYKGLMRVPPGGGEATVLVDHIDGMPLRFTNGVDVDQVTGQVYFTHSSMNYDRSDHEMVTKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIQGVDAGKSEPFSDLPGYPDNVRPDRKGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGSKKVRPTEIMERDNGKIYLGSVELPYVGVVKRK >SECCE5Rv1G0297180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:210878:213334:-1 gene:SECCE5Rv1G0297180 transcript:SECCE5Rv1G0297180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADHLLRPGGTPTWVEPEEERCRQPETSPDATQSNRRPVRMLNLNVSLEEIALQPQLESEPQPEFESESESEPEQELESESEPDQDLESEPEPEPTFGEKTWQVLHILLCKQLADLDPKRNALVPTRVCKFNIAFFNLDTESEAIHGPPLKELPSCDWSKTVASSVNVVSLKVIESDVGYPISVFGTVLARDAVDYKCLYLFKRERDDPQLINSSEDELTLTGPRRAFVARDSMFFEINLKIRGASGIAEGDFSKGVVEHNLNCYGGRAVARCLTSWRSTVELVCTPVRHPVEATLEVKILEGPRGVPFRGKVIAWTDDFEDNPMTLLEYDDDSSEEVAGGQGLVGDDGSLALARNLIAVPIDRDDGEMVLNVCFVDDHDESEGTVVTLQYPCEEEFCTHGSYVLHLKVAWKAVLTRPMSRDVHHRLFSLPQPQLTVNSWMWELVFQGSRGCFGENIIYDIY >SECCE6Rv1G0417150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:654836413:654837282:-1 gene:SECCE6Rv1G0417150 transcript:SECCE6Rv1G0417150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDLAAAVISKANGGVDGEEPVEIREVWADNLEAEFALIRDVVDEFPFVAMDTEFPGIVCRPVGAFRSPADYNYATLKANVDMLHLIQLGLTFSGPTGELPALGAGRRRCVWQFNFREFDDERDIFATDSIELLRHSGIDFRRNAERGVDARRFAELLMSSGVVLNDAVYWVTFHAGYDFGYLLKILTCNSLPDTQAGFFKLMKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLKDSFFAGSTEKYAGVLYGLNAENGVSAH >SECCE6Rv1G0431950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:749027712:749030824:-1 gene:SECCE6Rv1G0431950 transcript:SECCE6Rv1G0431950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Uridine 5'-monophosphate synthase (UMP synthase) [Source: Projected from Oryza sativa (Os01g0951400)] MDAAAMESLILELHAVEAYKFGAFVLKSGITSPIYLDLRVLVSHPRLLSRVAALLGSLPPTRPYGLLCGVPYTALPFASVLSVARGVPMILRRYHETAAGAPPAMRTQGSFRAGDTVLIVEDLVTSGASVLETVAPLRAEGLVVADAVVVVDREQGGRENLAANGVTLHSLMTLTEVLAVLVSHGKVTQEKAAEVKRFLDANRKVLVPAEPKVVSARTTFAERASLAKNPVGRKLLEVMEAKQSNLCVAADVGTAKELLELANKVGPEICMLKTHVDILSDFTPDFGGKLRSIAEEHNFLIFEDRKFSDIGNTVTMQYEGGTFRISDWADIVTALAVPGPGIVDGLKMKGLPKGKGLLLVAEMSSPSNLAHGDYTAAAVKFAEQRSDFVIGFLSVNPASWPAPAPSPAFVQVVDAGVDAVVAGGDSPGQQYDTPHSVVNERGGDVIVVGRGIIEASDPAGAAREYRARGWEAYRTSLLSS >SECCE3Rv1G0186460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:685287077:685290476:1 gene:SECCE3Rv1G0186460 transcript:SECCE3Rv1G0186460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAKEDTQPKPRLIVRLGIFLASHHILFSALCCSAGIIALLFLPSLAKNTYLSENALIPGSANPLFSSEDVTEANKFIKGIEAAAGETRGGMEMPKFIVQQMKDLGAEVCYHEFLPHSKHFHPLKFFTSMTNDLAVRPNGTYSNSGTNTIGVIRAPRGDGKEAIVLVTPYNPQRVQANEVLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYTAVSAWLNQYHNPMFVGGVKHEPDGIAEKAEFTDFKRAGTMAAALIFKVGETRNYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNIETISLLLSSAWLRAIAEVIHTLGSILRKINPDWKLDITAPDYVEGTANLASSIYNQALGVPTGSHGAFRDYQVDAVSLEFSPTFYIRNENAKSSFLVRGGRLLEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLVVPLPIVAAALADGGRKMEKSIDASKTKGSADNLQTEGGSWKWLQAARVLLVIQLWAVIVSLLPYYISQIPDGTPIQSSVIWVVLAILTLIVLYAMFGSPYSAGVEWKLLKATMITSISIGLGLMSIINFATAQLGALIVIPMCLFSRPLKAQLGLSFLPRTVLCASNILLAVFGFPPAALLIMKGLSKGSWTVDLGDFWVSMEFLWEWSSATYLYLFLVHLPCWLLCIHVLLHPCRQVGSKVKRE >SECCE1Rv1G0027570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:384193883:384194191:-1 gene:SECCE1Rv1G0027570 transcript:SECCE1Rv1G0027570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCAFLSQPRLVLLLVLLGDVVAMAMARPLPGATADAPTPLPAEGPDGAARPAGGRHDRSIAGAEVILAGFAAAVMAVIFLYIRVTRKSNDRAAAGMAGKA >SECCE2Rv1G0141140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:934406483:934407628:-1 gene:SECCE2Rv1G0141140 transcript:SECCE2Rv1G0141140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAWSRAAMVCMMCLAATQLSTTAMPAPLPGGLSYDFHDATCPNLLHMVHDAVEKALERDIGNAAGLIRFFFNDCFPQGCDASILLARGDSMVETDMPPNRGLRGSVGQLIDNIHRTVHAACGPTVSCADILALATRAAAMHFDVPGYQVPLGRLDSLQPAPRSKVDELPGPDFDAAQLLESFRSRGLDAIDLVALSGAHTIGRSRCISLRNRHGESAEFVQQLKDNCARKPPTWPQDLDVTTPHVLDNKYYNNLKEGKGVFNSDMALTRHPNTTEWVNGFALDQGWFFAQFGTSMSKVAHLLPADQEMNGEIRNHCSFQNNIYKELAASA >SECCE5Rv1G0356940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:742713228:742715343:1 gene:SECCE5Rv1G0356940 transcript:SECCE5Rv1G0356940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLATDVRLSIAHQTRFAFRLASAISSNPESTVNNAAFSPVSLHVALSLITAGAGGATRNQLAATLGEGEVEGLHALAEQVVQSVLADASNVDGPRIAFANGVFVDASMQLKPSFQELAVCKYKAEAQSVDFQTKAEEVTAQVNSWVEKVTTGLIKDILPAGSVSNTTRLVLGNALYFKGAWTDQLDPRGTKSDNFYLLDGSSIQTPFMYSSEQQYISSSDGLKVLKLPYKQGGDKRQFSMYILLPEAPSGLSSLAAKLSAEPEFLEQHIPRQKVALRQFKLPKFKISLGIEASDLLKGLGLQLPFGAEADLSKMVDSPVAHNLYISSIFHKAFVEVNETGTEAAAATIAKAVLRKAPPPSVLDFIADHPFLFLIREDTSGVVLFVGHVVNPLLSS >SECCEUnv1G0546840.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:163357810:163358697:1 gene:SECCEUnv1G0546840 transcript:SECCEUnv1G0546840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTMHHRRRSILQLALLLLAALPAAMLAAGGTCESEELPAGRSYAMCADLPTLGASLHWSYDAAASSLSLAFAVKPPGASGAGWVAWGINPTGEGMKGAQTLVAFKSSGAYVVNTYNLTGYRPLSAASTPIAFEATELAADEGADGKVRLYGTLQLPKGMEAVNHIWQVGSTVANGVPAKHAFAQDNLEAKGSLVLAGAGATDAAPAPVAGGPSAEEATGNLETETAPEAAPAPLAGGPSTEEATGNLETETAPSPAPAPAPSSGSAAIITTYASAPVFILILVFAGFFATV >SECCE6Rv1G0421300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:683043932:683045819:-1 gene:SECCE6Rv1G0421300 transcript:SECCE6Rv1G0421300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACEIARLPEELVSAALARTSPRDACLAAAVSPAFRAAADSDDVWTSFLPPGGLPPLADGELAAPAPPSSKKELFLRLSAGPSLLQDRLVSVWLDRETGAKCYMLSARNLFIVWGDTPEYWTWIPLEDSRFSEGAQLMHVCWFEIRGKIHSKMLSQDTTYAAYMVFKTTDNSFGLDFPVQEASISAGATNSTSKVYLQDNNDDDDDDDDDDDDDNDECGMPENYWSVRRISQEKNATFPQQRADGWMELELGEFFNEGGEDGEVSISLAEIKGGQWKRGLIVQGIEIRRKKSG >SECCE2Rv1G0096450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:439462355:439464637:-1 gene:SECCE2Rv1G0096450 transcript:SECCE2Rv1G0096450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYATRDIWEMEDRQSPRMGCSVVLGVDGGASNTVCVCIPAAMPFADPLPVLARAVAGCSNQNSVGEDKARETLERVMAQALHKARRRRSNVCAVCLAVAGVNHPIDQHRMLDWLREIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTSDGREARAAGAGPVLGDWGSGYGISAQALTAVVRAYDGRGPETVLTNNILDFLGLASPDELIGWTYEDQSWARIADILPVVVESAEAGDEVANKILHNSVGELASSVKAVVQRLALSGEDGKDLFPLVMVGKVLEANKRWDIGKEVINCVTKAYPGAYPIHPEVEPAVGAALLAWNAIASELDGDLRAAA >SECCE1Rv1G0013240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:101665195:101666223:-1 gene:SECCE1Rv1G0013240 transcript:SECCE1Rv1G0013240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAASRLAAPIKHRRVEKELDNRVAEALRERAKARTKTFRSVNSITMRLPRFKDGLKDIRDVFDHYDADSNGTIDNEELRTCLSKLEVRMSEKEADDVHRYCDVDSRKGIQFQEFVVLICLMYLLFGPNVTRRVSEFESAKLNYIFDELIDAFLFFNRDGDGKVTRKDVTQRMNEESARERTPTHITTQLFKEMDLNKNGKVNLKEFLFSMIRWAGLEPEEGDESNDTSP >SECCE6Rv1G0406150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:541323237:541324861:-1 gene:SECCE6Rv1G0406150 transcript:SECCE6Rv1G0406150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSSGGGHRRAKSAVEVVETLAPNMETGESDPAADPGDSPHGIEVGIEFKPVEHPVEPVNLDQPVKCPLPEPSILHDGRIWQERMSTAGGRPRTDLPVVKEGSQLEPDSSATRSRSAVRRRAILPSASAPEHNILALLDECDVAESQRPAE >SECCE1Rv1G0014620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:115558996:115559913:1 gene:SECCE1Rv1G0014620 transcript:SECCE1Rv1G0014620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSDIVSAGGHLWRVKFFPRGELEADQEYVSIFLEHMSKSRSVDVMFEVFMMGRDSKPCMAHRRRFLQTLEIMEDKDSSDCWGWGQFIEGTILEREYLTEGHVTFVFFIMIVDDNCVLVPSSDIGTHLGRLLDHADGADVSFIVDSETFHAHRAVLAARSPVFRAELFGPMSEATMPSITLHDITPATFKVMLRFIYTDELPLEDELEDSSTEMFQNLLAAADRYALDRLKIVCAQKLWDKVSVDTVANILAWAETYNCQVLKNRCIDFFVLEENFKKAVFTDGYALLVLKFPGIIAEIKKRV >SECCE2Rv1G0096140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:433790231:433792301:-1 gene:SECCE2Rv1G0096140 transcript:SECCE2Rv1G0096140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKAAKMEKLSSAAAFVEGGVQAACDDACSICLEAFCDSDPSTVTSCKHDFHLQCILEWCQRSSQCPMCWQAISMKDPLSQELLEAVEQEKNVQADRSCTTAVFRHPMLGHFEVPVDTDDAELEERLMQHLAAAAVTRRSHRHGRRESHRGRSGPHGRTQIVVFSTTETTSSDPISSDQPQVGDSEHSPAIISAPVDAMEEASANIPVHNNTSSNCPIGSNDRISGDEASPINQDGAGPSDPQSFSNTLKTRLQSVSVKYKDSITKNTRGWKERWLSRSDTISSLGSDVRREVNAGIAAVSRMMERLETRDGSGSGPSSASSDNVYSATDNQGAASSNFCAAVNGASSSATCASRSGSQ >SECCE6Rv1G0406620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:548301708:548307521:-1 gene:SECCE6Rv1G0406620 transcript:SECCE6Rv1G0406620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTVRVIGARNLRAMDFNGFSDPYVKLQVGKQRFKTKVVKMNLNPEWDQEFSFVVADVREVLKIDVYDEDMIGTDDFLGQVRVTLEDLLAAENFSLGTRWYQLLPKAKSDKAVDCGEICVAISLETAGATRSWSDDIATELTGTQEEYSLRSSQSAGASSAALAYEENEASKEDNVNDYFSDGTEIPEEDKCGEVRDPEDRFNGIPTEISNEAETSKTEKLDKPSLVDRVYQMFAKKNDDISSTSLRKTEASEEVQQAPTVFEAPLSENSEICSEVTFAELLRSFESRHEEVEMPVNLQGILVNQSYFTSPSDLNNLLFSPDSDFRQTLVQLQGCTDFKTEPWRIDNDGESLKRVISYTTAPSKLVKAVKATEEQSYLKANDKEYSVLLSASTPDVPCGTYFRTEVLFRIMPGPELDSEQQTSHLVISWRMNFLQSTMMKSIIENGARQGLEQNYSQFSDLLSEKIKPIDVDDAGSDKEQVLASLQGGQESDWKIAFLYFCNFGVLSSLFVALYIGVHVSLVNSGAVQGLEFPGLDLPDSLSEIVMGGLLFLQVQHIFKKIMCFFQAREQKVGDHGVKAQGDGWLLTVALIEGTNLAPVDATGFSDPYVVFTCNGKTKTSSIKFQTLEPQWNDIFEFDAMDDPPSVMNVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLQGNLAKSRQSKLHLRIFLNNSKGTGMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCYLKRKLPTQGHLFLSPRIIGFYSSMFGRKTKFFFLWEDIEDIQAIPPSLATWSPSLSITLHRGRGMDAKHGAKSVESGKLKFSLQSFASFSVANRTIMALWKARSLSSESKVQIAEEQSQNNTLQSEDSGIFVGVDDSKSLQMSEVFSSTISANMNSLLEVFEGGSLEMKVMEKVGCLKYSATQWESDKPDEYQRQIHYKFSRKLSPVGGEVTGTQLKSPMPNKKGWIIEEVMELQGVLLGDFFTLHIKYQMEDLAPKQKACSVQVYLGIEWSKTTRHQKRIEKNVLSSSSARLKEMFSLASKQLSNTR >SECCE6Rv1G0449970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:865264362:865268241:-1 gene:SECCE6Rv1G0449970 transcript:SECCE6Rv1G0449970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSTQESKQPSPELPSELPYDFLKSITDDFSDKHILGVSPFGTLYKGTVPEGGKVIAVKKLQENAPILAGKTFITVVQNVMALKHDNIVELIGFCSEAQKKLVQFNGRYIQADITESLLCYEYLPNGSLSQNLFGTKEGDFVSSPGPCIDWGTRFKIINGICQGLCFLHNLDIPLIHMNLKPENILLDENMIPKIADFAMSRVFGEQQTRLCTQKVVGSYGYMAPEYLYRGEISAQSDIYSLGLLIIEITTREKNCPEDKQPSARKFIEKVRKDWTMQHIASMYGSLDAECLRQIKACIDIGLTCVEIDRRRRPSILEVVEMLSLGSSRTSDEVT >SECCE1Rv1G0022250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:281839700:281841028:-1 gene:SECCE1Rv1G0022250 transcript:SECCE1Rv1G0022250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASECTPGAGGATRRLILTMTAVVALMFVRPSCCTAASQTRPPALILFGDSIVDPGNNNGLTTAVRCDFAPYGQDFPAHNATGRFSNGKIVGDILATRMGLKQYVPAYLGTELSDSDLLTGVSFASGGCGFDPLTAEIVSVLSMDDQLELFKEYKGKISRIAGAQRAADIVSTSLYLVVTGTDDLANTYFTTPFRRDYDLESYIEFIVQCASAFIQKLHGLGARRVSVAGAPPIGCVPSQRTNAGGEERACVPLYNQAAVLYNAALEKEIRRLNGTALLPGSVLKYIDLYTPLLDMIQRPAAYGFQVSDRGCCGTGLFEVTLTCNAYTAHACRDPTKFLFWDTYHLTETGYNLLMAQIINRNGLW >SECCE5Rv1G0318970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:369537246:369537509:1 gene:SECCE5Rv1G0318970 transcript:SECCE5Rv1G0318970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYSIQTQKMIIAATMILHNYVRLHDKEDIHFLRCERDPDYVPTIPERYKKYVIPPNASDSSTPAESGPNMDLFRHELATAIALSW >SECCE4Rv1G0245470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:530141207:530145046:1 gene:SECCE4Rv1G0245470 transcript:SECCE4Rv1G0245470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSQIEHNLPMPPHLLNRPLVDAIKAELERLFLDKVVANLGLCLSVYDILGVEGGFIFPGEGCSTYKVSFRLLMFRPFIGEVLVGKISGYDEKGLQVSLDFFTDICIPGHLMQFGTVRGEDGRWALKTEDGDELHLDIDDEIRFLASSIKYPPIPVEQKEDDKPFAPMQINGSIKGDGLGLLAWWAAEEGEEEGEEEGEGEGEEEQEQEQ >SECCE3Rv1G0192580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:760706665:760710507:1 gene:SECCE3Rv1G0192580 transcript:SECCE3Rv1G0192580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVALVGLQLAASPILKKLLVDASTYLGVDMASELHELETTIMPQFELMIEAANKGNHRVKLDKWVQELKEAFYKAEDLLDMHDYDLLEHKAKSWRDSSPPRASSINTIWKPLHAVSNRLSNLRPKNKKLLHQLNELKAILVKGKELRELLCVPAGNSAESQIVPQATSLPPLKVIGRDKDRDDIINILTQTVIVEANSAIYAGLAIVGAGGMGKSTLAQHVYNDKRVQEHFDVRMWVCISRKLDVHRHTREITESAAKGECPRVDNLDILQCQLRDILQKSEKFLLVLDDVWFDDLSSQMEWDQLLAPLVSQHNGSKVLVTSRRDTFPSALNCEKVLRLESMEDAQFLALFKYYAFTGAQIRDPPLLERLEEIAEEIVKRLGQSPLAAKVVGSQLKGKMNVPAWKEALTLKIDNLSEPRTALLWSYQKLDPRLQRCFVYCSLFPKGHKYNIDELVHLLVAEGLVDPCNQNRRMVDIGKDYLNEMVSGSFLQPFSERYMDTCYIMHDLLHDLAESLSKDDFFRLEDDRVTEIPCTIRHLSVRVESMKQHQRSICKLHHLRTVICIDPLTDDVSDVFSQVLQNLKKLRVLYLCFYNSNKLPESVGELKHLRYLNLIRTSIAELPGSLCALYHLQLLQLNHKVKSLPHKLCQLSKLRHLEGYDDQGYRMYEVALPQIPYIGKLTSLQHVNEFCVEKQKGCELRQLRDMNELGGSLRVKNLENVIRKDEALLSKLYQKSHLGSLALVWSCNNCMDADDILQLEILEGLMPPPQLKGLEINGYKSEMYPSWLLEGSNFENLESFKLVNCSALEGLPLNTELLRHCSELNFNNVSQLKTLSYLPAALTHLTISSCPLLIFVTSDELEQHDQRENIIRTDYLASQLASIWEVDSGSNIKRVLSSEHSSLQQMMTLMDADMSHLKTIASAVERDNGEVILKDDIIKAWICCHEQRMRFIYGRSIGLPLVPPSGLCRLHLSSCSITDGALAVCLDGLMSLRRLYLEEIMTLTILPSQRVLQNLTKLEFLFIKRCWCLQSLGGLRATTSLSEVRLISCPSLDLARGAGLLPLSLEELCIHECMVADYFFSSDLPHLKSLSMFGCRSSTSLSIGHLTSLVSLYVGGFPDLCFLEGLPFLQLHHVYLTDVPKLVANCISQFRVQKSLYVSSLVMLNQMLSAEGSVVPPFLSLQGCRDSSVSFEESANFTSVKCLRLGRCEMRSLPGNLKCFSSLTKLDIYDCPNISSLSDLPSSLQHICVWRCERLNESCRAPDGESWPKIAHIRWKDFR >SECCE2Rv1G0077740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:101708858:101712550:-1 gene:SECCE2Rv1G0077740 transcript:SECCE2Rv1G0077740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDATATATAAVPEMAPVFHPTVWGDFFINYNPEQFQMSEERMTERSNQLKEKIIGLFSCGTIVEQLNLVDTLQHLSVDHHFPEQIDSTLRSIHAGEFNSSSLHDVALRFRILRQQGFWMSPDVFSKFKDKDGAFHVNIKNDPRGLLSLYNAAYLFIHGETELEESISFARQHLESMEGKLEYPLAAQVRRALHLPLPRTLKRVEALHYMSEYKEEPMHNSSILEFAKLDFNILQRLHLKELKALSRWWKNLYREVGLNYSRDRVVECYFWAYTAYYEKEYTRARMILAKIIAIIIMTDDTYDVRATLVECKQLNEAIQRWEESATSLLPEYLQKFYLKLMSTFKEFEDELKPDEKYRVSFSTKAFQILSSNYLQEAKWFHQNHKPRFNDQVKVSSVCSGGPWVCVGLLVGMGDTATKEALEWALGCTDAVRACAEVTRFMNDLASFKRGKNKNDVASSVECYISEHGVASEVAIDKIGSLIEDAWKTTNQARFELPELLLPAVQRVANITISMPFMYDDKTDAFTFSTRLEGTIKRLFVNPIEF >SECCE7Rv1G0492130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:543937925:543942227:-1 gene:SECCE7Rv1G0492130 transcript:SECCE7Rv1G0492130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWRPSHLVFVAGVAYLILISLKFRRVLDLATADLAAVDSAFSSPSSTDHLPPLLPGSSSSSNATLFQVQPFWHRYDRVSLPDIAARNRSALDRMADDAWSLGLTAWEDAAAFAGDPWELAAVDAASTDKCPAAVSVRARGRVVFLPCGLAAGSAVTVIGTPRPAHKEYVPQLARMRQGDGTVHVSQFMVELQGLRAVDGEDPPRILHLNPRLRGDWSQRPIIEHNTCYRMQWGSAQRCDGLQPEDNDDKVDGFTKCEKWIRDDIVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEDRLFVLTIQAGVEGFHIYVGGRHVTSFPYRPGFTLEDATGLYVKGDVDVHSVYATALPMSHPSFSLQQVLEMSDKWRSQPLPRDPVYLFIGILSASNHFAERMAVRKTWMQTSEIKSSKVVARFFVALNSRKEVNVMLKKEAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLTAAHVMKCDDDTFVRVDVVLRHIKMNSLGKPLYMGNLNLLHRPLRTGKWAVTEEEWPEDIYPPYANGPGYVISGGIAKFVVSQHANQSLRLFKMEDVSMGLWVEKFNNTMPVRYSHSWKFCQYGCLENYYTAHYQSPRQMLCLWDKLVRGRPSCCNYR >SECCE6Rv1G0451540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:872852465:872854461:1 gene:SECCE6Rv1G0451540 transcript:SECCE6Rv1G0451540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAVVKMSNSEHHDDIANARSSPPSFRMEDLPAEVQAIIISLLPLKEVVRSRIVSTSWRMVWRFHCNLCFDGIATLDCDTDDEFKGTTKISQAKFIETINWVIQQHSGIGINKFGIRCGLHKEDSDNLNKWIEFAATSKAKIIAFSLVIIDYPFEFHHFPLEVLGTQGSSFVRSLFLTGVSIKPQSGICSFTVLGKLVLKCVQIFGDFTGFLANCPALEDLEIINCSGVTNLSIPHQLDKLQHLLVKKMDVGTIESHAADLAHFEYKGKEIPIVFNGRSKLEKATIMFEGRNGLARVFTTVPIIFRVKMLIVQARISAYEQLQKLTPRPDHKFTHLRHMNCQLTVIYLNLPNCDNGVLQLAHCLDVAPRLETLHLDMKYVHNVAWVNDDLVEEEGPHMRRHDHLKTVYISGFRCYTAQTKLACCILENACVLEHMRIQPWVSTRICRCATKLNVGVRRRFLPEVHEWARLTSKRFGKAITVLDDPSE >SECCEUnv1G0531600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17588245:17588730:-1 gene:SECCEUnv1G0531600 transcript:SECCEUnv1G0531600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSFFDTLALDSWNPFGTTATSGADVWLANDTTAFADTYIQSRETAEAYVFSASLPAGVTKEEVKVEVEEEGKVLLIAGERNLRREARSDTRHHVERSVATFFGRFHLPDDAALGQVRAAMDDAGAQLTVTMPRVGAAVAAVTMPEPAGAIEVVEASPC >SECCE5Rv1G0352570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:710729581:710730111:-1 gene:SECCE5Rv1G0352570 transcript:SECCE5Rv1G0352570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAVSCLAALLLVAAAAAPASAAEKETRLRVYWHDVVTGGPNATVAQVAQAPSSNTSATGFGSVYVIDDPLTEGPNLTSRLLGRAQGLYVSAGKDSVSLLMAMNFVFVDGAYNGSSIAIVGPNPVYQAVREMAVVGGTGVFRFARGYCQLRTYRFDTNTGDATVEYRVHLRHD >SECCE6Rv1G0406290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:543094907:543095146:1 gene:SECCE6Rv1G0406290 transcript:SECCE6Rv1G0406290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINGDAPARGSAAAAASLRRRRTTSGAAAGGGGGASTMLQFYTDEAAGRKMSPNAVLIMSIGFVAVVAVLHVFGKLYR >SECCE6Rv1G0439300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795823130:795825633:-1 gene:SECCE6Rv1G0439300 transcript:SECCE6Rv1G0439300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVPATAEPLLPLERQQHRPPDRRWWGLAPAVLLLLLLFASGPLRSRLFDVPPPEPVALTLLAGAQEKGAVCLDGTPPGYHLQRGSGDGSNSWHIHLQGGGWCGTVNDCSNRRMSDLGSSKFMKPIQFAGAGILGSDHLQNPDFYNWNKAYVRYCDGASFSGDAESQAQDGTILHFTGLRIYQAVIDELMGKGLANATQAILTGCSAGGLAAIVHCDDFSARFSHKVSVKCLADAGFILDVKDISGQRSFRSLYDGVVHLQNVRQVLPKDCLANKDPTECFFPTELIKNIHTPMFIVNSGYDPAEIRNVIVPASTAPDKSWLSCKDDIRNCNSTQLEVLDGLRTMMADALKVVKDKEDWGFFIDSCFTHCQTVLDISWNSLISPRLGNKTIAQTIGEWHRGSRQGVKEIDCKYPCNPTCNSQLPA >SECCE2Rv1G0126790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:848739200:848742172:1 gene:SECCE2Rv1G0126790 transcript:SECCE2Rv1G0126790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLLLQVLVAAAAAARAPAAQAWGKEGHYMTCKITDGFLTSEASAAVKELLPSWANGELAEVCAWADKQRFRYRWSSPLHFADTPGDCNFTYARDCHDTKGNKDVCVVGAINNYTAALEDPSSPYDRTESLMFLAHFVGDVHQPLHCGHVDDLGGNTIKLRWYRRKSNLHHVWDSDVITQAMKDFYNRDQDTMIEAIQRNITEVWSSEEKQWEACGSTTKITCAEKYAKESALLACDAYEGVEQGDTLGDDYYFRALPVVEKRLAQGGVRLAVILNQIFSGKNSRLQSI >SECCE6Rv1G0444210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827208443:827208913:-1 gene:SECCE6Rv1G0444210 transcript:SECCE6Rv1G0444210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHPIMAVPSVFAAFDKDGDGKVSTSELRCCMEATLGEDVSEEEAAMVLAAVDADGDGLLSQEEFSRLAAAGAHEEDDADVKRRCLREAFGMYASSSAEDTATTTMITPASLRRTLSRLGSHELGVEECRAMICRFDLDGDGKLSFDEFRVMMMA >SECCE3Rv1G0187120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:694873177:694878985:1 gene:SECCE3Rv1G0187120 transcript:SECCE3Rv1G0187120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEPSVTRWSFQDFERYYDAGLGICRQPQGDGDNDGDDAPPGSGSADTTHANGGADLSVFEHFQQMDRNVELRNGAMDAGPPQKSLLPSFESAETRNLAETLLRDIIHGSPDVKWESIKGLETAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARHAMFEEFLPSTPDTMEIPYDVLVENTEGYSGSDIRLVCKEAAMQPLRRLMAVLEGRQEEIPEDELPEVGPIAAEDIELALRNTRPSAHLHAHRYEKFNQDYGSHVIG >SECCE7Rv1G0514790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:836677833:836678810:1 gene:SECCE7Rv1G0514790 transcript:SECCE7Rv1G0514790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDPPKPPCKGSDDDDCPSPPSSHGSRRLVTPLVVTAFVLVFLALASLSVYCFIRRRRRRRRQQSLLTAAEDANPAVQGDGPDPGMAGAATAADVEVGAPGPEDEEVVHHAWHIRTVGLDEKAIESIARTRYRAGAGTLGGAADCSVCLGEFLDGELLRLLPKCGHPFHVPCIGTWLRAHVNCPLCRADVVVLDSAATGGSDPPADDAQQVPSGTETLNHERPDPDQRQNEQRELRVQIDRRNRSRSPEPPRRRMQSFRRVASMDSSVVSAGAGLVPEDKRSSTENQGNVEVPSGSGRLRYLPGGGRRSLQSRHRRTSSSMLPS >SECCE5Rv1G0364920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:803553524:803553937:1 gene:SECCE5Rv1G0364920 transcript:SECCE5Rv1G0364920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMKHCRDQAREVTSLSLSLSLGAMADRTKKQRRGGADGEFVCKTCSRAFPSFQALGGHRTSHLRGRHGLALGLTAGSDQPATKKTTDQKQAHQCHVCGLEFEMGQALGGHMRRHREQEAATTAQAPPVLLQLFV >SECCE7Rv1G0467610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:89444909:89446522:1 gene:SECCE7Rv1G0467610 transcript:SECCE7Rv1G0467610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 35 [Source:Projected from Arabidopsis thaliana (AT1G26760) UniProtKB/TrEMBL;Acc:F4HPB8] MAAAAMDGLDEESLQELRSRATRLLLKEDWEGYAAVCSRIVHGAAAAADRRVLCSALAHRADARARLGDRPGALADCDAALAADPAHPAALLAKGALLRGLGRYAPAADCFRAALPAGAAGADEAREMLDQCRRLEAQARSGAVDLSDWVLAGFSGKCPDLAEFVGPVEVRRSARGGRGVFAVKGVEAGATLMISKAVATGRGVIPDAADGDEKMVVWKDFVDKVLDAAEKCPRTESLIYTLSTGEEQEDELAVPDMALFKPESEDLSDGVPEAGTREALDVDRVMKVLDVNCLTEDAPSANLLGSNGIVNCGVGLWILPSFLNHSCHPNARRTHVGDHAIVHASRDIKAGEEVTFPYFDVLTPVGKRREAARAWGFECRCDRCRFEAEDAILRQELVRSENELVNGGADMGALVVRLEDKMRKIMVKERRKAFVRASFWSAYSSLFDNGRLMRKWGRKVPGEAVVAESVAGAVGGSESVLRAMLRSADDGNGCGNRLEVEDKVVRIGRATYGKVVKRQAMRALFSLTLDGDNSKSL >SECCE1Rv1G0036940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:514923679:514926417:-1 gene:SECCE1Rv1G0036940 transcript:SECCE1Rv1G0036940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAAILLALLLAALAYVLRVLRSLVWVPYCLERRLRRQGIRGPPRSLISGNGADYGALLAAAQCKPLASFHHAIVGRVAPHYREWPARYGRPFVFWFGPRPWLVFSSPEVAKAALNDSTGTFDKAGNGGGSNPLARQLIGEGLVGLTGEKWAHHRRVISPAFNMERVKGWIPEMSAIASSMLDKWEVQGGTHTEFEIDASKEFQTLSSDVISRVAFGSSYEEGKRVFQLQEEQINLALLAMRTFYFPGFRFVPTKKNRRRHSLNQEIRSSLRKLIETNGRKCEDSKNLLGLMLSASKTDNEFKMGIKEIIDECKTFYFAGKETSANLLTWATLLLALHKEWQDKARSEVYQVCGKHEHPNAENLSSLKIVNMVLKETLRLYPPALFINRTVTRDVNLGKLDIPAGTQLNLPILDIHHDVDIWGSNAEEFDPSRFADGKSYHLGAYFPFGIGPAICVGQNLAMVEAKLTLAMVLQRFAFDVSPSYVHAPMKVMTLQPQYGAQLLVHRI >SECCEUnv1G0552900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:259539427:259543351:-1 gene:SECCEUnv1G0552900 transcript:SECCEUnv1G0552900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARRPRFLCLHGFRTSGEIMRKQVVGKWPVEVTARLDLVFPDAPFPAEGKSDVEGFFDPPYYEWFQFHEARLLHFPFSRSHHACGFIMECGNLDGCLAYVEELMIKQGPFDGLMGFSQGSILSSALPGLQEHGLALTRVPKIKYLIIIGGAEFRLPIVADKAYAKKIKIPSLHFLGDKDFLKTRGEKLIESFVDPFIIRHPKGHTVPRLLDEISLEVMSCFLGKMEKEIYKLSSVEAEVHVDADNKETCI >SECCE6Rv1G0382350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:41401905:41402864:1 gene:SECCE6Rv1G0382350 transcript:SECCE6Rv1G0382350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGIGNQQPAAAHGLAAGASAAAMPMSSAEVPSQQGYRSYVGLVASSSPPPPTLVVGLDGAKEMTTNNKRKRDEQSSACGATQAQQQPIVVDHSLRNQAEKFLSTLEEERRRQETRMLSTVDAMADKQLLAKDQEIMESWGTNRALGEHLRALYMEAEAWRMEAQSKETAANVLRADLERALAQQAVHDRGSGSGEGQGEDDAESCCWGDYHVAFCGEKEVETPVVKPAVTGAGMCKGCGENAPVVVLLPCRHLSVCGPCAEATRWCPSCGYAKQDSICINFS >SECCE2Rv1G0107510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:649650798:649652363:-1 gene:SECCE2Rv1G0107510 transcript:SECCE2Rv1G0107510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTSPAAVAAAARAAPTPAAALALFKSALSADPALSPLAVLPHLSATPSLPHLLLTASIAGRPHTISLSLYSRLKSLSLPIPTATLHPLLSSLPSAPAFVLFADIFRLRLPLSTTTFNIMLRHLCSSGKPVRALELLRQMPSPNAVTYNTVIAGFCARGRVQAGLDIMREMRERGGIAPDKYTYATVISGWCKVGKVEEAAKVFEEMLAKGEVEPNPMMYNALIGAYCDRGNLEAALRCREEMVARGVSMTVATYNLFVHALFMEGRAAEAHALVEEMGGNGLAPDAFTYNILINGYCKEGKEKKALKMFEHMAAKGIRTTVVTYTSLIYALSKKGMVQEADRLFNEAVRRGIRPDLVMYNALINSHSTSGDMDRAFEIMGEMEKKRITPDDVTYNTLMRGLCLLGRLDEARGLIEKMTKRGIKPDLVSYNTLISGYSMKGDVKDALKVRDEMIDKGFNPTVLTYNAMIQGLCKNGQGDDAEELVKEMVGNGITPDDSTYISLIEGLTTEDERLAAKRL >SECCE2Rv1G0130330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:875736578:875737522:-1 gene:SECCE2Rv1G0130330 transcript:SECCE2Rv1G0130330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIGSRDKLQAAAATGHRRGLLLVFASCFAFATLLTFLYTSSGFASAASSGSRLGSSSSSNAAAGSGAALPLPVFDALVHYASFSNATHRMTDTDIRAISAILRARGPCNMLVFGLGAESPLWLALNHGGRTVYLDENEFYVKYLEPRHPGLEAYDVSYTTKVRDFRDLLEAARGSRAAECRPVQNLLFSECRLAINDLPNELYDVPWDVVLIDGPSGWNPNSPGRMPSIFTTAVLARSGATAAKGPTDVLVHDFNFEVEQVLSKEFLCDENRVAGSGTPSLAHFVIRPGGPADAFCSGQDSRATSEEKTRRK >SECCE3Rv1G0187690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:703769246:703770274:1 gene:SECCE3Rv1G0187690 transcript:SECCE3Rv1G0187690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASIYRSLARHRPRLPLAAAAATAAMSSSDTAGSVSDRPISPDTTRIGWVGTGVMGQSMAGHLLAAGYAVTVYNRTPSKAQGLVSSGARLVDSPRAAAAAADVIFLMVGFPSDVRSTSLDPSTGALAGLAPGGVLVDMTTSDPTLAAEIAAAAAGAGCAAVDAPVSGGDRGARNACLSIFAGGDAAVVARLAPLFKLMGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVGKWLEAISTGAAGSKSLELYGKRMLERDMAAGFYVRHFVKDLGICLSECQTMGLALPGLALAHQLYVSLLAHGEGGLGTQALILAVERLNNTCLDKKGE >SECCE6Rv1G0439510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:796738002:796739297:1 gene:SECCE6Rv1G0439510 transcript:SECCE6Rv1G0439510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGILYDWSMCLQGESVSCYCRVDGGLKTVVNARKFVPGARLCMQPDVKPNKRKTRSSRKERGRTQAPLLPGLPDDLAISCLMRVSRVELPNLRLVCRKWSRLLSGNYYYSLRKKCGMAEEWVYVFKRDRDQKLSWHAFDPVHQLWRSLPPVPPEYSEAVGFGCAVLSGCYLYLFGGKDPVRGSMRHVVYYNTRTNKWHRAPDMLRKRHLFGSCVINNCLYVAGGECEGTQRTLRSAEVYNPNRNRWSCISEMNTGMVPFTGVVYDGKWFLKGLDSHRQVVSEVYLPTSNTWSTTGNTLVAGLRNPTIPFNGRLYSADCRDACKLRVYDGDIGLWTRFMDSRRHLGSSRAYEAVALVSLNGKICVIRNNMGMTLFDVCDSTKVIEIESARVWETFARKGHQHRSLMANLWSAIAGRNLKAHIIHCQVLQA >SECCE7Rv1G0477050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:213781384:213781851:-1 gene:SECCE7Rv1G0477050 transcript:SECCE7Rv1G0477050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRGDVFDPFSLDLWDPFDGFPFGSGSGSIFPRTTSSDTAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVDDGNVLQISGERNKEQEEKTDTWHRVERSSGKFLRRFRLPDNVKAEEIKAAMENGVLTVTVPKAEAKKPEVKPVQISG >SECCE4Rv1G0289040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:863322113:863322525:1 gene:SECCE4Rv1G0289040 transcript:SECCE4Rv1G0289040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAGGEKKGKKKAKKSVETYKIYIFKVLKQMHPEIGISSKAMSIMNSFINDIFEKLAVESAKLACYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCE4Rv1G0245820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:536537304:536541377:-1 gene:SECCE4Rv1G0245820 transcript:SECCE4Rv1G0245820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGEGRSRVLVIGGTGYIGRFIVAASAREGHPTAVLVRDAAPADPAKAAVLKGFRDAGVTIVKGDMYDHESLVTAIKSADVVISAVGYQQLPDQTRIISAIKEVGHVKRFFPSEYGNDVDRVHAVEPAKTVFGKKAGIRRAIEAEGIPYTYVSSNFFAGRFLPSLAQIGVTEPPTEKVLIMGDGNVKGVFAAEEDVGTYTIKAVDDPRTLNKILYLRPPSNTLSHNELVSLWEKKLGKTLERVYLPEDELLNKIQESSAPVNVALAISHSVWLKGDHTNFEIDPSFGVEATELYPDVHYITVDEYLNRFL >SECCE4Rv1G0278260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:808213499:808214654:-1 gene:SECCE4Rv1G0278260 transcript:SECCE4Rv1G0278260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVRKGAATVELGSLTMRRKGAPPPPPVVPVEEGKKAPAVPVGGGKVSAEEVWEVRPGGMLVQKRGPEEDEPAPESVKPVPTIRVKAKLAGKTHEIYISAESTFGELRKRVAERAGAHPEDLRTLYKGKEQDPKAFLDMAGVRDRSKVAVVDDPEARARRLLEELREGSLRKAAGAVAAVAAEVDKMAPKVSALEASVRKGEKVAEKDVATVTELLMNELLKLDAVAAGGDVKEQRRVQVKRVQKYVETLDAVMAKNATIASKSTAAKKQPQQAPPQPMPVRQPQSQRQRHQQPPPQQQPPAQTTRWEMFDLLSSLPTTSSSSSTTTTTTDSSTASSVGAPPPPTNRLDWML >SECCE4Rv1G0245400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:528912772:528915315:-1 gene:SECCE4Rv1G0245400 transcript:SECCE4Rv1G0245400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGSRVEAAPRLAQWRVDALPCYTYRKSDSFRVGLWNWYLSVERNNKQTCVKLFAELSNSAKNTAPAPIASFVTKLLISFPPNQKVIEHPGILDKPLKHDGFVWTVDSSFTGRFVIEIEFLDLKVADSSGGEPASIWASHQIKQFSDNTALSSLARMLQEGILTDITINADDGSIRAHRAILAARSPVFRSMFSHDLKEKELSTIDISDMSLDSCRGFLNYIYGDLRSEEFLAHRLALLGAADKYDIADLKEACLESLLEDIDARNVIERLQTGHLYRLQRLKDSCLRFLVDFRKVYEMQDEFSAFLQTADRDLVAEVFQGVLAAWSGR >SECCE6Rv1G0452860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879566969:879570228:1 gene:SECCE6Rv1G0452860 transcript:SECCE6Rv1G0452860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEEESLVGMGRESMTDGCSEWEWVVDVKKMLADNNLQSRRMEEKRWEAQSVYLVPEWLKGKNTEAYQPRLVSLGPFHHGDPNLLPMEEHKRRALVQLIKRSKKPLQDFISAINDVAGELEAAYGKDLDGNWRDDRRRFVDVMLTDGCFLLEIMRKPSQDYEPHHPVFSEHGRRGMFPLIRSDMLLIENQLPLLLLKKILGVLPSDYLQVEMDHSEKQEEVNFEKTINLMVLKYLYGADEGHDVADMSLRHHPLELYHGSLTHVNGAACEVVSKECKYEMMPSALEIHEAGIKFRQSKTDKLQDIHFERGVLSMPMMRVDEGTESLYLNLMAFERLHIGTGDLVTAYVSFMDDMIVSAKDVAMLSSSGVLENMLGCDEEAAQLFNGTLSSGQRLGPCYDLHRVQCEVHAYCRQWWPTIKSTYFKNPWASVSLAPAAILFIATLLQTVYTVMANKS >SECCE4Rv1G0277150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:801877300:801879515:-1 gene:SECCE4Rv1G0277150 transcript:SECCE4Rv1G0277150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMCSRGAATVGLPPAWVDVSEEISANMQRAKTKMAELAKAHAKALMPSFGDGRDDQRAIEILTHEITDLLKRSEKKLQKLSMKDSSEDSNVRKNVQRSLATDLQTLSMEFRKKQSTYLKQLRQQKEGQDGVDLEMNMNGSKSTFELEDDEFEDVGFTEVQMSKLKKSEAFTREREREIEQVAQSVNELAQIMKDLSVLVIDQGTIVDRIDYNVQNVAASVEEGYKQLQKAERTQKKGGMVMCATVLVILIFILIILLVLKKILF >SECCE4Rv1G0262540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:708234772:708235098:1 gene:SECCE4Rv1G0262540 transcript:SECCE4Rv1G0262540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSTSSKMAKRKKPAPKLDTTFCCPFCNHPDSVACTIDLKLLVASAVCCICEEAYHTTAHYLTEPVDIYHDWIDACEKANQEVDVRDYHKRQRRVGSDDDDDSDA >SECCE4Rv1G0216780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12598792:12606719:1 gene:SECCE4Rv1G0216780 transcript:SECCE4Rv1G0216780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPTPPPQPPVDKSYFYNWLEHRTHADPGSLVSELLEAIQDGDVDGLKDMVSGMDKQDRAKLADMHVDGTGLLQLAAFLAELEVCKYFVEELGFDVNAGDVDGGVTPLSCAALFGEVAVARYFLDNGADPNKIEERGSVALHNAAKSGNAELVDLLLSRGARVDIAITHGTPLHIAASYGHTGALKILLDHHADPNRVSEVSGTPLVTALHCGKHEVSESHSLECVKLLVKAGADVNSANPSTPLVVATMIGLTDCIKYLLEAGADPNIPDKEHGRMPIQIAASFGRRSHVDILFPYTTPIRAVANWTVEGIIAYEKSRGSIPKDESCNNIADKITMLKSQGKEYFKRKDYLGASKLYAKALELCYLDETLYSNRSLCYLKIGQPQKALLDANHCIRRKPEWAKGYYRKGAAHMSLKEYEEASEAFQDALELDPGNNEIEKALREAWEAMSKDQAAVGSIESID >SECCE4Rv1G0221300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:47749658:47751186:1 gene:SECCE4Rv1G0221300 transcript:SECCE4Rv1G0221300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSLSFSRALLHTSAPRPSFHRRVSISGAGSTLPDARRLCRRSSAVTAAAGASPPDAGTAAWQALGGVSVFATGTGDAVPLRDLWDPSEGVVVVALLRHFGCFCCWELASDLKNSMPKFESAGAKLIAIGVGTSDKARILADGLPFPVDSLYADPERKAYDVLGLYHGLGRTLFSPASAKIYSRLDSIKEATKNYTLKGTPANLTGVMQQGGMFVFRGKELLYAWRDEGTGDHAPLDHVLGICRQVPVA >SECCEUnv1G0527960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:2978240:2983543:-1 gene:SECCEUnv1G0527960 transcript:SECCEUnv1G0527960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAPAGEGPASARPSRIRVMRPEVEEVLKSPRRGRAKLHKEGGGEGADDAGARPRYDCAFQDEGEQGFAPPDVVWCKVRSHPWWPAQVFDAADASELALRHARPGAPLVAYFWDRTFAWMDPSALRPFRAHFPRLAAQSTVSSYVASVDAALQEVTRRIEAGLSCSCSPPAVARKQQIHNSGIREGAYGAVVDEVYMRDTFRAKPFLDYISALGRSPLAGTDRLDLATATAQLRSFNRLRCPMELPEFVIYQGIEDAAAAVAAEAEADAEVAATSPHTKRKRTEDDDAPAKEKKSRHGDESSSRKRDAPAKEHEVIDVDSIPPMPTDRIMDTSEDAADKGEAPAKEDAAMVEDTPMPSTKQRKSKRASKTSAEKKKKDTFKDAADKGETQPVVEPAKEDDVDGDSSMPSVEATDDTLGNQRKSKRVSKSAAENKKKDTSKDAADKGGSLPEAEPAKEDDVDEDSSMPSVEAADDTLSKQHKSKRVSTSAAENKKKDTSEDAADKGESLPEAEPAKEDDMDEDSSMPSSGAADDTLSKGKKSKRATRSSAKTKKNDTSKDTDKTESVTEPAKEVATDDTVSKGRKSKKSKSSAKAKKKDADKKESLPEPAKEGAENEDSSMPSAEATDVALSKETNSKISTRSAKKKKKKDADKKESLPELAKEGAENEDSSMRSTRSAKKKKKGADKKESLPEPAKEGAENEDSSMRSTRSAKKKKDAGKESLQEPAKEKATGDGSSTPSRGAADDTPSKERKSSMSSFRNRIIDTSIDAVDGMLSERKSGRRLRSSLKMVEALEVLKWPPGKKDAGETTTVKAKDAALPKEKNLGRRAGSAGHKDKTTITKDGDGLRVSPLKKRLHLDVHSATENAPFAVSELGRKKKTLIELLSETTTPNPSAGGKSKARPKRPLPSSTLKPEASPRTRGKSSLPASAEKPADPGRDKDTVKTREKRSLPASTEKPERDTRSTMKTRGKSSLPSSAEEPEDPDRSTKGTPKTRGKRSVPESTEDPVRVTKGSSKTKGKRSLPESTEEPEGPDDRAMKDSMHTRKRKKLNTLGDLSSQPQPVSPKGSTKAREVKRKAAGQKSQASPVVKANGGISGDTSSQPESVSPKRSARRKAAEPKPQETLVVKANGEASQTRSRRAKNSEVTVPDKPPHSVKPDKGKKGAVAEDSPSCGEMLSQLCVGASDVEKMGKITPTNATFLTDFLKKARACTSDVEEAANTDCDASSPNADKEVPEKAAADKVSPNADEEIPEKAAADKISSPDAVEQIPEKATDNVSSPHADLEVPEEAADKVSSPHADDEVIPEEAAEKVSNPSADEAIPEEAADKVSSPHADDEVIPEEAAEKVSSPNADEVIPEKAANEVSSVPADEAISEKAAENSDEVIPEEAADKVSSPHADEAIPENAADEVSLAPADEETLLKVKEEVSSPLADEETLEKATTENVSSATADGEETLETAATENTADEETLETAATEKVSSAPANEVTVETAAAEKVSSAPAGEETLEKVKEEVSSPHADEVIPEKAAEKVSSPHADEAIPEKAAADEVSSPPADVETPEKATEKVSCPPADEEIAEKPADKVSSPHAEAEMSEKATKSSPAPSEPPVVGDHMKDDYWADILINVEEPLSSLAKKKDKGKMRSSKKQRRDDEMEQDEAENGKANGGGGQASAGEEEASLTGLVLHFSKPGAVPSRGDLIEIFSQYGPVSEARTEADEHSSSAQVVFRRRADAEAAFGGAGKIAALRPGLDSFRLTDFRGDDGAKQE >SECCE7Rv1G0516470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:846413878:846414816:-1 gene:SECCE7Rv1G0516470 transcript:SECCE7Rv1G0516470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTTSCLTFLKEALVLPTRNPKLFAPILILLAAIAFLNPAVNVVSIQPLTSEMLRHVAEMKTADPSSAEYARLLEEIRQEARELVLISVALTVVTLALGFAKQILAFSAASTTYSGERYSLAELLRRVTKWGNLRGPLVTLGVVAALQLTFVALLGVYFAKVMRHAEVLSVQGALFALAFLAFLYLAVVAAVGVAVSVADEGCRGVRALLRAWRLMTRVSRREGALLAVVMVVLPTVLSPMYVLALAYAKKSMAVGLCLLFGYVLLSAAVELFYIAAATVYYYEAMESKEVVLAFDGYAKIPSTETEANV >SECCE6Rv1G0404760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:523750396:523750818:1 gene:SECCE6Rv1G0404760 transcript:SECCE6Rv1G0404760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLAQAVAELVGRCARRLSRAARRLHVRRPPGSSFPSRAVVPFLGAAGGKAKRALSWPATSSSSKKGREAGPAAEEWGLEAEDGVWRKEILMGERCQPLDFSGAIYYDAEGRRLGAPPTPRTPMRSPLPASLKLAANA >SECCE3Rv1G0211920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:953769166:953770104:1 gene:SECCE3Rv1G0211920 transcript:SECCE3Rv1G0211920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPPWPHPAAATETHVRFCGKHTITVEQKSSDQPCSLRLRSDLILSHGLRGVGGRYVGVTTDPVARALDLRARVADAAVLRSAEACSDLIHYMLDEAPMTAEYDLAADNWMDSSADRTPLDIATAIAEYVREETEYDGEEEEIEHVAPSFHFDVHMPQLRVTLVYSEPKALLLACKEAAAKTEAAGTPATGRKRRRESSGEPCAICMVDEDASWDEETVALPCSHAFHCGCILTWFHRASTCPTCRCDIMECFTFVRSSAPPEQFTDDVAEDELDRVIGFLAHGEQLVGPADTDEPQLIDSDSEEELDI >SECCE4Rv1G0256860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:660512291:660522696:1 gene:SECCE4Rv1G0256860 transcript:SECCE4Rv1G0256860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 29 [Source:Projected from Arabidopsis thaliana (AT4G30810) UniProtKB/Swiss-Prot;Acc:Q949Q7] MPGASGPLAVLLLLLLVLVAAASASSAGKGESWRAEQERDRVPRVPGQAFNASFAHYAGYVTVSEERGAALFYWFFEAAHDSASKPLLLWLNGGPGCSSIAFGVGEEVGPFHVNADGKGVHMNPYSWNQVANILFVDSPVGVGYSYSNTSADILSNGDERTAKDSLVFLTKWLERFPQYKEREFYLTGESYAGHYVPQLAQAIKRHHEATGDKAINLKGYMVGNALTDDFHDHYGIFQYMWTTGLISDQTYKLLNIFCDFESFVHTSPQCDKILDIASTEAGNIDSYSIFTPTCHSSFASSRNKVVKRLRSAGKMGEQYDPCTEQHSIVYFNLHEVQKALHVNPVIGKSKWETCSEVINTNWKDCDRSVLHIYHELIQYGLRIWMFSGDTDAVIPVTSTRYSIDALKLPTVNPWHAWYDDDGEVGGWTQGYNGLNFVTVRGAGHEVPLHRPKQALTLIKSFLAGSPMPVLSDLRSDM >SECCE2Rv1G0106040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:629364945:629367712:-1 gene:SECCE2Rv1G0106040 transcript:SECCE2Rv1G0106040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADACRAAIMSNLGRRLFFFLSFAVLLFSVGGNGEEEGGQAHGVDVGSFRLLLGIGALGPRSSRRQHHGHAVAPAPAPALAPAPAPATGRRAHLPLLHKNARLPDPVPGKVAHRRNGTAQSPRDGGGGHDHDGKKSMQLVIVAAAAALSGAVVVLLVVLVVFLTCRRFQRRRDGAEQNGATNKVSFDPGPNLLYLDAVKPYIEAAHDDAEAPETAVAGPKDEDPKREEEKDAGACSEDDGAGSVHSSCCFNSSHFSYSELRDTKSGQADGVSPSPSARSRRRSSAPTTPADKMKAASPYSPQCPRTPGNQERACRAHSPSSSESAARLFMDQELRRTRGSLSFPGVQSSSTRQSKETKAEAGIVRSDAASGMTVPPPPPPPPLVPACGPGVVPPPPPPPPPLLILKEQNFRRSGGPGLPPPPAPPGMLRQSAPLGKNGAALPKLKPLHWDKVRQAPNRRMVWDRIRSSSFELDEQMIESLFLYNSRLSAKHEEAQSRSPSLGNHVLDPKRLQNITILMKAVNATAEQIYAALLHGNGLSVQQLEALIKMAPTKEEVEKLSGYDGDVESLVPAERLLKAVLTIPCAFARVEAMLYRETFADEVGHIRKSFAMLEDACRELMSSKLFMKLLEAVLKTGNRMNVGTARGGAMAFKLDTLLKLADVKGADGKTTLLHFVVQEMTRSQKSPTRVAEGTDIATGLAAELTNVKKTATVDLDVLTTSVSSLSQGISRIKGLVGSGLSGDERNGCFVAFMAPFVSHAEEVIRELEDGERRVLGHVRDITEYYHGDVGKDEASPLRIFVIVRDFLGMLERVCKEVRGVRNLHAWNPVPNNV >SECCE5Rv1G0343570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638972269:638973340:1 gene:SECCE5Rv1G0343570 transcript:SECCE5Rv1G0343570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVTAGEETADVAVEKAPYWDPPPAPLLDTSELTRWSLYRAVIAEFVATLIFLYVSLATVIGYKSQSSAQACTGVGYLGVAWAFGATIFVLVYCTGGVSGGHINPAVTFGLFVGRKLSLVRTVLYIVAQCLGAICGAGMVKGIAGASYETLGGGANTVADGVSVGAGLGAEIAGTFVLVYTVLSATDPKRTARDSFIPVLVPLPIGFAVFIVHLATIPITGTGINPARSLGAAVMYNQHKAWKDHWIFWVGPLLGATVAALYHRFVLRGEAVKALGSFRSTGAATART >SECCE5Rv1G0369710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:837358366:837361400:1 gene:SECCE5Rv1G0369710 transcript:SECCE5Rv1G0369710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAGAVIEIISDDDEKGGPLSAKPTADALEWASSLLLDDLTGLGEGLDDSAVIQELLSTLEGEKKAADDDDDDDCVILDGDPDKPLVLVKEDKPGKDGADEDLQVLSEKGEVACRDFPHPRHLCARLPFASGSHANHCTMCHCYVCDSRAPCPSWGKGTLPTDHCDATDKDEKWKKQRQSLKRKSAPPTKREGVKKMSLSSSTTPSSQQFTGHQASVAQPYAPLGTTVNQPSAARVPVASNVSQNQQRHSSALWTSVSHPFAGGVPVASNVSKNQPLHPSALRTTVNQPSTGRVPVASNVSRNQQLHPSALGTTVNQPSTGRVPVASNVSQNQQMHPSVMAAQNAWRSTRLPKASAPGPKISGKTSNRPGAAPTVYTPSNGYIYPALRNNAPMHPATPRAVQTVQAAPGSMGMPGGNLLIPTQRSLAAPVQVWPMPHLQAAPNGSPGTAGMQQLRPCSTRVAQATQGVQDASAIEDSWQIALANLASDLGVSDYNVERPPVQPSVSTQPLHQSQPVAQPKAVQGGETPTAAHMRPSNALPQQDSKSSDGAVSMPKTQAFCILNSQSSFVSSETSLNSFVAKPAMEH >SECCE4Rv1G0290000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:869910888:869912561:-1 gene:SECCE4Rv1G0290000 transcript:SECCE4Rv1G0290000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSCDKPKMNYRKGLWSPEEDQRLRDYILKHGLGCWSAVPAKAGLQRNGKSCRLRWINYLRPGLKRGMFSQEEEDVVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMQLGSNSSSNPASKNASPELLTSMSATTELIMTSGGGGGGSTATSTHDHDANIGSSGAISPAEPFVDQHHDHQHHHHHQQAKNFVFADWMPTAAAATAAAGPESYSMSAHWPASTASSGNVTPSHGAFVGDQMGGSSYAALQHPHQHHQQQEHHHHHHQSAVTVAGAHGGVVAGGGYFDLLNMGDIYGGFTTTNDDLLF >SECCE1Rv1G0044060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:599040378:599041851:-1 gene:SECCE1Rv1G0044060 transcript:SECCE1Rv1G0044060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAVPPCHVVAVPFPGRGHVNAMLNLCRLLAARDGVSATVVVTEEWLGLLGGPAHAPGVRLEAIPNVIPSEHGRAADWAGFVDAVYTKMEAPFERLLDRLGAAPAAIVTDTFVPGAVRVGNRRGVPVCILSALGATMFSVQYHFDRLPTAAGGSADMADVTDPCLIENYIPGLKSIRLADLEPTHSDKIRLDKILEAYPYVRKAQCVIFTSFYELESNAIDSLRQELPCPVFAVGPCIPFMALEENQADSEEEQGYMTWLDTQPASSVLYVSLGSFLSVSSTQLDEIAIGLAQSKVRFLWVLRDACARVHNLIRGSDGMVVPWCDQLKVLCHPSLGGFFTHCGMNSTLEALYAGVPMLTLPIAFDQPINSRLIVDEWKVGYSLKEKARADGVIGREEIAGAAKRLMDRGDGEGTRRRASLMKEASRTAAEVGGSSYKDITWFIRHISQFNN >SECCE2Rv1G0106560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:637065917:637066180:1 gene:SECCE2Rv1G0106560 transcript:SECCE2Rv1G0106560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYSINTQKMIIAATMTLHNYVRLHDKEDLHFLRCERDPDYVPTIPERYKKYIIPPNALDASTSAESAPNMDLFRHELATAIALSW >SECCE4Rv1G0259180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:683683115:683684924:1 gene:SECCE4Rv1G0259180 transcript:SECCE4Rv1G0259180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLNPSPEVKHVLLWSVPLLIIAPTVIFLYMQAVGKKKNTIRLPPSPLRLPIIGHLHLMVHEPHRSLQRLARSLGPVVHLQLGGVEAIVVSSPEAAKEVLKTHDVHCCSRPSSPGAKLITYGQQDIAFSPYNASWRERRKLFVSELVSSKRVQSFAYALEAQVGELIQSLSLRSLPTEPVNLNETLFTLIDGFIGTVAFGSMKGAKLMKYAKFQQVFSEAMVALSAFSAQDFFPASRMNRWFDKLVRLEARYQRIFLELDSYFEMVLSQHMDPGRVKPDKDDLVDVLITLWKGQGKVTKDHLKALLMDAFIGGTTTSSVTLLWAMSELIKNPAVMNKAQTEVRSLVGGKQRLVQVDDLPKLNYLKMVVKETLRLHPPAPLLVPRETMDHVKVLGYDIPAKTRIFVNVWAMGRDPACWEKPEEFYPERFDGIDTDFYGSHYELLPFGAGRRICPAIPMGTTIVEFTLASLLHSFDWELPDGMRKEDVSMEGTGGQVFCRKTPLYLVPSFYTG >SECCE4Rv1G0257110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:663630762:663631109:1 gene:SECCE4Rv1G0257110 transcript:SECCE4Rv1G0257110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDEWLAPDKLQHVLACLFITLAAAALAGRSSRPFLRRRALALGCAASLAVGAAKEVADETRLFGSSGASLRDAAADLLGVALAAVLVDLARRLRRQRRREKADDTDGSISMV >SECCE6Rv1G0410620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:600582139:600583311:-1 gene:SECCE6Rv1G0410620 transcript:SECCE6Rv1G0410620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRRFLNLLAANRPGCMYSLRRFDLSRNQFFYATPEEVASHGRVLPRVQRDSTVKKQQASEIGPIRLPARLFNTRPAPYPKPKCDELRMDAFALSESSIVFADRQRHVFTYEADSGCFVTMPGLHAPKDKPLAVSVPRPGGGDGGGLYVIERLLRPGKSFQFEALVSGQHYNEHHPCRTWQCEELPLPPLEKEVLLGSVAVVGDVICISSSHFGTYCFDTASRSWSHAGDWALPFFGTAEYVPELNLWFGVSDHDYHLPCAADLSPVLAGQRPESGLIWADNYLPEEWHHSGILNPAKMVSLGSGRFCIIRFLETRIPSIDTEQIFVDKEYAVFTGLEVVLAGKGKGKGSINGNRLRMVRHKSRRCRNLDSNFIECVL >SECCE1Rv1G0063100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:723090827:723096539:-1 gene:SECCE1Rv1G0063100 transcript:SECCE1Rv1G0063100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNVAIDDDEYSLPQDDEAAPPPPAAAADKPPPKKGGKKGKKGGKSAAHDDDDDYEPAAPPPGDDEGDEPINVVFTGKKKKKKGGAGGGGMSAFDALAAAEDQDQDEDEAPASAAASELEAGKSEASADLDDVDLDFDFSKSKKKKKKGKGARPSAEEDEEQAAAAAAAAPPAAAEEEEEQEAAAMAAKKPQKKKKKKGGFTVDDEDIDKLLAEMEDPPQPTQEAEPEEAKGGDSLAAPDADDAGGKKSKKKKKKGGFTVDDEDIDKLLAEIEDRPAAAEEPEPKAAKAEDTVAAAAAAATSVDDAEGKKSKKKKKKSGRTAQEEEDLDKLLAELGEGPTPAPEKEKPSQAAPSAPAVKEDAETAEDGNAEQKAGEGEVESAAAKKKKKKKEKEKEKKAAAKGTEAKKEEEKEPEAPKGKVDMKKLPKHVREMQEALAKRQEAEERRKKEEEERLKKEEEERLKKEEEEKKAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLGESEVPVADGAAPEIKKRPKYDSKKKKAQTKASETQKVAEEQQQEVNDANIDEEEYVIVDQESQSQVAESETKTEPDQEVEEAKQEEEEEDEDDWDAKSWDDIDVGLSKTSAFEEEEEEKEDKPVATKQEISKPQPAVPAVKNVAPPVDNSKKSETENVRANNGVAKKKGKKGSSKDDDADNASDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTRELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKTCPNAPIGKAMRQQNDDVKLEFNTRLTDIVTQFKMQGVNTALYYKNKEMEDTFNIVPTSAVSGEGIPDLLLLLVQWAQKTMEEKLTFVDEVQCTVLEVKVIEGHGTTVDVVLVNGMLHEGDQIVVCGMQGPIVTTIRALLTPHPMKELRVKGTYLHHKKIRAAQGIKISAQGLEHAIAGTALYAVRPDADIEDLKDAVMEEMSRVRNRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEESGVKIFVADIIYHLFDQFTAYIKNIREEKKKDSAEEAVFPCVLKIMPNCVFNKKDPIVLGVDILEGIAKVGTPLCIPSKEFIDIGKIASIEINHKQVDTATKGQKVAIKIIGSNSDEQQKSFGRHFEMEDELVSHITRRSIDLLKENYRDDLTMDDWKLVMKLKKILSIP >SECCE2Rv1G0127290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:852063181:852063639:-1 gene:SECCE2Rv1G0127290 transcript:SECCE2Rv1G0127290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMGPLAAQHAGAFAHDLGLGSPAALVAFAVVATVAMAAVAAFGCADGAKKPRRQDNNNVYYYGQGYPPPPPAGAYGYPAQQPPPGYAYPPPVDAGRKQGGRMGAGAGLALGAGAGLATGVIVGSALSSGCGGGGGGGGGCGGGCGGGCGG >SECCE5Rv1G0350100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:688361968:688363849:1 gene:SECCE5Rv1G0350100 transcript:SECCE5Rv1G0350100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purine permease 5 [Source:Projected from Arabidopsis thaliana (AT2G24220) TAIR;Acc:AT2G24220] MDKDGDGGSGDGLRHHAIRLPLTVAAMEGSDSEITSNGGPSVSLRQKASSIMASSAAAYRSKPGSFWALLSLSGGAMLTAFPAASLLSRLYYNGGGQSKWILSWSAVVGWPIPALLLLPCYLFSEASPTWPPPPWLCFWYALLGLLSAADNLMYAWAYAYLPASTASLVAASSLAFSAVFGRLIVGKKNRIGLSALNAIVVITAGVVIIALDSGSDRYPGITGRQYALGFALDVAGSALHGLIFALSELVFDKYLSNGGDGAAATRFHVVLEQQAAVSLSAFAFTSAGLAATDGFAAMRREAAGFTAAGGGAAGYAMVMGWSAATFQLGVLGATGVVYLGSTVLAGVLNAVRVPLTSVAAVVWFHDPMSGFKILSLVITVWGFGSYMVGHSSAKKPARERFVSTTT >SECCE2Rv1G0107370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:648206697:648211721:-1 gene:SECCE2Rv1G0107370 transcript:SECCE2Rv1G0107370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVAAEPERACWSAIICSLQACMSEPIRFIHYGTLDVVRRLFFSRGVRARGTGTGEETVNPADEQTGDKNSPAADDDYSEQLAQYGHNSDDYDVSNCGAYYDTAGENLDADGDYCGYDRRNDIPPSLKIGYHGDSINLSIAYSLSRDLVELLSVRPRFPFVGGTFVAFNDRSHYYCSSENGQLHRNVDSKGNLILRAEGAALEDYFRIKVEIPEDDNRIDSAHYVFYVDPYLCNGVIRHTMATRYDRDIDVFFVPMYRAIQASLCVNLDLTSGSGCSSYAYGEITAHHQFYGNFNVLLFQCGEDDMAEVVDGRLPLLRTWAAVPIYLEPLLIIKLNLSVLTNSGHGNDGHTISFQGDLTFYHDQYEKTICNADHGKVEVQIGYR >SECCE2Rv1G0081650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:142621105:142623630:-1 gene:SECCE2Rv1G0081650 transcript:SECCE2Rv1G0081650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRLVDGREMMVQFAKYGPNAERIQKGRIMETVPKPRGRSRSRSPRRGSRDDHRGRDYSRRRSRSRSGDRYGRDRYRERDHHRRSRSRSTSPDDYKRRGRDRSPSRSRSRSYSPAVDRKGGRDSLSPARRSTSRSPRKTPPPREGSPVRRSDDRSPPHQGSPVRRSDDRSPPREGSPVRRSDDRSPVRRNDDRSPGSRSPST >SECCE2Rv1G0127840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:856482500:856486689:-1 gene:SECCE2Rv1G0127840 transcript:SECCE2Rv1G0127840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGSSMGSSGESMFSEELYQAGICMRESIRWIEAQEAKMDEIAHSDSDYDNLPDFRVHMVFLTDRIFQLWHRTGAAQSAGWIGNEGESMETQSKIGDDGEMETGGKSSKRSRSSASLELENLLHRSGILADGEGGGGADSEELLDFLAMETQEWTVSQSDSQLCWETVPELTAEILLKFEEVVDDITKVFEKKKIREEAMAVLRFGFRLLAFSEQIDELRHEICFFLRSFSSENKDIRSTMMKFISEPYLSRISKLQLVSDRITMLQRMDSEFDSKVKSIIIKLKSESFLSAMEDELRNAVQEDSMEMEEKRFTAYYLYWERIWGKDGHSFENQTILSPMQFTHCTPQHIPIEAVVGSTLQIYSIKVSLVEPQKLPLEVYGVVAVRDAVDRHRNPLFLRSRQHCQILEEHDSLLHLTGPVRAIVLMDTVYIEIQLIVKGTTQSEDTPLISTFGFYNADSSCTFHAKNALCTVELCCEQLKQSIQATILSVAVTPKQESLLFPHGGRVVCYSVPQDGNEDIAAQVSSREVLLLDSKGGRMPMASNGYLYLTRHVVSVELNGKLLVLIMAGSPSQTEIAAQFLLKPEKYNTSKCEFLLADGSKVEITVAWSLVSSTMLQSGDNLEDSGMATAGNSKGRSGQSMLSEELCQAAIFMQKSICWIQALEKKMVKFGHYGSEEYKNLLELKSVMYELSNNIFGRVDYEAQKRISTMQNACWITNKGKLTDTQTKIGNDIEMETGGKSAKGRSGEFELARIGSEGRTVQTQSKIGDGCKSVSKQFNEQEQFKELPVSNLVSVAESVRNKIEKKQAETVTEEVVPRVSFKLGLLSWQFDELWDKMSLLVSTEPKFLAICMLIREPFARYCSTLKLISEVFNRLGRWVPDFGEQIESMRQDDEDKNMGGKVVNPHEAAKAAEEYYFNVYRRVWERRNRNFGSFEDPTLLSPMFFTRSLPGHTQVGAEVGRTMQVYYIKVAEREGYALEWPLKVYGVVAARDVVDYRRNILFLRTRDDCQILTRQDPFLHLTGPSRAIISEDSVTIEVHLKLKGIVESKDTTLISKAFFYDYDGASVRLLRGLCEIELCCDHLEQSHQATILGVRVLRGSLPCGKTKVVCSVLPEDNTEGNVKHKSGHVLLLDSPAEKKPVSEQGYLDLSRQVVSVKSGGGLEILVQAGDFSGSVLFPTKSSNISQKGFELGDCKVEITVAWSLLIESQYDISVMGSIQPYAWESIPRRPIMKLVEDVR >SECCE5Rv1G0315130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:286016890:286034005:-1 gene:SECCE5Rv1G0315130 transcript:SECCE5Rv1G0315130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAAMKRRELQALCKEHGLKANGSNAELAARLAATLLISGGAEEDAVGVVVGKGCLKRSFGGASGGDSDAAKKVTFVLEEEEEVGGRRLLLSPVVARTRGRRRAAEALSLAQESGGERRRTRSQVGDDSADGSDAGQAGADAVTRRHRRNAENLGAGDVVERVAGAVGRKTSAKGEQQELDAGEAVGRKHQLKRKTRENDTDNVDVSVQAGVSRRSTRSSAVQSEPAAASSPVVHNKRGRKKAGDLKEQNHVKEQPTEIQHVGRTLRSGLVVADPPLPTVSENKRSRSKVPEGETAAEKVAQVEISGRTTTSTSVPAAVTSPIVVAKKRRKTKNVNSDEGQHTVPDVTTDAPVTRVLRNRAIQTIGSTDLKVACPTILNAAKDGLEDGVAKQDGHVGSKKRKMLNRRATVATNGGEIPFSDSNSKASAMDMHGPVRRSTRKSVVPSFPEHETKGMHGDAEMKETVTKPVGRSTRRSVAPVILEKESKGLTKPVEIKETGAKPVGRSTRRSVAPVILEKECKGLRKEMSPQARVKRPTKKSVVPAMTGKGRKSIVTDNIPEARAGRSTRKPPLAIVNSKKNDHCEAASCGKSSSAKSEDLEKQQTVKQPVRRLTRKSFVPAVLEKNRKVVPAEMNPDAQVNNENDDHTKMKCAKAGHLEKQLVVKEPSRRSTHKSVAPHVIEKEIKGLQEESKSEVPVSTSVRKPACPNALDKESKDHREIVPHVIEKEIKGSQEELKTDVPVRTSVRKPAGPNAVGKESKDHTEFVRREESSVRTRSAQTRLHSVQNDASLRQTRHRSSKLAISPLPSKPTASKGRPAKRSRTASLEDVMPAEEQKEDQIAYGGHTSDMIDVASSANSLGSGVLASPAEKSDLRHSQLNTQLEGTVVESSISHSKDVSNILEFELESTVVGTAEKPPSDLAIPHCKHVGALSEEALDSIENDAGRCSPDHEKSPTGLQFLFSQGNTEEPDTHKTSPFFKNVMEESDVHKVECLVETVVPLKPDSYQGSDEYSIRVEESGGLMFSSQQNNEQEGFSLSTLRKDWVASVQLDSSEDVHHAVRDATRKNVICNEEEKTDFIPSHINAPHEKSHADEPAEHVSCVSGALFCISQSTTIVHKVNSDSSQLESVDALDNHIASNNTEGLQQHNIEECNLHNASVMSEAAQVAVPESGKMLQPDVETLALTDEQLKRKLEGNDLEVQSFSRSEDESPKQSTSCEDQSFLGSGICQTVSRRYTDVVCVKDHKDECNQHSEDRLTLGILESDMSEPALDERSESGMAVLPAAETSPFPDELLNSKLEGSTEQSLICDKDNSNVSLTDFLGNNHLSSLKDPTMDPCHDEELPDDMPAHKSPEESAVFLDDKVSGSVQSLSCDKDGSIVSDTGSLGNKSLSSMKDPSMDPCHFQELPSDPSMDPCHDQELPGDMPAPKSPEEFAVCMDERVSGSVGICQTSASIGKGNHIAMDPHHTLKQVDNLSQSAAALLRNWENTPCKPDAEPSSDHLFVIDSSTPMEPLLTEAGLKVGSPDKKQPMEQVQQDDLQVQEGTIEKTSLGSATPECKHECVSPDKAGPHSLKNERYPSSIEQSLFDLQSLSSQEDVQVQEGTLEKTSLGSTTPECKDEHEFPDESDPRSLKNERARVQAENVVCESNPGSDNDTSADNIVVSKSEDCLDTSQQDNENEGLMEASHEQDDFQVQEGTMEKTTIGSDVPECKHECGLPAEAEPYSLMNQRSSIEQSAFGPQSLLLKEEGQGTVEKTAVGSVAPECKHECVSPDKAGPNSFKNERYPSSIEQSSFDLQPLSSQDDVQVHEGTLEKIALGSATPECRDEYGFPDEADPHSLKNGRGSLHVEQPLSLPALLSQESIEEPSECVALSSASVQAENGVNESKPGSDHDTNVDFSTVSKSEECLDTSEQDNENEGLTKASHEQEQVATGQLDLEATSSMQDADSEEVAYDEENKKPVHPTDIDSSCQKINVSRPVEHASGLDDALLSPSLIASTDGSDVHLSSNPCLFESSDFPDEIDWSNAEALQQGLKKQQCDEHKEYQVPFGAGNDMIEAGTIDIDSGVPPLPAEETSNMRDEQLNTKLLGTEVAEFGLSCDKGGNNYLETESVVDSACRNIPSDSSLPTDCSTDDYQQMELFERLCEQKSPEDASMCCEDSDPRAVPATIEKPSPSFDLAIPDYKHEGALSEEAVYSVKNDTESCSRDHRQSSTRLHHLFLQESFKGSDVHDDLVLPSTENEDDSNTRHAEKMVSSHQGSPVDLSIVEEIKGLFSSEKDDEQEGFLSYGHKTECVASAQLDSSEDCNLIKRDVNTEVICKEEEKQELVPSSDTHTPHETSNTDEPDEQKITLLQAAESSASADKQLSSELEEEEFKEHNFSSEETSGIFGVGSVKCNLLFHSHEDSHTNPIQGKELPDDLSAPKSPEQSMIGQAESLLGSGICQTVVQRSTEEISTKLQHAHKEECSKYIDDQTALMSERALFGGSVSGTTLLPTAETSSLPDVQFGPELKCDKFEEPDRSYDEDASYLFGSGSVKNNVPNLGHEEYYEHSDDPAILSSGMPKPSLIGESESGVALQPAEETPALTNEHLNFKMEESGLYFDMDINDMSATGLMENNNLPSLPEDTYMETWNEDKISIGTPAAKSSVCPDDRVPGSVGICQTSGRRRIDEISTNIAMDSAEDPKQGDNPSPAALLGNWENVSAAEADNPAKQNSDCSVAKDSSC >SECCE6Rv1G0401140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:463302552:463303462:1 gene:SECCE6Rv1G0401140 transcript:SECCE6Rv1G0401140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSCGHVIGVPVTSKAYALEEATTRGAAPVKKDGDRLAVSLTHPSPYASFGYKHSSKGQVIHWVNKLGRRAQGFRDHVTLGPKLSETVKGKLSLGARILQAGGVERVFRQAFSAEKGERLVKALQCYLYTTSGPIAGMLFVSTRKIAFRSDRSLTVTSPAGDVARVPYKVVVPLGRIKRVQPSENAENPEQKYIHMATVDGFEFWFMGFVSYQRCCKYMQQVISSEQ >SECCE4Rv1G0289310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:864753411:864755198:1 gene:SECCE4Rv1G0289310 transcript:SECCE4Rv1G0289310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEELLVQESIATNIFGAELSRPTARSAYFLLPRADATRLPVLPSPRRSGGPFLRGKIRVEWRGWPSSSKLWRRWVTKLRSLHEGMWKRVGIFDAILSTTWRVRRDEDLLLQLATFWSKETSTFVFPWGEATVTLEDVAVLGGLPLLGKPVFAVSPDALRGDVHALEGIRRAMNRSKSRKPNYSSWVKYFLERPPETDEGDTTPGFLEHGAFLAMWLSEYVLPSPPCDVVQARVLPIAAQLARGQPVALAPAALSSIYKCLSTLAGCAPLQILQVWVWERFPELRPDKKVSAHDHDNSVPARATKWHNVREVLDPRYIHAVFMSPERFEWMPYGSSSFDVPRSDGGRRVHGQDIARSDELLAMALCLHPCELVGIDCIEQYSPHRVARQLGFDQDVPGDVCRVNSDPVAAWSTYKIDARSFAFIFPHRDAGVAFEYARWWEPYSSSCAAHVANAARTNKLVHSSKREMEGAALVDLQSTSEDIAAINDNESPEESDKDVEVAAIHLKSSKPVNENVEVAERVVCARTLYYLRPFEQAKYGQVGTSRRNSDQEFFQPKREVGTMEMIKQVSEARQAEKVELEKQIHCLKKQIWG >SECCE7Rv1G0526570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:896085324:896086625:1 gene:SECCE7Rv1G0526570 transcript:SECCE7Rv1G0526570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIRRFVNLGVYDGRNRVYSLRRLNLSKMDLFHQTADEAAAHGKVLPTLTPAKVWVPNRRRICKANLAAAEAAAPSIRSPASELVIKPPKVSCSVPNHHHVHFLPTASEDKVVLGDRSNRMFRFDAGEGRRWMESLPSLHEHKHSPLSIAVPPSDMHLHDGEDGGDMYIIDRILHPDKSEAKPQFEALVWRAEHPRSFSSSTWHCDILPLPPWIIHQKHAYVCGHALVGGDTICFSIAGAEGPVTYCFHIATREWSKAGDWVMPFQGKADYVPELGLWFGESRGLPCAADISSVVRGEEPPQEKLRIWVDEDLPEEWQPSELWKSKVISLGLGRFIVVDFLDAMIFDKDCNEMVTGKQFALFTGMEVVYNNGRSKGAGKDNDDYNTATKCSGNENGNTGGKVKGMMRGLRMVKHKSKRYMFNVQQCIDAVL >SECCE1Rv1G0035730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:500778235:500781964:1 gene:SECCE1Rv1G0035730 transcript:SECCE1Rv1G0035730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPGQLNLDDYPSWGSRGVDCFEKLEQIGEGTYGQVFMAKETETKEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPDRDEQGKQIDGNKYKGSIYMVFEYMDHDLTGLADKPGMRFTIPQIKCYMKQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNANLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPDQLTKIFELCGTPDELIWPGVTKMPWYNNLKPPRQLKRHVKDAFKHFDFHALDLLERMLTLDPSKRISANEALDSEYFWTDPLPCDPKSLPKYEASHEYQTKKRRQQQRQADDAAAKRQKTHHSQPPHTRLPPIQQSGHQIRPAQPTNNPHPPMASGSNHHYGKPRGPGGPNRYPPGGNQGGGGYPNRGGQGGGYGSGPYPPQQGRGPPPYPGGGPRGGGSSGGYSGAPNFPQAGPYGPGGPGRGPNYPPQAGSRNQQQQQQYGNWQ >SECCE6Rv1G0404290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:515228196:515231464:1 gene:SECCE6Rv1G0404290 transcript:SECCE6Rv1G0404290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALHGQTSCGSLLQKLQLVWDEVGESDEDRDKVLFQLDQECLDVYKRKVDQALKSRDLLLQALDYSKMELARLASALGEKSIATSPEKTTRTIKQQLAALAPTLEQLGKQKKERIKKFADIMSRIEQIRGELAGSLEIGQQVAIPQINEDDLTDEKLRDFQSQLQELEKKKRERLTKVLEYVSTVQDLCSVLKMEHFSIITEVHDSLDDSVAKDHKSISNETLSKLDRTIATLNEDKTLRLKKLQELATQLNDLWDLMDTPTEERSLFDHVTCNRTASPEEVTAPGALALDIINQAETEVQRLDELKYSKMKEIAFKKQTTLEDIYAGAHIVIDTAAAHDKIFSLIESGNMEPTELIADMDSQILKAKEEALSRKEILDKVERWISACEEESWLEDYNRDDNRYNSGRGAHLNLKRAEKARIQVNKIPGLVETLVAKTTAWEENHGLAFTYDGVPLLAMLDEYVMLRQEKEEEKKKMREQKRYTEQLLNIDREGPFGTRVSPYRVASGKKAPSPKPNGSATNGSPGRRLSISTQQNDSKGSRSAGKDGKKDAAAAAAKTSASSSEAATAKEDDAPIHHTDADPVLCSP >SECCE4Rv1G0265550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726245151:726246429:1 gene:SECCE4Rv1G0265550 transcript:SECCE4Rv1G0265550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAGAMGPVLRKLSELLAGEYNLQKRVKKGVQSLLTELEMMQAVLRKVGEVPSDQLDEPVRIWAGKVRDLSCDMEDTIDDFLVRVHEGSTSKPTNMRNRVNKFLKKTTKLFGKGKALHQICDAIEEAQDLAKELADLRKRYELDMHSTSNGATIDPRVLALHKDVGELVGVDRTRDGLIKTLICEEGSPKEQLKTISIVGVGGLGKTTLTKAIYEKIKGQFDCAAFVPVGQNPDIKKVFKDLLYGLNKEKFNDIHNIAKDENLLIKEISEFLVDKRYLIVIDDIWEKDIWKYIDCALCKTNSIVG >SECCE1Rv1G0016640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:140253439:140257038:-1 gene:SECCE1Rv1G0016640 transcript:SECCE1Rv1G0016640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSQFMDKQILGLAAAGAASSPPSGGGGRLFDLMGPNPQEDDVVESHDLHARRGASATEEVMVPSYDFQPIRTAAAPAAPAPAAANAWGSLDANATSPKLKSAAMMEPRVLKKVSHEEERSNFNAVTIAEIDRTMKKYADNLLHAMEGVSSRLAQLERRTHHLQDSVGELKLTVGIYNGSTDGKLRQFENTLREVQAGVQVLRDKQEIAEAQVQLTKLQVSKAEDVESENTSAGQVDSRQQLTAPQPTVQPQHQAYPPSQPTGLPALPAPNAPPPPMVHNQPPPQFQGHLPHPQMQSVAPAPSVPTISQESYYPPSTQTTEAAHQQYQAPPASQPQAPPAAPQHYQHPPQYAPYSQPPPPGSVNPQTAAAPLPHQPEEAAPYGPPAQSYPPNVRPPSPYMPPPSGPVPPFYGPNPGMYEPPAVRPNSGPPPPYSAGYKQQGGGGFPEQYGYSGSPSHRGNAGMNSPSPFAPTGPSSAGSGNYGKLPTAQILPQAAPVSSTPSGSSANRVAVDDVVDKVSTMGFSKEQVRATVRRLTENGQNVDLNVVLDKLMNDTDAQPPQRGWFGR >SECCE5Rv1G0322750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:423643955:423647058:1 gene:SECCE5Rv1G0322750 transcript:SECCE5Rv1G0322750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDAALSPAPTGRNNGGGKMQKLLKSAFKRGDSPAQAAGDEPELSPSASRGSGSGSGRTSSGRRVGRGDDVGDRSSRESVELDAEGSKNDKMLAALRDWKIASAYETFPWEKKMKELLPVPESSRFLSLLLLPKATDGTHTRYNTLDDTLARADAWLASSRASGVPVELASVQTEALLTKISGETAVSTVNMGSLSDLANMSNVSLYGFEDYHGVDIGVVRAVRLWYAPSGPGGEMAVEIALRQGDTRLGFAISRTEEGFIYVSSVADESTPGVASTRSGLLELHRAARRAGRLLVVSRVGGEKVLPWMVSTAGDVRCYDTVSLSQKLSLHRHALRPITLHFLTWEEGALALPPPPAPAPGPLLMLSSEGDDDEIDDDGPEIAAGKGGKGSSFRFQNIGLPDSWL >SECCE2Rv1G0134600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:902361813:902362532:1 gene:SECCE2Rv1G0134600 transcript:SECCE2Rv1G0134600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYQGKGRRVHPAPAPGPDASAVALAMLPATLLALVAALTAEEQEVLAYLLSGGAGGAAAGAGGGRRRRLNGPHQPEMGCGCFGCYKSFWARWDASPNRYLIHRIIDAVEEGSGGGEGGAKGAGPGGATRRSHRRRRRGRGGPSALHTSAEEHEAAEAHVGVPDDHLQLQGCCASDGGEDGDYEADEDDGADSLYGGEEALTDDSDCTSANTNAAAEKSAVGKLVRFIGEKVWAAWT >SECCE3Rv1G0184300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:661787664:661791176:1 gene:SECCE3Rv1G0184300 transcript:SECCE3Rv1G0184300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRPPAGADRAAEAGDAALARDIVTLHKALSLDPSRRRRSLPLPAAPSTADQARNKPKLKPSSSRKLLPSASYSSSSVSTTSSSSSSFWKKSLTAISHLGRRRLDCAFTLQVHSVDGLPAALDGSPISVHFRRMSACASTRPVAPALGAVAFEEPLTQRSPVYFSRGAKNAVKYEPRAFVVTVAASALELGKHEVDLTRLLPLSIDDLEEGGDSGFGKWSTSFRLSGVARGARLNVTFSCVLVGGGGEQHKGGEVAGLRRGSMARQVSVQSPSPVPARSRDVRVLHEVLPSTRPVKALPFVGDAGLDATKGEMATVECEEDGSPQSKHCTSVEVRKGEGDLVHPEGDCHGSEFNVVEQGVEAALEDPDQFKPVETANVNDQEEGFNGEANEEGTAKPALLIDDLAKEGAVEVKLEEELSDVALEMDDVGDKQDASVEASLLPTAAFEKDGELAADTELEVLEAIFNKFSIVEPEEFDSPIIEDKHSRRLSCIGVDDGCNSTSRKSRSRSMDASSDFVANEFLDMLGIAHSPVGVTSDSDPESPRERLWKQFEKEALESGDCILGLDFEDEVEEPSCEDVLEDFDLSTIIRDAELELQNVVPPIDTTFRAKSLEDEETEALMRQFGLNEKSFQSSPPGSRSGFGSPIALPPEEPLELPPLADGLGPFIQTADGGFLRSMNPVLFKNAKNNCSLVMQASSPIVLPAEMGSGIMEILHGLASVGIEKLSMQANKLMPLEDVNGKVMQQLAWESSPALESSGSYDLLESHSLDDLAAGASNAASGKKKKKKKGRGADLSSSLGAISASEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEDAPSNISAHPIGEISSVQGKSAENALSLGLEGTAGLQLLDVKQTGGDVDGLMGLSITLDEWMRLDSGVVDEEEQFSDRTSKILAAHHAKSMDLLGEGQTADKKSRRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLSLIQVERVFVPPKPKIYSTVSEKGNSEQDYEEPNPEQILDKTSVVDEEKMEEDSVAQFKVTEVHVAGFKSEPEKTKPWGNQTQQQSGSRWLLGAGMGKGSKHPLMKSKATAKATKDAAGQQGDTLWSISSRVHGAGTRWGELTGSKRNPNILLQKDKRFR >SECCE6Rv1G0406080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:540412636:540416779:1 gene:SECCE6Rv1G0406080 transcript:SECCE6Rv1G0406080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPSHPDLQLQLQISPPPATKPMDLGFWKRALDTTAAAAAATTASAATTFAPPSIARAYPSVPASAGGGFHAAHYGAADGHLGGLQFLQHTQPILHEVQDLAAMKPIRGIPVYNTSQSLPFLQSQLHHHNHHHQHCYDAIGGAAGGPRSPGKVGALRLAAPPAKRNSRAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDHKPAAASSYGQAKTIIDIPDDSSFDIANTTSGSESSVQQSNLDGNEHGSNMCALWSNNSSRGAWSFHGKSRSDANPGDIKSFEDVQSQCLNVGDDAADLMSSPFRLSELVVGAKKPNLDFTLGRM >SECCE2Rv1G0089870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:263576863:263584126:1 gene:SECCE2Rv1G0089870 transcript:SECCE2Rv1G0089870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSKSATKSHPRSPTTAQPPNPASAAAAAGAAPSKSAAMAELKSRVLSALAKLSDRDTHHIAVEELDRFIRSPPSPDAVPMLLHALASDTQGLASPARRESIRLLATLCAAHPDAAAPHLQKVMAHLSRRLKDTASDSSVRDACRDAAGQLAAVYLRPLAASAAGEAGNGTVALFVKPLFEVMGEQSKTVQSGAAACLAKTVEGAGPGPGVLGMFGKLGSRVFKLVGGQGVQAKGSLLNVIGSLAQVGAISPQNMQQTLQSIRDCLENSDWATRKAAADTLCVMATYSGHLIGDGAAPTIAALEACRFDKVRPVRDSVIDAMQLWKQLTGEDTNEDGKTKEPTGSETKLDSPSNNEKAKGSSIAEKAAVLLKKRPTLTDRELNPDFFQKLETRTTDDLSVEVVVPRKTLQSHLQSEDDPEEADGDPVGAANSNGSADDEGSLTQVRASSSFQSVRNKWAGQRGNRNKDAKARPSDVDDRCETSAKDSAPMTIPGDGPFINNKTNWLVIQKQLSQMERQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMARDISLSSGRRGGGSTLGFDSSPGRSSAKYNGFHEYSNSKFGRGGDGRIGFADRYFSADGMASGVRSPSWRPDSEQWDSYGYSGSRSGMNSRRGLDSLSSDTRGARNGNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGSSRAAARVAIPELDGETLNDDSQGDGRGPIWESWTRAMDAIHVGDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVSNEVLHAVGQFLVEESFYDIALSWLQQLTDLVMENGSDYLGIPRDAKNDLLFGLHEATAIELPDDWEGATPVQIMKQLASSWRIDLQQLIS >SECCE1Rv1G0010840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:66320586:66328802:-1 gene:SECCE1Rv1G0010840 transcript:SECCE1Rv1G0010840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRITISPVVMAAALMLLTPWMLLAGAVVKPEEAMGMPGCDITCGDMKVPYPFGMGAPRCYWPGFNLTCDRTSKTPRLLLGDGSLQVQDIDLGGSLLSVTHTGEIKTDANGSGWLGGGLRDDGPYMPSSYSELVLTGCNVRATLTDGGGATISSCSSVCEAGHEPVMYLPSRLEGHMLCTAVGCCQADIVVNQPVEAAPKLSYGVQLDYFGGNRTTDMERLPLRVFVAEKEWFKQPSVVSELLQASRTPSQDVMAVPLSLTWEVVGHGVESDSNATSEECPENAASRVCRSNHSICFKGARGYTCNCEDGYQGNPYLAGGCTDVDECKEQDAFRCYGVCTNTAGSYECTCPAGTHGDSSMPGGCSAAGGCSHSCGDVDVPLPFGIGQVGCYWPGFNLTYDRSSNPPRLFLHSERDLLQVVDISLRNNTMHVVVDPASTFMSNMSVGYSGTGTGTLDPVFTGRGDRPYSLLTGSNELILVGCNVQASLFGDSNTAITGCTSFCPVDKTGSTYASRLVPSGGGERCNGIVCCQGSISMPRDNMLASWMVDTIDVNNETVGKNSPPAAMLIAKEGWFDKQQVPYMLSEDFQQDRSRSRSKMHVPIILEWEVMQGLPAQPADAKSQHPTTTTCPAEVARVVCKSKHSDCKLGRRGYLCQCSNGYDGNPYDKDGCKGGPKSLNTGIYIIVGVAIGAAVILSFFIAFFISKKIKHRRAQMLKRHFFLKNRGQLLQQLVSQRADIAERMVISLEEIEKATNNFDKTRELGGGGHGMVYKGILSDLHVVAIKKPKTVVQKEIDEFINEVAILSQINHRNVVKLYGCCLETEVPLLVYEFISNGTLYEHLHVEGPISLSLDDRLRIATETAKSLAYLHSTASIPIIHRDIKSVNILLDDTLTAKVADFGASRYIPMDRSGVTTMVQGTIGYLDPAYFYTQRLTEKSDVYSFGVILIELLTRKKPFAYISPDGEGLVAHFSALLTEGNLSQILDQQIIDGGGKEVEEVAALAKACVQLRGEDRPTMRQVELALEGLPVSKKNMIDNVSAKEFERNGIMINHPWVGDERSSEESTRQYSMEEEYMLSSRYPR >SECCE6Rv1G0427180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:718150296:718151426:-1 gene:SECCE6Rv1G0427180 transcript:SECCE6Rv1G0427180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTARARARARAPPPNPSLPEEITIWEILVRLPPKSLLRCRAVCPAWRRATSTRDFLLAHHARQPALPLLDDLNTIGYGGHALDTIPIPFPFHLEHQAATDRLEPVVRFDCAYFRVFASCDGLLLLSMASGYLALCNPATRQFARLPLPSGFIPLGMYPHTPTGEYRILLYLCTSNLAQSGSYILTPGSAQLPRHVGCTDVEGLTNICGSVMFHGSLHWHHRNVITVFDTTTELYRQMSAPLVPGTTNLFEMDGMLAASIFSDPTTSIDIWIAQDYANEVWAFKYRVNLPVADLTAQYGMINKRCCVVFASSDGHVLLLAKFGDWLLQLDMDGNLVTSFHHRGIGPTQNRLKQSLVQHTFFPTLEGYVVNALPFI >SECCE3Rv1G0195370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:796806786:796809059:1 gene:SECCE3Rv1G0195370 transcript:SECCE3Rv1G0195370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVPECSPALLVAAGLAVLAICSYLGTIVVGRGAAGAQRYPPVVGTVFHQVYHLRRLHDYYTDLFREHATFQLLAPGGRRQIYTSDTAVVEHILRTNFANYGKGGSNYDKMSDLFGDGIFAVDGDKWKKQRKIASYDFSTRALRDFSGGVFNRNAAKLAHVVSGNAAAKQPMDFQALLMKATMDSIFTIAFGVDLDTLSGSDEGSRFAAAFDDASEFILLRYVDAFWKVSRFLNVGAEAALRHRIKVVDEFVYKHIRARAEEMSAIDTAHDTGSKDDLLSRFILATNSDTGKVDYKYLRDIILNIVMAGKDTTAGALAWFLYMMCKHPEVQEKISKEAAVAGEATSSIDDYSRSLTDEALNNMHYLHAALTETLRLYPSLPLDNKECFSDDVLPNGFRVGEGDIVFYAPYAMGRMERLWGEDAIVFRPERWLDEHGVFLPESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLRFFVLALRDKDACVNYRTMITLYIDQGLHLTATARGIM >SECCE1Rv1G0027540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:383809795:383810659:1 gene:SECCE1Rv1G0027540 transcript:SECCE1Rv1G0027540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYRFDNQEKVRVDEAEAARQDQLQREATRRRESHARLVALRRNRGLQSDSPSPPRAPSPPPSSSRSADQVAPPRPPPPAARPADPSPVTSDGDHINLFSGGGGAADFAALASASGGRGAAREREPAADTKPNPKKRKKEEEVRTAGPDDEKYKLGYGLAGKGVAAPWYMSKPLASSSKERRDYSEGNGEKRSGGKKSIEELREERRKREAKEKERERALLATTARKERQPDRGYSSRYTRR >SECCE1Rv1G0016090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:134428003:134428425:-1 gene:SECCE1Rv1G0016090 transcript:SECCE1Rv1G0016090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSNATRMASIFVVLMIMSSTLSSSCCYARTIEDGANSPDCSYVEKCQDRCQTACSIGGKPSTGAYCQNDQCCCA >SECCEUnv1G0529460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:7712602:7714110:1 gene:SECCEUnv1G0529460 transcript:SECCEUnv1G0529460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGTPPLVDVLSALDPEEFAAESRAAVDFIAGYYRDIEKYPVRSEAKPGSLRRLLSDEAPENGEPMDGILADVQEYIVPGLTHFQSPNFFAYYPFNASTAGFVGEVLCAGLNVAPFTWVASPLATELEGVMMDWIGKLMGLPDCFLFSGGRGGGGVLHGSTCEAVICTLVAARDRALNRLTHEGILKMVVYASDQSHSTFQKGAKLVGIPPSNFRVIQTSAASSYGLTVDSVRNAVEADIARGLVPLYLCATVGTTGVGAVDPVGELGELARHYGMWLHVDAAYAGSALICPEFQDCIEGVGLADSVSMNPHKWFLTNMDCCCLWVTSPAVLTSALSITPEYLNDISHGSVAKTDMIDYKDWQIAFTRRFRAIKLWVVLRRYGAVGLRANIRRHVEMAKWFELALKADERFEVIVARRFSLVCFRFRFRPRYEGDHTVDALNRKLLNAVNASGKAFMIHTIVDNKFVIRLAIGATMTEMRHIRDTYELVQEKATEIGQDRD >SECCE2Rv1G0091620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:326249863:326250787:-1 gene:SECCE2Rv1G0091620 transcript:SECCE2Rv1G0091620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAPNSPSLFGTPSSTPYFGTPSTTPAFGTPSSTPAFGAPSSTPAFGVPSSTQAFGAPSSTPAFWTPTSSPMFGTPSSTPAFGAPPSSSPSPFGFQPQVTPSPSPFGFGGGSGGQITTQMAPVAPLPLSPSDRDIQAMVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSCRLKVY >SECCE4Rv1G0249850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:587356973:587360612:1 gene:SECCE4Rv1G0249850 transcript:SECCE4Rv1G0249850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSSVTAGGQPKGKEEASMADVYNHELTPLQRHVAFFDRNKDGVIQPSETYQGFRAIGCGVALSAFSAVFINGLLGPKTVPENMKVGAFKFPIYVKNIHKGKHGSDSGVYDANGRFVPEKFEEIFKKHAHTRPDALTGKELNELLQANREPNDLKGRVGGFTEWKVLYSLCKDKEGFLHKETVRAVYDGSLFVKLEQERKQAKESAKKK >SECCE4Rv1G0269780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749914396:749915433:1 gene:SECCE4Rv1G0269780 transcript:SECCE4Rv1G0269780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNMSRLLKATVALVILVLLFMPGAMAAAATSFDASRAQQLPLPPGEVHGPESVAFDARGRGPYSGVSDGRILRWNGPNLGWTTYAYGPGYDSETCTTSRYGTEANVESRCGRPLGLRFNQKTGDLYVADAYKGLMRVPPGGGEATVLVDQIDGMPLRFTNGVDVDQVTGQVYFTHSSMNYDRSEHEMVTKTGDSTGRLMMYDPRTSDATVLQPRMTYPNGVALSADRTHLVVASTGPCKLLRHWIRGVDAGKSEPFADLPGYPDNVRPDRQGGYWVALHREKNELPFGRDSHLLAVRVGADGKIVEEMRGPKKVRPTEIMERDDGKLYLGSVELPYVGVVKRK >SECCE2Rv1G0124770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:834590211:834594773:1 gene:SECCE2Rv1G0124770 transcript:SECCE2Rv1G0124770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGIRAATWVVGKALSPLSGGVLEAWAASTNLGQNIEALKLQLLQAQAMLTNAGGREIHNPALVQLLDKLSHLAYGADDVLDELDYFRIQDELEGTSHAADKHAAGCVQDLSLHARHTVKAYVNKLKSPVCTCAARRDDPDEQDGVGKQGCLSGLHFCGGRRETGSSARSRTKNVGKHFSCFFSLPSVNQEAQTGMVGNPNMTGRNESSQTPKLTFNRVEMSRKIFGITEQLKLVHSNVHGILSLELLKSSHIPTKDIATGRPNSTPQIIEPKLYGRDNHKKVIVNEIVNSECRELTVLPIVGPGGIGKTTFTQHIYEQMKTHFQVPIWICVSLDFNANRLAKDIVNKIPKVDDENKNCGDEELIKQRLKGKRVLLVLDDVWPHHENEWKKLIAMFKKEGAKGNMIIVTTRILEIADTVRTTKCSLELNRLCPEDIMSFFEECVFDDQKPWVDHPALFEVGSNIVDKLKGSPLAARTVGRLLRNKLTLNHWRNVLESKEWESQNNENDIMPALKLSYDYLPFLLQQCFSFCSLFPEDYEFGSEELVHLWIGLDILHSCDEKRKRIEDIGRCCLNDLVNHGFLKMNKKEDGSLYYVIHDLLHELAVKVSSHECLSIYSSNMSYVEIPQSVRHLSIIVDNTDVKDRMSFENCDGNLSALDKRLKVEHLRTLMLFGQYHGSFAKTFGGLFKEAIALRVIFFSRSLYNLEDILHNFSKLVHLRYLRLELEFYPNFCLPNALFRLYHLEVIDLKGVASCVSSARNMRNLMKLRHFLVPERTFRFQPDIYGMGKLKFLQEFKKFRVGKESDGFELSQLRQLTEIGGSLGIYNLENVQTKEEANESKLLHKKYVRELILEWDVTRCNKDPVKEENVLVSLVPHSNLQELCISGHGGTNCPTWLCTNLSVKCLVSLCLDGISWKNLPPLGEIWMVNELGEEYQGCSISAPTFHNLKSLKLSRISRLKRWVGNGACPLFSHLEEITIKECSELIELPFSDPPTCCQAQREERTSWFPRLRELVIGNCPKVASLPPIPWRTGAPCSAQIERMGPGIERVGYSTKHGLKLNLYILGNGDQSDVFWSGLNFSNLTDLNELRLDKIPPLPLDDFQVLTSLKRIEIYSSSIVLPQVDGLCHGIYRFPVEDLKISRCDTSGKELTLLLSFLPNLSRLDIGFCRNITGLGVVEDAQTVSGEEEIMAAAAEGLLLLPRQLQELKIYGYSKVSLLPNPLHDDDHHAAGEGRGGGLQRLHSLRVLYVSNCLEFLSSYSSPSSFFPFPACLQYLSLRGDVCLRTLSNLTCLTELFLSISEVSREEGLWPLLALDRLTQLALYTESNFFAHSDPRPHDKDEFSCSTKLFDLSTNPNSGVLAVPICGFLSSTLTRLALDFDEAELLTEEQEEALQLLTSLQELQFSKGLKLQRLPAGLHKLINLKKLIIKTCCAIQSLPSLPSSLQELEIEKCFAIQALPNSLPTSLEKLKIEGCAAIKSLPKDGLPSSMRELYVSNYGNSELKRECRKLIGIIPIVRIF >SECCE7Rv1G0462220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:44291648:44292010:1 gene:SECCE7Rv1G0462220 transcript:SECCE7Rv1G0462220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRKMRAAEEREPNPAVTEVVADQTATKTNGEPEPERRVVNGKRMARKRLPMKKVRRVLAIDHKPVVIPSPERDLPPAVLAAMKLRQARVAKIHKVQDDIREDLRTKGYVMGWVTDDDE >SECCE2Rv1G0126910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:849461459:849462059:1 gene:SECCE2Rv1G0126910 transcript:SECCE2Rv1G0126910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA33 [Source:Projected from Arabidopsis thaliana (AT5G57420) UniProtKB/Swiss-Prot;Acc:Q9FKM7] MMSGGGGGSGSGSGAGPSSSSFERHAKRRPPATPASDQQRKLLRLSMQHGQGDEDALAAGVVPPVTVVLDGRCICHRVHLSRHTGYRSLAGALRRMFVDDAAEGDDDGLDLSNAVPGHVVAYEDMEDDLLLAGDLNWKDFCRVAKRIRIIPAKSTTRRIKQCGGAAN >SECCE5Rv1G0324290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:445262464:445267929:-1 gene:SECCE5Rv1G0324290 transcript:SECCE5Rv1G0324290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFRDPRRDSASSSSNGFAPPAASPAASALPSPFPDLGVQLSAAELRETAYEVLVAASRTTGGKPLTYIPQAGPASPASASSASSANSASSSLQRSLTSAAASKMKKALGLKSSASSKGGSPGSGGAGVKAAPRRPATVGELMRAQMRVTEPADARIRRGLLRIAAGQLGRRAEAMVLPLEFLQQFKASDFPDPQEHEAWQGRNLKLIEAGILVHPFVPLNKSDSSAQRLKQIIRGAYDRPLETGKNSESMQVLRTAVMSLAGRSHDGTSDGCHWADGFPLNLHLYQMLVEACFDSDDSTVVDEIDEVMELLKKTWVILGINQMLHNLCFAWALFNHFVMSGQVDIELLSAAENQLIEVAKDAKTTKDPNYCKVLSSTLSSIMGWTEKRLLAYHETFNTSNIESMQGIVSIGVTAAKVLVEDISHEYRRRRKEETDVARSRIETYVRSSLRTAFAQRMEEADSKRSSRNPTPVMSILAKDIGDLAIKEKNLYSPILKTWHPLASGVAVATLHSCYGSELKQFIAGLTELTPETVQVLKSADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKVWIKERVDRLKGWVDRNLKQETWSPGANRDNFAPSSVEMLRIIGETLDAFFQLPIPMHPALLPDLTAGLDRSLQLYVSKAKSGCGSRSSFMPELPPLTRCEVGSKLLFKKKEKPQNPQHRGPQNGATNGADPLGLPQLCVRLNTLQYIRSELENLEKKIKTCLRNVESAQADITNGLEFKFELCQAACQEGIQHLCETTAYKVIFFDLGHILWDTLYIGDIASSRVDLLLRELDPILETISGTVHIKVRNRTITALMKATFDGFLLVILAGGPLRAFTRQDSQIIEDDFRSLRDLFLADGDGLPEELVDKASSQVKNVLPLLRTDPEGLIERYKRLIADSDQSRTASRGKLPMPMTTGHWSPNDANTVLRVLCYRHEEAATRFLKKTYGLPKKL >SECCE5Rv1G0311280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:190297962:190298679:1 gene:SECCE5Rv1G0311280 transcript:SECCE5Rv1G0311280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSDCTDPKFVIFGSRVCGNSHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >SECCE6Rv1G0438620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:791183550:791185174:-1 gene:SECCE6Rv1G0438620 transcript:SECCE6Rv1G0438620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEGTKYGEDGRPTCDCLLFDLDDTLYPVASGIGLDVMKNIQEYMVEKLGIDKSVCHELCILLYKQYGTTMAGLRAVGYQFDYDDFHGFVHGRLAYEKLKPDPVLRNILLSLPIRKLVFTNGDRLHASRAMKRLGIEDCFEGVLCFETLNPASATPVPSNKVEIFDIMKHLAHPEPGVELPRSPILCKPNLDAMLHALKLADINPQTTIFFDDSVRNIQAGKQIRMHTVLVGTSERIKGADHALESLHNMKEAFPELWEEAVKDEDVRNSSKVGIETSVIA >SECCE7Rv1G0472630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:144932321:144941814:-1 gene:SECCE7Rv1G0472630 transcript:SECCE7Rv1G0472630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDIDSLPPLLGPDPDSDSDSDHLPPAPRRGRPLRPPPAPREEPSPPAPGRCEATPAPEGTGGAAQQSWPGLPRGVQFNPSDSDLLWHLAAEMGNGLAHRHPFISEFIKSGDEGARFGCTHPRDMPGMRQDGHALYFFHKKVNLHNNENDKDISWQKSAPSRSIVLDGGLQGCKEIFALHAFKNSPQRTDWELHQYHIKNTMENEGELVLSKIFYKSQKCYCECAPKAPVQSAQDNSVTDDDSREEKEDAQLEKLSASNGNNREQMLVEMYPDQDKSCSLEHVSDTADVNHENQINDQAETELDHMSLLERYRILLADMRSCSAMVSAEKCALNDVGNSSMQMDAETSGPVPKSESEEINHEGTAYRECSVNGKEIPVEDTEGPVNDKAFNSSAELLLSQTLACGDENVRLAPRNSGTYLVDVKKEPALDGYAIYPSESPFVKFHHSNNDGLKVSGSILECVPKDAEDSLPSLGVKSELSGYELPGLCENSFISSMEPIVKKPHTRTLNCNGGLAPCSRQRKKRYSSEKVLEEDGYKNDEGIPYPSRQKRKKKTATDSIETALEEDAPGLLQILLDKGIVVKEIKLYDVVEDDEMLPDCTESDFQDLENVITKLFPQRASLLKSVAKHGKGGKAIYCLACLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFVFKSHNRLVLERPEYGYATYFFEILQSVPIEWQIRRMVTAMKLSSCGRTALIENRPLLVGEDLSEGEAKVLQEYGWIPNTGLGTLLNYRDRVVHDRWNEKYSTDWRMKIGKLLMNGYSEGELIITHAPLDTSSEEIKLENP >SECCE1Rv1G0011310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:75466666:75468048:1 gene:SECCE1Rv1G0011310 transcript:SECCE1Rv1G0011310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVPPVLTPPRHDAVALHKAFKGFGCDSTTVTNILAHRDSAQRALILQEYKAIYHQDLHHRLATELSGNHKNAMLLWVLDPAGRDVTILNQALNGDITDLRAATEVICSRTPSQLQIMKQTYRARFGCYLEHDITERTYGDHQKLLLAYLGVPRNEGPEVDPSAVTDDARELYRAGEKRVGTDERAFIRIFSESSRAHVVSIANAYQHMYARSLEKAVKSETTGNFQFGLLTILRCADTPAKYFAKVLHKAMKGLGTSNAALIRVAVTRTEVDMKYIKAEYHNKYKGSLAEAIHSETSGNYRTFLLSLVGRDR >SECCE1Rv1G0054940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:677329777:677330301:-1 gene:SECCE1Rv1G0054940 transcript:SECCE1Rv1G0054940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWCERDEPNGSKAVSLLLRLSALILALASAVMMATADDCAIAGAAPPTAVTYRDYGAFVYLVWANVATAVLEATAVYLQLSGAGSDDDDDGEGSRLPGVVLVVVDVLAQALLYSSTGAAYGCGKAGVDVCVAFGEQVGRSRLLSLGASVSLGLAAVVKDVSLPFNVWPGSSD >SECCE6Rv1G0428660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:728965912:728967222:1 gene:SECCE6Rv1G0428660 transcript:SECCE6Rv1G0428660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVKIPKRYVIVLLTFICTNVCYIERVGFSIAYTIAADAINVNQANKGLILSMFYYGYVLSQIPGGWAAQRLGGRRILLLSFLLWSLICGLIPLDPNRVVILVLSRLFVGVAQGFIFPAIHTVLAQWVPPQERSRSVSLTTSGMYLGAACGMLFFPSLVKHMGAKSVCLVEAVLGLAWSVIWLKFSSEPPRTDLPKVAMPKVASREKIKAQSVGVVAPRTVKIPWRRIIFRLPVWAIVVNNFTFHYALYVIMNWLPTYFELALKLSLQDMGSSKMLPYFNMFIFSNIGGVVADHLITKRILSVTKTRKLLKTIGFVVSAVALMALHSFGTPSGTVICSSVVLGFLALGRAGFAVNHMDVAPKFAGIVMGVSNTAGTLAGIVGVGLTGNILEAAKASNMDLTNSETWKTVFFVPAYLCIISSVIFLVFSTGEKIFE >SECCE1Rv1G0009350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:53747623:53748254:1 gene:SECCE1Rv1G0009350 transcript:SECCE1Rv1G0009350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARLSAVPISLLLLASLAAAAAAAAAADPEEGDLRISVQYATEEESRWLDRWAEKYKAQGSGEGFKIQPATGEESAHINSMSFTGGGYDGHIEFDDDHPFGRMVVDAFHSRPRPSTPTEDDDLQKPNMEESHSRAEHDVKDF >SECCE5Rv1G0317620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:347428791:347431963:1 gene:SECCE5Rv1G0317620 transcript:SECCE5Rv1G0317620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAPKRRKAAPSPSPPLSEASSDSGSDSDLNLHGNSDPEDGFFPAGSAANDDGQDFSASDEEIDHEEESDHEEEDDGEMGELEEEYRTLQASQQSILQTLKQHRDDDVSRGQAVKNQTALWDKTLEMRFLLQKAFTTSNKLPKDPTKSRFCSHDQEIEQAYVDLLDSSKQTLGSMLELQEALLERNQAAKGVKDTLPNSTGENDEWLQMQKVQTRITLFRNTEIDKWQRKTQVTTGAAALKGKLHAFNQNISDQVAGYMRDPSRMINRMYLRKSDVGVFGEGKDVEGDPELIGDSEFYHQLLKEFLESCDIGASESAFYALRKKQHKKRKLVDRRASKSRKIRYSVHEKIANFMAPVPMTVPPMASKLFENLFGMGNQKAPAV >SECCEUnv1G0537960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:70684111:70685968:1 gene:SECCEUnv1G0537960 transcript:SECCEUnv1G0537960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHNKVYNRYVKRTSKFMAHDETETCNIGDRVRLDPSRPLSKNKHWTVAEVLRRAKMYVAPPPAPKASGATTQQTSTKSSA >SECCE7Rv1G0470550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:122395947:122398809:1 gene:SECCE7Rv1G0470550 transcript:SECCE7Rv1G0470550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSMAMGARSKHHPKNLALRCYCYAASHRSLTLLVWGLAALVVLLNFHLLVTHGKDDQSGRPREIHRTILRELEVVEEERFQVTPARSRRNPRAVRRKGEHTKPLSVVDEFLEESSAVHDMFFPEPRTAIDPVHGGNDSMYFYHPGRVWLDTDGKPIQAHGGGVLYDERTETYFWYGENKDGKTYKAHSKGADRVDIVGVSCYSSKDLWAWKNEGVVLRGEKKNVTHDLHVSNVLERPKVIYNDRTGKYVMWMHIDDANYTKASVGVAVSDSPTGPFSYLYSKRPHDCESRDMTIFKDDNGKAYLIYSSEDNSELHIGQLTDDYLDVTDDMRRFLVAQHREAPALFKFDGTYYMITSGCTGWAPNTALAHAATSVMGPWETLGSPCVGGNDIFRSTTFFSQSTFVLPLPGLRGSFIFMADRWNPSELRDSRYVWLPLTVGGVPDEAADYSFMFPLWSRVSIYWHRRWRLPEGWTDS >SECCE4Rv1G0233570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:243837206:243844762:-1 gene:SECCE4Rv1G0233570 transcript:SECCE4Rv1G0233570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATARFC1 [Source:Projected from Arabidopsis thaliana (AT3G22950) UniProtKB/TrEMBL;Acc:A0A384KMJ2] MGAWMSRVWFLMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNIRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLIQHADLDNTVVLVFANKQDLKDAMSAAEITDALSLHSIKNHDWHIQASCAITGEGLYDGMGWIAQKVAGKATAS >SECCE4Rv1G0223030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:65277344:65282046:1 gene:SECCE4Rv1G0223030 transcript:SECCE4Rv1G0223030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCERCERRDELDYCNLDDREKHFLMFIIDDCGQEMIVPDEFLRRFRGEIPREIKLETRNGHSYTIGVAKYPDKLVLQAGWGVFVKTYDLRMDDCVVFRYKGNSQFGVIVFDRFGREKASSVITDNAPPVQESRNSGTENLERSHGHSQPMEVQSPIATANHSEGRPQPMRMQPPTENANPSHAHPQPMRMQPPTENLEDPVGFSRPMEMHPPTENVNNSFGHSQPTQMQPSTEAVDHFAQMQPSTEAVDHSNGHAQTMQMQPSSEVVDHCNGYAQTMQMQLFCRPTKLRQSKLQWDYSSKGNKTVTSPSDTPRDSLSSEHDTEGCTSPRYTLTYNSSLNTVQKEEVDEKVKSIHKENPIFVAVMRRFNVTGTCTLTFSKKYVQTHVGDKERRICLQRFGKRWDVQFSSSVEVKRIVSGWRKFVKDNDVETGDICIFELLKTDEMCTMEVHIIHAKDFDGPSQIGGQSVEGRCKEATTKTVEPSHSCPQLMQMQLRNASIEDSYSCPQPMEMQSPSTERPIRVETVNSSPGNEEDSSMSEDSVTLTGCIGVHLRRIPLMQKEVVKQKVELIGSEIPICVLVMQKTNVTGRFTLSISKNYVRRHLGDEVRTIWLERDGERCQVTLGRGPQNNRVVGGWVKFAKENGLRAGDVCLLERLRHCKECTMRVHIVRRVKRAG >SECCE3Rv1G0159960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:101045541:101046044:-1 gene:SECCE3Rv1G0159960 transcript:SECCE3Rv1G0159960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACMLPCGALDLVRIVHLSGRVDEYGRAVLAGEVLAAHPNHVLSRPCGSRQQGGPRIVIIVSPETELERGEIYFLIPTASVPADAKKKTKTKREPSTGAAAEEGRRHHRHHVRSKSEGSAATAAVEGRQQQQQQHRRRMSTGSHAASWHPHLARIAEDP >SECCE2Rv1G0099460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:521499781:521500161:1 gene:SECCE2Rv1G0099460 transcript:SECCE2Rv1G0099460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGPPPSSSSSGSVTVTIDPSPTSSAPAAAPPPPETVVLRLKRRAKKKVSWKEGTVDNESLGRKSSKKCCIFHKEVPFDEDCSDDEADGGRRNPPGDGGEGTSGGKGGCSSSSHGHEHGHRHHH >SECCE1Rv1G0006330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:32446802:32449854:1 gene:SECCE1Rv1G0006330 transcript:SECCE1Rv1G0006330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEEQVFLGSRSLSYHGAHYPDAYTDQADLDYPEDPGDRREGLEVAELHHQPARTSTYSDPCEVARRALDLMFPREEDAWSTWSAREEGSDDDVDDEQGGEEVYDCSTQEGSDYEDDGDDNCQVILPETNCPGKLYPKSEAEAINDKWVHRTHDTSTTPSTLGKREPSYMLQLFSMRLSTFEPLYPISVYGIFAIRDYLDPRRNYVFNRPRDDAVTIEKQGSFVMPLCSPCRGMYLLDKALVEVDLWVKKEEDESDDKQLLSAYALIDVRTEADLIFYERISGDNCNLDLKYEVLSESVEAVIQVYAKVNHPHHVLFTASSTGYDNYPLRGVVLFDDKLFGEEKLFQHVVAVKENEELHVFLEVNGSVFKWTFQDEYVGAVVSPDDSILDYGQFFVRVLFAPKDCQ >SECCE2Rv1G0128400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:860120961:860122627:1 gene:SECCE2Rv1G0128400 transcript:SECCE2Rv1G0128400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSFTWPFRRRAGAGASGGAGPSKPSAAEGKGEDAEELGVTPQLLDFLRTLSPDAFKSSALQLHQGGSAEAAAELSDWQQRHAVLVLARAKELAKIRYDLCPRHMKDKQFWTIYFLLVRTYILPYELRAIQKEKVRRMETDNGKSKDVIAVEVEMQESKCSRESQTLPDDSEAQGS >SECCE4Rv1G0257490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:670490638:670495114:1 gene:SECCE4Rv1G0257490 transcript:SECCE4Rv1G0257490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDAMMMEARKGLMERERERDQFPVGMRVLAVDDDPVCLKVLEVLLRRCQYHVTTTNQAATALRLLRENKDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKSVLKGITHGACDYLLKPVRIEELRNVWQHVVRRKFSNREPSNNLDLCKEISKPPSADSYHRLGQASCGMSSDQSNRAGKKRKEPHSEEEDEGEDNDGDDAGASKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLRRLSAVASQQASIAAAFGGRDPFLHMGAFEGLHSYHQPFAPTAALPSFNPHGLLSGAGAAAFGLQDLASPKANQCSSSNGAVSHCAGDTDNFHIVSLQDNQQADLSQGLTVSLGQPQLQQEWIHQETNDLCSVFPGGALANTMSGTLQRVTSSSLPPEDLLECTPHIKVGAHPSIGIPAGSSGLLERSVGVSANLRDSGIAQQGAIPISEGFSVNKLPLHIPFDGTGATKLDANFVAGEQEMDQKGIFSERMTVSVCPSESLIAASSAKCGASSSGSTMLLPPHDTERHSRYLHFGAASNSRHRMDGMRQDQRLNSGGFSYDGGATVPEQTDMYDLGVPKLQGGFSSSSCNFDGLLNSIIKVENDEVPFTENDLGCELFPLGACI >SECCE5Rv1G0320820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:393540430:393545927:-1 gene:SECCE5Rv1G0320820 transcript:SECCE5Rv1G0320820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTASKGRGAARSAPPLFSPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYQHTKYHKQTKNQWARDDPAFIVILILFLVFATSAYCAAFGESAAHAALTIISVVFVHFLFAGLVLATLCWFLTNSYLREEPNSHVVEQRVEWLYAFDVHCNSFFPAFVILYVLQYFLSPLLIAHGFLPALLSNLLFMVAISYYHYLNFLGYDVLPFLDRTTFFLYPIGLVIILSPLMILIGFNPTRYFLSLYFR >SECCE4Rv1G0236590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:351845625:351850393:1 gene:SECCE4Rv1G0236590 transcript:SECCE4Rv1G0236590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) UniProtKB/Swiss-Prot;Acc:Q8LL04] MRVTLTPKYEDWLVVLMTRERPRSAVVAPGGDVFTAGGGGETSDGDSSESLEEISAADFKESSSGTAAASAASQRSRVWMGYTMSRSYAPAFHSFAWAQAVQNKPLVPRPSADEDEVEHLVDASDEEKEEGEIEEGEAVQSTSPPIKQPETIDLDSDVQDKSEPVAMEQTPLAVEAADELDFDQRVGSILEELERLSIEEAEKSFEGSCARLRSCFESLKPLFPDSGSPMPMLDALVQQAFVGIDTITTVANSYAMPKREQNKNMLLKLLFHIKNRYSDMLTLNQRDELDSRVRQLVFLDGEDNAGSNCNTKTVDVVVPSGQVPSDGLPFESGAANPLRGSSFPSWEIPANNRMVSPLLDLHADYDENSLPSPTRDSAPPFPVPKPIGFGVFPMAPDRYFSAERIEPSKKVLYPCANDALKDVSSYRQKYGQTSTFASDELPSPTPSDDGDKSGDKNGDIFGEVSSFSASKSAPPIGNLIPASRPSVVISSNDSFAGGPPGYAKQIEQSVSGPSHALKPSAKSRDPRLRFLNRDSGGTADENRHVNLAEPNASKDGTLGGVVSDNSRKHKATGQPLTDEIVLKRARDSAGNPRDMQVPPGRDGSNISSYSGDRVQSNQHTGPETKTAGNPSIRTSSQLISNVRSIPDSTGTLHTSQPNSVPQTSAAPIVSLPAVLKDIAVNPTVLMHWIQMEHQKRSASEPQPAPGIISSGMINNVSAGMVIPPGNAPKTAEVAHIPSYRPQATSQTASVNSQNDPGVIRMKARDPRRVLHNNTSQKNDTLNSDQAKSNGMALPAFQDNKDNLINREQLAEQLQTTVLPSQPVSLSSTSRQSTMSTSKVDPVSNSQLAASSLIAPQETLVSVNRADPRVAAGQNDSNDAAPATTPGTRLPANQWGDLDDLLNGYDDQQKALIQKERARRIMEQHTMFSSRKLCLVLDLDHTLLNSAKFIEVDPIHEEILRKKEEQDRERSERHLFRFHHMQMWTKLRPGIWKFLEKASKLYELHLYTMGNKLYATEMAKVLDPSGTLFAGRVISRGGDGISRGGDGDTFDSDDRVPKSKDLDGVLGMESAVVIIDDSVRVWPHNKNNMIVVERYTYFPCSRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIGRIHHNFFSHPNLNDADVRSILASEQRRILAGCRIVFSRIFPVGEASPHLHPLWQTAEQFGAACTNQIDDRVTHVVANSLGTDKVNWALQTGRFVVHPGWVEASALLYRRANEHDFAVK >SECCE7Rv1G0519550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865040259:865043471:1 gene:SECCE7Rv1G0519550 transcript:SECCE7Rv1G0519550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLLAVVVAAALVLVLALAGAHGFQIEEATVDSIQLGFNNGSLTSVDLIRFYLDRISRLNPLLHAVIEVNPDALRQAARTDAERSSGRRHQASGALHGVPVLLKDNIATRDALNTTAGSFALLGSVVRRDAGVVRRLRRAGAVVLGKANMDEWANFRSFSGGGWSARGGKGRNPYVLSATPCGSSTGSAIAAAASMAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGAVPITPRQDTVGPICRTVADAVHVLDAIVGYDSVDAPATMAASKYIPPGGYTQFLKKDGLRGKRIGVPNGFFNFPNGTVQQMVYTQHLNTMRKQGTIVIENLDIENLSVLLDSQNNGQQIALPAEFKLSLNSYLTNLLYSPVRSLAEIIAFNNAHPVEENMKENGQSVFLMAENTTGIGASEREAISQLKKLSANGLKKLMREHELDAIVTPNNAASSVLAIDGMPAITVPAGYGKLGVPFGLCFGGLRGYEPRLIEMAYAFEQVTMVRKTPTFLP >SECCE2Rv1G0097190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:453937960:453939615:-1 gene:SECCE2Rv1G0097190 transcript:SECCE2Rv1G0097190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPATALMDDVVAEILLRLPPDEPGCLVRACLVSKPWRRLLTGNAFLRSYRKFHGAPPMLGFLHRLYDEDPCVARFVPTAKSFRPPRTDRRCWYALDARHGRALFYDSEQKPDEFVVWDPVTDGHRRIPLPETPLSWNAAVLCAVDGCDHLDCHGDDPFLVAFVGTDKEEGIWITSAYFYSSEASSWSSTSFVEHPDASIEMQPSVLSGNAVYFLCDPSTRILRCDFVGERKLSVIDRPDVHENNIVLITAEDGTLGFAGVQESSIYLWSMEVDPDGAAAWVQHRVVDLGKLLPSRALMITPDVSGFAEGVGVIFVRTIVGLFTIELKSGRVRKVSSRGSVCTAIPYTSFYTPDRAIRQLQRPVMMH >SECCE4Rv1G0265930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727891066:727901333:1 gene:SECCE4Rv1G0265930 transcript:SECCE4Rv1G0265930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLDGALGYAKSALAEEVALQLGVRQDQVFITNELEMMQAFLMAAHEERYDDDRVVKVWVKQVRDVAYDVEDTLQEFAVRLEKQSWWRICCKLLDRRRVGKQMKELRAIVEHVSQRNMRYNLIKGSGSKATTAAEQSSITSAALFTTKQEQIKLDLAQLINQKENGLRLIAVCGTNSDLGQTSIVRAVYESPDKKGKFSCRAWVRLVHPFNPKDFIQSMVEQFHMGVGAKALLGAEKTAQEIAEKFDEYVSGRRYFIVINDLNTIEVWDRVKRCFPDNSMGSRIIVSTAHVEVASLCAGQESIVSELRQMSGDQIIYAFHEKVSQDATYLTKPESSSNLATANTENNSIVFSGEIVDDQSKGADDKNAVKKIFTRIRTMEAALEESHLVGREKEKAEIIKLISNQAPQKYQVISVWGMGGSGKTTIVKDIYQNQELSSMFEKRACITVMRPFNLEGLLRSLIMQLDRESSKKNDMLGLIASTKKTLLLMSLAELIKELARLLERKRCLIVLDGVSSNTEWNMIVPVLCGMEHTSRVIVTTREKYIAKLCSERQENIYMLKDLEHKDARDLFTKKVFKRTTDLDTQYPELVEQAELILRKCGRLPLAIVTIGGFLSNQPKNPVEWRKLNEHISAELEMNPELGLIRTVLMRSYDGLPYHLKSCFLYMPIFPEDYKVRRGRLARRWSAEGYSREVRDRSAEEIADSYFMELISRSMILPSQQSIHSTKGIDSCQVHDLMRDIGVSKSMEENLVFTLGEGCSSNNQAMVRHLAISGDWKGDKSEFERIVDMSRLRSVTCFGEWRSFFISGKMRLLRVLDMEDTTGLQIHHLKHIGKLLHLKYLSLRGCGDIYQLPDSLGNLRQLETLDIRCGEQISLGHITIIKLRKLNYLFAGMIPENDDASYELFENAPHVNKSRTFSLCALTMLACSACCAPESLSDCSNSCTAICCIMLPTVVFHLDRHGVLVPRGMRKLKALRTLGVVNIGRQGKNIVQDIKGLIWLSKLGVTGVNKENGQELCSAIVGLSHLESLSIRSEGKPGLCGCLEGEFSFPETLQSLKLYGNLVKLPEWIQGLKNLVKLKLRSTMLSEENDTMQVLGKLPNLGSLHLLLKSIHQRQVFRFHREAFPSLVLLEVGSLVSVRFEQGAIPTLELLKFDCVNVNSVSVSGLPSLWSLKEVVLEGEYDDSEMAYMRAELAKNPNRPVLKRA >SECCE2Rv1G0070510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:35682449:35683426:-1 gene:SECCE2Rv1G0070510 transcript:SECCE2Rv1G0070510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALDFMPAEKLPQAGTVALDAVAASAVQVQQQQQQRRLEGKVAIVTGGARGIGEAIVRAFVWHGARVVIADIDDAPGEALAAALGGACCSYVHCDVSMEADVERAVGCCVARYGRLDVLCNNAGVLGRQAPPASNGAKSGGIASLDAAEFDRVLRVNTLGAALGMKHAARAMLQRRGGGGGGSIVSVASVAGVLGGMGPHAYTASKHALVGLTKNAACELGEHGIRVNCVSHFGVATPMLVNAWRHGHHDEDEDGSAAAPVSAEEVEKTEEMVRGLATLKGATLRAEDIAEAALFLASDESRYISGHNLVVDGGVTTSRNVIGL >SECCE6Rv1G0452150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:875536829:875538450:1 gene:SECCE6Rv1G0452150 transcript:SECCE6Rv1G0452150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIVYIFLALGSLLVVLLTRRGRHSSADGEDKLRLPPGPWTLPVIGSLHHIAGALPHQAMRDLARRHGWPLMLLRLGEVPTLVVSSREGAREVMKTHDTAFATRPLSSTLRVLTNGGRDIVFAPYGQHWRQMRKIAVTELLTARRVLSFRAIREEEVGAMLRAVASAASAGDVLDMRARLSALVADSTLRAVMGDRCKDRDVFLRELDRSIGLAAGFNPADLWPSSRLAVWASGAVRRAEECRDTVFGILDGIIAEHQQRMSTVDGDDEDLIDVLLRVQKDGSLQLPLDMDSIKAVIFDIFGAGSETSATTLEWIIAELVKNPKVMKRATAEVRRAFEAGGKVVEQKLGELVPYLHLVIRETFRLHPPVPLALPRECREEPACRVLGYDVPRGTQVLVNVWALGRDERYWPDAPEEFRPERFEAESAGVDFKGVDFELLPFGAGRRMCPGMAFGLANVELALASLLLHFDWEAPGPAEFDMTEAFGITTRRKAGLLLRPVLRLPVPGV >SECCE6Rv1G0403200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:498207607:498214580:-1 gene:SECCE6Rv1G0403200 transcript:SECCE6Rv1G0403200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAALFGIREGHQQDQMKPLLAQQQRQHVLAPPGALTAGPDQAAAVAPPVKKKRTMPDPDAEVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKDPNQVQRRRVYLCPEPTCVHHEPGRALGDLTGIKKHFCRKHGEKKWKCDKCAKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPGAGHLYGATGAANMALSLSQVGSSLHDAHGQYHQASPDLLRFGGGGGMAARLDHLLSSSNAPSFRHLPPPQAPAFHLGTAQEFVDGTGPPAFLQGKPFHGLMQLPDLQGNGSGGTSSSAPGLFNLGSYIANSANSSGTSSHGHASQGHMANDQISEGGGGGGGGGAGSENSGAAFFSAGGNFSGGDHQIAPAGMYNEQSVMMPQMSATALLQKAAQMGSSTSTDGGAASMFGGFMGSSVPQGRPPMLDQGQMHLQSLMNSLAGGGNGGGMYGGANGRGMIDPRIYDMDQHEVKFSQGRGGAGGDVTRDFLGVGGRGDMMRGMSVARGENHSGNDLSFLEAEMKSASSPFNGGRMQ >SECCE3Rv1G0194210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:778090410:778093037:-1 gene:SECCE3Rv1G0194210 transcript:SECCE3Rv1G0194210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLPGFHNAPVSRAVVVAAALFSVPFGLRSRFLDLGLSHQNLYENLRIWRVIASLFAFSSSPELIFGVALLYYFRVFERQIGSNKYAVFIVFSMTVSVLLQILALGYLKDPSFNPLTSGPYGLIFASYVPFFFDIPISTKFRIFGLQLCDKSFIYLAGLQLLFSSGRRSVIPGLSGILAGLLYRLNIFGIRRLKVPEYATSLFSRLSWPFSNNSYQRLPVATTDENIPDHLARQMQGAHTTTPDPTESSIATLVSMGFDRASAIQALALTNNDVNLASNILLEAQST >SECCE6Rv1G0439360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795919042:795922510:1 gene:SECCE6Rv1G0439360 transcript:SECCE6Rv1G0439360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLFSAAGFGGCPRNYFASRQLTIERTGGEWSARDLTPLHAYGNTLEEATDAETKPFLSYRRRDGTAMALGTTEPLLLHPPEQRRRHPPDWLAWGLPAAVLILLLLSASGPLRPPLLRVPPPETVPLTLLAGAQEKGAVCLDGTPPGYHLQRGSGDGSNSWLIHLEGGGWCSTVRDCSNRRMYALGSSNFMKPMRFAGAGILGSDQQQNPDFYNWNKVFVRYCDGASFSGDAEGRAQDGSTLHFRGLRIYQAVIDELMEKGLVNATQALLTGCSAGGLATILHCDDFSARFSRDVPVKCLADAGFFLDVKDISGKRSFWSVYDGVVHLQNVREVLPKDCLANKEPTECFFPAELIKSIRTPMFILNSAYDSWQIRNVLVPVSSAPDKSWSSCKDNIRNCNSTQIKVLDGFRNTMLGALNVVEDKDDWGLFIDSCFTHCQSLYGISWNSEISPRLGNKTIAEAAGDWYHGRSHGVKEIDCEYPCNPTCSGQLPP >SECCE5Rv1G0366660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:815269942:815273260:1 gene:SECCE5Rv1G0366660 transcript:SECCE5Rv1G0366660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGRRLLLQSETPRRQQQAAAASPSPWSAGYLNTWLSQRTPVFGLRLWVLMGIAVGAAIVLVLLLLFLCLSRRRRGRRDGANLYHPADAKPLKQHQHLQHAPTPAKDIQEIVRRQQQQQQPAPTPLPQPAAVQMAKAEPSPPQTQTQTLQQQRAQLPAMPAGSKRSTAASGLSATTSGGSERDLATPRSAGSGAAGPEVSHLGWGHWFTLRELEEATGGLAEENVIGEGGYGIVYRGTLQDSTIIAVKNLLNNRGQAEKEFKVEVETIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGEIGEESPLTWDMRMNIIIGTAKGLAYLHEGLEPKVVHRDVKSSNILIDKQWNAKVSDFGLAKLLCSEESYVTTRVMGTFGYVAPEYASTGMLTERSDVYSFGVLLMEIITGRSPVDYTRSAGEVNLIEWLKNMVAERKAEEVVDPKLPERPSPKTLKRALLVALRCVDPDGHKRPKMGHVIHMLEMEDLNPREDRKPRRDATTDRSSSMEDGSLSKRENQRYR >SECCE3Rv1G0173330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:330443004:330462908:-1 gene:SECCE3Rv1G0173330 transcript:SECCE3Rv1G0173330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMPKQRAVHLPDNEEVARLLLEKHRSMLEKDIPDNLTLTLYNAYRNVCAAKEPIRTLKDLLKIKGVGPWVIRLIKESFPASSPDLSPPKCKEKGKKGKRIKRPEHGPELVCSNSASMASQELIQLTSQEQLSYSSEVQTTGSAEFTMLDKDTGGMGNSILAMPPRLSNEKFLEAYEVVLILDDREFFGSHGKRVVGNIHSKFHVPVEIKHLPVGDGIWIARHRTSHTEYVLDFVVERKSVTDLVSSIRDSRYKDQKLRLKKCGLRKLIYLVEGDPNPLGASESIKTACFTTEILEGFDVQRTTGYSDTESTYGHLTLSIIDYYSTNFSIGANTCRVCLSYDEFVKKCCDPKKLTVSDIFALQLMQVPQVTEETAIAVIELYPTLVSLARAYSMLDGDTPAQEKMLNMKSKMVNAGASRNIFKLVWGEG >SECCE7Rv1G0521890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:875144436:875145323:1 gene:SECCE7Rv1G0521890 transcript:SECCE7Rv1G0521890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGAAVGSTLMGLIEPLLAKLGSLLEGAYDKVRYLQPEITSLRHELGSIKAALEDLSHLEESSSLVKAWKGQLQELSYDIEDCIDGFAQRLGEDQDHVHDGLIARITGWLRTMQLYHQTAGQIAELRDHAVEVNDRRKRFKLDTATPCCSSTGGTDPRLSALFEEEDRLVAVDGPEGELIKWLADGVRWRRVVSVVGSGGLGKTTLANLVYKKIKGDFHCAAFVSVSRNPDINKILRDILRGVLETSNPASDDQRQDMDQIEKNLDSGPLETCQLIEMTKKYLEKPRYTKILLP >SECCE4Rv1G0276340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:797745730:797750232:-1 gene:SECCE4Rv1G0276340 transcript:SECCE4Rv1G0276340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALSAAQWVVGKALAPIADGLLEAWGATKNLGLNFEALRMELLLVKATLELAGGKQIQGQAMEELLGKLRHSAQCAEDLLDELDYFRIHDELHGRYDAADQHAKGCIHDFSLNARHTAKAVGKLVGLSARLSASSPACDPGEVQAARQRVSCCVWPHGRQRSCGNSSLAPNANQEVSGCMPKLGKLLLCSSSPHVCDDHSGQPTLRGASQRETPVLGFNRVDVSERMKCIVGQLQPVRREVTKILQSCNRMTVPDISESRPITTGQSTEQKLYGRDHIMHTIIHDMTKGKYCSKDLTVLPIVGAGGIGKTTLVQYIYRNQQVQNHFQVLIWVCVSLSFNLSKLLDEIKTYIPRVEGEKVGRVEELIEQRLKSKRFLLVLDDVWEFNNGDDWKRLLLPFKASQEKGSMIVLTTRFPAIANMGKTADYIELEGLKSEEFRKLFLSFVFVDEPFTSDHRFLLETGDKIMEKLKGSPLAAKTVGALLRKNLNLRHWRRVLESKEWERQTGVNDIMSALKLSYYYLPFHQRQCFSYSALFPEDYKYSNTELINLWIGLDILQPCGQNQTLEDIGLSNLNDLVTYGFFKEEKTNGRLRYVMHDLLHDLALHMGSHEYISLHRSNVGSVQIKPSIRHLSIIIDDDDTLSQENFKGQLRKLKTILKVKQLHSLMLFGEMDESFASILGDLFREANGLRVLRLVNMPTSVEFMLHNFSALVHLRYLCLGTKKYGREMQLPLAISRFYHLRILDLGSWYGCRDLPRDLSKLAKLRRFYTQSDELHSNIYSVGKLRLLEELKVFRLNKEREGFEAKQLEHLTELRELGIYNLENIHTKEEATKAKLMEKNYLERLTLDWDSKRSNTEPCVEEVILESLQPHRYLQELCIRGHRGSSCPTWLGDKLDVDALQSLQLVGVSWQCLPSLGKMWGLGKVILKHIATMKEFVIEQSFIRLVRLELVGLASFEKWIPSQDTHHMFPLLQAMIIRDCPKLLELPFSNHIINPADQDCNIDWFPKLQELEILYYCPEFLLVPHIPWTETLCTVNIRGIKLLEMFKYSRSSYNGVGLKIVGKDELQSLDQVLACNKLSGLEQLALEKCPPLELKHLLMLTSLKKLDVRTSGCLVGPLGGEGDVEWQHPIIQELLVVQESVGGPSGKELTELLTHLPRLSKLLIAGCENVTQLAVRVDVQQTTLAPVSVVEQEKEEDGLLLFPAHLCDSLRELVINRCPELVMVEVETPSTCLPTAGGGFQALRSLQSLRIFDSPKILSSKSSFSCCIFPSSLQVLELYGVEGMGTLEPLSNLTSLTRLKLCNCGEDLRCKGLGPLLGHLREFIVFGSPRAFAGWDPNPRRVLQYEGEDHELHLVSHSSSSQVHILCTDEAMGLLAAPICSLLSSSLTCLHFHLLASVPDKIERFTSEQEDALRLLVSLQELEFWYFDKLQSLPAGLQNLANLQRLQVRSCPAIRSLPKDGLPKSLQELDVQECGNEELIQQCRGLVGTIPKIILQHGD >SECCEUnv1G0535900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:61178764:61180354:1 gene:SECCEUnv1G0535900 transcript:SECCEUnv1G0535900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMAVSPLRPLLVLLLAALACHAADASWSGHGHGRGLGVNYGRVADDIPTPRRSVQLLRAAGAGSVKIYDANPAVLRALAGTGMPVSIMVPNQIIPALAASYAAADRWVADNLLPYLPRTRVKYLLVGNEVLSDGSIAASTWTRIVPAMENLHRSLRARRVSRVKLGTTLAMDALVNGAFPRPPSAAAFRPDIAESVLRPLLRFLEGTNSYYFVDAYTYFVWAGSNGTVPLDYALLQASTRARYVDPGTGLTYTNLLDEMLDAVGAAMSKLGHGGVRIAIAETGWPNGGDYEQIGANVHNAAVYNRNLAARMARNPGTPARPGARMPVFVFSLYNENLKPGPGTERHWGIYYANGTAVYPVDLTGRRPLWAYPPLPAADNNTPYKGPIWCVLAAHAGRKLNETAVGDALTYACGQGNGTCDAIQPGGECFQPNTGAAHASYAFNSYWQQFRKTGATCYFNNLAEQTIKDPSKHIM >SECCE7Rv1G0499810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:658118703:658120278:-1 gene:SECCE7Rv1G0499810 transcript:SECCE7Rv1G0499810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVRRGKRSRAKKGSSAPLQTTGDVDGGGGGGGGSNGRQVAPENVLPAESTTIAGYASSRDEAFYEASPWLDSDCEDDFFSVNGDGTPARTFGTTASNQATAAASEPQTKLPTLEAILKSDPLKPAPQMKKLGDLLKEKQESADGAGDISRARGGGGAARCCIPQLSRVISHRERRN >SECCE7Rv1G0466670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:80612279:80617566:-1 gene:SECCE7Rv1G0466670 transcript:SECCE7Rv1G0466670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-amidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12040) UniProtKB/Swiss-Prot;Acc:Q8RUF8] MRAAATSTAFSLLSSSRLRTSAPTTTSLPCRRRPRVAAMATAASSFRPEAARSPPAVEPPAPPLSKFKVALCQLSVTADKARNIARARAAIESAAADGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSDVARSLQITLVGGSISERSGNSLYNTCCVFGSDGKLKGKHRKVHLFDIDIPGKITFQESKTLTAGQDLTVVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCAPARDTSSSYVAWGHSTLVGPFGEVIATTEHDEATIIAEIDYSLIEQRRQFLPLRHQRRGDLYQLVDVQGSGSK >SECCE2Rv1G0108760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:667900329:667916497:-1 gene:SECCE2Rv1G0108760 transcript:SECCE2Rv1G0108760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRKPLLPCRGPAATPPAQLLLPIRRLLLLPLPRRRSSPPPPLDRRRPAMAGAGQSAAPAAAAAAASAGARATSFRVGMVRVVSFLVGGLNCAVLLLGLYLVDAALPPGCGWGLALAAVPAMAAVRVLAMLGAARAQHATADAIARRHLDEAAASVAEDAVARHEIRVRYKRWLWWTRFGMAVGALQLVGAIYLMFVIVSDLPNERRHTSCFFGQGGAERVSRRALIALFLILSWVVAVLQCFTGSDVLRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKYLAVLEEDEVYSVARLLGELVAYRASGTGHLELLAGLALLQKHGNLPDLQTDLVEAPHKLMQEAAVLHPFAEACYTGPLLDVGRNPILFPCAWVYRQGVLTPWARLRRPALDGDNWWRGHAAAFLRFVNIAPTSLVRGRVRQSKREAAYFVVVLHDKKTVLIGVRGTETPEDLITDGLCRECAFTMEDLDGLVNSELLPVTTRERVISTFPHYGHGGIVEAARELFMQLNDCTGDNDNSENTSSKKLGFLSTLVQEGSECHGYKIRLVGHSLGGAVATVLGMMLFGRYPDVHVYAYGPLPCVDLIIAEACSQFVTTIVNNDEFSSRLSINSILRLRSAAISSLSDNNPDDTAMIQKLARRILNANKYHERRSRHQDALCNTEPDLQDLQNGFGAYNGPSSSIDEPRSYRNLQIDQDVRRIPLDGHDSGLEEAQTSSGEILVESREMFLAGLIIHVVRNRRGLFPLWRCWNPQEAEQPYKAVFAKRENFRDIAVTPSMFMDHLPWRCRFAMQRTLEGQPSQRLANSDSPVQHLV >SECCE1Rv1G0049320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:640021508:640022763:-1 gene:SECCE1Rv1G0049320 transcript:SECCE1Rv1G0049320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSMAARSSLTLLLSLTVGVLQVHCQRAPSLEGFITIDCGLQEQSSYADTVSKMPVTSDAGFTDAGYNHNISFEYMKPQPQLAKIYHTVRSFPDTTRGCYTLPSLVPGSKYLLRAMFRYSNYDGLNKLPIFDLYLGVNFWRTVNITRAEWTVMAEVMAVIPDESVQVCLVNIGSGTPFISSLSLRPLENTLYRQVNATQGLGLIDRRNMGGAGSYPIRYPEDPYDRAWMPRSNPNLWLDISTKEKVQENVANLRLHVPSAVMQTAVTALNGSKSKTVEVSLETELDLEHVHPTPGCIAI >SECCE3Rv1G0164820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:166614125:166615713:-1 gene:SECCE3Rv1G0164820 transcript:SECCE3Rv1G0164820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGGGGEGTSSSPGKKARKPYTITRPRERWCPEEHERFLDALLRFGRDWKKIEEHVRTKTAVQIRSHAQKYFLKVQRLGLAAGLPPPQHPSRRLAMSQQQISPADGTTVLHGQPQHCPSGLVQGSVGWTYPGQGVLPASNDTQNMDWAGGSGTSAWVSHRGAGSQTELVAATHPGGSSLMGAPSFDDTSMDWTGTGSTSSADAEDETIPLPLSPGEMHFAQVYRFIGDIFDPATPCRIEAHLQKLKDMDGITVKTILLVLRNLETNLTAPQFEPIRRLLSRYDPGRGLSGQL >SECCE3Rv1G0175900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:436501883:436505372:-1 gene:SECCE3Rv1G0175900 transcript:SECCE3Rv1G0175900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGESILDAVLDEDTLNFGGDDVEMADAEAEEKPIPETPAAGAGAAGGGAQAGGPAGKKKKKRKSRRSKNRGRPDGPPTKIGDINRFVLDTCRRLKEKKSYLVWNAIGCLGVSAVSDLVREVEAIQKCGGQTIADGSRFRTGGGILWNILKSREPKAYKEIMVKGRELEKQFMYSKGRPQMSRNEDASSQGSVLVDEEVEAHDDPEHLVDAEEAPPSVDKAEPRKPVADRIRVPVAYDDLFEDGEIHEEQPQN >SECCE6Rv1G0443920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:825874216:825879342:1 gene:SECCE6Rv1G0443920 transcript:SECCE6Rv1G0443920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGGSSRSRTRSGLARGNNIRDPSDNSSARTKSQIARGNSNMDANELSCSKTRSGLVRVNNIVGSSEGSSSKARSGLGKVNNTVDCNNGPSSRTRRGLVRANNTADSSKGSYSTTQSGLVGANIAMDSNDSSGSKAQSELVRGNIDVDSNDGSCSKTRIGLVSRNSAMDTPKDSCSRTRSGRVRRRPADKVESKDEPVIKGSPDECEADGPGKNRSNCKSDLLQLKDSPDIKGPDGSCKEDDRLNYKSDQVQSKDEPVMKGPDGWWKEESSSKTGLMHTTDLVQIKDGLLSKGQLPDGWRKEVRPRKDRTKSDPVQIKDGLLSKGQLPDGWRKEVRPRKDGTKSDPYYTDPVSGYEFRSLKDVQRYIESGDISKCNVRPKKRTAQDACITQNQDYTGTSSEYARPGTADKAIQCELLTEERIRLPWEEMLKTYTQNTMLPEPEVMKLMQKYVDKFDPSEHKSVQPVSRQRVSRGKRSVQRKEPNAEVKTKKRKTMSKEKVATPPTPRVSARLVAKKVNPEVNTEPQDEPTIVNLANQVKPIQEKTADVRKVNPEGNTEPEDGPTRVNLVNQVQVIQQKTADVGKADTVSQVQTKHENTANQLQSRQTDAADPVQVNYEGTVSRSQLCQADPVTQIQADTVVPVQTNHVGAASQLWLSQAATANQIQTNQESTASRLQSNQAENANHIHGMQKYSTNYSQLRKAETTHQKQTNQKNTASQLRSSQEKSPFQKQTGQKYVANYSQLPQSHGSTVNHIQTNQQHTANQNQLQSSQADTANVLRATKEFFVNHSLLRQAGAVNHMQSNQENTAIRLQLGQADTVKQMQTVQGNSTDRSQLVQGLPVNQTQTIQEYFSKHSQPSPVNTVNQMQISQENTANQLRFSQASSVNKAQTMQENTTSRSQLIQGLTVNQIQAIRENNTRYLQPRYAENPIQQSGFSPAPEWGHGAPATGFWNNNAEHQKSSVPMQIDAAPIATSSANVEPQYAPTREPVLPTQTAVPGGADPSGFALSSFGNTWADPCIEFAFKTLTGDIPVLDPTVADYFPLQQDLNKVAPPDYSAPSVDDTRNHTQHVNQSSHHSAPRPPNGFYNGGWFPPQ >SECCE4Rv1G0221000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:44059795:44065756:1 gene:SECCE4Rv1G0221000 transcript:SECCE4Rv1G0221000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASPWQAGRYINIEGMARPVAVDHRISVPYYFRIADNLLRQANIYREEKNLIDLYIILLRYSSLLSETIPKHRDYHAFKSREKEFLKKGPHNSDKLLNVISELESLKPIVKEQIAELNRRAAEERNGPHETYAGNGASSRTGQLAASPYMVQTAFGSSTGPLQKTSSRWNHQEASPQGVEPDRHLVKSYASLPYPKDETLSRHSILGPNGLHGQWIRPVSGVKVQYPSYPELNQSNIPSLVPVILNQNDSHGPNTTSLDGLANNNGDMQSVLSLDDGQWSLPVKEPASVSPASFEEEFSQLNIRQPNPPPVLAQVHPERRPISPSRVADPRPGLAACDTGRFQNLHVPVALMESFLRLAEANTAKNLETCGILAGNLKKRTFYVTTLIIPKQESTSDSCQATNEEEIFEVQDKGSLFTLGWIHTHPTQTCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTTRKHGIFHLTDPGGMGVIHDCQETGFHPHEEPLDGTSIYEHCSHVYMNPSVKFDMIDLRRV >SECCE7Rv1G0476640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:208275180:208276862:1 gene:SECCE7Rv1G0476640 transcript:SECCE7Rv1G0476640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 26 [Source:Projected from Arabidopsis thaliana (AT4G08250) UniProtKB/Swiss-Prot;Acc:Q9SUF5] MDVTMEDLVGDLGISGYSSISTSPSSSLDDGMGLYGWNALSPVADWGMFCSDDGGHDLHGLIESMLCDDTLIGTPDAHPTMFTDGPCYSNASDPSSTTTTNPGTPVQHDDTPDCNPEKGLRLLHLLMAAAEALSGPHKSRELARVILVRLKEMVSSTSGNAGASNMERLAAHFTDALQGLLDGSHSVAGTSRQAAMAASHHHSTGDVLTAFQMLQDMSPYMKFGHFTANQAILEAVAGDRRVHIVDYDLAEGIQWASLMQAMTSRPDGVSPPHLRITAITRSGGGGARAVQEAGRRLAAFAGSIGQPFSFGHCRLDSDERFRPATVRMVKGETLVANCILHQAAATTTVRRPTGSVASFLTGMASLGAKVVTVVEEEGEAEKNEEASDAAAGGFVGRFMEELHRYSAVWDSLEAGFPTQSRVRGLVERVILAPNIAGAVSRAYRGTDGEGRRGWGEWMRGSGFEMVPLSCFNHSQARLLLGLFNDGYTVEETRPNKIVLGWKARRLLSASVWAPPPLSVPSSPAEGACQPMGMAPASGVFGRTEYDYVDSFLVEPAYALV >SECCE6Rv1G0423010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:692865707:692866369:1 gene:SECCE6Rv1G0423010 transcript:SECCE6Rv1G0423010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQSGGGAEKVAAREFSLKVAMHCKCHGCTDRLRAAVRDLTLAPGVDAVDQTAAEATGEVRVLATADPERLRKGLRKATGKKVDLVFPPTKERKKADVDAAAVQALLADLQLHHQYGGQAAARHQYGGQGAAWAASQQHQQQLLGLGLGAGWNGGAGAAVYGAAYPWQPSSAASYYPAAPAAAPGWGAYGGYGYAPPAQAPAQGYYGASSPAWHGQGY >SECCE1Rv1G0063390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:724708788:724710704:1 gene:SECCE1Rv1G0063390 transcript:SECCE1Rv1G0063390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIGAALPRLALADADAGPDDAGSACGSPCSVASDCSSVASADFEGLFSPSGADAGPPSLLSDDLPAAAAEAATVPGTACRSVFALDSPPLWGLESVCGRRPEMEDAAAVAPRFYRVPLWMVAGDGAAVDGLDRASFRLPAHFFAVYDGHGGAQVADYCRDRLHAALAEELRAAEDRVGGYDDLSSLDSKKQWEKAFVDCFCRVDAEVEAPDTAGTTAVTAVVCSSHIIVSNCGDSRAVLCRGKAPLPLSLDHKPNREDEYARIEALGGKVIQWNGYRVLGVLAMSRSIGDRYLKPYIIPVPEVTVVARAREDECLILASDGLWDVLSNEEVCDAARKRILLWHKKNATASSSIARGGSGGDGGSSDPAAQAAAEYLSKLALQKGSKDNITVLVVDLKAHRKFRSKTDNNNR >SECCEUnv1G0538800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:74311224:74312345:1 gene:SECCEUnv1G0538800 transcript:SECCEUnv1G0538800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAPAAAAKPKPRSKARAKPKAKASPDSLSAASSSPSGASDGSPSPVALGRGLPSASKPRARARAKPKGKASPDSLSGASSPSGASAGSPSPVAVGRGFLSPASLLTPQAKSPLLSAAAISTPASVSTVGDLRGLAASSLDSLKRRLDALHADNARDLDASHSRISKRIKMQTQSCVQMSEEAEKEHKKMLDKYSHQADDIKGSYKKLMTDVQSSSSRVCKVTLPEMSKSVARAMDGLRSRYNIPATTA >SECCE6Rv1G0435390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:770968262:770969450:-1 gene:SECCE6Rv1G0435390 transcript:SECCE6Rv1G0435390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTDADLSHPDFRNILYPEDTLQVVSVQVKDLTGGLQWPLDVYGVVAVRDGVDRLRNVLFSRERDDCQSINEQDSYLTLTGPSRGVVMSHDNSHLEVNLKLKGTTEPEDKDLNKFVDSYRLCCFLPIEYTSKLCTVEMQYYTALRSVEATIFVRVFKGQWPHGFRGVLTASTWQKRDIQISLLDLNDDDELPVDADGFVQLSRGVICVEKDGKLGLSIFQRGAGGVEGRAIAGIWIAAEDAGEATHYMPVEALDCWMEVTVAWSLFRL >SECCE1Rv1G0063510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:725447168:725450983:-1 gene:SECCE1Rv1G0063510 transcript:SECCE1Rv1G0063510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNKFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYCIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDTPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRAMAAAAGQSSGMAPMANRQSATEEGRRSGWSDMDGMRRQVPPPAINAGSLAKQKSPIRHDQSTSKDAMFSSSTLLGRSSGSSRRPAVSSSREPSTDAEQTRSRTTDASPGAFQRSSAPRWSPQVGDSSDTRGRSSSGRHQSSSAKNYESTVRGIQGLNFDGDDTRNHY >SECCE1Rv1G0057320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:693055873:693057297:-1 gene:SECCE1Rv1G0057320 transcript:SECCE1Rv1G0057320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLRGGGGGGGAVVHGRGLSLLADRCSTLRGLTVVHAAMLVSGRIASDAFAASRLLDAYASLSPPATVLRLLSSLPYAPNSFMLNTSLRALASSPDPASALAFFSHLRHSAGSSYSPGRHTFPFLLKASARLPLPVSTQIHALVAKHGLDRDTYVANGLVRAYSVAGRIGVARKVFDELPEPSVVMYTTMVSGYAQNGRYQDAMGAFDDMLNDGFEPGAVVMASVLSACARSESGGLVTGRRVHDIMERRGMAAPVGVILGTALVDMYGKNGAIEEAVTVFKGMPERHTATWNALISALAHHGHGKDALAMFEQMRREGVPPNATTLVGVLSAYCHTGLLDEARTVFASMEDFGVTPSIQHYGCMVDLLGRSGLLLEAEEMIRGMTCKADTMIWGALLTACKSQGDIDIAERAVQEMLKLDPNNHGVYVVLSNIYADAGRWQDVDKLRKVMKDARLSKIPGSSAVAGCSAG >SECCE5Rv1G0346700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:663215154:663217550:1 gene:SECCE5Rv1G0346700 transcript:SECCE5Rv1G0346700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKKRVAIVGAGASGLAACKHALERGLRPVVFESSDAIGGVWARALASTRLQTPRTLYEFSDFPWPPEVAEVFPDHAQATAYLRSYAARFGVLERVRFGCRVTGMEYAGVGEEEVLAWDRWAGDGSAFGTGRGQWRLTVQQQGGGDVETHVADFVILCTGRFSGIPNIPTFPPGEGPEKFDGTVIHSMDYSGMGTAKATELIRGKLVTVVGYQKSAIDIAAECANINGPKYPCTIICRTKRWIIPDYYAWGVPIAFFYLNRFSELLVHKPGEGLLLSILATFLSPLRWLFSKFVESYYRWAVPMDKHGMVPDHSFFQAISSCLVAILPDKFYDMVDQGSILLKKAKGFSFCKQGVIVEGDSAPIKSDVVIFATGYKGDQKLKEMFTSSLFRDIVTGPPSNIIPLYRQCVHPRIPQLAIIGYSESIANLHTFDMRSKWLAHFLDGVFQLPSIKSMEMDIKEWDEYMKRYSREYFHRSCVGALHIWYNDQLCQDMGCEPRRKKGFFADWLLPYLPSDYKDINLKK >SECCE3Rv1G0144200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3287976:3290973:1 gene:SECCE3Rv1G0144200 transcript:SECCE3Rv1G0144200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACRFAAPLGLAPLPRGRAVAKSIAFSIGSTKAVPRGVAMKAASGTSGGEETPEIVGSLCYTGVGRQIIKGAEEIFLSTQVKETSGPHSDKDLKRNMNLLQQLGILPCGATAWLSFAESAQSSEGVKLNMVYEVGELFELGIQLSYLLILLGLLGTGTFYVIRQVLVRRELDLSAKDLQEQVRSGEGSATELFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVYNALGVSYKRENKLDKAIKQFEKAVELQPGYVTAWNNLGDAYEQQKDLASALRAFEEVLLFDPNNKVARPRRDDLKSRVGMYKGVPVKTTDKR >SECCE3Rv1G0164980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:168422097:168423236:1 gene:SECCE3Rv1G0164980 transcript:SECCE3Rv1G0164980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLPWKPLFWDRVHRSYIMAGGARAILVDAARQLALPLRHGVEEEAISAMDACQGNLGEVCGQLGFLLSSLGTVELLALRCMRGDDLEEKEFRARARAARSMAGAAYDGVAKSRGHLGAAARIFARGDLPRSCVDLEVHAAARAIGEALEQIHRVEASLGTGGDLASPPISMAHGVGSDTISAAAAAHPGSKRGRGNDDAWRSLARSVPGALLQARAALPELEAARAELSHCADVFKSSEPFDQAATYMAALRTLDAAHAAISRVLYINGGASAAFLAATEELGLDRVGPRWVSWEESRSDVGRHGRAALDLLWSASACVDCHFGCVVPGAASPSQVGDEMLRRAVAEASEARDDAKLMGDAGIRMSFYTWAINNGV >SECCE6Rv1G0417320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:656838560:656839297:1 gene:SECCE6Rv1G0417320 transcript:SECCE6Rv1G0417320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCASVLRRRARNRHPEPRRGTPTALFVVRGEGDRRPEPLVFRVQDSGRAQLHKPPATAAPRRHERCGRQLGADAPDRTACARVPRLGTARSTAGVEPPSTRKTATATAGRGDPTTPGRYRTPSTAPPTTTASPLIVPGTPASAAMTPGRPVWQRRILMGMRCELPRFSGLLLYDEHGRPVHIATPGRRNHRQVKKKTASARTSSNTILRDLL >SECCEUnv1G0529180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6807066:6809248:-1 gene:SECCEUnv1G0529180 transcript:SECCEUnv1G0529180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGRMNAAASDDFPFAAMQPPPYVGFEHAGMVQAGGGGGGQRHQGAMMYDNLDFAAAFGQFQDHQMLALPPNGGGLLPMAPPPMPGMQLQMPPMAMHGHGDVYPALGMVKREGGGGGAGDAGRIGLNLGRRTYFSPGDMMAVDRLLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEYHAKASLVAAAGKQQRFCQQCSXXXXXXXXXXXXXXXXXXXXXXXXXPPPLPSLSLQMEQWFLHREAMFLQKPSMARARFHVLTEFDEAKRSCRKRLAEHNRRRRKPAASSTTATSKDSAPPSKKPNTGAISSSYAADNNNNLSAAKSTISSNTSAISCLQQHDQSKAAARPMTLTLGEPREKDGHQQQQLHSAMQLHHHHHQEQQQHFITSLLQQSTNGNGNNSNILSCSSVCSSGLPSAGAANGEVSDQNNHGGGNNNNNMHLFEVDFM >SECCE4Rv1G0280830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:823728183:823728407:1 gene:SECCE4Rv1G0280830 transcript:SECCE4Rv1G0280830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPKLKTIKTRGCWSLRRLPAVAMQCPMVDCEKDWWDSLEWDEGDANHHPSLYKTTHSRYYKKAQLPRGTVLR >SECCE6Rv1G0386030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:89421664:89425997:1 gene:SECCE6Rv1G0386030 transcript:SECCE6Rv1G0386030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWNVVNKVQSYLNCGIHSTSQISFLAKMGVYLSTPKTDKLSEDGENDKLKFGLSSMQGWRASMEDAHSALLDLDNETAFFGVFDGHGGRVVAKFCAKYLHSQVLKSEAYSSGDLGTAVHKAFFRMDEMMRGQRGWRELSALGDKMNKFSGMIEGLIWSPRGSDSKNAEDDWALEEGPHSDFDGPTCGSTACVALVRNNQLVVANAGDSRCVISRAGQAYNLSRDHKPELVAEKERILKAGGFIRMGRINGSLNLARAIGDMEFKQNKFLPPEKQIVTSNPDINVVELCNEDDFLVLACDGIWDCMSSQQLVDFIHEHIHTESSLSAVCERVLDRCLAPSTMGGDGCDNMTMILVQFKKPVSDTKKADVGEQSAKGKEES >SECCE5Rv1G0343000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:634391855:634393369:-1 gene:SECCE5Rv1G0343000 transcript:SECCE5Rv1G0343000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFLPELVISIVVVHVVIVGFYFIKSSKNPLLPVSWPVVGILPSLVVNLHRLHNYISFDLLTPSGHSLKVAIASIRMFITCDPTNIQYIFSSNHTNYPKGEDYAEIFDMTRGSLFSADGESSRRERANFQSVLSNPLLVGLMTKCCHDKVEKSLLPFMAHMVRTNTHVDMNDMLMRLVFDMYATTIFSVDPTCLSLDMPSVHVANAMDTVMEVGFVRHIVPAFFWKVMRRLNIGPERKLAAAQAVLRCFITDMITKRRKKGHIVGQEVPTDVLSNYVNDQNYNDDLLQATLITYMIAGRDTIGTTLPWIVYNLAKNPHIVSSIRNELAPIMSRKASIVDAGTMMIFEPDEVRALVYLQATLLETLRLYPPIPIERRSVVSTNVMPSGHVVCAQDIILVSIYSVGRMESVWGSDCQEYRPERWLSEDGRQLRYVPSNKFPAFNSGARLCLGKDIAIMQMKIIIAAIVWNFDVKIVDGQAINTKLSCLLQMKNGLKVNLSKVQM >SECCE2Rv1G0085570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:193068923:193069357:1 gene:SECCE2Rv1G0085570 transcript:SECCE2Rv1G0085570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGGPPRLLSSRESIHPLSVYGELSLEHRLRFVLNGKMEHLTTHPHRPRTTRSPLSFWGDGGIVPFEPFFHAFPGGLEKAAINRTFLILPSFQEEREILFP >SECCE4Rv1G0225670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:97809127:97812104:1 gene:SECCE4Rv1G0225670 transcript:SECCE4Rv1G0225670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHILFCLHLTILLSISVSSTCQTDPQTEALLQFRASLADPLNYLQTWTNATPPCRFFGVRCNAGLVTEISLSSMNLSGTISPSIAALSGLERLDLDTNSLSGAVPSELISCTQLRFLNLSWNTLTGELPDFSALTVLENLDVANNGFSGRFPAWVGDMTGLVYLSIGCNNYDQGEMPPSIGNLKNLTYLYLSNCSLRGAIPDAVFELTLLETLDLSLNNLAGEIPRAIGNLKKVWKIELYKNSLTGELPPELGRLSELREIDVSRNQLSGGIPAAFAKLKNLEVIQLYRNNLSGEIPAEWAELRSLKSFSVYENRFAGEFPANFGRFSSLESVDISENGFVGPFPRHLCNGKSLQFLLALQNGFSGEVPEEYSACKTLQRFRINKNQLTGSIPGRLWGLPAVTIIDVSDNGFTGTISPLIGEAQNLNQLWVQNNRLSGTIPAETGRLGQLQKLYLSNNSFSGIIPSQIGNLAQLTALHLEDNALGGALPADIGGCSRLVEIDVSRNELTGPIPASLSLLSSLNSLNLSRNAITGMIPAQLQALKLSSVDFSANRLTGSVPPGLLVIAGDEAFAGNPGLCVHGWSELGACNTDDHHRDGLARRSLVVLPVIVSVMVLLVVGILFVSYRSFKLEEQRRRDLERGDGCDEWKLESFHPPELDADEICGVGEENLVGLGGTGRVYRLQLKDGGGTVAVKRLWKGDAARVMAAEMSILGTIRHRNVLKLHACLSRGELNFIVYEYMPRGNLYQALRREAKGGGGEPELDWTRRCKVALGAAKGLMYLHHDCTPAVIHRDIKSTNILLDEDYEAKIADFGIARVAAQNSEEFSCFAGTHGYLAPELAYSLKVTEKTDVYSFGVVLMELVTGRSPIDARFGEGKDIVFWLSSKLGTQRLDDVVDPRLAASSAKGKEEMLRVLKIAMLCTTKLPAGRPAMRDVVNMLADACAGSCSPRGNPPVWSCSKSAS >SECCE2Rv1G0101290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:559188571:559191440:-1 gene:SECCE2Rv1G0101290 transcript:SECCE2Rv1G0101290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDTSVAAVVCAAAVLLISNGWISMAMVEDKITVLPGQPPVSFAQYSGYVEVDAVRKRSLFYYFAEAELDPATKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNALVSNEYSWNKEANMLYLESPAGVGFSYSTDPSFYGGVGDSMTARDNLRFLEGWFAKFPQYKGRDLYIAGESYAGHYVPQLAQRMVEFDDKEKVFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNIFTTVCNYSRYVSEYYHGSISSVCDRVMSQVTRETSRFVDKYDVTLDVCVSSVLMQSQILTPSPNSTEQLNRALDVCVEDETMNYLNREDVQKAMHAQLNGVPKWTVCSSVLEYKQLDLQIPTINIVGALVKSGIPVLVYSGDQDSVIPLTGSRTLVHRLAKRLRLNATVPYRVWFQGKQVGGWTQVFGDALSFATIRGASHEAPFSQPERSLVLFRAFLASRPLPESFE >SECCE7Rv1G0455070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:5303027:5303355:-1 gene:SECCE7Rv1G0455070 transcript:SECCE7Rv1G0455070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDTAATATAAARVCRRCKAKYSPSGNTPQSCRFHPSYFVCRRHDDQKRNYELKEGDPPYAAKFYDCCGAEDPDAAGFHLSYDDPE >SECCE1Rv1G0047190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:622051487:622056112:-1 gene:SECCE1Rv1G0047190 transcript:SECCE1Rv1G0047190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCEALLLPPLSSSSATISQIVGRISLKHPSLFGRSEKLDVILDKGVNDSNVVVAFRRPRPEWLSQQSFVIQHSMTPEIAVHGFPADNFTRSGSRGVNLSRLSLGVEINEPTTSKWTSGTSVKFEHIRPVNNDGRSIARDHEGFPLTCSGNLHDNMIILKQESGFADVNDKSFLRVNFQMEQGLPLVPKSLTFNRVKCAVSKGIKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRLCLIGNCEYTVPLAKNLEGSLFMDCGSDLGSARHVPGNPALRQGKPGFGVGFGYGVHFNTDIGQIRVDYAMNAFSRKTFYFSINTGGAGS >SECCE1Rv1G0024490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:327777713:327779515:-1 gene:SECCE1Rv1G0024490 transcript:SECCE1Rv1G0024490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSGGAGCHRCGLWPGGSAPIIVVTVSMMRPSRFRAHAVHLDCPPRTEQRNGDDLVVMRPYQRPRSGEDFAKRKNAGSVVVMRPERKTRDGLVDCAAPGNGRAVRDVERAILSLQDKPWKHLGQNSNEGVDLPKDKGVFYAGNLRRYCNNGKLIQACCVIDEMVLHGQIPDVKCCIRLIRGLVKTGKANKAREVLEVLVLSGGIPDTITCNMLIAQLCCTGQLDLAMNILEDMRYGGISPNGITFNTLIRCMCNQRMYGRAITFWKEQLRIGWPPYVMTSTLLVDLVCKNCGPMRAMEVLNELALEGCQPDVVTYNALISASCKAGRLKDAKTILARLIAEGLEPNSTTYCILLHSLCNTKRWAEVCDLLAHMNHANCEPDVTAYNIFINSFCKYGYLDQAIGVLEKMVSDKCFPDIVTYNTLLNAICKEGMVEEALLIAHCIRENGWQLVRITYNTLIDALANKGEVNKAMALFDEMASDGISPDDITYGSLVMCFCRKNMAEEALQLLNRTLALGFQVKVTTFVMVIQALCRDSKAEAAADILRVMVSETKNTSNSFYLSIVRGVAKSGRIEEAERLLQELVDCKIVKEDSPVVLSS >SECCE6Rv1G0391610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:227893891:227899880:-1 gene:SECCE6Rv1G0391610 transcript:SECCE6Rv1G0391610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAGRRSGTSPRRPGCGGEQQQPLVAVALATRVVMVTSAPPAGGGGGGGGAAAGGRCLDDLLGCLLGVLCALGFPWAAPPQRQPRPVPPRGAIPSTADGQRFAAELRRIPGRIAGNGACAVASLYTMQGKKGVNQDAMIVWENFCSRDDTIFCGVFDGHGPYGHLVAKRVRDLLPVKLGADMGTDEGRETPTSNMEGTTNEVGLPVNPERKGTTTSVGAQQDREYPEIFTTFRTSFLRAFHIMDRDLKLHKNIDCFFSGTTAVAVLKQGHNLIIGNLGDSRAILGTRNEDGQLIAVQLTVDLKPNIPSEAQRIRQRRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKEYGLISMPEVSCHRVTEKDEFVVLATDGVWDVLSNIEVVSIISRATSRASAARFLVESANRAWRSRYPTSKIDDCAVVCLFLNTHEADEPSSSAANNLANALEVRGDKHSTVAQLSTGVSGDVVNAHVKDTDELSVADAVVKPVTVSDLTKDGSGTKQSII >SECCE1Rv1G0048280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:633583354:633584154:1 gene:SECCE1Rv1G0048280 transcript:SECCE1Rv1G0048280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTDQSPEEVYSVWALPPAPVRDRLRGLMAGLRAAHGGPPFEPHATVVGAVRMRRPAAIQALRAAAAAAGVAPYTARVTGVARGDFFYQCVYLLLEPTPEVIHTSDHFCAHFGFQRSTPYMPHVSLLYGDLTDEEKEAARKKVEEMDSELSGLQFEISELALYRTDTEDKSLESWELVEVCHLGKK >SECCE3Rv1G0182630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:633948608:633953962:1 gene:SECCE3Rv1G0182630 transcript:SECCE3Rv1G0182630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRVKAAMGFHSSPRAPKSPAPATTAPAEGYAHTPARAPSSSSSPAPCGSASKASAFARSLGAYFPRSSAQVQPARAPPEVAGLLRAIEQLQERESRLRVELLEQKILRETVAIVPFLEAELAAKSSELERYKESAARLESENMRLCAELDAAALEVTSRKQRIVQMEKEMAELRKQQDADDCCSSSASVSVEHYLPKPYLSVSLHASPPSSSSSSSASTSPTDSASSSDTAAMPRTRVPELSKLPPIPPPPPPRPQLTPAPRPSISPGSMGVCGSVGAPPPPPPPPPSKRACSPVRPGSCVRRVPEVVEFYHSLMRRESKRDGGSGADATSGGGAAATRDMIGEIENRSAHLLAIRSDVETQGDLIRFLIKEVEGAAFVNIEGVVSFVKWLDDELSRLVDERAVLKHFEWPEQKADALREAAFGYCDLKKLEGEASSFRDDPRQPCAAALKKMQALFEKLEHGVYNLARVRDGATGRYGRFQIPSGWMQDAGIVSQIKFQSVKLARRYLERVSSELEAIKVGPDEEELMLQGVRFAFRVHQFASGFDADTMRAFQELKEKASLCRFQRQKQSRHTRQQQQSLVGRT >SECCE7Rv1G0504650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:725942158:725956627:1 gene:SECCE7Rv1G0504650 transcript:SECCE7Rv1G0504650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKRDRLIGDRCLSVLTDEKQDICDLLGYIHGFYREALDRLPLGAIPSLAPRLLKAGMPIGFLDPVSNIIANTIAYTPSPTPGSGQDDEAPSSEWILSKIITDTDDIFAFKLPLSRHKSMTLARLSLDGLVSFLTSHYRYLVSREAMSYLLLARADLLTAVRLIERDRSKRCNSFSITSPTAMVALECAAVSARHPEPDVLVKASLTVSSRLADVTAVLAGQGPLSPATIRSMAKLLGRGPAIMDDLTNLSLDHLCGKKKNKKRKRSEQTAETIEGSRAQKKLGPQLTFRYTLALKLLLLGKIHGHYLQALAKLPRDGLRKRHHCSLLRGGYCYGPKDPVSNIILNTIWYGSMFPTPQKFQLRFKVDMICTDMLTRIECCSLYGLVTFLRTCLPSISEHDAIWYLFRSDADVHKAIREAMKHDHSVLGRHKDAYRQAAVVSWHDDPDALVKFATSSLNMESAELLAILQGTLTNGTVECLTMALPHKYLPTKSEEQVQQVTQATSNSHVLSKKEKRFISEFQKKFRRDQNFFVRKVKAALSDYSQQKGMHYKLHIICGVNPSVPGGSSVALFKRFPFEYFHINFLATAKGPHSAVAAPELFFAQCSNSVEERRKRPSWCSAVSDSRIDNGMLSALSVHAHTGI >SECCE4Rv1G0265920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727879677:727880103:1 gene:SECCE4Rv1G0265920 transcript:SECCE4Rv1G0265920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQVLLAVLAIVAVLAALPGQGAAQLRGETPSVWPCCNNCGSCTRSLPPQCVCRDVSPRRCNPACKTCVKSNSTLTGRTGFQCIDRIKNFCESRCTPAM >SECCE4Rv1G0243420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:502243215:502266744:-1 gene:SECCE4Rv1G0243420 transcript:SECCE4Rv1G0243420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSSPTPPEIRSPERDRPEDAAGAEGEEEEFGDAFDIPHKNASHDSLLRWRQAALVLNASRRFRYTLDLKKEEEKEIIRRTIRSHAQVIRAVFLFKEAGENDPKEAYTGIKLATASRSFPIEMEKLKTLNRDHDSVLLQEVRGVKGLSDLLKSNLDRGINPTEDELLQRRDVFGANTYPRKKRKNILRFIFDACKDLTLIILMVAAAISLTLGMATEGVEEGWYEGGSIFLAVFLVILVTATSDYRQSLQFQHLNEEKQNIQVEVLRGGKRFRTSIFDLVVGDVVPLNIGDQVPADGILISGHSLAIDESSMTGESKTVHKDQKAPFLMSGCKVADGYGSMLVTGVGINTEWGQLMANLSEDNGEETPLQVRLNGVATFIGMVGLSVAGVVLGVLAIRYFTGHTKNPDGTVQFRAGTTGLKQGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEAHFIGTRLDPCDDVRAISSSSAALLIEGIAQNTTGTIFLPEDGGAADVTGSPTEKAILSWGLKIGMDFNDVRSKSSVLHVFPFNSEKKRGGVAVQSDTGVHIHWKGAAELVLSSCKSWLSLDGSVQPMGAQKRNEYKKSIEDMAKSSLRCVAFAYCQCDIEIIPKEDIADWKLPEEDLTLLGIVGIKDPCRPGVRNAVQLCKNAGVKVRMVTGDNIETAKAIALECGILDANGVISEPFVIEGKAFREMSEIARGEIADKITVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLAMGMSGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVIAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRQPVGRREPLVTNIMWRNLFVQAIYQIAILLIFNFSGKKILRLQNESPDNAEKMKNTFIFNTFVFCQIFNEFNARKPEERNVFKGVTKNHLFMGIICVTTVFQILIVEFLGKFFKIVRLNWSLWLVSVVIGVVSWPLAYLGKFIPVPVRPLQAYFKPCWKSSRRDEEEGRHG >SECCE5Rv1G0369100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:833558656:833559730:-1 gene:SECCE5Rv1G0369100 transcript:SECCE5Rv1G0369100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSRDPLILGGVVGDVVDYFDASARLRVLYGNREITVGSELRPSQVANQPTVQITGRPGSFYTLVMVDPDVPRPSDPCEREYLHWFVTDIPEGGDVARGTEVVAYERPQPTAGIHRLAFVVFRQTTREPIYAPGWRSNFVTRDLAECYKLGAPVAAAFFNCQREGSCGGRRWQG >SECCE7Rv1G0517740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:856076026:856077852:-1 gene:SECCE7Rv1G0517740 transcript:SECCE7Rv1G0517740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIMDCRFHGASVTRIIQAFDAYVVVGKQNAPGVVVLQEWWGLNNQVKNHAIHISQLGDGYRALIPDLYRGKVALDAAEARHLMEGLDWKGAVKDIQASVKWLKKNGSPKVGVTGYCMGGALAIASGVLVPEVDAVVAFYGTPSSELANPSRALAPIQAHFGELDIYVGFADVTAAKLLEEKLKSCGVPHEVHIYPGCSHAFMNTSPEALKNQGAIDLAWSRFATWMGRFL >SECCE3Rv1G0201870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:867769434:867771843:-1 gene:SECCE3Rv1G0201870 transcript:SECCE3Rv1G0201870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEGQYEPRVVHQFLELAYRYVGDVLGEAQVYADHAAKPQLDAAIQAKVNFSFSQRPPREVLLELARSRRNKIPLPKSIAPPDLIPRPPEQDTLLSENYQLLPALKPPTQTEEAEDDNEGTDAIPANPSPNYSQDQRGSEQNQPLSQRVSFQLNAVAAATAKRPLVTIDQLNMG >SECCE1Rv1G0004970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:22676401:22680032:-1 gene:SECCE1Rv1G0004970 transcript:SECCE1Rv1G0004970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSCSEEGERPGRRCAPLHVNAGFAVGFLAPLLVYFIFQQQAAISGFGVATRAAVAQWVTDKQLIRAPGETPVASDMQRNADTQLIQDSVNGKVVCNTGGRSRDWSVTCEVEGDVRTNGTALSVTLVPASRSERHEWIISPYSRSDGASRSSLRAVTVTQLQDQSATPPCTVTHTNPAVLFGLGGYVGNYWHDYTDVLVPLFVASRRYHGEVTFLVSNIQHLPWWLVKYKALLQGLSKYEVVDMDRDAYVRCFPRVTVGLHLDKELSIIPELVPGGRLTMADFTQFVRDTYALPRGAATREPHKKLRLLLIHRGHFRLLLNEQEILQAAEAVGFEAVLLELRLNGSEVEQARLVNSFDVVLGMHGAGLTNAVHLPPGGVLIQVVPYGKMEYLARAEFSEGATDMGLKYLDYSVSAEESSLMELLGPDHPAIKDPESIHRSGWTNMFEFYLGKQNVRINTTRFTPTLAQAFDHLRQQ >SECCE6Rv1G0395990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:351645217:351677726:-1 gene:SECCE6Rv1G0395990 transcript:SECCE6Rv1G0395990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPARSPTAEAMPAAERSRSAVGSGLRSLASAASGFLDRWSVVGTGVSRLEKTLGDQFPEGEHYFGLDNFGNTCYCNSVLQALYYCIPFREQLLEYYANYRNPGDDEENLLTCLADLFAQISLSKKKTGVISPKRFVHRVRKQNESFRGSMHQDAHEFLNFLLNEIVDILEKEFSTVKDSPETTSPGGVPNEEVYYLANEVRREPLVTWVHKNFQGILTNETKCLMCETVTAKDETFLDLSIDIEQNSSLTSCLKNFFSTEILNAEDKFFCDNCCSLQEAQKRMKIKKPPQILVIHLKRFKFIEHLSQHKKLSYRVVYPLELKLSSASDDADCEYSLFAVVVHIGSGTNHGHYVSQIKSGDHWLSFDDESVDKIQESTLQTFYGSSREYSGNTDHGYILFYESVAKS >SECCE2Rv1G0078930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:113389775:113393060:-1 gene:SECCE2Rv1G0078930 transcript:SECCE2Rv1G0078930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEASPFADLPFPDDLPEFPHGPVGDDAFGLDGFDLEDLDIDFDFDLDLDLSPTDDVQLPSPPPPLATSSSSAGSPGGAGDSSSGSGGGADGGLKNDESSETSSRSASAGSNDKVRDGEGEDAKRRARLVRNRESAHLSRQRKKQYVEELEGKVKAMQATIADLSTRISCVTAENAALKQQLAGAGGAGVPLPMYPGLYPLPPPWMHPAYAMGARGSQVPLMPIPRLKTKQSASVATEPPAKKSRKTKKVASVSLLGLLFLMMLCGCLVPAVNRMYGAVDSREGIVLGPSQSRHGRVLAVDGPRDGVSEGVDSKLPHNSSETLPALLYIPRNGKHVKINGNLVIQSVVASEKASSRMCHSDGKASCNQGQEDTSLAIPGHVAQLNSGEVMESAKALKNKLMALPPGDGSIYREDDELLPQWFSEAMSGPMLSSGMCTEVFQFDISPTTIVPVYSSGMHNASHNSTENLPSSQSRKVKNRRILHSMAIPLKGSTANHTDHLKAHPKNESFAGNKPASSVVVSVLADPRVDADGRISSKSLSRIFVVVLVDSVKYVTYSCVLPFKTHSPHL >SECCE6Rv1G0402360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:483262227:483264688:1 gene:SECCE6Rv1G0402360 transcript:SECCE6Rv1G0402360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSRQTLAPPSATSLRRLYGHSKPPPPSTSAFRKAASVLLLAAAVALPCAVLYRAVVSNSPPPVQVAWDRRPLREWDPTRVPVMAPEAEVGRDLDPISADDLDSEHLKLEQVLQEASMDNKTIILTTLNAAWSSPGSVIDLFIDSFRHGVRTSSLLKHLVILAFDLKAYKRCTEIHTYCYALATDNVDFSQEKRFLTAGYLEMMWKRLDFLRLVLEKGYSFIFSDADIMWFRNPFPYFYTDGDFQVACDHYVGNATDLRNIANGGFNYVKSNNRSIEFYKFWYSSRLRYPGYHDQDVLNFIKHDPYITDIGLTIKFLSTTYFGGICEPSEDLNEVCTMHANCCIGLQSKLHDLRIIIEDWTDYMSMPPSLKTFGPFSWRVPQNCSLSLLNQ >SECCE4Rv1G0283570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:835921592:835922360:-1 gene:SECCE4Rv1G0283570 transcript:SECCE4Rv1G0283570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGECSTPGKGTAVRSHSEAERKRRQRINAHLATLRTLVPSASRMDKAALLGEVVRHVRELQGRASDATAGMVDVVPGETDEVGVEEDGYLLNGGPADDDPRWRRRVRAWVCCADHPGLMSDLGRAVRSVGSARPVRAEIATVGGRTRSVLELDHVCHEAGAGPASDRAVALSTLRAALRTVLFNRDELLAAAAAAEGHKRPRLSPVQQLS >SECCE6Rv1G0380000.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:18935037:18936341:1 gene:SECCE6Rv1G0380000 transcript:SECCE6Rv1G0380000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPHLVDDVLEEIFLRLPTPAALARASTACPRFRRVITDRSFLRRFRKRHPPPLLGFAAKGGFRPAQAPHPSARFAGALADGADFTYSFVPVPSNGSPWFPRDLRDGRVLLDSRPLGETFKTFAVCDPVWRRYVLLPSIPDDMMLEDQEKRPSELRHMLAPIGEGDEDGTSFKVICFANYETKLVAFVFSSVTREWCMAASTSWSSLGVPRQGHDWECLPLSGRSCFNSASALPDMLIVLDTRTMEFSAVNGGTGYHVKLRRLLGQADVDILAGEAHVGNTIRRTHRPGRTGSRSGIVMDRDGTIEMFSLVGDHMRNGSFQLYHTRQQNNSDYFKEWRLENVIPLPDGYDYFTAGVAEGFLFLGATTEDQFDVDQGSSEPYWRTGWEVDYFSVEVETSQLTKVCRSKRQFFHGEHALWYFGYPPSLSNPCV >SECCE2Rv1G0089600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:258453411:258455451:1 gene:SECCE2Rv1G0089600 transcript:SECCE2Rv1G0089600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFSAPSLIMEEEGRFEAEVAEVGAWWNTERFRLTKRPYTARDVVLLRGTLRQSYASGEMAKKLWRTLKAHQAAGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQQEARMSLPRAERAHAPFVDFLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDIMGVETVLVARTDAVAATLIQTNIDARDHQFILGATNPLLKNRSLTTMLSDAMSAGKNGRELQAIEDEWTATAQLKTFSECVKDAIASLNTSDLEKQRKLQEWSNATSYDKCVSHEQAREIAASLGVGPVFWDWDLPRTREGFYRFQGSVASAVVRGRAFAPHADVLWMETSSPNIAECTAFAEGVRAAFPEAMLAYNLSPSFNWDASGMTDADMAAFIPHVAGLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQREERRNGVDTLEHQKWSGANFYDRVLKTVQGGMSSTAAMGKGATEEQFWTKPGSESSSQHVLAKSRM >SECCE5Rv1G0332030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:544252197:544255157:1 gene:SECCE5Rv1G0332030 transcript:SECCE5Rv1G0332030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASHAGAALVRLPPRPAPSSLPQQGFGRAGASLLAVRATKDSEGFRPLVSEKPEWPAPAPAKREGLDGFGREASNGEEDGLVQGELAPWSVLSQLGVELDSDSSYTALVYGSSAIVAIWISSIVVSALESVPVVPQVMEVVGLGFTVWFTSRYLIFKENRDELISRIGSIKRQVLGSRDD >SECCE4Rv1G0293400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:885775107:885779055:-1 gene:SECCE4Rv1G0293400 transcript:SECCE4Rv1G0293400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAAAAVTSAAFSSRAITAPLPRAASAAARRGFVSFGRAAAARPSALRSGRVRAAAGGFSSVVQDATKPEAPVVVVTGASRGIGKAIALVLGKAGCKVLVNYARSSKEAEAVSKEIEEVGGQAITFGGDVSKEADVESMMKAAIDKWGTIDILVNNAGITRDGLLMRMTESQWQEVIDLNLTGVFRCTKAATKIMMKKKKGRVINIASVVGLTGNAGQTNYSAAKAGVIGFTKAVAMELASRNINVNAIAPGFIASDMTAELGEELEKRILSTIPLGRYGQPLEVAGLVEFLALNPAASYITGQVFVIDGGMVM >SECCE2Rv1G0067120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:17650162:17651628:1 gene:SECCE2Rv1G0067120 transcript:SECCE2Rv1G0067120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLEKSGACSENKSDLLDESRRLIEKIRDDILCKGRILQDQSAECDMDIQRILKEGHMTAKVLSDKYKNGFPKMEVATSSCSGDGGRLKNMTLRGILEFRKYEELDEICRDYRWIFPRYTILPTITDGMYVASVRLACPDVKMTINGCPRETPLEARYSAAANMMLELCKVQGTGEQDT >SECCE2Rv1G0099200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:512114610:512115128:1 gene:SECCE2Rv1G0099200 transcript:SECCE2Rv1G0099200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECE1 [Source:Projected from Arabidopsis thaliana (AT4G14870) UniProtKB/TrEMBL;Acc:A0A178UZ24] MATTSTTPFHRLLAPARSNPAAHLSFYTRGPPTLSFSRSISVRRRLAAASKDTASDKGQEQESSTAAGGAGKPAEEERPSGEKTSDEVATELKEVMRARKEAEVAAGGGGWWAGVAQEMTEIEWPAPGKVLGTTGVVLGIIAGSTVALLSVNAILAELSDRVFAGRGLQDFF >SECCE7Rv1G0484120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:340637942:340638667:-1 gene:SECCE7Rv1G0484120 transcript:SECCE7Rv1G0484120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTREEDERLVAHVRAHGEGCWRSLPSAAGLLRCGKSCRLRWINYLRPDLKRGNFSRDEDELIVKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIRRKLLGRGIDPVTHRPLSDAPGTTTTVSFVHPAEPPKQPATEERKPPRCPDLNLDLCISLPFQQEEERPSARACAKPVKMEQLLQGGGGGLCFRCSILRVRGAAMECSCSSNFLGLRAGMLDFRGLEMK >SECCE1Rv1G0016880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:144774722:144775573:-1 gene:SECCE1Rv1G0016880 transcript:SECCE1Rv1G0016880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQQRRVKLIVSYGRRIERAQGRPPRYVGGEHLLLSVLSCVSTRGFRDLLAKRAGFSDFSVKYCYFGEGLDSLYDVDTDQDLRGMLDLLLYRDLQVRLLNDMNTCRFRVYLFRDAAAAPSPTSQALGKPSPMPRSATSPALLPAKPANVDGRPSHGLAAPTPSLVSRITTSPNLLWETSTAGTAPSKPSLALALTQRIASSPLLTADSTDDTTSLTTTTSTSAAIATQCRQPHPAAFRPAELSYLVCQVAPVFLVPVMPQVIIYLPTIILVPVFSSKVAMG >SECCE6Rv1G0419720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:672365295:672366154:1 gene:SECCE6Rv1G0419720 transcript:SECCE6Rv1G0419720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVMASLVPMKPSSSPLLERAKLQGVRPSARPSLLVVASKKAKKVQTAQPYGPGGGVVFKEGVDASGRAAKGKGVYQFADKYGANVDGYSPIYTPEEWSPGGDVYVGGKTGLFLWAVTLAGILLGGALLVYNTSALAS >SECCE3Rv1G0196980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:813940201:813940434:-1 gene:SECCE3Rv1G0196980 transcript:SECCE3Rv1G0196980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE4Rv1G0264990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:724150694:724151575:-1 gene:SECCE4Rv1G0264990 transcript:SECCE4Rv1G0264990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTGGGGLSRGPLLDRSSLLPPRSPPAYGPSLDAEFARFTPATMVNPLLAAAMERRLYRIDAADLAGLQIAASSGAGARRTSRFVALCAHVWKLLARAVGDSDPNCRMAWIVDGRKCVEPSEGALDLYMGNVVTYTSREASVAGLLRAPLHEVAAAARAAMASVMTRDRFQELVDWVEVNKTAYKDGGKWTEAVNLGLGSPALVISGLLPFAIDGDLGFGKPRLVLPWLRHGRLGSASVTVVPCPGGDGSWFVGGTRLWPRLVEVIEAGPESLLKPVTAASLGFEAPHGSRL >SECCE4Rv1G0245920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:538237879:538238628:-1 gene:SECCE4Rv1G0245920 transcript:SECCE4Rv1G0245920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVACKAAAPAGLLKSGAPVAFCALQSPAVNAAARPYSTQVKEVNRYDDDDDDYSGRDLVVPGFLSQDVLDPLGAPTSMARLLSLMEDVATQTGLSSTAAASRLERWVAKEDDDAVYLKVPMPGMTKEHVKVRADKNILVIKGEGEKQPWDGDDDYAVPRYDRRIEMPADAYKLDQIKAEMKNGVLWVALLKVKEDERKDVFHVKVE >SECCE6Rv1G0432430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:751854077:751854709:1 gene:SECCE6Rv1G0432430 transcript:SECCE6Rv1G0432430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREDSTMEAMASSPAAKPTTTLRVAAFSGSLRKGSWHGGLIRAAEELCEESIPGLQIDHVDISGLPMSNPDLETDDGDGFPPDVEAFRDRVRAADCFLFASPEYNYSVTATLKNALDWASRGKHKCWADKAAAIVSAGGSCGGGRAAFHLRQIGVFLDIHFINKPELHVRAYEDPPKFDADGNLVDAQTRERLKQVLLSLQAFALRLQH >SECCE6Rv1G0384710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:66768665:66769472:-1 gene:SECCE6Rv1G0384710 transcript:SECCE6Rv1G0384710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDLKLLGAWPSPFVTRVKLALALKGLSYEDVEENMHNKSELLLKSNPVHKKIPVLIHNGAPVCESMIIVQYIDEVFAGTGPSLLPADPYERAIARFWVAYVDDKLVAGWRQWLRGKTEEEKSEGKKQAFAAVEVLEGALRECSNKGGGFFGGDGVGLVDVALGGMLSWMKVTEVLSGDKIFDAAKTPLLAAWVERFSGLDATKVALPDVGRLLEFAKAREAAAAASS >SECCEUnv1G0534100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35922278:35923936:-1 gene:SECCEUnv1G0534100 transcript:SECCEUnv1G0534100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSEGAKRQQQRFCGPSVALTFNFAVAVGIIVANKMVMGSVGFKFPIALSLIHYAVALVLMAILKALSLLPVAPPSKSTPFSSLFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVAAEFMLFKKKVSCQKVITLATVSLGVAVATVTDLEFNFFGACVALAWIVPSAVNKILWSNLQQTGNWTALALMWKTTPVTIFFLLALMPLLDPPGLLLFNWNFRNSCAIVISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLTGYLVFRSDPGVTSVCGAVVALGGMSFYTYLGLKKEAVAPSGKKPPSRSNSFLGKPAGDGGSSDYEDSV >SECCE2Rv1G0074920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:80991444:80992223:1 gene:SECCE2Rv1G0074920 transcript:SECCE2Rv1G0074920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPELEMPASARRRFFLARFDALIFLHCGLLWVLLAGCLALVIARLALGQVEIPRALWAASWVSVYVVLCLTPVSWLLVGARSSRSSRSPYDTTDANKDPALIGCLFSTAFMMLAIDYDKVAGLLGVEGSQRERIGSIVRDVGLMGAIAIHCFVVLPTMILRQWRMK >SECCE3Rv1G0206910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:913023349:913028514:-1 gene:SECCE3Rv1G0206910 transcript:SECCE3Rv1G0206910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQSQPLPPGVGAWQPPPTHPPQFQHPPQFQHPPQSQYPPQFQHSSQFQPTPRPHAYPTPYDTRPNGGNNQNGANMYYATQPFHPSPHPHAYPTSYDTRPNHGSNQNTAGPFYATQPNMPFAPAQSTPSVTAEAGNGQPDLKGHQSAHVVANHNGSAANIESAVQEAVLHEQDIETQQVIQNQREAKSTNDSAEYGEDILSSRRDPNALKEHLLKMTVDHRAEMANKRGKSLHPDNGNVEIGNGYGVPGGGAYYAGNLTSAQMNKPKDDAEKAKGDDGLPEFLKQRLKARGILKHKEANDNIMAKQNADSQEGHNKSAQELPPGWAETKDPTTGASYFYNQSTGVTQWDRPGGTVNTVQHQAAPSLPENWEEALDKSTGQKYYYNTKTQATQWEPPTSVNPVIVPQASTVVAVQATTQNTDLWNPHIQRCSGCGGWGVGLVQPWGYCNHCTRVQNLPFQQYPSYSNNIVPPSGTNVPKSQGNIAAKDRSNSKPPSGKATKKDNRKRGRAEEDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTASGPLFQQRPYPSPGAVLRKNAEAASGGGKKRGGMAAISKRGDGSDGLGEAD >SECCE7Rv1G0468290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:96143923:96144150:1 gene:SECCE7Rv1G0468290 transcript:SECCE7Rv1G0468290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVVVAVLLVQCCNVVLAARLLEGDRGWLHGGLGAAGALIMQVLPGGSPGVGAPNGCTNNPNHPPGGPCNGGR >SECCE2Rv1G0067800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20085644:20086922:1 gene:SECCE2Rv1G0067800 transcript:SECCE2Rv1G0067800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWLLKQPCPATRPWTRTRNDTGLQVTSITYRLRRRTTCTGRSADVAVKHEEEGADEEWLAYLEPAKLEVFDQLEPWAEANVVPLLKPAEVAWQPTDLMPDPASLGADGFHEACCDIRARAAGLPDAHLVCLVGNMVTEEALPSYRTMANRFEAVHDLTGSSGTAWARWTRGWSAEENRHGDRYLYLSGRVDMRQVESTIHNLIRSGMVLNAARSPYHGFIYVAFQERATFISHGNTARRAKEHGDVVLARICGAIAADEKRHELAYTRIMGKLFEIDPDGAVRALAYMMRRRIVMPASLMTDGRDDDLFAHYGAVAHQAGIYTASDYRGILEHLIKQWGVEELVAAGLSDEGRRARDYVCVLPQKIRRLEEKAHERSRQKAQPTTSIPFSWISDRPVNITVA >SECCE5Rv1G0345150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:649495502:649496002:1 gene:SECCE5Rv1G0345150 transcript:SECCE5Rv1G0345150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRNAASISAEALLLSKHMAYSSTDASQGSMILPMSTPAKPNSSWDILKSSPKTVVPRNASGTSNLTPSVVYTMKWPLLATDEHDVPHGPPVSSADVDDDQVSFFRPIAANLCHFLASRASVLALIMVSYLCFWKREKFFWEMEFGMPSSVDEVVVFGGHLAMDL >SECCE6Rv1G0411680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:609297318:609298448:1 gene:SECCE6Rv1G0411680 transcript:SECCE6Rv1G0411680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRAAKKAAPPPPPPAASSDETDSRSRSEESEDEDAESPSPAPTPAPRNTPAPPQVGEEEEEESDEEEEEEEESDEEPAHAAPAAALTPKNKPPPPQQRGGSESSDDEEDEEEEEEEPIKAAPRSTPKKQPPPPPPRQIEESDASDEEEEEGESEEEAPPPPPPKLAPKQAPEGRKPQAAAETKKPAAFSRIWSTDDEVRILEALADHQKQHGTLPQPDALVDTLAGKLDNRAYGSKELQGKVVALRRRYLALNKKGELPSKEHDRRVLELSKMVWEGGDMATAAAVSAKVANGQEPKSFEEMCELYPYLAEQVRELDAANPGMFKRQFGMMDEDKARTMDEKIKKQRVAQMKVELRRHDLTREVTKAIIDLVD >SECCE3Rv1G0182890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:639218503:639226937:1 gene:SECCE3Rv1G0182890 transcript:SECCE3Rv1G0182890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMQHVVKVEEGRPAADGRPSVGPTYRSAFARDGFPAPVDGLDSCYDIFRMAVEKYPDNRMLGQREIVDGKAGAYVWRTYKEVSGIANKIGNSIRSCGVTKGNRCGIYGANSPEWIITMEACNAHGIYCVPLYDTLGAGAIEFILCHAEVEIAFAEEKKVAELLKTFPKSTEFLKTIVSFGKVTQEQKEEVSKYGLSIYSWDEFLSLAGDQEFDLPVNQRTDICTIMYTSGTTGDPKGVLISNASIICLIAGVDRLLNSVNERLEETDVYMSYLPLAHIFDRVVEELFMFHGASIGFWRGDVKLLVEDIGTLKPTILCAVPRVLDRIFSGLQAKISSGGFIKSTMFNLAYKFKQFRMMRGAKHNEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVLQGYGLTETCAGSFVSLPNQMSMIGTVGPPVPNIDARLESVPDMNYDALASTPRGEICIKGETLFSGYYRREDLTAEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGVVSAIDSIWVYGNSFESFLVAVVNPNKEALESWAEANGISGDFEALCENPKAKEYILGELSKTGKEKKLKGFEFIRAVHLDPVPFDMERDLITPTYKRKRPQLLKYYQGAIDNMYKSAK >SECCE7Rv1G0491620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:530540070:530542331:1 gene:SECCE7Rv1G0491620 transcript:SECCE7Rv1G0491620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGMMEARMPPGFRFHPRDEELVLDYLLHKLTGRRAYGGVDIVDVDLNKCEPWDLPEAACVGGREWYFFSLRDRKYATGQRTNRATRSGYWKATGKDRAILAGEALVGMRKTLVFYQGRAPKGTRTEWVMHEFRLEEEPHHQHKVRAATATATAEAARCQLKEDWVLCRVFYKSRTTSPRPPSEEACTFFSELGLPTVPPPLAPLIDAYIAFDSGTAMNTIEQVSCFSGLPALPLRGSVSFGDLLGWDNPEKKATRTALSNMSSNSNSKLELPPNWSQENGLSQMWTPL >SECCE1Rv1G0036060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:504519050:504523248:1 gene:SECCE1Rv1G0036060 transcript:SECCE1Rv1G0036060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PALE CRESS, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G48120) UniProtKB/Swiss-Prot;Acc:Q39089] MAAAAPALPTFLRLRPPPPSPFPSCRPPLHRRRRPHPSRLHPARPAVPLSPVSAVEKTKGAAAAADEVQLEGMPSEFYDEEWQANQRERTKEWHAYRQKEEAEEEAKSSEYREIGMRMKAYPQEEVCKARVLVSSFIRAGEDVEKVIEKAAERGELTELVLMVIWNRLDVARRDDEKDAIRSLDLLYRRVETEILRSEATPAMRLLDELLNIHDGSDDDKWLKACRKHMIEVFPREDPFTMVFPPGFDMEKHDGQIKLPPQDDDVLLRVDFVREVDELLKEVQAEQEMSKLQTGYDPESVATMLKQQEKLRTIHQVEALLELAASLKW >SECCE7Rv1G0502930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:702079215:702079500:-1 gene:SECCE7Rv1G0502930 transcript:SECCE7Rv1G0502930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKLTLLQTVAAAGVFSAVSFWYGFMFGRESARRELGGIIDDLRSGSTATSAAPSSDSHAHPKP >SECCE6Rv1G0408200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:569099050:569099988:1 gene:SECCE6Rv1G0408200 transcript:SECCE6Rv1G0408200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAIALMVAVVFSLAQLSAGSRSLMELYVPPESDRLTYHQGGVLSGDIPVSILWYGKFTPAQRSIVSDFVVSLSSAPNGAATPSVGQWWGTIEQLYLSKAAAGNGQAGATHVLLAEQVTDEECSLGRSLTLAQIDQLAASVGAKKGGIALVLTGEDVAVEGFCSSRCGRHGTSAGGDSTHIWVGNSEKQCPGQCAWPFAQPQYGPQGAPLVAPNGNVGMDGMVMVLATMVAGTVSNPYGDGYYQGPKGASLEACSACPGVYGSGAYPGYAGNLLVDPATGGSYNANGANGRKYLLPALYDPATASCNTLV >SECCE3Rv1G0206020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:904141057:904143288:1 gene:SECCE3Rv1G0206020 transcript:SECCE3Rv1G0206020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLHLVGLLLLSCTCPLLCAAQSEAAALVRWKASLDGAGRSLGPWSMANSTSLCGWRYITCDSAGHLTELNLGGATLNGTLDELDFSAFPHMEELTLSRNDLHGTIPAGIGNLTSLVVLRIFDNPSLRGAIPRTIGQLKHLAVLELDGLGLGGSLPEEIGNLTSLENLNLNLLVLTGSIPPTIGMLAKLRVLDLENNNLTGSIPVEIGNMTALQLMHFQDNYLEGNLPGTISRLINLRELDVSGNQLGGHILLEFGNSSLLNMVDIAKNNFSGMFPPSICVGGALKFLDARYNGFTGIHLRAFRNCTTLRYVDFTANNIVAELRECFSDHPKWLSTMAFSQNQLYGTLLTDQGEDLLCNLTSLSLLDLSSNALHGDLPKCFWDLPNLALVDLSSNSFSGVVPFSRTCQVRLKYLLLANNHFSGTFPLGLKKCINLTTLDLGGNNFSGTIPSWASMSLPKLNFLRLSSNMFDGIIPQEILQFRRLRVLDLSKNKLTGPVPDDFTNLTAMAQEQESTGAIYADPDFFEKRIHIVWKNVNYAYSLQISAVAGIDLSGNSLSQEIPNGLTTLLGLRYLNLSGNHLSGCIPEDIGNMVLLEALDLSGNQLSGEIPPSLAALKRISVLNFSSNGLSGRIPTGSQLQVLDDPSIYSNNPGLCGFPLEGCINSSTPAQTETSLDEDREALWVYCFVAAGFISGFWLYWGIFFFRGETWRCAFYQYVDNMQGKVAKKMYNFMSRFPADGSE >SECCE6Rv1G0385900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:87062977:87067287:1 gene:SECCE6Rv1G0385900 transcript:SECCE6Rv1G0385900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-type response regulator, Cytokinin signalin [Source: Projected from Oryza sativa (Os02g0182100)] MTVEGRVSGGDGGGKDKFPVGMRVLAVDDDPTCLKVLENLLRRCDYHVTTTGQAATALRMLRENKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRLEQLKTIWQHVIRRNTKNRGSDNDDAGQKGPNAEGENGGANRNKRQSRRDRDENGDDGDDSDENSNDNGDSSSQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILDLMNVENITRENVASHLQKYRLYLKRMSMDASRQANLVAALGGRNPAYSNMNSMDVFRHYNNAYGRYRPVPTSNHSQSNNLVARMNSPSAYGMHGLLSPQSQPLHLGHAQNNLGTSLNDLGVNNGNLIRGAHMSTMVTGTSGNSFANISNGAPLAPTNRAVQSLESNNRQHLGRINSSSTDSFSSFAGDSPHFPDLGRSSNTWQNAVPSNIQQLGQNGSMSQASLHGNGPRMEPVSSYAPPSNQITSLGNDMQNQVAPLASNTLPMVFNQGAAPFTFGNSTNSREALNSNLAYSNSGINTSLPNLRIDNSVVPRQTLDGGNTGGVSSLQDGKIDQQAVGNQLNYNNNDLVGTSGLQRELSGGLDDIVVDMFRPDNDNGGIFIDTDWGLV >SECCE7Rv1G0501380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:682408876:682415007:-1 gene:SECCE7Rv1G0501380 transcript:SECCE7Rv1G0501380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGAEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGVNGTTIEFCKNIVLAGVGSLSLMDDHIVTEDDLNSNFLIPHDESVYGGKSRAEVCCESLKDFNPMVRVAVAKGDPSLIDGTFIDRFDIIVVSCASLKTKLFINDNCRKRSKHIAFYSVECKDSCGEIFVDLQNHSYLQKKPGGEPEQQELKYSSLQEAISVPWKDLSKKTTKLYYAMRVLESYESSEGRDPGETSLSDLPAILARRKDMCDRMSLDESKIPTSLLERLLAAGKREHPPVCAILGGILGQEVIKSISCKGDPIKNFFYFDAADGKGAMEDIPPTPED >SECCE3Rv1G0187530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:701666067:701667568:-1 gene:SECCE3Rv1G0187530 transcript:SECCE3Rv1G0187530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNLRRQAASLKKGLFDQGHLDEQFRQVEDLQDEASPNFVEEVVVVFFKDSGRLISNLEQALEKYPRDFSRWDAYMQQLKGSCSSIGASRMKNECMSFRDNCGQRNVEGCMGSLQKLKREHAILRQKLESYFQLLRQAGPAGAATRPAM >SECCE6Rv1G0386580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:97389266:97390251:1 gene:SECCE6Rv1G0386580 transcript:SECCE6Rv1G0386580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYFLQATVPVNPKPFLINLTGKSVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVMYMRGVPEDTEIEDAA >SECCE6Rv1G0423150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:694172164:694175757:-1 gene:SECCE6Rv1G0423150 transcript:SECCE6Rv1G0423150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCLTESPPHSITVAAAMRCLPFLHGDAKEEDRRTGPSAAMSASVRSFSTESTERGDRSDLNSINVSDMSAESIRRTHYPSFTDRPANLRVFTFPELKAATRNFSRSLMVGEGGFGCVYRGVIKGSDDDPNHRVEIAVKQLNRKGVQGQKEWLTEMNVLGIVEHPNLVKLIGYCADDDERGMQRLLVYEYMPNGSVDDHLASRSTSTLSWPMRLKVALDAARGLKYLHEEMDFQVIFRDLKTSNILLDENWNAKLSDFGLARHGPQEGLSHVSTAVVGTLGYAAPEYMQTGRLTAKSDIWGYGVLLYELITGRRPIDRNRPKGEQKLLDWVKPYISDVKRFPIIVDPRLEGHYNLKSMTKLAGVANRCLLRLPKSRPKMSEVYEMVQKIVDSVEIGPPEPPLHYHGSVSGPGAKRTKKGSLKRRLQEFKFGCRQIVWRGWKPEIIKTC >SECCE7Rv1G0491450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:527324264:527326660:-1 gene:SECCE7Rv1G0491450 transcript:SECCE7Rv1G0491450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDMRIEGRIIGFDEYMNLVLEDAEEINIKKNTRKSLGRILLKGDNITLMMNTGK >SECCE5Rv1G0370680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:843355813:843356466:-1 gene:SECCE5Rv1G0370680 transcript:SECCE5Rv1G0370680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSRYCGNSGELGVFRATRYFDGLADVAANPVTVKQPEDMTIQLKEVHHKVESFRSTEEQGAKTKSNLGAVLGCLASLAASFRKNPSGSSSTMSSGIHDEPPQVSSSFSRGSSDVLTASAAPAAAFGRDFGEVVGDRRLQGVRVVRGASGEEERWVVRCGAYALEEERHVLVLEKIIDAKLGEGHQVEKTEDGDGRGWDSVTSSDLFELDLDDAK >SECCEUnv1G0562580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:366977920:366980014:1 gene:SECCEUnv1G0562580 transcript:SECCEUnv1G0562580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGALNPLLGKLGQLLGDEYKKLTGVRKQASFLKDELSAMKALLDTMELMDNLHPSAKNWRDQIREMSYDMENCIDDFIHDIEGARAKKGFVRKMAQRLRRLGRRHHIANRIEELKVLAVEANARRQRYKIDDFIHSSPGLVAVDPGMSALYKEASRLVGIDGPREELVSLLMDSQKKLKVVSIVGFGGLGKTTLAKQVYDEVGGQFNCKAFFSVSQRPDVKILLSGLQKELGMGDTSHACQLQGIIDRLREHLKHKSSLQANGWNSLQFCSYEQLTARREIN >SECCE7Rv1G0526530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:895916242:895919404:-1 gene:SECCE7Rv1G0526530 transcript:SECCE7Rv1G0526530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVVGASAATMKSLLGKLGGLLAHEYALIRGVRGDIQYINDEMASMQAFLGDLSNTSAAAARGHDCRMRDWMKQIRDVTYDVEDCVDDFAHRLPHDPAAGRDVCCAFLVSGAYEIWTWWPRRTIASSIAELKVRAQQIGERRTRYGVENPKTLDGAPPAGGFDVAEYQHTTLALVGTKRPVGVEEEMKELGRWLTLQHPSAHDASQTEALKQDQAVLSIVGFGGVGKTTIAMALYQAYGDQFDRRATVTVSQSSDIDAILLTILSQVKPQSKDDNEEQCTGGGGPSGKKCLMAAIGAVWASIMPKDRKDGEQQGGSTSQTTLDLKRHLEDYLKGKSYLLLVDDVWSATMWEKIKNSLPRSKKGSRVIVTTRFQAVASACRRDSGDHIHKVVVLSDDKSKDLFMTESGISEDKPKENQGKIPPKLWQMCGGLPLAIVTMAGHACCNPNKLATEWSKDCSALVPESGKALGQDGVTRILSHCYNDMPGEIRTCSLYLCIFGKGSKVSRKRLTRRWLAEGFVCDKDGLSADDVAEAYFNHLLRRKIIRAVEHSSNGKVKSYQVHDMVLEYIVSKASEENFVTVVGGHLLMATPSNKVRRLSLQGGDSKHKKTMESMNLSHVRSLTMFGSLTQLPSNSLKFGIVQVLDLEGCKDFRQHHAKEICKMLLLKYLSLRRTDVNMIPKKIGKLKYLETIDIRETNVTELPNTICQLERVANILGGNKRTRKALKLPEDLKKETMKSLRILSGIEIVEGPSTVADFHHLTDLRKLTIYKLNIKKGGKLFRELSSSIEYLGGYSLHTLVIDDESSEFLKSLGALSTPPKFLSALELSGKLVELPGWITELETLTKLTLSVMALTADALQRLSKLKTLFSLTFSLTAAKQDPETIFIIEENKEHSDGEIMVPAGGFVNLKLLRFSSPFVPLLSFPKNALPKLGRLELRFSMFEGLYGLENLAELKEVHLRVHDKAGEITKSLVEDMATAAREGNKCPRVIVDQYHG >SECCE4Rv1G0227220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:119063982:119072798:1 gene:SECCE4Rv1G0227220 transcript:SECCE4Rv1G0227220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGPPNPMGPGQPVGAASLLRTNSSLLSGGQQGMGSGGMLQSQSPFSSLVSPRTQFGGNGLLGGSSNVSPLLNRQSFGNGGAVPGPAQMANGGLPMNTLQQRGGLDGAGDLIGTGGSDPMSSSQVSLGNHLGSDNLQQQQQKMDMQDLQQQQQQHHHQQLPMSYNQQQLPTQPLQLHATVKMENGGSIGGVKLEQQMAQLDQNGPAQMMRNAGNVKFEPHQLQSLRGLGAVKMEQPNSDPSAFLQQQQQQQQHHLLQLTKQNPQAAAAAQLNLLQQQRIMHMQQQQQQQILKNLPLQRNQLQQQQQQQQQQQQQHQHQQQQLLRQQSLNIRTPGKPASYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPTAKKRWCVSLYGTGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESKNASGQIVLDYTKAIQESVFEQLRVVREGHLRIIFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQAAAQNPTTLTTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSKQTGSGPIDSLHKFPRRTPSGINPLQPQQQQPEEQQSVPQSSNQSGQNSAPMAGAQASASANADVTSNNSLSCAPSTSAPSPTVMGILQGSIDSSQDHLMSSANGQYNSGNNGAIPKVNSTSSLQSNPSTSFPSQVPISSNTNMMPALQNTNQLSSPAVSSNLPPMQPPATRSHEPEQSDTQSSVERILQEMMSSQMNSVGHAGNDMKRSNGFTPGINGVNCLVGNAVTNHSGIGGMGLGAMGGFGSNPTANGLRMAVTNNAMAMNGRMGMHHSAHDLSQLGHQQQQQQQQHDIGNQLLGGLRAGNSFNNLQYDWKPPQ >SECCE1Rv1G0054060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:672749731:672751434:-1 gene:SECCE1Rv1G0054060 transcript:SECCE1Rv1G0054060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGARPDGFTLPLLNRAAASLAAAGLVGAAHSVGVRTGFALNLYFCNTLVDAYVRHGAVASARQLFDEMTARDVVSWTSLISAYVATGDRREVSGLVTKMRMDGCEPSAVTLAVLLRLCTAERDVPGGRQLQCYAAKSGLSEGPLVLNSILTHLSRTATGLDDAVRLFELSPGGRDAVSWNIIISEYSSQGSVAQVIDMFERMRREEVCPTCQTLTALVAAFAKHRCLEQGRRLHCFVIRSGLSDPVLVASFLDFYAKCCEMESSAKLFEEFRGTSSCIWSAMMWGLIHHGHFLQVIHLFGRMLSSQLAINADMLQGLVISYMELGASRLGKSAHGYIIRNSHGGAQYENTCYLETSIVKFYARCGNIHLARRCFNNIQQRDIVAWSSMIEAYAIHGNGREALALFDQMLAEGVMPNGVTFLSLLSACSYSGLVSEARELFDCMVRKFGITPELGHYTCMVDILGRSGNLDEAAQVIGGMNVKPDGRIWGALLASCRTHSNVELASLAAQKLMELEPDNVGYHVVFSNVQAGSGDRWREVEHIRRSMTDAQKVPAWSCVADTGSP >SECCE5Rv1G0333390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:556436387:556437220:-1 gene:SECCE5Rv1G0333390 transcript:SECCE5Rv1G0333390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVAIHARLAVLPPRLSAAASSLCSTPPRIRLQPLRTSTGHVFRSPRCRRRPCRARAASITASLDLTEDNVRQAIVDAKAELAQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRATVVARIGNYLKNRIPEILEVEIEDEDQLDDSPAAY >SECCE3Rv1G0192750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762417114:762417914:-1 gene:SECCE3Rv1G0192750 transcript:SECCE3Rv1G0192750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSPSAGGDVPTPALPPVRLAAGQAATIQPSSPRYFFSSLAGKDPSSHRRIAIAVDLSDESAFAVRWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVSDDDAEDAAVAAAAEGSEPGASAEELQKKREEDFDAFTSTKSQDLAQPLVAAQIPFKIHVVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRKGGKGRLGSVSDYCVHHCVCPVVVVRYPDDAAGVPGEAAAATTDELHTVPEDEPVYHDAPDAHKGLPS >SECCE7Rv1G0474920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:179063063:179065411:-1 gene:SECCE7Rv1G0474920 transcript:SECCE7Rv1G0474920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.6 [Source:Projected from Arabidopsis thaliana (AT4G34980) UniProtKB/Swiss-Prot;Acc:O49607] MAAHRRLLLLLLVLAPALSRTVLGVSSGGQARKTYIFRVDHRAKPSVFPTHAHWYSSAAFASAAANADGPLEPLHVYDTVFHGFSASLSASRAEELRRHPAVLASFEDRVRQLHTTRSPQFMGLRARLGLWSLADYGSDVIVGVLDTGVWPERRSLSDRNLAPVPARWRGGCDAGPAFRASSCNKKLVGARFFSQGHSAHYGVEAAASNGSVEYMSPRDADGHGTHTATTAAGSVSYAASMEGYASGVAKGVAPKARVAAYKVCWKGAGCLDSDILAGFDRAVADGVDVISVSIGGGNGAVSPFYIDPIAIGSYGAVSRGVFVATSAGNEGPAPMSVTNLAPWIATVGAGTIDRNFPAEIVLGDGRRVSGVSLYSGKPLANNTMFSLYYPGRTGGLSASLCMENSIDPSLVAGKIVICDRGSSPRVAKGMVVKEAGGAAMVLANGEANGEGLVGDAHVLPACSVGENEGDALKAYAANTTNPTATIVFRGTVIGVKPAPLVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLEADARRTEFNILSGTSMACPHVSGAAALLRSAHPGWSPAAIRSALMTTAIVTDNRGGAVADEAEPGRAATPFDYGAGHIAPGKALDPGLVYDIGDEDYVAFMCSIGYAANAIEVITHKPVACPAARGRKPSGSDLNYPSISVVLYGGNQSKTVIRTATNVGAEASATYKARVEMASGDVSVAVKPEKLVFSPSVKKQSFAVTVSSASAPSTAAPVHGHLVWSDGRGHDVRSPIVVTWLQPM >SECCE5Rv1G0312660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:241872487:241875255:1 gene:SECCE5Rv1G0312660 transcript:SECCE5Rv1G0312660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIEDVPSVELMTELLRRAKCSSKPDKRIILVGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLAKQGAKVDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKTPGVDDVSGEPLIQRKDDTAEVLKSRLEAFHIQTEPVIDYYSKNGLVTNLHAEKPPKEVTAEVQKALS >SECCE7Rv1G0483630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:324552341:324554986:1 gene:SECCE7Rv1G0483630 transcript:SECCE7Rv1G0483630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTSFRLGALEKLKSFRGMDNFRRSKDSPGKRGDTPLHLAARAGNVYNVQRILAESGRELVGELAARPNQDGETALYVAADKGHTEVVREILKVSDMQTAGIKASNSFDAFHIAAKQGHLDVLKELLQAFPALAMTTNSVNATALETAAIQGHIDIVNLLLETDASLAKIARNNGKTVLHSAARMGHVEVVRSLLNKDPGIGLRTDKKGQTALHMASKGTNAEIVVELLKPDVSVSHLEDNKGNRPLHVATRKGNIVIVQTLLLVEGIDVNAVNRSGETALAIAEKMNNQELVNILRDAGGVVTAKEPVHPANPAKQLKQTVSDIRHDVQSQIKQTRQTKMQVQKIKSRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDTSQAPPGMSLGQAYVASNPAFIMFLVFDSLALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISAAFIALTYVVVGRGDWWLAWCTMAIGAVIMLTTLGSMCYCIVAHRMEEKSMRKIRKASASQSRSWSMPVDSDPELINTEYKKMYAL >SECCE7Rv1G0472170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:138059363:138069337:-1 gene:SECCE7Rv1G0472170 transcript:SECCE7Rv1G0472170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYSKDLLRGVGGGDDRDKKAPRSESRTEELDLTLELSLGGRLGTKRRRSGEGLAGPSSVALAPPAPVGTNSSQGGVSPLNGVAVGPSALLRATLNPFPGSAAVVQPSAIPQGAGFPARIIKEEPVEGTPRAEGRSLPSAVVRRSQGINGVMATAPYLPSGVIAAALGSRGEQQHASQRPAAMAREMPLVWTTGLPNGMRTVGFLHQYGRVGEVRIMCVCHGSFFTPAEFVEHAGGGQVANPLRSIIVKAPSWL >SECCE5Rv1G0330800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:533527589:533529620:1 gene:SECCE5Rv1G0330800 transcript:SECCE5Rv1G0330800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQSLLPSFLYAASSGAAMPGGRAAQEEPVVARAPSEPPFGKIDMFSPAYYAACGFGGAAACGLTHAAVTPLDVIKCNIQIDPAKYKSISSSFSIVLKEQGVRGFFRGWAPTFLGYSAQGAFKYGLYEVFKKEYTDLAGPQYAAKYKTLIYLAGSATAEVVADVALCPMEAVKVRVQTQPGYARGLRDGFPKIVRAEGYAGLFRGMVPLWGRQIPYTMMKFATYENIVEMAYKHLIPTPKEQCSKPLQLGVSFGSGYIAGVFCAAISHPADNLVSFLNNARGATVGDAVKNLGLWGLFTRGLPLRIVMVGTLTGTQWVIYDSFKVMAGLPTTGGAPAAAPREEA >SECCE5Rv1G0321850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:411105925:411109572:1 gene:SECCE5Rv1G0321850 transcript:SECCE5Rv1G0321850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRQSILLAFAVGAMAAVLLATPAAAKSYNITKILAAHPEFSKFNAMLTKTRLAYDINRRQTITVLALDNAAMAALDHYELPTVRHILSLHVLVDYYGNKKLKQLSRGATASASMFQSTGTASGMSGYVNITSHKGGKVEFVSQDADDTVKPSRYVKSIKEIPYDISVLEVSSVISSSEAEAPVPPPAPVDLVELLSKKHCKSFAGLIAGNADVFRTFNETKDNGLTIFCPVDAAVAAFMPKYKNLTAKAKTAILLYHGVPDFFSLQLLKSNNGMVSTLATTSEVKKDYSFDVQNDEEEVTLVTKVVTSTVTATVGDSEPLAVYAVSKFLQPKELFKVVQAPAPAPSKKGKKEADDSSSSSDDEDSDDATTDKGDAAPAVYGRWATAAATVGAVLALMG >SECCE2Rv1G0101100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:553872742:553874927:1 gene:SECCE2Rv1G0101100 transcript:SECCE2Rv1G0101100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQQQLTPQGFGGGPALSPMQGIGASSSSSSSQAAATATAAAAAADAARARAAEQMAYEDAWKACNPDFNTPFASVEDAVTRLLPYHVFADYDEEDTYIDAAGTEKSSAERWDNDVAATMMKQIAEFEKHVLTFNVMARQRAEGTMRGEEQLLLERALIQDEFRASDHVRVAHIQQQQQQQEEAARASRLALAQAQAQVASAWPLVQPTNPSGWQQALAAAVAARGDGVPAQMATAQGVTMLPQLDPSAAGAWLMMQQQQQQQQHHQQQQHQQLGPGVWPTFAALHGVGSSNGQAGPPALWQEQAAGEQTTSGTAVGGMAQPWWAGGAQRREQ >SECCE7Rv1G0502950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:702676924:702678412:-1 gene:SECCE7Rv1G0502950 transcript:SECCE7Rv1G0502950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFAFKSSKSRRRPAGGGKPPAEPKPDGPKRSKASSSASTPTRSIQELSEERGAARLRVFGLEELGSATNGFSRALKIGEGGFGSVYRAFFRSAAGGRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVRLVGYCAVDSEAGKHRLLVYEFMPNKTLDDHLFSRAHPPLPWRTRLQIMAGAARGLDYLHRGLPEVQVIYRDFKASNVLLDGEFRPKLSDFGLAREGPTEGRTHVSTAVVGTHGYAAPDYIETGHLTVKSDVWGFGVVLYEILTGRRSVERSRPAEEQKLLGWVRRHPPGGDSFRTIMDPRLGGRYPLAAAREAARLADRCLGKNPKERPDMGEVVEELERVLQMEPHPPPATPKR >SECCE4Rv1G0233930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:258850030:258914519:-1 gene:SECCE4Rv1G0233930 transcript:SECCE4Rv1G0233930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIPSAPPPNPHSHFLLHRPPTLSPQLRLRLPVRRLRLASPVPVIATVTAAVPPDDISQLNNRLRALVRRRGVSSAATSPMDPAQAEAYLHMIREQQRLGFRQLRRHAAEPEYEGDVGSNGEDEEEGAGRRKGMAAGSSLGHRVDPRELEPGEYVVHKKVGVGKFVCISGEDGEDYVFIQYADAMAKLAVVQATRMLYRYNLPHEKKRPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQRRPPYRKPEAMDQFASEFPYEPTPDQNQAFIDIENDLTERETPMDRLICGDVGFGKTEVAMRAIFIVVSTGYQAMVLAPTVILANQHYDVMSERFSNYPDIKVAIFSGAQSKDEKDELITKIMNGHLQIIVGTHALLTERMAYNNLGLLVVDEEQKFGVQQKEKIASFKASIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVKTYVSAFSRESALSAIKLELKRGGQVFYVVPRIKAIDDVLQFLKDSLPDVPMAIAHGKKVSKNIQLAMEKFSCGEIKILVCTHIIESGIDIPNANTMIVQYAELFGLAQLYQLRGRVGRSGREGFTYLFYTDKSLLSRIAMDRLGAIEEHSDLGQGFHVAEKDMGIRGFGSMFGEQQSGDVANVGIDLFFDMLFDSLSKVDQFRLKPIPYNDVQLDINISPHLSSEYISYLENPVELLNEAAKAAEQDIWNLMQFTEDLRRQYGMEPRDMELLLKKLYVRRMAADLGISRIYPSGKMIIMKTNMNRKVFRLMEETMASETHRNSLSFTGKEIKAELLVNLPDTLLLNWLFHCLADCYAIMPALVSY >SECCE5Rv1G0326330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:477363477:477367381:-1 gene:SECCE5Rv1G0326330 transcript:SECCE5Rv1G0326330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSHTPKSLAVLLRARMHPDSIPSPPPAPSPPVSPEVDPSARPAAASSVHHFLHASIAAASPPPAALECFSDGYRSLDRGGRHEILRSLATDYDVPRARVRDLMRQYVSAAATGEDGDEQPGAEREEGGATSALYRMERGLRDALRPKYAGFLEAMNAQPGGLKLLAVIRADLLALLGEENAPVLRALDGYLKEKLVTWLSPAALALHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALLKDMATSIQEVLLDVPPIAECEAKCALFYSISSTQPGLSGINLGKFLLKRVIDMLRKDMPSVQIFATLSPIPGFMQWLLAKLASQIKLAETEMQEGNSIEGASSTFRESILFPEEEKMIQSAISDQVNGKQGIELLQDILKSSQWVKSDKLSAALKSPLMRLCARYLTREKIRGKALDAVANFHLQNGAMVERINWMADQSEKGIEQSGGIMVNYLYRLENIEEYASSYSAAGNIHTSPSLS >SECCE5Rv1G0297140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:130833:133451:1 gene:SECCE5Rv1G0297140 transcript:SECCE5Rv1G0297140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHHPPNAAAAAAALGDMFPQEPAMESEDSSTEWLSIYLEDCLSNPASYPVSEEQASVNPTLPHPSSSNPRRKKRSLASVIRDSDEEYFLIVEPPLLLDQKHWLAESELILPKKDKDQELVQKQEQEHEEEYKPYTVQFKQEQVVRRCSICLSNQTTQQWQGGPSGALLCNTCSLRLKAGNGFIKLERCGQQVDEDQDHGRGQDKRKIKKTTYYGDDEEPPQEKRRIKKTSFVDEQGKRRTKKTYVNEGVPLEEPVKRCTHCLSHTTPQWRSGPLGPKTLCNACGVRYKSGRLLPEYRPANSPTFVSFMHSNSHKKVMQMRKSVENENEHEHEYSHSHSDM >SECCE3Rv1G0158030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85078311:85080294:1 gene:SECCE3Rv1G0158030 transcript:SECCE3Rv1G0158030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTQFAMIEELASLIKDNLYSKHLVLSTEETLVTLLQHSYLDDDDDEHDRTTTGASHGAAGNTIELQPTSSYNRLLLHRLADIYGFAHESVGEGEDRHLVLQRCPETAIPPVLVSDVLWNYDDSDGPSASLMLARNETDLQRTHEPEDVQDAISLESLHLKTDTPVSKPLQQSVPPSAASLKEREAAYRAARERIFSTHEAKGNDTSAAKSRHVPAVAQRMIAHALGKRVEDPTERAALEKVKGKELVNGRTGPMSSMDNHHAVKHNSSSPGGGPYRNPSAQSRHTPTVSAETLKKEQTGAAKRMFAHALRLPGAEMPNGAARRPK >SECCE2Rv1G0126260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:845120410:845125685:1 gene:SECCE2Rv1G0126260 transcript:SECCE2Rv1G0126260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEALLAAQGGGGGGGGDGVASQRLSIDDALAEHAGEFGRWQLRHFVLVSAAWALEALHTMVIIFADREPAMRCPAGEGRCGDRCAGAVAGWEWAQGSASSTVAEWGLVCGERYKVGLVQAVFFAGCMIGAGVFGHLSDSFLGRKGSLQVVCFLNALFGLLTALAPSYRVYVVLRLLTGFSTGSIGLCAFVLATEPIGPSRRGTAGMSSFYFFSGGIAALAGVAAMFQASWRLLYVVTSLPSLVFVLAVMPFVSESPRWYLVRRRTDDAMRVLRDIAATNGRRIPDGVTLKLDDEGDDVNGGKQVEESPPPPSSSSGSILDVFRSRTTRARLVLSVLINLLCSVVYYGLSLNVVNLKTNLYVSVAVNSIAEMPAYLLTALLLDHFGRKPLGIGTMLLSGVFCIAGSLIAGVGAMRVVRMVCGVVGIFGMAATYNLLFIYTAELFPTVVRNAALGCTSQASQMGAILAPLVVVLGERVPFAVFGVSGIIGGLLVFYLPETMNKPLYDTMAGLEEGEKAPLK >SECCE6Rv1G0429780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737854357:737856716:-1 gene:SECCE6Rv1G0429780 transcript:SECCE6Rv1G0429780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPCECCERKIRFIRKINGNFMHSLVIPELFVSQLGGKIWRTIKLQAPDGIIYDVGVSENMNRIILKFGWAAFLDANEIEENYSLMFRYLGNSLFEVTIFDSNGKEKASRSHYTDNSSSSHPGATQSSAGGGSDSDGSQKESSYWYKKSAKMPAKSYSSEEFSAEDNSVDSDDQDMLSKYCVFSGRCYLTEAQNLKILAFVKKIRPEIPVLVVEMKKTNVKQSGTLVISKDYAQKHFPCEETNIILQLPRKNKGWKCRFHIRPPGTSDAGRRNLSLWNFVRDNHVREGDICLFQPMTNAKEKSFTMTVHLLHKVGIDHSPGERTGIGSNHGRISTKMAGAMEEPPTDGEDYSSEHDEHGVSDDSEGGSEPPFMLADKTSLTRAQEKKVLEKVEEIESEVPLYVAIMSKSNVYRGGGCNTPTLRFGNQYTGRYLVQKSAAGHHRGKSRLISLVLLGERRSKSWPTKMQHSMQHTTHAMRVLKGWTFFARDNRLREGDLCLFKLIKNKEPLTMVIHIIRREEC >SECCE5Rv1G0303460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:48674013:48674693:1 gene:SECCE5Rv1G0303460 transcript:SECCE5Rv1G0303460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAKEKTRLADKLQHSTNDDTVGVPGGATAACWDCSICLETATEPVVTLCGHLYCWPCIFRWLTTSSKSKSRASSSARCPVCKAAVSEDHLVPLYGRARAATLCQAGGRGGSVCQVPRRSPVQGPNVEERLQEPDLHDGGNFYYYENTGINGLDCSNAERLLGGIALAVLLPWATRGGGRPPPPSLYRDGEGWRMARQERRVARRLRQIWVFLAMVAFLCFLLL >SECCE3Rv1G0207240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:919189378:919189641:1 gene:SECCE3Rv1G0207240 transcript:SECCE3Rv1G0207240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYSIATQKMIVAATMTLHNYVRLHDKEDLHFLRCERDTDYVPTIPDRYRKYAIPSNASDASTTAESGPNMDLFRHELATAIALSW >SECCE5Rv1G0351130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:696630960:696632291:1 gene:SECCE5Rv1G0351130 transcript:SECCE5Rv1G0351130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMASPVPMDVDKLSYEIFALLESKFLFGAGGAGCLSGPGTPFRGANDGRVRVLAVDGCGAGAGDALLAAAALARLEAGLRQRAGDPDARVADFFDVAAGAGAGGVLAAMLFLKGADGRPRYTAEEALAFVSGSVGKDWGGRRRGWAKLFRGGARKAERSFRRVFGDATLRDTVAPVLVPCYDLATGAPFMFSRADAVESDSFDFRLTDVCAATCAAAGAAAAVRSVDGCTAIAAASGAVAAMGNPASAAITHVLHNKQEFPLAVSMDDILVLSIGTGASSSAATCGHGWSTPMPTRSPSRDELARVTAQGVADMVDEAVAMAFGHASDSNYVRLQASNAPDTPRTQTAGAAAGAMLSQRNVESVLFRGRRLSDRTNAEKVDALAAELVKEQERRMRSPLPNVVIKQVASPRLSSATTASSVTATVRTASTMPSPASWDSRQ >SECCE1Rv1G0002440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:9073840:9076784:1 gene:SECCE1Rv1G0002440 transcript:SECCE1Rv1G0002440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDYVVISVLLCFLCITFHLIFVESIDVDVNLQMNEKNTTLLRPKLFHFPWRANIMGEGSGIISHYAMWHTEPGQFYGLRADMSIWASPNQETSQESGASLQIYCQDGGNYNLIQVGFHISPSLYRNRDIRFFTYWTKDLKSKGCYNLQCPGFVSASRANLVPGQAIAPPSIYGEQDHYVRLSLNKDPNSGDWVVYRHDLQKPSFLGHFPNKLCPGTRRIQALTGFVNYLKNAHGPPMGSGHFPDYDDKRSAYFKHIQNYNPNGHSSYLLGIPMIKLVDRPDCYTANNLFLEYKKGYMFNYGGPSGCVG >SECCE5Rv1G0312470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:232729240:232805087:-1 gene:SECCE5Rv1G0312470 transcript:SECCE5Rv1G0312470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLAVRSAFVVALAALIAARAVRRRSLDASGGAAGFAVMALHLACGYRYGALLLAFFFTSSKVTKIGEDRKRRVEEAFKEGGQRNWIQVLANSAIATVLVILLAIMTGGQDQCLDSNSSKVITGIIGAIIGHYCCCNGDTWSSEIGVLSDEQPRLVTTLKPVRKGTNGGVTLQGLLAATAGGLTIGLTFVAVGLMTAECSFDMSLQQLLVIPISAAAGLLGSLIDSLLGATLQFSGYCSVRNKVVSKRGPTVTKISGMTILDNDAVNAVSVLLTSVITAYACIFLF >SECCE4Rv1G0227190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:118072381:118074009:-1 gene:SECCE4Rv1G0227190 transcript:SECCE4Rv1G0227190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRGLSLVLCVTFFSSCLVSVPSVASSDGFLQCIREKIPSHLVYTQCNTNFTGVLASSIRNPKFFTNTTVRPLCVVTPTDASHVQAAVLCGRWQGVRLRVRSGGHDYEGLSYRSARPEVFGLLDLANLRAVSVNQWEYTAWVDSGATIGELYYTIAKNNPEVAFPAGECPTIGVGGHFSGGGVGMMMRKYGLSIDNILDAKLVNANGDLLDRAGMGEDLFWAIRGGGGGSFGIVLSWKVHLVQVPPTVTVFSIAKTLEQGAIDILTKWQDVGPSLPTDLTITVMLSGQQAAFRAMYLGTCSSLVATMGEQLPELNMTSADCQPMTWLQSTALSFITFTNNRPLEEALLSRTTSLSTFTKVKSDYVTRAIPKAAWKDIFSWFTMNGAGLIVLEPHGGLMATIPTAATPYPHRSGVLYIIQYIAFWQGDDGGTAANWLGSFYDFMGHYVSSNPRQAYVNFRDLDIGQNVVADDVSTSESGKVWGERYFMSNYQRLASVKAAVDPMNYFRNEQSIPPLLPVPAYNDYNEDPSEQRFMPRDPIN >SECCE3Rv1G0197410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:817924985:817927343:1 gene:SECCE3Rv1G0197410 transcript:SECCE3Rv1G0197410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCPSVKNILLLDAEGKRVAVKYYADDWPSASSKLAFEKSLFVKTQKTSARAEADVVMFDGYIIVYKFVQDLHFFVTGGDEENELILASVLQGFSDAVGILLRNNVDKRTALENLDLIFLCLDEVVDGGIVLETDGNVIAEKVTGHGLEGAGSFTEQTISQALATAREHFARSLLK >SECCE3Rv1G0186780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:690294117:690295091:-1 gene:SECCE3Rv1G0186780 transcript:SECCE3Rv1G0186780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCTVSSACRHPSEPELIEYLLGRIKEGLSWEFIHDANVYTADPASLTQMFLRAKDGTGEEAWYFFSPVRTKNVRGQRKARTLESGAGCWHSEAGTKAVQDADGHRVGYRQFFSFVYKHNRQRIRTGWLMVELRLDREQGENMPSDAPDLVLCKIYFTPRALSSTAQATREAAEKEIGGRRKNDNQSPDEAPPVRQRCCHNLPEATASSNVVTTVMDEDVPSGRTTPPSTSAPTSSMLQSPRERLSYSSLAAEDKPATVFPDESSVSAMRIMASPYFVLPSADYSRQEVYVVCKGDCSLTHQIIRGDCRVTLTICRGDCHGA >SECCE4Rv1G0296840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:904874398:904877962:1 gene:SECCE4Rv1G0296840 transcript:SECCE4Rv1G0296840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITEEPMRTVESGSAHSEIGQDAKVVEREKAYNILPLDVSAPHPVMEIPEIKAAVELLHPRLDSSSVPGQIDGPIIHDLLDWLRQTFGFQKDNVENQKENLILLLANIQMREGRTARHGERSNHVIQSSTVIYLMKKLFQNYISWCRYLDLESNIEIPSSATRPATQQPELLYVGLYFLIWGEASNVRFMPECLCYIFHHVIYFRSSACYFLEKIMARDLYGIISSSFDPLFRPDGRDDAFLKLVIQPIYNVIWKEALVNKHGTVSHSKWRNYDDLNEFFW >SECCE7Rv1G0463090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:50992914:50993315:1 gene:SECCE7Rv1G0463090 transcript:SECCE7Rv1G0463090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQVASPATAGEKTMATAAWPYAEYMARWERQVERRQLFLRSYHFSRDAEVSPRARTRRVVWAGARRLRRAAAKGLRRLRARIRLCFGWAAPALRRRSSPRRGGLHGFRYGRIPRATKAPPAAANAASVCFW >SECCE2Rv1G0072410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:55222213:55223085:-1 gene:SECCE2Rv1G0072410 transcript:SECCE2Rv1G0072410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATISATPLALRPSPRHAFASPKMLRSLPFLRRRLPSLAAAAVKQDAAVWNAAPVASIGAASADGSLFHLRVDLSDASDLASSFTAPGQYLLVRVPGEDDLKPAFMAIASPPGGGAFEFLVKTVPGATAEKLCGLRGGDVVELGAIMGKGFPIERVTPADAAETLLLFATGTGISPIRSLIEFGFAAKQRADVRLYYGARNLETMAYQERFAEWESSGLKIVPVLSRPDDSWKGEKGYVQRAFLEAKNIANPTSTGAVLCGQSQMIEELTLALTADGVSQDKILKNF >SECCE5Rv1G0340930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:619557897:619560082:-1 gene:SECCE5Rv1G0340930 transcript:SECCE5Rv1G0340930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVERSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEVESSDSSNSISALISQASSECPTFPDCGFQLADSGVVLTCLDCFLGNGSLYCFEYGAPPAIFLAKFRGGTCTIAQSDPSEVVVRRAMHLLQNGFGNYDMFEKNCEDFALYCKTGLVPVDEPGIGVSGQASSAIGVPLAALLSTPFKIFAAGPLGMATVTAGMYCAGRYITDIGVRKDVAKVEVENLSSHLGFRRVEDAESVNRSSDKVKKLLPLKRKRER >SECCE2Rv1G0130600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:877682041:877683043:1 gene:SECCE2Rv1G0130600 transcript:SECCE2Rv1G0130600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSLSPSGSNRRLSELLEEQQEPFSLHLYLLEKGCSLTTLDPAGGCGVASLTCWPRSRGTSPAPRRVTASKRTPASSLLSKFLHGTAAPASTKRKKRLRPAAIGPCSVEGEKTASKRKNAVEAHTAEAADDEGESYDDDSSKQLSPVSVLERRTFEKPPRAYAEKAIVVFRQLLEAARRPALLQRKVATESSKSSDVLVGTSTTTAPAPPPAPAPRRTDRATSEAHLEEMFEARGHDLVPLDLPGERGDARLGRWDVGAELAVAVLEALTEEVVVELMGMVHHDDASAIDVKQCRLLPNC >SECCE1Rv1G0002390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:8965876:8968899:1 gene:SECCE1Rv1G0002390 transcript:SECCE1Rv1G0002390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDYVVILVLLCFLCITFHLIFAESIDVDVNLQTNEKNTTLLRPKPFHFPWRANIMGEGSGIISHYAMWHTEPGQFYGLRADMSIWASPNQETSQESGASLQIYCQDGGNYNLIQVGFHISPSLYHNRDIRFFTYWTKDLKSKGCYNLQCPGFVSASRANLVPGQAMAPPSIYGEQDHYIRLSINKDPNSGDWVLYRHDLQKPSFLGHFPNKLCPGTRRIQAMTGFVNYLKNAHGPPMGSGHFPDYDDKKSAYFKHIQNYNPNGHSSNLLGIPMIKLVDRPDCYTANNLFLEYKKGYMFNYGGPSGCVG >SECCE3Rv1G0158710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:90256850:90259651:-1 gene:SECCE3Rv1G0158710 transcript:SECCE3Rv1G0158710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSEVPFYHSLPVPGAQDSLKDQIHSKVMGTIGNVMNSIDRTALPQQLEGAWETAGNVVNSLESKLSGQKSFDSDGGNDFLDGYECPDDYWDPEQHKAQKPVNIRNLLGGIVAIIGRNCKNDEIQQSKETKTSVSFLGSGSDGDTSLHSSVYAPSAPPLLDEEALSYNIYRVVLEAEPPEWLPDSYADSCMQCSASFTAITRGRHHCRFCGGIFCKACSKGRCLLPAKFRERNPQRVCDACYDRLDPLQNLLINSVSNATQTAKHDVMDWTSARGWLNLPIGLTLEHEIYKAAVSLRSYSQVARINPGKSIPHAVLSGASGLAILTVVKAGAFLTYKLGTGLVVARRSDGSWSPPSAIVSAGLGWGAQVGGELMDFIIVLRGPEAVKTFSSRMHFSLGAGLSAAAGPVGRVLEADVRAGNKGSGVCYTYSHSKGAFIGVSLEGNIVTTRMDANLRFYGDPYLTTSDILMGNLEQPNAAKFLYQALDDLYSGLDC >SECCE1Rv1G0050030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:644177686:644179987:1 gene:SECCE1Rv1G0050030 transcript:SECCE1Rv1G0050030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLSDNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPGVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGEDGDEGDEY >SECCE7Rv1G0471590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:132364825:132365935:-1 gene:SECCE7Rv1G0471590 transcript:SECCE7Rv1G0471590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGTGEMDGLEGFEFFQIILDNSSGRLRLPDKFTRVLLDGSKPQEVKLREAGHGRRSWDVKVVLDADSHIYLGRGWEQFARAHDLRLGYFLVFSFDGDAVLTVKVFDVSMCRRHYHHDGDTSSGSNSDGESGSSNTSDGGSGGNNMSVAEMDVENGPTSQFSAMLRKCNLGMKQEQYLNVPVDFQLAHGYAERSKVELRMRGKSWLVTLKHSPKTGGRPRASFRYGWHQFCVDNALGEGDTCFFRALRQGSAGGGGEDHLLKVEVRKRDGSFVV >SECCE6Rv1G0429560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:736234102:736234488:1 gene:SECCE6Rv1G0429560 transcript:SECCE6Rv1G0429560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNNGGNNGTTSRGTTTTPPRPHWRRRDPTATVVYVVPPSQFRSVVQQLTGAASSNTASSDAQRYIVAPCVVHANTGHAAAGSRAEGRCGEEANRGTGSDRTTTATTMRHMLEECMAWASDDHDEN >SECCE4Rv1G0253950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:631314582:631323145:1 gene:SECCE4Rv1G0253950 transcript:SECCE4Rv1G0253950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLGAQQPHSSLSPRTPTRPHLQHAASNRFRDHHHSQSQPHAAGLKILRITPPFFLLLLAAVYLLASVTILSAPAPSLRASKKPDRLVLPTPAPVTPSRPPSPELFELDNGRIRARISNVGAAVTSLLVPDKNGVLADVVLGFDSLDPYLNGTSPYFGCIVGRVANRIKGGKFTLNGVQYSLAINSPPNTLHGGFKGFDKVIWEVTEYNKGKIPSITLKYHSKDGEEGYPGDVSVTARYSLPSSTELKLEMEAAPLNKATPISLAQHTYWNLAGHDSGDVLAHSIQIRGSQITPVDQISIPTGEFMQVSGTPFDFLTEAEIGDRIGQVPGGYDHNYVLDDSREVKSGLRHVAKVTDPSSFRVLNIWADAPGVQFYTGNFLAGVVGKGGAVYGKHAGLCLETQGFPNAVNQPNFPSVIVRPGEKYMHTMLFEFSTK >SECCEUnv1G0533930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35337226:35339802:1 gene:SECCEUnv1G0533930 transcript:SECCEUnv1G0533930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHDGASPAKMFIGGLSRQTSMGTFKEYFGKYGEIIDAVIMKDRHTQKPRGFGFITYSDPAIVDRVIEDTHVIDGKQVEIKRTIPKGAAPLKDFKTKKIFVGGLPTALSEDEFKDFFSKFGKVVEHQIICDHSTNRSRGFGFIVFDAEKSVDELLAKKGNKIDLNGTQVEIKKAEPKKPSNPPHSLDSKPRRSPYADGYDGFASGYNNGGRFAPYKSPDSGTRPGSYTSAYVPGSYSSAYLPGNYSSAYAPGDYSSGHGGYDGAFGGYHGESSLYSSRFGSSYGGGLGGAYGRDAVPRGTSSYGPSYDSSGASADPSVRFGMGGLYGARGGYGSSSGGGATGRYHPYAG >SECCE3Rv1G0165420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:174199665:174201304:1 gene:SECCE3Rv1G0165420 transcript:SECCE3Rv1G0165420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIVAVVVAVLCLRLPALARGQLQVGFYNTSCPNAESLVQQAVASAFANDSGIAAGLIRLHFHDCFVRGCDASVLLVSANGTAERDAAPNKPSLRGFEVIDAAKAAVEKSCARTVSCADIVAFAARDSINLTGQAAYQVPSGRRDGNFSLDQDALNNLPPPTFTAKQLVDRFANKTLTAEEMVILSGAHTVGRSFCSSFLARIWNNTTAIVDTGLSPAYAALLQALCPSSPNAATTAAVDPSTPAVLDNNYYKLLSLNLGLFFSDNQLRTNATLNTSVNSFAANETLWKEKFVAAMVKMGNIEVLTGTQGEIRLNCSVVNNRSSVAAPEIQMARHSQYYSGSTASIEEIATS >SECCE1Rv1G0042860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:584772447:584773840:-1 gene:SECCE1Rv1G0042860 transcript:SECCE1Rv1G0042860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGTKTRFGRCPYCRAMICQSTSAAIYYCTKCRTPIRGKNTEPTDDETDNALSSLEILSAVDTESVFSDDIDASSTQPSVIDVDGDQPPFSCGYNSNSDANSQGVTASSPSPYRCFGSPRAGTPRSNSCVPRGVEDGRVGSDGQDEVRVVRPVNSRVDALRASSRRTRRASSASDPSILRRRDFSVPDPEEAPRNASSSEQQLRRSALSSRELRTSASVSAVTASPLTDPEFQRDLLHALDKLRGMIANIELQPRSESGGSGAVTRRDSRLFRRLESRLAEELPADDAAARGPRRNGYSSTGSASWSSSSSGQGERHAARPRKRRHCLPVLGGAPFVVCGECSELLHAPTTAMPSRRRGNIRLRCGGCEQVLEVALPAAPCTAGPARKTSGLSWSGELERAASRSDGAQKVPLLLHRALGYDSMSQLLQSRRY >SECCE7Rv1G0468880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:104155375:104158349:1 gene:SECCE7Rv1G0468880 transcript:SECCE7Rv1G0468880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEKAAAASSGGVPTTDLGKEEEAAGAGSSSEMPQKKATPSTGRSASLDVLHGNATTQGDEESAAEHPSKKARTASSLDSADTTKAAQGCGLERRDAAEAELGGGEVLHEQEHPKHCQESDPNEIVHAKEENKEVQEADLLIEQLNELGMGEDISEEEFLAYSDKLPRIPTYNVARKLTNEELDEQDVRHALCRFRYYKYKLEEEGKEDTFGLKDISEAECDQEFLKKQRFFRRLEEISTLDWYFHPDYCEGDSLNDYQRLVLRNYGSSEYARWSEYHEFLYNHDVEEEYVKFCEELFKKLEWMEGYLDFPRPSHKWDRISSRGALQAIKLAATTFQKITASLAYYGYFECKQSIAYDRTWYKDLDGVHFEIWWRVTEKQMSFRDALEEVCKLNRFPLRQRRLEGALKCEYTMERLESEYHTCTAKVPPGTEKDMAKELIAKAVKNRLNKPKTYAQYISKKMHIARVAGILPLKDSKEQCS >SECCE2Rv1G0112170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:707075092:707075814:1 gene:SECCE2Rv1G0112170 transcript:SECCE2Rv1G0112170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGHEHVIGIPVSSTAIGIEEPESTSDDAKYSTSARTGGKSGRRTGDKFARGIKEHVTLGPKLYETVRGKLSLGAKILQAGGVEKVFRRWFSVEKGEKLLKASQCYLSTTAGPIAGMLFVSTEKVAFRSDRSLALTSAKGDTVRVPYKVAVPLRRVKAARPSENQHRPEQKYVQLLTDDGFEFWFMGFVSYQASLQHLEQTIGAAGVG >SECCE6Rv1G0431430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746468029:746468805:-1 gene:SECCE6Rv1G0431430 transcript:SECCE6Rv1G0431430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQTRSSSFFLLSSTTIQIKSSSSDMAFHQRSISLPSRPHVSETEVEQELHRLEASISSSSSIITMCDGLRSLANIYDGLEEIICLPSNQACSSQQRKMLDGEMECSIELLDLCNIMQEIFAEMVVVIQELQVALRKGDVAAAQAKIQCFTRLAKKARRHFKKSAKKPAFDKMVMLLTKAREMCISLLESTLHLLLKQIEMPKQSLVCKAFYKKKAIVCKEEQLQELECSIEDLQNGAGDLFRKLVQNRVSLLNILSS >SECCE2Rv1G0119920.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:795094137:795096545:-1 gene:SECCE2Rv1G0119920 transcript:SECCE2Rv1G0119920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22150) UniProtKB/TrEMBL;Acc:A0A178VER0] MAPPQCAVSPPPAATNAANAAAGGGKGRTQLAVAQVKKLCKQGRLEHARRLLLDALPRPPPTLLCNVLFIAYVAAALPDHALRLYALLNHAARPAPRSDHYTYSCALTACARSRRLRLGRSVHAHLLRRARSLPDTAVLRNSLLNLYASCARHRHGGVDVVRRLFDAMPKKNVVSWNTLFGWYVKTGRPDEALEMFVCMLEDGVRPTPVSFVNAFPAAGSGDPSWPFLLYGLMIKHGVEYVNDLFVVSSAIGMFSEIGDVQSARMVFDRAAKKNIEVWNTMITGYVQNGQFSEAMDLFIQILGSKGVPSDVVTFLSAVTAASQSQDGRLGRQLHGYLMKGMHSTLPVILGNALVVMYSRCGNVQTAFELFDRLPEKDIVSWNTMITAFVQNDFDLEGLLLVYQMQKSGFIPDTVTLTAVLSAASNTGDLQIGKQSHGYLIRHGIEGEGLESYLINMYSKSGRIDMAQRVFDGYGNDRDEVTWNAMIAGYTQSGQPEQAVLQFRAMIEAGVEPTSVTLASVLPACDPVGGGVCAGKQIHSFALRHSLDTNVFVGTALVDMYSKCGEISAAENVFGGMTEKSTVTYTTMISGLGQHGFGERALSLFYSMRDKGLKPDAVTFLAAISACNYSGLVDDGLSLYRSMETFGLSATPQHHCCIVDLLAKAGRVDEAYDFVESLGEDGNFIAIWGSLLASCKTQGKMELAAWATEKVLNIEKQYGHAGYNVLLSQLFAAEGNWSSADSLRKEMRLRGLRKEVGSTWIKVQSAALQDRSVERNQKQNCPENEHVFSMLDADTSYSADAII >SECCE3Rv1G0198050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:825997589:825999850:1 gene:SECCE3Rv1G0198050 transcript:SECCE3Rv1G0198050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRGHRRHAAASACPLLAFAVLLALPGLAAGVTRRYTFNVGMTSVTRLCGTKSIPTVNGQFPGPRLDAREGDRLVVTVHNHMSRNVSFHWHGLRQLRNGWADGPAYITQCPIQPGQTYVYDFTVAGQRGTLWWHAHLSWLRVHLYGSLVILPELGVPYPFAAPYKEVPIMFGEWFKADTEAVISQALQTGAGPNVSDAYTFNGLPGPTYNCSSKDTYTLKVQPGRTYMLRLINSALNDELFFGIANHTLTVVEADANYVKPFTVSTLVISPGQTMNVLLTTSASPSSQAFAMAIAPYTNTQGTFDNTTAAAVLQYDAPTPPSSAQNLPLPALPRYNDTNAVASFTSNFRSLASAEYPARVPRAVDRHVLFTVGLGTDPCPSNQTCQGPNGTKFAASINNNSFVRPRSALLEAHYQRRYAGVLMANFPTTPPHPFNYTGTPPNNTFVAHGTRVVPLKFNTSVELVMQGTAIQGAESHPLHMHGFNFFVVGQGFGNYDPVNDPAKYNLVDPVERNTVSVPTAGWVAVRFLADNPGVWLMHCHFDVHLSWGLSMAWMVDDGPLPNQKMLPPPSDLPKC >SECCE5Rv1G0335720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:578916813:578918927:-1 gene:SECCE5Rv1G0335720 transcript:SECCE5Rv1G0335720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTRRGGGADQASLGFLTNRDTEARLPRATRVKNKAPAGVQITAEQILREARERQESEILPPKQSITDADELADYRLRERKRFEGLVTRGGVPAWVKYARWEEGQGDLARARSVYERALGLDVARRDHALWLKYAEFEMRNRCVNHARNVWDRAVSLLPRVDQLWHKYIHMEELLGAVASARQLFERWMGWRPDVAGWNSYIKFELRYGEVERARVIYERFVAEHPRPDTFVRYAKFEMKHGGVERARRVYERASELLVDDEDAEVLFVAFAEFEENRPEVERARAIYKYALDRVPKGKAEDLYRKFLAFEKRFGDREGIEDAIVGKQRFQYEDEVRKNPLNYDSWFDYIRLEESAGNKDRIRDVYERAIANVPPAQEKRYWQRYIYLWINYALYEELDAQDMERTREVYRACLKLIPHKKFTFAKLWLMAAQFEIRQKNMKAARRILGNAIGMAAKGKLFKEYIEIEIHLGNFDRCRTLYEKYIEWSPENSYAWRKYAELEKNLCEADRARSVYELGIAQPALDTPEVLWKEYLQFEIDEGEFGRARELYERLLDRTKHLKVWISYAEFEASAGLGEDSEESKNDGDYQEQQIERVRMCRAVFERALDYFRTNAAELKEERAMLLEEWLKTELGFGDLGDVALVQTKAPRKVKRKRPLPTDDGSNVAYEEYVDYIFPDEVTPASNLKILAAAYKWKKQKAF >SECCE2Rv1G0101540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:566817634:566818422:1 gene:SECCE2Rv1G0101540 transcript:SECCE2Rv1G0101540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSSYFFSSSSSSSSSSDKKSSSSKRRQQAAQQQPDSNPTRYLGVRRRPWGRYAAEIRDPATKDRHWLGTFDTAEEAAVAYDRAARSLRGVRARTNFAYPDLPPGSSITPYLSPDLTSNDNSVQLLQPFYADPVAPLPTHQPAAGGGGQDVGSEYLYGGAPDMSSLMDDIAMPDDLPMIDGGGDMDFSMYGVGGNGSNAAGDGGWCDASELGAYSSTPGGGHGVYFEEGYVHSPLFSPMPAVDDAGADGFQLGGSSSSYYY >SECCE1Rv1G0035860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:502532332:502538183:1 gene:SECCE1Rv1G0035860 transcript:SECCE1Rv1G0035860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADAAAAAAASVGKLVLDTGWLAARSTEVALTGVELTTTHPPDASAAAPWMHAAVPGTVLGTLLKNKLIPDPFYGLNNEAIIDIADSGREHYTFWFFTTFQCAPAGNRHVSLNFRGVNYSAEVYINGHKEILPKGMFRRHTLDITEVLHPEGSNLLAVLVHPPDHPGTIPPQGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSICITGAVNITDPHLVSTFHDDFKRSYLHCTLQLENKSSWLADCSLKIQVSAELEGDICLVEHLQSYAISIPPRSVLEYTIPPLFFYKPNLWWPNGMGKQSLYNVEISVDVNGIGESDAWSHYFGFRKVESSIDDSTGGRIFKVNGEPIFIRGGNWILSDGLLRLTKKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGVPVSNPDGPLDHALFLLCARDTVKLLRNHASLALWVGGNEQVPPIDINRALKNDLKLHPMFLSNQTTKNQGKYLSQDPTDPSKYLDGTRAYIQGSMWDGFADGNGDFTDGPYEIQYPESFFKNSFYKYGFNPEVGSVGVPVAATIRATMPPEGWSIPIFKKGIDGYIEEVPNPIWDYHKYIPYSKPGNVHDQIELYGHPKDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAEPIHVQLNLASYNIEVVNTTSDKLTDVAVEISVWDLDGASPYYKVTEKFVAPPKKVKQIMEMEYPKMKGAKPVYFLLLKLFRLSDKEVLSRNFYWLHLPGKDYKLLEEYRQKTIPLEIDSKISVSGGTYKVRMSIKNKSKKFAAESTTSVSTMQLEDGNDVHGISEETNCVVQKSGLWSEIHRNIGLARSGDKPRTLEVKGADSGVAFFLHFSVHTSESSTGENYRDTRILPVHYSDNYFSLTPGEKMTADISFEAPEGSKPRVILRGWNYHLNHAVTM >SECCE3Rv1G0148960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:21118402:21120542:-1 gene:SECCE3Rv1G0148960 transcript:SECCE3Rv1G0148960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSGTTPPLLLLLAALLVCGANAGSPLEDADLAALADFRLAADRSGALASWNASAGPSPCGAAAGWLGVTCTGGRVTRLVLEGLGLSGADALPALARLDGLRVLSLKGNRLSGALPDLSPLVGLKLLFLSRNALSGAIPPSLGRLYRLYRLDLSSNNLSGVVPPELARLDRLLTLRLDSNRLTGGVDGIALPRLQDLNVSNNLFEGRIPAAMAGFPAEAFGGNPGLCGVPLPPCKEQVQNASPCPPAAAMAASSPSSKPADGKGKMSRGVVAAIVAADFAVVGLVAGLLFCYFWPRLSGRRSDRRHREGEKIVYSSSPYGAAGVVAAAGGTYERGKMVFLEDAGGRRFELEELLRASAEMLGKGGCGTAYKAVLDDGSVVAVKRLRDAAPGAASTKKEFEHHMAVLGRLRHPNVVPLNAYYYARDEKLLVYEFMPNGSLFSLLHGNRGPGRTPLDWAARMRIAAGAARGLAYVHHASRRGGMTPKLAHGNIKSTNILLDRAGEARLADCGLAQLGTSSPAASSAGYRAPEAPAPASRAWASQKGDVYALGVVLLELLTGRCPGSELPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCAAGAPDQRPKVGYVVRMIDEVRACGDPQASSPSHGSSMDESSGVSDSPAVSEGGAASQ >SECCE4Rv1G0224570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:81845927:81853049:-1 gene:SECCE4Rv1G0224570 transcript:SECCE4Rv1G0224570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHFHLFPHHIGLFSSISAMSSTRPASRLIRASRPELNPAETVREVKCEPGVSFDHSKSEMNSVKRKRLNRVLEVKEELPKKQVGIVPDIEDFRYVKTEAKTSVTAKRVPASSNKNSSPGVKEVKCEPSVSFDYSKSEMNSMERKRLNRVLEVKEEHPKKQVGVVPDIDDFRYVKTEAKTSVSTKRVPASPNKNSSPVRLEKKIRVSSVVKVEVAAPENWEAVLGGIKNIRLSGQAPVDTKGCEKAGSLLPPKERRFAVLISTMMSSQTKDEVTHAAVERLGENGLLDPDAIVRTDEATLANLIKPVGFYQRKAQFIKEASRICLERFGGDIPDTLTDLLALKGVGPKMAHLVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRMSLEKWLPKDEWEPINPLLVGFGQTICTPLRPKCGSCGINTICPSAFKEASSPNPKQKKRGTGLGPT >SECCE3Rv1G0165150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:170034005:170035111:1 gene:SECCE3Rv1G0165150 transcript:SECCE3Rv1G0165150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGTSDGGTEAATSQDRDWSSLPTDLLIEILRRLRWSSHPSFALACSRWRSAVSPFYPASVTPLLLNATSVGSTNARFYSPYFDKSFELAATLDAPGAKICGAAGRRLTLALRHRVRNVDLGTGVAHEMPPVDQVGFDFVVGDGGDGAGRMVGVIAVMFLRLQSALSVRNGDGEWTDCDLTPYEFGPTFVPSPTCNPVFHDGLLYLLGQDGRLAVYDQGMHDQGFEILEKPRSFGFECEDSYLVESDQDELMAVLVGLRGPPVNVVKLNKHTMEWEKAESLEGSVLYTGTLTTTMKKTGARWMQNRIFLPRLFDWPETIPADLVQHDDELAFVPKQECTWPAARDETYVTNMWSYKLGHSGEEAREF >SECCE6Rv1G0413180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:622316813:622331811:1 gene:SECCE6Rv1G0413180 transcript:SECCE6Rv1G0413180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) UniProtKB/TrEMBL;Acc:F4K4Q2] MDAASCCRVFSTQRCRFPLRRLGAAPVAARRPFSSGLSDLFAPSSSASKRRSRGPVMAAKKAAEGAKQEDGKYKHTVDLPKTSFGLRANSVMREPELQKLWEENQVLKRVSERNTGATFTLHDGPPYANGDLHMGHALNKVLKDIINRYKLLQNHKISFIPGWDCHGLPIELKVLKSMDKATLNALTPIKLRQKAAKFAKATVNAQMNSFKRIGIWADWDNPYLTLSPEYEAAQLEVFGQMVMRGYIYRGRKPVHWSPSSRTALAEAELEYSENHVSKSIYAAFKITSPSNSALLDEFLPNVCLAIWTTTPWTIPANAAVAVNPELSYAVVELQSVLESQSTSGGKQQKLGSILSSGIEKPFIIVASDLVSVLESKWGVKLVIRKSFPGSVLEHCRYLHPVNGNECSVVIGGDYITTESGTGLVHTAPGHGQEDYLTGLKYGLPIVSPVDDEGNFTAEAGQFSGLSVLGAGNAAVVKYLDEHASLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRDAALDAIKRVTWVPSQGENRIVNMISGRSDWCISRQRTWGVPIPVFYHVDTQEPLITEETIEHIKAIVSEKGSDAWWYMKTEELLPDKYRDKASEYRKGTDTMDVWFDSGSSWAAVSAKRDGLNFPADVYLEGSDQHRGWFQSSLLTSIATTGKAPYSSVITHGFVLDKAGLKMSKSVGNVVDPEKVILGGKDSKKEPPYGADVLRLWVSSVDYTGDVLIGSEILRQMSDMYRKLRGTMRFLLANLHDWNPENSVPYSDLPKIDQYALLQLENVMASMKDSYDNYQFYKIYQTLQRFAIVGLSNFYFDVAKDRLYVGGRVSYTRKSCQTVLAAHLLYLVRAIAPIMPHLAEDIWQNLPFEHTLEDGSVAKFAFDLKWPDKNEQWCSVQKDDVDFLSVILELRSEVNKILENARTGKLIGASLDAKVYLHAENPDTVSKLKELASATNDADALHRLFITSQVEILPSLSEETTSGVSYAGKFSDPRMGEIWIGVTRADGVKCERCWVYTKDVGSFVDHPTLCSRCHGVIDLQPQASPAAAAVA >SECCE2Rv1G0107830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:655281592:655282083:1 gene:SECCE2Rv1G0107830 transcript:SECCE2Rv1G0107830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWESAVQRLDEGAKACTKGAEILRQFASVTEAEVAAGKPVPMREFDSVAALAEETALRLLDRAAKEALAGEETAAAFVRRPGAKKLAEARRGHAASVARLRTQAAEFAAFKRRMASLPVVEELESSELGPRGGPTAGAEGTGRRETRTRRPNARYLGDEWSF >SECCE6Rv1G0453240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:881437748:881441663:-1 gene:SECCE6Rv1G0453240 transcript:SECCE6Rv1G0453240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSNEVRYTARSITPPADRDSGSKSPPPRRRAASKSPPLPPPPPFPPKGVRTISRSPPPPSRRRSVSRSPPPKRRGRSRSRSRSRNRSRSRSRERDDVRNPGNNLYVTGLSTRTQETDLEKFFSKEGKVKDCRVVIDPRTKESRGFAFVTMENVEDARRCIKYLHRTVLEGRLISVAKAKRTRERTPTPGEYCGPRGGRSRVEPRRSRSPRRSSRSSRDRSRSPSARRDRDRDSRDRKRD >SECCEUnv1G0561600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:356525323:356528370:-1 gene:SECCEUnv1G0561600 transcript:SECCEUnv1G0561600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILESLLGSCVNKLQNIISDEAILILGVEEDLAEVLRRVELIRCCIYDAEKRRTKELAVNNWLGQLRDVIYDVDEILDVARCKGSKLLPDHTSSSSKSASYKGLSVSSCFCNIGSRHDVAVRIRSLNKRIENISKDTTFLKFSSSTQPTGNGPTSKLIRSSNLVEPNLVGKEIIHSSKKLVDLALAHKANKSYKLAIVGTGGVGKTTLAQKIFNDQKIKGSFKLQAWICISRDYDEVTVLKEVLRNIGVYQDQGETIAELQRKLAETIEGKSFFLVLDDVWHPNVWTDLLRPPFHTAISGVIMVTTRDDQIAMRIGIQHAHQVDLMSVEVGWELLWKSMNIEEEKEVHNLRYTGMEIVEKCGRLPLAIKVTASALASRDLTENGWRKFLGKYSGSQGMLSDEIEGALYISYDELPHRLKQCFLYCGLYTEDSIIYRGVVTKLWIAEGFIEEQQGQLLEDTAEGYYYELIHRNLLQPDNAIFNQAQCRMHDLLRQLACKLSRDECFIGEVETLRGENMSKLRRLSVVNKKDKLVLTSMDNMVLKVRTFLAFYGPWKIEDAFFKRFLLLRVLALNYSVVQNIPDCIGKLIHLRLLDLGHIGISHLPESIGSLKNLQVLSLINCDALHSLPSAMTQLRNLRCLRLTGTNVNKVPKGIGKLNLLTELRGFLVGDISDNADIQDGWMLEELSSLPQMMYLNLVKLERTAHCRTNVVLEDKKHLKELVLEWTTHGEGPYSEDVSNAEKVFELLVPPRNLETLYIFGFFGQRYPTWFGTTCLSSVTHLFLKNLRSCVDLPTVGQLPNLKFLRIDGAHAVTKVGPEFVGYRVGEPISSEFVAFPKLEWFFIKDIPNWEDWSFFKEVENVVDEGEDGGDEICNGDAQSTRLQLLPRLAKLKVEGCPKLRALPRQLGKYTASLKELLLIGANNLKAVEDLPKLELLVIEDCEGLEKVSNLPQVSKLQVGGCPNLSHVEGLGNLQQLGLGEDMQGISSRWVPGLQNQCQQLHGEDLDVYTLSTG >SECCE3Rv1G0148090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:17710140:17716584:-1 gene:SECCE3Rv1G0148090 transcript:SECCE3Rv1G0148090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKIVIHGSGGELQEEDEDHCPDVEAGDGGGTTTTSSTWVAAPGRERLVSLDVFRGITVALMIIVDDVGGLIPKISHSPWDGATLADFVFPFFLFVVGISLAFAYKRVSNRVSATKKAVLRAAKLFLLGLLLQGGFFHNIHDLTYGVDIRKIRLMGVLQRIAMAYLVVALCEIWLRDGGEGGIGSGYAIIKKYRRQLLVGLVLTTMYTVILYGLPVPDWVYSVTSSGSTMKHFVVKCGVRGHTGPGCNAVGMIDRSIFGIQHLYTRPVYLKTEWCSIDSPNNGPLPSDAAPWCEAPFDPEGLLSSLMAIVTCLVGLQFGHVIIHFKEHDERMVRWSLSALSLLALGFSLDLFGLRMNKSLYSLSYTCVTSGTAGLFFTGIYLLVDVYGYKRPVLPMELMGKHALMIFILVACNVAPILLQGFYWRVPNNNLLKLIGRGD >SECCE1Rv1G0015430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:124580477:124596386:-1 gene:SECCE1Rv1G0015430 transcript:SECCE1Rv1G0015430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVPKKKRKVSLPENEEVAARIFEKHRSMAAQQPGGLPDHQARALSAAYSGVCTAKEPIRTPEDLARLKGVGGWVVDVMKDSFPASSVDLSPPKSNTPGDTGKKRKRNKPYVPQLNSAAYAIVITLYREMFRGAESMKKQELIDAAEASGLSRKAIGPNNYKSKHGNSSSDFYTGWSCMKNLTDKDLVVKKSNPAKYYLTEKGKETARICLAKSGLDDPAGPLMAPSHPESVMLSDSDSDEQEGSNPLIGSENFSERSGSPNSKAGNSNSFSTKNCRATNSPLSSQGMFGQQSFRAMGSAENSLLAMPPRQYDESFLDSYKVVLILDDRDNFGARARRKVVDNIHTQFDVPVEIKHLPVGDALWIARHKQLGTEYVLDFIVERKNVDDLLGSIKDNRYKDQKLRLKKCGLRKLIYLIEGDVNTVDGSESVKTACFTTEVLDGFDVQRTTGYADTEKKYGHLTGSIIDYYSRNFSAGADTSRLCLTYGEFVKRCSDLEKVTVSDIFALQLMQVPQVTEEAALAVTSLYPTLLSLAGAYNMLDGDRRAQEEMLKNKSGMVNAGASKNIFKLIWAEG >SECCE2Rv1G0110890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:695542923:695548366:1 gene:SECCE2Rv1G0110890 transcript:SECCE2Rv1G0110890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLPSAPYNRRSGNCCRGGVLSSIKQNNGAASSAQFDMYVSNFVVDQHGHPEMPTNFSVGVRGYSCSNATQMAPTRVKIDQERHEQYLLTWRVVCSYSQFMDAPSPSCCVSLSSFYSETLVGCPLGSCGLPNTPSALQCLSGGDQPKNTAALPDADGNWPTPVIRCTEHMCPIRVHWHVQRSYRGYWRVKTTVTNYDVVNNYSEWNVVVQHPALESLTQVYSFNYAPLLEYDTVNDTGLFWGIKNFNARLLADGSVQTEMLLKKDPGFTFSGGWAFPRRVYFDGRQCVMPAPDQYPSLPSAAWGLRVSALHLWLLACSFLLVSVFLLV >SECCE3Rv1G0213490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:961313371:961317346:1 gene:SECCE3Rv1G0213490 transcript:SECCE3Rv1G0213490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQPPRVVFRFTEAEVAKMEEVLKDLNAMAKRPVIQGLTDDFNASQDRSGDGKVPVQYNQVRTWFQNRRYKKRRSPPAQGKMLPTGAEAQHPASYRVQSNSESHSGNTSSDGRLVQLEAKSPKNGAWYDVAAIQSCRISETGDQEVQVWFSGFGAEEEEWINVGKSVRLRSLPCVAKECVDVLPGDLILCFQERKEQALYFDAHVLEVERRTHDIRGCRCSFLVRYDHDQSEEIVSLRKVCRRPSAVVKIDIMIDHSLAHKKAKKPHTMMDMNPNEVIKDPIPQDQGVPAQKQHKMLDMNPGCSLTYHT >SECCE6Rv1G0447790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:851702178:851702909:1 gene:SECCE6Rv1G0447790 transcript:SECCE6Rv1G0447790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKVTLAYIPDDSTRRPRYKKRLRSLMKKADELSTLCDVDTCVVVYGQDEAAPKVFPCHAKAVDILNEFKGMPELGRCKKMMDQEAFLTQRIVKLREQVDKARRECQDRETRYLLQQIMDGNLPGHVGLSMEQLVGVGYKVEELLKTMGERMEKIHSQAPPPAPCVTTGTGHTDMGSPVLYQAPAQQQEGTLVSSGGDLGTLVCGGYAGGHDDGAGFTGGDTVMQMQSFNLEFGSSHFPPM >SECCE7Rv1G0526440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:895107196:895108740:1 gene:SECCE7Rv1G0526440 transcript:SECCE7Rv1G0526440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILFLQELPIYTLLVLLLLPLYYLYSRKAGSRSNNPAELPTNWPILHMFPSLVANRHNLHDYCTWVLAGSGHNFRAHSPPGTGMRFFVTCDPANVRHIFTTNYTNFPKGSEFAAIFDIMSGGIFTIDGEPARRQRAKIKGVFNSPRFVDNIASYCLHKTEKNLLPLFTNMASVGTPFNVQEMMSRFMFDLAATSLFGVDPGLLSSEMPAMDAAVALDTVMEVGFFRHIIPTSCWKLMRWLNIGPERKLGKAHTVLRRFVVEMTERWKSNACHIGNDHSPVDIMSPFLVDPYYADNDELSRALIISYMVAARDTVATTLTWLFYKLSQNPNIVSNIRNELSPIALRKVASGAGAIVIFEPTETKSLVYLGAVLYETLRLYPPAAIERKTVATNDIMPSGHKVHAGDTIFICIQSMGRMEGLWGKDCLDYKPDRWLSEDGNNLRYVPSHKFLAFNSGPRMCLGKDIAIMQMKTVLATTLWNFDVQVVEGQSIQPKSSCILEMKNGLIVKLKKRVI >SECCE3Rv1G0156360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:72222682:72228170:1 gene:SECCE3Rv1G0156360 transcript:SECCE3Rv1G0156360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCGDESQGKRVRVLELSRRLKHRGPDWSGLHQVADNYLCHQRLAIIDPASGDQPLYNEDKSVSVAVNGEIYNHEELRARLSGHRFRTGSDCEVIAHLYEEHGESFIDMLDGVFSFVLLDARDHSFIAARDAIGVTPLYIGWGIDGSVWISSEMKGLNDDCEHFEIFPPGNLYSSKEKCFKRWYNPPWFSEVTPSVPYDPLRLRSAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVAARHFAGTKAAKRWGTRLHSFCVGLEGSPDLKAAKEVANHLGTVHHEFNFTVQDGIDAIEDVIYHIETYDVTTIRASTLMFQMSRKIKALGVKMVISGEGADEIFGGYLYFHKAPNKEEFHQETCRKIKALHQYDCLRANKATSAWGLEVRVPFLDKEFIDKAMSIDPEWKMIRPDLGRIEKWILRKAFDDEEKPFLPKHILYRQKEQFSDGVGYSWIDGLKDHAASNVSDKMMANAKFIFPDNTPTTKEAYYYRMIFERYFTQSSAILTVPGGPSVACSTAKAIEWDAQWSGNLDPSGRAALGVHLSAYEQDTVAVGGSNKPGVMRTVVPGVAIET >SECCE5Rv1G0346370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:660530443:660530862:-1 gene:SECCE5Rv1G0346370 transcript:SECCE5Rv1G0346370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLAKKWQRMVAVGGQQTAGTDGCCSTTSVADRGHCVMYTADGSRFEVPLVYLGTMVFSELLRMSQEEFGFSSDGKITLPFDASVMEYVMCLIRRDASEEVEKAFLSSIARPCYSASCVASVRLNQQFAVCS >SECCEUnv1G0531790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17818962:17819937:1 gene:SECCEUnv1G0531790 transcript:SECCEUnv1G0531790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARVVALVLLAVGSGLLSVATDTTTVPSPQQFIWQKAHATFYGGADASDTMGGACGYGNLFSEGYGTRTAALSTVLFNDGAACGQCYKIACDRKRADPLFCKPGVTVTVTATNFCPPNDALPNDNGGWCNTPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCVKRGGVRFKINGHDYFNLVLVSNVAAAGSIMSMDVKTNDSEDWMPMARNWGANWHSLAKLTGKMLSFRLTNTDGHTLVFNDIVPKGWTFGQSFVSKLQF >SECCE2Rv1G0090630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:282106823:282139806:-1 gene:SECCE2Rv1G0090630 transcript:SECCE2Rv1G0090630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAATEARFSNRDLIGRGSFGDVYRGFDKELSKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQAGPPLDEISIACILRDLLHAVEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLRKNPAERPSAKELLKHRFIKNARKTPKLLERIRERPKFTVKASIDATQNSQTHIEDDDYGGTIKVDRNTKHAASPSSQGTVRKAAGWDFPDRSEGTGTVRGGLRPPQITSTKDGRFDMPQNPSTLKRTADRENQWRTSGTRSEESSSTNMSKKEAQTDHGRLENSTEYNDQSVSGSGTVVLRSPRASQVYSAGPNHSSKPPSRFSSYEDMSISGTVVRNQSEEAETPRSSRSRLGTQEKTSNASLEDSATNLAEAKAALQAGFRKGNARERPANNKHEKELHEPRFSGVNSHEVRSENVDSQKGRKSRQPSDGQSAPRASAASPALSSLIIPSLKEATGDKYEGPVVHAVLSSLMDLEQEIPGSCEVLVGRIVHRLGSTKDSSLQSLNETAISIFTKEPERPSEAGSNKKQASTPPLVAPTVSPLARFLLTRWQNQVSQDLNSV >SECCE4Rv1G0226170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:105809775:105828826:1 gene:SECCE4Rv1G0226170 transcript:SECCE4Rv1G0226170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQDHDGRQHHEAAAESSVPLLEKKAGGLLYVEGCPGCAIDRRKAENPGIPYSSFLYVWVITLSTALPVSSLFPYLYFMIRDLHVAKRTEDIGFYAGFVGASFMFGRFLTATMWGIAADRIGRKPVILFSVFSVVVFNTLFGLSVTYWMAIATRFLMGALNGLLGPIKAYSIEVCGPEHETLALSLLSTSWAIGLIIGPALGGYLALPAEKYPNIFSPDSFFGRFPYFLPCLCTSVFAAVVLIGCLWMPETLHKHKTSEKGKQSVEAVEAHLIDPQEKAEESASVDTKKSLFKNWPLMSSIIVYCIFSYHDMAYTEVFSLWAESEKKYGGLGLSSEDVGQTLGITGGSLLVYQMFIYPRINKVFGPIKCCQIAAALCIPILFAYPYMTYLSGPALSIILTIASVLKNDIAATIITSIFILQNNAVSQDQRGAANGLAMTAMSLFKAVAPAGAGIVFSWAQKRQHTFLLPGDHMVFFLLNMIELLGLVLTFKHFLAVPEQYAGN >SECCE5Rv1G0355400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:731606278:731609081:1 gene:SECCE5Rv1G0355400 transcript:SECCE5Rv1G0355400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGVAFEAARKIIMHPLYASRSSPWLDLKVFYVRVSNCMVDESAPDHLTLNHIPLSPDTVIEVNGRRSSMHTEFVSSSLRRDRIDKRTEEATYVSTDSIRMTGSVRFQVFDKNDLLLTGDLELCNANGVVGEPKSSSKKWNIKCHSSAASSAFLKGKMSTGPDSAHPVVEVYVAGTFSGTPIILTKTVQFISRRKSQMKLKLDSIPENEATELHTENSHVDSLKVSEFYDPKSEADVDMDYNSLYSRQDFLEGEDGELSWFNAGVRVGVGIGLGICVGVGLGVGLLVRTYQGTSRNFRRRLP >SECCE7Rv1G0510230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:788006260:788010151:-1 gene:SECCE7Rv1G0510230 transcript:SECCE7Rv1G0510230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYYNPPPPRVPSSYATLPPPPPGTSSYATYRQHEHAYLAVHPPPAYGGYFDRAEPLAPPRDELRTLFIAGLPADVKPREVYNLFRDFPGYVSSHLRSGKSTQSYAFAVFADQPSALAAMGATNGLIFDLEKNCSIHVDLAKSNSRSKRPRSDYEDFPKSTGKKARSPRGRPDSGAGSNIHMSGMGNSSHSLNGYPAQSYTDFGSSAAFSKDPAIFAPQNNPPCPTLFVANLGQTVSDRELTDVFSSCEGFIKLKMQNKFGSPVAFVDFKDDHSSTEALNRLQGAILQTSSGEGMRLEYAKSRMGLRKQRDSRS >SECCE7Rv1G0525630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:891830233:891833879:1 gene:SECCE7Rv1G0525630 transcript:SECCE7Rv1G0525630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNLMDKVTALSEQLKITGTEVGKKMTAGMSSMSFKMRELFLGQTPADKIVEDATSETLEGPDWAMNLEICDLINAEKVNSVDLVRGIKKRLVLKDARVQFLSLSLLETVTKNCEKVFSEVAAEKVLDEMVRLVDDPLTVVNNRNKALMLIEAWGESGEELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPPRSVAEAEAGANFTQQTFEDVHMHTYTAEEAKEAFDVARNSIELLSTVLSSSPQQDALQDDLTNTLVQQCYQSQHTIQRFVETAGDNEAMLFEALTVNDEIQKVLSRYEEMKKSVAPAHTEQEPVVIPIAAEAEHEDPAVVGSEEALVRKPAGSRAKPDGDDGMLDDLDEMIFGKKGGDSSSSQEDADGAQKHDTKKDDLINL >SECCE6Rv1G0382630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:45337401:45342319:-1 gene:SECCE6Rv1G0382630 transcript:SECCE6Rv1G0382630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPYAGAIIEPAKCRLMSVDEKKDLVRELSKRPQTAPDKLQSWSRRDIVEILCADLGRERKYTGLSKQRMLDYLFRVVTGKSSGPVVHVQEKEPTLDPSASNHQYPAKRQRKSDNPSRLPIVVSNPQTAVVPVPIKNVRSCRNIACRAILNLEDKFCRRCSCCICFKYDDNKDPTIWLSCSSDHPMQKDSCGLSCHLECALKDGRTGILPSGQCKKLDGAYYCPHCRKQHDLLRSWKKQLMLAKDARRLDILCYRIFLGHKVLFSTEKYSVLHKFVDTAKQKLEAEVGSVAGYGNMGRGIVSRLTCGAEVQKLCAEALDVMESKFPVESPTNSQFERSNMMSFIKFEPITPTSITVVFDLARCPYISQGVSGFKVWHQVDGTGFYSLNPTGTVHLMAKTFVVTELTPATCYMIKVTAFSNSSEFAPLEARVSTSSLKGSDLKGLAPGGAGLVDQNNRSPKSNSGGQSDRSSEGVDSNNNATVYTDLNKSPESDFEYCENPEILDSDKVPHHTNGPSSNLQNMQIVAARVPEVTELEEAPGLSASALDEEPNSTVQAALLRESSNSMEQNQRSDVPISQDASNATAGVELALVPRFIGSMPPTAPRVMETGKETGGRSFNTKPSDNIFQNGSSKPEREPGNSSNKRSGKFEDAGHKDGCPEATYEYCVRVVRWLETEGYIETNFRVKFLTWYSLRATPHDRKIVSVYVDTLINDPASLCGQLTDTFSEAIYSKKPPSVPSGFCMNLWH >SECCE7Rv1G0476820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:211561752:211565149:1 gene:SECCE7Rv1G0476820 transcript:SECCE7Rv1G0476820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal nicotinamide adenine dinucleotide carrier [Source:Projected from Arabidopsis thaliana (AT2G39970) UniProtKB/Swiss-Prot;Acc:O04200] MSDALINGLAGAGGGIVAQLLTYPLQTVNARQQTERDPSKPAFKDGAVRQLCLVVQNEGWERLYGGLAPSLVGTAASQGVYYFFYQIFRSRAEAASLRRSIRGIGDGSVGMFQSLTVAALSGCVNVLLTNPIWVVVTRMQTHRKANKQQGPQDQGLTSALDKALQPAPVENVPHKTINVIQDLYKEAGVFGFWKGVIPALIMVSNPAIQFMLYETLLKKLKKRRASNFKGAQGPTAIEVFLLGAVAKLGATLVTYPLLVVKARLQAKHMIDDDKRHRYKGTFDAITKMMHYEGLSGLYKGMGTKIVQSVFASALLFMIKEELVKGARFLVTGDTSLVKKLPSKPSR >SECCE6Rv1G0418770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:664788269:664791668:-1 gene:SECCE6Rv1G0418770 transcript:SECCE6Rv1G0418770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAEQHRRKAVGLAARDASGHLSPLAITRRSTGDVDVVINILYCGICHSDLHSIKNEWKNARYPMIPGHEIAGEVTEVGKNVTKFKAGDRVGVGCMVNSCQSCESCNKGFENHCPGIIPTYNTVDLDGTITYGGYSSMVVVDERFVVRFPDTIPLDKGAPLLCAGITVYSPMKYHGLNVPGMHLGVLGLGGLGHVAVKFGKAFGMKVTVISSSPGKKQEALERLGADAFIVSKNAEEMKTAMSSMDGIINTVSANIPMAPLLGLLKPNGKMIMVGLPEKPIEVPPFALVAANKTLAGSCIGGMRDTQEMLDLAAKHDVTADIEVIGAEYVNTAMERLAKADVRYRFVIDIGNTLNKAAAAAATTK >SECCE6Rv1G0431160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:745746301:745747179:-1 gene:SECCE6Rv1G0431160 transcript:SECCE6Rv1G0431160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTVREVLYMYSVARQAYERFLSVCGSLEKAQNAVALLVWLDQGTISAIHHVPAMAPDAVAVVAEEANAVLGCLRHQEPALPPIPLISVLCMQGGVHIEPGFFAFHQDLVVRGVAHFLDGAGKYVFNNRLHVLLRRSETGLMVNPPELMAPYTSQPVVVPEDSRPMFITFSKGNALHREEIFEYFRQKWGDCVVRVLMEKTKGGHMPMYGRIIFKTEAIVKLVLNGERLVKISIGQREIWLRKYVPRPTNAAA >SECCE1Rv1G0041130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:568790849:568792222:1 gene:SECCE1Rv1G0041130 transcript:SECCE1Rv1G0041130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGARLLLLLLLAAAGGLLLASPAAAEIRAESFREDPRHIILFEKFGFSKSGAVRIILSGAAVSSSFARADPKQIGFFLLADESLLQATSEPRPPAEKRAEPDDPTGADEPDLSGCVLSSPYVKKLFTFHDMEGGHYNKSFPVTHPDEYSLYFANCAPESLVSMNVRTEMYNANPDGSKDYLPVGQAPVPAIYGFFAFGYAAFLAGWAYLTLSRDRVAANQIHHLMTALLVARLLYCLSAAEDQHYIRVTGTPHGWDVAFYLFQLIKGVILFAVIVLVGTGWSFLRPFLQDREKKVLMVVIPLQVMANIADAVIGETGPFMQSWVTWNQILMFVDVACCCAVLFPVVWSMRSLRETSKTDGKAARNLSKLTLFRQFYTVVIGYLYFTRIVVFALRTIASYQYRWVSILAEEVASMAFYMFMFYTFRPAERSKYFSLDDDDEEAAEMVLREEEFEL >SECCE1Rv1G0010260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:61298753:61301518:1 gene:SECCE1Rv1G0010260 transcript:SECCE1Rv1G0010260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAILARRRAAEAVARRAHAPGAAALSAWRAYAAAAAAEESDVVVVGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKTSFAHHGVKISNLEVDLPAMMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLTSPSEVSVDLVDGGNTVVKGKNIIIATGSDVKSLPGITIDEKKIVSSTGALCLSGIPKKMVVIGAGYIGLEMGSVWNRLGTEVTVVEFAPDIVPSMDGEIRKQFQRMLQKQKMKFMLKTKVVGVDTSGDGVKLTLEPAAGGEQSILEADIVLVSAGRTPYTAGLGLDAIGVEMDKAGRILVDKRFMTNVNGVYAIGDAIPGPMLAHKAEEDGVACVEFLAGKEGHVDYDLVPGVVYTHPEVASVGKTEEQVKASGVAYRVGKFPLMANSRAKAIDDAEGLVKVVADKETDKILGVHIMAQNAGEIIHEAVLALQYGASSEDVARICHAHPTVSEALKEACLQTHSKAIHI >SECCE3Rv1G0173490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:342742386:342743676:1 gene:SECCE3Rv1G0173490 transcript:SECCE3Rv1G0173490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQSHREERAQAAAQKAPDELAAARRGMLEPSSPGRRTGIFGSVQESARSLLGAVRDTFSGGVRDTTTDHDSHSTGATGTAGEKLNEYGSYASQKAEEGKENASEMADTAAGKTKETKDAAAEKTREMADTAAGKAAETKDAAAEKARGAGKMVTEKARSAKDAALETKNAAAEKASGAAEVVTEKAKGAKDAAFATAEGAKEYMVDKEEDARRALAGSAKDSKGETNESAWQQGQDVRRRAAEKAEEVGQRTHQPPEEERSKSATENIFGSAKGLTEAFKEKMTMPTDVIEQKLAERKGTPTDTGRGEVLNADDVIMRVKEADQMTWTGFNDVGKMGEEGTAMKAALRADEEEDVMLRVKAADQMTGQAFNDVGPMGEEGTGWGPALRARKDA >SECCE2Rv1G0118390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:782283643:782288673:1 gene:SECCE2Rv1G0118390 transcript:SECCE2Rv1G0118390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTGLSARTAGASSSSAATDAGDDLDESKTRKAQPAFVAAAYARLHSPYYAVASLLLLAVAAAAFLAGRAYPRTDCPPLRLDARFLALPDSAAASDFGSLGVPSCRSKTGKTVEWTSKDLLNGLEEFVPIYQRRPIKNNRHGMGFDHSFGLWFMARWLKPYLMIESGAFKGHSTWVLRQAMPNARIISLTPRHPEKYLKKGPAYVDGNCTYLAGKDFIDFGSVDWEKLLRKHGISDLSKVLVFFDDHQSELKRLKQAHKAGFQHLIFEDNYDTGTGDHYSLRQICDQSHIRGGGHSCFWDSDEARLRSERMKFWEKAVEIDELCGKDDAWWGVRGYMRDNFNHTNEAISHKEHFQNSRLVESVLDLYWELPPVAGPSLTHQTRYDPARASDPIIEDGRHGLFQRIGLARLDVSVFNGYTQMAYVQISGSMLRREDD >SECCEUnv1G0551920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:242115748:242116331:1 gene:SECCEUnv1G0551920 transcript:SECCEUnv1G0551920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRRKSVIMGVVILVLVIQQAQIEAKSCCCSTSGRNCYNACRVTGASRKTCASLCGCKILDKCVRPCDRFNLYPEADEAKVFEYCKLGCMSSVCNTTNTFILGEQEKDAIENCTTGCYRVCTKDVEFATDIA >SECCEUnv1G0569760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:448918361:448919059:1 gene:SECCEUnv1G0569760 transcript:SECCEUnv1G0569760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAMPSGEEFAAEAAIVNYYGPSDMLGGHVDDMEADWTKPIVSISLGCKCIFLLGGKTRDEAPTPMFLRSGDIVLMAGEARERFHGVPRIFTEGDQQDISGLVSELSDDDDRFILDYIHNSRININIRQVY >SECCE3Rv1G0148370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:19042979:19043845:-1 gene:SECCE3Rv1G0148370 transcript:SECCE3Rv1G0148370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKSKWWLREFVDDDSLAPIFQSFVRTTIGFVHIYLSSTTISSHTHFSTAPRSNLSAPMATTSCWFLVFAWVVAWELPLKVAGAEERQGEGCSSSAKTCGNLTISHPFWLADKEAGRSCGPLDFEVSCSNNNISFLRSSGFTGFAIMDISYEDRNLRVIDVHKEEDFNVTNDTCDFPSWNTSSKLAVPFKVNPANLNVILYKCTKRVALVEVRCANASNVFVRAGVRFDKTGNYGGYALEGCEAIVVPVMGSSGEANASDYEQLIRDGFLLTWDLPPARKFIGQIIF >SECCE1Rv1G0034550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:484734738:484743868:1 gene:SECCE1Rv1G0034550 transcript:SECCE1Rv1G0034550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGARREAGHEEGELELEDGQPLGGGGPDAGGHELLDPDSLTHIDERLQNVLGHFQKDFEGGVLAENSGSRYGGYGSFLPPNQHSPPVATQSRSPAVPPNRGSASRSPYVPVESAQKSHFVKNGLDSRGKDDYCQRTSNGTNGNPQQRMLNRAVNGPEQKAPKIRIKVNNNRHLARNTAAIYSGLGLDISPSSSMDDSLDGCDGVPEPKNLPDESPHTILQIMTCHPIPGGLLVSPLAENILALRKKSVSARKKHEAPAFDDDKTELNRDWCHTTSAAQGDKDQMVHKDNYDEKKDHLLNIKSSKSKRDNSMIMNNGTMPDLLDISDDKDSIVPGSIQTEQHSLEASAKLVTDVSNHLKETKNVPLKGRIRDKISLRDIESVHEKAVNLANDDNHPKGKANSEACTARNSFDNLSKASKTEPTLGQGLVDKIKYDSDGYDHRLSTTSSQPNNLPPSDTATSVDRDKRKVVHVKDEPSQCKRGESGSRVNADSMDITTENVGGNPSGMPKRKMKISSFQTAPSGKKLKVKAHKQLSNGITRKSHGEDKSVKPEKETVSSRETDNGRSDGVNDGDHKISPLNFDRSAPVPSACMTGAMESSVAVPVEPVLINEQWVCCDKCEKWRLLPYWMNPDILPKKWRCSMQSWLHGMNNCKISEDETTKALRAMPPAPENNISVDVRHDIATSGVCAATIPPTIQGDIKSIAASGTLKVDSSANTSNNLKMGEMSKSSKKLEAPTSRNPDDADCFPKQKGKRKHIGSSDDGETVAVDRLHPESKSSSAGFDHDSLRASKKMKKEPNEPAKHHPSEFEISKSSPSIKGTPKSLHRYSGVSPSMGKYGSSSLVKCNDDKVISNGGIRTSDVGRSDVLELSIKNRKLKQRQLSKRGPDPIVSNAFPKHTVEEALSESNNAKENPMPELNFLKADDRKVAHARGAIAGTDIDKECLSEQHQENTHLQQHSLLSQNSMKKNMCYAQASAAATSSSSKVSSSHKSKVDFQETRASPVESVSSSPLRTSDKNLVDQHKRYPSAVAEKVLSQESGKSCLSFSKEKNDFGSGSDHAKAPGSGCLGGDMHHHVLKDGELQKDKEDNECSKNEDSGLGTRNGLLNPVKVQKVNSHALSIHGNGDDKLPPSLQNGKTPPHLNSNQCDHAKLTSGKHPVQVKPDKGDAEQKDMKTNPSTIKGSKQQPALNDSSNGDLSYKAKQLKKAVLENTKQATLSRDALNPVNTSVLLKEARDLKHLSKRLKAKGDDLESANMCFEAGLKFLHVASLLEAPSIDSSMQGDSIQAMRLYAETGNLCGFCAREFERLKKMASAALAYKCVEVAYMKAAFYKHPGAIKDKHALQATSLMVPPAESPSSSASDVDNLNNQSTTAKAISRALYSPKIGGNSIPRNNHHLMGLLAYADDINYAFDGTRKSQSSLAAYVTDIGKGQADGIALVREVLEFSFHNVKGLLQLIRHSLESINHESVK >SECCE4Rv1G0222540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:60371800:60379029:-1 gene:SECCE4Rv1G0222540 transcript:SECCE4Rv1G0222540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEENLTEHGIGELPQNLYDEEQLNSYDSTAQYNEVPGDSYNEEPGNQYDEGAGDAYNEVQANLLSEEPETQHVDEPANAYQEESAYNGEVKQQESLQVEGDDKRWPGWPGDSVFRILVPAQKVGAIIGRKGEFIKRMCEESKARIKILDGPPGVTERAVIISAKDEPDEPISPAMDGLLKIHKRITDGSDGESGQLQRGASNVGPTRLLVPASQAGSLIGKQGATIKSIQDSSKSVVRIVENVPPVALNDDRVVEIQGEPLGVHKAVELIASHLRKFLVDHSVLPLFEQQMKMHSVQREQPMASAPQHWAPPQPWVPPPNLPPSGQGYGGNPQFMPPRPQDNYYPRPDVPPMEKQPHYGISAYGREAPLSGTSGNQPPLHVASQVHNMQIPLSYADAVIGAAGASISYIRRHSGAAVTIQESRGAPGEMTVEIIGSASQVQTAQQLIQNFMAEAAPQTRPPPASNTPAPPVDPGYSSYPPPPYGAPPTGAGGPAPHNGGGYGGGSYHPSYGY >SECCE6Rv1G0440160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:802869512:802871599:1 gene:SECCE6Rv1G0440160 transcript:SECCE6Rv1G0440160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMATGDGADGLGLDDVSLPLQDNFDDVLQLLDDFPDPDTAPIPLPAPMHHEDQPACSHSFSGDGTPAVAQPNEFPVHGDPSTSSAAADVLDCSGCHVLREVVHSNGPELTKLSIHGAPGFFNHATLDRCTNSEGLAPRIAPRSHIDFRDRDYEWVRHFLTDYALPQAAGNYAVVRDSLSLFLDVLCTTMNRCVQGNDGDHNDEAATAGNGGYGQPTVDVAAAAVQPAIQRDTEPEPAGPSQPSNSMELQVQPQPFQPVVAGRSILALQRERTRKMQFHDIAPYFHLPIVEAAEKLDICTTVLKGICRRVGVQRWPHRKVKKIDRQITKLMRSGNGVWERNEIEQLNAERKRIFYALE >SECCE7Rv1G0472450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:142434398:142434766:-1 gene:SECCE7Rv1G0472450 transcript:SECCE7Rv1G0472450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTTAAAPHLSQALRRRRNAAVPRRRPSFRCQNRLPTEPPIGGDGGGGGGKKRAWWVTMAERARSGVVKAGMDVRESLSPKQKGDWKDVALMSLSFAVYVYISQKIVCTYCAWVSMINH >SECCE1Rv1G0030840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:435466149:435467630:1 gene:SECCE1Rv1G0030840 transcript:SECCE1Rv1G0030840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGKWSREEDDLMKKHIEKYGIGRSWQALADTLGLQRCGRSCRARWLNYLRPGLKHGNFTRAEESIISEMYSKRGSCWSVIAAQLPGRTDLAVKNYWNGTISKRFRWASRAAAARRRRSVHRSSSSTASDATKPEEFALAVHDEASSTTGSSCYAGGFVGGPVLAGPPPLVQAQPPLAAGRCNAAKEEVDETVPIERKPAVAPAPLPENAPAAPGDDEAGAMDMVCAPMTPIPLASMEPDYMPWIDGFDEIDSFLPWFDD >SECCE1Rv1G0045380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:609529842:609530201:1 gene:SECCE1Rv1G0045380 transcript:SECCE1Rv1G0045380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSDIDPTLEPHYLMRLKSKCVSLNDNTTLVQMDPGSFKTIDTDYFKLVSKRRGLFNSDGALLTDPLTRVYVQRHATGAFKVEFFADFGVSMIKMGNANPLTGSQGEIRKKCSVVNH >SECCEUnv1G0556370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:310687984:310689890:1 gene:SECCEUnv1G0556370 transcript:SECCEUnv1G0556370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNPTTSRPGVSTSEEKSTGRIDQIIGPVLDVTFPPGKLPYIYNALVVQSRDTADKQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGAPLSVPVGGATLGRIFNVLGEPVDNLGPVDSSATFPIHRSAPAFIELDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERIASTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKETLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVALAETIRGFQLILSGELDGLPEQAFYLVVKEIILSTNSGQIGVLPNHAPINTAVDMGPLRIRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQKALEIAEANLSKAEGTKDLVEAKLALRRARIRIEAVNWIPPSN >SECCE6Rv1G0381780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:35551597:35552047:-1 gene:SECCE6Rv1G0381780 transcript:SECCE6Rv1G0381780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHARNNTQFPCLVVALLVMSASLSCNAKDMDPETYRESMWERKRQVHDFGGHNCQNTCVRKSTDTDIYCEYMWECTKPVHDPDQRKCRNFCVSRGYDYLGSYCEHNPYPYCCCHK >SECCE4Rv1G0260240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:694339054:694339806:-1 gene:SECCE4Rv1G0260240 transcript:SECCE4Rv1G0260240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGILAADGVVLVGEKKVTSKLLQSSRSAEKMYKIDSHLACAVAGIMSDANILINTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNHGFQLYMSDPSGNYGGWKAAAVGANSQAAQSMLKQDYKDGMTREEAVALALKVLSKTMDSTSLTADKLELAEVFVQPGTGEVQYQVCSPDAMGKLLAKAGLTQPAPEA >SECCE7Rv1G0508500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:772594433:772595269:1 gene:SECCE7Rv1G0508500 transcript:SECCE7Rv1G0508500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTSSPLRRWKRFFGAFDTVDAAIEAADPDMCRDELRRARGDVFEGLCNTADDGKAEKLCGVLDGLMAESLETLRLTPVTPKVLATTDLAKAVRALQKHESERVRVLARGIVSGWRASALDDLAGDNFDVPQHKETVEQQNVWATTTERSSSIEIDQQHASADLDSKKKKTVEMSSKASGLASGINTAKPKEVTAVRLHANVPADPDAKAMEAAKRKLHESYQQASDAKRQRRVQLVEAPEMVKQRRERSLAGCGSSMLKKTFSVSRHTTRQLHGV >SECCE4Rv1G0277050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:801433754:801437166:1 gene:SECCE4Rv1G0277050 transcript:SECCE4Rv1G0277050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific protein with a short C-terminal coiled-coil domain and a functional NLS, Common symbiosis signaling (SYM) pathwa [Source: Projected from Oryza sativa (Os06g0115600)] MEMEGRGLSDLFRNTSEEIFLKAVMENSIGVAAPPSMEMMGFRNMSQSFREDSEELFNSWLMNGEIPGFSHLNNRPRQPSRLSSEAGAFPNQQHDIARQNFLADNLIPENCAVPPEYNNNHNQQPLKNAAEKGMQASDLLLAKTWFHCTQPMTRSRSSELRRRYAAMQSNVPPITTGTMRAANQLRLDFTNTNAANSAPMGSTPLQTSTFVSPSCSSTSPLDNPHMLAQDTVTSVVSMLKDTLERKKLSSPANRDMPHGNPFGFYDNQHFQHNIIGGTDMFPLVTNGHVQDSLMLPEVERPTEPNAENFVAPASQIWINAASREPSQSGSSNALTAQSAGFEVCDELRPMGQSLSMCESTRKNVANGTADCISNSKDYREKVLKDNLKDERKRVSLTRMGSISSEQAVDRGDPTKKRRVERTRKMAEAKERSSTPVIPTDMQAVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEDLQKQNEDMAEEKERLIEEIERMASDSTA >SECCE5Rv1G0348220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:673096924:673097451:-1 gene:SECCE5Rv1G0348220 transcript:SECCE5Rv1G0348220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQTGHATDKVEEYGQPVAGHGGATGGPTGTHGAAAAAGTGQLQPTRDDHKTDGVLRRSGSSSSSSSEDDGVGGRRKKGMKEKIKEKLPVGAHKDATGQQHTPAAGEYAGTGTGTHGPGATGEKKGVMDKIKEKLPGGQH >SECCE7Rv1G0456700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:11277304:11278608:-1 gene:SECCE7Rv1G0456700 transcript:SECCE7Rv1G0456700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATTALLRLAPLPPHPRLLAPSSSKPAVLAPLGSGRRALRLARAAGDGLADQTVYNGVYGPWSVDDADVREVLLYRAGLVTAAASFLVAASGAFLPEGNAVGDAVRQGADLLFAAGAGGLGLSLVLIHIYVTPIKRFLQALWAVGVLGSVGTYALAARPLDEGLVRYVLDHPGAMWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPILLLGHLSGFMDDGVKLSLLGVWMALFTVFAARKFQQPIKDDIGDKSVFMFNALPEEEKKALLQRLEAPTQQKFE >SECCE5Rv1G0358260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755128180:755128791:1 gene:SECCE5Rv1G0358260 transcript:SECCE5Rv1G0358260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMKKLLPVFALVLFLPYLHVHGVSASVVSSANITTETSHRRGSCHISGFLHGKSGDCNRDHGSVCCKDGDRYPQFRCSPPVSADTPAILTLNSFARGGDGGGKSFCDNRFHKDSELVVALSTGWLRLDGKRRCNKMIRINGNGRAVLAKVVDECDSVYGCDAEHNFEPPCPYNDVDASPAVWKALGLKETIGVFKITWSDV >SECCE5Rv1G0333900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:562797390:562801562:1 gene:SECCE5Rv1G0333900 transcript:SECCE5Rv1G0333900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASLPFPSLVVPLVLLLLPLSRPATVVTHLPGFHGRLPFHLETGYVSVDEETGAELFYYFVESERSPDTDPVILWMTGGPFCSGMIFFEVGPMKFVLAPYNGSLPQLAYNPYSWSKTASIILLDSPVGTGFSYARDLEGYRDIGDFSFSLHVLIFLNKWFITHPRYQSNPFFVGGSSYAGKMSPIIAYHISQEIKQEKQPRINLKGYLVGNPVTGSDYDDNFRVPYAHGVGIISDQLYEAAMRNCKGSYVRPTDKMCAMVLNTFQDLMSEIDVPQILGVNCIRGMLTHGFLSEEYTQLSDPSPEQPTLDCFAYRYYLCNIWANNDSTREALGVKRGTIGEFVRCKKSIPYALSEVPNSIKYHFNLTIRGYRALVFSGDHDLVIPFLSTHAWIRSFNFSVVDDWRAWHLDGQAAGFTITYANHMTFATVKGGGHVSIEDRPKECLAMAQRWLDNEPL >SECCE7Rv1G0480610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:271960287:271961039:-1 gene:SECCE7Rv1G0480610 transcript:SECCE7Rv1G0480610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIMNKMGSYWLGQRANKEMSSAGDDIESLSTSVGDGAKWLVNKLKGKMQKPLAELLQEHDLPVGLFPREATNYEFEPETRRLTVHIPAICEVGYRDGSELRFDTTVTGTLDKGSLTGVEGLKAKVLVWARVTAVKADAAKVYFAVGIKKSRSREAYEVVRGAITVDEF >SECCE7Rv1G0507000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:757532844:757534859:1 gene:SECCE7Rv1G0507000 transcript:SECCE7Rv1G0507000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion transporter , Na+/K+ sympor [Source: Projected from Oryza sativa (Os06g0701700)] MYLFLTLIHSTMGRVKRFYQGFIHMKLHSFCRITRYVVDSIAFVYRFVALHVHPFWIQLSYFLAIAILGSVLLMSLKPSNPDFSPPYIDMLFLSTSALTVSGLSTITMEDLSSSQIVVLTLLMLVGGEIFVSLLGLMLRVNHQDMPDLPSVKISSVPVELEEIDLANSVPLCDESQLEEAAQEIPPKKCTEFKRTRSVKCLGYVIFGYFAVIHVLGFLLVFLYITHVPTASAPLNKKGINIVLFSLSVTVASIANAGLVPTNENMAIFSKNSGLLLLLSGQILAGNTLFPLFLRLLVWFLGSLTEVKELRLMIKNPEELHFGNLLPRLPTVFLSSTTTVGLIAAGVTMFCAVDWNSSVFDGLSSYQKTVNAFFMAVNARHSGENSIDCSLMSPAIIVLFIVMMYLPSSATFRPPNGDTKTTDENTKGNRESLVQNLAFSPLGCNIIFVIVACITERRRLINDPLNFSTLNMIFEVISAYGNAGLSTGYSCSRLHQLHPEIICQDKPYSFSGWWSDGGKFLLVLVMLYGRLKAFAVSTGKSWRV >SECCE5Rv1G0332230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:545755357:545755986:1 gene:SECCE5Rv1G0332230 transcript:SECCE5Rv1G0332230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSAALVALLVASCAVAAAATTFDVGDGHGWQTGVDYTDWTADKTFAVGDTLVFNYTSKAHTVTEVNKSGYDACSGGNSLSNDDSGATTITLTTPGVHYFICDIPGHCASGMKLAVTVTVAGGSTAGGTIPAGAAGGSLGPAMSGLVTAAAWALIVLALFC >SECCE2Rv1G0133120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:894981320:894981592:1 gene:SECCE2Rv1G0133120 transcript:SECCE2Rv1G0133120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKAKATVRGGVSQGTKQLPVCAKAVAERRRAEKAEAGLRTVMYLSCWGPN >SECCE2Rv1G0065430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:8442618:8443196:1 gene:SECCE2Rv1G0065430 transcript:SECCE2Rv1G0065430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKIILFFLVLVPIRIRVAAALMDCPDVPSLGAVAACQKACGTKLMYDLCIRTMREGDVDMSPSHVERATAYAILAAHATANSFDNTTIAMGDQLSQNSALPRKQRHAYEACMEDYAPADSSIDTIEEETLPSCHFTFLAAEYTRVITNVEKCRDRLLSPTLVKTPLYPMVLADLNKAVLASMLGKLLGT >SECCE1Rv1G0015130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:121219636:121221800:-1 gene:SECCE1Rv1G0015130 transcript:SECCE1Rv1G0015130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 28 [Source:Projected from Arabidopsis thaliana (AT3G52860) UniProtKB/Swiss-Prot;Acc:Q9LFA5] MAEPPPPPSQSPAQTPPPTQQQTPVVPARDEMMACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDQPTTEELLRKEITTMEEELKTKSALIAKHKSLIEGWQKELKDQLGKHNTELERV >SECCE5Rv1G0372940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:855502005:855503274:-1 gene:SECCE5Rv1G0372940 transcript:SECCE5Rv1G0372940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDRRTVKRGAWSREEDAILTSFVQRFANAGNWMTLPHKAGLNRCGKSCRLRWLNYLRPALRHGRFTDEEDSLILSLYGDIGSKWSVIAAKLPGRTDNDVKNHWNTKLKKRHLLAMAQSTTPPTAATDSSPASHADESSLPPPPLDEAAVATVDDGGELTRRSEELYAELMGLIQQQPTTGDVRSSSSSSLTSTPAAGASSAGVSSAAWPVDDEEFLPQSSGSSMVVQLDDPCAAHAFGATSFQDLLASSYDEIIGTEGLLYY >SECCE4Rv1G0225350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:91268464:91272183:-1 gene:SECCE4Rv1G0225350 transcript:SECCE4Rv1G0225350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHIQFLRSSGKALNVFIGRLCSKCTKQEDGATSKSQTADGTCIHGLIAPSGKDELSAVPEVAGDDGNTEVPNVQLKEKPVLGFDPPRKPSARHRLKIWISTRHNGIIGRCGNKLDLGFFNGAKRLPAEHLNTGWPDWLVTVAPEAVQGWAPLRADSFERLSKIGQGTYSSVYKARDLRTAKIVALKKVRFVNTDPESVRFMAREICVLRKLNHPNVIKLEGIVTSPVSQNLYLVFEYMEHDLVGLAATPELKFTESQVKCLMQQILSGLDHCHSKGILHRDMKGANLLIDSNGVLKIADFGLATFYDPESRQPLTSRVATLWYRPPELLLGATRYSAAVDMWSTGCIFGELLTGKPIMPGRTEVEQIHKIFKLCGSPSDEYWQKLEVPPTGMFKPLCQYKRCIAENFKDLPPSALVLLDNLLALEPEARGTAASSLQNDFFRTEPLACSPSDLPKCPPSKEYDARLRQEEARRQRRSESVRSGIENPRENHAAYGSIKPQRLQHTKTRFNSANFNRKDDQLTLATEVQPLGFDSTWNKGANNYMDHHEVPERKYKSGRLANSNISRTKGSDMFKPESTGVGNIMPASHNKEMGVKGPMVDYKGKSKIVNFSGPVATQDGNIEDMLREHERNVQEAVRKARCIKS >SECCE3Rv1G0207720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:923882035:923884211:1 gene:SECCE3Rv1G0207720 transcript:SECCE3Rv1G0207720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASVHKEDVGVPRKQLLASSLDSKAVDGVGLKRKIEGFRPGGKTPDSGSKDEMFFESQPWLDSDCEDDFYSINGDFTPSRGSTPVHQPRAQTVMSNVFLPDNAAQSPNSSEASPTGRRKLADLLQEATRHDTGESAAAAATGEPDSSKSGQQAVAPAAGKTVSEPSSSCSTEATPVREAGSRKERAWYAGRCCLPTFVHSLALDDEGRQKTGPGPCAV >SECCE7Rv1G0464460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:61607641:61610646:-1 gene:SECCE7Rv1G0464460 transcript:SECCE7Rv1G0464460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit K [Source:Projected from Arabidopsis thaliana (AT4G33250) UniProtKB/Swiss-Prot;Acc:Q9SZA3] MATEQPAETYTVEELVAVNPYNPDILNDLEVFVNEQVSSKTYNLDANLSLLRLYQFEPERLSVQIVARILIKALMAMPAPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETGRFRQFWDEASKSRNILDVVPGFEQAIQSYAIHVLSLTYQKVPRPVLAEAINIEGLALDKFMEYHAANSGWVIEKGARSQLIVLPRNEYNHPELKKNTADTVPFEHVTRIFPILS >SECCE1Rv1G0018440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:192792939:192799098:1 gene:SECCE1Rv1G0018440 transcript:SECCE1Rv1G0018440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATRVYCFVHQVPVCGECICFPEHQLCVVKNYAEWVVNSDYDWPQHCSLCNLVLEAASEETTRLGCLHVMHTKCLISHVQSFPAQTAPAGFVCPSCSIPIWPPSSIKDTGSRLHAKLKEAVVQTGLEKNVFGNHFVTMPKADARTPPAYASDPLKRLSYSGESTDANTLNSSKDATLSSAVLSQGDTYLAGMYSSGTLSHVEPEIVEIDGPSTIAIKFPEQEPNFIRSPSPHGPSATTRKGANYVERQNSEMSYYADDEDANRKKYTKRGTYRHKLLRMLLPFWSSALPTLPVTAPPKKENDAPEGRSRHPRTSRIDPTKILLAMAIMACIATMGILYYRLSQRSLSENFADDEAQ >SECCE5Rv1G0375180.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867184870:867185676:1 gene:SECCE5Rv1G0375180 transcript:SECCE5Rv1G0375180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSSSSSGSGGSGVAPFVGKTYGMVDDRATDGVVAWGPAGNSFVVADPFAFSQALLPAHFKHANFSSFVRQLNTYGFRKVDPDRWEFAHASFLRGQTHLLPRIVRRQSRGGKRGGRSGGEEVDDDEESSSAMLAMEVVRLRKEQRATEERVAEMWRRVQETERRPKQMLAFLLKVVGDPDVLRRLAGGGQGEGAEEVKRPRLLLDRDGAGVDDGMSYHHGGNLMSMEEALVPEPSVDMYYAGGDGFGGVQPDGGPPYGFHMDTGY >SECCE4Rv1G0258330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:677100689:677107982:1 gene:SECCE4Rv1G0258330 transcript:SECCE4Rv1G0258330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant root formation protein 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) UniProtKB/Swiss-Prot;Acc:Q84VX3] MARDEPFAAAVDVAGGDSSSSSPTLTRLREALAALSEAFESGDTGSSDAAAAAVAEILSASDADADAALSEQMLREVHAFLSRPFSNQMAIDALSLELPKPVAKLGARMGNCRDIARAIIEFFASNCSPRDMLCILCEALDTPVALNGSAYFAILLDGLASTLILIQRRHIEQVKVVLPAILRVMHSNLSECDGEHGMAAVDLFSAALRIGNAIQEMCKTMVNHRKEELCSILGLYALQNIALVSSESKHQNIQSICGSVVLQYSKLLMFCGFTYLGLLTGNDVTSATTKLSKEEDDNFPDCFSFAMDGASLVVVWTSMHDDISKYAGAEVESALKEVQDNCIRKWEAINMFRYVLSSVNYSWAIKSHSLDLLLTLVDDKCSEETNDHVDFPCSTQIFAILKAIERVMIAAPDTLMRKKAFSALKRVISVVPSTQRFDILQALIENSMFPSLTAILLDLVKNEVSRESRRADQVNGSDRSQDSGESPPWASQVLELVERILRPPEGGPPCLRDHSEEVLSALNLLRLILIIDSRGPRSAKMLRDERIRAVYSEWLMPLRSIVTGIQSELEKDGGEDETQMACLLNPVQLVLHRCIELVEEKMKGL >SECCE2Rv1G0067880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20401310:20405899:-1 gene:SECCE2Rv1G0067880 transcript:SECCE2Rv1G0067880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRLASRSRRCPVPAAPRPAAPRPGPPAGPAAATPPLRRLLLPLSPPRFAAAAASSPARLFHGRSRWLASDASASAATEPPAELVEVPLAQTGEGIAECELLRWFVSEGDQVDEFQQLCEVQSDKATIEITSRFKGTVHQIQFAPGDIVKVGETLLKMIVNSNQVVPHDSIASSPDIPLGADPSTSPLSESNAPRGSLSTPAVRHLVKQYGLNIVDIQGTGRDGRVLKEDVLNYAASKGLLQEPPSASEEKVRQVELPEGGKPLLDPQFYEDKRIPLRGYQKAMVKSMSLAAKVPHFHYLEEINCDALVQLKASFQKENKDHDVKHTFLPFLIKSLSVALSKYPLLNSSFIEETNEVILKGFHNIGVAMATEQGLVVPTIKKVQSLSILEITKELSRLHEMALQNRLSTNDITGGTITLSNIGAIGGKFGSPVLNLPEVAIIALGRIQKLPRFDDEENVYPSSTINVTVGADHRVVDGATVARFCNEWKSLVEKPELLLLHMR >SECCE5Rv1G0332680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:550826606:550827400:1 gene:SECCE5Rv1G0332680 transcript:SECCE5Rv1G0332680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPQLAAALLLAVALPLAAAAGAKAPAAPPAPPNATAAMAKGGCKAFAALIAASPDAASTYDAAATGGMTVFCPSDDAVASFMPRYKNLTADGKASLLLFHAVPVYYSPGSLKSNNGVMNTLATDGSARNYNFTLQNEGNVVTIKTGASGGVARVKTTLLDTDPVAVYAVDKVFEPVELFKPAPSPTPAPAPAPAADAPKAGKGGAARHRSPPAVADAPGPEADDTAPADQKKDPKKSAAAGAPRVRWLAAALAAVAVASTLA >SECCE5Rv1G0349470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:683938893:683941331:-1 gene:SECCE5Rv1G0349470 transcript:SECCE5Rv1G0349470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVSTYTVDEALVSMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVHAEWGLSAQEESFITSVVFLGMLVGAYCWGLVSDNYGRRVGFNFTALVTGGAGLLSAFAPNYSSLIVLRFFVGVGLGGGPVLSSWFLEFVPAPNRGTWMVIFSAFWTIGTILEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPLTLESPRYLCMKGRIADAVHVMETMARVNRVALPSGRLSAGHRVELQEMADSAESAQLVSGRKTNPVDHASKPGIGGLNAILRLLSPNLIRSTLLLWTVFLGLAFLYYGLVLLTSELSHGNRICGSEGAVTVETTHSTDVNLYRNVFITSFGEVPGLILSAAIVDKFGRKLSMSSMLYVSCLCIAPLMFSQTESLTTVFLFGARICISASFIVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAIAVFITVMLVSAVAVSYFPLETSGRKLSDHIAA >SECCE2Rv1G0069010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:26021610:26023619:1 gene:SECCE2Rv1G0069010 transcript:SECCE2Rv1G0069010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKKARKPRARRPLLLRRAMLHSALCFLLGLLAGLAPAARWTASASAHVSRALHAVDGAFDRTVLLLQQQQRQLRDGRVHVAVLPSPAPGPPALEPLRQPQLLLVVTATERSDPERRAAGLTRAAHALRLVPPPVLWLVVESAAEALPTARLLRGAGVAYRHLTYPENFTADGVGMAKERHHQRNLALEHVEEHRLAGVVLFAGLGDVYDLRFFDQLRQIRTFGAWPVATVSERERKATVDGPVCGGSPWAVTGWFSTADAARAPTVRARPPAGTVDVARFAFGSALLWHPSRWDSFPVSEPDASQDSVKFVQRLAAEDYNKSRGMPNRDCSEVMVWRGDQFLAT >SECCE4Rv1G0265510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:726049398:726050810:-1 gene:SECCE4Rv1G0265510 transcript:SECCE4Rv1G0265510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVTETSIILPPHFVLVPLIGQGHTIPMSDLACLLAGRGARVSLITTPVNAARLEGVAERARRAMLPLEIVELPFPPADDGLPPGRTASIDGFLRLFLDLYRLAGPLEAYVRAMPRLPSCIISDACNPWTAGVARSVGVPRLFFHVPSIFYSLCDLNVAAYGKDDHDAMYVVPGMPVRVEMTKETWSSSFYTTPEWEAFTKEAREGMRTADGAVMNTFLGLEQQFVTSYEAALGKPVWALGPFCLGNRPEEEAVKQSPVTAWLDKMDCNTVIYVNFGSLVRMPPKQLYEVGHGLEDSGKPFLWVVQESETALPEAQEWLQALEARTAGQGLILRCWAPQLAIMSHRTVGGFMTHCGWNSLLESIAHGVPVVTWPHFSDQFLNERLVVEVLGVGVPLMPFGNDRAVMRGHIARAVSELMGDGAVAEERRRKCKDYGERAHAAVAKGGSSHENLTRLLQSFMPSGSKEL >SECCE1Rv1G0032140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:451673353:451676552:1 gene:SECCE1Rv1G0032140 transcript:SECCE1Rv1G0032140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPFCPKLWDRSPVPPANAIAVNGTVVVANTFGLSAPGKSTTLRLFSGTQIDHETGKGKLSAEAPLRGGKKSKHGKTSTTTYHVTFVVDADFGTPGAVSVRNGNRGDPFFLRHVRLELAEDRSIHFECNSWVYPYKKTASDRLFFVNTSYLPSKTPEALVLLRDEELRSLRGDGKGERKDWERIYDYDRYNDLGNPDNPEHVRPVVGGTRTHPYPRRCRTGRAISHTDGVTETRKHVINLDFYIPPDERFSPGKLAEVLKLGVQAVTHFVIPEARTLIHGNDFKSMEQLRKDLYSRPVQPAVDGEVMEKLKSSVPSHKTYKQVAKAVKEEHPAKFPIPQVVQHDAEAWRSDEEFAREMLAGLNPVAIKRLQTFPPVSSGGKKSSITAEHIKSQLGDVTIEMAMHQKRLYILDHHDYLMPYLRRINTLGVCIYASRTLLFLKADGTLKPVVIELSLPSDGEGDTELSRVFLPASHGTEGHLWQLAKAHVSVNDSGYHQLISHWLFTHAAVEPFIIATRRQLSAMHPIHKLLEPHFKDTMQINTLARSILLNAGGILERTMYPGKYAVEMSSAIYGDWRFTEQSLPNDLLKRGMASSSEEPGGLTLHIEDYPYAVDGLEVWHAIDGWVRSYCAHFYHSDKEVDGDAELQAWWHDVRTVGHGDRQGDQACWLALDSVDHLAQTLSTLIWIASALHAAVNFGQYAYAGFPPNRPTRCRRFVPLPGSPEMTQLEADPEKFFLEMVPDRFTATLGLALIEVLSNHTSDEVYLGQRATSTWTDDGQLLRLLDRFREDLRRVEKRVEERNRDPRLKNRRGPVKVPYTLLFPDVAGQEKGLTGKGIPNSVSI >SECCE6Rv1G0423370.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:695859544:695860403:-1 gene:SECCE6Rv1G0423370 transcript:SECCE6Rv1G0423370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLCTKFTLSGCHRFYPELPDHWYDMVEAPIRVPRFTPRKKHNREYRSRQGHVIIGFPEANEDIEQMFEDNVVEEEEQLFEDNGIEPVVVNEVEEVDIDKDVPELGIEYQAEEESVEDNDVEAIVLNEVAPIDGGDEEFTKYEADLLAAKLGGGDDNKVEL >SECCE1Rv1G0032330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:454102570:454103691:-1 gene:SECCE1Rv1G0032330 transcript:SECCE1Rv1G0032330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASVPPPPVPVAPERRVPVVVPMVPRLAEEGGGGSGDDARGSMAGISPSILIIAVIVVVMLLASISIHYFIRSLCRRSPSSASGPSAPPLPLVAVRSSAVAPAAAPVADQGKEAAAERERLIGRLPLFTLASSLAALPRSSRDCAVCQCVFCSDDELRLLPACRHAFHSSCVDPWLRANPSCPLCRASIALPYPPLPELLRVELGSVSSRRSTSSSSSAAVAAAPPEGVRAYPLPNSNTNTEYLVEEDLQVVLKPPSAANPAPPPAPTARITGEPSQQLAAAAERGLSSSVTPTASFRSVGRSSSRWSNRWSSRWSSGRWSSRYDAGSVTAAATAEWWWDMDGGVAPASRRAESEDGSAFYGFVRWLTGAY >SECCEUnv1G0563740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:376680110:376680523:1 gene:SECCEUnv1G0563740 transcript:SECCEUnv1G0563740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPVATEKTPAGKKPAAEKRAKTVSKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCEUnv1G0529080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6402610:6404653:-1 gene:SECCEUnv1G0529080 transcript:SECCEUnv1G0529080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILCCFQSGADKLLDHGHGGGPAAVTASTTKKPPPRDAPTVTVRPPNLLRRERDDDHQEEEGARTSSNNNNNLATLVDEIVAESVTYQHNRRVADEILGMNKEETVTARAFTFAELSQASGGFRVDSMLGEGGFGPVYRGRLRDGTEVAVKQLDRNGLQGTREFLVEVLMLSLLKHPHLVTLIGYCADADHRMLVYEFMPQGSLEDHLLDLPPSSPGLDWAMRMRIAQGAARGLEYLHDASRRPGPPVIYRDFKASNILLDGCFRAHLSDFGLAKVGPVGDKTHVSTRVMGTYGYCAPEYALTGKLTTMSDVYSFGVVFLEIITGRRVIDTSRPRDEHNLVQWAAPRFKSKKRFREMADPLLRGAYPIKGLYQALAISAMCLQEDATMRPSIADVVTALDYLTGVDKPSPSPQPSPSPTPQQQSPSPTPPQQQSPPKDDATAKDVATD >SECCE5Rv1G0376230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:871847200:871847481:-1 gene:SECCE5Rv1G0376230 transcript:SECCE5Rv1G0376230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKELLLAAMMLALVVAAPGGALAACEVGQLTVCMSAVTTGAKPSEACCANLRAQQACFCQYAKDPALARYITSPHARETLTSCGIAVPHC >SECCE5Rv1G0316500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:322390976:322391602:-1 gene:SECCE5Rv1G0316500 transcript:SECCE5Rv1G0316500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGNHGDDHLRNGCRRLLAVLLGLAFIVAIITLIVYLVLRPTHPRFFLQDASLRQLNLSNSSGLLSTSLQVTVASRNPNDRVGVYYDRLDVYASYKYQQITVAASLPAVYQGHGDVDVWSPVLDGPNVPFAPYLASAISQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTSGGNGAPGASGFKFQTTTYCHVEV >SECCE7Rv1G0465940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:75666690:75669249:1 gene:SECCE7Rv1G0465940 transcript:SECCE7Rv1G0465940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKRENGSDGDGLGAEGGVPPSPLYAAPVVCLLRSAGDFGAGAFVGGVVGYGQGLITKKGFKGSLSNAGSAAKTFAVLSGVQSFIVCLLRKLRKKDDLVNSGIAGCCTGLALSFPGTPQALLQSCVTFAAFSCIMEGLNKQQAAMARTLTGNALTFAHEKGGVLPPFTLPPILDASDALASCCQTLVKPKH >SECCE4Rv1G0239820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:424929862:424930806:1 gene:SECCE4Rv1G0239820 transcript:SECCE4Rv1G0239820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLLRHGRLSRPVATRRLRVVAVALRSRPSSSLAVPGFPPATAPAPAPALEHVLPSPHVAADAAEVLLEAGVPKEDLRRAAGMCPELMSVPVETITAALRFLTDEARVPVEELPRVLRRRPRLLVSSAAARLRPTLYFLRALGVPDLHRRADLLSFSVEDKLLPRIEFLESLDLPSGAARSMARRFPALFYYGIDGNMRPKAEYLLGVMGRDADELFEFPEYFSYALDTRIATRHEACAARGVRMPLPAMLRPGEPKFEDCLAGCVGSTPPRRRSPLWHAYWVDGDGAGVAAVVEKARRDDAIAASYRHVHY >SECCE5Rv1G0371770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:849830680:849831956:1 gene:SECCE5Rv1G0371770 transcript:SECCE5Rv1G0371770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSSGTSGVAAARRHAAMLGIGTANPTGLVVPQDVFAENLFRVTNSDHLPELKEKLKRICEKSGIEKRHFQLTEEAVAAHPELLDRELPSLDTRVDMTADAVPKLAQCAAAKAIAEWGRPAADITHLVFSTYSACQAPTADLRLATLLGLRPTVSRTMLSLHGCYGGGRALSLAKELAENNRGARVLVACSEMTLVCFGAPDGGNVVGHALFGDGAGAVVVGAGPFLDGEQRPIFEMVSATQTTVPRTEHTLGMRVSGGGIDFHLAIQVPTLVGQNVERCLLDAFRGVADDDDGGAAPPHPCSGNGKWNELFWAVHPGGRSILDNIDKVLMLEPEKLAASRNVLREYGNMSGATIVFVLDELRRGRSPLPEWGALLAFGPGITIEAMVLRCPR >SECCE7Rv1G0499590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:655517743:655518953:-1 gene:SECCE7Rv1G0499590 transcript:SECCE7Rv1G0499590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAHTKPYSLATILVIVSCCTHLVLAARELTPAGDDKAMRERHEKWMAEHGRTYKDSAEKARRFEVFRTNAHFIDSYNAAAGQGGKSGRPALVANKFADLTKDEFSSMYLGQHGSIHRPASPVIETDFMYADVRLEDIPASIDWREKGAVTRVKDQSDTCDCCWAFSAVAAVEGIHQIRTHKLVSLSEQQLLDCSTGRNNRGCNLGDAEEAFRYIALNAITKESAYLYEGNQSTCRSSGKHVAARINGFQYVPPQNETALLLAVAHQPVSVALDGNNTEFKSWSGTGIYGAAGESCKNDLNHAMTIVGYGTDDNGTKYWLMKNSWGIGWADEGYVKMARDVASNTGLCGLAMQASYPVA >SECCE4Rv1G0215770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:6841116:6842192:1 gene:SECCE4Rv1G0215770 transcript:SECCE4Rv1G0215770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLAEMAKEEVAMLIGVSDGIKDLSIKLGDLKKFLADADKRNITEESVRGWVGELKRAMYLATDIVDLCELKAMEQGPSKDMRCLNPLLFCMRNPLHSHDIGTRIKALNKEMDSICKRGKKFKFAKLEAYQDSKMTRSLATDRKTDSLMERSGVVGEKIEEDTRALVELLTSEAGSDKTGHHMVVAIVGVGGIGKTTLGKKIFNDEAIKGKFTKKIWLSITQDFTDVELLSTAITAAGGDQPGGGGTRDRALLVDALKNAIEDKKFFLVLDDMWGADAWNKLLMTPFGYGGPGSRVLITTRSETVARNMKAAHCHHIDKLGPEDAWSLLKNQVRTAPYSTHTLLI >SECCE2Rv1G0131140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:883246831:883251968:-1 gene:SECCE2Rv1G0131140 transcript:SECCE2Rv1G0131140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADITNGNSHSDIQRKYQVVVAATREMGIGKDGALPWKLPSDLKFFKDLTMATADPSKKNAVIMGRKTWESIPTKFRPLPGRLNVILTRSGSSDYATVENVVTCGSLDSSLELLASAPYSSTIEKAFLIGGGQVLRETLNASACEAIHLTDIESTIECDTFIPPIDPLVFHPWYSSSPVVENNIRHSFVTFVRVRKSAEEANDSNQSELTSNDTKKEKFEIQNFSFLPKMIFEKHDEYQYLNLVQDILRSGARKNDRTGTGTISKFGCQMRFNLRKNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKNIRIWDGNASREYLDSIGLYQREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLADVINKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGEFVHVMGDAHVYSTHVQALEEQLQKQPKPFPILKINPLKKDIDSFVASDFKLASYDPHQKIEMKMAV >SECCE6Rv1G0402650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:486234697:486235134:1 gene:SECCE6Rv1G0402650 transcript:SECCE6Rv1G0402650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLVCYCVAIPKPVIAFCKLVAAVRDALLLLLSVVGLCRSPRRSVEDAPLPEEVKERLPAVEFSWLPRPAQQQQQEATCIVCLERLEATDEVRRLGNCAHAFHRGCIDGWIDLGRITCPLCRCHLLPLAPRDGPLASLLPRVW >SECCE2Rv1G0133740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898243927:898244905:-1 gene:SECCE2Rv1G0133740 transcript:SECCE2Rv1G0133740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDPLIVGRIVGDVVDYFDMAAQLRVLYGNREITNGFELRPSQVENQPTVRITGRRGSLYTLVMVDPDVPSPTNPSQREYLHWMVTDIPDGGDVSRGTEVVAYEKPQPTAGIHRVVFVVFRQAVRQAIYAPGWRSNFITRDLAECYGLGAPVAAAYFNCQREGSCGGRRYR >SECCE3Rv1G0144250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:3389397:3390271:-1 gene:SECCE3Rv1G0144250 transcript:SECCE3Rv1G0144250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGNEPLFKDGKGCGSCYQIRCLRSDHPACSGVPKTVIITDMNYYPVSRYHFDLSGTAFGALAKDGRNDELRNAGIINMQFRRVPCQYPGLAVMFHVEKGSNPFYMAILVEYENGDGDVKQLDIMESRPVAGNGGEMAPTGEWVPMKESWGSIWRMDARHRMQGPFSLRITNESGKMLIADQVIPADWKPEKVYSSVIQFD >SECCE1Rv1G0038790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:542960857:542963724:1 gene:SECCE1Rv1G0038790 transcript:SECCE1Rv1G0038790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSLLPAAAAATTFIDAATAPFLLPSSSMPSLRLGSPALLAAPRGPPPWLRCAGGGARRGAFCTLEASRRADGGSEDEKRGGDGARAAAERRLRGVGAVAGSGELLAIPGVGPRNLRKLVDNGFEGVAHLKQLYRDKFFGKSSEKMVEFLQSSVGIIHKNHAESITSFIKESVVEELEDTNSSKPPQKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVAKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMLRKRSEEGGVSLDYLQGLHEKHESWLFPSKGGGRGVLSVSQLPTHMEGSLPPGIRDRVFYLEGDHMHSSIQKVPALVLDCEPDIDFNRDIQAKRQYAQQVAEFFEFVNNKKEAPSEQTSTEKDRMNPKIMFPNKGGLWVPEGVPPFAGSAMNLDFRRAMSSYLPT >SECCE4Rv1G0261640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:703075213:703077348:-1 gene:SECCE4Rv1G0261640 transcript:SECCE4Rv1G0261640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFHDGQYVWLRSRVHGTYLTADRDGKGVSLHRHRGALKAAWVVHIHQGDTPAPYLLLYNAANGRYLAATATRAPPGHIGFRAEQRDYDQPELQAIMWRAAGTGFRNDVLLRSVGGRYLRANGKYTGKYLRLKNVTVDDINNVSTMMYWTVKPIPLREPNMPMGLAHPSLGRRPRELSVSDMLGRERGVRRLIRFVRASPEGAFTEDGWSEFHFRGISVYQLRNEVYNRIGPHRNPHPDILPHDIVMCVRAGRYGRLIPLIVNLPCNGDTLQIVLCMSRTPAYGRLRYPDVHAE >SECCE2Rv1G0072940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:62744962:62749999:1 gene:SECCE2Rv1G0072940 transcript:SECCE2Rv1G0072940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPKPGEPPKPTPGRGPSLNPNPNPCPLPPIPGGPQPGQPPAPPRSHHRRARSEVPFRFPDADGGGFDEIGSEDDLFSTFMDMDKIRDRAAETSSSPPRPTKHRHSASFDGFAMGCGGPGRQDGGGGVFADVLEAKKAMSSEQLSELASVDPKRAKRILANRQSAARSKERKARYITELERKVHTLQTEATTLSAQLTLFQRDTTGLSAENTELKIRLQAMEQQAQLRDALNNTLKQEVERLKIATGEMTKPDEAYNTGMHHVPYNPPFFQLSEQHAPQHHSSIHQLPSQFQPPHPNVPSHQMLSHPNTFPDMMNQDSLGRFQGLDIGKGSVAVKLEAEAAAKSEGSSVSAGESNSTF >SECCE7Rv1G0479360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:251500298:251507244:1 gene:SECCE7Rv1G0479360 transcript:SECCE7Rv1G0479360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGAAKRRREPSPVAAAGERKLLPGEHVEVISFDPGLCGSWHQAVVIEILDNFRSVRYTDFVDDNGSGSPLIEKVEVSDAIDGKSSAARGSTRGKVRPVHPHQPLQVSDASYGLWVDALVEGSYWEGVIADHAEGSMERKVFFPDEGDERIMAVDQLRRTQDWDEVTGTWEPRGIWLFLQMLLSHEEKDGLPVSVRQIWYDLRSNLSLTTEDNTWMCGQESFWEGSLAALIAELRSVCDKPHQDGNLIGDSCRSAETSTSAAFQNKNVEPIVSDKLDSASAAICRTMLEFISYYRNNDRVTARAKRESAKHHLKSVGWTFVDDRAKNRYCVSPDGKRFASFIAACEAYLAQKGCHTSNLLLHSVTRNNEDCSSIGTELILRENKHHNKLSTDASATWMPVQLDAKFSPAIASLLASYQEVTSFSQGQINETMRMKLKEHLLALGWSIVFKEDDIIRPNGQPSTIKRYRYKSPVGKTYVSFLQVLRSFAVQCIKRVKGNNTEDNPDNCNYLAAHGVNLDAAVSRDLATLGKRKRENKSDVVGKYIDCVEADVQNVRKKKLLRSKAKKFLKSVGWIVCQKMKSSKKRELRYHSPHGKSYKCLLAACKGYLEQGYQKENNASSGITTDTFIAHSGGATDTSGRKDLLVSVSDRHDDMFSWPTCHVKSKKRKSSSVPMSHARVLSSTHGQILPYQHRAKTVLSLLVDKNILLPRVKLTYKQRSDGPRLKEGAVTKDGIKCRCCNELFTLESFEVHAGCSTRLPAAHIFLKDGRSLSQCLVELMGENKPKESLHVRLKTNCSDTESDSICSICNEGGEILLCDNCPSSFHHACVGLEATPEGSWYCPSCRCSICDLSDYDPDTNQFTEKTIMYCDQCEREYHVGCMRNKGDQLTCCPEGCWFCSRGCSEIFQHLQELIGKSIPTPVEGLSCTILRFDRENASDHGDFYNEIMAEQYGKLCIALDVLHECFVTIIEPRTRRDLSEDIVFNRESGLRRLNFRGFYTILLQKDGELISVGTFRVCGKKFAELPLVGTRIQYRRQGMCRLLMNELEKLLSGLGVERLILPAIPQLLETWTGSFGFTAMSCSERFELAESSILSFQGTTICQKILDVADHNPRDMSIQLVLNAEEIELGKNSIGSFERTTVCDTVVNNVSNHSEELKVTAQTNYNSLALAENSVFESWGTTICQKVSSNAFSHPEDLNGSDYQLECTSIVRETLESDSQESTSVGVEDRDQPEPELLLEIRSNSSEEGNCAVGVPIITPNPELNFAVDSHGQPYDRSVQRELCFN >SECCE7Rv1G0526850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:898787952:898790681:-1 gene:SECCE7Rv1G0526850 transcript:SECCE7Rv1G0526850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFDRQDEEDDFNGIDEGSRAEIVFIGKEINQVLTSHKCLVFFHNGSNDMVNLSASGILQGDFFDTKVLWTFRGRFRLSLGISEKVDDSHLFICSEYLGYKWNLLLKEEAREIDGYTDKLGETIEECCLYLLSLNSQGGNIMDYNWATHASSYWVCDEIIQGGQGDEAWEVAAALHKQINTEDYSSNTLPSFGHELKNPPKRWILSKENSVVPPESTSFFLAAVASESDPPLRPLPNAMFHQSNKLRVLKLCRCTFSFSAPPFCCCRNLKFLGLDGCNDQPVEQDEEKGRPPMEFFQSLWVLDICHTDWELDLSADIIEKMAANIREIHIKKGRIWCHGFAWRQLQNLHKLQVSEPTSPWQTCEMDEFTDMVKLEFLDLTGDSTIQVLPSMLGATSLKILVLDGCVGLEHVGPEGLPPSLEFFSLDARARGDHKKEAKISHISLAGCGRLSKFRLCGSLPRLEDLDLSGTLVKTLDLKDQVVQAPRLQKIILLGCMQLLSILWPKNGMPKDRVLRIDSSVCHVHAEPHQAYATIMDIRFLQSLVLESNVEFCWKSTRSHLKLCVPCSNKVEGQRNKGKTAIGSIGQIMGRPRPKSLLPGSYITYMDVIADNITVDDGYKYNSAPQFQPLGSHVEIGDGISFTRMESTRAMKATIFALNKSESLHVHDNSSITTVIPQHIMSIENKVLVWKNLKSCEVVRCPKMHTLFNIVWGHCKFEGLVEFWAADLLSAHCIWSKQRVWDYEDTFSFAKLQSIHLFSCPRLVFVLQWSRLYILSSLETLHVTFCGDLRQVFPVEPEILTSIATSYHKGVLEFPNLKHIYLHHLFKLEQICEAKMFAPKLESIRVRGCWGLRRLPAVGRGNRPVVDCEKDWWEKLEWDGLQAGHDPTLFEPRHSAYYKKPLPRGSVLW >SECCE1Rv1G0057560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:694070468:694072831:1 gene:SECCE1Rv1G0057560 transcript:SECCE1Rv1G0057560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHRFHQYQVVGRALPTPGDEQPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKANGQMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGGVEQMYTEMASRHRVRAPCIQIIKTATVDFKLCKRDNTKQFHNSKIKFPLVYQKVRPPTRKLKTTYKATRPNLFM >SECCE2Rv1G0087940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:235862620:235866768:-1 gene:SECCE2Rv1G0087940 transcript:SECCE2Rv1G0087940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQAEARGPPEPRAETLVEPVRVNGSAAEARLSSAELAWRPTGAGRDSGGRRKLELESDVLGFQVEGRGLKLATFTKGDVTGAGRPPSPVGCGGGAADRKRGEVTVEMESEEAAERWGDAIRDRFASLGRPKRLFFIVNPYGGKRGGRKIFQTEVLPLIEAAGIHYTMQETNYRLHAQEIAGSLDLRKYDGIICVSGDGILVEVVNGLLQRDDWDKAIKVPLGIIPAGTGNGMVQGLLHSAGEPFSMSNAVFAIIRGHRRALDVTSVVQGKTRFFSVMMLTWGLVADIDIESEKFRWMGSARIDFYSLLRAVNLRRYNGRILFVPAPGYEGVGDPVEQTISCKTNGATNAAEGDITDVCNNETCTYSGPSTEDADLEWRSLKGPFVNVWISNIAFASEGVMIAPQAQFADGYLDAAIIKDCPWSVALGLLLRMKDGSYIESPYVEYFKVKALRIEPGLRVGSSTIGGIIDSDGEVLARGDRSQTKEEPKHLMAYGPPIQLTVDQGLATIFSPR >SECCEUnv1G0557440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:323604602:323607127:1 gene:SECCEUnv1G0557440 transcript:SECCEUnv1G0557440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRRKLQVVTLAILCFWSSAGVCKAQTVDFKPADNYLVDCGSTKGTTVLGRDFAADGASPVTVSTSQDILAGTSANGVSSFDNPVLYQTARIFTGPSSYTFPIQKQGRHFVRLYFYPFIYQSYDLSTAKFTVSTQDVLLLSDFQQPDKTAPLFKEYSLNITRDQLVISFKPSNGIAFINAIEVVSVPDDLIADVANMVNPVQQYSGLTTQSLETVYRVNMGGPKVFPSNDTLSRTWQKDQKYILNPSVTKTAVYGKPINYRKGGATPLTAPDIVYSTATELAASNTSNALFNMTWQFDVDAGFSYLIRFHFCDIVSKALNQLYFNAYVGGFFAQHDLDLSEQSVNQLATAIYVDVVLSSNDASSKLSISIGPSTLNNALPDGILNGLEIMKMGSGSGSAFTIGDNGSNKKLPIIIGSVLGVVGLLIIVLVVVLLCRRKKTDDKQHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGLNGSYGYRFAFNVLQEATNNFDESWVIGVGGFGKVYKGALRDDTKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMENGTVKSHLYGSDNPSLNWKQRLEICIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVMLEVLCARPVIDPSLPREMVNLAEWGMKWQKRGELHQIVDQKLSGAIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSSTVSDVNSMNRIVDLSSQVQHVGAMESISVTMAEDGALHEPDHDLSDVSMSRVFSQLIKAEGR >SECCE6Rv1G0415710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:643655759:643673848:-1 gene:SECCE6Rv1G0415710 transcript:SECCE6Rv1G0415710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMTLLAGAVGTAGAMSPQPDSDAGAVMAVFKYNFAAQFLSRIIPFLYNGWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNNSGSGDVLSDEGILKVAWMIIPFGLFVTFIGSLFVLRIKKLKLSDPYAKATLIIGFACILELLAEPLYILSQTKKYYIIRVYTEPTATLLRCLTTYIFIKGHIKVEKLVVFALSQVVYAACIFIGYWGYFILFANIRTFDLLPFRLSTLMVYDKQLLHMCILFTGQTIRKLILQEGEKFVLVWFDTPFNQAAYGLVDKLGSLVVRIIFLPFEESSYATFTQLASGQTPENVSNLEGSLLGALKLITLIGLVVISFGPSYSYTLLNLLYGRRYSDGEATAVLRYYCVYIIFLAMNGTSEAFLHSVANENQLKQSNNMLLLFSAIYTVLNVAFIKSAGAVGLIAANSVNMLLRISYSAVFINEYFKGSFSFYRCLPAGWGVLLISGLTTAFSERMFLNRNRFKQTLPIHMVIGIMCLGFSLLEIYRGEKQFLMSIVKSLKGHDKHT >SECCE2Rv1G0142800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:942689675:942692160:1 gene:SECCE2Rv1G0142800 transcript:SECCE2Rv1G0142800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAMAMAMGMTAAPRVAQPPGSARCVSVRAAAPPRQQRSSRPPPRNRGPPPQNRRRGPPPRLRDEDGDGDDRGPPGRRGPAGAPSRHHQGRGPPRGSAGRPPPRGQSGRAPPADMARSPVATLRQDEEEFDDEAGYRDYDDEDEEEEGEGRFAGGTRSGGAMPKPPAGFVLDDQGRCIAAASKRIVTIIDDANNRPLECIIRRVFSSTQDHECLLLCPVDMPVQVLKSTNFSGWIAVDDDQIKQIIPSVAYALARVHMHFVESGFCYTARGGFCFPEDAIQEFHDSSGGSGEAPFEGVEICNFNLDGAHYMIYTPVDPLLFVAVKGEDGVLRIAEDDLMDDPSIVSAIDEETEFTALVEEEEALLESILHGDDDVS >SECCE4Rv1G0281950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:827239993:827241054:-1 gene:SECCE4Rv1G0281950 transcript:SECCE4Rv1G0281950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGRVWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYKFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNALNFPLDLAAVEVPSING >SECCE1Rv1G0045560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:610640046:610640267:-1 gene:SECCE1Rv1G0045560 transcript:SECCE1Rv1G0045560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTVEDSSKPRPKRTGAGSLLKPLNSEYGKVAPGWGTTPFMGVAMALFPIFLSIILEIYNSSVLLDGILTN >SECCE4Rv1G0249450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:583013365:583016572:-1 gene:SECCE4Rv1G0249450 transcript:SECCE4Rv1G0249450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGRRKGAKVMQLDGTAFRVKPPAYAGAVLRDHPGFQLLESEQVKLLGVRARPLENDALLRPGRLYFLVALPRPTVPPRRAWSGALHVGARERLESLMLTRRSTSDLSFPTAPASPMSAASEGGPVQLRMRLPKAQLAKLMGESRDAAEAAAKIMQLCAANGGNGAVTPERSPRFLPTADWGAQTPERSPRFVPTPDWGAGRFAQTPERSPRFAVTPEWGARFMMPTPERGAETVKTPDRWSTLPRTPEYASGDVKASRKEKRTRFVALPDEIIA >SECCE2Rv1G0106150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:631042278:631044246:-1 gene:SECCE2Rv1G0106150 transcript:SECCE2Rv1G0106150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGDGRQPMLEKGPPSHRERHFTAGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGLYLAAQSEADHYKREMKREQEEIIAVPDTEAAEIGDIMAEYGLEPHEYGPVVEGLRRNPQAWLEFMMRFELGLEKPDPRRALQSALTIALSYIIGGLVPLLPYMFISTVQDAMLTSVGVTLLALLFFGYIKGRFTGNRPFLSAVQTTIIGAVASAAAYGMAKAVQAR >SECCEUnv1G0535990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:61740671:61745518:-1 gene:SECCEUnv1G0535990 transcript:SECCEUnv1G0535990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVKCMLPTALACAMEQSTAASPWLLLLCLAAVATGGIPQVRAQLESKGFISIDCGLPGTANYVEAGTKLSYVSDADFTDDAANASHNISAEYIRPQLSRRYHNVRSFPDGARNCYTLRSLVPGRKYLVRAAFLYGDYDGLGRPPIFDLHLGVNYWQTVNVSTPGLEVTAEAIVVVPDDFVQVCLVNTGAGTPFVSALELRPLKMKFYPEVNLTQGLLLEHRLNLGPVDETNIIRYPVDPYDRVWIPWADPKEWAEITTTRQVQSDDDDYEVPSAVMQTAVTPLNASRNLEISWDPAPQPRNPSPGYFIVMHFSELQVLPSSAVRQFYVSINGEELTMTAKIYYHGTAVISNVKPYRYDKYNISLHATTNSTLPPIINAIELFSVMSTSNLGTDSWDVSASMAIKAKYHVRKNWMGDPCIPKTIAWQRLMCSYTIAKTPRIISVNLSFSGLNGDISSSFANLKALQYLDLSNNNLTGTIPDALSQLPLLKFLDLSGNQLSGSIPSGFLKRIQDGSLNLRYGNNSNLCTNDNSCQPAKIKSKRAINFVVPIVVTIVIVVVALTLFSLLRRKNRGSMKNTVKPQNETTNDGDTSLGLETRQFTYIEIERITNNLRHVLGKGGFGYVYDGFLEDGTQVAVKIRSQSSNQGDKEFLAEVQILTRIHHKNLVSLIGFCKDGEHMALVYEFMLRGTLQEHIVGRDHNAICLSWRQRLKIAVESAQGLEYLHKGCNPPLIHRDVKATNILLNTRFEAKISDFGLSKAFNRDNETQMSTNVIVGTRGYMDPEYQTTGRPTTKSDVYSFGVVLLVLVTGKPPTLHPQSIGIIEWVQQRLAKGNIEGVVDVRMHGDHDVNSMWKAADIALKCTAQASSRRPNMTDVVLQLQECLKLEEDHAAGDANTSFYTGIYSNDQNLRYDAYPTNQSTNVSQSSTAFEMEHNFGRVPTMDNGPAVR >SECCEUnv1G0532380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:20069429:20070924:-1 gene:SECCEUnv1G0532380 transcript:SECCEUnv1G0532380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSVVSPPVLPVPDGAPSWVLLDTAGYIAKRGNATFAKTLGSNGQPIEVTFCAAAPPHVSHFCVHCPGLVPADFVEVPRLIGAEADLVLFRLCVDPLAVAGERLFDYFLYTAHPQRPSLELLPHPYPYLFHDSEVALLRCGEDEYAIAALRNIDDYFTDEPQMSFNLYLYRSSRAGEGWTARVVTVEEPLRDRVCPVEEPKRFHETTKAITLGAGTVGWVDLWRGILVCNVFDERPVLRDVPLPLPARGNWEIYHRCGPYFARDIAVSPQKDVIKYVEVEICLTRKPTTTKTTETCQPPEPESYLEWFHQQQCEDNDDDEDIEAWKATAWSLPVPIASWEDWHFEYTVDVDDIAVDPMHCKLLPRPLRPTEAEALLPRLITACPIMSMDDDLVYLLSKASPKDQMEVVIAVDVRRKMLQGVAKLVMGKDFTFMRCCTSEISKYLNNKSSGNMPACISGSLANLHYNHSE >SECCE2Rv1G0067490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:19119880:19127211:-1 gene:SECCE2Rv1G0067490 transcript:SECCE2Rv1G0067490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATLFLVMFYTALLGIGKGVSGASPRAAAVAPRPRDCLGKCGDVTVPYPFGIGPDCSLSLEPSFNLNCSNIQIESITLETAQMVAYLRSTYTCSAQGESSPSQDMSFNLRGGLFLLSPADNVFTAIGCSLSARLNGRTGSADDRYLTPGCITTCTSVDLDHVGQEGAPCSGQGCCQASIAPGLSYVASRWGKDKQNNNPVPNNTCQYAFVAKKGWYNFSQSDLVGKNFANKLVKGMVPLVLDWAIRKGRLMAQATSAIAARDTLAIPMKATDAKFYINECQPSTWPKSIKYRNLFPCHGGKCRDVDGDYECNCNFGLTGDGKSDKGCEPVLSSAAVVVIDAPSYLAEGAISAIFISALLLLFVHMELEKRKLRDRFNMNGGKLLKSIKIEIFKKEELDKITRNFSTIIGKGAFGEVYKGTTREYMHVSVKRSIVINKDRQKDFANEIQIQSQISHKYLVQLLGCCLETEVPMLVYEFVPRGSLYDVLHGKNGNVRRDPISLRARLDIAICSADALAYMHSQASQKILHGDVKSRNILLDDEFMPKVSDFGTSKLMSIEKDHTNWVIGDISYIDPVYMKTGLLTEKSDVYSFGIVLLELITRKKARYDRNNSLPLNYIKASMEGTTGQMFDTEILSSGEDVKCLNEVGAIAVQCLEAGVDDRPTMTEVAEKIKRCKSRWLQSHWHGKTKAL >SECCE1Rv1G0003690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14917799:14918053:-1 gene:SECCE1Rv1G0003690 transcript:SECCE1Rv1G0003690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVENKPEGVNTDTGDHHNQKTEWPELVGMSVDEAKKVILQDKPDAWFIVLPVGRIVTMEYRIHRVRLFVDSLDNIAQVPRVG >SECCE3Rv1G0164640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:165101558:165104096:1 gene:SECCE3Rv1G0164640 transcript:SECCE3Rv1G0164640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLVLVLGALCLYAGAAASTDTVSAGRSLAGGDARLVSNNSKFVLGFFQSQQQQASGEFGSSKWYLGIWFSAVPELTTVWVANGASPIMDGASPELAISAEGDLAVFSGANQSITWSTESANGRSTANDTSAVLLNSGNLVLLDASNHSDQPRILWQSFDHPTDTLLPSAKLGRDKLTGLNRRLVSNKTPAGPGRPALVLKLCDSSTTYWSTGAWNGKYFAAIPELSGNVPTFHLAFVDDGREEYLQYNVTDEAVVTRNIIDAAGQNKHQVWLDASRGWLTLYATPKEQCDVYGVCGPNAVCGYSLLPLCDCLKGFSVRSPEDWAQGDWSGGCVRDTPLDCNSTGARRRANTSAAAAGSGDKFFSMTGVSLPDKAQRIQASESMAECSEACLTNCSCSAYAYGGQGCLVWTDRLLNAKSGQSNGGANTASNGETLYLRLAASELTKSARNKRRRRRVIIGVAIAAGTAAAAAVVLVMAALMMRRRRPNNGVQDGGLVAFRCRDLRSATKNFSEKIGEGGFGSVFKGQLRDTSTTDIAVKRLDGSFQGEKQFRAEVSSIGVVRHVNLVRLIGFCCDGDARFLVYEHMRNRSLDIHLFRGSNDGGGGGGVFLDWSTRYQIAVGVARGLAYLHEGCRDRIIHCDVKPQNILLDASLRPKIADFGMAKFVGRDFSRVLTTMRGTMGYLAPEWISGTPITPKVDVYSYGMVLLELVSGRRNSSGGSWTRTTDADDGQVVDYFPVRASRKLVAGDVRSLLDERLCGEAELKEVERACKVACWCIQEDEAVRPAMGQVVQILEGVLDREMPPLPRLLEAIFAGTPRSVDTSIF >SECCE5Rv1G0318680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:365726612:365727423:-1 gene:SECCE5Rv1G0318680 transcript:SECCE5Rv1G0318680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQEEAPEKVKLFGMWASPYVLKVKWALSIKGVEYEYVEEDLRNKSDGLLEHNPVHKKVPVLLYRGKPVAESDVIVEFVDEAWSHRGGRILPDDPYERAMARFWVTFVHDKLSPPIWKWFTAAPGEGQEAARGGAVEQLQVLEELLALGGKEFFAGESVGLVDLSLGAMAYVVPMYEEIIGVRLVTDESFPSLSAWMGRFLDSPPVKDHPPPVERLKPRYRAMREAFLNMG >SECCE2Rv1G0099750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:526306851:526310575:1 gene:SECCE2Rv1G0099750 transcript:SECCE2Rv1G0099750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSQAATGKRKKQHKPAKSLAVKSTNPVSKKTHKQKKPRPPAEQDQTNDAAAAAGGGVLLSAELPPARQLEFLLRSFERAAKMRLSPLELGAYSEGCMVQLAEGASQDVESFGDHVKGAFGATWKGELCEGKLIEGSIDAGSPALLVISLGALRSLELLRGLKMFTRGCRSVKLFAKHMKVEEQVTLLKDRVNIACGTPSRIKKLIDMEALSLSRLKLVVLDMQRDPKSFNLFTLPQVSNEFWDLYKGYLDEKVRGGDTRICFYGAVSGKDANKVLTPAE >SECCE3Rv1G0195630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:800969171:800970166:1 gene:SECCE3Rv1G0195630 transcript:SECCE3Rv1G0195630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATPTAVVLELMTMGQQSAAHLGDLLRAASPPVRVEHQALAAEILRCCDRVIAAVSAGANEKKRKVMDPGATSCHLPLAMMPSKRRVRGAEAHKEVYADTTADGFVWRKYGQKDINGSNHPRLYYRCAFRGEGCAATRRVQRSQEEPAAFVIAYYGDHTCGAAFRQQRAEPLPPTVVDSGSNACGFVDRNRGSPLLQSFAAEHGAMRRHVEAHGDTSRRWLSQSSSSSYSSEVERSASPVEEFLDGNFDWEWETVVNSLSFGDLLH >SECCE3Rv1G0162000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:125644759:125652236:1 gene:SECCE3Rv1G0162000 transcript:SECCE3Rv1G0162000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RH39 [Source:Projected from Arabidopsis thaliana (AT4G09730) UniProtKB/TrEMBL;Acc:A0A178V449] MAMAAAGRCLLLSRPSPLRLRVLRAALSTAVPPSSPALAAPAPPPRHELLLERLRLRHLKDSSAPGPPKPLRERARGGEKGSPPQQQRSVEVETFQELGLEEEVLAAMREAGITKPTEIQCVGVPAVLSGTSVVLGSHTGSGKTLAYLLPLVQLLRHDEATLGMSMKPRRPRAVVLCPTRELTEQVFRVAKSISHHARFRSTMVSGGTRLKPQEDSLNMPVDMVVGTPGRILDHIKEGNIVYGDIKYLVLDEADTMFDQGFGEDIRKFLAPLKNRASKPGDQGFQTILVSATMTKGVQKLIDEEFEGIEHLRTSTFQKRIATARHDFLKLSGSENKLEALLQVLEPSLAKGNKVMVFCNTLNSSRAVDHFLTENHISTVNYHGEVPAEERVENLNKFRNEEGDCPTLVCTDLAARGLDLEVDHVIMFDFPKNSIDYLHRTGRTARMGAKGKVTSLIAKKDVGLATRIEDAMKKNESLESLTTSNVRRDSANSQNPSTKGRTSARSARSSDAPRGRSARSSDAPRVASQKGNKRGVTLSRRSPKVAIKDTTSTRKRSSTKSQPSSSRKHSPSKNPPKARPAEDRKAKPVRAGSSKGGEKAGKSSRTRPEGGKGDALDKVGSKLSVVGFRGRSTGKSAQAS >SECCE4Rv1G0274880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:788908270:788909675:-1 gene:SECCE4Rv1G0274880 transcript:SECCE4Rv1G0274880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLCASPPDGGVSLPVIDLSRGRDECGRAILDAGKEMGFFQVINHGVPEEAMRSMETVCREFFALPAADKAAYYSEDNNRTNRYFSGSTYETGGNKYWMDCLRLGCSIPVGDSKNNWPHKPENLREVIEKYATLTRDIGMELLRLLSQAMGLRPDYFEGDLGGADVIVTLNHYPACPDPSTMIGLPPHCDRNLLSLLLPSAVPGLQISHNGEWINVEPLPNAFIVNFGLPLEVVTNGMLKSIEHRVVTNAMLPRTSLGTFITPTKDCLIAPAEELLSDENPPRYRVVTYHEFNRIHSIAKFGLSSVRTTRPA >SECCE3Rv1G0209790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:940817577:940818560:1 gene:SECCE3Rv1G0209790 transcript:SECCE3Rv1G0209790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPARPGGGGAPLSPCAGFVTDALILPTRNARLFAPVLALVLAHTFVFLAVAVHFAHEYGLSRDTIQLRPAALLLLYLAYLASKLATQAAVALAACTTLRGDRPRSLAELVRGIPRLRGLFAGAALVAVAELASTALPAYYLDSWYRYSWSHSDTGGVESFVQGVLLFVFLATLLFRLCLAGVFPVAIAASAMAVAQEAGDGGGGESAAAHLHRAWRLMTAAASWKEAAVQVVVVSVVLPLATYPVYAFALDCGQGGCVLLLGSLYGFLLPSAGVQLYSAVAATVFYHRGMEQQRHELAIPLTMKVMKLGGTPLNSLKLIKGAIA >SECCE5Rv1G0338120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:596785695:596786315:-1 gene:SECCE5Rv1G0338120 transcript:SECCE5Rv1G0338120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRHSSSSVIILDFASKMKMGNLKKAAAMCKSKTGVLTAKFLVLASLRRRMATVGAISHRIHALMVATDPGKDLDKALAPRKAKAGDKPVVLVRRRNATDISDQLALFHQEDGDGADCPDWSVHSIFDDENCCYADEYEGDDDDDDSEVLDLCDDEQDASEPSVMDVIRSNRKVEGLEFNVDDEIDQAAGMFITRFRNRMNGSL >SECCE3Rv1G0207890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:925142933:925145821:1 gene:SECCE3Rv1G0207890 transcript:SECCE3Rv1G0207890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGIRTVAAVFGLLLLAFALSSTVIYLASPARAASPSSILLNLRPFAARCPPAPPLRVFMYDLPPRFHVAMMASSRNGGGGAEGDSAAFPAWPPSAGGIRRQHSVEYWMMASLQQQQQPGGAARPWAAEAVRVRDPDAAEAFFVPFFSSLSFNVHGRNMTDPDTEADRLLQVELMDILWKSKYWQRSAGRDHVIPMHHPNAFRFLRDMVNASVLIVSDFGRYTKELASLRKDVVAPYVHVVDSFLNDDASDPFEARPTLLFFRGRTVRKDEGKIRGKLAKLLKDKDGVRFEHSLATGDGIKISTEGMRSSKFCLHPAGDTPSSCRLFDAIISHCIPVIISSRIELPFEDEIDYSEFSLFFSVEEALEPDYLLNQLRQMPKEKWVEMWSKLKNVSGHYEFQYPPRKDDAVNMIWRQVRNKIPAVNLAIHRSRRLKVPDWW >SECCE7Rv1G0497780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:630871606:630873053:1 gene:SECCE7Rv1G0497780 transcript:SECCE7Rv1G0497780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFHATTARPRLPLVLACLSPTTRKLHVTRPAASTMDEASASAPGAKKTTTVFVAGSTGKTGKRVVAKLLERGFGVVAGTTDVGRARGSLPQDPNLQLVRADVTEGADKLVEAVRGVDAVVCATGFRRSFDPFAPWKVDNLGTVNLVEACRKAGVTRFILVSSILVNGAAMGQLLNPAYIVLNLFGLVLVAKLQAEKYIRGSGINYTIVRPGGLTEQPPTGNIVMEPEDTLYEGSISRDQVAEVAVEALLCPEESSYKVVEIVTRADAPSSPLKDMFASIKQK >SECCE2Rv1G0121270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:806747541:806752749:-1 gene:SECCE2Rv1G0121270 transcript:SECCE2Rv1G0121270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIGWLVQTISATLKIDELDAWIRQVGLAEDIEKLKSEIRRVKIVVTAAKSRVVGNELLDEPFALLEERLDEAEGVVDELDYYRLQHQVQGRLPAPADPSEPLPLPLPLPGVTSDEPERVHGADRVDEMSRGDADTLNYSIGRLRSGVWEHFTITERDNGRPAKSVCRLCGKEFTCKTKNGTSSMNNHLKKEHSVTCTQKPPGPHPPNPSSTGGPIVGSSSGRKGKKRRSKAWDDFEVVENENRQPIKAICKYCHTEIKAGMTTGTSGMHNHNKSCKKKPGPNDQPPNSLSICAATANATPIVIDDDSSTRKRRRVDDESAQITASNTHAPWDTVTLSNMIQEIISQLQDIQGQVREVLELHGSDMSSCSNHHQSTTLDQHLRTSSLAPQKVYGRVAEKNSIIKMISGEKSDGAVVLPLVGTAGIGKTAVAQLVYNHPDVEDQFDQRIWVWVSHNFDEMRLTRVILNSISQERHEEINCFVKLQEILKSHANSKRLLLVLDNVWDDMNNIQWDKMLAPLVSPNHVNGNVILVTTRDMSVAQRLGTLKPVKLGALENDDFWLLFKSRAFGYESCEEHQSLSSIGRQIAEKLKGNPLAAVCTGDLLSKKLNTDYWRNILRNEDWKSMQHSRGIMAALKLSYDQLPYHLQQCLSYCSIFPNSYEFFGEELVGFWISHGFVKCNNSSQRMEETGQCYLIDLVNLGFFEEVKKKEPYLGSQVMYAICGLMHDFVMMVSRTDSASIDGLKCNKMPRTLRHLSIVTGSVYKKDQHGNIPRVEKFEENLRNAITSVDKLRTLVLLGHYDSFFLLLFQKIFQEAHNLRVLQMSARSANFIKYGSDEVDGAFPQVLSKLYHLQILCVGSYTDPTIPDGINNLVSLRHLVVRKGVHYSIATIGNMASFQLLHRFQFQISSGFEITKLQSTDELVQHWVHAKTPEEVYEAGLRNNELSEKLLSSIADGRGTLAWKESSADIGVELLSMDDSVPSSQPSMDTTRDVLDRLAPRSDLKDLQFSGYCKATSSIGLDGNISTTSFQTLHLYGCGDWQILPSLERYLFLTKLKLSNLPKVIEVVVPSLAEELVLVEMPKLVRCSSASVEDLSSSLRVLQIEGCQELRKFDLFEDDDKFEIEPRSWLPGLRKLILCDCPQLEVLKPLPPSTTCSELLIRGVSVCPIMKGSSSEILHIGYIPKERHECKDNDELRILNGKILAFHNLRNLKLMKISSCDNLTYFSFEGFSHLVSLTSLEICCCTELFSPDAMPENTLEDVIAANCRAFPSLVSLSMDLCGVSGKWLSLMLQHAPNLEELSLSGCEHTTVLHIPLNLVSSLKMISIQASDCVTFNGGKECLSQFTSLEKLILFSCHGLFSLLVHKGGTGDQANERWLLPKSLRNLNIGHCYQETLQPCFPGDITNLKKLKIEESPDLQSLQLHSCTALEKLMVESCESLTALEGLQFLGRLRHLTVIKCPGLPSCLESFSRLCPLLETLKIDEPSVFTTSFCKHLTSLQCLKLEGLLGVTRLRDEQVRALLLLKSLQELEFCSGRQYYRCEPVDLPAGLHTLPCLKRLTINDYPGISRLPDAGLPLSLEHLEMKNCSKELADGCRLLASSKLNVKIYQD >SECCE2Rv1G0101340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:561478765:561480917:-1 gene:SECCE2Rv1G0101340 transcript:SECCE2Rv1G0101340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVPPVELDFLGLRAAAPSDQHGKSTGSSSSSLSSIRGMETSAIARIDPQLLRRVVVARSPAMTEEPPAAPSPMTVFYNGSVAIFEVSHNKAVAIMQMATDIKMAERRDLGNNTPVGNSSKDIPLARTKSLQQFLVKRKERLTRMGPYHPGATTVSSNSLGVGKEAEAA >SECCE2Rv1G0068870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25512104:25512510:1 gene:SECCE2Rv1G0068870 transcript:SECCE2Rv1G0068870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPGHAKKVKNVTNDVIDGKRGMIYIPDQKISKLTLTKDIKGLKGERRDAKKNKGHSKKQKVNPE >SECCE1Rv1G0055260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:678999462:679000799:1 gene:SECCE1Rv1G0055260 transcript:SECCE1Rv1G0055260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSKRKNAMPSCGEAAKITAEVFPSNKRKKAVVSLCARLLPDDMMLEVLLRLPVKSIFRFRAVCRSWATLFSSKDFCSLHMATSKVLPPAPKLLVVSPTQKLDSAIVCSYSPSGARDDLLFTIDSARPNSVEVLSPSPCCGLTLLYDAAALAYYVCNAATRAVTRLPPYRDPTHASTAGLGFDARTREYKVVRLINGYSHEQEALRCDVYTPGADCWRPATGRVPFRWTKSLVSAVDHAMMNRTQPVFANGFLHWLISPSLLGRRSRTAVISFSVAEETVGCIRSPPFWGPTEQLRPWSQSEGEHLVVMNDQVCIVRNLLKRIPHGSALEIWGLLDYGSCDWSLNHRIDLFGHIKRELGEPQVVRVIGTVGNCKSGKKIVIATSKHMVYDKFQKKVYSYDPLCQVLEEMFSVTETHTSVNRWIPGSRFSLVEESLAPVHKFVE >SECCE6Rv1G0398150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:414024583:414025255:-1 gene:SECCE6Rv1G0398150 transcript:SECCE6Rv1G0398150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKSGSEMGVVLGLDVARYMGRWYEIASFPNFFQPRDGRDTRATYELMEDGATVHVLNETWSKGKRDYIEGTAYKADPASEEAKLKVKFYVPPFLPIIPVVGDYWVLYVDDDYQYALVGEPRRKSLWILCRKTHIEEEVYNQLLEKAKEEGYDVAKLHKTPQSDPPPKSDAAPTDSKGTWWFKSLFGK >SECCE1Rv1G0002740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:10107505:10108635:-1 gene:SECCE1Rv1G0002740 transcript:SECCE1Rv1G0002740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELALGLTKTAVQGTLNRVKSAIEEEGKLRVRVQDDLVFISGEFRMMQSFLKASNTGGRASQDDVVQEWVRQLRDLAFDVEDCVEFVVHLDKASPWDWVRRLASTIPCVARPPLPLEVAVAEIKRLKVRVEDLSQRNTPYNLFSTSSSGGGGDGSGGSSSDQRQLMHHVGRTTSNTAATTEAFHTVREVWDAMGKLIPTNGEFKRLVNSEGSELKVISLWRSPQADDVGELGWVTIVKKMYDDPEICHELKNRAWVKLSMHHPFNPTKFLNKLLSHFTASHPHPHHHHHANISELMLQVSQYRYLIVLETELSSVADWDAIRLCLPDGKNGSRIIVSTKHLAIALVCTGDPYEVSELKHFSHGRYLCAIFPKVST >SECCE7Rv1G0470860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:125054509:125056786:-1 gene:SECCE7Rv1G0470860 transcript:SECCE7Rv1G0470860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVCKVFGNRVTVLWVQVVFVIAQILSMPVLVVVIIAGIVYAFGPVACIVLSVWRLWQRDYGNTEGDDNKANLVPALIIFYCLVICQVVLYYLWWLIDRSAMWVVGSFRKECHLPKEWGSISVVDYLYDTRAKCWRDPTSIDGRKLINYAVDLVDSKSQEDYLSGARMLDTFIKLEADVRSLLLPSRPKIQKLIDTLGWRSSNGELRAVVARIVAYLAGDIHLSQFPGAIRCISSLLDTTLPYWNNQQGDNCHSPPRESKQDTGAARKRLISIVKGIDKYIEEDDRNQVNNNGDGWNELILQGLTILERLAFDPHNCIDICNTPDLLPKIMAPLYSSTLIQDINVSAWADVANGSLKVVRRLIGASEWNGKRLTHEISSSKQALSNLENFLDQGNSVGRELQMRAIEILTELAFDSSANLCTGIKENLIKKQLQIFLTDDEEGEGKLRVKAGKLLALLSRIKTISMFIVMEQNNILDRLSEILDAKNNITYRIVASEILENLCTHCTLDKDYLKEALLPKVLTEVLASKRDELPCVARVTEAVKAIVATKKHEENQAVSGPGDDEENQLPSKYSGKIKSPNQTNKEFQEALLSLTLVMCVSANDFNDVAREISLQEGEFVQSLKAIVEQSCEARADCLRIVKLCGQIVILMLQRGHVTVNLEDCVKSLCKASKIMSNLESCMLFAGTDCGVKKTARPLLSDIAEKASQMVGN >SECCE7Rv1G0464480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:61836673:61837736:-1 gene:SECCE7Rv1G0464480 transcript:SECCE7Rv1G0464480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPPSAGRASKQVAAGRVSPKKAGESKGHKYGSVADVDDFEAAFENFDDDLDLQAEEIDGDDHAVFASRPAFSPAYDDGRAAQAASRKKSVRRLHGIRQRTWGKWAAEIRDPHKGTRVWLGTFDTADDAARAYDVAARRLRGSKAKVNFPDAARAGARSRRASRRTAQKPHSQCPPARTTAYSATGAAHAQPEQDAMMVKPDLMESFNMDAFVDLTTAFTALPPGMASSFADTGAKKPMVDEDSSDGSGGDAMPGFADELGFDPFMLFQLPCSDTYESMDSLFAGDAVIQDATGMDSGMNSVSLWSFDEFPMDSAIF >SECCE7Rv1G0495380.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:597406880:597407671:1 gene:SECCE7Rv1G0495380 transcript:SECCE7Rv1G0495380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAAAVRSVWADNFKAESAVFRQIAPDATHVALNVQYPGCVVRGDGRKSHYNMTAEERYQVIRANVDLLKPLQVGLAIRTADGRRFAWEFNLGEFDVASAFDARDPDSIAYLARCGVDLGRLPKSGIRGYELRWMLQASGLLKARASWATFAGAYHVGYFAAMMSGKKLPGDGDAFVKMVRLLLGSSVYDVKLLARQHDRSCVGALSYIVKQLGVVQPDEPKSTPAGTGSTLALLAFETLKEKLGANAEKYGGQLCGLQAV >SECCEUnv1G0558250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:330939109:330939411:-1 gene:SECCEUnv1G0558250 transcript:SECCEUnv1G0558250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDKLCEILDPQVILECVEGAKDVASLASTCLNLKGEERPTMRQVEMALERLLVANKNTGQRRSAEQNYTSAQISNNNDDNSRQYSAEQTLLLSASFPR >SECCE5Rv1G0350180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:688772525:688784835:-1 gene:SECCE5Rv1G0350180 transcript:SECCE5Rv1G0350180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAWPARWPPPRPPAPAPTTLPTQRVPQAGSTDPRHRLRPFAAAPQPPEALTDGRTAGTPGGLGPSPAPAPDRFALNTTAAGPGQSPPGPAAVPGQSLPGPAAVPDRLPPNTPTPWSQPARSGPSSNPLLAQAPPGSYYSYPHLSRAPDLKSLLHTPSASNANNAAAAAAGAVDLARGYTPLGGAPAGLAKYPRYGLSAGSGADQSSLGALFLNRSSSNVQVNLPGETSTSTIDGMSHGAVQFQDSSAAQMMQKLASKPAPRHQPAPLTDRIRVSCLNVGGELFVGDAGLFGVLCSCHQLRMSVAKFCEHAGGPAEKAGEIVLMENGMSIAHWFKYCVGVGSYVTDTKCDRPEWACIDPSPEGCRLQNLLVRNTSMEKVGLFNGYGKSTGPINGTVYSNDLRKSTGPISGTVYSNDLHNEGRGHTTIEKLGNKRDETYYRSADVHTSFARNFALLQNSETNLGLAKNHTVNTANLNQISRPSGSPYITSSTGAHHNGNHSSHNYADLLENNFGASFRNPAPRSPIVFSNDTRAGRYNFPNKILQDSLCSASNTELKLGQSSYHQSLTALFPPAQSTLIDFRRPQSHLPSVTQNHCPRQTVKVSKNIGEHNEPPVGRGTSEQSSGVANAINRSGGGKVTDAAAKNSFISIFLSHLERNSEAIDDILKSSEHNLPKGLDGAYSSYHSKIASRQVEPRANDDSSKLASTSIHTERISDDRALSVALSGSSKVVPLANSQNSLIHSDCRSHLLPRQPNAGSSKVCDGAYSSYHSESANRQVEPRANDNHSNLDSTSIHMKRISDGIALSVAPSGYASKVLPLENSHEPLIHSDCQSRLLHHSQPNAGSSKICTGVPCPANCRTGNHAGDISHQAPCMYDKTANIHNTFECVDDSCTHRSSRVTKIICHCRNSCSSSRDFLPSFGQYDQSTLGKSIHRCCYRVQEDVSRLGFRAGHLCRTQFSNDGAPIHKLGLHELCTCSTFIPRSSLCSGDYTLQPSCHVCSPDGFPYRSSMGHATNSLTKCPLLDAPNKKELGPCRDGRCCCSVVPKYLTGCGFAKHCDDRIDQSGSSLQKCKHDVQLPTRCVLESEKLRCQCSSSAQTPLLKAVSNKMTNQLFAPILERLKNVSEESVANASSPYIAVTEKNGSCRGSGVCKERLKPGVSSGSSSAVVTKFPASPEFNNTSSRVDKYGVEHKKLMFDEGSRTEKCLSSSYDVPISTGCEKSLNSSSTFHLDTSKVKRKYYQISDGITLKDNGKQQRSETRRKSRRLKCSEEHSVSDDCTRKITLQSSENGDPQPQNEASSYSRSVSKIKRKHTTMQRNKPVKRTHIHQEILKGGEQSDGEGIMVEELNSSDEKKQVEDMSTLVRTKHQQEGSRMSARKPPKYVSLNCILNEPKSENVCSEVPILDSSLIATGITDDNRKFPKIAPLSLVLKKAKRCNAVKTPCNTENIYSYEEKSAVRPVGKYLFGNQNYGSKAEDGIQSSKKSRYSPNALRLRPNIERDCKTPCIDLGEGEPIGPTDAQTSQLSVQTSSKGFGNRRSSVSVNRIKRCEESANRSAHGPCGDKQNVVQACEMNVGRYKERLSSTDSCCVCRIPYLEPCNQLMECSKCFVKAHQACYGVLKVPRGQWFCRPCKTNANAQDTVCVLCGYGGGAMTRALNAQKILTSLRKGLRVTSQEDKHVKHDPSYVSRSTTLENISRVDKQRSVDNAHEENAVSSSWTANHSSSLLVPQTSRWVHVVCGLWTPGTKCPNPTTMSAFDLSGALPAKSDYACSMCDRAGGSFMMCRDVNCSVTFHAWCAHQRGLLQSDPEGEHNEYIGFYGRCLNHATNRVNPEKECLRRNEWTCARTEGFKGRKGEGCSGSNTKKPQVKSSECSVSQEQINAWLRINGSKPCIRRQSKGWKHLVVYKSGIHGLGLYTSEFIPRGSMVIEYVGEIVGQRVADKREIEYHSGKRQQYKSVCYFFKIDKEHIIDATRKGGIARFINHSCMPNCVAKIISVKSEKKVVFFSERHIDPGEEITYDYHFNQEDEGERIPCFCRSFSCRRYLN >SECCE2Rv1G0119530.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:791626703:791626936:1 gene:SECCE2Rv1G0119530 transcript:SECCE2Rv1G0119530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGGVGGAVKMICGMKVERVVGTGKAPGACPSCGGPVVATDVESERRILCLPLCLKNKRKYSCTRCFRRLVTVYS >SECCE3Rv1G0180720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:588857814:588866670:-1 gene:SECCE3Rv1G0180720 transcript:SECCE3Rv1G0180720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDAAGDPLRSFASATSLLFRTLGPAAASASPSRAPGALLGGSLKRSKELMEQASLVLKERGDIQKLYQEDRPDGKNNQQGRRPGLDRKRAQFSLKPTQSNPVNVDFSLVNSIDDPEEYFLTLERLEKADREIKKLRGEVPTKTANYDRPIEPPKKRPGMSRRKSVYSYNFSVGTDSSNVIEAPISQMGTLTESQSTQDDMPPSVPERTKSPVPSSSSQCDIQDVSTREDSFAKKDKGATLDSLMSAFKNLDESKEESLLREKLQIKEINIGEACIPDLFNVPGDRPVRSTRQNYLTSDCTPERPVLGSHHAPISKWEKHIFGGDILNGKADLSEDDESDNSPATVVDKQSQVHSSYNAGGLMNGEASTASETPTSSIKVPDHVLEPVSSPLGACIDSEVAKEKDASSGRNVSFEEEHVPVNYPFTERPNNEPETSSRHLEGEATKVLGSAPGRNASVLHGEDDNIGYQGVLGGDMLVQDEPIHSPEIPPDAHNQSHIQDEDVEKQAVDISRELPLSKGGKQNAVQKRKNKKQSAKRGKRVSDKPIHTSEIPPEDIVPQNQSHVHEGNFEKPAVGTSNELSPSKDSKQKRVRNEESKKQPLKRMKRGAEEASNPLGTPPENCDTETQPSMQDTNIDQQTVDTRVPRSPYKGKLQKEGQRRKKRQEVNRRKSLTAFGLAWQSGVRRSTRIRSRPLQDWLGERQLYGRIHGTMVTVIGIKSYSPSQDGKVELRVKSFVPEQYSDMVAQAAKY >SECCE4Rv1G0244320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:513759379:513760896:-1 gene:SECCE4Rv1G0244320 transcript:SECCE4Rv1G0244320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRATTTPSYLYNVLLRRAADHRGLLLAFRAMLRAGVAPDHFTFPFALKALAQARTAAPPRAGGALRCLHAQLAKSGHGTDVYVTSSLVHAYAAAAADAASARAVFDAARHRNVVTWTAMIAGHAAAGEARDAVALFREAAASGQEVINSVTVAQVMAACAQCGDMDSGRWVHGTLRRWRVEPVLLDVALATAVLHMYARCGGLDVAFEVFDAMPQRNEVSWNAMVEVCNRHGRSDKVLETFTNMHSAGMKPDKVTWLSILRACTSKGDTGLSRGVHAYMEKTNCCRHVAVCTSLMDMYSKTGNAQSALQIFHCLKGKDLMAWTSMISSLAKHGQGRDAVQLFKQMEYGGVVPDHVAFVGVLTACSHAGMVDEGRKYFDSMLNLYGIRPTVKHYGCMIDLLSRAGHLGEVERMMQLMPIQPSVRMWGSMMNGCKIHGRADVAERIGWGFAELNPQLSATYVVMSNIYAEVGSWHAVEQTRRLMWQRGLKKNIGSSGTEVHMLCS >SECCE7Rv1G0489950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:467659848:467695971:1 gene:SECCE7Rv1G0489950 transcript:SECCE7Rv1G0489950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase-binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21200) UniProtKB/Swiss-Prot;Acc:Q9LU39] MSLTSPSLLSSRLPARGALVFKKPSASSLAVSTARRGVRVVGEAAAVSSPALSVSAQRTQPSAAEVARTVVELAPSGTLSVVGADGWPLGVGARFVADAAGAPALCLATAGVTVPDARASFHVEFRQSGARTPQCTFLGALTKPSDKYELKGRVWVVPSEYSDAEPDPLRNFAESVVEEMNSEHAEDVHRIYNIYAESDFQALDVKMIWVDRLGFDLHVHSEEGIFAVRIPFSRQVSDQKAVKSSFNMMAHHAWEVDKSYATPEFEKAQFLKKVT >SECCE4Rv1G0260350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:694927812:694929020:1 gene:SECCE4Rv1G0260350 transcript:SECCE4Rv1G0260350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRERGEGAGVKKFTAPPVASLGAELLGKILRRLPDMAPPLVGVLVTDRGRERFHLHRPDVCFIQAPSRNNPELASAVANGDFYFMHHPDVDRHDEWRLRGCDGGLLLFSLGRYSTDLAADASFNVIAIQPGDDETTFVFSRTGSWVENGSVCSECYTDGIAAGRFAYWRSNTKKDDSYEVKEDVLVVDTTTMVWSYMTAPFPVGESKEQRLLLWARDANGGWVVKQEVSLLNQFPYLKRLRREESMKRVRILAMKAGYVYMEFWSIRNNDSYLLVLNLNTVKLEIFLNNGLNNDFKPYRGPAFPFLLRMAPLPGADEDEKVQDA >SECCE2Rv1G0119860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:794831685:794833123:1 gene:SECCE2Rv1G0119860 transcript:SECCE2Rv1G0119860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHMGRRTVGGLLFTKGGSILLFREDSSRRKASACCSRNGCNGTRHSTDKGRPMHSHREAASAAAAAKEAAPTPRRSQPLRKPPQGSSNPAGSCSGTDNGTPEAAPGAGRDLLARLKDRVNASRKRSLAREMSSPSSSSGGFSASSSSGGATRSSAVSRPTRRAASRIRMADVGENTGGGGRVPRRDTGGGGGARRNSDDPVMVGQRAAREQAPTEGFISGFLARYRGSLQGGSSLQDGAEDSSGYWRFDVEGSEELENYFMLSDRHRAMRMDIDGMSYEELLALGDRIGTVNTGLSEDALYKCLKRSLYTPTAPETHQDCDRKCSICQEEYSGGDEVGNMACKHYYHITCIQHWLRQKNWCPICKSVAAKTV >SECCE7Rv1G0457860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:18850904:18851467:1 gene:SECCE7Rv1G0457860 transcript:SECCE7Rv1G0457860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVERAMAKAAASLLPGLLPTPPTKPCLIILPASFASKTKPGRADSVERWDAHKKDKKPTSPASSCSSSSSPGRASSCERWGIHKQLSSGSRASSPSRRSSTSPGASSAGRWDAHKKPRPAQASAESWTTHKEEEEEEVRKPTAPPRIGPIFTGPSFVVASPDPSMLPMPAFFRSRNRGVLPVQAF >SECCE3Rv1G0145010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5631170:5631748:1 gene:SECCE3Rv1G0145010 transcript:SECCE3Rv1G0145010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSTDMKDRNMLFAAIPSICASSPKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNEVIPEESNEQQRLLRISLRICSTVVESLPTACCAPKCEKTVQALLCRNLNVKSATLLNATSSRRIRLQDDIVTGFHFSVSERFVSGSTFKASTIDLIREGLIVLRKVRVGGSI >SECCE3Rv1G0171360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:269729617:269737090:-1 gene:SECCE3Rv1G0171360 transcript:SECCE3Rv1G0171360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRHRSIPLRLVILFLVSLCILLLLRRSSYATPPLIAAGPAPDPHRFSLLIKLLAYDRPAPLLRCLRSLASADYDGDHVALHVLLDHRPPNSSAPLLAASHEILTAVDAFPWPHGEKRVHYRTANVGLQAQWIEAWWPGSDDEFAFVVEDDLEVSPLYYRFLKRVVMRYYYDRENYSPYVFGASLQRPRFVAGKHGNKIQLDSETRLFLYQMVGTWGQLLFPKPWKEFRLWYDEQKAKGIKPILQGMKTTGWYRKMGERIWTPWFIKFVHSRGYFNIYTNFLKERALSISHRDAGVNYGRSVGPDSTLLDGNNLDFNVWQLQPLKKLKWYDFCFAEILPGRFVRKFSELGSVLKYVQLGNSVVLISLYSVEQRIVRNLICHLEKTGMRNYVFLGDNSEFLADLAHRGHAVIDAIELLQGIKISGYMSSDGFVKEVLAKAYVIQHCLNLGYNLWVLNGNMISLGSKLVEPSNQSVDIFTADPVDLIFIRSSQGSKKTWNEHIISRVADGVLSPKGDFIASVKHVSFVHILTRVLENNGGGVRLGKLNEEIITMELGPNMSNRSLSEGQSKMLFWSDSVASDSVQRQLGNVNLWLIDSDSSCSAVVCNQKEK >SECCE4Rv1G0261360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:701310285:701316563:1 gene:SECCE4Rv1G0261360 transcript:SECCE4Rv1G0261360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQTPRSTPMVPTMRPGLPWRIHHRDGGGLVAGLANQTRRSSVMTPTTREEGPGSMEAQPEAVPSSQQGKRKKTSADSSPAASQPGKSEALEPSESDRRKRTHKSDDEKKATTSKGEQAASPSALDASSSSSSTVSSPLRRPHSPACLREFTHDEGPEVVAAIEAYEELESKYLAKIKRQQELVTLDPSIPYSCMINADLQRVRESATKTVLQVAKVILRFSSFIDGKLMAQSSGFLIDWDEGTKEGTVLTSALIICSKYTVLSQWSGTDEYAPNAEVVAYLLDQDDTTVPAHLLQYDKQINIALFKVNIDLCAKIPTFNSEVFYGQDIFVLGRDEDLNLTMHHGCVQFMGPTTYERHHHLFTGCGIKQASIGGPVIDFNGQVLGMSNFPGTAFIPCSIILKCLDMWKKFQCIPRLHIGMKLSAIKYLDPIRAEKIFRKCNVDSGLIVIEVSHGSIAEELGVRPGDIIYSMNGECIATTVELENLIMRICGNYLEQGGAIGSCMDIPVGILHMRKGRKGPSRTLSLRLNVSDDVEVFKSDF >SECCE3Rv1G0166740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:192121528:192121911:-1 gene:SECCE3Rv1G0166740 transcript:SECCE3Rv1G0166740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIGVAVIVLLVAAAAVACLPAPASAGEQESILLSRAASCDGAVGECGVDEDEEMGTGAVGTGEALRRSLARKPTARYISYAALRADQVPCNKRDKSYYTNCGSMQQANPYTRGCSAITRCARNMN >SECCE6Rv1G0389420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:150622731:150625576:1 gene:SECCE6Rv1G0389420 transcript:SECCE6Rv1G0389420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAGGVERRRSPSAVAPEIVPAATAAKSKACIQVEQQVQDVSPSVQLLHIASAINVEPKIESEASEESENVEEEDESEDAIYDVQLLEPDPGLRIPIADYDVNDQDRFRRRFIAKGACRPKKYAFPQHRAGGMRRFVAKWFKSYSWLEYSKEKDAAFCFVCYLFKDNSHAGGDCFVNRGFRNWNHKDSFLKHEGGINSAHNKAQEKYNFFIAPKTTIVESFSSTTKQDKVPYIARLTYSLKCLRFLLRQGLAFRGHDEREVSLNKGNFLEHLHWLAENFEEVDKVVLKNAPKNNKMVAHDIQTYLINSCAKETTRLMMEELGDSCFSILADESSDVHLQEQLALCVWYVDKIGRVVEKFLGILHVENTTSLTVKTTIESLLMEHGLTFSRVRGQGYDGASNMKGHVNGLKKLIMDESPSTYYVHCFAYQLQLSLVDVAKENHDCVLFFQQLSNLLNVLGMSCKKIRMLHVPQAQRIIQALELEEIETGKGMNQEMDLSRPGDTRWGSHHKTIMHILRMYPSIRLVLIKLSKDQTQSNEAMLAETMFTYFESFDFVFMAHLLQIIFGHTNDLSRALQKKDQDIVNDVELIHLTNIQLQLLRDDGGWETFLEEVTSYCVKHKVGVPQMGGQYKPPGRPSRFYKNLTNLHRFHVEMFLALIDRQLRELGDRFDEVNTELLHCMGSFSPVNSFAAYDRENLVKLAHLYPLDFTEEDLMHIPYQLTHFITDMRRDERFGNVKNIVDLSIMLVETNKSVTYNLVYKLLKLVLILPLATTCVERVFSSMTYAKNKLHNKMGNQLLNDCLVTFIEREFFFEVKDDVVISRFQAMTKRMVEL >SECCE3Rv1G0154880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:62572857:62574339:-1 gene:SECCE3Rv1G0154880 transcript:SECCE3Rv1G0154880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEERFTPLRVRIGPYHEASMVQLSESNPIDKKGNELMENEQNKSVLVDRLVSVLLEQPGELEKLMARALECYAEKKGKQPCDNELRITEQEKSLFVERFLSLTFEDKKEDALQLTLEEHKVQVIEDLKKLKTMKCYAGNPRAEETEEAFAAMMMRDGIYVLCLLVDMVETSPGGEDVRPDEIDLLRDVVFLLENQIPWIVLKELQSLAGYSGQPGLLCTRVRDLLVEGRYITKAAPESPAREPAHLLHLVHTYFAPPKSGEAAIDIDTKNGTATRCTGPWKRATLYRRCAYVEFKRREFEDGVESVLDVSLQGGTLLIPCLQIDGNTWTILRNLMALEEQMSERPVTTYCVFMSQLARQAEDVELLEYEGIIINFLGSNEVVVQGFSNLCEGVINDKPSYLYDIWHTLDKRSKSAWNKFMGSFMERNMLDNVQFVAFIGAVILLILQIAQVIIGSLSLINKQK >SECCE4Rv1G0272340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:768140919:768141185:-1 gene:SECCE4Rv1G0272340 transcript:SECCE4Rv1G0272340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVTVTNQCAGDERTGRPCVISRIRLQCGNFRSVIPVDPKVLRAVVPGVCLLNAGHYIPQDSNVSFIYTSYLRENLYVLSAVCSLGR >SECCE7Rv1G0465070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:66406913:66409471:-1 gene:SECCE7Rv1G0465070 transcript:SECCE7Rv1G0465070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITASASPAPAMQEAAKTPSASPHHAIAASTASPARYRPSPLVIFSACLVLIGSSGPLLLRVYFVHGGQRLWLSALVQISGWLLLLPPLCVSIFRGRRHGIVNLLLPPRLVGAAVVLGSLYAVSCFVYAMGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVLLLTIGPAVLGVGPGSGKPAGEPSKTYWTGFCEAIAAAALAGLVLPLVEVAMERFGRRRGPAASAPPPYSTVMQMQAMMGAAGTMVCLLGMAIKSDFKALPSEAAAFGLGETKYYLVLVWGAVAWQLLNLGVIGLVTCASSLLAGIMVAVLLPLSEVLAVIFLHEKFDGPKGIALVLCLWGFASYMYGEKVQQKKAEAQKNQLLQEQMARKTGDLELANP >SECCE2Rv1G0125860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:843925998:843926381:-1 gene:SECCE2Rv1G0125860 transcript:SECCE2Rv1G0125860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWGVAAAVASAAAVAVASGAELLACDCAPTPAVAVGRCDEFLLRQRDSSVSSGASGGGPDDKFAPRFDGLRFIETLVTAHR >SECCE4Rv1G0215460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4763776:4767967:-1 gene:SECCE4Rv1G0215460 transcript:SECCE4Rv1G0215460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Myb-like DNA-binding domain, SHAQKYF class family protein, expressed [Source: Projected from Oryza sativa (Os03g0654600)] MATVAASLPLRVAARAGPAPSRAPPSDAAFFPGHPWRRGLAARGRPGEPAGRFRAEALSGGGGGGGPPRREPMAPPYNVLITGSTKGIGYALARKFLKAGDNVVICSRSAERVESVTSDLKKEFGEQHVWGTVCDVREGKDVKALVDFARDKLEYIDIWINNAGSNAYSYKPLVETSDEALIEVITTNTLGLMLCCREAINMMWNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVVVHNLSPGMVTTDLLMSGATTKQAKFFINILAEPPDVVADYLVPNIREIPTKQSMKPTYIRFLTGLKAYSRIFSRIAFGARRNKYVAED >SECCE4Rv1G0280130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:818522373:818524671:-1 gene:SECCE4Rv1G0280130 transcript:SECCE4Rv1G0280130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMAAPTADLPAADQLEPPMAASRTLIRAILAATTDALAGERVVVGGWVRSGRVQCGGTMAFLAVNDGSCHASLQLVVEAARVAHPPLARLAATGTSVLVSGVLRVPPGKSKERIELGVEAVIDAGEVHDPAAYPLPKTRQLKLDHLREFLHLRPRNDTIAAVARMRSELTFATHSFFREMGFLCVHTPIITTNDCEGAGEMFQVTTLFSQAQKADRELKLKLAPHHEHDADDEEEATVSFESDFFRRQAFLTVSGQLQAEAYACALSGVYTFGPTFRAENSHTPRHLAEFWMVEPEIAFANLQDIMNYAESYVQYLCKWLLEHCIEDMEFMAKTHDKSAIQRLELVSSTPFERLSYTKAVEMLMGSAGSKKFQTKVEWGIDLASEHERYLTDVIFKKPVIVYNYPRGIKAFYMRLNDDQKTVAAMDVLVPKVGELIGGSQREERFDILKQRILDAGLPMESYEFYLDLRRYGSVKHSGFGLGLERMLLFATGLDNIRDVIPFPRYPGKADL >SECCE7Rv1G0481390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:283691617:283694302:1 gene:SECCE7Rv1G0481390 transcript:SECCE7Rv1G0481390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 32 [Source:Projected from Arabidopsis thaliana (AT3G17000) UniProtKB/Swiss-Prot;Acc:Q9LSP7] MAAAGKFNRSNPAVKRILQEVKEMQSNPSPDFMALPLEEDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTNPGGALGSLDYKKEDRRALAIKSRETPPKFGSPERQSVIDEIHEQMLSKAPLVPQLLTIGSEEETNKTAPDTSGEHAIKAEEGVNTSGSSSGSANSTDLPKPESESEVAENIVGAQSDVIPRDSGPRVVTAPQNPVVTIQKPKHDRLLTLAAFGLTLAIMALVIKKFFKINGLAGYIEGKF >SECCE6Rv1G0408930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:578187303:578188554:-1 gene:SECCE6Rv1G0408930 transcript:SECCE6Rv1G0408930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVAAAVVQHPGGRVERLYWSTPAAEVMRANPGHYVALVTLRVAEERQDAGAGGARRTVRLTRVKLLKPKETLLLGHVYRLITANEVTKAVQARKEEKLRKARQQLQQLESSTRQSKPRPAAGGDDVDDDDDDDEASLDESLDQLARQDGGDGHRSSGARHRQWRPSLHSIDEAAS >SECCE4Rv1G0261170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700333303:700346220:-1 gene:SECCE4Rv1G0261170 transcript:SECCE4Rv1G0261170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1P [Source:Projected from Arabidopsis thaliana (AT5G19660) UniProtKB/TrEMBL;Acc:A0A178UNR0] MPPPAMAAEKRRAAYAAFLPLLLLLALRALLPSGPARAGEETLASSRYVVRFLEYRPADDHRGYLEGGLPRRGAASWRWVERRNPAAAHPTDFAVLEIRDAHRDAVFQAVRALARVRDVHPDTSHSRAALAADRRGKRFTAMSFEEEGRGGDDDAVSSNNASSSSGGQRRKLQMRIPHVTNLFGAPQLWERGFTGRKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDPECLGFAPNTEIYAFRVFTDAQISYTSWFLDAFNYAMATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIMGSKTSTGCKTLSGTSVASPVVAGLVCLLVSVIPEDKRKSIVNPASMKQALVEGASKLVGPNIYEQGAGKPDLWQSYEILKNYQPRASVFPNMLDFTDCPYFWPFCRQPLYAGAMPVVFNATILNGMGVIGYVKDPPVWQPSEDVGNLLSVHFTYSDTIWPWTGYLALHMQVKDEGSQFSGIISGNVTLSIYSPAAEGESSPRSSTCVLYLKVKVVQTPVRSRRILWDQFHNIKYPSGYVPRDSLNVNNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFSEEIQKLRDDVVHKGLGLAVFAEWYHVDTMVKMTFFDENTRSWWSPLTGGANIPALNELLAPFGIAFGDKILSGDFSINGEQSHYASGTDIVQFPAGGFLHGFELQEDPKTAHNSSTPDTQNTQSQEKSKLSSILGMLEVGEGRIAVYGDSNCLDSSHMVTNCYWLLRKIVEFAGNRVRDPVLFSEATRLKFPVFENIQKPLRRPDVNFSMFSSVIGKELICHQDSRFEVWGTKGYGIQPTGTTRKLPEYQKNEVSSSPNRLIKSSYKRQDEAGLQKSILVPNANKFDDKRDYFGFISHEEVASQWMVPACFAVTTCIMVYLSCRAQQRRRRPRKGSATGRLSSLV >SECCE2Rv1G0080010.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:125717229:125717828:1 gene:SECCE2Rv1G0080010 transcript:SECCE2Rv1G0080010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLTASCKIVLVMFLLGLGLAGAAADSRRRHISSSPDEPCKKMTLYLHDILYNGINNTANATAAPVTKPTDLSTSHWSNGTFFGMLVVFDDAVTEGAALPVGDEEPAARAQGFYFYDKKESYSGWFSFSLVFNSKAYRGTLNLMGADPMDAETRDFSVVGGTGDFFMARGVATIRTDATEGYFYFRLKMDIKLYECYV >SECCE4Rv1G0230050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:157626748:157629830:-1 gene:SECCE4Rv1G0230050 transcript:SECCE4Rv1G0230050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAQDKATGQVVALKKTRLEMDDEGIPPTALREISLLRLLSSSLYVVRLLAVEQATKGGGAGGRGKPVLYLVFEFLDTDLKKFVDAYRRGPAPKPLPTQVVKSFLYQLCKGIAHCHGHGVLHRDLKPQNLLVDKEKMILKIADLGLSRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTAVDIWSIGCIFAEMVRKQALFPGDSELQQLLHIFRLLGTPTEEDWPGVTALRDWHEFPMWKAQRLTRAVPTMEPEGIDLLSKMLQFDPANRISAKAALEHPYFNSLDKSQF >SECCE7Rv1G0498430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:639768546:639771728:-1 gene:SECCE7Rv1G0498430 transcript:SECCE7Rv1G0498430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAMDIAKPSALPASVDDPSAASAKGRAGSGEGLRQYYLQHIHDLQLQIRTKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDLDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNADSRGDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >SECCE5Rv1G0371880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:850406499:850410150:1 gene:SECCE5Rv1G0371880 transcript:SECCE5Rv1G0371880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLPRVSGCLASLGFFVSFLFFSRSVASPSPADVFLQCLRAKNVPGELVHTQSSGNFTDVLASSIRNAKFFTNATVRPLCIVTPTDASHVQAAVLCGRGQGVRSGGHDYEGLSYRSARPSSEVFAVVDLGANLQAVRVNRLDSTAWVDSGATIGELYYAIAKDDSRLAFPAGECPTIGVGGHFSGGGVGMMMRKHGLSRDKVLDATLVNADGELLDRAGMAATTFWAIRGGGGGNFGIVLSWKVQLVQVPSTVVAFNIAKTVQQGAVDILTRWQDVAPSLPSDITLRVIVRGQQAMFQALYLGGCGSLVAMMDDQFPELGMTSADCQPMSWVQSAATPFLSFGRNGTLEEALLNRTTGMSRSNKGKSDYVRRAIPKAAWEDIFPWFAKAGAGFILLEPHGGFMGSVPAAATPYPHRNGVLYVMQYIVTWPQEGGDGGTAATAWIEGLYELMGRHVSKKPRRAYVNFRDLDIGQNDDAGTFEGGEAWGERYFAGNYRRLAAVKAAVDPTNYFRNEQSIPPLR >SECCEUnv1G0552350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:249562427:249562975:1 gene:SECCEUnv1G0552350 transcript:SECCEUnv1G0552350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFPMVTGFMSYGQQTIRATRYIGQSFITTLSHTNRLPITIHYPYEKSITPERFRGRIHFEFDKCIACEVCVRVCPIDLPVVDWRFEKDIKRKQLLNYSIDFGVCIFCGNCVEYCPTSCLSMTEEYELSTYDRHELNYNQIALSRLPISIMGDYTIQTIRNSSESKINKEKSSNSRTITDY >SECCEUnv1G0544560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:130610786:130611256:1 gene:SECCEUnv1G0544560 transcript:SECCEUnv1G0544560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQGYPPPGTAAAYPPPGQQQQQAYVAPPPSTYPQDQQYPPAGADTTSRGGHGHGGGDGFLKGCCAALCCCCLLDACF >SECCE4Rv1G0291070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875525245:875527291:1 gene:SECCE4Rv1G0291070 transcript:SECCE4Rv1G0291070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALTLLEAMRAPRAEGPATVLAIGTATPTNCVYQADYPEYYFRVTKSDHLTDIKQKFKKICDKSMIRKRHMLLNKEMIAKNPTLCEFNMPSLDARQDILHSEIPKLGMATAQKAVKEWGQPLSRITHLVFCTRQAVDMPDADYQLAMMLGLHLSVRRVMLYQQGCFAGGTVLRVAKDLAENNHGARVLVVCSEITAATFRGPSATGSHLDNLVAQALFGDGAAAVVIGADPEEPAERPLFTLVSASQTILPDSEGLIGARLREVGLMVHIHKDVPKIISKNIKSALVDAFSPLGIKDWNSIFWVAHPGGPAILDMVEAEVHLQNNKMRASRHILSEYGNISSATVLFILDEMRKRSAEDGHANTGEGMEWGVLFGFGPGLTLETVVLHSAPITSPREPY >SECCE3Rv1G0152540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:48165825:48166457:-1 gene:SECCE3Rv1G0152540 transcript:SECCE3Rv1G0152540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRIFCHADLQALRKRSDELLSVELVALDSVRITSDSYKLLLNLLQWRDYRNEVKITERKYWTCQQLVLLSLIAGVALELHKIKHDLYPLLVSPEAKLEGGGFLKDLLFLKNSALTLGRQAKEAKEIVKGLDQKVVITVLLGRVTKFGQVVEDTADMVLKGTHSIAWFQARLPSVLDHQLDLLLLTPVQFPDPLKDASSDSDSDSDSE >SECCE3Rv1G0146680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:12052018:12053423:1 gene:SECCE3Rv1G0146680 transcript:SECCE3Rv1G0146680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRRTTMATNKLIIFIALLVVLERVRSDDCPCSFPAIFNFGDSYSDTGAFPALFPAVQPPYGRTFFGMPAGRQSDGRLTIDFMAESLGLRYLNAYLDSLGSNFTQGANFASAAGTIRRVNGSLWTSGYSPISLDVQIWQFQQFINRSHFVYNNIGGIYREILPKPDHLVSKALYTFDIGANDLAMGYLENMTTEQVEAYVPDLMERLTSAIQTVYNLGGRYFWVHNTGTLGCLPYALANRPDLGAEKDAAGCSVALNAGPQFFNAQLKETVARLRVDLPEAAFTYVDVYTAMYRLMSQAKKIGFADPLHVCCGYGGGQYNYDKDIGCGVKVEVNGTVLEGKSCEDPSKSVSWDGVHLTEAAYKFIFHQIVDGALSDPPVPLRRACQAKWQ >SECCEUnv1G0544210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:125420704:125426571:1 gene:SECCEUnv1G0544210 transcript:SECCEUnv1G0544210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEPKPDENYSSDGGDTTDAESSDESPHQPRRPPRANPILTRLSVSRNPSPLSAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVTTKGFKGSFADAASSAKIFAVLAGVQSLVSCSLRKLRGKDDVINAGIAGCCTGLALSFPGAPQTLIQSCLAFGTFSYIIEKLNRQQPALALPSAAGLKDLKAGPRVLPPFTLNMPHDAMEGFSSFQNFLSKFRES >SECCE5Rv1G0353700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:718786122:718790962:1 gene:SECCE5Rv1G0353700 transcript:SECCE5Rv1G0353700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated ion channel 2 [Source:Projected from Arabidopsis thaliana (AT5G15410) UniProtKB/Swiss-Prot;Acc:O65718] MPPSLSSLRSLFTRTLGLGSGGAEHSGDEESQAGGGPVPSGGSRPAPAGPPGECYACTQPGVPAFHSTTCDQVHSPGWDADAGSSLVPVQAQQLRAQPLPGAAAVAAARWLFGPVLDPRSKRVQRWNRWILLGRAAALAVDPLFFYALSIGRAGRPCMYMDAGLAAAVTALRTCADVAHLAHVLVQFRLAYVSRESLVVGCGKLVWDPRAIAAHYARSLKGLWFDLFVILPIPQIIFWLVIPKLIREEQVKVIMTILLLIFVLQFLPKVYHSIYIMRKMQKVTGYIFGTVWWGFGLNLFAYFIASHIAGGCWYVLAIQRVSSCLQSECEINNNCNFMSLACSKEMCFHFPWSSDMTALACDTNLTSFSQQNVPACLSGNGAFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAITGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDELILDNICDRLRPLVFCGGEKVIREGDPVQRMVFILQGRLRSTQPLTKGVVAECVLGAGSFLGDELLSWCLRRPFVDRLPASSATFECVEAAQAFCLDAPDLRYITEHFRYKFANDKLKRTARYYSSNWRTWAAVNVQLAWRRYRARVMATAVLPPPPAGAAGPEDGDRRLRHYAAMFMSLRPHDHLE >SECCE1Rv1G0027750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:388078411:388079772:-1 gene:SECCE1Rv1G0027750 transcript:SECCE1Rv1G0027750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDDGHRSFFPVGNPFRVILPGGPHLPRKLQALLKSYEDALALSLRKLKPENALEVLTLAWMRLAVDCLSELHANIGTLITELELPVSDWDEKWVDIYLNSSVKLLDICIVLSSELSRLNQGQLLVKYVLHVLDTKSGVPSLEQLKRAEVSLKEWMDKVDTARPRLDSCSTVLQELAGSLCLMKVKNSAKGKVLMRALYGIESVTVFICSVFVAALSGSPKPLVALQVPHKFGWSHAFNDLHATISGEVKGRLSRGSVSAVKELEEVEACARKLHALTRTAQLEEENDNLACAVSLSKQMVMPDNTEQKEEPQCNIKPADDSSQECEMTMTESSTEDRTQEAEMAQDTNCENKLITLESISEDDKIISGTNGFIDENMTIVPERTSVSEGREELLECISSMSKSAEGLRLGLDSLSKRVGDFFQIVLTGRDALLCNLRMSDAVSKVTEVRS >SECCE6Rv1G0453170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:881280822:881281371:1 gene:SECCE6Rv1G0453170 transcript:SECCE6Rv1G0453170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGESQTERCTRLAAQHYSENHGVDLNDLDPVDSHAFSCRWVDAGDNRLCFHVNFKADAGPHGTRLFFAEVLGDGPPKSVQHCVMLGGPSTWSTNNCRFCSGIQHPKASGTFDFGRK >SECCEUnv1G0540180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:78548395:78549161:-1 gene:SECCEUnv1G0540180 transcript:SECCEUnv1G0540180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLSPAATFLPVAGSKLATAAATRSLLFTSTSTTSSHRSSRKVVAMADILGDFGARDPFPEEIASNFGEKTLGNVDTLHRILIPTLSVLSLSRVPLDADPAPLSEEDARKLLFKVVGWRLLFPSKGDSDVLKLECVWKVRDQACGDELIARIDKTLDGAGHAPAALLFEPPNQVRAQLFTPSVGGLSANDFIIAARIDQIKTKDLLPKKRVWAC >SECCE1Rv1G0004940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:22407940:22409312:1 gene:SECCE1Rv1G0004940 transcript:SECCE1Rv1G0004940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEWPQYNSVVHNDLDPFMTSEHSHQLQHDNGDETYGLLGATTNVGMIDNTNVVAGGQGNNNGETYSEQRMETRRTNYQRLRREQIQQLEAVFREIPYPDEELRKTLSERLGMSAQQVKFWFQNHRSSRKGKTQRQETNNLQLENQMLKSERQAIMSAMENSTCLKCRGAVVQTQDTSERQRLFTENMKLKEELSLAATHLIEGLQQNGMLPRLTRN >SECCE7Rv1G0482810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:312630223:312634524:-1 gene:SECCE7Rv1G0482810 transcript:SECCE7Rv1G0482810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPRPSHSDGSRDAGEQPRVYQVWRGNNEFFLQGRFIFGPDARSIFLTMSLIFAPVVVFCVFVARHLINDFPDHCGISVMVVVVVFTVYDLTLLLLTSGRDPGIIPRNTHPPEPESVYGSNYIRGQTPLRLPRTKDVVVNGISVKVKYCDTCLLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFYMFVFSTMLICLYVFAFCWVYIIKISDAEHLSIGRAMLKTPASIVLIVYCFLCVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGILNNILEIFCSSIPPSKNNFRARVTVEQGVEQARSSSRGFMSPNMGKAVGDLEMGKKPVPWDEPRTTANIGDLEVGLGGMLDEKEGRVTHASPDISRDELSPELVEDRASMHSRHSSWDPRAETSESVNSNSVQTAPTEEANEGGHVTTSGVH >SECCE3Rv1G0205920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:903698068:903699854:-1 gene:SECCE3Rv1G0205920 transcript:SECCE3Rv1G0205920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVLILLAALLPALAAGTDCCSPADVYGLALAPSHKCGVVLPVDVGTYDMGKYAPGSAYEATLRHLVATILAKANAESSGSSYYRDSCRDDAGACGRSYVDDAGESPNQIVASAYCSWHPDAKSPDCGACIALAFREAQRLCPFQRMAEAAVDGGACKCKVYFHDYDIMEQFQHGDPSSDPRWNIPDHVMGIVAENEENKTGIRWACRILETTIIEILANLGWMTNRRICA >SECCE5Rv1G0338390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:597810397:597813376:1 gene:SECCE5Rv1G0338390 transcript:SECCE5Rv1G0338390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAMGLRPFAATRAGAGAGAGWRCAPGQRRLWRGARVVARLDGGVGKGVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPDTNTVPWYHANRMLSFYAPGWCGEVRDVIYSNSGTVTVVYRVILKGTDGEAFRDATGTAKVHEGRNDDAVAAAEEAAFSKACARFGFGLYLYHQDEIP >SECCE1Rv1G0027000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:376616632:376619911:1 gene:SECCE1Rv1G0027000 transcript:SECCE1Rv1G0027000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALNLLLLPHMLLLAMTSYSQALPFSNETDLDALLAFKAGLNRQSDALASWNKTTDLCKWRGIMCSLRHKRRVLALNLSSTGLFGHIAPSLGNLTYLRSLDLSYNLLHGEIPLAIGQLMQMSYLDLSNNSLQGEMPWTIGQLPRLTYLYLSNNSLQGEITHGLRNCTHLVSVKLDLNKLDREIPDWLGDLSRIETISIGKNSFTGIIPPSLGNLSSLQRLYLNENQLSGPIPESLGRLGKLEALALQVNHLSGNLPTMLFNISSLILVGLQMNQLHGRLPPNLGNGLPHIRYLILALNHFTGRIPASIANATTIQSMDLSGNNITGVVPPEIGTLCPKYLMLNGNQLEATTAQDWGFITSLTNCTSLRWVTLQNNKFSGGFPRSIANLSGQLEALDMRYNGISGKIQIGIGNFPKLFKLGLSGNQLTGPIPDSIGRLNMLQFLTLENNQLSGMMPPSLGNLTQLQHLSVDNNMLEGPLPMNIGNLQRLVSATFSNNALSGPLPGEIFNLSSLSYVLDLSRNHFSSSLPSQVGGLTELTYLYIHENNLSGLLPDALSKCQSLMELRLDNNYFNGMIPLSVSRMRGLALLNLTKNRLTGGIPQELGLMSGLKELYLGRNNLSAQIPETLESMISLYRLDISFNNLDGQVPAHGVFTNSTGFTFYGNGKLCGGIQELHLPSCPSKTIGGGQRIPRVIRNAVIPSIIIIFVCFIMGLGFFSLKNKFRLPSVRATLVAPSLMGDMHPRVSYSNLFQATNGFTTDNLVGTGRYGCVYKGRMMLKRSVSTVAVKVFDLEQSGSTESFEAECKALRKVRHRNLISVITCCSCFDFNQNDFKAIVLDFMPYGGLDKWLHPDIYSSNPVKILTLLQRLSIAFDVAAALDYLHNNCQPTIVHCDLKPSNILLGEDMVAHVGDFGLAKILIDPEGEQLIHSKSSVVGTIGYVATEYGEGGQISPSGDVYIFGIVLLEMFTGKTPTHGMFTDGLTLLEYAKIAYPEQLMEIVDPLLLSVEKTRRDINSYMYSVTRLALACCRKRPTDRLSMRDVISEMNKIRACYAVEVTRECSSE >SECCE1Rv1G0003760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14974652:14981703:1 gene:SECCE1Rv1G0003760 transcript:SECCE1Rv1G0003760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTGAIAKLIPKLGELLVGEYKLHKGVRKNIEDLLKELNTMNAALIKIGEVPPDQLDSQDKLWGDEVRELSYVIEDVVDKFLVRVHGIEPDDNTNGFKGLVKRTTKLLKKVVDKHGIAHAIKDIKKELHEVAARRDRNKFDGIASTPTEAIDPRLHALYIEAAELVGIYGKRDQALMSLLSLEGDDASTKKLKKVSIVGFGGLGKTTLARAVYEKIKGDFGCHAFVPVGQNPDIKKVFRDILIDLRKSNSDPSNSNSDLVILDATQLIDKLREFLENKRYLVIIDDIWDEKLWRYINLAFSINNNLGSRLITTTRDFDVSKSCCSSADDSIYHMKPLCTNDSRRLFYKRVFADASGCPSEFEQVSKDILKKCGGVPLAIITIASALASGQQVKPKHEWDILLQSLGSGVTKDNSLAEMRRILSFSYYNLPSHLKTCLLYLCIYPEDSTIGRDRLIWKWVAEGFVHHGDQGTSLFLVGLNYFNQLINRSMIQPIYDNAGQVYACRVHDMVLDLICNLSHEAKFVNLLDATGNSTSSQSNVRRLSLQNKNEDHQAKPLTNIMSMSRVRSITIFPPAVSIMPSLSMFEVLSVLDLSNCDLGQSSSLQLNLKGVVHLIHLRYLGLAGTQISELPTEIGNLQFLEVLDLGDNYELDELPSTLFKLRRLIYLNVYLFKVVPTPGVLQNLTCIEVLRGILVSLNIIAQELGNLARLRELSIRFKDGSLDLYEGFVNSLCNLHHIECLSIGWNSEKTSFELMDLLGERWVPPVHLREFVSRMPSQLSALRGWTKRDPSHLSNLSKLILSSVKEVQQEDVEIIGGLLSLRRLWIKSTHQTQRLLVIPTDGFRCMVEFYLNCGLAAQIMFEPGALPRAEEVTFSLGVRVAKEDGNCGFELGVQGNLLSLRRQVWVRMYCGGVRVGEAKKAEAAVRHALEAHPNHPRIYIDMCPRIAEDAHDDDLCEDEEI >SECCE7Rv1G0475680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:190725126:190726685:1 gene:SECCE7Rv1G0475680 transcript:SECCE7Rv1G0475680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPHPHPPMDVHEPAPPSPLFSDISPHFPLSIADAAAGALDLSFTSTASASTSSFTTATTFSARSSLSLPSFSSSTSLSPRPHSSSTSPHWTHLAAARAATPDGVLRLAHLHLVRELGHGHLARVFLCRLKSSPPASPLFALKVVDLRDDDPSRVCHVLAESRVLSSLDHPFLPTLYARLDAGRYACFLMDYCSGGDLHSVLRRRPGGRLPVAAARFYAAEVLLALEYLHVLGFVYRDLKPENVLLRGDGHVVLSDFDLAFPASVEPAVRRRQVRQQSRRRKRSLLPSCFSSNRGSDEEDVDAKEQFEFVAEPTTANSKDCVGTHEYLAPELVSGNGHGNGVDWWAFGVFLYELVYGRTPFKGPAKDVTLKNILSKQVTYPKLDGEAEAAAQLKDLVGRLLERDPRRRMGSARGAAEIKRHPFFAGVDWALIRCVTPPVVPEADAAASPTCAAAARQAKLGSWNSLGGSSFKKSSSFKKSSSFGRRSSCEERQGVFRKLMSWNQEGRSKKTRTSRMKP >SECCE1Rv1G0017470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:155844036:155844921:-1 gene:SECCE1Rv1G0017470 transcript:SECCE1Rv1G0017470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGNSNEYCSCQVCLGKYTLLGDEENPRLAMFERRLPFFGCGIGWCCFLLGFLCPLIWYIAALLYCCKYYNRDPRERPGLAASALLAIMFTAATIITLSVLLVMCAHKRFLNSCGS >SECCE6Rv1G0444470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:828196809:828198412:1 gene:SECCE6Rv1G0444470 transcript:SECCE6Rv1G0444470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSGPRQSSTQEPDASGDGADRISALPDDLLLLVLARLPCAAAAARTGVLSRRWLGLWHGLRQIVFRGVALPSLEEALGRVTQSAVSLLKIRVPVKQQRGPVPTEQRTDSAGVVPITSLLRAAARLKPEKIDFRLPSGLIDRPLAVDLPCFHRATSIALDLSPITVAVPAGADFPTLEKLSLARCSTDLDALLSCCPRLRTLRLSTAGDIRVNSPSLQELVVRCETRVTRHVDIVAPALKHLAISSTAVALSISVLAPMVEKVSWHCLYLGPRIVFGLWSLNKVRLQSADRQGEPSSSLCIYACAYSGFFRAQAGRFKQEIEKHMVASFSGLELHLTARGHAFGGLVFHLLGMNRIRTATRRLKVVLERSTVKEVCPLYCPCESNWRYQAISLDALEEVEFNGFDGADHEFDFLELILGCAPTLKRMIVKLSEETSASNDGCTKIDKIFKACSSVECDVYHSSGEYIFGMHY >SECCE1Rv1G0052620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:662951802:662952263:-1 gene:SECCE1Rv1G0052620 transcript:SECCE1Rv1G0052620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADKKPVAENKVEKAAEKTPAGKKPKAEKRLPAGKTASKEAGGEGKTRGRKKGSKAKKGVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >SECCEUnv1G0529040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:6334699:6337135:1 gene:SECCEUnv1G0529040 transcript:SECCEUnv1G0529040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR10 [Source:Projected from Arabidopsis thaliana (AT2G36840) UniProtKB/Swiss-Prot;Acc:Q9SJM1] MGIPSPSDEVVQIRHGDGAGDPTVVTVSCPDKTGLGCDLCRLVLLFGLNVLKGDMSTDGRWCYIVLWLAAPRRGRGRPVVAWDLLKDRLVGLCPVPAPFGVDTAYLAAAGLTLPPGEPQVFLLKFCCFDRMGLLHDVTCVLCEMELTIRRVKVSTTPDGRVMDLFFITDARELLHTKSRREEAYEKLQSVLGDSVTSCEIESATEDMSSCLQASALLSPLVLEQMFSEVEEQSSRTRSDSTLSVTIDNSLSPVHTLIQIQCGDHKGLLYDIMRTVKDCNIQISYGRFYAGQKGRCEVDLFAVQSDGKKILDQQKQRTMCSRLRTELLRPLRVALVNRGPDAELLVANPVEVSGKGRPLVFYDITLALKNLHRRVFLAEIGRHVVNDREWEVYRVHLGEDDHELSCSMRSKIVDGVTNMLMGWD >SECCE2Rv1G0083240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:163804791:163805804:1 gene:SECCE2Rv1G0083240 transcript:SECCE2Rv1G0083240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATKWTSGPVPFMDVDDGTVPKRPAKEEFGGLVAALPRKHQAGLELRLYQGLWLPDRWVAGTVVFQRRFAPRPDDVILTSYPKCGTTWLKALAFATMTRDAYPAPAQHPLLRLNPHDCIPFLDEIFADGQEAKLEELPSPRIMNTHMPHTLLPESVTGAAVAGCKVVYVCRDPKDMVVSLWHFLRCRQPDLPFAELFEDVCDGAVAVGPIWDHVLTYWRASLARPDRVLFLRYEDLLLDTGKHVRRLAEFMGWPFSSADEGAGAVEAVVELCSFEKMKGLEVNKKGSSGAYHAMPRDSFFRKGVAGDWVNHMTPEMATRLVLYAWLLVPVVELE >SECCE3Rv1G0202260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:872172861:872174459:-1 gene:SECCE3Rv1G0202260 transcript:SECCE3Rv1G0202260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAGRRLCHAAAAAAAQPPRLKKLALHPPKSVEVEFADGSTFHLSAEFLRVYSPAADSKIRSIAGEKVIFGRRHVGIMSAESIGNYGVRILFDDLHKTGIFTWDYLHHLGSNKFSLSRNYIRTLRKHGLSRDPQRRK >SECCE2Rv1G0076210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:89228074:89231953:1 gene:SECCE2Rv1G0076210 transcript:SECCE2Rv1G0076210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKLLEADIQHANSIASEFRREYDGACLQMRMSYCPAAHLFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSTHERKASIREFYAVIYPSLAQLHEGINEVEDRKQKAICIERYRRREDDHKRVIPEIDDNIEEECGICMEINNKVVLPTCSHAMCIKCYRDWRSRSQSCPFCRDSLKRVNSADLWIYTDNRDIVDMATVRRENLRRLFMYIDKLPTVIPESVFEVYDSHVK >SECCE2Rv1G0072110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:50072842:50075285:1 gene:SECCE2Rv1G0072110 transcript:SECCE2Rv1G0072110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL-related homeobox protein, Transcription factor, Crown root developmen [Source: Projected from Oryza sativa (Os07g0684900)] MDGGGNSPDLQPAEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQLQAQAQAQAQAQAQASAASSGSPPAPGGLGSAASSTSLGLFAHGGAAYSSSSSSSSWPPSPPSVGMVGDMDQCGGGDDLFAISRQMGYADGGGGGSSSFSAAAAHQQQQQQLYYSCQPAGITVFINGVATEVPRGPMDLRSMFGHDVMLVHSTGGLLPVDDYGVLMQSLQMGESYYLVARSN >SECCE6Rv1G0438800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:792565009:792570510:1 gene:SECCE6Rv1G0438800 transcript:SECCE6Rv1G0438800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRLHVVAPLVLVAVKKCARDPSPYVRKCAAYALCKLYDLLPEENTTLEEIVDVLLGDSSFGVVGAAAVAFKSVCPNCLALIAKHFRRLCETLPDIEEWYQITLIEILLRYVIAKHGLVKDSVMFAAELSLETQAGRDSVPVSNISSTQAETIVKGGSGTIPNIMLFRHYIEEYSGAFDRDDAKFSFPSVTTSTNDDVVILLKCTSPLLWSQNSAVILAAASVHWIMAPAEEVKRIVGPILFTLRSSPDATYVMLGNILVFAKTAPLLFASYHEDFFICASDPYQTRALKLEILTTIATESSIPAILEEFQDYIKDPNRRFVADTVAAIALCALKLPSITSSCLEGLLALVLYELSITNSVHLNEEDAVLVQAILSIKEIVKIDAASHEKVIIRLVRCLDTIKEPAARSLIIWIFGEYSSIGNLIPKIAPVVLKYLAWSFAAEVLETKLQILNASAKVIIHSAEEQLEEFKRIVAYVIQLAACDVNYDVRDRARFLSGLLPCCANENDSSCRSQNVDVIKELADNIFGGKIPPPSNSDSNYRIYLPGSLSQVVLHAAPGYAPLPKPQSMILIHKTVEPTRGVADSSEGTNSDAESGSSRGESGSVYDSESEAGSDSNDDGHNLDRQKENQEAPLIHMYDGNVDQAYAGRAVDENLASLISTDLTELMSKSALESWLDEAPAAPLVQDSVQTSCARVSFTTRSFERKPKLHRLLDPSDSDGLSVLYSFSSEVSPKSRLLVCVDLFVENVTTEQLADITIKSEEASGSKGGMDQTSEGSASIPTLVPVEEIQSLAPEQTAKMLLQVHFHHHLLPLKLSVLCNGKRHPAKLHPDIAYFVRPLPMDLNAFLCKENQLRGMFEYARRCTFKDHLQKHEQTEESTDHNTDKNLMVAQTLASKVLSNANVHLVSMDMPVTFSIDDASGLCWRFSSEIPSTSNPCLITVVADGHTSEPLDLTVKMNSEDTAFGLNLLNRVVAIIE >SECCE7Rv1G0478340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:236229256:236230761:1 gene:SECCE7Rv1G0478340 transcript:SECCE7Rv1G0478340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G39470) UniProtKB/Swiss-Prot;Acc:O80634] MASLQSLISKQLVAPNCTVTARLNGAPPSVVSASSSEASSDEKKVTKRRLALLGAGALSTVLLNGSSANAEEVPKNYRSYVDANDGYSYLYPADWRDFDFLGHDSAFKDRNVQLQSVRVAFIPTQKTDIRDLGPMDEVIFNLVNEVYAAPNQIPSIYDMQERTVDGRNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNKLKVVADSFKISDLNA >SECCE5Rv1G0344610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:646577390:646584019:-1 gene:SECCE5Rv1G0344610 transcript:SECCE5Rv1G0344610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAPGEDPPADPSGMYYRRKRKRSDPINSLSLTGNRVHSWGCGSVTKEWDSLYAQKVQLLTFLSTLPEPALSYEQSAETNMANSIEQREQKTSVIVLDSDDEDESTGEHKQLTPEKNKQLTPEENKQLIPSEQAGALMRVVTEGIDEVINETMPVRDQNSQIVPYSPSATLVNQYPVTSYQPSAVLFERVILQKRPEEERIHDLAVATHKEKIAETQVLPPLPKERKKRKVEPSSQVDGDVEIVPRKRKGKNEANPAVFNLPSEPYNPVGEDEPMEEDEPMGEDEPMEEEHIQEQESDGLEDFWNEYSVALESSKLDTLEEEANEKEVGEKEVDDACNHDIQIHEDLGHVCRVCGMIVKRADTIIDYQWRKASRSRSYFSEKRSKDSDEIVTGDVRVAEELNALDIAIHPRHQKQMRPHQLAGFHFLVKNLVSDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSGRPLVVLPKGILGTWKREFQQWQVEDIPLYDLYSVKTRAEQLEILKCWEAKRSILLVGYTQFSWIISSDEGGAVAAGCQERLLKVPNLLIMDEGHLPRTESTNVLESLQRVQTPRKVVLSGTLFQNHVSEVFNIVNLVRPKFLKKESSRPIVARIMSQVSISGRRMSKEDTDKFNESVEETLLHDGDFTRKVNVIRSLRELTKDVLHYYKGDILDDLPGLVDFSVFLKLSPKQKEIVYKLEAYEKFKKNAVGTALYMHPCLSEMSEVAAADRASNLTDAAVDSLVQSINVADGVKARFFTNILTLAESAGEKVLAFSQYLLPMKFLERLLVKTRGWHVGKEMFVIRGDSKCTMEERELTVAQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEENTHKTAVKKEVIPKLWFEWSEQRCTSEGFQLNEIDIDDCGDELLDNRAMRQDIKALYTR >SECCE6Rv1G0400060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:446032738:446034354:-1 gene:SECCE6Rv1G0400060 transcript:SECCE6Rv1G0400060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAAAVTGTATAAVHAPVHLAPHHASTQRAAGRREQSPLNPSSQALRSAGASAPADGGVRTHIANLDRVLGKPPPVPRTTPGHAGPTSSKQEQDSEQEPLNIRHGLLNALNLSFFVPMPGMRARMAADEHMSPRSLMHMQQLLSADSPRASPRSTIGPRWRSLHGEGGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTAAARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSNWIGYVAVCESEREVARMGRRDIAIVLRGTATCLEWAENLRASLVPLDGESAEGKQAGPEDPKVARGFRSLYKTAGEKVKSLSEDVMDEVRRLMEKYKGEELSITIVGHSLGGALALLVADEIATTVPDAPPVAVVSFGGPKVGNAAFVEKLKQSGKVNILRIVNAGDMVTKVPGVAPRLPLTKEQYQHVGVELRIDSKNSPCLRPDAGPASRHDLEAYLHLIDGFTATGHPFRYDARRSVIRLLQLQKGNVKKEYVNRARELGVDPAAPADVGRSMAYGKCAVASPS >SECCE5Rv1G0305190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:68015306:68016193:1 gene:SECCE5Rv1G0305190 transcript:SECCE5Rv1G0305190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGCGYAPLGLAAEEQFQEAAAAGLRSLELVISSFSSRAGCAPPPLGEMADQTVSRFRRVINILDRTGHARFRRGPVGDAADSLTPPPVSSAPPPMPAQAPAPAPAVSPQLTPQKSVTLDFTKPLKAPAAASAAAPSVTSTSFFSSVTAGGEGSVSKGWSQLVSSGKPPLPAGTKRKQRQQQTHCAHSDAAGASGRCHCLKKRKHRVKYTTRVPTVTSHGADMPGDEYSWRKYGQKTIKGSPHPRCYYRCGTVKGCPARKHVERATDDPAMLVVTYEGDHCHDTWPPAAAN >SECCE7Rv1G0505270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:733004655:733005994:1 gene:SECCE7Rv1G0505270 transcript:SECCE7Rv1G0505270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVGARLLPQAVAIAIAVAVACCMAAAPSSAQGTTPADAGGAVPSCASKLVTCAGYLNSTDTPPESCCDPLKEAATTQAACMCAILMNRAALQAFGVAPEQGVLLAKRCGVTTDASTCAKYTAGAGADAATAGSTAASSASTGTAASTVAKPTASGGTTHPLGLIAASSFVGFSFIWWTIVA >SECCE7Rv1G0495440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:598287683:598288327:1 gene:SECCE7Rv1G0495440 transcript:SECCE7Rv1G0495440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSTMPIDFAPPPPWYYNLDPPSYELPPMRPWYPPPPPPSSDDGWNVAVLVVVFFVVCILGVVLKGRRSTQAQTVAEAEAPAAAAAAARLGELPRPRPLPQLESHRQHDEVQLPRTRASNPGGHASNPMARLPAFKYNRTVKHNVTGGGEEAATCSVCLGVFQLGETVRLLPACIHLYHVECIDPWLDAHSTCPLCRSDADPTMDGAQLPPV >SECCE6Rv1G0409280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:585082467:585083946:1 gene:SECCE6Rv1G0409280 transcript:SECCE6Rv1G0409280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGVSDELLGTFVPIAVYWLYSGLYVALDGVERLDSYRLHPRGEEAARNVVSRGAVVRGVLVQQAFQVVVALTLFAVIGDESGIAPKQPSAVLIVLQFIIAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLLSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHALFNNNTAYHDIHHQLYGNKYNFSQPFFVLWDKILGTYMPYSLEHRKGGGFESRPVKSVEQTKAD >SECCE5Rv1G0323110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:430003996:430005306:1 gene:SECCE5Rv1G0323110 transcript:SECCE5Rv1G0323110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDADDVFHHFRLNPTELEAVTYYLPRLLSGETLHGADKLIHRIEISGCEPKDLAARYAPVPQAVSSGDRFFFTTCKSKNGSKVQSVRGAGGGTWSIQKTTEISHAGGKVGEVKNLSFKTKGKSTGWVMEEYRCLLPEATVSDGVKVFCKMHLAQHAPDAARQESAAYTRQQAQPEAVTPSTHAQKRPAPAAAADPHPPRPKKRMRGAVPVPVPASATPSFLMYDEAANFPVQDAQASCESTTTSSRSDVAQAPEICSQSDVLESTQSEEAGSSIARSTSQENVFEPLEPISNLTEWKEDGFDLEELMRMMEDDPIEVEPVTGANTGVEMGQQEPLYLDALDQGVLEGMLQSDYPAFHDADKEKRYNAVSDLDAPSLQGQDHLIKPRPCSFDPFEEAWKAEEAIENERRYNATVDLHAQPFGGHNNFFSPASVY >SECCE1Rv1G0058890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:702158020:702159394:-1 gene:SECCE1Rv1G0058890 transcript:SECCE1Rv1G0058890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGEGGGGGGGGEHGSTVRREDDAPSDSTPPLPAIVPVASEDAKKKQRVEEERTAASLPEGALVEILSRVPYRSLCRFKCVSNPWLALCSAPDIRKRSPQTLSGFFYHDKLDGLSFCNFDGLSFCNLNGRGPPLVDPSLPFLRETYRLISVWQVCAGLLLCSCSNSSQQQNFWNLDSSLHESCYVVCNPATEEWTVLPPVGYSEGNPFHFLGFDAAVPSRFVVFAPRPNIFNDDDSAEVAIYSSETGRWTHLQSGWSPDPLINHSRNSHVFLNGTIHLRSIIGHRIATVDAEGKVWRVITMPGDSSKPCDVGQSQGRLYAWQIDNSHDCQLYIWVQDYGTGKWTLQHTIHVLELFGRNCREDGDSYVMFAVHPDCNMIFLTDDKNMTLSYDIDNLKVTVICTEGMKGLPYTPCFAELPSAGH >SECCE3Rv1G0167750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:208007069:208008025:-1 gene:SECCE3Rv1G0167750 transcript:SECCE3Rv1G0167750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMAARGQGLEQDFDFFVVVDFEATCVKDARIFPQEIIEFPAVLVDGATGRIESAFRRYVRPKHHPVLTQFCRELTGIRQEDVDGGVDLGEALWLHDAWLKAATAGAGSKRSVRLAVVTWGDWDCRTMLEFECRFKGIEKPSYFDQWINLRVPFQAALGGGGRVNLQEAVRAAGLDWEGRLHCGLDDARNTARLLVEIMRRGVKITITGSLAPPPPLIQKQPPRTSACAGSSALASPPAPIQQQPPRTGPLTLVPAPIQQKQQPPQPHIIRPCGGSSAPCSWYCGEASRVGMEPGPMQSGCGNWTPAMGPYFLWSN >SECCE3Rv1G0181200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:607374318:607376403:-1 gene:SECCE3Rv1G0181200 transcript:SECCE3Rv1G0181200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQLGEVVGSINALMAFEADLRINPRQCRLLADTCAHALDAVTANVRAHLRFDERGTKWRALESPLRELHRALRDAEGYVRHCLDPRGSWWARAAAMAHGTECVEHHLHTILWCVSVAIDAIEAAGETAGFEADDHARARLLLANKYDRDMLEPKLFQHALGNRYLVSRELVVRMDAAWKEDRWALSQLLDEMTGPAAPKRLTKNEHRLAEVLAAPRGRLHPASILLGGDYSVRRRLGGRLKEVQWMGESFAMKHFIGDGEAVGAEIELLASVAHPNVAHATYCFHDEERKEYFVVMDQLMAKDLGSYVKEVSCPRRRTPIPVIVAIDIMLQIARGMEYLHAKGIYHGELNPSNVLVRPRQPDGGYVQVKVTGFGQSGIAMGDNVNGDDNACIWYAPEVLKPEVADAGSRRTEKADVYSLAMICFELLTGKVPFEDNHLQGDKTSKNIRAGERPLFPFQTPKYLTALTKRCWHADPEQRPGFSSVCRVLRYVKRFLVMNPEQQQAGQGDAPIVPPVDYLDVEMQLLRRLPGWQRGEGARVSDVPFQMFAYRVLEREKTTGVVQAKDKASDSGSEGSSLYGDENGVGAMSPDHPSSASNGTVRPLPDSSDGKKPPSAKKADGKAPRQPVAGHPQKVKPANPARTPQAPRRTLGVKTDGVS >SECCE3Rv1G0206790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:911249916:911252255:-1 gene:SECCE3Rv1G0206790 transcript:SECCE3Rv1G0206790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.5 [Source:Projected from Arabidopsis thaliana (AT3G14240) UniProtKB/Swiss-Prot;Acc:Q9LUM3] MPRRLLPLPLLLLAAAVGASGGDAGGERTYIVRVDADAKPSAFPTHAHWYESAVLAASGGGGGWPEGGPLIHTYSSALHGFSARMSPSAAAALAGAHGVAAVLPERVRRLATTRSPRFLGMLSSPPSAILADSDFGSDLVIAVIDTGISPAHRSFRDRGLGPVPPRWRGVCASGPGFPPGSCNRKLVGARFFSAGYEATSGRMNETAEVRSPLDNDGHGTHTASIAAGRYVFPASTLGYARGVASGMAPKARLAAYKVCWVGGCFDSDILAAFDAAVADGVDVVSLSVGGAVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLSVTNVAPWMTTVGAGSMDRAFPANVRLGNGQVLDGVSVYGGPVLQSGKMYELVYAGATSYAASTCLDGSLDQAAVRGKIVVCDRGVNSRAAKGDVVHRAGAAGMVLANGAFDGEGLVADCHVLPATAVGAASGEKLRKYIASSTPQKPATGTILFEGTHLGVHPAPVVAAFSARGPNPQSPETLKPDLIAPGLNILAAWPSGVGPAGIPSDGRRTEFNILSGTSMACPHVSGLAALLKAAHPTWSPAAIKSALMTTAYTRDNSNGTMTDESTGKVADVFDFGAGHVDPMRAMDPGLVYDIAPVDYVNFLCNLNYTEQNIRAITRRQADCRGARRAGHAGNLNYPSLSATFAVDGTKAKVRTHFIRTVTNVGGGRSVYRATARAPEGCNVTVRPDRLAFRRDGQKLSFTVHVEAAARAKMEPGSSLVRSGALTWSDGRHAVVSPIVVTLQAPVQ >SECCE4Rv1G0293080.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:884600234:884601385:1 gene:SECCE4Rv1G0293080 transcript:SECCE4Rv1G0293080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRGCILARLLSSPGTSQGAPLHRPLSAAAVSANPSFAVDDYLVSTCGLTRAQALKASAKLSHLRSPSKPDAVLAFLAGLGLPAADVAALVARDPLFLCASVEKTLAPVVLELTGGLALSHSEIARLVPLSFVHFRSRSIVSKLRYYLPLFGSPENLFRVLKLGYLAGADIEGTVKPNVALLRQCGLDDCDITKLSLSKRGVLGNKPESVRAMVARAEGLGVPRGSGMFRHALDAVGFLSEDKIAAKLAYLKKTFRWFDAEASIAVRSAPCLLRKSKELLQCRSDFLISEVGLEPAYIAQRPVIINYKLEGRMKPRYYVVKFLMENGLLKCIPGYYYTAFKVREKEFVEKFICPHKEVAPHLAEDYATACEGAVPARFRFT >SECCE4Rv1G0279540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:815500644:815502056:-1 gene:SECCE4Rv1G0279540 transcript:SECCE4Rv1G0279540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVIYAPPGMVGHLFPTVEFGKLLVAQGLEVTVVLGGRGGGNADDITRIGVANPSLSFHCLPTPTLPSADADSYEAKVFGLARASLPDLRDFLRSASPAALVIDFFCASALDVGAQLGIPTYFFLTTCISVAAYGLYRPVMEEQTTLSFRDLGTDLVHIPGLPPIPADHFPAFTLDRNTLSTKLFRDVPHQMCKSQGIIVNSCRSLEPRATDAIISGLCTPGRPTPRLYCIGPLIKPQEVVGAGTERHECLAWLDGQPKASVVFLCFGSSGRFSAEQIKQTAAGLETSGQRFLWVVRHPAAGDEHHQLAGDLDALFPKGFLHRTKERGLVVMSWAPQRDVLAHGAVGGFVTHCGWNSVLEAVMAGVPMLAWPLYAEQRMNKVLLVEAMQLAVAMEGYDKQMVEAQEVAAKVRWLIESDGGRELRQRTHTAMRAAKEALDNAGESTTALLQLARQWKNADDNGTYGIID >SECCE7Rv1G0466040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:76123400:76126498:1 gene:SECCE7Rv1G0466040 transcript:SECCE7Rv1G0466040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G44880) UniProtKB/Swiss-Prot;Acc:Q9FYC2] MPAVAMPSASLLLLSPRHRPPLRPSLHPASRPCSGLRRARSPARSTRLRVAAPPSAPGEAERAEEPSTSPGSPEEKFVWRDHWYPVSLVEDLDPRVPTPFQLLNRDLVIWNDPNSGEWVALDDRCPHRLAPLSEGRIDETGGLQCSYHGWSFDGSGACTRIPQAAPEGPEARAVRSPRACATKFPTLLSQGLLFVWPDENGWDKAKATKPPMLPKEFDDPAFSTVTIQRDLFYGYDTLMENVSDPSHIEFAHHKVTGRRDRAKPLPFKMESSGAWGYSGANAGNPRITATFEAPCYALNKIEIDTKLPIVGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFTMPGKAWWQFVPRWYEHWTSNLVYDGDMIVLQGQEKVFLSASKESSADVNQQYTKLTFTPTQADRFVLAFRAWLRKFGNSQPDWYGSPSQDALPSTVLSKREMLDRYEQHTLKCSSCRGAHKAFQTLQKVFMGATVVFGATAGIPADVQLRILLGAGALISAALAYAFYDRQKHFVFVDYVHADID >SECCE4Rv1G0241420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:451451691:451469515:-1 gene:SECCE4Rv1G0241420 transcript:SECCE4Rv1G0241420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEASAGGGEGARCTPPPPALSLPQRSAVESFIASGAATASFAETSPGPFTLAAGLFPDMPSSAFHGSFTQLLVGAMGSPAAPPSPPSPFAVPPGLSPTALLGPPSLFSPTGNFEMSHQQALAQVTAQAVHSQYIVGNQADYSLPFSSATTSALTSQFINSSANVTSMKETATLLLHTVDDNLKSNEVSQGFQTSALTVDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTQASCPVKKKVEHSAHGQITQIIYRGQHNHQRPPKRRSKDGSKDGGNLLNEDDFPENRDALTRSEPGSQDHSGKVEVSNDGITGPSMSKRRDGGDQLSGSSDREEEDNDEAGDDNGDAGIVNANKRYVPAPAQRIIVQTTSEIDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERCSQDPTAVITTYEGKHSHDVPAARSSVAAAASANASSSISLLHRGQKAASSSQRVLPRAALHTSDSSLQLKEENEIT >SECCE4Rv1G0219020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:27238772:27239830:1 gene:SECCE4Rv1G0219020 transcript:SECCE4Rv1G0219020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFYDSPSPMDLPLYQQLQISPPSPKAPDHQSLLYYHSSPAFAADAFHHSYLCAGAATPPAAEIDNQSPPELLLMDQAPAPRADGVGTAQGPHGGGGLDSAAAAAARKDRHSKICTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNTSKSAIREVMSDEASSDCEEDGSSSLSVVDGKHKPPGTEAGGGDHGEGKKPMPRAARRAPANPKPQRKLASAHPIPDKESRTKARERARERTREKNRMRWVTLASTINIEPAATGMGAARADELITRPQNFINRSSSMNTASAELEEGCSSSMPSEAIMAGFGNGGYGSSGHYDQYQLEQQWELGGVVFANSQPY >SECCE6Rv1G0386040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:89426860:89429105:-1 gene:SECCE6Rv1G0386040 transcript:SECCE6Rv1G0386040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAPIENDPGVFTELLQQLQLKGLQVDELYSLDLDALNDLQPIYGLIVLYKWRPPEKDARPVIKDAVPNLFFANQIINSACATQAIVSVLLNSSGITLSEDLKKLKEFAKDMPPELKGLAIVNCESIRMTSNSFARSDDYSEEQKSKDDDVYHFISYVPVDGVLYELDGLKEGPVSLGKCPGGIGEMGWLNMVQPVIQEHIDKFSQNEIRFSVMAITKNRKEIFIMELKELQRKRENLLSQMGDPSANRQRPSVERSLAEVAAQIEAVTEKIIMEEEKAKKWKTENIRRKHNYVPFLFNFLKILEEKQQLKPLIEKAKQNSHSRNPK >SECCE5Rv1G0367870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:824682993:824684726:1 gene:SECCE5Rv1G0367870 transcript:SECCE5Rv1G0367870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENILHATPAHVSLPDSFVFASDKLPPATKAVISLPIIDLSRSRDEVRQSILEAGKELGFFQVVNHNVSKQVMRDMEDMCEQFFHLPAADKASLYSEERHKPNRLFSGATYDTGGEKYWRDCLRLACPFPINDSINEWPDNPKGLRDVIKKFTIQTRGVGKEVLRLLCEGIGLRADYFEGDLSGGNVILNINHYPSCPNPDKALGQPPHCDRNLITLLLPGAVNGLEVSYKGDWIKVDPAPNAFVVNFGQQLEVVTNGLLKSIEHRAMTNSSLARTSVATFVMPTPECLIGPAEEFLSEESPPCYRTTMFRDFMRIYNVVKLGSSLNLTTNLKNVQKEI >SECCE5Rv1G0359560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:762756109:762759028:1 gene:SECCE5Rv1G0359560 transcript:SECCE5Rv1G0359560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPPQGPEDDFLDQFFSLTNSLSAAGRPSGDQPFSLALSLDAASDASGSRGGIGDDAAERDGVQLPGLFPPVFGGGLQPPHLRPNHPPPQMFHAQQPKQGGPAGGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAVMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVSDIPLSVKGEASDSGGKQQIWEKWSTDGTEKQVAKLMDEDIGAAMQFLQSKALCMMPVSLAMAIYDTQHSQDGQPVKPEPNNTA >SECCE6Rv1G0449480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:863094147:863096710:-1 gene:SECCE6Rv1G0449480 transcript:SECCE6Rv1G0449480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLCYPMYTRPSRSPSPYKGRQKQMSRSRSPVARSQSRSPSPDPRSQARSRSRSPAREREPEAVNHGNTLYITGLSSRVTDKELREYFNKEGKVVSCHVVLEPHTRVSRGFAFITMDTVEDAERCIKYLNQSELQGRNITVEKSRRGRPRTPTPGSYLGHRYERREMQRGGRFRRGGYGRDDYYGNSYRRSPPPMYRDYRETRDYPPYRDTRDYSPPPRDARDYYDGRGGRGYSPHRSPPPPYGGRARRERSRSLPYSPYRMPERGYGRRAGGGGYDR >SECCE3Rv1G0212140.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954619412:954620641:1 gene:SECCE3Rv1G0212140 transcript:SECCE3Rv1G0212140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRRLSAAAALTSVAAVLGNDDLLREILLCLGFPTCLVRAALVSKRWFHHASEPAFLRRFRERNPPRILGVFASYPETPYKFLPLPQPPELAALSRRVASTCNDAFAAHIYQWVEHCRNSRLINVFFQDGKFMHSLLAPLHAGESEAVLAQVPLPRRLMRARTQGLFSQTFLPEDGGRDGITLVTLWKVRREVRAEVRVLGSGGWGVPTTAVTEVDLPYAETSFIKMLPPVHGKVFMVTGFGYTLGLDLARASFFTLELPPVGVRSNYLLSCAEGSGIYLVSANGFQLSVWLHPMMGDDNDAGWLLVDTFCVRKAYARSAGHDWVWMAQDGDFLGVAAVGDNAEFVILDYPRYGVVLYVHLGSRVVEKLYEHQALLDHCFCDIPISPFMMIWPPVFPALKGGHDQEQ >SECCE7Rv1G0518040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:857079218:857080323:1 gene:SECCE7Rv1G0518040 transcript:SECCE7Rv1G0518040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKALLLAILSCLCLSGTVIGARELNDDLSMVAKHENWMAQHGRVYKDATEKARRFEVFKANVEFIEMFNAQNHKFWLGVNQFADITNDEFKTTNTNKGFKANSIRVLSSGFRYENLSLDALPATMDWRAKGAVTPVKDQGQCGCCWAFSAVAATEGVVKLKTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTMESSYPYTAADGKCKAGSNSAATITGFEDVPANNEGALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGKTSDGTSYWLMKNSWGTTWGEDGYLRMEKDIADKKGMCGLAMEPSYPTK >SECCE4Rv1G0274450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:784682827:784683639:-1 gene:SECCE4Rv1G0274450 transcript:SECCE4Rv1G0274450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMEPHYDYNYIYNALTYTHHDQYTYSPSGHYYDQQYTSSSSSSAHQHHQQQLQLHFGGAIDDYHDHDMDHFKFSALVEAASTSTSILPTTNPGQAREEQGVANYQHRGEPAAAHHRAAEGEPLIGVRKRPWGKYAAEIRDSTRNGARVWLGTFDTPQAAALAYDQAALALRGAAAVLNFPVSCVEKSLSGLGLGVATAGEAGDSPALALKRRHCIRKRKPKNDKMSAAAAGRKPSTQQQAPAPSASCVLELEDLGADYLEELLELCDG >SECCE6Rv1G0399750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:443161564:443165397:-1 gene:SECCE6Rv1G0399750 transcript:SECCE6Rv1G0399750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRLSLPPHCLLVVRQCSLIAPPVHPPSRLSVRAAAAAPVAHEVCALPFPPERASHHRELAAAVASVERACRLCVDVRASMLVGDEKILEKNDQTHVTIADFGVQALISFELQQLFPSIPLVAEEDSAFIRSSTAADSNSNTLVESISSFVTKHVNNNGPPLTHDDVLRAIDRGGKDAVSFDSNPATYWLLDPIDGTKGFLKGEDSLYVVGLALVVDGKLAVGVMGCPNLTDTTVGDAEDESIAACPGHGILMVSHVGCGTWSRPMSAEIGQLTTAPDVWKRCSVDPCSVAHMARFCIVDSHTWDMMPLSAHFSSTMDESEPRDENKILLQNSCGGSLSKYLLVACGRMSVFILLARAEKLLKAWDHAVGVICVEEAGGQTCDWSGKPLDFGADLTGRRIIYPSGGVLATNGALHDKLAEMVSANYK >SECCE2Rv1G0065310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:7992533:7993096:1 gene:SECCE2Rv1G0065310 transcript:SECCE2Rv1G0065310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILLFLILAPLCVSVTARLKCPGVPHNGAVATCYHGCGTKLIYDLCIRTMRQGYIDMSPSHKEETTVYAILVLSAAVKSMDITSNMLTYQLQKNASISVQEWAFYKACLTDYDAALNSLYHSHDVMLPNCFFKGINDDYLSALAYMNSCRDRFIGSVMFTSPVYPMVLADRNKAVLAYSIGKLLL >SECCE5Rv1G0361250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:778380425:778383276:-1 gene:SECCE5Rv1G0361250 transcript:SECCE5Rv1G0361250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRACRLALMLAAAGYLCFLLFLELPTVSVAPVATADRPRRRELEARSSSSASTAAPLRPHTRTFPTPDPPRRARLALSSIRVRANPSSASSIDAAASSAFAAAAPLLPHLLSPSASSSSPSPSPSAPASCPATVTVPRDRLASTPVAVELPCGMAVGSRVTVVARPRRRDGAASSQFMVELLGTKAVQGEEPPRILHFNPRIRGDFTGRPVIELNTCYRMQWALPLRCEGSPSRPDDDRVDGELKCEKWTREDGAKTEESSSNIKWLLNSLIGKPEPDKVPVEQASYPFAEGKLFVLTITAGLEGYHVSVDERHVASFPYRTGYNLEDATGLSLNGDLDIESISAGHLPKSHPSFDPQRYLEMSEQWKASPLPTEPVELFIGILSAANHFAERMAVRKSWMIATRRSSSSVARFFVALNGKKEVNEELKKEAEFFGDIVLVPFMDSYDLVVLKTIAIAEYGVRVVQAKYVMKCDDDTFVRIDAVLDQVKKVKNGGSMYVGNINYYHRPLRSGKWAVTYEEWEEEVYPPYANGPGYVISSDIAEYIVSEFDNQKLRLFKMEDVSMGMWVQNFNRARRPVEYLHDFKFFQAGCFDGYYTAHYQSPQHMICLWRKLQSGSAQCCNSR >SECCEUnv1G0556550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:312033155:312034338:1 gene:SECCEUnv1G0556550 transcript:SECCEUnv1G0556550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASIERNTKPRLLDDHPGATAASLFTDDLILEILSRLPARSVHRFKCVSVPWRDLIADPANRNKLPQILAGFLYMAVNDNGLGHHLASVSSDGAAAPFDPSLPYLHGHDKDEGIIQVNASNGLLLYRRYNKSNKDDFGFVVCNPVTRRWVELPLPPPKPAANRGSRTTGLAFDPAVSSHFHLLHFEQTFQEKYITGVNIYSSRTGAWTHRDNEMAEKVMLFFRSRCIFVGGMLYLMGSLERNDGHYLLLGGCLHYAVAFFDDDNQITRLELWCLEDRDSKELVLKHTARFNKLMSMTGKAYMLVEIHPDCDTVFLLSCLGDTLVAYDMRHQKVGCILNLEMSNTQRLVPYVPLFSDSLADANGQ >SECCE2Rv1G0065830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10448034:10451273:1 gene:SECCE2Rv1G0065830 transcript:SECCE2Rv1G0065830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSILSPLCILLILPLFITTPMAAAQANISEIDRQALLCFKSGINSYAHGTLDSWSNDSLNFCRWREVNCGTTFPPRVVSLNLSSVQLSGRLSGCLGNLAFLSWMNLADNHLSGTIPEELGKLPNLHTLNLAANSLQGNIPISLGAASSLSYVNLANNTLTGGIPLSLASSSTLSTLILSRNSLSGEIPSTLFDNSSELTMVDLQMNSFTGAIPPFHEATALRFLCLTGNFLSGSIPPSLGNVSSLTSILLGQNRLSGLIPETLSHITKLFELDLSFNSLSGSVPLSLYNMTSLEYFSVGSNALVGQIPSHIGYSLPNLHSLILGSNRLEGLIPASLANMLNLQILDLSNNSLHGSVPSLGSLAKLGQLVLGSNLLEAHDWSFLTSLANCTQLTKLSLEGNALNGSLPAAVVNLSTRLQDLSLGSNKISGSIPVEISNLVNLTSLRMESNFLSGSIPSTIGMLQNLYILNLSKNKLSGQIPPSVGEITQLGKLYLSDNKLTGNIPGSLGQCKGLLELNLSRNNLDGSIPVELLANPPFSLGLDFSHNNLTGELPGKLGADGPTSLHMEGNKFHGEIPESWSFLRSTRQINLSHNDLSGAVPEFFGYLRMLEQLDLSYNKLEGVVPAGGIFENSTAVLLDGNKALCSNYSMPVLPLCPGISASMTKTNHHLSTLLLIVLPPLMIALLVLLWFLPTLWKRGVFSFSQWDVVSKMFHFCAHQKRREVDTVPCHAEKKLKRVSYQDILKATNWFSLLHTISSTCTGSVYVGRFKFDRRLVAIKVFNLSEPSRCDSYFIECEVLRNTRHRNIMCPVTVCSTLDSQNHEFKALIFKFMVNGSLDRWLHSEQHNGIPGRVLNFGQRICIAADVASALDYVHNQLTPPLIHCDLKPGNILLDDDMTARLSDFGSAKFLSPNMFIPESLDDVGGTVGYMAPEYGTGYGISVGADAYSFGVLLLELLTGKRPTDDMFVHGLTLPIFSESMFPERVAEILDPRMGHEEHQGCAEVWTQRYVVPLVALGLSCTVESPKDRPGMKDVCAKLSAIRDAFLERRDDD >SECCE3Rv1G0191440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:748301541:748304277:-1 gene:SECCE3Rv1G0191440 transcript:SECCE3Rv1G0191440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 10 [Source:Projected from Arabidopsis thaliana (AT4G33460) UniProtKB/Swiss-Prot;Acc:Q8H1R4] MAHSLTGGASPFCCYPHPPCCAAGRATPTAPPSTCRRVAASASPPSPLPAIEGRGVGFSVTTRRGLVLPVLKDCSLCVPPGQLWMLLGPNGCGKSTLLKVLAGFQNPSAGTVHINRPFSYVFQNPDHQVVMPTVESDVAFGLGKLNLSLDEVRSRVSQSLDAVGMLSYSQRPIQTLSGGQKQRVAIAGALAEASKVLLLDELTTFLDEYDQMGVVKAVRNSVTASGEVAALWVTHRLEELRYADGAIYMEDGRTIIQGDVSSISRFIKRKQARYFGHFEL >SECCE5Rv1G0366620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:814961890:814963206:1 gene:SECCE5Rv1G0366620 transcript:SECCE5Rv1G0366620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADVSGGGWLPDEMIMEVLLRLPAKSLLRFRAVCRSWAALFSTQQFCSLHMATPKAAPPKLLFVSPAATSDSSTEVCSVSLSAGPEDDLKLFTLDSACGDTMQLLMPAPCHGLNLLFDAVAPAYYICNAATRAVTRLPPFPHGFRHASAGLGFDARTRRYKVVRLIRGAHDDNDTESIRCEVYTHGDDAGGGSPMDSWRPPAGGGVPHGLRRFAGAAISDAQFHNLPPVFANGFLHWLVHPFWFSKRHRAAVISFSLADETFGFIRPPPFWASPAPLLASDPESTPYHNMELEGHLVQMDNQLCMVRDLRYDGNTGGYILQIWKLSDRSSGAWSLYHGVQLFGRVARDLRRPEVMRVIGSTSGNGGFGKKVIIATSEHIMYDKFQKKVHTYDLSSESLETILSIEETHASLEGVTPSSRFSLFEESLAMVHRRADHA >SECCE7Rv1G0520220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:867993839:867995290:-1 gene:SECCE7Rv1G0520220 transcript:SECCE7Rv1G0520220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTTNSSGSKTLRVLLFPHFATGHIQPFTELAISLAASSPNAAVEAIVAVTPGNVPIVQSLLERRRAGATVKIVTYPFPTVEGLPKGVENLGEAATQADSMRINIAATSEPLMRPAQETLIRSQSPDAIFTDVLFTWIIDVADELGVPCVVYHVTGAFPMLAMRHLLTEDSAIDGDDMVAAPPFPTPQIRIPRTELPDLSIFRYVFGKVHSMQAACFGLAVNTFSGLEQDYCDMYMRQGYVQRSYFVGPQLQSSGSATDAAAESKSEYIGWLDTMSDHSVVYVSFGSCALVSDAQLDQLALGLEASGKSFLWVVRAADKWTPPKGWEKRVEDRGVIVRSWAPQTAILAHPAVGAFLTHCGWNSVLEAVAAGVPMLTWPKVHDQFVNERLITDVLGIADRLWPHGAGIRSEDYEKHDVIPADDVARALVAFMHPGGRGDVMRTKVMDLASKSHAAIAEGGSSQQDLHRLVDDLMAAKARRS >SECCE7Rv1G0463170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:51451593:51452369:1 gene:SECCE7Rv1G0463170 transcript:SECCE7Rv1G0463170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTSGHVQTNKFFMQHRTGGPTKAPLHSHEDGAGGTRKPNKEILEHDRRRQVELRLVELRDTLEEQGYTEAEIEQRVKEARKEAELDAAGGGAGRPAPPPGEGLRSTQSHHVAARKEKKLETLRAALGLDAEVGQKNNAQADPESGELVPGKDGF >SECCE7Rv1G0519640.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:865341609:865341878:-1 gene:SECCE7Rv1G0519640 transcript:SECCE7Rv1G0519640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGFEEDVLMVCWGGPGRYGMNSTVPCGDAAATTCRNPSARLYWDGVHLTEAANRHIADAWLGEINASTGVSRKQGAKEPCRLGRHRI >SECCE6Rv1G0409690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:590794727:590795792:1 gene:SECCE6Rv1G0409690 transcript:SECCE6Rv1G0409690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFVCPILPCEREIHLRLYLHQVIDGPDHNQVVTVSSRHPAWFGTTAVIDWTVTDAPQRGATIVARAKGMQVQADVEGPGWFHYFTMVFEDPRFSGSTLAVMGQYLSEGEMAIMGGTGEFAMARGVIKFRTVKDTAHESYKQLDIHTFYVPTRVKKGSKFVWTTGNTYGVT >SECCE2Rv1G0107550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:650396684:650401475:-1 gene:SECCE2Rv1G0107550 transcript:SECCE2Rv1G0107550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFFRPLIVTAKTIATVIIRPSLCHIEELLAWMECPNCKYRIDNTDVLSQWPGLPAGVKFDPTDLEVLEHLEGKVGRAASHVLIDGFIPTIEEAEGICYTHPENLPGIKNDGSTGHFFHKVSNAYDVGKRKRRKISNTDHTVCDENLRWHKTGKSRSVLDNNGVIKGWKKILVLYIGSRKGGGKTEKTNWRMHQYHLGADQDEKQEELVVSKVFCQVQPMEAGQSLMCGVKEESDSFAGQNDPTTPMTYPLQPRRSIGSTSGTEQNQEGESGMSTVREAVEWLAGSSSHTVEDVALSGLGEHLPHGGALVAAYPDPEGQPLPLDAEALQGFTNLGGPPDTSLPPDMNFGSQDSMEMWLASVLTEDEGGI >SECCE1Rv1G0038600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:541417387:541419767:-1 gene:SECCE1Rv1G0038600 transcript:SECCE1Rv1G0038600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGSSDYFLRQLSSSDGGSAPAPAPRQPEEWECGAGTGTGRRGSRRWSRKKARARGHRRGGFCGAPEEAAVVGRKRVMVVVDQSSGAKHAMMWALTHVANRGDFLTLLHVLPRGGAGRGEDASALANSLGSLCKACKPEVEVEALVIQGPMLATVLSQVKKLEASVLVLSQSKPSPFCCFMRSRGEVLVEECISRAECLTLAVRRQSKGVGGYLVSTRWQKNFWLLA >SECCE3Rv1G0185710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:676942178:676942375:1 gene:SECCE3Rv1G0185710 transcript:SECCE3Rv1G0185710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAGPRLPCFCVGNPGSRAAAPLARHGRLAAVQAVDLVSRPRGRRDPVVVGSRRRFLRRSVRAI >SECCE2Rv1G0110510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:690605542:690610218:-1 gene:SECCE2Rv1G0110510 transcript:SECCE2Rv1G0110510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIQAPELYRDSGAYEARKRQSLRARYVYGLIFFATNLLAWFIRDYGAKLLGGLHHIPVCGAGDSKCFRSGGVLRVSLGCFIFFWLMFATTFGTRKLQGVRNSWHSGCWALKFLVYAVSIVTPFIIPNIFIQLYGEIARMGAGIFLLLQLISMLHLISWCNKRWMPAPGSNQCGLFGLFLSTVSFIASFAGILVLYILYVPNSSCVFNIFTIIWTAVLVKIMMAVSLHSKVNEGLLSSGIMGSYIVFLCWSALHSEPRTGKCYTEMKIGKDGNWATIISFIIAICSIVSATFSTGIDNRSFQFRSDETRLEEDVPYSYEIFHIVFAVGAMYFAMLFISWELNHPVTRKWSIDVGWASTWVKIMNEWLAFCIYVWRLISPALSRKQPANDLESASTI >SECCE7Rv1G0509740.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:783237338:783237780:1 gene:SECCE7Rv1G0509740 transcript:SECCE7Rv1G0509740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSAPLVGLDEQPPLPLMVCPFCNNGMVQWWVSRSTNNPGKHFYKCEHEWTRKCNFWKWEENYINVIRAKWPRLFTAASRQDRNFHRIIISLLFINLMAMFFLCCKVA >SECCE2Rv1G0112060.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:706460262:706461413:-1 gene:SECCE2Rv1G0112060 transcript:SECCE2Rv1G0112060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSELPEDLGDSILRRLRRHDDRVCFGAVCRQWRTCARRNSPPSQFPWLALPDQTFYSLPDSAFRPLPLRLDFHRQTPHAQSSCGEWLVYERIDGAYTLVSPFSVATTMVLPRLSAGPTLSEPSIRKLVVCSPHLVVAVVRDEGLQKLALCRPGAASWVVTANDQLRRVQDMIFYQGKLYVLQETSGHLLSVSVGEDRDTGEPTVTRVDRLMEVPTWGHMESPLQYLVESDGTLLLIRREDPNINPFPFLGDGGGHFQFVGAGLEKATEFTVFTADLAGSRWTEVSGVGEDRVLFVGQWCSRAVRVPEQCKEYVAGNRIFFVDAHAARGYADPCYRKKVSFFCSVHDMGEPRSRTYLRTKVRPLKGFPVAWLFRPSEPDMNC >SECCEUnv1G0541890.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98328774:98329115:1 gene:SECCEUnv1G0541890 transcript:SECCEUnv1G0541890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSISPKRILPSFHGPLTEEEMREDPGPEQVGLGYRAVSAVGVTEDVLVRFIVGYDILVDCWERPLYSKYAFLGALRSAAQMVPYEVSIGLILIVRLVSTFGSAKAIARIFP >SECCE5Rv1G0340240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:613276616:613277704:1 gene:SECCE5Rv1G0340240 transcript:SECCE5Rv1G0340240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYFPTDVLVEILLRLPPSARRRARLVCRLWRDVVDERTTEMQSRAKVLLWHTGSTIAYIVDDLSPSSTGSYSLLWSGRLQLIGTCNGLLCLCNDGGDITLVNPATRETLPVPRRPPCAGQVFGEHRRWDREYNFAYHPTTGRYKLVHVPCSFNRVRDAVHVLTLGEEAWREVPVPGNKRCNLDGGIVSVDGVTYWVTDIGGTARIISFDLDNEHISTSTTSLPFLPARHNDYSLTEVHGRLGVVVSNPAGMMQAWVRNKEGRWICRYTLACPMHEIPRPYFAYGEHFLKCEGQLLYAYPRQGALSPFGSRLASNIVQVNHRDKGVLVAKMNGVGWKFNYRTFAYVKTLEPLGIYMRPSEV >SECCE5Rv1G0373070.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:856083164:856083598:-1 gene:SECCE5Rv1G0373070 transcript:SECCE5Rv1G0373070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAAVIATALALNLLFFTLAEDCGCKMPSLPPPAPPAGGGGGGGGGGGGKGGGGTGGCPIDALKLGACANVLGGLINLRPVTTPKQTCCSLLQGLADLDAAVCLCTALKANILGIHLNVPVDLSLLVNYCGKNVPSGFECPS >SECCE7Rv1G0505500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:735985852:735986574:1 gene:SECCE7Rv1G0505500 transcript:SECCE7Rv1G0505500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGDSRMEADHHHRHHHHHERATEAGAGHHRHGRSRPRYPDDDRQRSSPLVWMAVILCTLLAIGIIVVGAAVFAVYLIYKPHMPYMVVTNAYLQQLDYSPADGVIRDIQVRADVLARNTNSKVNASFSSFNIDVKFHGTTLLQLRAETFSVARESSVTLPYSGASRGAKLDLAGMRAMEEALRSRVVPITLSGKARTRWRMGIFLKVAFWTRLNCPLNFSYPPGSVMPIDHDTCRSRSP >SECCE6Rv1G0384310.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:62055898:62056542:-1 gene:SECCE6Rv1G0384310 transcript:SECCE6Rv1G0384310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTXXXXXXXXXXXXXXXXXXXXXXNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >SECCE7Rv1G0489570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:458721461:458732981:1 gene:SECCE7Rv1G0489570 transcript:SECCE7Rv1G0489570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR3 [Source:Projected from Arabidopsis thaliana (AT4G15180) UniProtKB/Swiss-Prot;Acc:O23372] MGDGGVACAVPSQRAVEGFRADALVRGEAMPDKGEKAAHGHHHHHHHRKHYSASAADLEEGELLLNGEADNTRDLDRTIPPKKWRKLLPSSPAAELEPGEIVSMQSEQTRKTRRNVELDKAELVPVTQRKGKSDKIGRKSNKDVVEPGEVTPLGKKRDRDHSGKISSSAHICEDAKKGSSRDSDEEPGEIKPESSSTGSARKSQAVEPESNHRKHQAETCTQSGSKSRRKGEPKTSAGKHLSGRIHDISPQIRDRHDRLERSPGILGRFPHDRIRHERSPGRMERSPRDRDRGRHCDNRDRSPYISPRHRARQAHHRDNTPSRIDNSPRGRTQHEDIRDRTPLSHDKSPSERGRTTDSHEASKKSRGAKLESNNLENVQHKNKSTKQPTKSNSGSNIKSEERISKGKATEGVQCTELLPPPPLPPPLPPPPPPPPPLPPNMPPPLPPPPVPEQLNDLAEHASMEEDMDICDTPPHTSEAPEPSTEPTIIMGKWFYLDQFGVEQGPSKLADLKKLVEDGYLLCDHLIKHADSNRWVTVENAASPLVPSDIPSVYANLSSQKVSPPEAPGNLLDEAREGATLLAWSAEDEEEEASEEQKEDLYIDNRVEALMYGATMVDGHELDILGEVLDAHFEPVDWERCSYPEDFPRFQGQPARDDGVSRSIGFVNGVGPVGREKFYHNVERSEWFSGRWSCKGGDWKRNDEFNQDKHYRKKVVLNEGYALCQMLKGNHEDPRWHCKEDLYYHVPAKKLDLPLWAFSSTEEDTDTVDDASAIIPGRLCQNQIRQLPKGVKGMTLPVVKINARVVKDQSSTEPCIKSRAAERSLSRSSHSHSTGTDRNSVHEGLSHFKKHHEHDLQSLQKSKSVPNIPEDHVCTVEELSVKLGDWYYMDGTGHEHGPFSYSELQKLVKRGTIIERSSVFRKIDNTWLPVVKDIKSESAAHDGGPGSSNSTSALVEQSNTIVNHGAGRFHELHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLDPWIAAKQPKKEIEMNFSNNSASRKILPEDAGSVKRARLLPNQSDEDINMYEDILASQNDDCSFEDLCHDAALVEENSTNSIAGSDSWGLLNVHVLARIFHFLRADMKSLISSAATCKLWNTGVKYYRNTCRFVDLSSAGLQCTDSVFHGIMAGYEKQNIRTLILVGCSNLSSLALGEVLVQFPNISYVHIQGCSQLWDMKSRFPHIKWIKSSLNPEESLQKIKSMKQIDDGNDYASKVARNLTSQLGGSDELDGYFADISNRENANLSFGQGFYKRSKWLDARKSSAVLSKDAQLRRLMQRKAENSYRKMEEFVINRLREIMKTSRFDFFIPKVAKIEGRLKSGYYARHGFSSLKNDIRSMCRDALRYEGRSDLGDMKQIVVSFIQLAKRLGNPRLISERDGAAAQKDNAQKDNSDMSQYSSDAKLKKKQNKTTGERRGANWTAASAGGDASSHAFDREIKRSLSKLKKMDVDSGSETSDDDDGYSEGDETESETTVSDTESDLDLNSAAWDLRGNGMKLFESGDSVGDDRGWGARMTKASLVPPVTRKYEVIEKYLIVADEEEVQRKMLVALPDDYYEKLLSQKNGTENLEIPEVKDYQRRKVPGDEVLEQEVYGIDPYTHNLLRDIMPADVGLSSADKHTFIEELLLNTLNKQVRDFTGSGNTPMVYPLKPVIEEIQKSAEESGDRRIAKMCLGMLKAMRSRPEHNYVAYRKGLGVVCNKKGGFGTDDFVIEFFGEVYPSWRWYEKQDGIKHIQNNSEDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGQYQIGVYTVRPIAEGEEITFDYNSVTESKEEHEASVCLCGSQVCRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACEANTVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLVRFIFFERQKLPNEIFKHNMEEKRQFFTDINMDSERNDAEVQAEGVLNSRLQHLTHTLDKVRYVMRCIFGDPKNAPPPLVKLTGRSLVSAIWKGEGSLVDELLQSIEHHVDEDVLTDLKDKIRLHDPSDSEDIEGDIRNSLLWLRDELRTLSCTYKCRHDAAADLIHMYAYTKCFFRARDYKTVKSPPVHISPLDLGPKYADKLGPGFQEYSKTYPENYCLAQLIYWYSQNAEPESRLTRARKGCMSLPDVSSFYVKSVKPTQERVYGTRTVRFMLSRMEKQAQRPWPKDRIWVFKSDPRFFGTPMMDAVLNNNSPLDKEMVHWLKTRSNVFLG >SECCE1Rv1G0058900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:702165464:702167439:1 gene:SECCE1Rv1G0058900 transcript:SECCE1Rv1G0058900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTNSPSDKRVAVVTGGNRGMGLEICRQLATHGLTVVLTARDERRGAEAAEKLREEGLLDVLFHQLEISEPASATHLAAFIKDKFGQLDILVNNAGVLGVTTDVGDPATLQETLAGKDGMERAEWLRQRTTQTAQDAEDCLRINYHGNKTVTEALLPLLRSSSDGRIVNVTSAWGLLRHFSGDELRRELDDVDNLTTRRLDEMSALFLEDLGKGDGALERRGWPADPVYAAYMASKALVCAYTRVIAREEEGALRVNCVHPGYVLTGMNDYTGVLTAAEGAAAAVAVALAEKGGVTGAYFDRTELRASFV >SECCE6Rv1G0444190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:827185518:827185961:-1 gene:SECCE6Rv1G0444190 transcript:SECCE6Rv1G0444190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSSLFAAFDKDGDGEVSASELQRCMEATLGEDVSEEEAAAVLAAVDADGDGLLNQEEFSRLTSGAGAQEEDDADVKRRCLRGAFGMYASSSTEDTITPASLRRTLSRLGSHDLGVEECRAMICRFDLDGDGKLSFDEFRVMMLA >SECCE6Rv1G0446240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:843379907:843381247:-1 gene:SECCE6Rv1G0446240 transcript:SECCE6Rv1G0446240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVTASIPLPDELLEEIFLRLPTPDTLACASATCTSFCRVIEGRTFRRRFRMLHRPSLLGFMDAAGFQPAQAPHPSAPLAGTLGPCAADFSFIPAVISSSSYILPKEEGPRWRPRDARDGRVLLDWISLQPRVVKNWSYSEEGSEVSILMDRKELVDFFDDGRRLMWTKRGRCNAADFHLAVCDPLSRRYVLLPAIPEDLAAQPHDRLWEFEPVLAPNTSDESEENPFKVICIARYLKKLVLFVIESTTMQWCMVESPVLPSLDDMSYFDCVRSCFCWTQCWFWSEKLMVLDTCTLRFSIVNFFTGYHLQLRDTYPGFLRRRPNAVVVGREGAIEMFSLVGQHGSFALHHTSLQDNSHEWKLEKIVQLPGQYQEYSISTVGAAEGFLFFQGAPLGIEFQNVDYYSMDVKTYEITKIYTKMGNLFDRKRAIPYFSFPRLLSEPTI >SECCE2Rv1G0117320.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:771904318:771905019:-1 gene:SECCE2Rv1G0117320 transcript:SECCE2Rv1G0117320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQVLVVPQVSNTSCCTCSNTSSGSLNASSPSSEESSVGKKRPRRDLKHPTYRGVRMRTWGKWVSEIREPRKKSRIWLGTFDTAEMAARAHDVAAIAIKGRAAHLNFPDLAHELPRAASAAPKDVQAAAALAAATPSPVDALSPDAIAVEEEVSEPAAPEQASSPDCLLIENAGPENGCIGLDFDYAFLDVPDFLLEFGFPSPEPPSYCGSPWDAVDDSLFFGEPLLLWEH >SECCE3Rv1G0195410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:797199435:797201246:-1 gene:SECCE3Rv1G0195410 transcript:SECCE3Rv1G0195410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYVPLRAIVSHRRAPTRRLLLRLHAHLLTSGRLASTPAILTSLVSLYARVPALHPVVHRLLPPTSPLPCFNAALSLPYPLALRVFSRLRAAHFPDSFSFPPLASSAPSPIHLLAIHALSLRCDVAHDLFCASALLRGYLRFGLADHAHRLFDRLPLRDVVVWNAMVNGFAKLGCFDRAMDCFLRMRQDGTVEISAFTVTGILSVCTAAADFQCGAAVHGMVVKSGLDHNASVCNALVDLYGKSHDVANAAMVFEGMAEQDRDLFSWNSMLSALHYSADHMGTMRLFRRMRHAAVCPDAVTVAAVLPACAQTAALKVGRTVHGYIMTIGLARGGALEVRACNALVDMYAKSGALDEACRVFYWMRQQDVASWNIMIDGYASHGRGKEALELFRQMTEVKGLVPDVVTLLAAMSACSHSGFVEEGRSLLKRMKEEFGLEPLMEHYACVTDMLGRAGRLDEARKVVEEAGDVGAGAWRTYLAACRMHGDKERAQEAARMLMTTKEPGSGGWVLLANTYGWDGNFEGLEEVRGEMRRQGVQKAAPGCSWVEVGGGNGKSGTVMHTFVSGDKEHPEADMIYEMLHSLISWMRDCSDLSTTTPLYN >SECCE7Rv1G0463460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:53663042:53664607:1 gene:SECCE7Rv1G0463460 transcript:SECCE7Rv1G0463460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQLNVLKALDAAKTQLYHFKAVVIAGMGFFTDAYDLFCIALVTKLLGRIYYTDPALNEPGHLPANVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSIASGLSFGHEAKGVMGTLCFFRFWLGFGVGGDYPLSATIMSEYANKKTRGTFIAAVFAMQGFGILFGTIVTIIVSSAFRHAFPAPPFYIDAAASIGPEADYVWRIIVMFGTIPAALTYYWRMKMPETARYTALIAGNTKQATSDMSKVLNKEISEESAQGERATGDTWGLFSRQFMKRHGVHLLATTSTWFLLDVAFYSQNLFQKDIFTKIGWIPPAKTMNALEELYRIARAQALIALCGTVPGYWFTVAFIDIIGRFWIQLMGFTMMTIFMLAIAIPYDYLVKPGHHTGFVVLYGLTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAATGKAGAIIGAFGFLYASQDQKKPETGYSRGIGMRNALFVLAGTNFLGLLFSLLVPESKGKSLEELSKENVGDDGIEA >SECCE3Rv1G0209890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:941181067:941185814:-1 gene:SECCE3Rv1G0209890 transcript:SECCE3Rv1G0209890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASPAASPPRRRRPRDGSPRRGDHRKPRPSPSPSPSPSPDRDADRRRRRSRASPPDRDAGRRRRHDPKPPEDNGVAKPGKADDPPRSRARVSDGEEEDGRRARRPRASDDEKEGDRRRRRPRDSDDGRDDRRGSKRDRDRRRRRRSPSSESGSSPDDRRRRHRRDEKESSRRRVEDRGREERRASPERKEPTPPLPPPPPLPEMIPGRTGGIYIPPFRMAQMLRDVEDKASPEYQRLTWDALKKSINGLVNKVNATNIKNLVPELLAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVMLQLKRAYKRNDKPQLLEATKFIAHLVNQVVVHELVALELLTVLLDNPSDDSVEVAVGFVKECGAILQDLTPQGLHAMFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHDMSLETELDPETNLNVFRVNPNFAEDEKAYENLKKSILGEDDEDEEGSDDASDGEDEEESDDEEDEEQMEIRDKTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELNIMLLECCSQERTYLRYYGLLGQRFCMINKVFQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQESFESVFPRDHPKNTRFSINFFTSIGLGGITESLREYLKNMPRMIMQQQKPPSPSESESSGESSDSGSSSQSESSSDESEKKRRKRRKK >SECCE4Rv1G0225810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:100220385:100227360:-1 gene:SECCE4Rv1G0225810 transcript:SECCE4Rv1G0225810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLFATSAMEAPMSSSLGAMGPLLRKLHSLLDPDCRLPKPLKHGIELLKEDLEELSAHLLEQSMADSPNHKAVYWMDEVRELSYEAEDCIDDMMLRHTGDVAKTRPVRSHRVCRVKVSRLFKSLKPRTRVSKIAELRTLVLEASERHERYHLDDCASSSSRVFTGHNRVPGLYGQPTDFLVGIDDLKIKLTKWLTEDADQQLKVMCIDGPAGVGKTTLAKQLYRELGEQFDCWAFVRASRRSDTKRLLGDILSQVQHCRLPSYSCEVQNLIDNLMKYLQDKRYFIVIDDLWETTTWDIVKSAFPDGNNYSRIITTAETDGVALECCGSQSDNILKMKPLGSHASAELFFSIVFGSEHRCPDQLKEVSDRIIRKCGGLPLATICIAGLLASQTDNSELWHHLQKCLCSKLSTSPTLEEMLKEVLNLSYSSLPYYLKTCLLYLTMYPEGYTMWKVDLLKQWISEGFITAKEEKEVEEIADIYFYELVNRGMIQPEQINHNDEVYSCTMHHTVRDLIMYKSKEENFITSIDYSKAITGNSNMVRRLSLHFSSAKHATKPSGVILSQSRSLFFFGLLRCLPSYLEFKLLRVLTLEFWGNQYGHTSLNLTRICSLAHLRYLKISCDMIVELPAQMQGLRYMEILEINARISSVPLDIIDLPGLLHLSLRDVRNLPDGIGRIRSLRTLQYFDLGNNSEDNVLSLGGLMNLQYLHLTYSTVQSDEHLKRNMVALASSVIKLVNLKSVILAPGVLSTAIYHDVLSSVSSPPVFLEGLQRLDLLPPICMFSRVPKDIGVVRKLCYLSLVVRELRRNDIDSITGLPALTVLSLYVRQPPAESIIFNNGSFPALKYFKYMCGVLCLAFQEGALPNVHRLKLGFNARKGHQYDVFLAGIQHLVNLKKIDGIIGAAEGAEEPDRSAAESAFKDTIHKHSRFPSHVNVKRVDWVEEENEPRTEVKSSSSKYHEILQEQHGVNETEEDTKQFADSGVTNQIMQVSPQHMDLCPDDTKLTMPSRNIMSFPEKLQDNIAAEAVSSSSTTSSAQSPQSWSAPSSDHSLPETYTWDPEGSPWSRASRSPSLMPGNTSSPQSAMHPMLSPEDHISRTEGTWSTAYFHPLALPPSAISQLKTTLSNQPAPKVEMSLVGQWQKRKLIGSGTFGDVYVATNRHTGALCAVKAISIIPNDSRSAESLKQLDQEIKFLSQFKHENIVQYYGSETIEGHLYIYMEYVHPGSINKYIQQHCGAITESIVCNFTHHILRGLAFLHGQNIIHRDIKGANMLIDGNGVVKLADFGTAKHVSASLQCLVASSYCTVLCLLFKGTFYFPFGIRRASR >SECCE6Rv1G0412750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:616809646:616810308:1 gene:SECCE6Rv1G0412750 transcript:SECCE6Rv1G0412750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCP2 [Source:Projected from Arabidopsis thaliana (AT5G42890) UniProtKB/TrEMBL;Acc:A0A178UHV6] MEASKLKAARLLEQMSAHLATDAGKEIAKKVGFVYQLNISPKKMGVDEEIFVVDLKKGAVSTGKYEGTPDAAFTFTDDDFLAIASGKLNPQMAFIRGKLKIKGSISAAQKFTPDIFPKPSKL >SECCE6Rv1G0433280.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:757587228:757588265:-1 gene:SECCE6Rv1G0433280 transcript:SECCE6Rv1G0433280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSMSRLLKTTVTLLILVILLMPGAIAATSFDASRSQQLPLPRGTVHGPESVAFDGLGQGPYSGVSDGRILKWNGDKLGWTTYAYGPGYDPETCTPSKFRPETEAAREGRCGRPLGLRFNQKSGDLYVADAYKGLMRVPPGGGEATVLVNNVDGYPLRFTNGVDVDQVTGQVYFTDSSMNYQRWQHEMVTRTGDSTGRLMSYDPRTSDVTVLQAGITYPNGVALSADRTHLVVASTGPCKLLRHWIRGVNAGTSEPFADLPGYPDNVRPDTKGGYWVALHREKNELPFGRDSHRLAVRVGNDGKIVEEMRGSKKVRPTEIMERTNGKIYLGSVELPYVGVVKRK >SECCE1Rv1G0003010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:11775915:11777680:-1 gene:SECCE1Rv1G0003010 transcript:SECCE1Rv1G0003010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETNIVVNLKIISFEDDEKPPTSRAAGSNGGGLVSIKPVKYFKEDAALSADTVTAEVEINASSSTTAREGLDLVVVLDISESMKDEDRIGAMKKAMHFVIRKLTPMDRLSICAFSNKAGRHSALRSVTPAAQGELIAVVDRLMAAGGTNIADGLSTALAVVKFRRYTKGRTANIFLMSDGRQSEGDATLVDAGDVPVYTFGFGKDADQELLNKIARKSLGGTFSSVPDRTSLSIPFSQLLGGLLTIVAQDVQLTLTPNKADDDVDAINVSPGTDYTADTHPDTGVITIKFGTLFAGEGRRVVITLGLKESKVTDEYDATLAEAQHSYRVQGKLQKQTPQDILILRTQSPSEAPGTGIKARQLQAEMARREHAQAIRAAREEADKEQLDDARYIIMDALNALEDVVVNDGGKLVNGLRAELQQLLRLMETLELYLAQGRAYALAAETSHGRQRYAAKGGVDTNGQDRTFNTQRMDEYLDQALKYDKNPGDPIRSADQDTQEELKANPLSAIGPELAVYLQNAIQALQAIERIIAPST >SECCE6Rv1G0409390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:588161552:588161972:-1 gene:SECCE6Rv1G0409390 transcript:SECCE6Rv1G0409390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Atypical basic helix-loop-helix protein, Control of cell elongation, Regulator of grain size and leaf angl [Source: Projected from Oryza sativa (Os02g0747900)] MSSRRSSRGAISDEEINELMSKLQSLLPNSRRRGSSQASTTKLLKETCTYIKSLHREVDDLSDRLSELMSTMDHNSAGAEIIRSILRS >SECCE3Rv1G0206100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:905074824:905083930:-1 gene:SECCE3Rv1G0206100 transcript:SECCE3Rv1G0206100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEPESAAGGGGAGEDAMYATGPAPAEAVAEAPAPAPVPDVNMNPLKRPSESRAQGDEADGQKRQKTESQVFTPRRYQLDVYEVAKARNTIAMLDTGAGKTMIAVMLIKEFGKKIDKSNNNGKIIFLAPTVQLVTQQCEVIKTHTDFAVELYCGASGVDQWTPQKWKEQVSKSQVMVMIPDVLLSALRKAFLSLDMVSLMIFDECHRATRNHPYAMIMKDYYHQSDYKPKVFGMTASPVIRKGVSSNLDCEVQFAELEKLLNAKIYTVADRAEIELCAPSAKEVDRYYDPKTVCFKELSEELGLLHSEYDALITALQNKPNYQNKESDEIAKESRRRLSNSSAKILYCIDDVGLLCASEATKIYIERGQRNGWLKKASDATNIQSVANSSFLLAEISALHLKFFEDMSRIVDKHLQQGSDVLLNSESGCVEAIKTGYISPKLYELIQIFRSFSNFDHIRCLIFVDRKITARAMERTMKKIGYLSHFTVSSLTGGSSSVDALTPKMQKETLGSFRSGKVNLLFTTDVAEEGIDVTDCSCVIRFDLPKTTRSYVQSRGRARQKDSQYILMIEKENVKQINLISAILRSKKSMVETALNRDSEDIVPGFFPVEEKNEYHVGTTGAKVTAGSSVSMIVQYCDKLPGDKYDTTKPLFGFTNHGDGFVCTLTLPSSDVLPPLVGPKARNKRKAKQLVCLDACKQLHQLGVLTDSLCISVEEPPLESVSKTDVLTCLAGVGTTKRKELHGTTRVCGLSGTWASERTAVKLQGYRMKFLCDQVGQKYSDFVLLIDKTIAHEAANLDIDLFLHDKMVKASVSPCGLFELDVQQLEQAKLFQALLFNGLFGKLFTGSKSSNVPREFILSKDDTFIWNNPNMYLILPMDPTVESHDISCINWRVIDEAATAVKLLRKVYSEEKMNIHGILDFDQNDEDLIHLANTSCEAHFLRNVVVLAVHTGKIYTALHVADLSANSTFDGASDEKETEFHTFAEYFEKKYGIVLRHPSQPLLVLKPSHRPHNILSSKLRDEGNGEKKKGGTSDITKANNRVHMPPELLIPLNFSDDILRTFYLFPSLMHRIETLMLASQLKSEISYDNSNVSSFLILEAITTLRCSEDFSMERLELLGDSVLKYIVSCHLFLKFPDKDEGQLTSSRVDIISNAALYGFGIEHKIQGYIRDAAFDPRRWLAPGQLSIHPVPCNCQVNSEVVTEDINVKVGQLCDEGHRWMCSKTISDCVEAIIGAYYVEGGLRAAMAVLKWLGINVEVEEELIGQFLSASVQTYLPKNDVIEKLEAKLGYVFLMKGLLLEALTHPSLQESAEGYSYQRLEFLGDAVLDILLTRHLFYSHKDTDEGELTDLRSASVNNENFAQLAVKHKLYQFLQHSSGKLPENITEYVDSLENPSVDKVNLLSDAALRGPKVLGDVVESIAGAILIDRKLDLEVVWGIFKPLLSPIVTPEKLELPPFRELLEWCNKSGYFLGIKCTDGIKRTDGDKIEATLDVQLKETLIVRQGCGKSKKDAKAHAASMLLKDLEEEGLLVPKNPSSTQQFQKRGGTANHCNNIFDAMDIQLSTPTRGNKPTGSKVAACLDKPVDWAVRMSKGGPRVALYEFCKKLQWPVPNFDCAKVEQSVPTPQGQGFTFASTIKLHIPNSDVISLTGDCFADKKSAMDSAALLMLYELQRRGRLQVQEIRVP >SECCE2Rv1G0063870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:13120:15848:1 gene:SECCE2Rv1G0063870 transcript:SECCE2Rv1G0063870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQTMMRIVRPCFKPSFPDGAQVVAAAGGGTREGLLWYRDAGRHACGDFSMAVVQANQLLEDASQLEAGPLVAANGPCGTFVGVYDGHGGPETARFVADTLFHHLKKFATEQQTVSADVIRRSYAATEEGFLNLVRKQWLIKPQIASVGTCCLVGVINEGVLYIANTGDSRAVLGRVERGVKDVKAVQLSSEHNASFQEVRDELRQLHPDDPRIVVLKHNVWRVKGIIQVSRTIGDAYLKSSEFNREPLLARFRIPGPFHKPILCPEPSIEEHRLCAEDQFVIFASDGLWEHLSNQEAVDIVHCSPRNGIARRLIKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFMDPTLIGRRLYGGPLLSLRGGGSTPTFAQKC >SECCE3Rv1G0182390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:627649428:627651419:1 gene:SECCE3Rv1G0182390 transcript:SECCE3Rv1G0182390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQFILRVPPSVAEQIERLMNESAAGSSSNPDDASLDLSFSEDGRSGTFMIGNQRFPASLLDLPTVVESYKTYDDSFLVKTADIGQMIMVREENDPPPEEVEYKHGLTPPMRDARRRRFRREPDLSAELVHRVEKDLISIMQGVPVNQNAGVAGGGEGGDRKKAAPAPAPKPDVQAPAANGEEAEADRSDSDESDS >SECCE6Rv1G0439050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:794127183:794133255:-1 gene:SECCE6Rv1G0439050 transcript:SECCE6Rv1G0439050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPAAPTEASAPPLEDCLRLLRGERDEQKLAGLLIAANVCRAGDAAAVAQVYRAVGPRFLRRLLNTGLGKVEGGKEEEREAYLRLAVTVLAGLARAPEVAADAGVVSTVPLIAEVVSKSPDLTITEECFELLSLVAIASEDGAYKFCEPGVMDMLFSQISNFPDGSRCLELSTHLLQLLVHKLKADNMTVENLQGMASMVTSLAMLFGVLHTAVKFESLHMLATLLSQKESPLHDALRSLPSTIWKSHIRGGIIDVLQNRVVSSEKLQALLLAECMMCILGENWLSEDHKILDNKNAISVDKFVLLVLQSARVEVAVLLNELAFSKYESSKSSQTDDGIIQKQRNLAILFSLIERIIKMISDASSGEGEPSQTICERTIMQVITGLNETISLVLDFLQDAKDHGQRKGDDLLAAVRIVGSYLAETPYACQEKTGHLLEFIFSIEGQDESSPFYSVRFMLPMLSQITTTADGCRTLVSFGGYKAIIDCLIKMTEENEMMIDDGSMFLACDTIINIMSNRKNYPIQMEPCFIRLLQALITWAGTTDASSVIMTASSLCTMVMELTSEEFLLSCSGFDPKTLGSLSDLIVRSLRQYIPDDDSEQLNQKQIIASGYRRWADRFPSVRNVVHQHASV >SECCE6Rv1G0411810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:611186244:611187728:1 gene:SECCE6Rv1G0411810 transcript:SECCE6Rv1G0411810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASPSLSPGACLTLPPPVPCAAPKPRRRTPPRDVVSWTSAIARPAREGDLPATAAALSAMLSSPAAPAPNDVTLLTVLSACAGAPSSPLARPLALSLHALAIKLFPGHLLLCTCLARFYLASRLPHHALQLFGSMPVTSVVTYNTMITGLMRNGLVAAACEVFDGMPEPDKVSWTALIDGCVKNGRHDEAIDCFHAMLLDGVETDYVTLVAAISACAVVGALGLGMWVHRFVTRQRLEGNIRIANALIDMYARCGKVEFARQVFDSMRKQTVVSWNSMIVGFAANGRCTEAIEHFEAMRRKGFKPDAVTFTGVLTACSHAGLTDEGLRYYDAMRAEHGIAPRMEHYGCMVDLLGRAGRLDEAMSMVASMPMRPNEVVLGALLAGCRMHVDVDMAEQLMQYLLEQDPGGDSNYVLLSNIYAAVGKWDGAGKVRSLMKSRGVKKRPGRSAVEIDGDVHEFVCGDRSHPQAAEVLDMLGLLSHEMAGHEAVSYE >SECCE5Rv1G0299590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:19141568:19144207:1 gene:SECCE5Rv1G0299590 transcript:SECCE5Rv1G0299590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRGEDIIIMPREVGERSRRRRREHMATARDDCGASLPYEMIIEVLQWLPVKSVFRFRAVCRSWAALLSSDEFRRLHMSAAKVAKRRPPPAKLLYISPTATFDSTAVYSCSFSPSSSSSGRPRDRGDLLFTIDGARGNYVEVVTPAPCHGLTLLYDALDTAYYICNAATRAATRLPPSSDVACDSSAGLGFDARTDEHKAVRLINRMFHQKDLDPVSCEVYTLRGPFVDCRWRPAARGVPSSLHKFVHAAVLNASCNKLSPVFANGCLHWLMAPAYFITTPSVAIVSFSVAEETFTCQRSPPFWVPGAPPASRNWSSGEQLLEMDDQLCLVRNRMPHGSNTLEIWKLLDYTSGDWLLNHRISLSGHLARDLRQSQILRVIGSFGSYRSPRKKIIIATSMHKIFDKYQKMVHTYDPRSEALETILSITETHPTPQYGCPSSRFSFIQETLAPVHTTDEEIALSSDLAKATREILLRLPAKSAIQSKFVCKQWFRLIESKNFIQSYFQHKNIDKRPKVMLLVKSTGRLGFSFAPLNKCLQEAPSHGTLLDIKVVCSKPCHGLNLVSTETKDYLCNPCTDFHRGYSNLGPNLHLRPRMPKTEEHAFTVGNKNVGLTFNPLTREHVIVEIFYHRKDFESRKYDMSCALHWCGTPNAAQEHSVPPLPVNDMPPAYVEGMLYWMNEPRLGQSCEWAIVSFDLATSTFDVVTCPLWFARWSSRNRCRAFVVELEGVLCAVLADPVADKLDVWKLEHGQWGRAYTIHLEACPGYSLKTSVVVPLAVDPDHGRILLNTGRKIGLYDPVEQTIENLYPLDHVPVASSAHLKSLDMPSTSSGDTLTCSKEESVVETNIMDSKSIPCVPMLYEESLACYSFVRKANCLW >SECCE5Rv1G0361110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:777647810:777652899:1 gene:SECCE5Rv1G0361110 transcript:SECCE5Rv1G0361110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDNRHKKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDRPLEHVQFPTTIEELEGRRRVDVEAQLRKQDIAKNKILQRQDAPAAIMQANKLNDPEAVTRRSKLMLPPPQISDIELEEIAKMGNAGDPALAEELGEGSTATRTLLANYSQTPRLGMTPLRTPQRTPGGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEIQTPNPMATPLASPGPGVTPRIGMTPSRDGNSFGLTPKGTPFRDELHINEEVEMQDSAQLELRRQAELRKGLRSGFASIPQPKNEYQIVMPPITEENEESEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEILRQSLIKGGESRSTFVPPTSLEQADELINEELLRLLEHDNAKYPLDEQIQREKKKGSKRQANGAAFVPEIEGFDEHELKEASSMVEEEIQYLRVAMGHENESFEDFVKSHDACQEDLMFFPTNNSYGLASVAGNADKISALQHEFEMVKKRMDDEAKKASRLEQKIKLLTQGYQARAAKLGSQIQDTFKQMNTAATELECFQELQKQEQMAGAYRVRNLAEEVNKQKALEQTLQGRYGDLLSGYQSIQGQLEEHKRLLKLQKEAIEAENRAREEEAAAQNRAREEEAAAQDRAKEEEAAAQDRAKVEEAAAQNRAAEEENERKNDSIEEESGQTRVANEEAAGSKEIIGDQMDVDNADAAAEVVGPIPLLPDAQVDSDGASVEQSTSDAQGGDSVTMKEGAIDKVDSSKFDGQDNSMDVDAGSQEEGKNAVAAPDATIVDVGNTPASSDQAVSNEESGVVPE >SECCE1Rv1G0031030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:438007279:438007521:1 gene:SECCE1Rv1G0031030 transcript:SECCE1Rv1G0031030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDDKCGCTVPCPGGTGCRCTSARSSAAAEHTTCGCGEHCGCNPCACGREGTPSGRENRRANCSCGAACNCASCGSTTA >SECCE2Rv1G0109700.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:679776750:679777058:-1 gene:SECCE2Rv1G0109700 transcript:SECCE2Rv1G0109700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRADKENLPPATAAVARPHAVAVRSCKLKRLGRARRRVPLRDITNLFVAESAVAEWQQALLQRPHQGSAAAAAELAVKNGPAGGAVLKPGRYLLRKEFR >SECCEUnv1G0529790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:9011563:9012000:1 gene:SECCEUnv1G0529790 transcript:SECCEUnv1G0529790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKAMAPVALCLLAVTFLVGMADTSRVTPCCGHRSRELRQRVVQARYLLVLTAPVAAGILLYASKADDDLRATGRKLLALFIVGDALSFVSSFLALAVIGLELSCDFAVHYWLAVAVALAAMRLVGAWVVCSRLRAQKLRRAI >SECCE7Rv1G0464000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:58186024:58189453:-1 gene:SECCE7Rv1G0464000 transcript:SECCE7Rv1G0464000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSFGCCGGLVQGFCGLAQVFLAPRGRYPVARPFGGSGSMAGGGSFGCCGGLVQGFCGLAQVFLAPRGRPGDLCPSSSQAGRTGSPRDVEISPEGSSGVARELPFYYREDELSPVARNSPAVAGDLCSSSSMVGLTSWDMEIMAEMEYSRPVARELRFADQGEGLLSPVARNSPAEAPPQPMGMAGGVEEDDGDDDDDCVILDNDPFSAVAVNDEKDGGSDEELQIVAEKGKVACRDFPHSRHLCSNTPFGATSHEKHCAMCYCFVCDAPAPCSYWGKGLSVGDHCHATDKETKWKMLREAFRCKNLPASHPETETETAVYRTMTPLSRLLFDNQIPLQNVVTQNQHTHTSVRASPNVAPTVNAPREGSGASSVRTAQVTRLGEPTVSARRARPVAGAGRGTSNAHTAQVSAPRAFSATGSGSGTSNAHSGQVTRLVQPTVSAQRARPVAGAGRGTSNPHTAQVTHPVEPTVSATRTYPTGRTERGTSNAHTAQVTRLGEQTVSAPRVYPAARASGDSTNAHSAPITYPATANGNQPELDAPEGATVYVANLPYHIDNERLKLSFQRAGVVLFSKVIYDRETGKSRGFAFVTMNTVQEAEKAVRIYHGSGMYGRPLTVKIAAPRGGARVGANHGQSGSALRIFVCNLPSQVNNSRLEELFSKHGKVVDARVVYERRDGVSCSRGFGFVTMATDEESHKAIHSLNKQILEGHALVVKVARERPNRGCLSLSNQIPP >SECCE7Rv1G0501730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:687295783:687298691:1 gene:SECCE7Rv1G0501730 transcript:SECCE7Rv1G0501730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLHGTLHATILEADNLTNPDRATGGAPQIFRRFVEGFEETIGRGKGSTQLYATVDLGKARVGRTRVIAGDPVNPRWYEDFHIYCAHFAADVVFTVKAAQPIGATLIGRAYLPVRELLDAGGQEIERRLDVLDPSKKKIHHGPTIHVRLRFCDVATNPREWGAGLGGARHPGVPYTFFSQRPGCRVTLYQDAHTPDAFAPRISLSGGRTYQQGRCWEDVFDAISDARHLIYVTGWSVYTEITLIRDGSRPRPGGDATLGELLKRKASEGVRVLMLVWDDRTSVESLGMTWGYMGTHDAETAEYFRGTDVQCILCPRNPDIGRSALMGLQTAYMISHHQKIIAVDHDMPVTGSSSRRRIVSFVGGLDLCDGRYDTQFHSLFRTMDTAHHKDFHQPNFVDTSISKGGPREPWHDIHAKIEGPAAWDILYNFEQRWRKQGGDNDLLVDLRALANLIIPPSTVTFPDDQEAWNVQVFRSIDGGASFGFPNTPEQAARSGLVSGKNNTLDRSIQDAYIHAIRRAKHFIYIENQYFLGSSFAWKADDIRPEEIDALHLIPRELSLKIVSKIEAGEHFVAYVVVPMWPEGAPEGGSVQAILDWQRRTMDMMYHDIAIALEAKGIDASPKDYLTFFCLGNREVKRSGEYEPADRPLPGSAYERAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNAKGQVARGQVHGFRMSLWYEHLGMLHNDFVNPGSRECVQRVNKMADKYWDLYASDELNDDLPGHLLTYPVAVTKDGTVTELPGARCFPDTAAPVLGIKSKHLPPILTT >SECCE2Rv1G0124460.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:832876407:832877774:1 gene:SECCE2Rv1G0124460 transcript:SECCE2Rv1G0124460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALHPPPSDLAVRQCHYLWPSGDVGHDDSAELPLPPRPPMPGNLMDDLGTGLDTGDVGDNAELFRQFMALDKQLALLECEEEDLRIEVADLLPVVRLLEAESEYQHETKCGGALIVGHVVEVVDQSHAVVTTSARPFAFCVPVLADVDRALLKPSANVALSMSNLAVVQVLPPDSGWTVPLVKATERPSVTYADVVGCEEQKREVLEAVELPLTHPELFARAGVEAPRGVLLHGPPGTGKTMLAKAVAHHTSAAFIRVSGSEFVNRHPGEGPRMVREVFQAARDNAPAIIFFDEVDAIAAARTDSDDASAADREVYRVLLELLAQMDGFDQCPDVRVIMATNRADALDPALLRPGRLDRRVEFPLPGRAQKRRLFQACTAGMSVDGGVDLEDLVARHEEMSAADIDAVCREAGMRAVRDRRCVVTREDFEEGYHAVAKHIDRGADQFAFYSL >SECCE4Rv1G0288270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:859311254:859314509:-1 gene:SECCE4Rv1G0288270 transcript:SECCE4Rv1G0288270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLRHRRAGGEEEGDGGAEAAVKAGPPSGGEGAEAAGPGRKEALGWLEWSRGWMGVVGEFFFQRIAASHLANPLELPPLDGVSVIVTGATSGIGLEIARQLAQAGAHLVMAVRRPKVARELIQKWQNEQTEVSMPLNIEVMELDLISLDSVARFADAWNARMAPLHVLINNAGIFTIGEPQRFTKDGYEEHMQVNHLAPALLAMLLLPSLIRGSPSRIINVNSVMHTIGFVDAEDMNLTSGKHKYRSWLGYSNSKLAQVKFSSIFHKRIPAEAGVHIVCSSPGIVHTNVARDLPKILVAGYRFIPYFIFDPQEGSRSTLFAASDPQVPEYCETLKSEDWPVCACINYDCNPMNASEEAHNLETSQLVWEKTLEMIGLPSDALEKLIEGELVQCSYGQQKAE >SECCE3Rv1G0195390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:797192124:797195219:1 gene:SECCE3Rv1G0195390 transcript:SECCE3Rv1G0195390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERRSLMEALVTAAQGGSTDTSVLSMLKYAVLPIAKVFTVCFMGFLMATKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGSAITLEKLVQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPSNPFGDSEKCSQDGNAYISFGQWVGAIIVYTYVFKMLSPPPGETFDGEEEKLPVLASEENAMPELGKYPTGTHTSTVPEDEPLLALEGNQKGATSLGSKILSCVRCVVKFLKDKQLLQPPIIASVFAIGIGVVPFLKGLIFTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSKRLGVRTTVAIIFARLILVPIAGVGIVMLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIIFYLTLLF >SECCE4Rv1G0250710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:595914991:595916072:-1 gene:SECCE4Rv1G0250710 transcript:SECCE4Rv1G0250710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTLLLPLALLALAASSAAVANLEIGFYSKTCPDAEKIVRQEMAKIIAAAPSLAGPLLRLHFHDCFVRGCDASVLLESTEGKVAEKDAKPNKSLRGFGSVERVKAKLEAACPGIVSCADVLTLMSRDAVVLAKGPFWPVALGRRDGRVSSATEASDELPPASGDVPLLAKIFASKGLDLKDLVVLSGAHTLGTAHCPSFADRLYTTTSGNGTSGLVDPSLDSEYADKLRLKCKSVDDRTMLSEMDPGSFKTFDTSYYLHVAKRRGLFRSDAALLFDNNTRDYVQRIATGKFDGEFFRDFSESMIKMGDVGVLTGAEGEIRKKCHVLN >SECCEUnv1G0531770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:17810072:17811048:1 gene:SECCEUnv1G0531770 transcript:SECCEUnv1G0531770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARVVALVLLAVGSGLLSVAADTTTVPSPQQFVWQKAHATFYGGADASDTMGGACGYGNLFSEGYGTRTAALSTVLFNDGAACGQCYKIACDRKRADPLFCKPGVTVTVTATNFCPPNDALPNDNGGWCNTPRPHFDMAQPAWEKIGVYKGGIIPVMYQRVPCVKRGGVRFKINGHDYFNLVLVSNVAAAGSIMSMDVKTNDSEDWMPMARNWGANWHSLAKLTGKMLSFRLTDTDGHTLVFNDIVPKGWTFGQSFVSKLQF >SECCE3Rv1G0205980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:904039278:904043862:1 gene:SECCE3Rv1G0205980 transcript:SECCE3Rv1G0205980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCVATPGSGGGGGYHSPASHLLEVEGVRLLLDCPVDLSSLAAFAPVPLGADCGDAGDLIRAVPYYWSPAASAAAKAGGVDAVLVSSATGMLGLPFLTRLPSFANTKVYVTELAARIGKLMMGELVEMHSEFVRYYGPDTDGPPKWMQGDKLHKLLSSLQNIVIEDDGIDIAPLMPLYSAPNIEECMRKSQPVKYGEEVCFNGMLMLKASSSGLELGNCVWSIKGPRASITYLPSTVFVSAHALDSDYNSLKENDIILFSDFSSLNAMDENNENLGDNAMLCDDSALRNGGVDEDEDVQCLSMNDDIAEEIEKISFICSCISDTIKSGGSVLIPIGRLGVILLILEHISETLHSFNMKVPIFMISGAAEKIISFTNSVPEWLCKPRQEKLFSREEEALFGHVELLKEGRLFLFPHLYSKGLLAAWKEPCIVFCPDWNLRHSTAVHLLRRWHADKRNLLVLEQGVDAELSLKPFMPVAIQVLECSFLSGIKVRKVNPLLSVLKPKLVLFPVELKSRCPSKEDSPWSYLYYSKGKTIEIPNIREDFEVGLPIDFAFGLQPRQLDKAIAVARLRAKLHLSNGQYVLVAAPKDQSDQSKRQLLHWGTVDAARLLSALQEKGVECAFPADDDDGSAGCLRSILITSPGEALVKMAPERTVIYCDDETTTRQIYDSVSSVCNGI >SECCE7Rv1G0508180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:770133573:770135884:-1 gene:SECCE7Rv1G0508180 transcript:SECCE7Rv1G0508180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENAGRSTTTIGLLRRGSGVSLRNQSNEERPNQFQNKSGNTTKLNPTKATWAGNKEKPGYLRDSCNSSGSKSVSASSSKAPVRKNYDEKLRRPLSAQFNNAESSNRRTVVNSLQSSRKAIADEKDGHPCAQQIDSEDSSSTSTTGDQPTGLDPEVLDSSVSSGSSPHAVDSVARNTALRTKPRRQKDKEEIGLGKTQTASTSVHQAAGPRNLSIGVKSSNGAGPGVQRRGIKNLGCTSISDVLPSGCSSSNSVHGKRTEITRKRISDGETSSRPRGLSGQPSLYLGSTGPRVRDSEQSASQQTTRTNNRVIRDSADSVRTRRPSTQQARMRMPDETEQGVFALRETVSGSRQPDWARFSMNEAPPQRSTRPFPMELPHAIYSSSRQGSSNRASRSRGSSRPENSSPQMFRDVYRRMNMDGIAEVLLALERIEQHDELSYEQLLVLETNLFLSGLGLNDQHRDMRMDIDDMSYEELLQLEDRIGSVSTALSEEQLTNCLSRIVYKRANAALEVNKPVVHDVKCSICQEEYIEGEEVGRMNCEHQYHVCCIQEWLRQKNWCPICKASAVPSSDADKLDT >SECCE2Rv1G0124710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:833958230:833959354:-1 gene:SECCE2Rv1G0124710 transcript:SECCE2Rv1G0124710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPIPKTTKIWLRGVSTLPSRPYPHKGLIVKPAGARALLVVGDDIKVPRQPSSIIGCLCRHYYPGLVPIGDGEEEPAWSWEHWKRAPDTKCKWDREYSSAAERVVNDFWDFFTCAEGMEDEANEVVEAIAKKIVQDMPYEGRVDAVVKYFAHERNMLLKKPLARRVHLTRSMYLKAVPPWCNNKIPCYQQIISRWINPEWRAAHRACSERRGMMGGPVHLQGNLNLHAYVQKKNRERGEGEPRLNTFTGLCLSRTSKKPGGGGST >SECCE5Rv1G0375210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:867205453:867207645:1 gene:SECCE5Rv1G0375210 transcript:SECCE5Rv1G0375210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSSSMEGASSSSRPAPASAPISRVSSDDADFFDTHHQDEDDDGEAGPGAYTASDIAERFVRVIDGKVHVDTAAPIDSVRGAVSKFGGILDWRERRQQAEEELGVVHAEAAEYQRRTREADAGRAEAQQDLMGATGEIDDLWLTVKRAQIAEAQARKDSELAKLRLRKLEKGVRERTAAKAELDSVRGRHAAALAELRAARAEMDALNKERDAVAEEASAAAARVRNTAGEAVQAGEALKEAAAEFEVLRAELESARVAHDAAEEKRMRLALAWREDKVRWQNELEEAEMEVRRLRDELVAAGDLESQVAAASEHLATLRAELFARAIQGASEEEEDKRTSVASSTPGMVGKAKKELEEAKGSVEKAKDEAKILHVAAASLRADLEKEKAELAALRQKQSTSSSASIPSLEEELGRVTSELAAAQARARDSEEEKKKTTAEQLDEARREAERAKASARATQEEVAAAREDARVTKAAVQAMEARLEAMMREILASKASAETAAATADALLQQQEATKSAQAQGGAVPEDCVALTTEEYEELSRRARGTEEAAGERVEEAVRQIKEARDAEARSQEKLAKLGRDTELRRQTLRAATEEAEQAEYGKMAAERQLQAELRRRAGSGGYETASPRTGLAEIPAFEGGHDGRGGNPHILSPRAGYMPRADMAAMSAAEEAGQKKPFFPRMVMFLAKKRAQTWNGK >SECCE6Rv1G0416750.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:650531535:650531909:1 gene:SECCE6Rv1G0416750 transcript:SECCE6Rv1G0416750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVREGEEPKSAVEIVEQVLKTEVKQSTFLRNVGLQSSRNNSGKARTGVAAHVRDLEQKLERSELQAEVMQEELVAMKMKAEESEAARDKELELLRKKYQEQEEQLAHLMALFGAKVV >SECCE1Rv1G0003710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:14933028:14933261:1 gene:SECCE1Rv1G0003710 transcript:SECCE1Rv1G0003710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTDVTGTGDLAVDSWQKSWPEVVGKSIKEAREIILKDKPDADIVVLPAGSPVTRDLRPNRVRIFVDTVADTAVTG >SECCE3Rv1G0167200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:199686753:199687232:-1 gene:SECCE3Rv1G0167200 transcript:SECCE3Rv1G0167200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPDGINIPDGKFYLGYAGYACRPCFLPPFRKTRYHLNEFSGRNYPRTTQELFNLRHSSLRVTVERAFGALKNRFEILDQKPFHPYSTQVKLVIACCIVHNWIPQWGFDEHMPEEEEVEPDDVVSSVYGVEAFDNDAWRNKMFEWAEAMWLNRGQCRI >SECCE4Rv1G0243250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:499179406:499181288:1 gene:SECCE4Rv1G0243250 transcript:SECCE4Rv1G0243250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRAGGDYISSLLTSSPMLDLGVLDGAVAAGGDCLEKFCGDPGFAERAARLSSFNGQHFAPGLFGMPPPAPGAANGGEFGGSREASSVSDPASAMKDANAKKRKAPAAKGKAKEPSLSTSCQVGEQKESDGKRCRTGDAEKKVKPKAEQAGSDSSVEDGEQRKGKGKNAKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKFLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLHKDMYGPSASSVFSLESSSSAFPFSDQGDVFQSFLPNSMESQCTLNQLDLALSQATNAAQYGFQDGTATASTNLQQQRNFWEDDLQSVFHVDTNRQSQDNGVSAESFHGDLQAGQMKMEF >SECCE2Rv1G0108310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:660399142:660401858:1 gene:SECCE2Rv1G0108310 transcript:SECCE2Rv1G0108310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGEEGKQQPQLVLAHKLFLLSQPDVDDLAKVGLRDDVLAAVKSDDMAALYESLAADGVLEMDAALLAEMRGRIEEETKKFDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFHMDFDLISKSVDKAKILFEEGGDWERKNRLKVYEGLYCMATRNFKKATSLFLDSVSTFTTYELFPYDTFIFYTVLTSVITLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYDCQYKSFFVAFSGLTEQIKLDRYLQPHFRYFMREVRTVVYSQFLESYKSVTMEAMAASFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQSTIKQGDFLLNRIQKLSRVIDL >SECCE6Rv1G0429270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:734308392:734309588:-1 gene:SECCE6Rv1G0429270 transcript:SECCE6Rv1G0429270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGAAPLLAVALVVLLALAALPAVHSIGVCNGMIGNNLPAPSDVVKLYKSKGINAMRIYAPESNVLKALSGTGIGLLMDVGNGALPSLANDPSAAPAWVKANVQPYPGVSFRYIAVGNEVMDSAGQKTILPAMKNVQAALAAAGLGGTVKVSTSLRFDVVTDTFPPSNGVFADLAYMGPILDFLASTGAPLLANVYPYFAYKGDPQNIKLNYATFVPGTTVNDDGNGLTYTNLFDAMVDSIYAALEDANKPGMKVVVSESGWPSDSGFGATAQNAQAYNQGLIKHVGNGTPKRPGPLETYVFAMFNENLKTGEPTENHFGLFNPDKSPAYSISF >SECCE6Rv1G0430290.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:740776629:740777003:1 gene:SECCE6Rv1G0430290 transcript:SECCE6Rv1G0430290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKATTKVAVHVRELEQKLERSELQDEVMQEELAAMKMKAEESEAARDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE7Rv1G0469110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:105880890:105881198:-1 gene:SECCE7Rv1G0469110 transcript:SECCE7Rv1G0469110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPNRFMSAEENFEFVRTADCYLNVSIAYRILLTVPVTVTSAERSFSKLKLLKNYLRSTMSQERLNGLAMCCIEKNMLDSIDLDTLIDDFASKNARKSRFS >SECCE5Rv1G0358500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:755927677:755937357:1 gene:SECCE5Rv1G0358500 transcript:SECCE5Rv1G0358500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPQTVSDAEEEEPVELEPGAFVPVDPRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPSPTTYDEVFKSIFDYIDHLFCLVRPRKILYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRLEFEAEGRNLVRKEKSEAIDSNVITPGTPFMFVLSSALQYYIQLRLNHSPGWQSVKVILSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHVLYGLDADLIMLALATHEVHFSILREVITMPGQHEKCFLCGQVGHLAAECQGPGPADNVVELPPIHKKKYQFLNIWVLREYLEKDLEIVDPPFKINLERILDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMNIYRAEFKPMGGYLTDGGEVLLERVEHFIQAVAVHEEQIFRKRARIQKAYENNEDRRRAQGENSEENQYVDMVKLGEPGFRERYYAEKFREEAESKPIDQVQRDVVQKYAEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKSLTEFEITFFLGQPFKPFDQLMGTLPAASSNALPKHYGDLMTDPNSPLNYFYPKDFEIDMNGKRFAWQGIAKLPFIDERRLLAETQKLEDTLTEEERFRNTTMFDIIYVRETHPLAAQIAFLYQMYSQQMLTDPSYCIAIDPAASGGMNGFLCLSQRNWYSISVGSPVKGFNGITNNRVLNATYVNPQYHKHIPEPPEGVIIPPKILKPYDFKPFPVLWHEDNSRRQTRDRPQVHGALTGSVLGEAAHRLLKNSLQIKSGSSAGLLHVPYGAAPYGPGNRPRPSGPLGYERGFVDNPYHAHMSRSAPNPRPQFFGDAQANRQNVRILERPNNRNNDGGIHAGMSKLTIQDGPRMHQNTRMQSPGYFPNQPYPNQYGGFPPQRPMQNASFTPQRPFQNAAFPQQRPVQNAGFPQQRPVQNAGFAPQRPVQNAGFMQQQQQQQQPANGVPPPLPPSTWIGKQPSGGQAAKQDPRANRQPKQDNRQPKQDNLRSQHESRQQAAKVVYRVKGQAPNGLPE >SECCE7Rv1G0516270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844757535:844758342:1 gene:SECCE7Rv1G0516270 transcript:SECCE7Rv1G0516270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGDLKLLGLLVSPFVTRVRLALHIKGLSYEYIETDVLDKGDLLLRYNPVHKKVPVLIHNGLPLCESQVIVQYVDEVWPAAAAAAPILPADPFARATARFWAAYVDDKLFPAWLGILLAPTEAARAEKVGDTLAALAQLELAAAECLDGGKRPFFAGDSIGFLDLAVGCNMFWMEALRRMFGVTFLDAGKTPLLAAWAGRFAGTEAAAAVVPDPDDAVAFARKLQAKYGSAPAPAAN >SECCE4Rv1G0252330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:613481054:613492424:1 gene:SECCE4Rv1G0252330 transcript:SECCE4Rv1G0252330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRCLLRPPPSCSPVPLATPATVGGGAGRFGAPDGARRLGAPRLPFSERGRLCRFYSSKEGVGSAETAAGSGGGGGSSSNNQQEHARLGEKDQQEWLSGERFVTGCKRRESPFLTKRERFRNEFLRRAVPWEKSSLTWGNFPYYVDKNAKQLLTECLASHLRNKDATLEYGSRLQSSGGRILLQSLPGTELYRERLVRAIAHELRVPFLVLDSSVLAPYDNGEDCSESEEENGQAESEDEGSESEGEDEDYNEAKSGESDDDEVVKSVENLKKLVPRTLEEFAKRVVSAQEDSSAAEESTAESPEEEKRPLQKGDRVKYVGESVLVEADHRVILGKIPTQEGAAESFTFISGRTLSNGQRGEIYEINGDQVAVLFDPPEEKLDDGKNDEANKEQDAKPSVCWVDTQDIVHDHDSEAEDWHIAMEAFCEALPSLQPAIVYFPDSSQWLSRAVPRSKRREFIEKVEEMFDQLNGPLVLICGQNILEAAPKDKDPKALVFHNLSRLSPLTSSLKRLVGGLQGRKPSKSNGIAKLFGNKFYIPFPKDDEQLRVFNNQIAEDKKIIVSRHNLVELHKALEDHGLSCENLLHVKLEGIILTKQRAEKVIGWARSHYLSSAINPSIKGDKLVIPRESLDLAIERLRELEASTKSLSENMKMLAKDEFERNFISAVVPPHEIGVKFEDIGALEDVKKTLDELVTLPMRRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSSLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAMEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESEFGFDELANATEGYSGSDLKNLCVAAAYRPVHELLEEEKKGSAGSTKTCLRSLKLDDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS >SECCE2Rv1G0082010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:147216168:147217385:1 gene:SECCE2Rv1G0082010 transcript:SECCE2Rv1G0082010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASVLATALAFASILITGRVQSVGVCYGMNGDRLPSPAEVVQLYKSNGITAIRLYKPDVETLQALSGSNIDVLIDVADETVARLATSVPGAQLWVRFYIQHYPGISFRYISVGNELTGAATQNIVPAIKNLNDALDAAGIKGIKVSTAVRLDVLASSSPPSSGVFKDGYMNQVVTLLGATGAPLLVNVYPYFAYIGDQKDIDLNFALFQPSSTVVRDGGLSYTNLFDAMVDAVYAALRKANVQVPVVVSESGWPSAGGVGASVANAQTYNQNLINHVGKGTPYSPQQLETYVFAMFNENLKTGAETEKHFGLFNPDKSPVYPIRF >SECCE1Rv1G0026600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:372253196:372255880:-1 gene:SECCE1Rv1G0026600 transcript:SECCE1Rv1G0026600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPAAACPTELEFARAQCRALHDRLAASPSLPRHPALRSLLRLVAAELRFLASSNHPDPARPLSSNLPHLGALHLLLTHPAVRSPSRLSPIPGVDFACAFRSRPAWVLLSARNPSGFQWVPRKGLHSRVAAVLDAARSAPPATRPEKLLLAFARGVGADIVLGLAEGFGAVEIDLLTEFVGESEDDKEEDGWVSVSFHPSEEMRGFRAFEIEVVDGGGEVLLPPSRRDVEEGSGDQLEGWFADFMGKMRMNSMEMLNLDTTALIAIVSGISNGGVGKLMGAPEAETRARFKCNYKFVMDQAQSELQSPLFVELGKAVDGKKCIICEAVNSEFNEIVSMCGGPEEKTRASQLLKQLIIVPDSPSARMMDLPTTRKLAMKNKVVFGTGDHWRAPTLTANMGFVRAVSQSGMPLLTIEHRPRALIGL >SECCE4Rv1G0287530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:855551330:855553611:1 gene:SECCE4Rv1G0287530 transcript:SECCE4Rv1G0287530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRERWQGCVFVLVLLFNASGTSLGDNRILPSNSSSDFARIVVQSKQTKQAQVCDGADHRQLRSLANTGAEVMVTIPNSHLQHIAEFREEARLWVAANMVPFIPATMITHVLAGDDVMSSVSPGDAMYSLVPAMVNLHAALVAAGLGGRVRVSTALSSVPPAQSLSTGVAGHVLRFLRETGSPLFLLKARASKAAAEAKVGDAYAMMRALGFSGIPMIVAELGLDGALVYHSYLYGRRPTTSGGGGRRSLATGTFCVALQNADPTALQAGLSWACGQGQADCSAVQPGGACYKQNNVAALASYAYNDYYQKSASTGATCSFNGTATTTATDPSSGSCVFAGSTMAGGSTSNSSVPTADAPTSLEAPPSGDLTPPVDSSPPSDFGPPTAGTAPPVGSSPPSDFGPPAAGTAPPTTFDSPAGGFGPPSGFGPPSVFGSPPSAFGPPGSGTFGPSGTLEPYGIGCRHVASLAASTLLSVIILAALHASPVM >SECCEUnv1G0540880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:92413734:92416396:1 gene:SECCEUnv1G0540880 transcript:SECCEUnv1G0540880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDNEWNNLLKDPFSYGAPGSRVLVTTRHETVARGLKAVHPYHHVEKLGPEDAWSLLMKQILTTEKSEPMIDMLKDIGLQIIKKCDGLPLAIKVMGGLLCQKEKSRHAWGKVLNDAAWSVSQMPEELNYAIYLSYEDLSPCLKQCFLHFSLKPKKVLFEDSECVGMWIGEGFVHGDSDRLEELGIEYHRELVLRNLIEPDTSYPGQKFCSMHDVVRSFAQFVSRNESLVLNNGESTSDTFSMQRYLRLSIEIKGVESDTFELRSLQEQRLLRSIILIGNFKIQPGDSMTIFSSLRTLHMESIDCVSLLESLHQLKHLRYLAVKKCSDINSLPQDIHKIKLLQHLSFDGCGNLVSLRNSIVMLRELRYLDLDGTCVISMPRGFHALKELRTIFGFPAQMHGDWCSLEELGPLSHLRCIRLVGLQNVSASSFARKARLGEKVHLSILRLHCSSGFGDDGQKKENATEKDQRVIEEVFDGLCPPPCIQHIYIQGYYGYQLPRWMRDTSTTLLNSLKILMLHDLACCTQLPDGLCHLPCLEVLQVFRAVAIKRVGPQFVQPYSHHHHPSPRVVVTFSRLHDLILNGLVEWEEWEWEKEVHAMPLLEELFIQRCKLRCIPPGLATHARSLKKLSIGSVQGLQSLEGFASVVQLNLADLPNLTNISNFPKLQKLGIDCCQKLESLQGMDQLRRLVLTVYYGTPLPLYLQTVEPSHLLLDCGPEALACMALGKSGPEWDKFGHIQHVEAYADDLGEHIEKKWHLLYTSEPYSMVTNIDPQEYSWSETECEDVEDVEDIQDQ >SECCE4Rv1G0215360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:4586803:4587172:1 gene:SECCE4Rv1G0215360 transcript:SECCE4Rv1G0215360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRCMFLLVLAVTLVVLSPDVAVKVTAGNCRPIIDKGDCNLDVCKSRCNEWNGHSVSHTASCVPDGCQCYVCF >SECCE1Rv1G0019540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:237968988:238027194:1 gene:SECCE1Rv1G0019540 transcript:SECCE1Rv1G0019540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLGFRWLLLLLAFAAAVELEARFVVEKNSLMVTSPTALRGRHDSAIGNFGIPQYGGSMAGAVVYPNGNSDACEAFNSGRKEHLFRTKPGALPSFLLIDRGNCLFVKKVWNAQYAGASAVLVVDDKDEPLITMDLLQDDDEAAKYIQNISIPSALIDKKFGEQLKKAVKDGEMVNVNLDWREAVPHPDNRVEYELWTNSNDECGPKCDMLMHFLKEFKGAAQLLEKGGYSQFTPHYITWYCPQVFVVSKQCKSQCINHGRYCAPDPEQDFSTGYDGKDVVVENLRQLCVFNVANEIKKPWIWWDYVTDFHIRCPMKDKKYNKKCAETVIKSLGLEVKKIDKCMGDPNDDSDHPLLKMEQDSQIGKGSRGDVTILPTLVVNNRQYRGKLGRKAVLKAICAGFEETTEPNVCLSDDMETNECLSDNGGCWQDKAANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQESGDQSCKCPAGFRGDGVKKCHDIDECKERKACQCPECRCRDTWGGYDCTCSGDLLYIKEHDTCISKTTVQAKAAWAAVWGILVALVIVAAGSYVVYKYRLRSFMDSEIRAIMAQYMPLDSQGEAPNDSHEVHPLAH >SECCE5Rv1G0335430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:574148433:574152427:1 gene:SECCE5Rv1G0335430 transcript:SECCE5Rv1G0335430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALRCGGGAAAHMAAHIITPPRNGDARCAILPMRAGIRELPLRASLSPSSVSPRTVRCSVFRRRRTGGHCFQNRGMTEGWEALKAATADMFRPLLLNISDMRSLNTFYDLEDYQIGMLFGAFAGLVGVYQLWRAAPPIFVDAALGYIIYKLSVISSELHRLRKSNSLINRLKFGFLLFMALKDFKNKYVLLDIIRLPLFFLYLGTFMFDVAGLKKYGRRVLISFVNLLKMRGGIKEIFRIVWYPGYVSPYDDSFGRR >SECCE3Rv1G0163160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:145414216:145416744:1 gene:SECCE3Rv1G0163160 transcript:SECCE3Rv1G0163160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLAIDPEVEELIAEARKESAMAGERERIKMLEDAAQGRSNAMDPEEVRRKTIEAEEMKQLKTLKRKDARVTGSANKLEASEIFDEKRAKSVVGAKAVKAKDDTHIDDSYDEACVYRHDWEELWAGTFGCFEDTTLIPSMRYTDKPPASELFASSYMHTLNVFSVKLAGIDDSLRWPLHVFGIVAARDYLDHNRNIIFLRDRDNCQIIHQKDPYLELTGPTRGVVVVDPTEFEVKLKVKGSTESGDRDLSFLITRYDCYDSEDRSRVINRVMTSPLSTLELTFGHIVRSVEATISVRFIGGTWPDGFRGLFTASTASIHHMKVTLLAFQDGKLRVDSCGMVALSRDVASVELDGKLKVSVVARGEDKEAVEESADMVFTPKKAGRSCDIMKIGSCEMEVIVAWSVFTHCMSARDPSACLTVWDPPFFLPSD >SECCEUnv1G0562240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:363536866:363537975:1 gene:SECCEUnv1G0562240 transcript:SECCEUnv1G0562240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQHSHLDGDRTGKRPRRAPKKHLYLVLDDWDTGFSIYKVDANTLQDTCTSTSDVQFGFPDPPVLRFPVPVRHLGMSFTAFGNSIFIATNPGCPQTPTLVYNTKIAGITIGPSLPRSLLGGIDISVAAGDTLYGLTSRHAGEQHSFEAMSWAATGSDELSDPRPAMDWSWKSVPSPPPFATDDIISSYALHPDGHTIFMSAHDILYQHVPKGTFSFDTKRCEWRWHGEWALPFQGQGYYDSELDAWIGLRKDGHICACEVASRSRESAVQPCCKIAKEKLFLKVPERRVAATRATLAYMGNSNFCLVDCVQREGVEPTCIFDCCVLHMSTFGLKYDRRGELQTTRHCSNSCVVSKHILAFSPLVFWM >SECCE3Rv1G0180010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:558290561:558304460:-1 gene:SECCE3Rv1G0180010 transcript:SECCE3Rv1G0180010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQSEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLMKAREQQQQLPQQRQQQPQHIQMQQMLLQRAAQQQQQQHQQQQQQQQQQQQQQQQQQRRDDSYLLNGTSSGLSGNNPLMRQNQSTANVMATKMYEERLKLPSQRDSSEDASMKQRYGENAGQLLDPNETSLLKAAASGQSSGQILHGSIGSLSGTMQQIQGRSPQLPGHAQSIKTEINPILTPRATGPEGSFMGLQGSNQAANNLTLKGWPLTGLEQLRSGILQQKSFMQNQHQLQQQIQFLTPQQQQQLALQAQQNMASPTSSDVDSRRLRMMFNNRNVVLGRDGQTTSGGDIIPNIGSPSQSGGDIDMLIKKKIANAHHHQQQQQQLLQQQSNSQQQHHQAVSSQQSQSSNQLLQQEKPGSGNMPVDGGMPNSFGATDQSTKKRKKPGSSSGRANSSGTGNTAGPSPGSAPSTPSTHTPGDPMPVPQLQQNGVSAKPLVMFGSDGTGSLTSTANPLGDVDRLLEEGLDENVESFLSQDDMDPRDTLGRCMDASKGFGFAEVAKARASANKVVCCHFSSDGKLLATGGHDKKVLLWCTDPLKPKSSLEEHSFLITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDVICSCDSDGEVRSWSINNGSCLTCVKVFKGGATQMRFQPRKGKYLAAASEKAIYILDGETQHACRSPLQGHAKDIQSVCWDSAGDYLASVSEDAVRIWSFTSGQDGEFVNELNCSGNKFQTCVFHPAHPSLLVIGCYESLELWDIREKNAMTLNNAHDGLIAALAASSATGKVASVSHDRLVKLWK >SECCE7Rv1G0458940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:23680736:23681596:-1 gene:SECCE7Rv1G0458940 transcript:SECCE7Rv1G0458940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCWLLLQFLPFLLQAASATSCHADDLRALQGFARNLSGGGVLLRAAWTGVSCCGWEGVSCDGTSGRVTALWLPGRGLVGPIPEASLAGLAHLEELNLANNKLIGTIPSWVGELDHLCYLDLSNNLLVGEAPKSLIQLKGFATTGPSLGKAFTNMPLYMKRSRRTLQQQPPNIISGTNNKVRSGRTNVVSGNDNTVIFGNDNTVAGSNNTITTGSGNTVTGSNHVVSGTKHIVTDNNNVVSGIDNNVSGSFHTVSGTLNTVSGSNNTVSGSNHVVSGSNKVVTGG >SECCE5Rv1G0363790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:796437779:796438243:-1 gene:SECCE5Rv1G0363790 transcript:SECCE5Rv1G0363790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKQQLALRPISTKRGCGGDGRAVLAREPSSLTNASFRVYYSLRSGAGAVPFLWESVPGTPKRGTAAVSPGKESLHATTATVRGSGGTASAGGGATRLVPPISPPPSYQLKEKRGCRQRVQSWPEATGGIVRAVLGVLGMRKSRRRSRGLPLR >SECCE6Rv1G0402840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:490034059:490036125:-1 gene:SECCE6Rv1G0402840 transcript:SECCE6Rv1G0402840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFIVTILLGLLSVSQPARGVNYTFMREAMHAPPVAYYDYIVIGGGTAGCPLAATLSRRYRVLLLERGGSPYDDGRVLNMAHFSDVLSDTSASSPSQRFVSEDGVINSRPRVLGGGSCLNAGFFTRAGAGYTRAVGWDAREVLSAYRWVEDVVAFQPELGPWQAAVRRGLLETGVVPDNGFTYDHIPGTKVGGSIFDPEGRRHTAADLLQYARPEGIDVLLRARVARILFSYKGTKPVARGVVYRDSLGIVHVAYLNQGDANEIILSAGALGSPQLLMLSGVGPSGHLRSFGLDVVVDNPGVGQGMSDNPMNAIYVPSPSPVELSLIQVVGITRFGSYIEGASGSDWTTRTTSSSGDGARQARVFGMFSPQTGQLPTVPPKQRTPEAIARAVEAMSRVPDAALRGGFILEKVLGPESTGSLALRNLDPDDNPIVQFNYFAHPDDLRRCVAGIEAIERVIRSRSFSRFAYPNFAFPAMLNVTAEFPANLMRVRGGSDPAALERFCRDTVMTIWHYHGGCQVGRVVDRNYRVLGIDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIEKERTLIEGAGRKL >SECCE6Rv1G0400220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:448024895:448027849:1 gene:SECCE6Rv1G0400220 transcript:SECCE6Rv1G0400220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 2-1 [Source:Projected from Arabidopsis thaliana (AT5G47180) UniProtKB/Swiss-Prot;Acc:Q9LVU1] MVGGTLISVYPDDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASVVQPWDSCTITITLQAQKEYPPDMQSKDKFLIQSTRVAASTDMDEIPPDTFNKEADKVIEEMKLKVVYTLPSGSSDDSGVTSSANRSFRQGGDDLSMLKNASIEEIQTIQRLKDERDNSLQQNQQMQRELDMLRRRRSRKGDAGFSLTFAAFAGIIGLLVGLLMSLIFSSPPATA >SECCEUnv1G0538500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:72918594:72921221:1 gene:SECCEUnv1G0538500 transcript:SECCEUnv1G0538500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPAIYRSGFKCSKCNKSFTSKDVFLDLTVTSGMKEYSELKPARTELFRSPLVSFLYERGWRQNFNRSGFPGRDEENMLRQCYDYIKQEETPMNTNLALVRADISRLPFSLCSIDAIHAGAAIHCWPSPSNAIAEISRVLKPGGVFVATTFLSTPTNSGLFSIDALKPLRQIVGPVNSSYNFFTEGELEDLCRSCGLVNYSSKVQRSFIMFSGQKP >SECCE4Rv1G0245110.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:524954572:524955594:1 gene:SECCE4Rv1G0245110 transcript:SECCE4Rv1G0245110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKVLTRSGSLGEKASQGFQRSSLVEEIILSNSKTNGDQFLALLRTSSSAARSSKVDVAAEQSPAPAPPPAAAKIETINVSELLAGLEEENTAKGAEERDDDRKSDSGETSSPRCASDGAAGRAVSFRTLEEFDALVSRCSSPEKAKPPPAPAPEPDSSVEPPPQSSSSKEQGVMATGSSDSEPDASAVPPQSSSTEQGEMATVSSAPEPGEAPGGAKRRARARQLGELSATPGFDFSKSGSLRDWLLGGGQIFSPGSYVTPKFGSVTAAPSESAEHGERAVFDPELVAQLEEAMEELSVDEERVLREVLEVIEAGETQRLERLHDREVPAVIVHD >SECCE4Rv1G0234750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:298646286:298669534:-1 gene:SECCE4Rv1G0234750 transcript:SECCE4Rv1G0234750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYEERYESNGDPDAGAVTGVSPVTKPTGFSDQPDGRSQQEVQPHEGRSSKSRERDRGREKDKERDREHGRDRERGRDNDRDRDRGGRDRERDRHHREHRERSEKREHRGHSDDHERHRSRDRDIERRDRDRDGHRRHRSRSRSKGRERRSRSRSRSRSKSKRVSGFDQGPSQAIPMVTPGAIPGQLPAVAPLITGMLPNMFNFTAPTQFNPLVMQPQAMTQQATRHARRVYVGGLPPTANEQTVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLGAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGTSQPRPEQETILLHAQQQVQMQKLVLQVGGALPTKVVCLTQVVSADELRDDEEYEDILEDMREEGHKYGNLVKAVIPRPDPSGAAVPGVGKVFLEYADIDGSTKAKVGMHGRKFGGNQVVAVFYPENKFADGDYDD >SECCE1Rv1G0012250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:90592144:90593085:1 gene:SECCE1Rv1G0012250 transcript:SECCE1Rv1G0012250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEPEQQDLGGLGLAGICREIYRVLLPNTRGVPILSALLLAHVAALRGLSIEADDGSGDLLGFLAGLLFEVVSILVLTFFSLVCTAVYVFTIASLYCTQGDFRASQSLQRNLPLVPLTRLVPTFYLALALAYIISVLSLAALILLTYEVGLPLQLLGVAAFLAGAAYLAPLLHLACVASVLEDAVGLAALSKSRALLAGKFWAAAAVFLTLDGCSVALQLAFARLVLGDALGLGLGFQLAAGVATFVALWAVVLLTLAAQPVIYMVCKNHHHEVIDKVHLDYIGEYEQLAVDGDNGVELQPVTTEQIPETTA >SECCE7Rv1G0474320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:169779272:169783475:-1 gene:SECCE7Rv1G0474320 transcript:SECCE7Rv1G0474320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) UniProtKB/TrEMBL;Acc:A0A178VEZ3] MEANGRGDNDAARAPLLAGRWRNSVGSMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLLEGEKEYYEKQFATLRSFEEVDSIEESNVISEEEELMEQRQSEFAMKISNYANVVLLALKIYATVKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFLQAVEKLVVNVTPDKLTPPQLMWLYSIMIFATVVKLALWFYCRTSGNNIVRAYAKDHYFDVVTNVVGLAAAVLGDMFYWWIDPVGAIILAVYTITNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHDPQIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILSKLPSSQP >SECCE6Rv1G0416710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:649884214:649885542:1 gene:SECCE6Rv1G0416710 transcript:SECCE6Rv1G0416710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERSTAEALPLPPRLSASPTSSPATVGALLTNAAGTSRIRRECRSPRSLLSRILGRGGGGFGCRLRIPSYCSSGAGAAAKEDAVEEEVAAPKMVMASKQETEVRESPRSSPQGMKAAPEVSAASVGLGAGLVLLLSRGAAELSRMAELRAQMERLVLDVRAEARGSSRSDLSDGGHVDDGASVVKERIVFSDAGGGEDASLSRGSRDAASACGDGSVGDAVAAGMDQMEAELEAELTRLQLDSDDGDGEEECVTPRRDQQLEYEAKSDMSSESGSPACVGIDGVLDAAAIECKEHEDSEEEGEEDTDEEDEGSKPCYGGVPARVLERRLHELLQSRHQQRIAELETELQRAQRKLRDKERELSRWHDTAKLVSRHQDESRLR >SECCE1Rv1G0042800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:584212683:584216902:-1 gene:SECCE1Rv1G0042800 transcript:SECCE1Rv1G0042800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRPKSEMPPTPSDQRDAVIEELRKGAQLADSLRQQLELIPELGRRNAALDNVSNISTALVSSVSMLQSDREQYSCSSDAGAASYAAGAYGAGGSGGVGARSGAIARTRKARHRRGIHGEELPFKGTLTNTPGNDGFHWRKYGEKRILNASFPRSYYRCGYSDEHGCPAKKLVQQQNNSDPPVFMVTLINDHTCSSLFPANDQPPSSSSSATANSQVLDFTKATLSSAVGVSRLKKEEHAGMSVTVPSYTYHELSCYSSLPLLSPKEWEMQMEIKSLFRHHSGGGT >SECCE1Rv1G0027930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:391039845:391040636:-1 gene:SECCE1Rv1G0027930 transcript:SECCE1Rv1G0027930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAIRAAGKTTAATAHARSASLPREHPHPVLVHLDNSIRALRSWSATAGQSSGIALADAALAALGELLALPQAVAALHADADACDQILERFLVLADAYGTFLSTLVTLKQSVAELQVGTRHGDGTMVAASLRAHRRTEKELCRLVAAATVEASAAIFTECAAMSPDMQVPSNKWLARLSVRPTAKKTTPETAMAALERLEKFEECIGWLETGSEKVFRRLLQSRVSLLNILTPF >SECCE5Rv1G0377080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:875775465:875777111:1 gene:SECCE5Rv1G0377080 transcript:SECCE5Rv1G0377080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFCGNLDYETRQSDLDRLFSKYGPIRRIDMKSGYAFVYFQDERDAEDAIRRLTNAEFGHSRRRLSVEWSRQEEPVPKNRDRPTGADAKPTRTLFVINFDPIRTKVVDIERHFEPYGKIANIRIRKNFAFVQYEAQEDASIAVNKTDKSTILDRVVTVEYAFRDDDNERDDRHGSPRRGGDRYGSPRRGGDRYGSPRRGGDRYGSPKRADQGRRPYLRSPSPRYRRDYSPDYDRRPRNSGYDRRDGAPYGRSRSPVYDRYERGRSPGYGRY >SECCE5Rv1G0334750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:567911520:567913806:-1 gene:SECCE5Rv1G0334750 transcript:SECCE5Rv1G0334750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRVCVTGGGGYIASWLVKLLLSRGYAVHATVRDPCDQKNAHLMQLDGAAESLSLFRADVLDRAALAAAVEGCQGVFHVASPVPADKTVDPESEIMVPAVKGTLNILEVCTSLKVQKVVVVSSTAAVHSNPNWPQGKLKDESCWSDRKVCMEKEAWYNLAKTVAEETAWEYAEKNELNVVTLCPCVVFGPQLQPVVNTTSELLIYVIKGGPNVLNDTPLQIVDVRDVADALLLIYEKPESSGRYICAPNHISTKALLELLKKTYPDYNYVKCKADAHQNSFVTPISSAKLSNLGWKPRALEETLLDSIEYYRKTGILRDVEGQTYHLPDIFRHYQAANE >SECCE5Rv1G0336420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:584024818:584026090:1 gene:SECCE5Rv1G0336420 transcript:SECCE5Rv1G0336420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQDQGKLVQVVAADVGLVAPPIRYVLREENRPATIAQQAKLVIPIVDLSRLAMPDDVEEAAKLRSALQSWGLFVVTGHGMPKEFLDEILEATRKFFHLPLEEKQKCGNVIDGVKFQNEGYGIDRIDSDEQVLDWCDRLWLQLQPEDERRLQFWPQNLRDLLHEYTLESGRVTMDVLKAMAKLLNQEEGFFINMVGERFKSYSRFTYYPPCPRPDLVNGLKPHTDNSVITLLLMDKDVGGLQVLKDGHWVDVPVLGNDLLVVVGEGMEIVSNAIFKAPWHRVVTSANKERLSLAMFYQPEPERIIGPPGVLVHEKRPAMFKKCLVQTLADGYWDAFAAGDRTVDFLNVRINAEADAELEGRAVVANN >SECCE1Rv1G0063800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:726793470:726795242:-1 gene:SECCE1Rv1G0063800 transcript:SECCE1Rv1G0063800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTPLLYLCLLLVPCLLLLEEAHAARHGGISLRSQHTALLHWKATLASPPPQISSWQENTSPCNWTGILCAAVRHGRRMPWVVTNISLPGSGIRGQLGELNFSALPFLTYIDLSNNSLHGPIPPNISSLSSLSYLNLNFNHLKGQIPFEFGSLQSLTQLELSFNRLTGHIPASLGNLTMLTDLVIHQNMVSGPIPEEIGRLVNLQLLQLSNNTLSGLIPKTLGNLTQLNTLRLFGTQLSGPIPQELGRLVHLQILDLGSNHFSGQIPISITNLTKLNMLLLIENQITGSIPPELGNLTMLNELYLYTNKITGPIPLELGILQNLRELDLADNQISGSIPDSLGNIAKLLLLHLYEIQIIGSIPKSFGKLQSIQELHIFDNKLSGSLPQEFGDVISLVKLALNNNYLSGPLPANICLGGRLQYLFVYSNMFNGPIPSSLKTCRSLVRIDLRWNQLTGDISQHFGVYPHLTKMRLTSNRLVGQILPNLGASTELTVLHLAQNMITGSIPPILSKLSNLVELRLDSNHLSGEIPPEICTLTNLYKLTFSSNQLSGSIPTEIEKSAI >SECCE4Rv1G0261110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:700282667:700283736:1 gene:SECCE4Rv1G0261110 transcript:SECCE4Rv1G0261110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHKTVIHVTKKVTKFIGGGFVLGFQACHSIVDGFGLIQFIKSIADLARGEVQPAVLPVWERQILMARTPPRITNIDPAYTPVLTGSEYDADATVNDVMLSTTVESMVHKYLLFSAREITHLRGYIFPSDLTKSATAFELLTAVMWRCRTIALGYEIDTKIRLIFTLNARGRWKGDLPIPRGYYGNALVYTIAETTVGDLCRRPLGHTVELIRKAKTDMSLERMRSMVDMMALLRGRPTLPAQQVYWVSDISHIGDDTVDFGWAEWVGGGMPVPKLSSFHTRCKDGHGEESVAVSILLPGLVMDKFAKEIASWLNKDNGGNYFTPSSL >SECCE4Rv1G0256160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:654726763:654730967:-1 gene:SECCE4Rv1G0256160 transcript:SECCE4Rv1G0256160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSAPLALLISLRVLPYGSSSRGSLCLNWKQRLRRLPSPGTGRRRVAAAAFDKLAPRRPEAGRLRWKYRLACRGSYDSGNGLPGPPPPSGESVDGWRPALRRWDVPWQWPTVSLTMVACALSALLAGKVEQSVLEYLGYQAGEATIDEKAGVLFLEQFTVTAVAIGVMFGITNTFRPFSDDIFRYDLKEPFKLQNGWLLWAGIGLFFALVSIALAGAAMTFLNGETTHRETDSLVLLLPLIGSSNISTACLLGITGVLAPILEETVFRGFLMVSLTMWFSTPVSVLITAVVFAFAHFTPGEFPQLFVLGVALGFSYSQTRNLLTPITIHAAWNSGVILLLSFLQLQGYDIKELLQAS >SECCE1Rv1G0024120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:319904094:319920437:-1 gene:SECCE1Rv1G0024120 transcript:SECCE1Rv1G0024120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSSGCRGSLLFRSGFPFPATTTCRNLRALTTVAATPNMYRGGRGGGGSGGTNSQRGRGRGRGGGGRGGRGGGGGGRGEQRWWDPQWRAERLRQMAGEVEKVDEHEWWNTIGQLREGSQQELVVKKNFGRDGQNTLADIAQRHGLYFNAYNKGKIVVFSKVPLPDYRADLDERHGSSQNEIKMSNETERRVENLLSRAQSNNNASASTSTVSMRQSLPSTSTSAAESTTYVDKQKLSFQLRDMQRSKKMMPSARSMQSFREKLPAFKAREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVGSERGDELGETVGYQIRLESKRSTQTRLLFCTTGVLLRKLVQEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGDAPIMHIPGFTFPVAELFLEDILEKTQYKIKSERDNFQGNSRKKRLASVKNDPLADVFEDVDINKEYGNYSITTRQSLEAWSVTELDLSLVEGTIEYICRHEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNKFLVLPLHGSMPTVNQREIFDRAPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKVIHDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKSLQPPDPLSVKNAIELLKTIGALDDLEELTYLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFASDSCSDHIALLKAFEAWKEAKHSGRERSFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVSKTRGVKAYNHYGKDLEMVSAILCAGLYPNVIQCKRRGKRTAFYTKDVGKVDIHPSSVNAGVQQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSPSNTGEGIEMLGGYLHFSAPKRIIELIQRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAIELLHSQNIYH >SECCE2Rv1G0111970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:705839364:705842492:1 gene:SECCE2Rv1G0111970 transcript:SECCE2Rv1G0111970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPTYCSYQTNSIGSLKLSPHIQLQQSCNNGVMFLSMRKKTQLTKRRTTNYETHRNSSRTPAPIVCSTGMPIIFVATEVHPWCKTGGLGDVVGGLPPALAAMGHRVMTIAPRYDQYKDAWDTNVLVEVIVGDRTETVRFFHCYKRGVDRVFVDHPMFLQKVWGKTGSKLYGPTTGTDYRDNQLRFCLFCLAALEAPRVLNLSNSEYFSGPYGENVVFVANDWHTAVLPCYLKSMYKQNGIYENAKVAFCIHNIAYQGRFPRADFELLNLPESFMPSFDFVDGHVKPVVGRKINWMKAGISECDVVLTVSPHYVKELTSGPEKGVELDGVLRAKPLETGIVNGMDVVDWNPATDKYISVKYNATTVTEARAVNKEILQAEVGLPVDSSVPVIVFIGRLEEQKGSDILIAAIPEFVEENVQIIVLGTGKKKMEEELLLLEAKYPQNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVIPICASTGGLVDTVRESVTGFHMGSFNVEFETVDPADVAAVASNVTRALKQYKTPSFHAMVQNCMAQDLSWKGPAKKWEEALLGLGVEGCQPGIEGEEIAPLAKQNVATP >SECCE6Rv1G0421850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:687139806:687140483:1 gene:SECCE6Rv1G0421850 transcript:SECCE6Rv1G0421850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPLPTAIQMPPPTATKDVDANGEITAAAPSTATPTMDRVMSSVTNLAQLLPTGTVLAYQALSPSFTNHGKCEASNQWLTVALVAVLVVMCLFFSFTDSLVGRDGKLYYGVATPHGFNAFNFPDEDESREWDRDELRSRRLRLLDFVHSFFAAVLFLTVVFSDVGLQNCFFPDANRNTQELLKNLPLGMAFLSTFVFTIFPTKRKGIGFSDNTPRRKVDHLI >SECCE5Rv1G0354190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722305745:722306214:-1 gene:SECCE5Rv1G0354190 transcript:SECCE5Rv1G0354190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLHTAAATTTLALLLLVLLTSSSLRIAMAGSAFCDSKCGVRCSKAGRHDDCLKYCGICCAECNCVPSGTAGNKDECPCYRDKTTGQGARKRPKCP >SECCE7Rv1G0502450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:696521981:696524322:1 gene:SECCE7Rv1G0502450 transcript:SECCE7Rv1G0502450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWFSGSGPSPSSSAASSQPPPSLLAEWNSYAAARSAEEDVGGGFGIDIEAAVRSANDRVTGTFGVVSKGVRGFPGSFQSSTSTVPSGKSLMYFGLFLASGIFLVFIAFTIFLPVMVIMPQKFAICFTMGCAFIIGSFFALKGPKNQLYHMISRERLPFTIGFVGSMFATIYVSMVLHSYILSVFFSCLQILALVYYAISYFPGGSAGMKFLSSTLVASVLRCFGR >SECCE6Rv1G0431520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:746763297:746769692:-1 gene:SECCE6Rv1G0431520 transcript:SECCE6Rv1G0431520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLGGARAGPLPVVERDLEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIMPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTGSRSDTISSAATSPRIYTRQSSPLSSPFSSSDSLQKDFNENYRFRSPYGSPPKNGLEKAFSDVALHAAPPRGFFPSDSNAASVHSMSSGQSDNTNVNSGGIPMDAFRISYSSAVSSSSHGSGYDDGDALGDVLIWGEGTGEGILGGGNSRIGSSSGAKIDCLVPKPLEFAVRLDVQNISCGGRHAALVSKQGEIYSWGEESGGRLGHGVDCDVAHPKLIDALTHMNIELVACGEYHTCAVTLSGDLYTWGDGAFKFGLLGHGNDVSQWVPKKLYGPLEGIHVSSISCGPWHTAIVTSAGQLFTFGDGSFGVLGHGDRESLSVPKEVESLKGLRTVRAACGVWHTAAVVEVMVGSSSSSNCSSGKIFTWGDGDKGRLGHGDKESRLVPTCVAALVEPNFCQVACGHSLTVALTTSGNVYTMGSSAYGQLGNPQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSKTEVYTWGKGANGRLGHGNTDDKNTPTLVEALKDKQVRSVVCGTNFTAAVCIHKWVSGVDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNQSKPYRVCDSCYSKLTKGPGTDVYSSAKQGAVVQGFSDTVDEELETRSNAKLSRISSMESFKNMDSRYSKKNKKFEFNSNRVSPVPNGGSRSFNPVFGSSKKFLSASVPGSRIISRATSPVSKRSSPPRSITPTPTLRSLTSPGGVFNGTKTTNDSQTQEVLSLKSQVDNLTRKSQHLEVELERTTKQLKEAIAIAGEETAKCKAAKEVIKSLTVQLKGMAERLPGGVAKNGRLPPLPGIPIPSDISVIVTESLGSPGSPGEQEQISDGPNGLLVSNGSSSVRNKAGHPEMTNNGSVPPDAEPQHEAEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSEKQAEQWWQGNRARVYQQYNVHMVDKSIAAMDREIATH >SECCE3Rv1G0148280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:18920666:18921595:1 gene:SECCE3Rv1G0148280 transcript:SECCE3Rv1G0148280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHEKTEHEKMCTGSSTAMEQQAVLLQQGPPGDCSYELVLPKVLPSSRASVTVTITGDDVGKKKICGSGKSTMGSLVRMGPCGGIGGNVRETSMSDVNRIVQVIVWHGHAVDAISVMYERKGKEAWADRWGGEGGKPSTFSLQQDEYLTSIHGHYGEFNGFVVVRSLTFVSNLRSYGPYGKEDGVPFALHAGAGGMIMGFHALSGQFLDSIGTYVKMDNY >SECCE2Rv1G0100530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:543109836:543119572:1 gene:SECCE2Rv1G0100530 transcript:SECCE2Rv1G0100530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQRSNPRKRPPPAPTAPGPPPPLATSSAPTPAEPSVVDAAAALLADAGCTLLVPPHLPPSLPSAPTFVPRLTRALAADPAADLPARLLAGLAAFAESPTRLRQLLLPTSPRSQSLARVLLSVPALQPGLLGLLLDKLPEHFDDDALDGVPLQDDVGRLIVAQFRWLDFLVEADTFVTKLVEVLSVAPPRLKKEIIGSIPEIVGDQSHAAVVSALEKLLQEDSQVVVAVLDTLSNLNLDALLQEQAVTVAISCIRTIHADQMPHLLRFLLLSATPANVGRIISQIREQLKFVGVVDPRAARSKKLKGKASATSTDGAILDALRSGLRFKNMLCEAFLKELKLVGHARDHKVIDVWLIMLIYANGGALQKSAEKILKSKILQGYIRETLFHQCIHGNTELVKDHFMSYLSVSDYLLACKEDKAREFATYLFTALFEEFSDTFSRQELVGSLITHIGSGVSYEVSSALDIMISLTSNNSEELIPIASHITGILDYLESFHEDNLRKVYEIFCQLALAAGFNTSSGGSSVANELLMVVRKQVSNPDMKYKRMGIIGALRIVSAIADANAAVNCSSSQKPNCEEALGLLNMTVNSCKFVTLPLILLYDELSALFESNVLHSAIIEWVGEHVAEFDTLFLADLEDGQLQEKYLCESIEGELWMNLDGNISPVCVNILPLVSTSQQRSQACLQILPSQFLLLTTIERSGSEGSLGGINALLGCPLHLPSTKNLDKSRWGSLSALEKKTVCHSLYFAINWIRELLNAFSTQVAARVDNVSQRVRDETAVKLLKRLRNLILLEILLNSLLKIHPLSLPELRYLGNYSGSTSSSKFNIGKKMEEDNMEGPSSNKRQKGRKDKTASDKLNSDEKLKQSTILDAFKRAGVTITQETKKASSRPLPSGMTSKDVESEANNPGELGHIDLMAAPVQLDMQRFKFRTLHVNCLSLLKYSEFQDSTYPYHESELPLYLYLLRDLNNKLDHVNPSNKPFFNSSQAKSTRAHYEKSTKDLLSKIQPLFSSLRKHLDGSVSMMKDRSDSSPDNWSSHSDSAGNPHIPYVIVSKSSIATSVFKEVLGCYRKLLDTPDLLNQANMSALKELLQTFQPTENFDDDLSEFRPPLVPSNVDYLYCGAFKMFEAIMDAVCLFSHILASDVLITMQSILNSIVLLEKSGESNGKNMHVGCSKEIIPFVKKQLGLSAHKLLTSDFPNEDTENGWQSKGDLIPRILQIYLRNSESTSVLLDELACLVLRQVTSLKSKSTTQELSHGFPTLCSSTFHSWYRVLHEENLGNFNKMIKQALKTTSQSGVAVENVMDEILKSVNVFVSLTNICKIHEKVAMHAMAVKYGGRFIDAFLKAFNFLETQFGQHGNKIVEMIKELQKATRIIQTICAEAKGYKRTMITSKIPATKRSMERFLFQVKALLEHCSSVERCWIGNLKHKDLHGHVVSSQVYGDVDDGPNDAEQEQMETDPETPADENDIVDDDEIENEATPLED >SECCE4Rv1G0239920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:429730688:429759440:1 gene:SECCE4Rv1G0239920 transcript:SECCE4Rv1G0239920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVPEDLRCKRSDGKQWRCSAPSMPDKTVCEKHYVQAKKRAASSALRATLRRSSPSYAAASYAQPREGDSDAVADLPLPMAIARPFYGSVFGEPVYVAEPAVRRAVYGPPLTNAIGSRTAAELVGRASVGLQGCAEAKTSCHQCRRSVNAVWCTSCDRRGYCGGCISRWYSDIEIDDIQKVCPACRGICNCKVCLQGDNLIKARVQEISVVDKLKYLHSILAYVLPVLKQIYSDQCFEIGVETRAYGPKMDIIRAKMNSDEQMCCDFCKVPVFDYHRHCPRCLYDLCLDCCRDIRRSQTNVVRGEYAESKGHVVETNKDSASNRARLELSAASVNDKLFPQPIDANDIGIRSLFPTWRVNNDGSIICGPHEAGGCGSSKLVLRRIFKINWIGKLVKSSQEMVNGCKAHDLENGCSSCNASRRLDSIGRRNFGLSKCLDSDGIDGNSVYSSVLENLKYDGIVHFRKHWINGEPVIIRNAFEPSLSSSWDPLSIWRGIQEIMDEKMDGNAIVKAVDCSNQSEVHIKLSQFIKGYSDGHKGEDGKLMMLKLKEWPPVSVLEEFLLCQRPEFIVNFPLVDFIHSKWGFLNLAAKLPPDALQSEVGIKLLIAYGRQQEPSKSDSVTNLMVKMGDVVYMLMHTAEMLNLCPKSLQPEQPARIANGMAVHVNAHAPVQNLNLDMGEQSPEHTVSKSCGASVGSSAELLSSSHSEQPKTNGVERSQPGALWDVFRRQDVPMLNKYLASNWEELTNNTQAMLSVKHPIYDQAVYLREHHKRVLKDQYGIEPRTFEQHIGEAVFIPAGCPFQVNNLQSTVQLALDFLSPESLRESSRMGQEIRCLPNHHDAKLKMLEVGKIALYAASSAVKEIQKITLDPKFNLDIRFEDQNLTRAVSDNLARVTKQ >SECCE3Rv1G0190890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:744223985:744225290:-1 gene:SECCE3Rv1G0190890 transcript:SECCE3Rv1G0190890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADAARDEAAFAMRVLRHLACGGGKASASGGNLAVSPLSIHAALALLGAGARGATLDQIVAFLGPAGGPAHAALASHVALRLLSDSPGDDGGPSVRFANGVWVDAAMRLKVDYAALVSEHYRAQARPASFKDMPEEARTQINRWFESATAGRIKGLLPEGSVNGATLAVLGNALYFKGAWCRKFDPRLTVDDTFYLPVAGGSVRAPFMSSRDRQQHVACRFGYKVLKLPYARGRERRHFSMYIYLPDERDGLQSLLYRLGSAPELLESSTTLMAQVPVGAFKVPKFTISCKTNAAELLQDLGLRLPFAPLAADFSEMLASAAPLVVSAVFHQSFVEVNEEGTEAAAATAVVASFGAAAVRAPVQVVDFVADHPFMFLIKEELSGVVVFAGQVINPLVP >SECCE1Rv1G0044490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:603244164:603247799:1 gene:SECCE1Rv1G0044490 transcript:SECCE1Rv1G0044490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHGGQGMSPAAAGGRGGTARKVAPWILACGFLLCSSVLFLGAEGAIGVNYGMIANNLPTPDKVIAMYKANKISYVRLFHPDTTVLTALGGTGIGVVLGTYNEDLEHLASDESFAASWVASYVKPFAGTVTFRYITAGNEVIPGGFSAHVLPAIRNIETALKAAGVTGVPVTTAVATSVLGVSYPPSQATFSEAAAPVMTPLVAYLSSKKAPLLVNVYPYFAYAAEPETVQLGYALLAGSSATSKVKVSSVADGGLVYTNMFDAILDAAHAAVEKAGAQGLELVVSETGWPSGGGGTGATLENAAAYNNNVIRHAASGAGTPRRPGKAVETYLFAMFNENQKPEGTEQHFGLFQPDMSAVYPVDFAAGAY >SECCE2Rv1G0073130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:64111553:64113238:-1 gene:SECCE2Rv1G0073130 transcript:SECCE2Rv1G0073130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRLSALADDLLQRILSFAPAKEAAASAALSRRWRPLWRRTGALNLDSKPYPRQYSHGHRHDRYDDFFRHAMAALGCRRETPLKRLTLYLGVDAYLVGGRYHSSHDGNPEFDARVAGLLADPAAAVLEELRIAAERSYRNSYVAPLASLPCATTTLRVLELDSCDLEPSARLAFPRLTDLTLRNCTYLEGYLQVVLDAAPALIRLVLVNVTNKPPKPPASEKDRYYTPKTFNLPLCLRCPTVTTLVLETTVCREELDNSRNIGIQLDMLSLCSFRYNGFPFKLSLTSPAPGLSRVHLDTNHIESGVYKCEPTARALASFSSTRVLKLHLTRIENILSHNALSSYQYQDSTGNQDPDEEVTLPTFPNLQLLEIDAEFNYRDKNTALALATLLRSCPAMSELRLRLNMAWDYDYDRKTEQQAAGGPFTQSVERFNRLGSMCAEHRDDVELGGVSELPAAFANNSTFSCLRTCLRKVTLQFKSKELNCFQVQLAKFLVENAMVLEEMHVEDGDQFWPDHLLDKLTRWRTDAFRTRNLSDTAGFQVFQLANPVIDPKVKVHY >SECCE4Rv1G0249590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:584683718:584684033:1 gene:SECCE4Rv1G0249590 transcript:SECCE4Rv1G0249590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQALAATLLLVMVVSLAALEGVHGVCSMSNDEFKLCQPAAAANLSCICRYKGITSIWLKMYHIDASRAMALLGKCGLTMANNCS >SECCE2Rv1G0081950.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:146711178:146712922:-1 gene:SECCE2Rv1G0081950 transcript:SECCE2Rv1G0081950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILCFGASSTLLCGEDSNSALGLGGGGGDGEVAEAGGGGDGEVAEAGGGLGFLDVGAVFPVDSDEVMRALVEKEMDHRPRAGYVERLGHGGFESSWRKDAMDWICKVHSHYNFGPLTLCLSVNYMDRFLSSFDLPHDKSWMQQLMSVACLSLAVKMEETVAPLPVDLQVCDEYYAFEPRNIKRMELIVMETLKWRMHSVTPFSFLCYFLDKFNEGKPPSYMLVSRCAELIVATVKDYRFLSFRPSEIAAAVVLWALAENQVIGFSIALAASEIPVNKEMIARCYELLVKKRGNFSASLSAPLSPMGVLDVACFSFRGDDTSPGSSPSNNHSSSNDQVSTPASKRRRLSTSPI >SECCE7Rv1G0480660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:272248104:272251942:-1 gene:SECCE7Rv1G0480660 transcript:SECCE7Rv1G0480660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPPRLPRLSPRRLRSRSPMASTLALLRPSAPSPATNLRAPFRSRVSTRVSVGSAVAAGADTLFADYKPTTAFLFPGQGAQAVGMGKEALNVRAAAELFDKANDILGYDLLNLCIDGPKEKLNSTVISQPAIYVTSLAAVEVLRAREEGQSIINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAANSAMVSVIGLDSEKVQQLCDAANEDVDEKERVQIANFLCPGNYAVSGGVKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALAATEIRSPRIPVISNVDARPHSDPETIKKILAQQVTSPVQWETTVTTLLGKGLEKSYELGPGKVIAGIIKRINKGASIENIGA >SECCE5Rv1G0350290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:689522139:689525242:1 gene:SECCE5Rv1G0350290 transcript:SECCE5Rv1G0350290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17740) UniProtKB/Swiss-Prot;Acc:O23614] MLLPVRRPHAAPSRPASPRHVSSSLGPRSRQVDRALRGSTVARASPAATDASASAGLGRRAVVGMALAVSLSAPAYCRAPPPSSALTEENLLFLEAWRAVDRAYYDKSFNGQSWFRYRERALRDDPMNTRQETYAAIKKMLATLDDPFTRLLEPEKFKSLRSGTQGALTGVGLSIGYPLALKGSPVGLSVMSAAPGGPAEKAGIVSGDVILAIDDTSAQDMDIYDAADRLQGPEGSSIDLTILSGADTRHVVLKRERYTLNPVRSRMCEIPGSEDSSKIGYIKLTTFNQNAAGSVKEAIKKLRENNVKAFVLDLRNNSGGLFPEGIEIAKIWMDKGVIVYICDSRGVRDIYEADGASTIAASEPLVVLVNKGTASASEILAGALKDNKRAVVYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVTPDRPLPASFPTDEDGFCNCLKDPASCNLNAARLFVRS >SECCE2Rv1G0120320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:798284535:798288305:1 gene:SECCE2Rv1G0120320 transcript:SECCE2Rv1G0120320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAAGPAPPRAAPGRGADPAERRRRWCGITVRGALVMLFPIAVSFLFSFLFGIAGLLLGGLSSNASVSMPSTCRILSTGLDIRSSKVCELGLLNYKAKHVFYPSSNRRFRCHDDYYWASVFEVEYTEYFSGQTSYAMAEAPKEALPHNCRPDFGAVWSTTANFKVNESYKCRYTLGSTKADIYSDKLFNCTAEDPSVIEMLKRIFVLFSKFCKSKDFSSWWMLGYAAAGVVAGVLSSVLITIAVRILRGVFLAATRGAVSNHSIRVFAYRFKRACLLVAYVSFVGWITLQYSKMVGLKELVLDFKLLERFL >SECCE2Rv1G0122230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:814905843:814906910:1 gene:SECCE2Rv1G0122230 transcript:SECCE2Rv1G0122230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRALGLASRALLRPVASPGTQRLLSTDKNSLQGLPNGDPMSRLMQTRKQNDNIYRRFAENDFTGGGSRSGRLNMEITWTAAPRVKIDVLHITPKEEKTFVTVTGVKDNRKAGASVACLEDRKGRSRLARYAGEATGEHMGRSASKIGLKSIVVKVKGSSFFRKKKKVLLSFAAGPRGERVRSLSPK >SECCEUnv1G0566140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:400720096:400720843:1 gene:SECCEUnv1G0566140 transcript:SECCEUnv1G0566140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRLALNFKGQSYEYQEEDLANKSGLLLASNPVNKKVPVLIHNDVPICESLAILEYIDEVYHGIGPSLLPADPYQRARARFWAAYIDNKLVAPWWKMFVGKTEMEKDEGTKQTLAAVDMLEGALRECSKGKPFFGGDNVGYVDVVLGGMVAWMQGTKALCGVELLHATKTPLLLAWMERFGEMEPAKVVLPKVDRLVEFAKMKRAQRALI >SECCE7Rv1G0497210.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:621356374:621364438:-1 gene:SECCE7Rv1G0497210 transcript:SECCE7Rv1G0497210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein RCF3 [Source:Projected from Arabidopsis thaliana (AT5G53060) UniProtKB/Swiss-Prot;Acc:Q8W4B1] MDRSRSKRGYHYDQDSPPPRSKPRFDRRGGGGGPNPNSNYHRRGPPGGAPDRRGGFLPPDAAPPPLPPPPPPASSAAVGGGGPGQTTSFRILCPESKTYGFPASFINNAQDDSGAIITIHPPFPGDPVRVIETVDGVPREADGRPPMFSPAQEALLMVHRRILETQPDDGDEDGEYGPRGKDARDRGKVTTRLIVPRQHVGCLLGKGGKIIEQMRSETKTHIRILPREQNMPRCVSLSEEVVQVVGDGNCVKKAVAIISDRLKESLHRDRGPFLRGRNSPEHRISQADEYLGGGQQMPAFEEPYPRFDQIRNNGSMEPPGYEFDSNGSKFNEHPEIPFDEIIFRILCPNDKASSLVGSRDGIIDMLQAEVGVDVRLTDLIAGSDERTLIITSREGPDHELFPAQEALLHIQTFIVDLGPDKDNIITTRLLVPSSEIACFEGRDGSLSDIQRQTSANIQILPREELPSCALESDELIQIVGEIRAARNALMQVTTKLRSYIYREMSAPIQIGGINVHGSISPAKGSPRGLYAGNDLPMPIYQQAPQMATSWHSKDSGLSASGSFEQGSSINDDIRQSNTKRFAVPLVTRSTLEVVIPQSAVASLSMRAGSKLAQISEMSGASVTLAEDRPGVMEKVVRISGTPEQADKAQSLLQGFILSIQDDIPSG >SECCE7Rv1G0505470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:735658143:735661056:-1 gene:SECCE7Rv1G0505470 transcript:SECCE7Rv1G0505470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACCVVRRHLHPPPQLPPLQRLTLVRRCRRRGVTIAVAVCSTAPDHHRERPWESYDRDIQPHAGSDLARSLQLLADMQATGMRPSAAAYARLIRALARAGRTLEAEALLLEMRHLGLRPDAAHYNALLEGLLARAHLRLADRLLLQMADDGVARNRRTYMLLLDAYARAGRLEDSWWVLGEMKRRGIRLDTAGYSTLVRLYRDSGMWKKATDLILEMQEVGVELDVKIYNGLIDTFGKYGQLADARKVFEKMRAQGIKPDIATWNALILWHCRVGNMKRALRFLAAMQEEGMYPDPKIFITIISRLGEQGKWDELKKLFDKMRNRGFKESGAIYAVLVDIFGQYGHFRDAQECVAALKAENLQLSPSIFCVLANAYAQQGLCEQTVSVLQLMEAEGIEPNLVMLNLLINAFSTAGRHLEALAVFQHIKDSGMSPDVVTYTTLMKAFMRVKRYEKVSEVYSEMERAGCTPDRKAREMLHDASATMEQMGCY >SECCE2Rv1G0088260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:241482609:241483121:-1 gene:SECCE2Rv1G0088260 transcript:SECCE2Rv1G0088260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLLVSPCTRPPLLRPFPSPATRLLPARTLVLRPLRLPRGVCASPPPPRAAAQVAASAVGGLLAPLEVGLRSINLAPLRPPVAAAMSAAVRWLGVYREVLLVGVLFSWFPNIPWDRQPFSALRDLCDPFLALCREVVPPVFGRKLDLSPLIAFMAIDILIMILRPQPRM >SECCE6Rv1G0428480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:727180820:727181779:1 gene:SECCE6Rv1G0428480 transcript:SECCE6Rv1G0428480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPARAIAVVALVLACSGLAMAGDKAPIWLRAHATFYGGADASDTMGGACGYGNLYSAGYGTRTAALSQALFNDGAACGQCYKIACDRKLADTMFCKPGVTVTITATNLCPPNYALPSDNGGWCNPPRPHFDMAQPAWEKIGVYKGGIIPIMYQRVPCVKKGGVRFKIAGHDYFNLVNVFNVAAAGSIKSMDVKSSDSDAWAPMARNWGANWQSLANLTGKMLSFQLTSTDGQTLVFNNIVPAGWTFGQTFASKLQF >SECCE3Rv1G0208130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:927874551:927874808:-1 gene:SECCE3Rv1G0208130 transcript:SECCE3Rv1G0208130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTMKNGARAIKGKVAMAYSKYAGKAQAKPAPIVTTARAYQPRYPSPIDATSAPYAAAGDVDERATAFILSVRERFQKEQKMMS >SECCE6Rv1G0448480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:856297506:856298054:-1 gene:SECCE6Rv1G0448480 transcript:SECCE6Rv1G0448480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGSSSQVFIMPAAGHVNYLLAGGSGGGDDPRYPWIFKSLHEVDAVVPAIARAGKRPAAVPGGPQVEPYGCPICFRIFATAKAVHGHMRSHTDRSWRGMEPPRPPPLGLGEVRYPYMCDRCKMPFQTRQALGGHRASHNGKKGCSWLEREELAAAEEARKPIVFDLDLNLPAPEAEDQDGE >SECCE2Rv1G0088320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:242389517:242397616:-1 gene:SECCE2Rv1G0088320 transcript:SECCE2Rv1G0088320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMHPELMKMSSVAHDATNREKNRYIDVLPFDDTRVRLKSSATSQISGNDYINASFMKATEDNRVARFISTQGPLVRTFEDFWEMVCENQCPAIVMLTQFDSIKCDEYLPLQNGQGTYGKYNVKIMKDKRDKHQLCLRDVEVQCNESGKVHSVLHIEYPDWPDHGVPTNTDAVRQIQKRLHHIPREHPIVAHCSAGIGRTGAYITIHSSIERILIGDASSYDLVETVKKFRSQRTGMVQTEQQYVFCYRAIADELKDLLRSSGH >SECCE4Rv1G0286040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:847766375:847768715:1 gene:SECCE4Rv1G0286040 transcript:SECCE4Rv1G0286040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRRRPLLRSRTRSSAATPLDGDDLLSEILLRLPPLPSSLPRASAVCRRWRSLISDPAFSRRFRLHHRRNPPLVGYFRAGIPELCFEPTLEAPNRVPPGRLSLKFNSYVRVLGRRHGLVLILEWPRLLVCDPVTGHQHPLDYPPEFDPDDSLINGAVLRSAAGAGDAHFQVVLVASDYEKKLLACVYSSQTGVWGTLISTTIPSGNSLYTRVCWEHAVLVGDSLYWILTGTTLFNLLEFDLMTESLAVLPLPADKSYDAVLNSPEGRFTVMRAEGGGLGLLSVSGFTAQLWKRKTNFDGVASWGMGSTFELDELLSMDSKDYLDIEGYAEDNNLVFLWTGRSLFTVKFEPLQCKKLFDTNNRCCYYPFETVYAAGTHHMSMIMKNISNFN >SECCE4Rv1G0293770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:887020960:887022189:-1 gene:SECCE4Rv1G0293770 transcript:SECCE4Rv1G0293770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPEMMRLAEEQVRRMSPDDLARMQRQLASNPDLVKLASESMINMTPHDFKVAAQQLNQTSPEEMLSMAEKFTTAKPEDFAAMKAQADAQISQAISGAKALKQQGNELHGRGRYAEAAAKYGLAKDGLKNVPSAAAHTLRVQCSLNLMSCYLKSGRLEDCVNEGSEVLLGSDGSSNATKAYYRRGQAYRGLGNLQAAVADLSNAHEICPEDETIAEVLRDTEGELAAEGSLPKGVVIEEVVEELPTFQPPSLQNVAENHDEIVVNNQTPSSSTADMQEAVRKSMEDPAMRQMFVSMMENMSPDVMADLSQKFGMKLSKDDAAKAQQAMSSLSPEALDRMMRWMGRAQRGVEVANKTKNWLLARKGLAIAIVMLILALILLRLGFSVKSMLWVCSQLTTWLFATTNKYK >SECCE7Rv1G0509430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:780735115:780735594:1 gene:SECCE7Rv1G0509430 transcript:SECCE7Rv1G0509430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASATGAGAKGKKGAAGRKAGGPRKKSVTRSVKAGLQFPVGRIGRYLKKGRYAKRVGTGAPVYLAAVLEYLAAELLELAGNAAKDNKKSRIVPRHLLLAVRNDQELGRLLAGVTIAHGGVLPNINPVLLPKRTAEKEPKEGKSPKKTAKSPKKADKKA >SECCE2Rv1G0129600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:869296065:869305113:-1 gene:SECCE2Rv1G0129600 transcript:SECCE2Rv1G0129600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like calmodulin-binding protein (ZWICHEL) [Source:Projected from Arabidopsis thaliana (AT5G65930) UniProtKB/TrEMBL;Acc:F4JXM5] MASAAPQQQLGGSANGGAASAVATPLHGSAPPASANGGDGYDSDGSYNFAPPTPSTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIQSSGKRGFFIKKSVGPQVREKFTLEDMLCFQKDPIPTSLLKVPNDLVSRSIKLFHVILKYMGVDSPAIISLEERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRSWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVAIPAREEIEALLTSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKIVNGSKSSDVGNEEYIGLDDNKYIGDLLSEFKSAKDRNKGEILHCKLVFKKRLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLTALQILVEIGFIDNPESCVEWISLLERFLPRQVAITRAKRDWELDIISRYQLMEHLSKDDARNQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSGSATSTVSQNDVSQADKPPNAETYDKRVQELSKVVDESQKKADQLRDELQRKTQQEREMQEELEGLRDTLQSERHIIKEVTSERDRLKSLCDEKESSLQVALVEKNRLETRLTNGQGQENNTKTELSGNHCERDTLTTVGSVNSGIEMLTKLEEELKSCQKELAASKEVSKKLTMEKNMLEQRVQRLERAKSEEKSTMQRVYEDECRKLKAHTATLEQKLESTTQSLNVAESTLALRNTEVDTLQNTLKELDELREFKADVDRKNQQTAEILKRQGAQLVELESLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELSLKDKNIVCSPDEFTIAHPWKDDKSKQHIYDRVFDAYTTQEDVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRATSELFRVIKRDGNKYSFSLKAYMVELYQDNLVDLLLPKNAMRQKLEIKKDSKGVVTVENVTVVNISSFEELKTIITRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIVNDTSKHVSPKEIMRLKKLISYWKEQAGKRSEGDELEEIQEERLSKEKADTRLTA >SECCE6Rv1G0399600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:442268037:442273286:1 gene:SECCE6Rv1G0399600 transcript:SECCE6Rv1G0399600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAVGCFLGLVFLLCGAVRGALDAEAARQQAADRVGRLPGQPSVKFAQYAGYVTVNEAHGRALFYWFFEATAGAAKKPLVLWLNGGPGCSSVGYGEAEELGPFLVQKGKPELKWNPHSWNKEANLMFLESPVGVGFSYTNTSSDLGKLGDKMTAADAYVFLLNWFKRFPQYKHHEFYIAGESYAGHYVPQLSEKIFDGNKHGPKENRINFKGLMIGNALMDDETDQAGMVQYAWDHAVISDRVYADVKAHCDFAMANTTAACDQALEEYFAVYRLIDMYSLYTPVCTDGSSSSPLAKRVGVHGAAPKIFSKYHGWYMRPAGYDPCTTEYSEVYFNRPDVQAALHANVTKIGYNWTHCSDVIGKWNDAVPSTLPIIRKLVAGGIRVWVFSGDTDGRIPVTSTRLTLNKLGLKTVQEWTPWYDRQQVGGWTITYEGLTFVTIRGAGHEVPMHAPRQALSLFRHFLGDKKMPPTAFS >SECCE4Rv1G0271660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:763114858:763115757:1 gene:SECCE4Rv1G0271660 transcript:SECCE4Rv1G0271660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTMPRDSSASGALVPSDSAAMASAATATTMAGKLPFPLLTRTNYAAWAMRMKYLLRANGAWAAVDHGDSSKEVDAGKEEMAMTIISQSIDDMTLLRVAEKETATDVWAALRSMHVGVERVREARIQSLRSEFDGLKMGDAESVDDFAARFTTLVGRIRELGDAMEEKYVVKKLLRAVSNKFIHVASSIALFGDTNKMAMEEAIGSLKAHEELVKGRETAREEEQLLMARGHDSSRGRGRGGRGRGHGHGRGGGRRDKSEVQCYNCDDFGHFAWECPEKKKDNEEKALLGVEDEPALL >SECCE2Rv1G0137580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:918493491:918494233:-1 gene:SECCE2Rv1G0137580 transcript:SECCE2Rv1G0137580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSASLLPAASSNAGAKRPFAATDAAEQEQPLAQESAVTKKDGQSQQQQQPKLECPRCSSTDTKFCYYNNYSTTQPRHYCRTCRRYWTHGGTLRKVPVGGACRRGSGGSKRRRSSVEPHTPSSGSPPPPQPEQQDTLPPLPAFPFLTDGGAVFLPQFDLGLGGFPWTTPAATDHLFDGLSAPWGGCDGALALTGTWEDLGGLELTWPPPPPPAAGN >SECCE6Rv1G0424770.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:702421910:702422569:1 gene:SECCE6Rv1G0424770 transcript:SECCE6Rv1G0424770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTDGGGNGLCFPYDVLLVILRRLPCHAIAKSRRVCRAWRTLVDAHNLLLLPTVFFDVTIGGAPAGRIVMRLFAKDLPKTAENFRALCTGEKGVGKSGKPLHYKGSAFHRVIPDFVCQGGDFTVGNGTGGESIYGDKFPDEKFIRKHTPGMLSMANAGPNTNRSQFFICFVPCSWLDGKDVVFGEVVEGMDVVRNIEKVGSRSGICTKSVVIADCGQL >SECCE7Rv1G0469230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:107087613:107088470:-1 gene:SECCE7Rv1G0469230 transcript:SECCE7Rv1G0469230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGVLSRLAVVVAAYCLAAATPGAADWMRGSATFYGGSNAAGTMGGACGYGNLYSTGYGTNTAALSTSLFNDGAACGECYQVQCDQGSSPNCKPGVTVTITATNLCPTDYSKPNDNGGWCNPPRKHLDMAQPAWERIGVYRAGIVPILFQRVSCSRSGGVRFTINGNNYFELVLITNVGGPGSISAVQIKGSRTGWTTMSRNWGANWQSNSYLNGQSISFAVTATNGQKLVFQDVAPSNWGFGQTFTNYGQFY >SECCE1Rv1G0011890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:83826191:83830078:1 gene:SECCE1Rv1G0011890 transcript:SECCE1Rv1G0011890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETSVADEKAPAGLSRYEADAEDGQEGGDGKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMVSGILFQLFYGLLGSWTAYLISILYLEYRTRKEKDKVDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIGCASNIYYVNDHLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLLMTTYTAWYIAVASLMHGQADGVKHSGPSTIMLYFTGATNILYTFGGHAVTVEVMHAMWRPQKFKAIYLLATLYVLTLTLPSASAAYWAFGDELLTHSNALSLLPRDAWRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPAMAHMVTFRSPQSRENAVERPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVQQVSTFGLFAKCYQCPPHPAAASPLLAPPIAPSPSMPFGFNMTGMFAPMSAPSPAPAPAPMHFGSLHHHHRHHRHGL >SECCE7Rv1G0481580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:285538937:285539635:1 gene:SECCE7Rv1G0481580 transcript:SECCE7Rv1G0481580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLQDGTPVDMVFNPLGVPSRMNVGQIFESSLGLAGDLLKKHYRIAPFDERYEQEASRKLVFSELYEASKETKNPWVFEPEYPGKSRIFDGRTGDPFEQPVLIGKSYILKLIHQVDEKIHGRSTWPYSLVTQQPVRGRAKQGGQRVGEMEVWALEGFGVAHILQEILTYKSDHLIARQEILNATIWGKRIPNHEDPPESFRVLVRELRSLALELNHFLVSEKNFQVNREEV >SECCE2Rv1G0110060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:686612273:686618223:-1 gene:SECCE2Rv1G0110060 transcript:SECCE2Rv1G0110060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGAQMEVERRLRDVAARFVSLPESNKELQALLEEAEIWLSRVDQSPPDSTRTAIRPMMDALVREDLLNHPHPGVKVGIACCLTEVTRVSAPDPPYDDDVMRGVFTVVVDTFGKLDDTKSPLFAKRVSMLETIAKVRSCVLMLDLDCDDLILETFTHFFRIISPTLQESVVTSMETIMMFVIQESEPVHPGLASCLLQNLKKERKDSILASYRLAKRITRLCPEKLKPAFAELLQGTPLNEYSKAVASSVESSVDAGRDNNIDAVENDTESSKSEKDGQDGSPTNSTPNGLASSEQKSELPTDDKQTAASDDKGAQEPVTTEPEKLSGVNSKKSSKVGTSTESKATEHSKVASDNQDLASGELSPGANGGNNKPTVEACNKASDDTSKPLDSTPADDTSKPADSTPAVDKPKRGRPPAVKSHEKKPVGKSQGSGLESKEVRSGSTSGGRPARGSAKDNKLSSRKSSEEESSKKQPTASPDLRKEDTLSDEGTDEDLSFKEMASPKSLTKTGKSKGQPGDSGVSKRKLVQEAEEVPQSKKVKVFDGSLVGSRIKVWWPDDKKFYKGAVKSFDASAKKHKVVYDDGDIEHLRLKNERWEFIDEEQDENPNAASDMSRGRRGKQDSTGDSNPSRKRGRPKVVHEDSPVTSAKSKVKTAEKDAEGGGRWPRSAGRGSSRDEAGGKTPKGASAVKASDGSKSNGLSGKRKPKEKVPESSDEEEEEEEEPVSAKASTGKKRRRKMLN >SECCE5Rv1G0353200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:714462363:714467028:1 gene:SECCE5Rv1G0353200 transcript:SECCE5Rv1G0353200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TORNADO 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) UniProtKB/Swiss-Prot;Acc:Q9FJ57] MGDGIIMVPSPSNQEYIRDVAEIDLQEYKGIENIAFYQVAANSGSGLSMESERSLRIHTCTDQNGVNFLLEFLHHLVDSKESYRSVTNLLFHGIEWQPEAIQLLCSYLGPRSNVKQVEFQKNVFSTKSAVALVPLSEMLQRNNTLKAVVFNDCRIGAAGATLLASALAKNRSVEEFQVWEDSMGSKGAEELSKMIEVNYMLKLLIILDNNSIPAAPLISAVLARNRRVEVHIWGRSRDTRGGMNNCKIVEFLPETGNMRIYSNINSTGLQRIACALAWNTTVTTLDMTGVPLKSRWTKELREVLDRNRSLKVVKLTNCCLRDKAVVYIAAGLFKNKYLESLTLDGNRFGGVGLEHLLCPLSTFSPLQTQANSSLKVLSFGGERTNIGRYGVAAILQMLETNQSLIQLAICDDASLRSSDVVNIFTTLQRNATLRILSFRGCKGVEGEAVLQTIMNTLQVNPWIEEIDLHETPLHVAGKTGQIYEKLGQNGSLVLPNDLLDLPLSAPTCCRVVLCGQELAGKSTLCSSMNQCMNSMNLPRMDVRKTQKTPVEQMPFTDENKLNSIFDGNTKLTMCNLGGNEGSFALHDFMFVVLGGPSFFMIVSSLVGKPASKYPKSIDEIEWELIYWLRFLISNYRRRVSQSHSFRPCVAIVLTHYDKVSHLPEGLQPIAAVVQRLREDFHSHAEIYPTVFAVDSRSLVSVSKLTHHLRKTTKTIIQQVPQVYEVCNDLIKILHDWRLKNSRAVIRWAEFCEICQLNIPSLRLRSRRDNVEKVDTRRRAVARSLHTLGEIVFFEELGLLVMNCEWFCRDVLSQLATLKSIKIESSGGFVHKEELEKVLQEKLRNQIPRTNWRTGASLQASDIINILLELELCYEQDPGNPHTLLLLPAILEDNKGGTEKWQLTMPECRFVGRRLECEDIHMLLTSDFFPRLQVRLHNKITCLGQQEVVYNLEKNLIYTVINGVHVKVELGMKFGSSIDVLACSGRNITDMVRLLHKFVIPAILNLSPNMTFKESILRPDCVKYLIPRRFRATQQLPLKKIKQILLSLPAESIYDYQHTWSAVESNKRLILRSGSDHARDLLSDDDFHEVLHRRYYDLQFLATELAVTPDNLQQPETIPEADVVDPSILGIARGVEMVLQRLKIIEQGIRDLKEEIASLRYYEYHLVTELHRKMDYVMNYSIQLEDRKVPQMFYLVSLDNRSRKLVTRILPGMRSLRVHMLCEFRREMHVVEDQIGCDLIQVDNQAVQSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSAMYGAASVGALGAAAMYGRARNSGTNDMGEDMKAARQWLVDFLRGQGVLTGMDIAQRFGLWRVRYRDDGHIGWVCRKHIAARGDEVFELPL >SECCE6Rv1G0426520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712995755:712996501:1 gene:SECCE6Rv1G0426520 transcript:SECCE6Rv1G0426520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHHPTGEYRILWYRKKEETDDHEEEIDGQEEEMDYHEEETYFYDDACYIFAMGSVQPLRNIRCPPEVEKVLLHRGATAAVLFRGNLHWHIEPNETESNMIVVFDTTTESFRQMHAPVVPVYKNDPAYADLFDMDGVLGMFICNEATNTIDIWVLQDYESEVWTFKCKIELPVTEIKVLCGEPGDCWHAVVMSVDGQLLVLVQYADWLLQIDMDGKFVATFHNKSLGLTNFQLKQTLVPHTFFPTLE >SECCE5Rv1G0317380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:343622898:343650981:-1 gene:SECCE5Rv1G0317380 transcript:SECCE5Rv1G0317380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEEGPAMMDAAERRLRVVSAHLEPQAGADADAGLAANPTAGEYAHVQGYSVVLPEKLQTGKWNVYRSAESPLRLVDRFPATPDIGTLHDNFVYAVETFTDCRYLGTRVCADGAVGDYKWMTYGEASTSRTAIGSGLIYHGISEGARIGLYFINRPEWIIIDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFLTQMPCVRLIVVVGGDNANTPSATAAAGVEIITYSRLHSQGKMSSQTYRPPKPEDVATICYTSGTTGTPKGAVLSHENLIANVAGSSLGVKFYPSDVYISYLPLAHIYERANQIALLHYGVAIGFYQGDNLKLMDDLAALRPTVFASVPRLYNRIYSAITNAVKDSGGLKERLFRTAYNAKRQALMNGRNPSPMWDKLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFGGEVLEGYGMTETSCVITTMDIGDKLIGHVGSPNPSCEVKLVDVPEMNYTSEDQPYPRGEICVRGPTIFRGYYKDEVQTRDVIDNDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSFNSFLVAIVAIEPDVLKAWAASEGIQSEDLRQLCADPRAKAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTVENGLLTPTFKVKRPQAKACFAKELADMYAQLRDAESARQKL >SECCE4Rv1G0273170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:774470907:774471475:1 gene:SECCE4Rv1G0273170 transcript:SECCE4Rv1G0273170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLHTNLGDIKVEVHCDQVPRTAENFLALCASGYYDGTVFHRNIKGFMVQGGDPTGTGKGGASIWGGKFADEFREALKHGARGTLSMANSGPNTNGSQFFITYAKQPHLNGHYTVFAKVIHGFDVLDLMEKTPTGPADRPLAEIRLNRVTVHANPLAG >SECCE5Rv1G0357910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:750886570:750887158:1 gene:SECCE5Rv1G0357910 transcript:SECCE5Rv1G0357910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQMLAVAVALAMVFLPLLATSATVHPVGDGSGWTLGFDYTAWSESKQFTVGDALVFKYNKALHNVVEVSGPDFKACKDTKGAAAWSSGADQVHLGDAGRRWFICTVGNHCQMGLKLNVTILAADALTPAPAPAPWSTVPSSSTPHKSRRPFVAKW >SECCE2Rv1G0066760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:15227036:15227946:-1 gene:SECCE2Rv1G0066760 transcript:SECCE2Rv1G0066760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKKVEPVGSGFPAQVRPGRTRVGWIGIGVMGGAMATRLLAAGFAVTAYARTVADSPASVAASSDVVFTMVSDPADVRAVVLDRATGALAGLRSGGVLVDCTSSSPSFAREIALAEHAAGCHAVDAPVSGGDVGARDGTLAILAGGDEAVSLAFADAAGLDARLFLDAVSMGAAGSRVMDIFGARAVNRDFASGPGSARYIIKDLGMALEVGDGQEEEAAALPGAALFRQMFSAMAANGYGDLCVRGLITVVERLNGIRK >SECCE6Rv1G0399220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:432693037:432701070:1 gene:SECCE6Rv1G0399220 transcript:SECCE6Rv1G0399220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNEACKGSCECKKCEIGKIGEAKIEHYESELEEGEIREADEASGLESTIQNGTAASVEQEQVHIRQSASLQRASHNVETAGIVVLQHRVIHKHMCPSIVRSFVQDAIEKEQALMRPLTSPERASCEGRTAGINFVQQYKCGAIREDMAASLKESSTSSQVVVAEKSSTSAERGSRLGGTACTAVVQQSKYAASEGRRRKCGNHRRSYSPSIYSSSKERHKKRKEGCYRYSDSHWVTKMIEEVCSERSRTLVSRQTVDRKKFNNEQKKQEVEFFQKHVNSYKFHYAHVAPTMRYCRMMLPKLHFSILRHRFHRHMISQLIKFYKQHLRDRDKENRIKERWIFEAKAGYLKKWFYLTNLTYSKFKSEELERCMVDYSDGEQHLKYFDMQSITTQIEAIASNKEPRGTFATDITEPILENSPSLLETNEHTNLGSSVGIAEEMATAESRPSQYTCAPSMEFCHENRTQTTFPAAEKNEGGNVERPSVCQLDVSAALEPAKTLTKGKASDDCEPILEHSQLLLVTNGATRPGFSVGVSKEMVTLESSSLQVTSVSGMEFHEEDGTQIIFSAADSNEGRNTERPCASRFVTSSASGLVIAVSTDTENAALISREKRRRMCSSNDISEGPCRSGRKLGDKDGTQISISPVTQIAFSIAAQNEGETMESSCGSQSVTSLASEPTIVASNDTENAASIYREKRRRVGSGNDDISEGSCCRPGRKFGEKDGTQITLSLATQNEGGDMERYRGSHSDADAALELAMTVNTDSENAASGSNEKQLAMTVNIDSQNAPSGSNEKQKHINSGNNISEGSCSKSQIMPTSNLFRTTLCQEEPPAARSPPPSGDNRQVVQAEHISGEEVPSSQVPSFAQVTEQSNMHFNTQSVMNHHHFGSTCQFASPPYQPPCGDTHSARIEVDSVGASHVHPTSANQVPTGSPPGPSLAEDGLDLNLFTIELSRLQKLADLIAKRHQEKIEQLNLAREIELAQATRKYDELEYNLEVETLQQKRELKIKADKIYKQQILAEVLQVIFKASARVVPDSPRGAAQETMAGPSRLANQRSFHIPAPVSAPETSTGASLRQRRVTVQHTAMDSMNHPSGGVGNRPA >SECCE5Rv1G0321200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:398972487:398977534:-1 gene:SECCE5Rv1G0321200 transcript:SECCE5Rv1G0321200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSPRLLPLPSSTSTSTCTSSALPPVCPSRLRFKPPPLPAGAVSTSALGRGCSSWLSLRCRSAAGPLPPPSEPPPPSPQDWQERLSRLQDTLRIFFAVLFWMSLFFWGSAWGGGNNSGGKKGQRFRNKSK >SECCE2Rv1G0089020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:251490404:251492116:-1 gene:SECCE2Rv1G0089020 transcript:SECCE2Rv1G0089020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitin-inducible gibberellin-responsive protein [Source: Projected from Oryza sativa (Os07g0545800)] MDLHQLFKYRLTGANVFCEIPTENNLANSSWQTSPLKLEFSNSPYTPLSTQLECDNLSALSNTPDNQSSTETISAQPISPLEADSSYIKAGSIRENIQVRSDPLYATSRHNMQQTLRDIENVLMAPDADDATTSTKHEFEDTKPAQLMRQRSRTWSHESRQPLPGVVRPHFASGGYPTASYEFRPEKRQRELRDDRQHIVKDLLTKCAEALSEDRTEEFLKLVQEARGTVSINGEPIQRLGAYLLEGLVARHGNSGTNIYRALKCREPESKELLSYMKILYNICPYFKFGYMAANGAIAEALRSEDNIHIIDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVSEYARGEGLEIVGNMLKSMSKEFNIPLEFTPLSVYATQVTKEMLEIRPGEAVAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFLETMDYYSAMFESIDANLPRDSKERISVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLSMAGFKPYPLSSYVNSVIKKLLACYSDKYTLEEKDGAMLLGWKTRKLISASAWH >SECCEUnv1G0537300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:68243800:68244180:-1 gene:SECCEUnv1G0537300 transcript:SECCEUnv1G0537300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTRKKPCSYRSSEEETLVAYFTASLAAGKLVRVMDPQVVKEGGKEVEEVAVLAVACVRIEVDHRPTMRQVEMTLENLGASHDSFVMNDMDVPKYPMIEGTNMEETSQQYSYEAEYLLSSRYPR >SECCE3Rv1G0178100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:492093863:492098838:-1 gene:SECCE3Rv1G0178100 transcript:SECCE3Rv1G0178100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPPNSADGEDPAGNNAELPSLPFHVVTKPSQLPVEFLEPSAAKKLVIGFDCEGVDLCRHGALCIMQIAFPDAVYLVDAIEGGKELVEACKPALESDHVTKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIEEQEGKKRAYDVYISFVSLLADPRYCGMPYPEKEEVRTLLRQDPNFWKNRPLSKMMIRAATDDVRFLLNIHEKMMEKLSKVSSWRLAVRSELYCRCFCINDNQQADWPPLPTVPDDIEAEACVPEVDILSLLDVPPGKMGRVIGRKGSSIMAVKESCNVEIHIGGAKGPPDRVFIIGPVKEVRKAEAILRGRMLEF >SECCE6Rv1G0444130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:826865008:826868520:1 gene:SECCE6Rv1G0444130 transcript:SECCE6Rv1G0444130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLCPPPPPRGSGLREARFFSPHYVKDFDDAVRQVVDLLCESDGTAEGNTKTFLLCGWFDKFAGRVLGGCAVLRAIAKLLKSTVCDDSDMRKHFDRIIHVDCSLWKSSRTVQRTIAEELNLRHVMHIFDKEDESDDFSGVDNSSRKAIPRIASLINKSLTDERFLMIFHHGGVEDIDLVKCGIPLYGEGKLLCTYGGTFLKTKWRKLKLMHTSVDIYIYPAAYSTNIAPYIENVLHKEADGVIGDTGIDGINTETVLDCFLYSLFLTTQLPKNFTGVDYGWVTHACNYWICDGILGDDKTWEIGNTLYLVIPMLENSTEETRRLASYFDGQKKPYVGWYSVTSNKLRAEDISNVSGSASSYFLTFQGDDPVSVRNDLFQLASNSLRVLKLYNCSFNFASPPFQYCHNLRFLWLDHCTNTGKEEQSGGPSFPNLLVLDLRFTDYVFLPQMIELMTNLRELNTKGVSWKTLSHAWKKLQKLHKLRVTNSSDVFTADSFSSIDMVSLELLDLSGNTHMKSLPTLSSARSLKMLVVDGCSSLEHVALEGAPPLLESFSFDGYGPAENWTHSVQLPQKELRLKSPLTPVEIVKVTKISLHGCSRLHNIFLRRLPNLEELDLSGTAIKIIDLQGMYVPKLKKLFLLGCVRLRSLIWQGSPRLEVLHVDTQGMKRSVVCCGERGFFGFQAHIIFTDGRFIWSSSWGLNSQLENSVSKVYLLISCTSSSQANITKRIKRIGSSRRGLVPTRPLLPYNDISLANDVTCSLLVWNCQQLQTSNVHIEIGKGSYNLESMQDEGSFRGFADFVESLHVHDNCSITAIPPANWSDWLRLKWCHVERCPKLYSVFPSRNRAYNFPCINTFSASDLLMAYCIWGGIKKNWVDRTHQSLQQLQHIYLYNCPRLVFVLPIAFTLPNLETLQIAYCSNLRHVFPWDHEYTEEIASGFTFDNLKHIKLHHLHKLEQICEVKLTAPALQSVGIRDCWALRRLPAVARQGPKPVVDCEKDLWDKLKWDGLRAGHHPSLFETRHSAYYKKTLPRGSYLR >SECCE1Rv1G0049850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:643461117:643471518:-1 gene:SECCE1Rv1G0049850 transcript:SECCE1Rv1G0049850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQNLAATAVATSGAAEQDSGSSVWPPHNLPAPMLTGRPLPHTPTLVPAQPGIAGDFEDDASIQLAPRDFLSLGRGSNVSANDLAIDPSTVLAVPVSCSSEHTSVHLQANGSDADHSQQSSSSAAAGVTDQNMQTYQQTREKIKTQSRPNDQTPLSAAAAAAFKENEEAPAGKVKPRRKKHRAKVIREDKQNKKQKPAVTTPEGKPPNQKAKRSYVQKKRNLSSLENCSGPVSDQSLSRGAEDAARSRAASVRRRLQSELCEQGMLRGKSSAGSSGHHVEEILVHAKSSLCSATTVSTAQGAHGLHLVMENSLGGLAFGMIHEMNKLLDEYIHLPEMATNCTQVLPATSECFSREPARKQDSVGSTHGLDATRIEVTIVEGKNKDLEVNDSNKAGFAMLHSATSVPETTSTESQMTKVSRVEKKKHQQRRESKSSLAGSQASIILRTAAQMLGFCQAGGIKKKRTVRVRRISYVPIMNVEMNRAKVLPRLSQSCLEALYGRPSFKHFTKKRSRRVRRHYGRSEGIFQPPNNRKFYHNIYYKATGKRSQNSRYTSTPDMDFYQRVASGLKYLDLNTEPVHTNEMYPSLTTSAIVPFGATGCLSNSLVPFGSQMIFPYEWPLDLVKKQRPRAKVDLDFETTRVWNLLMGKATDEPVDGNDVEKERWWQQERKVFQGRANSFVERMRLVQGDRHFSPWKGSVVDSVVGVFLTQNVSDHLSSSAYMSLAASFPPGTVNSNCKDDIISQDDEEIISTVAVGGVRIFDFFDSGARPDLRENFEELSITNEKIHVETKNNASAYELTEGENYSFYSKEASEDLCDHQETEVDPKARQFPDFSIELITSERSSQAVQFQKDMTSSQCVTISETILQSQLSSSGDNHSPNTSVGSVGGAASQQLGSNFDSLAGNDASTKELECQRITTTTENDDGASQDGIPSTFEASDFQEHESLSITGGTIEETANTVDESTVKSGFPSYNGGPDKEARPKKTRTTSKEKTENFDWDQLRRQACADGHMKERKSERRDSVDWEAVRCADVRAISSAIRGRGINNVLAERIQEFLNRLVRDHGTIDLEWLRDTPPDSAKDYLLSIRGLGLKSVECVRLLTLHQLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETVQKYLWPRLCKLDQKTLYELHYHMITFGKVFCTKTKPNCNACPMRGECRHFASAFASARLALPAPQEKSLVKPSNQFSFQSGSMPTPNSTVLPQIEESTRGNVYHTNNSEPIIEEPASPSREELPETFETDIEDYDPDEIPLIKLNVEAFAHNLENCIKESNMDLRSDDIEKALVAISTASIPVPKLKNVSRLRTEHYVYEIPDSHSLVQQLGLHQREDGDPSPYLLTIWMQDDINAMIKAPKPCSDFRREDGFCNNEKCQHCVLERENQSRYVRATILVPCRTGTNGSFPLNGTYFQVNEVFADHKSSHDPIYVVRKDLWPLERRMVYFGTSVASIFKGLTTEEIQQCFWKGFVCVRGFERETGAPRPLCQHLHVTPSKAPRRRNTAAAEQNSDSGKASAS >SECCE7Rv1G0501540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:683970051:683974066:1 gene:SECCE7Rv1G0501540 transcript:SECCE7Rv1G0501540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTHGGGGADQAQPPPAPDASLGFLTKRDTEVKLPRATRVKNKTPAGVQITAEQILREARERQEPEIRPPKQKITDVHELADYRLRERKRFEDLIRRVRWSVSAWVKYAKWEEGQKDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRYVNHARNVWDRAVSLLPRIDQLWYKYIHMEELLGAVANARQVFERWMGWRPDIAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARRVYERAADLLVDDEDAEVLFVAFAEFEEKCREVERARAIYKYALDRVPKGRAEDLYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDRIRDVYERSIANVPPAEEKRYWQRYIYLWINYALYEELDAQDMERTREVYRECLKLIPHKKFTFAKLWLMAAQFEIRQKNIKAARQILGNAIGMAPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDEGEFDRARELYERLLDRTKHLKVWISFAEFEASAGLGEDDGSEENKNDAGYQEQQTERVRRCRAVFERAFDYFRTNAAELKEERAMLLEEWLKKELSFGDLGDVTLVQKKAPRKVKRKRPLPSDDGSNIAYEEYIDYIFPDEVTLAPNLKILEAAYKWKKQKANETEDD >SECCE7Rv1G0459270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:25021720:25025118:1 gene:SECCE7Rv1G0459270 transcript:SECCE7Rv1G0459270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLLLVWLWSLAPTAMNIKAASAAPGPPSAPSLAHCPKTCGDVSISYPFGVGPGCFRRGFDLTCETTTKPPKLFLGNTTTQVIGLLPSGTVLASIMYTIPMVPGVATYNLSWESPGRNLNIATYNYLGFLGCGVGVYLYHPDTGDLVGHCTIKCASMASLLLVTEGGGICNGMGCCTVTFPVAFRGFRVTIVKNNETVPQPFANITIKAFLSFRPYIFSIADLLSDKVNQSTVGASSAYLSTVITDKPTCAIARSDDKTRYACGSSKCVNVTNGGYSCTCSGSSDDGNPYILDDCKQEYNPTPKTNCSRSCGSTNIPFPFGFEPGCYATRRFQLNCASNRTLIGRPPVKYEVTNISLDDGLLYVNKLSEFEDANMNYLSIYYRGSGYFGQQLVYGLDKSDLSEEFGVWRWSAANQTCENAKNDSAYACRGANSECIGVTHGKLYIGYRCKCSPGFEGNPYVQNGCTDIDECLIPSNCNGVCYNLKGSFRCCPHATSFDPVGEQCNPNKRQNLILGVSAGIGSGFGVLALALGAIVLVRRWKRGAQRKIRRAFFRKNKGLLLEQLISSTSESVTHSTRIFSLEELEKATNNFDSTRVLGHGGHGTVYKGILSDQRVVAIKKSKMVEQSEIDQFVNEVSILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGMLHDLLHGDQGAKCPLAWDDRIRVALEAAGALAYLHSSAAMPIFHRDVKSANILLDDTFTTKVSDFGASRSISIDQTHVVTIVQGTFGYLDPEYYYTGQLTEKSDVYSFGVILVELLTRKKPIFLNSLGEKQSLCHYFLGRLKDEIVMDIIDSQVVEEASQSEIDEIASVAAMCLRTRGGQRPKMKEVELRLQLLRARRLPARAHEQELRRVGDTKPLLSTPVKSRSTSVAMAKNVELGFVADNPASQALTRCYSMEQEMAASSEFPR >SECCEUnv1G0536060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:62089516:62112189:-1 gene:SECCEUnv1G0536060 transcript:SECCEUnv1G0536060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLTLATTRGFYRCSGTSASVSSRPRGADAGHGGYPRFGMAPARSSLQRSPASPEGCVQKFGRGTDVEIFGLKIANYRMHKAFNFQCFASGGGGFGTDFTNKKRIKSRKRAKDVVQDPSKVASADSKNQEQWAPELGIGRENKSGKTVMDKKFLEKVEAVRRSALDRKKVEENKTYQAIDYDAPIESDKSTLGFGTRVGIGIAVVVFGLVFTFGDFLPSGSVSPSNESTVVNKQLSEEEKTNYKRALEGYEETLTKSPNDPTALEGAAVSLVELGEYQKASDLLEKLVKVIPDKAEAYRLLGEVKFELKDYDGSSSSYRNALSSSDSIDFDVLRGLTNSLVAAKKPDQAVEVILSCRRKLSEKRQVRQADLEAANENGAQESRDIDPIQVDLLLGKAYSDWGHISDAVTVYDNLITEHPEDFRGYLAKGAILKQNGKTGDAERMFIQAKFFAPEAAKALVDIYAQR >SECCE6Rv1G0421080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:681676150:681677483:-1 gene:SECCE6Rv1G0421080 transcript:SECCE6Rv1G0421080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGDEISRLPEELLASILSRTSPPDAGRCAAVSRAFLAAADSDVVWSCFLPRDLPRFAEGVLPHTPPSKKGLFRCLSDQPALLPGNLVSMRLDRATGAKCYMLSARSLHISWGETIDYWEWIKLRSDEIQTNKSFREAAELQGVWWLLIRGEIHSTMLSPNSKYAAYMVFKLADEFIKLDFPFQEASITVGGNDDSTRQVCLQAYMEDGDDGVPRKHILRSSTWEDYIPHMSCDAIPLTDDVMLPRKRADGWMEVELGEFYNGESCDNEVSVCLKETEGGVWKAGLIVWGIEIRTKQ >SECCE2Rv1G0065890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:10486794:10488141:-1 gene:SECCE2Rv1G0065890 transcript:SECCE2Rv1G0065890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAASLVVSPASDDRFWEGLRTRVDTILEDRRLVASAASTCAVASGRPKRLREDSLMLVRGLDSVAASLAQLSDTLTAAQKGVNALAKCSSQARERESEEEPDAKRHCGGASTEFAALDAQKHADEALGAAADAKPREEPSGDVVLASAEVAQSTNLKRARSLAVSMAGRAANLARELTTIKSELRFMQERCGLLEEENRRLRDGYESSGGAAPEEDDLVRLQLEALLAEKSRLAQENANLARENQSLVQLVEYHQLTAQEEEEEEEDHLLTASYEEAVMQGMRLDFSSPLGKFDGELDADADGVPATPGSKLGVLVSPDE >SECCE5Rv1G0330030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:524011396:524014754:1 gene:SECCE5Rv1G0330030 transcript:SECCE5Rv1G0330030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHISDEAADEPGITTHTPSNDPSQAPLVYKVGYPPPKNLATEFTETLRETFFHDNPLRQYKGQSGPRRFMMGLEFLFPIFGWGRDYSLSKFKGDLIAGLTIASLCIPQDIGYSKLANLDPQYGLYSSFIPPLIYAAMGSSRDIAIGPVAVVSLLIGSLLQAEVDHVKNKEEYMRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIANFTRKTDIVSVMESVWGSVHHGWNWQTIVIGVSFLAFLLFAKYIGKKKRKLFWVPAIAPIISVILATFFVYITRADKQGVQIVKHIEQGINPSSVHKIYFTGPFVAKGFKIGVVCGIVGLTEAVAIGRTFAAMKDYQLDGNKEMVALGTMNIVGSMTSCYVTTGSFSRSAVNFMAGCRTPVSNVVMSVVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAAILIWKVDKLDFIACMGAFFGVVFVSVEIGLLIAVAISFAKILLQVTRPRTALLGNLPGTTIYRNISQYPEAKLTPGVVIVRVDSAIYFSNSNYVRERILRWLTDEEDRAKAVGLPKISFLIVEMSPVIDIDTSGIHALEDLYKNLQKRDMQLILSNPGSIVIEKLQASKLTEHIGSSHIFLAVSDAVRFCTTKSMQEP >SECCE1Rv1G0033780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:471162565:471162947:-1 gene:SECCE1Rv1G0033780 transcript:SECCE1Rv1G0033780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMTVLFIGCLLMVGQCRRPEPESIYRKGRANTTVAVPSLDDSKITVKFCLPRNCSSKGEFWGWDPECYCCLTKPGVPCFHTADECQKKCSPLK >SECCE4Rv1G0217890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:18924856:18926892:1 gene:SECCE4Rv1G0217890 transcript:SECCE4Rv1G0217890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISLPLLLLLLAGAAAAAAEPPTQAPPPAAKNETIYELLPLFGLPAGVFPANVTAFSLADNGSLAVDLAGPCYVHFEYLTYFEPRVTGVLRYGSLTELQGVQVRRFLVWFSVVRVKVDLPPPPRFVYLDIGWITRKLPAADFQSVHACEAGKRRRRCRLSSALAAAAAWLQDFFAQF >SECCE4Rv1G0283000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:832515002:832516364:-1 gene:SECCE4Rv1G0283000 transcript:SECCE4Rv1G0283000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGGGETSKHGRTGKEPSHPPAIRRRDESRPAPPFPAVDSQMRRRQMQPASEAVNFPVGPLVEILARLPYRSLCRFQSVSKQWRELCSDPKIVKRAPQTLAGFFHNRPVGSLRFSNLSGGRPLVDASLPFLRGRYQRIKLEQCSTSLLLYKCWKLESEKEKFDFIVCNPMTEQWTVLPPIEWLDDDGYTECFELSYPFLVFDPAAPSRFVVFAPLLESVDVVAVYSWETGEWAPSSGWEYRQYPAVTNDCVFLNGMIHFLHLFSDESFITVLDIEGQLFPQIDAPDGMLGATPGYGSVGCSQGLLHVWYMDPHDYELSVWVLENYVSGEWILKHTVDVPKLFEEMESKEEKGDRDQEDGSCKYEMFAIHPEYNVIFLTDRKMVSLSYDMDSGKVHPMCTSGDFLGGLPFIPCFADLALE >SECCE6Rv1G0421090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:681727368:681729275:-1 gene:SECCE6Rv1G0421090 transcript:SECCE6Rv1G0421090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLMADYVAIFVLGHLTLQTNDPRHQLVLLWAPVLLLHLGGQDTITALSMEDNELWKRHLLGLVTQVVMAVYVVAKSWRDKRLLAPVVLMFMSGTVKYAERTWALKMATAEAIKDSRMGDLYKALRKFEDPKGRQAPQTSEEIAKYNQEIVGRNKWWLQQEYADLVQAAGDSLPNCINVLNDIPVAPWVLPSIFNMIEEIYRRDNQGSTQAGGGGKDWFSSRAYKLCELQLSLIYDRLYTKVGLRYNQSKPIISIGLQLLTLGTTSTALVLFATARKQGSLYSRVDIVVSYILLAGAVALELLSMLMVTLSYPSYCFLRDKLGVNRFTRMIFCVIRFMRPYHKPLWSNKWAQYNLIAGCIKEKQAGVVARMMRRIGLVGDSKLCAISDETKELICNELDDQIRCERFSHDRGTAILSLRGHHARSELYESIDKVDFPRSVLTWHLITDICFFLTGGDAGPGLANRHMRLCREVSNYLMDLVMVRRVMTSSEGHVAHLKAREEVKLMLEHQSDNKIKVDDVEAVRKMLEAGVSHAAAASSGYVPFARNSTDTMRPVLPRAWALAQTLLQPAGRSSGAAQWELIASVLMEMLFHLAPRCEAGFHAKNLSAGGEYITHVRFLLHNRGFGWNFVLART >SECCEUnv1G0555860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:305112230:305112940:1 gene:SECCEUnv1G0555860 transcript:SECCEUnv1G0555860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGDDDVKVLGTAASMFAIRVRMALHVKGVSYEYLEQDLFHKGELLLTSNPVRKAIPVLIHGGRPVCESLAIVEYVDEVWSGAASLLPADPYDRAVARFWAAYIDDKAVPTWIGIMSAATEEDREESLAAALAAVAPLEDAFAQCSAGKPFFAGDSIGYLDLALGCNLFWIEALRHMFGVTVIDAGRTPRLAAWAERLVETEAAKKAAPPMESMLEEAGKLRAMWAAAAAPAAK >SECCE4Rv1G0278560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:809355575:809356729:-1 gene:SECCE4Rv1G0278560 transcript:SECCE4Rv1G0278560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRQRVLSHLLSAAPPPSISPLHRLLSAAAPAVSPNPSFAVDDYLVGTCGLSRAQALKASTKLSHLKSPAKPDAVLSFLAGLGVSGADVAAVVAKDPQFLCAKVERTLSPVVAGLTGLGLSNAEIARLVSLAPDKFRERSVVSKLEYYLPLFGSIDNMARPLKHCSGFLGSDLERVVKPNVNLLAECGLGACDIAKLFIHAPRILSAKPGRVLTMVARAQGIGVPRGSGMFRQALHAVSYFSQHKIAAKLDYLKKTLRWSDTEVGIAVSKAPVLLTRSHDVLQCMSEFLISEVGLEPDYIAHQPTILTLSLEGRLRPRYYVMRFLKENGLLKCNQSYCTIVKWTEKQFLENFICPHKEAAPYLAQDYAAACKGQVPARFRFT >SECCE6Rv1G0441360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:810385137:810386258:1 gene:SECCE6Rv1G0441360 transcript:SECCE6Rv1G0441360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGAAPVEGRSGASPDKADESAKKARLDLPDGHVKQEVAAAAYSPRVEIAVRIDKQVLHCPLCTLPFKPPVFQCKAGHLACGGCMVQLPFVQCEACVDGGGFFDPCCPAVDAIVSSTWIECPNVGCQWNVTYHEVVEHQKACPHVPCQCTVPGCGYFGAPQALASHLNTVHSVPISIVQYGKVSQLQLSVSTQRVVLLSDDNCVFLLTMGALVASVTTVSVVCARARVATRPRFTCELWVNLEPPTTAVNCGKEDMLLLAMHMRSSSSPGAVGAAGEPTFLTVPPMYMVPAAGDGTSMQVPLHIRIDKLSPWSDASV >SECCE1Rv1G0016850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:143764203:143769520:-1 gene:SECCE1Rv1G0016850 transcript:SECCE1Rv1G0016850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRGMVAGSHNRNEFVMIRHDGDAPAPGKEVKGAGGQGCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKDGVKCCPQCKTRYKRLKGSPRVPGDEEEEDVDDLDNEFNYKQGNGKGPEWQLRGQGEDIDLSSSSRHEPHHRIPRLTSGQQISGEIPDASPDRHSIRSPTSSYVDPSVPVPVRIVDPSKDLNSYGLNSVDWKERVESWRVKQDKNMMQVTNKYADARGGGGDLEGTGSNGEDMQMVDDARLPLSRIVPIPANQLNLYRIVIILRLIILCFFFQYRVTHPVRDAYGLWLVSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLCPIDIFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLSFESLSETAEFARKWVPFCKKHNIEPRAPEFYFQQKIDYLKDKIQPSFVKERRAMKREYEEFKIRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPMYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGGRKKKNKSYMDNKNRMMKRTESSAPIFNMEDIEEGIEGYEDERSMLMSQKRLEKRFGQSPIFTASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYNYGGRLKLLERMAYINTIVYPITSLPLVAYCVLPAICLLTNKFIIPEISNYAGMFFILMFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKANDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGKQNRTPTIVIVWSILLASIFSLLWVKIDPFISDTQKAVAMGQCGVNC >SECCE4Rv1G0221110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:46143011:46147030:1 gene:SECCE4Rv1G0221110 transcript:SECCE4Rv1G0221110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQEGKSEGAGRGQEERPSKAWGLLVFGLVGAAAATLAVGQVRKSVDWFYTQLNKVQTTSSWRKTSKSSTGGTFSDDARRRYYQRMQQEFEDEQERVQRIRHMQSVFSRERNKFRKSYESWKENGPPGGYNYIPRDDWYWQTDTSNSENKNRRTYTPAGPRGYPMSHHYTVLGLDRSRGTPYTDAEVKSAFRTKAMEVHPDQNQDNRETAEEEFKEVVKSYEAIKLERKNNAS >SECCE3Rv1G0157100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:79325393:79326544:1 gene:SECCE3Rv1G0157100 transcript:SECCE3Rv1G0157100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQPPNHGGQRRPWQDLPSELLSLLIQRVPSHAGRVRLRAACRTWRAGAHLQPPLPALLPWLALRDGSFLSLPDGEVHRRVLLPGVVSHRVSTGSTLFLVHNDDGCSLMNPLSRGTTAPRRIDLNCLCRRPGVDDIRKVVVMSDHVVAVQTREQTRFQNVTICIRWPQSTTTVEWRWIRRLHPKAHYVIDMALFQEKLYLLTAANVGECPSCLYVMDIVGGNKRVNVQCMINMSNENMDQSFVGTIRYYLVASGDRLLMVKQKREKLNGFSSLVMPARFEVFEAVDLSSGHGRWSEMNTLMGRALFLSEGCSESLPVSADQHFGAREDCIYFLCERNRYYLYYGMYDITKGRVSPLPFETVLQSHDGPLSATWFFPADTCS >SECCE6Rv1G0430130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:739793209:739794246:1 gene:SECCE6Rv1G0430130 transcript:SECCE6Rv1G0430130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPSLHLVIIMLLIPWPFSSVLLCAPVSVLVPGMRLTPGKTLTSDQGSFALGFFTPSNSTENTYVGIWFNDIPLRTVVWVANHDNPITDASSAVLSMTENSSLVLFETNGHHILWLASTITGSNSSAKLLDNGNLVVLSPDGAMLWQSFENPSDTVLPGMPMRTTHKTHPPWRMISWKGPEDPSKGRFSGGNDLDTPLQFFVWDGSVPYFRVAVWNGYVSSNAGLQAVSPLMYLTIYKGADNESYSTFGLSDGSSRIIYKVDYSGKTALWRWNTSLTDWTLVAPWPAYQCNLYGYCGAYGYCDNTEAIPTCKCLDGFDTSNKTEWVRGNFSHGCRRKEELQCGG >SECCE6Rv1G0428860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:731389159:731390480:1 gene:SECCE6Rv1G0428860 transcript:SECCE6Rv1G0428860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEAVRCETAFGMRVLQHLAAEPDAGGKNIAVSPLSIHAALALLGAGARGATLDEIVALLGPAGGRAHALLASHVAMHIFADSSDGDGGPKVQFANAVWVDAAAAPLKADYARVVAQHYRAQARQASFTTMPEEARREINEWFEAATAGRIKEFLPAGSVGYHTAAILGNALYFKGVWESTFDARLTRHDAFFYLQPAGGEGQVRVPFMSSSKPQYIACRPDYKVLKLLYACGSGEHRRRFAMHVYLPNERHGLPAMLRKLASMPEQLEADSMALRRTVAVGAFKVPKFTISYKTEASGVLQSLGLRLTFSTAADFSELLDLEHMKPPRLPLYVSQVYHESFVEVNEEGTEAAAATAIVAIFGSCSAGWSRPVDCVDFVADHPFMFLIKEELTGVVVFAGQVVNPSL >SECCE2Rv1G0079770.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:122723028:122725737:1 gene:SECCE2Rv1G0079770 transcript:SECCE2Rv1G0079770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLELPTVKHDLDDRVFSNLAFPFYLQAGMHGLASPISVRTSPRHPLSAPRSSLVRAAHASHLVIRVADPLFPCAKLSKTRAVVAAAMEVSKAPSSSGLANRQPSKEVLETWRSANAVCFDVDSTVCLDEGIDELADFCGAGQAVAEWTTKAMTGTVPFEEALAARMSLIKPSLSQVEDCLEKRPPRISPGIADLIMTLKANNTEVFLVSGGFRQMIKPVAFELGIPTENIIANQLLFGSSGEYAGFDPTEPTSRSGGKAVAVQQIRQDHGYNTLVMIGDGATDLEARQPGGADLFICYAGVQMREAVAAKADWTVFEFQELISELS >SECCE1Rv1G0030820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:434422232:434428452:-1 gene:SECCE1Rv1G0030820 transcript:SECCE1Rv1G0030820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNDAGSGGDPGDRRRDDDPAESSRAFDDGGDDEPQQPTPTRASSGDSLWQWRSQGLSEVVLSWSVDQILNKDLLRDKVSKIPETFNGMEQYMTSFFGPLLEEVRDDMSSSMEDISGAPYAKVLSVNAVKKGKGLYEIKLDRWMGVSGSGTDGYRPKAADVLLISETRPANKSDILKQSKSCVIVWINKVQGNKMTVKASRWMETGADGDERQQMGVNKYEKLYTEELDKSWEILDQEAMAPKSRNSSINEEIRKEPPKGRKPLEKCSDLKELNETGMCGNSSRRWSFYAMHLTNMVTYDRVWVVLRRGLTMDTKVILNMLGKNNSAIRHCNYCSNKSHEEIKDDLCIFKLNVSQLDAIASCISESNCCHRSSVGLVWGPPGTGKTTTLAVTLHMLLMKKQRILACAPTNMAVLQLASRLIGLIEDFSLSHHYSFGDIVLYGNKDRLHIGKELSKIYLDDRVKKLLRCFNREVGLKHCVDSVLKFLKHCTSRYKLSLDIQASSDECSPTFKKYFTSNFSSLAKELVACIDTFFDHLPSDALGKNFDKMMFVKNLVHKVQQLFCADDLSDDDLFTIFKPSDQLSDPSIGHHDLTDDATEDLPDHDISVDNPSEINSMCIKTLMDLSKMRFPCEENESSIRDLCLKQAKLIFCTASGSFELFRLQGVMPISILVIDEAAQLKESESLVPLLLPGIEHVLLIGDENQLSSLVKSKIAKDADFGRSLYERLCTMGYTKHLLEVQYRMHPCINKFPNANFYGNRILDGPSVKQKDYTKNYLSGSIYGAYSFIHIENDMEMLDDLGQSSKNMVEVAVAANIIERLAKECWEKRQRTSVGVISPYTAQVIAMQERIGRKFEKHEFLSVTVKSIDGFQGGEEDIILISTVRSNKDGKVGFLSDAGRINVALTRAKHCLWILGNGATLLASNSVWAELVNDSKKRGCFFDALKDKHLAETMRLAIKRNGRTIDAAGVSSWSSRAKGGSTIAGNNLLMRQSQLPGSGGARSINNCYNWQPNGHDMRPNSCHPNRPIFVPSREDIHRTHFQQHRRTFYGGDYNNQSRGIPADQYWPNRYRPSCDKHGAPEGFRGHVERHPGQHYHSRTDQEPLCSTSQTANGRFTPGSVRRAESHNQTGILGAWQQPSGGHCNRDFQNRTGYPLRPASSQRRFSSYGNADPHLWSMNKEKRLGNHPRRAPYTYRGQAPHQGVGGRGRDVPSFHERATRGVRNEHANNNRMKEPHCWGQNSSSEAVSHDLPVPEQRGVKRDWRKAEASDSPHQDNTKIRLAVESADEPHCEAQDGSSGAASHRPAVPEQPEREGCKPESSYSPHQDNTLVRPESSDQPHGKLQDASAGAAPHEVPAPDQPEMKQEECEAEPPVSSRQDNNKEASPGGLDEPHCRSEDTCSGAATPQLHLPELGCMDIDSSDAEATVIPDINIPLELPAPDQPEMKRDECEAELPVSSRQDNSEASPESFDEPHCQSEDTCSRLATPQPPVPELGRMDVDSCEAEATVIPDINISLESVEPDS >SECCE5Rv1G0341810.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:627532327:627534612:1 gene:SECCE5Rv1G0341810 transcript:SECCE5Rv1G0341810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLRRFPPLMLLLLLGFFLVVAAAAGKGKKKRDGGGGGGLRFRREGGTFKVLQVADMHYADGRSTGCEDVLPEQVPGCSDLNTTAFLYRVLRAEDPDLVVFTGDNIYGADSTDAAKSMDAAIAPAIAMNLPWAAVLGNHDQEGTLSREGVMRHLVGMKNTLSRFNPDGIEIDGFGNYNLEVGGVEGTLLANKSVLNLYFLDSGDHSTVWWIPGYGWIKASQQAWFKQTSLSLQKNYRNEEPRQKEPAPALAYFHIPLPEFSSFTASDFTGVKQEGISSPSTNSGFFTTMVEAGDVKAAFIGHDHINDFCGKLTGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTVSGEWQGVKSIKTWKRLDDQHLTTIDSEVLWNRGSNGRRRKDHDRS >SECCE5Rv1G0374830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:864956360:864958357:1 gene:SECCE5Rv1G0374830 transcript:SECCE5Rv1G0374830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIYQVPDSEGKAEVADRFRVMELRSGRRVRRSPPPRRVRSRRAPCVGADDRLSALPDDMLLLVLARLRCARSAARTGILSRRWRDLWTHLPDLTFRDVAPAKIEAALARMASSPSVPARLEIDIPQIHHYADVARLGHFLDAVARFSPRQLLFSYRYNRYMDLHLPCFPHTTSIEINGDVDIFIKKLPSGEFSALERLSLGGARVKAEIDTLVTRCPRLRELRLVTCTFDIKVHSLSLQTLDVSSDCVWISSIDIMTPMLKQLELKIETDTDLSVSISAPMVEKVEWFRSFEGTNLLFGFWCLKKMVLRTADSFRDGDYWRKEDPSVHVLCLEIMASDEWDNEFDLPQDVEFAQEIEKLPVSNFSVFKIDIETSGHVFGALVWRLLGLHQICSATKRLEVICHGGRQACQENCPCDEPKNWRCQSISLARLEEVHMKYFTGQDHEHDVLKLILRSSPMLNRVTLKLVPEFGGCIKKIYNTFLVYADVKVYVYFNSGELVPPPSD >SECCE7Rv1G0477670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:224333463:224336402:-1 gene:SECCE7Rv1G0477670 transcript:SECCE7Rv1G0477670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVQGNAEINPTFSAPNTSGNNSKSSSSNATDTSTFGKSSSSSVPPTPRSEKEILQSSNLRKFTFSELRSSTRNFRTDSLLGEGGFGSVFKGWIDERTFTPVKPGTGMIVAVKKLKLDSFQGHKEWLAEVNYLGQLSHPNLVKLIGYCLEDEQRLLVYEFMPRGSLEHHLFRRAPHFQPLSWNLRMKVALEAARGLAFLHSDEAKVIYRDFKTSNVLLDSEYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTTKSDVYTYGVVLLELLTGQRALDKNRPPGQHNLVEWARPYINSKRRVIHVLDQRLGSQYSLPAAQKAAALAMQCLSMDARCRPDMDQVVTALEKLPEVKKTYK >SECCE5Rv1G0331090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:536244648:536246409:-1 gene:SECCE5Rv1G0331090 transcript:SECCE5Rv1G0331090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPATRVSRRPPPPPPPAATRSAVWLVAGLLVALCFFSLPLFLALSPNLSDVWHLGVTVTARHEIATTAGSESSESSELADDRLLGGLLSPDVSEGSCLSRYASSPHRRASPHSPSPYLASRLRKYEALHRKCGPGTLSYKKSLMQLPSPHSMGLVECRYLVWAPTSGHIGDRVLSIASAFLYALLTRRVLLLQLADDMAGLFCEPFPGATWELPAGGFLADRIKGLRRGSERSYGNFIRAAGKNKKDDDEPAVLRAESLPPYAYVHLEHDYRQAEQLFFCDEDQAALGNVNWVILRSNLYFAAGLFLVPQFEEELRWMFPASDTAFHHVGRYLFHPSNELWPLITRYYTSYMAGFEEKIGLQIATFAWNPVPFKEYFKQVSACTSQEKILPEVDTTPGVLHEATAATSKAVLVSSEHPEYAEKLRSMYYEHATVTGETVSVLQPGGGGNHSHNQKAVVEMFLQSYCDVSVVSGWSTVGYVGHGLAGVKPWLLLPPRNQTAADPPCVQAASMEPCFHAPPSYDCRAKKKGDLGAVLRHVRHCEDVSDGLKLFD >SECCE7Rv1G0479260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:249924535:249928018:1 gene:SECCE7Rv1G0479260 transcript:SECCE7Rv1G0479260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREISPEAPPTPTRLLPRGPNEERKSGDPGGAGAEAEAAEMGTYKCCIFFTRRFALPDATTPEDVRTLFSRFSGGTPYMGVDELRRYLAATGELDGDGGMDAAERIVDRFLQGRSRTPRFGRPALTVDDFHNFLFSEDLNPPIRQSKVHHDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQIGVRVIELDMWPNSSKDDIDILHGRTLTAPVSLLKCLTSIKEYAFVASPYPVIITLEDHLTSDLQAKVAKMVLEVFGDILYYPESKHLQEFPSPEALKGRVILSTKPPKEYLEAKGGTMKDRDIEPKFSKGENEESAWGIEVPDIQDELQDANKDDMSYQERGVDEDDEQKVRKNAPLEYKHLITIKAGKPKGSLVDALKSDPEKVRRLSLSEQELAKVAARQGPKIVSFTQRNLLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMNGFYKANGGCGYVKKPDFLMQTCPDGKVFDPTADLPVKKTLKVKVYMGDGWQQDFKQTHFDSYSPPDFYAKVGIAGVPSDSVMRKSRVVEDSWVPMWEDGFTFPLTVPEIALLRVEVHEYDVNEDDFGGQTVLPVSELWPGIRTVPLFDHKGMKFKSVKLLMSFEFV >SECCE7Rv1G0516330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:844979503:844989172:-1 gene:SECCE7Rv1G0516330 transcript:SECCE7Rv1G0516330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGRARAASLAAVAVRMVVGAAARPAPSAALLLMATVRGEDTEFGTALWWAYAAFSAFLVLLAGIMSGLTLGLMSLGPVDLEILMRSGTDAEKAQAAVILPVVKKQHQLLVTLLLCNACAMEALPIFLDRIFNPVLAIVLSVTFVLAFGEVIPQAICTRYGLAVGASFVWLVRIVMFIAYPIAYPIGKLLDFALGHESALFRRAQLKALVSIHSKAAGKGGDLTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWETIGTILARGHSRVPVYSGTPRNVIGLLLVKSLLTVRAEIETPVSAVSIRRIPRVPSDMPLYDILNEFQKGGSHMAAVVRAKPKNTPPHDKTEPGMESAVATQLTAPLLASTDERVDTIIVDTERQQNMQVNRNKAHSMQPNDTPSNAPTQLSEDMDNGSVIGIITLEDVFEELLQEEIVDETDEYVDVHQRIRVAAAVAASAVARVPSYRKLLSQKAAGAQGESQPAGILKKPSGESNKSKRKVNLVEPLL >SECCE2Rv1G0110180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:687461331:687462829:1 gene:SECCE2Rv1G0110180 transcript:SECCE2Rv1G0110180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthetic protein 28 [Source:Projected from Arabidopsis thaliana (AT1G10030) UniProtKB/Swiss-Prot;Acc:O80594] MAEKGGRKSVPALGWWLMLVGSLRLASVWFGFFNIWALRVAVFSQTEMTEIHGRTFGVWTLLTCTLCFLCAFNLENKPLYIATFLSFIYALGHFLTEYLIYQTMAAANLSTVGFFAGTSIVWMLLQWNSHGDSRGSHAVKQS >SECCE6Rv1G0434360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:765011035:765012462:1 gene:SECCE6Rv1G0434360 transcript:SECCE6Rv1G0434360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDLRRSPAAAAAAAPYYPIKKESLCFSKRKRDPHHLVSGGITASISDDVLAHVFTRLPDMATVVRCAATCGRWGHLVATRAALISPCLPPLGRFFPNLAVGLFHQKKDRPTTRTRDAPSPRPCFVATASGTRFIRGHGQSSLAILGQDGGHRLMDHSRPVASRNGRLVLELRRDWRQGRASDGLRLAICNPMMLDKIDVIPPLVLVGKNATKILDYCCALLTGHDLNPPCHQDFFHILLIYSHNGPGGQSTVLRCYSSDTGRWGPETESIVKIPSSKIRNIGPAVVRRGVAFWALEHGVLGVRLDLKNHTMDMHMVPCNTSHVGPNNRLMGISPDNKLFLMYYGFMGASYGLGKLVLKLTYLEFPNEDDIQTGKTCRKCKIVLVEQMRKQLMVVTRYVHTIKLWWFGEKSGILLFTMGEGSREPGTYVLKLQESAVDKLADDGHLWKNLLGYEMDMTAYFTSFSSGIYSSNE >SECCE2Rv1G0107990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:656704173:656705428:-1 gene:SECCE2Rv1G0107990 transcript:SECCE2Rv1G0107990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGAAGPDADTPAGEGLELAQFGAGCFWSVELAYQRLPGVARTEVGYSQGHLDGPTYRDVCGGGTGHAEVVRVHYDPKECSYAVLLDVFWAKHNPTTLNKQGNDVGTQYRSGIYYYTAEQERQARESLAEKQQEWKEKIVTEVLPARKFYPAEDYHQQYLEKGGQSAKKRCSDPIRCYG >SECCE6Rv1G0434220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:764405158:764405829:-1 gene:SECCE6Rv1G0434220 transcript:SECCE6Rv1G0434220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFVKNPTGRTIRFKVHSSDTLYTVKAKIQQQYHLVFNGLQLEDNRTLADYGIEHDSTIDLQDKMQIYVTETLSGKTITLEVDSLDTIGNVKSKIFDMEGFPKGQQCLIFGNKQLEDDNRTLADHNIWKESTLLLVLRPCKPGESRMMRLFVRTLDGTGRTLDLDVRSSNTINSVKVKIYEKDGTPPIQQRIIFAGRQLEDGRTLADYKIQSESTVALVLRG >SECCE6Rv1G0385970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:87809044:87810212:-1 gene:SECCE6Rv1G0385970 transcript:SECCE6Rv1G0385970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor, Defense respons [Source: Projected from Oryza sativa (Os02g0181300)] MDPWVSSQPSLSLDLHVGLPPMGHPHHHHSHHQAAPMVALAKPKVLVEENFMPLKKDPEVAVLESELQRVSEENRRLGEMLREVASKYEALQGQFTDMVTANANAGGHNHYNNQPSSASEGGSVSPSRKRKSEESLGTPPPQQHYPAGLAYAVAPDQAECTSGEPCKRIREECKPVISKRYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPGCPVKKKVQRSAEDKTILVATYEGEHNHSQPPPSQPQQQNDGSGAGKNAGKPPQAPATPHHPQQQQQQHKQEAAAAAVSGESAAAASELIRRNLAEQMAMTLTRDPSFKAALVTALSGRILELSPTRDIN >SECCE5Rv1G0346530.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:662072772:662074520:1 gene:SECCE5Rv1G0346530 transcript:SECCE5Rv1G0346530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGGGGMGETEAAAAAATKSRSGGVCERAAVEVQEGARFRRVCVFCGSSSGKRSSYRDAAVELGKELVARRMDLVYGGGSLGLMGEVSEAVHKAGGHVIGVIPTTLIGKEITGETVGEVVAVSGMHERKAAMARNADAFIALPGGYGTLDELLEVIAWAQLGIHTKPVGLLNVEGYYDFLLAFIDKAVDDGFIRPSQRHIFVSAPDARDLVRKLEEYVAVEEEDPATPKLRWEIEQGGYNATLQAEIAR >SECCE6Rv1G0380440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:22871980:22882005:1 gene:SECCE6Rv1G0380440 transcript:SECCE6Rv1G0380440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGQAAHLSGQMSGQGAQMNQVVGGGSGVVGADGMPLPQHQQMQDGVGLVTPGVDQQFTLMRSNMRDKIYEYIGRKQTSADWRRRLPELAKRLEEILFRKFPSRNEYYNMMRQPVEPQLQFAIKTLSAQNQLNQQNQQMSRQMASSSGYSTMIPTPGITQGASGNSRMSYGTDNMGLSSSGAGMVPQNANMGTSMPGTMSNGYQHLTTSAPLNSTTSSVPSTMGPVGIQRQVTHMIPTPGFSNQQNVPTNSDYSNGTGYFNGESAMTPHMQQQKQFQSNQSSHQMQHIGGHSNSGIHSSMLENSSAFGLSDGHVNGGMGLHGSNTQLTNRNAAPEGYMNISSFGSSPRPLQQQFNQHPTQRISTSVDMGGSGSFYGTGSSALATANNQNMGAANLQSRSRMNSMLLSNQLNMQSIQGQPQIKTEVLDQSEKLNFQPSQLSHEQLLRQQLSMQQHQVQPSSQFVQNQYHLNQQIPNSQHQQAILRSNSFKQSQLNSSHSMQVSEQGTLPHTELISSQATEPPALPNFQGQYQQRSAHDNVKGAQVFGHLSGSQNFSASGSHDSQPLFHPNQQLDASSNDVSYVLKGTQTEQMQQHQWRPQTMEKVPISSNSSLEKQIQDDFCQRTMAQDRAQQPFSSDWRVSGCTVTSVDPALPKLPAGGLEQPTGNINYLRQMRWLLLLFHAKGCSSPLGSCKLPRCVQLQDFVKHLDNCQRKDCPQKKCSKSRMLIEHYKTCVDEQCPVCSNVKKFLRLSAEHASKQKVPEPRKVAQQNMTQRIMNGVDSDIMDIDPVSVESFDGQPSVPKRLKMQPASPNVPEHEILRASNPQVNPGFVLQESHPELLEQNKKSAYMKRELDVKADMRPLQKPVKMGYGADGNVPTARHNVIPGASNEMKSHVKQEILPVDKGTSENVHEVKNETNDSTEATALKSGKPKIKGVSLTELFTPEQINAHIESLRLWVGQSKAKAEKNQLLVSSENENSCQLCKVEKLTFEPPPIYCSPCGARIKRNAPYYTVGSGDTRHFFCIPCYNESRGDTIEVEGQNFLKARFEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYSDEVKCGLRMPLPQSAVLGASDLPRTVLSDHIEERLFKRLKWERQARANSSNCSIDEVAGAEGLVVRVVSSVDKKVEVKPRFLEIFQEDNYPTEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGADCAYPNQRRVYLSYLDSVKYFRPEIKAASGEALRTFVYHEILIGYLEYCKQRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKATKEEIVVELTNLYDHFFITMGECKAKVTASRLPYFDGDYWPGAAEDMINQLRQEEDDRKLQKKSKTKKIITKRALKAAGHTDLSGNASKDAMLMQKLGETIYPMKEDFIMVHLQYSCSHCCILMSSGKRWVCHQCRSFYICDKCYSAEQQLEDRERHPSNSRDTHKLHPVDIVGVPEETKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCSHDIETGQGWRCEICPDFDVCNGCYQKGAVNHPHKLTNHPSVADRDAQNKEARQMRVQQLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCALCKKMWYMLQLHARACRDSGCSVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAST >SECCE6Rv1G0410970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:604483124:604483939:1 gene:SECCE6Rv1G0410970 transcript:SECCE6Rv1G0410970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTTPSDAYDRFSSGPPPTAPPPQQQQPTYNHAMNQNHHHGHPAAGLARWSTGLFHCMDDPGNCLITCLCPCITFGQIADIVDRGTCPCAGSGAAYAAICATTGMGCLYSCVYRTKMRAHYDLDEGECPDFLVHWCCELCALCQEYRELKNRGFDMGIGWDANMERRNRGVTGGQVMGAPATPVGMMR >SECCE1Rv1G0044650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:603955675:603958119:-1 gene:SECCE1Rv1G0044650 transcript:SECCE1Rv1G0044650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAPPAALVARRAASASAAAAPLRRAGLAAGCQPARSLAFAAGADPRLAVHVASRCRAASAARGTRAVATMAKKSVGDLTAADLEGKRVLVRADLNVPLDDDQNITDDTRIRAAIPTIKYLLSNGAKVILTSHLGRPKGVTPKFSLAPLVPRLSELLGIEVKKAEDVIGPEVEKLVADLANGAVLLLENVRFYKEEEKNDPEFAKKLASLADLFVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLDGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKAKGVSLLLPSDVVIADKFAPDANSQTVAASAIPDGWMGLDIGPDSVKTFNDALDTTQTIIWNGPMGVFEFDKFAVGTEAIAKKLAELSKNGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVVALDEGVMARSVSV >SECCEUnv1G0535360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:56152148:56153698:1 gene:SECCEUnv1G0535360 transcript:SECCEUnv1G0535360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPRSPASTVSSYASTPTLSSCPSSSISTGCSTPATPNLFAVAATIPAGIRHMVPAGHAGEHKPALAATGTPEFTQGKKLQQHQDHKPALAATGTPDFTQGKKLQQHQDLPESAATFPKHENPALATTGGTEFIQGKKLQQHQDLLESAAKFPKQEINGWEHPDLCSPSKLLKNVSTSIKEISRWARSSTGSKVSSNIRLLESVFSLIQVVTSTAPHPDHVVAMIRVHEALANLLLLLPKNIFPFLLQHFAQFVDELCPKRGASLSERFGNALHDLRRSIRSGLQVLKDMILDYTADVVPQGGGVHEITKYLLKYIMSLLDNGTSLKIILVGDEQDGKVAMETLQDIVATLISHLEIMLEKESHRYKDAGLKQMFTVNNINFLLHQVEGSEIRYLLGDDWVLKHRDQLKDHISSFINISWESVMYCFHVKTNKISIFSSLPTLQIFNLEFEKTYWTQKAWKVENPLLRSNMRKSVSEKLVQAYSTYLENHKNKAPKLMKYTPEDLEELLSDLFEG >SECCE7Rv1G0519110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:863386255:863387971:-1 gene:SECCE7Rv1G0519110 transcript:SECCE7Rv1G0519110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTLVIMATMLVYFLLRNKRVLLSQKQRGQRGRLPPGPAALPIIGNMHQVILNKPAVFRWIHGLLKEMNTDIMCLRLGATHVIVVACPQIASEVLRKNDEVFASRPTTFASGIFSFGYKGSIFSPHGDQWKKMRRILTVDILASSMERKLHHLRNEEYDHLVRYINKTHCSDMACPGNIVNVRHVTQHFVGNMIRRLVFGKRYFNELPTSSTSGPGHDEVAHVSALFTALNHLYSFCVSDYFPALIGLDLDGHEKVSKDAMETLNRLHDPIIEERIHERSSSLEKCGEKKEARDFLDVLVHLKDAEGQPFLSLEEIRAQTSEMMFAAVDNPSNAVEWALAEMVNLPEIMQKATEELDTVVGKDRLVQESDIPRLNYLKSCIREAFRIHPYHALNVPHVAMADTTVAGYTIPKDSHILLSRLGLGRNPKIWTEPLEFQPERHLNTTNVLLTDPGLRFISFSSGRRGCPGISLGTSITMMLFARMLQGFTWTKLPGVQSISLQEGNAGLALAEPLVLQATPRLAAHLYI >SECCE7Rv1G0505100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:730291868:730294147:-1 gene:SECCE7Rv1G0505100 transcript:SECCE7Rv1G0505100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPYTNFLRGYNLRYSNAAASSSSPFPSYTSYLHHPPPSSPPIREALPLLSLTPSSSTHHHPHQHHDQEDHKVVTTASCSSQAEAADQAAGEVTVALHIGLPSPSPSDAAAGSGNHHQEEAAAGGGAAEERSQQQQEEGHEEGEGEEEDGSTMALGVGCASLGIGRLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNVDHPRARPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAPCGIDCFDLDDDDRDPSSEVDHTVSTAAAATTTTNGAGSDRRHWKAN >SECCE2Rv1G0081110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:136063046:136064774:-1 gene:SECCE2Rv1G0081110 transcript:SECCE2Rv1G0081110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHPISSCQGASATARASIHLPRDPRRLVLLRRPKSKIACSRSRIVGASAPALPPGPVPWPVVGNLPEMVLSKPAFRWIHRMMEDMGTDITCVRLGSVHVIAITCPSIAREVLRKQDANFASRPLTFASGTFSGGYKDAVLSPFGDQWKKMRRVLTSEIVCPSRHRWLHDRRADEADNLCRYVHTLATGPGSASGVDVRHVARHYCGNVIRRLVFGRRYFGEPRPDGGPGLMEEEHMDAVFTSVGLLFAFCISDYLPWLRGLDLDGHEKMVKEANATVNRLHDTVIDERWRQWKSGERRELDDFLDVLIALKDAEGKPVHTIEEVKAHSLDIIFAATDNPANAVEWALAEMANAPEVMTKAVEEMDRVVGRERLMQESDIPQLNYAKACIREAFQLHPVAPFNLPHVALDDTTIAGYHVPKGSHVILSRIGLGRNPAIWDEPLLFKPERHINMADGSDVVLTENELRLISFSTGRRGCIAASLGTAMCVMLFGRLVQGFTWSKPPGVAAINLSESEHDLFLTKPLVLHAEPRLPVHLYSDTVN >SECCE5Rv1G0320370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:386985394:386986173:1 gene:SECCE5Rv1G0320370 transcript:SECCE5Rv1G0320370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-specific protease 1 [Source:Projected from Arabidopsis thaliana (AT5G60190) UniProtKB/Swiss-Prot;Acc:Q9LSS7] MRPAGEASASTAGEDGNERVLSYGDVVLLRSDLSILRGPHFLNDRIIAFYLAHLSAAFEGDDDGDLLLLPPSIPYLLSNLPDPDSVAAVAEPLRLASRSLVLLPVNDNPDASVAEGGSHWTLLVLDATNGASRPRFVHHDSLGVLNFDAARQLAAVLRPLLPGAANGAPLVEGHTPMQANGHDCGVYVIALARAICNWWRDRRGQQQEGGADWFDTVRKEVDAESVKAMRAELLHLIARLIQDKEYEKKNKAGEGRKED >SECCE5Rv1G0305260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:68866715:68875014:1 gene:SECCE5Rv1G0305260 transcript:SECCE5Rv1G0305260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPAETPAVAENEISEIPNPTSGEQADASIEEQANPESDVPEQIKEEAENTSDEEPKGTNTEESGNPSDEAQVTGKDEDMGDQNREDQAIGSLEEPAEAEQLANVETEDTKWPGWPGESVFRVLVSAQKVGALIGRKGEFIKRMCDESKARIKILDGPPGVPERAVMISAKDEPDALVPPAIDGLLRVHNRITDGLDSETDQAQRGAGPAGPTRLLVPASQAGSLIGKQGATIKSIQDASKCALRILENVPPVALNDDRVVEIQGEPHDVHKAVELIANHLRKFLVDRSVLPLFEMQMKVHSAPREQPMPAPQQWGPPPPWSHPPNIPPSGPGYGGNPHFMPPRPQDNYYPPPDVHHVEKQPHYGISSYGRDANPTAAPTSGNQHLSHGSSQLSQKMQVPLSYADAVIGSAGANISYIRKHSGATISIQEGVPGEMTVEIAGSATQVQTAQQLIKNFMAEASPQVPPPGPAPPSQPVDSGYNSYPPYGGPSYATPPGGAGPTPHNGGGYGAHYPPNYGY >SECCE5Rv1G0373500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:859359892:859365978:1 gene:SECCE5Rv1G0373500 transcript:SECCE5Rv1G0373500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRARDLHPLCCLPVDCPGGWCADRSPSPPPAPAPAAVAGLLHKWTNIGKGWRPRWFAILRGGLLAYSKIRPRAAGSPTPPPAPAEDGGGPRLIGPAGYAAAAPEDRPIGLVHLKISSFRESKSDDKRFYIITPTKTLQLRTNSVKDRVAWIEALVSARSESSPSGVLLYDQNDASFSTDRLRNRMHAEGLGEEVIKDCEQIVHSEFSQYYTQMKQRCEEYLSFLGSLPQQLEVLNEQDTTHSIRPECSCSGHGKCCESSNTESSDDAGIQELDESSDEDDYNFCDTRESFSDSAASPDLRMKHSNLSNDVQQYDHKFVEPRSGKGDNECLLVPPKRRTKLPEPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSHLLDRAYDYGLKGNSVMRTLCVAAFAVSGYASSDGRPCKPFNPLLGETYEADFPENGIRFFSEKVSHHPMVMACHCEGRGWKFWGESNVKSKFWGQTIQLDPVGVLILEFEDGEIFKWSKVTTTINNLIIGRLYCHHHGTMKISGNRQHSCKLTFKQQSFLERNPRQVQGVVEDIGGAKVATLRGKWDESLYCNVTNDGVNCSAESTLLWEKHEPPTNPTRYNLSSFAITLNELTPNLKEKLPPTDSRLRPDQRHLENGEYDKANSEKLRLETRQRMARKMQDNGWKPRWFDRDTEDGPFLYTGGYWEAREQRKWDGCRDIFGELPDKRTYPVIASVDI >SECCE2Rv1G0080180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:127970967:127974337:-1 gene:SECCE2Rv1G0080180 transcript:SECCE2Rv1G0080180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWLLAAVVLGFLAGAARGSEPWSNDTRVYSTNAKSGGGSGSNGAFVALTLIQSAAAKGAVCLDGSLPGYHLHRGSGSGSNNWLVNLEGGGWCNDVKSCVFRKGSRRGSSNHMERQLQFTGIMSNRPEENPDFYNWNRVKVRYCDGGSFTGDGADAASGLYFRGQRIWQAAIDDLMAQGMRSASQALLSGCSAGGASAVLHCDEFRAMFPSNTRVKCLADAGMFLDSVDIAGRREMRDLFNGIVRLQGSGRSLPRSCTSRMDKTSCFFPQNVLPNIQTPTFILNTAYDVWQLQESLAPRTADPQGLWQRCKQNYASCNSNQLQFLNGFRNEMLNAVKGFSGSGQNGVFINSCFAHCQSERQDTWYSSNSPRLGNKRIAEAVGDWFFERGSAKYTDCAYPCDGTCHHLVFKGRHL >SECCE5Rv1G0348930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:678658324:678666199:-1 gene:SECCE5Rv1G0348930 transcript:SECCE5Rv1G0348930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAMENGEIEAAEEGLPMPAPPVGRRYRPVGSDDSAVIQMTSMEPAPGGSTSVTGHEAVTPQPPRNLRPGGANLTIDPSMQEGSSDHATSSGSQRDSKLELFGFDSLVNILGLKSMTGEQTQAPSSPREGEDVAITIGRPKETGPKFGTMMGVLVPCLQNILGIIYYIRFTWIVGMAGIWQSLVLVSFCGACTFLTGLSLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGLFQESVTVVNNTLINGTATAGTATISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLRLTSLRDNWGSEYQRTNNAGVPDPNGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLSATLTTTAMYLLSVLLFGALATREELLTDRLLTATVAWPAPVVIYIGIILSTLGAALQCLTGAPRLLAAIANDDILPVLNYFKVSEGVEPHAATLFTALICIGCVIIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKYHHWSLSLVGALLCVVIMFLISWSFTVISLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLILCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSTIDGDYHELAEDAKTACQQLEAYIEYKQCEGVAEIIVAPSMSEAFRSIVQTMGLGNLKPNIVVVRYPEIWRRENLTEIPSTFVSIINDCIIANKAVVIVKGLDEWPNEFQRQYGTIDLYWIVRDGGLMLLLSQLLLTKATFESCKIQVFCIAEEDTDAAELKTDVKKFLYDLRMHAEVIVVTMKSWESHVESSSSGAQPDDSQEAYTSAQQRVSAYLSEMKETTEREGRPRMVDGKQAVVNEEKVHKFLYTMFKLNSTILRHSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTFFT >SECCE3Rv1G0154630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:61728612:61733593:-1 gene:SECCE3Rv1G0154630 transcript:SECCE3Rv1G0154630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALAANPKLWEVLDLHETKKAGERLISALSLARYRHLKVVNLEFAQDIEDWHFLHLKETGAILLEELELLNLNACQKVSDTGIEAATSLCPNLRALSIYWIVGLTDASIEHIVKNCKQIIDLNLSGCKNISDRGIQLVADNYQGLQKLDITRCIKLTDDALQKVLEKCSALESLNMYALSSFTDKAYTKIGYLANLTFLDLCGAQNLTDDGLNSISRCGSLTYLNLSWCVRVTDVGVVAIAQGCQHLELLSLFGILGVTDACLEALSKSCSNSLTTLDVNGCTGIKKRSRDDLIQLFPRLSCFKVHS >SECCE5Rv1G0370520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:842367762:842368433:1 gene:SECCE5Rv1G0370520 transcript:SECCE5Rv1G0370520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKVHPSPPPAGGETAEGLLLRLLPSVVLAMVAPLGPEGKEVLAYLVLASLRSSAPPTPAREELSAAGEGCGRKPHRPELGCGCFGCYTAYWSRWDGSPERDREAIHRAIEAFDEHLAQKEEGKGGRRRKKRAASSRGKGKASAAVDPPLPEQGETSAAAAPVLAEVVEGGEEQVKKTVEEEEAAAASCARDGVPEERRRRVWGAVAGVFNWREWGLWGSH >SECCE7Rv1G0464390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:60595584:60598364:1 gene:SECCE7Rv1G0464390 transcript:SECCE7Rv1G0464390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAQGDAARATREAVPPVSRVAIIGGGISGLAAAKQMRAYDPVVFEATPSVGGVWKHCVYRTTRLQTPRPDYEFSDYSWKNREDPSFPTHTEIVDYLEGYADEFDLWRYISFGSKVVDIKFLGGAEAGFTELWSGTGKAPLRGKPMWEVGIVTGESNTVQYYKFEFVVMCTGKYGDVPRMPVFPPGKGPEVFKGTVMHSLDYCKLSEEETVELMRGKKVVVVGYKKSAIDLANECAQAKQGEGGQACTMLVRTLHWVVPSYSIWGLPFSMFYSTRLSQLFYERPNQGFFRSLLCRLMSPLGAGVSKFIESYLSWKLPLGKYGLTPDHPFVEDYASCQMAILPEGFFDMADRGLVRFKRASAGWCFSENGVVLDDGTKVEADLVFLATGFEGKDKLREVLPKPFRDLVVGKSSMMPLYRGTIHPLIPNMAFVGFVESVSNLHTSELRCRWLSGLLEGRFELPAVKAMMGHVAGEADAMRRTTRFYRRHCISTYSIHDSDGMCADLGSATLRKGNWIAELFAPYNNKDYKEQ >SECCE5Rv1G0332370.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:547837848:547839053:1 gene:SECCE5Rv1G0332370 transcript:SECCE5Rv1G0332370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLFSAARALVPPVPAPAPAPVPASSSAAAEAAASLLPLLPCKRRKKLLKKLNSPRIAPIEPEADRRVPALDAVLDRDAAFRFLSRARSFMASLPPPHRIPLSEAGKLYRELGFPRGRSVSRAAARHPLLFTRTTVGSVPHLAFTPLMCSLLEEERRVHEELLPARVLAVRKLLMLTAHRRLPLAKLHHCRAVLGLPDDFRDRVGDFPDDFRVAVDPDGLHVLELAHWDPALAVSALEHDFMVDERRVRRTFRFSVPHRRSMPLDGEQAERLDAATTFPLVSPYTNGALLRPWTPEAEKYRVGVVHEFLSLTLEKRALIHHVFEFKEELGLTRHMYQSLRKQTRAFYLAGTEMNWAVFLRDAYDDDGVLRDKDPLVLFNEKLQGYACMTEMDPRLGIAE >SECCE7Rv1G0503670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:715055057:715056511:1 gene:SECCE7Rv1G0503670 transcript:SECCE7Rv1G0503670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPAVLGLALLLLILNTNGVEARPAPAGGHQKKASSATFFVFGDDFADNGNLPLTDPVTEMSRQWAYPYGSNYVDADGFPRPNTPSGRFSNYKIQSDFIATMLGLEEAPPAHARTAEKTCDPSGMTFATGGACVLDSTSHEVPALAKQVDIFKKMVKDGTITEKQLSRSVALVAFSGNDYAGTGVIGLSSPNDINAYIGKVTKEIAANVDQLLKLGVTKVLVNNLHPIGCTPSHTRTNNYTTCDIFGNLGASIHNDNLKQVMTSKKNVYIVDLYTAFTDIVDHAAGKGSELSKQFKRKLSPCCESLNSKGYCGQHDESSAELLYTVCDKSSKFFYWDDMHPTHAGWEVVMKQLEKPLREFVNQA >SECCE6Rv1G0424410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:700361059:700363690:1 gene:SECCE6Rv1G0424410 transcript:SECCE6Rv1G0424410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVLSTVLGNVGNLAVQETSFLCGVTLEVAFLKDELIRLQGYLKDAESKRRSGNATVAILVSQIRDAAYEAENVIEAADYMKKRNMIKKGFMGAISRYARLPSDLNTLHKIGVEIQHVRRKLNEIFQSIERLKIDLDSHVVDDSVEDYGLMHPHCKDDVIMVGFEDEYREIMDKLVEGENMLSVVSIVAMGGAGKTTLARKVYTSSGVKQHFESLVWVTVSQKFKVVDLLKEILKQISSDEGQSRNVDEMNEYEVAKKIHDILIQKRYLLVLDDVWEIDTWEQINRTIKAFPDAANCSRVLLTTRKGDVANHVEMPTHVHALRSLDEEKSWLLFSSKALPSYRISRIRGLDKYEELGRKLARKCDGLPLALAVLGGYLSKNLNTQAWSDVLLCWPSTKDTQMMRGIIARSYKDLPDHYLRSCLLYLAAFPEDYIISVSALINLWIAEGFIPHTAKHTIEKTAHMYVSELAQRSFVQVVQTSIIHECAEHIRIHDIIRDWCIEEAAQDGFLDVIHETTSGQVGGSSSSHNQIFYRSSFQNLSGLILQTSPNLRALFGSGLSSVSFPELRFLRVLHVENSSLENFSEVIGRCIHLRHLRLRRCGEVKVPSSIRKLLYLQTIDVAGSDVPSSLWDIPTLKYACLLRISLPRSVQIPQTLRELHMWATYGEIGKDPMPILEMLPCLVVLKLHGYRPGTMSFGAQGFPHLQELLLEDCSFNKWTMEVGTMPKLSRLSFSFCRLGEEIPDGLLHLPSLRFVAVTNLKDYSNDSMLDALRQKGCKIIGRDGFLPVREEE >SECCE7Rv1G0477140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:214744911:214752920:1 gene:SECCE7Rv1G0477140 transcript:SECCE7Rv1G0477140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAARPNPCAAFRSVPPPLPARLRTAPPKDAPCPTSGGDRGLSGQCKKAPEHAMHKPSGGTYTKPDARVKLIPAEDITYVRHGKPFGRTVGSAKLQKRHCRRSVTPPPSSRKISLVRSTPLTHKSTPPFTPKAMQSLNHKASAPLTDKATAPLTHKAMAPFTHKAAAPLTHKTTTPPLPVASCLSPKRVETAHKASGPLSPKPTTPPLPVASCLSPKRVETAHKASGPLSPKPTTPPLPVASCLSPKRVETAHKASGPLSPKPTTPPLPVASCLSPKRVETVENRQFSSLNQSSPLYKAVQRGAFPPSVRKDSEMNPINPSSVHVPPAYSRKVPLNTKAEPPSKSVITPDNKKLCSTSNQAALKCQPTKRDADPIVEKENTVKLPLLSPTSVLSSSSTEVCPDTGRSSSRLYLFDGKSKLDSPQPETNIPQAKRLESTPCAQLSNDLRVVSSTKSHVRNEKQMNQEPSISCNMSSGAPLILHTPLCKETHQPEACWKGKFEIIGELTHTCDGLEAYFPCEISSKVYEASKQLPEILKLEALPLSGLLPKRFKMEPPRAQDIGLCFISSGQRPNRDSYHLLENVSSHIGLRTDIGTVDLLIFSSKLLTEDDQTKDGELYLCGVFQKHSRKRQHRADSHTDIGNVGLSKGDSHISEDIGMDLDMTRGKYTKGKNCEIGMKLHATEVKKMETSKPKEAGKIFDMTAAKQAESVKCKKSGIKLELTGEQETNRVNKCLPIFKTLDANAAVSNAAPAVSFFTGSCSPDSADAPCKSASVSDPPPGFSLDVPPGFTRARCELRRGETAESHIDSSPSLALDTPGLGLDAPPGFIKAHRGLHTNDSMPPGSENCASTLFPEKKSPIKFSLNITRPPGFAKLVEVKKDPGLPAFFKATEKTPPIGKANEKDIKHDKVNVEVESDDSSEEREFPKTKRLSDILGSCASSSWRDNASTSAAPRNCSEVFRPASKFEEQKQQHRHCRKRSQPEASESDAAAEATKRLKVNGRIALNANGCPALNSNQAQPKTPS >SECCE5Rv1G0310630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:172383277:172387723:1 gene:SECCE5Rv1G0310630 transcript:SECCE5Rv1G0310630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGGMSVSCGLPCVLLLLVCCWLCEAGAGLAPALYVLGDSQADAGTNNLLPTVLRADHPHNGVDYPGCKATGRFSNGKNFVDFLAEHLKLPSSPPPYLSICNTPSSNSIYLSGVNFASGGAGVSNQTNQGLCISFDDQIDRQFSKVNASLVQQLGQAQASAHLSRSIFVVAIGGNDILNNVLPIPSLVNQVISPHPPGQSTDEFIASLALSLKDQLQRLYKFGMRRLFIVGAPPLGCCPVLRGEKECDAVANYMSSQYNIAVASLLRDMSDKYPDMLYSLFDPSITLLEYTRQPEANGYAVVDAACCGLGEKNAMFGCTPASSLCKNRTNHIFWDFVHPTEITAQKLMAVAFYGSAPLVTPRNVRQLCDTAI >SECCEUnv1G0528410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:3884034:3887756:1 gene:SECCEUnv1G0528410 transcript:SECCEUnv1G0528410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAASPPPPYSDATSDSGSDSDGSGDSEEASPIVWDSQDLRTRAERNEEQLLAAMSVDPRRLPALNHLLLEVYAVLRPKPADYEQRNALVDVFNKMATRIFGNDNGFPVVQAFGSFTMDLFTPKSDLDLSVNFSAEIEDQCPRKKKMKVVRKFAKVLYSLQRDGIYCGVLPVVSAKVPIVNVIDRGTGIECDITVENKDGMTRSMIVKLISSLDERFQILSYLVKTWAKIHGVNSPTAQTMSSMSIISLVAFHLQTRRPPILPAYSALLKDGSDYASVEKNILIFKGFGSTNKESVAELFVTLMSKLLSVESLWEHGLCASNFEASWISKTWKKGVGNLSVEDFLDRSQNFARAVGKTQMQKICGCLVDCASNLTDFMKGHMDASKLKTHLFGRLNPDDLVSRPRPRHGKRKLSPEGRRVIQQRTKRAVQHEEPAHEANAASPAATVMPPVGHCRSPGIQSGGAQFACKPWWPFRIVPSGFGYGLSVRLPQRAPHPGPGILGRAPGDLIRPNSGIQLLPQQGPLLPMPLRETSVGTHRPGSGVDEQLHPAQ >SECCE3Rv1G0149440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:24794483:24796804:-1 gene:SECCE3Rv1G0149440 transcript:SECCE3Rv1G0149440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPSPAQARGGRNPLEEWSGRVRAIEAGFRAWMAKQPIHVEAAVTTAAGAVQGGALGGLMGSITADGGAPWVPPLPPNADPQAMASFKQAQALAAGPLVQARNFAVMSGTNAGISCVMRRIRGVDDIQGSMAAAFGSGVVFSLVSGMGTPTPNPVASAITSGVGFAVFQGGFFMIGQRFSKPQGVSEPNYYARTSSMLQNLGLEKYEKNFKKGHLTDHTLPLLTDSALKDVKIPPGPRLIILDQIKRDPELTKAR >SECCE2Rv1G0078270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:106524570:106527226:-1 gene:SECCE2Rv1G0078270 transcript:SECCE2Rv1G0078270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHADLVSDGGNSAPTKPAMDEPRAGADAAEAPFFAGPDGLPVPQPGTAAGARKRKAPATATAKAVRKAHKPLVEEPIQMLVYYGCRAPFSRVRGNDLEDKCAHLISELPLQPQSMSLVDLQLWIFRLFRLHPETQDLDIKGFLKQRKTDFFDEESSEPDCCLEDYPWGMHEFSTDKCWSSFANKLKRKKHVTQKFMLYVQSSEAKHYDILLKAVHDDYSQLATVVLPGTECLASSRFAFRDLVDDLTMTAKELVHYLTGPLGEQISLAEAWRARQFALEREFGTFYDSHNFAPRLLKEIARKNPGYFVDIKDEEVAGCKGFRVLQRMFWAFGQCLQAFRTCRPVLCIKGTPLCGKYQGMLLTAVALDANDFSIPVACAIVEGETKESWLWFLRNLERAVVHQSDVCIVHDYKRELIDAVDDLLKYQYRQWRKAESRWCMEDLAENFFAYFGDKKLLLMFKKLCQQKRRHKFGKIWKELDELTFKYMAEKEHAACGEMQQESVKHEVAELEAQSACNQDDSVKDVKEEDPTNDTKGKITKFSDWINLKPKEKWSLAYDRDGARYGIMGSDIADIYKNDPVLKGITCLPLSAIVEVTFRRLVKYFENRSAAASKAIGNPSINFPERVQVDMNSKMQKSETHTLTCTYADEKNARGEVLDRKFTVKGRKREVTVHLKSEYTHSRNKSEGSTVEKTATCSCSRPQLLHKPCSHVIAICCKIGVSSATYMSPYYSLPYLGRTWSGNFDESKISRDYRNIMPFGCTTTWIPDKRLECGLPYFVTSDCLETVADESEQQCDTGNGSTEDNQGATTRTEEPNEI >SECCE6Rv1G0433020.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:755334695:755336260:-1 gene:SECCE6Rv1G0433020 transcript:SECCE6Rv1G0433020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPDATKSLGAGTMNVEDGGGGGGGGKKVAGKWPGFVQFFFVLTVVMCALVYAPRFLSPAVPVDFLGPRQPSLSGRAVEGRAVHGGRGNEGDALVLDNQVNSPCASMGGHGICCDRSDYNTDVCFMAGDVRTDAASLSLLLFPPPNGTANANANANATAAEKEEVVRPYTRKWEKGVMANIHEVRLRAARPDEADAHRCDVRHDAPALVMTAGGYTGNLFHAFNDGFLPAWLTVQHLRRRVVLAVLAYNPWWAGTFRELVSGLSRHHVIDLLHDKRTHCFPGAIVGTRFHGILEVDPARTRDNRTLVDFHDFLAGAYKDDGASEELRHTTAPAPQQRRRPRLGLYSRKGTRVIENEAAVARLAESVGFDVSILETANEAPLSSEYARVSACDVLAGVHGADLTKLLFLRPGRAALLQVAPLGVPAVARSCYEKATAMMGMQYEQYDAAANESSLVRKYAADDVVLRDPEAATREQGWELIKRVYLGGQNVSLDLDRFGDTLRRLHARALRLRLPAAGP >SECCE6Rv1G0446250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:843403397:843404512:1 gene:SECCE6Rv1G0446250 transcript:SECCE6Rv1G0446250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRCKVSATMVAQSEKKSSCVIKVDGYSRGKELFKKGKYMTSPPFNVGGHNWVVRYYPNSDSEKPAGFIVVRLVLDSANATNVEAETIFSMIDKDGLPMRPHPRDHIFPYKGSSIRYTFQQAALEGSTHLVDDCFSIRFDLTVVINNTQSEEKGQFVAVPSSDLHRHFANLLETMDGADVTFLVAGDKFSAHRLVLAARSPVFKAELFGPMKEKHDTLIEIHDMEPHVFKCLLYFIYTDTLPAPDMESLDVMMASHLLVAADRYNVERLKLVCEHKLCNHIDGGMVATSLVLAEQHSCHGLKKACLKFLASPSNLQTMMASDGYEHLKSSCPSVLRELIARPLPIPLLAAKDIFMAIFIVWLVIVVHKLV >SECCE6Rv1G0381150.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:29904419:29904718:-1 gene:SECCE6Rv1G0381150 transcript:SECCE6Rv1G0381150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRGADRIGVSLGSHRPTVLLKLLCLVEKKRTKVRSLAVLFSAADWDRSPARPSRKKLEQHCMRTYYPSSGTRGGTTSRSTYCSPGRASSSRRDFLF >SECCE1Rv1G0000680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2240346:2242934:1 gene:SECCE1Rv1G0000680 transcript:SECCE1Rv1G0000680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGAFHHSITLQSLTVFSLLAVFAADHAQGGHDGCPPFSCGHLQDIRPPFHRQGDPLECGVEAYELGCTSGKASIHINTGTYYVTAINYTGSYFWAMDPNFNTGSTCPLPLWNHVPYLRHNGVVDSDSPGFWDFFIRSNYRACFANCSRAVANNSAYKPVACQSAKHSYVYIWVTDNTYCMVKDLEPYCGYLGTIPFEDNNYSDWLQLQNASYADMTQFISNGFTVQFPVDTNAPKDSVSKTINFCRKETISYFKEQISYASIVSCIRAFFWSEMYFYQCVVHNNYYTTSSVLVVIAILSAIAITKFHFVLCRLLLAPLAVWIFLAYKYMKTRIIIDAVEKFLRIQQMIGPTRYAYTDIIAITSHFRDKLGQGGYGTVYKGVLLPGGVHVAVKMLEGNSNCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPHGSLDKYIFSTEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRGDSFVPVSAMRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNMGSSSQAYYPSWVYDQLTQEEAGEISPVAANMHELEKKLCVVALWCIQMRSRDRPTMSEVIEILEAGADGLQMPSRPFFCDEGHIHVEDSYQFTSELTTVSEEEFSAVSEEDDV >SECCE5Rv1G0360940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:776336377:776337333:-1 gene:SECCE5Rv1G0360940 transcript:SECCE5Rv1G0360940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTSPAATADDELVYESMPCIRIYKNRVERYLGSEFVAASTDAATGVTSRDRTISPQVSARLYLPRLDVAQKLPVLVYYHGGGFCLGSAFNPMFHAYLNNLAALANVLVVSVEYRLAPEHPVPAAYADSWEALTWVVSHEDEPWLGDHADFSRLYLGGDSSGANIAHHMAMRVGAEGLAQGAKIHGLVMIHPYFLGSSKVGSDDLDPTARESLASAWRIMCPTTTGEDDPRINPLVDGAPGLEALACGRVLVCVAEGDVLRDRGRAYYDRLRASGWSGEADIWQAPGKGHTFYLLEPCCQEAVAQDKVITEFLNC >SECCE3Rv1G0180480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:579992839:579993363:1 gene:SECCE3Rv1G0180480 transcript:SECCE3Rv1G0180480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDAGPGIPKICMQDELTGLPIKRATRFENKVGSKNVVAGESLIKKRIFERFFIDLVAGESLIKERAAARFNDFVGSLDVAAGKPLLLPQRFRQNRAWIELKKIWRTKKKVKGFIIKKIKGGYSVAIAGFITFLPFKKALIKKRIANDRFTIDSINPKRRDIVIIAADQTRT >SECCE2Rv1G0068820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:25448928:25449908:1 gene:SECCE2Rv1G0068820 transcript:SECCE2Rv1G0068820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSFVAFVALANSFLILLHSSSVSGWSHGGATWYGPREGAGTDGGACGYQGDVEQPPFSGMVTAGGPSIFKNGKGCGACYQVRCTGHHACSGFPVTVVVTDQCPGGPCLSEATHFDLSGKAFGAMAKRGQADNLRNAGSIRVQYNRVPCNWHGLDIAFRVDAGSNPYYLALLVEDEAGDGDLSAVELQQRGGSWAPMQESWGAVWKYNSGSALQAPISIRLTSGSGKKLVAGKVIPSGWQAGRTYRSIVNFHRI >SECCE2Rv1G0089380.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:256555538:256563481:-1 gene:SECCE2Rv1G0089380 transcript:SECCE2Rv1G0089380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSLCYILNGYTPNRRSFFTPHPGRVMFVALVVLLLSSPAPSAGDQLFCADANGKYSPNSAYRASLRSLADELTTRATKSHSATGTAGTGSDKVYGAVLCRGDFTGAECSGRLQEAFGRTIDADSTGAAACALHKDVALYSELYQLRFSDEDFLSAFSNAPEWVDGTNLNLVPATDARQFDEQVAKLTRSLAEAAAAQPDRYATADAPWSSGESERTVYGLAQCTQDMPPERCRACLDGIGAEIRRRIGGSKMGGAIHGARCTLRYETGTRFFTETASGQRKGHALLIIATVYSLSVICTRLLFCVLYIIRRRKRGKINSTEQPKNIDEILRLWKMEDSASEFSLYDFSQIADATDNFSPGKILGQGGFGPVYKGIFPDGQEVAIKKLAARSRQGLVEFKNEIQLVAKLKHRHLVRLLGCCIHDEEKILVYEYMSNKSLDYFIFDPNRRTSLNWMMRLKIVEGIAQGLLYLHEHSRLRIIHRDLKASNILLDSELNPKISDFGMARIFPSDATQTKTSRLVGTYGYMAPEYAFEGLLSIKSDVFSFGVLLLEIISGKRSAGFQHYGAGDFHNLLQYAWQMWEEKRWHEFIDQSIGDEYEPGDMMKCLRLALMCVQVKAVDRPTMSDIVAMLSSDDTTVPEPRQPAYSYTRVDVSVNINLSCTRNDITLTTTDASSEGQALCSDNNGGTYMPNSTYKSNLISLAELLFANATEEHSATGTAGIGPDKVYGAVLCRGDSDGSDCRKRLARALDEAINSKAGNSYSLQNNNVTYYYDQYQAQISFSDQDFLSNFSDMPGCTVNTNLNSVTATVVAERYEGLVTKLLHALADVVVSRAEKYAVGKVWSEETGQTVYGLAQCIRDMPYERCTACLDRIISDRRSKIIAGQMGAAFLGVWCTLRYETDTQFFTDTNMLPLNALPSSKTHFFRWNNAVLVGVGGFLLVISISCLVFHIWVKTQRRREQTIFKLGRLYLSIQIAINLWRMGGANPEFSLYDFSQIKEATNNFAIGNKLGQGGFGPVYKGRLRNGHKIAVKRLETSSLQGLLEFQNEIQLIAKVQHKNLVKLLGCCTQGDREKMLVYEYMENKSLDYFIFDIIKGSRLNWSKRLRIIDGTAQGLLYLHNYSRMCIVHRDLKASNILLDSVMNPKISEFGVARIFYSNMAESNTTRIVGTHGYIPPEYAFEGVCSIKTDVFSFGVLILEIISGKRTAQFYRYNGKLYNFIAYAWQLWIDGKWREMTYCPPGNENEEIERCVHVALLCVQESAEDRPAMERVVTLLNTKNMSLPPPKQPAYFHVNPSEQEVSSCNITISITLER >SECCE4Rv1G0282890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:831016687:831019682:-1 gene:SECCE4Rv1G0282890 transcript:SECCE4Rv1G0282890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPITSLIFTFLLFFLLLHTASPALVGEEDDRSMLLVFKAGVSGDPNGALAGWGSPDVCNWTGVACNAKRHVVKLILSEQKLSGEVSPALGNLSHLRALNLSGNHFAGSVPPELGNLSRLRFLDVSSNTLTEAVPPELGNLSRLSILDLSENVFVGAVPRELGKLSRLTELSLSGNQLEGSIPVELSRIRRLVYLDLGDNNLSGHIPSAIFCNLSALNYIDMSSNSLDGEIPIRAECPLPELTSLVLWSNKLHGRIPHSLSNSTKLRWLLLQSNFLVGELPSGDMFKGMRSLEYLHLSSNHLASSHNNTDLEPFFASLTNCTGLKELSVAGNDIAGTIPPVIGRLAPGLMQLHLQLNRLFGPIAANISNLTNLSSLNLSHNLLNGSIPQGIASMRQLERLHLSNNLLSGDIPPSLGTIPWLGLVNFSQNRLTGAIPPSIMQCATMQILDLSHNMLHGEIPTGMSRLNGLLNLNLAGNLLSGAIPVTMGEMVRLQWLNLSSNQLTGTIPPQLGSCIDLKYLDVSCNGLTGTLPQSLEKVASLLRVNFSYNEFSGEVPGGGAFAGFPAEAFLGNDRLCTAGTASMTLGLPRCSSAKRNLLHNRRVALLVAVMVSSFTMAIIGLAVCRAVGGDGRRSPLLAREPSTRGDYPRISQRELYEATGGFEQSRLIGAGRFGRVYEGTLRDGTRVAVKVLDPKTGGGEVSRSFKRECDVLRRTRHRNLLRVVTTCSQPDFHAIVLPLMTNGSLESHLYPRDGGMDLAWLVGIAGNIAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMMAVVADFGIARLVKDMGDDDDNTGFADPCNSTAGLLQGSVGYIAPEYGVGGRPSTEGDVYSFGVMLLELITGKRPTDMLFQEGLTLHGWVRRHHPHDATAIIPCSLLEATDTTLSAAQAHNVVVELMDLGIACTQHSPPVRPTMVEVCRTIALLKDSSSS >SECCE7Rv1G0470510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:121838300:121840177:1 gene:SECCE7Rv1G0470510 transcript:SECCE7Rv1G0470510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGRKGSKKKAVDPFTKKQWYDIKAPLLFTSRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGMNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYMLRMFAIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMVNQAASCDLKELVNKFIPEVIGKEIEKATSSIFPLQNVYVRKVKILKAPKFDLGKLMEVHGDYKEDVGVKLDRPADGDEVIPGAEEVAAAE >SECCE3Rv1G0179760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:548567957:548569495:-1 gene:SECCE3Rv1G0179760 transcript:SECCE3Rv1G0179760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETIATVLSYLPPPSVSTTASPSSSSTASDDDDRINCLPDVLLRNIISRLPTKDAARTTILSSRWRSLWASTPLRLDDAGFDPTAVTAALNSHPGPVTSARLSSEHLAYQEPDVLDTWFASLAANNVEELSVVNGSWPAECEWRPPPGLLGCASLRRLWLGLCQFPDMSGLAPTFPSLQELGIVHCSMQDRELHAVLPRCPELERLAFVLTQDYPRYIHIWSGSLQSLVVWRSMVREVHLDDAPNLERLLLEPIGGASTHVKIINAPRLKIFGYFDVGLHQLKIGPTVIKDGIGMKVKPNAMVRTLRTLALKVQFGDEKQVKLVPLLLRCFPCLETLYIKSSPSESPTNVDVDFWDQLGHTECVTSHIKKFVFEAARGEDTELAFVKFVMERAQMLEDMHVFVDDGCSRDVVLSRLSSERCVSADASMVVERQDMSHAWSFERASNMSQCDPFGC >SECCE6Rv1G0401490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:466864888:466885277:1 gene:SECCE6Rv1G0401490 transcript:SECCE6Rv1G0401490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQDALDMSGASPTSSWEDGAVEHFDVASFGDEGYVVDDEEMSDVELGTGSPAAPSEASTQPPPPLRKRLAPVVSSDVPEAVVRAVDAVIMGGGVERLREMVSEENGEVTHFIVDVLMITMGGVDGLDEGAGDGTSTSTEPSIMSSSRAAGIAAELVPYLPCGTEPSPRTRMARGLLATLSACTRNRTMCSASGLLAILLDSAEKLFVKMGQSRDWDGTPLVQCIQVLGGHSVSVKDLHSWLLLVKKALGTRWATPLTLALEKAVGCNEAKGPAVTFEFDGERSGLLAPGDSRWPFSNGFGFATWIYVESFSDSSNASASTSGRSSAWAVAAAAYTHAGEGAKRMPRLFSFLTADNDGVEAYFHGKFLVVESGTGKGKKASLHFTYEFKPQCWYFIGLEYTSKQGLLGMVESELRLYVDDKLHESCPFEFPRVLKPLAFCCIGTNPPPTITGLQQQCPLFAEMGPIYIFMEPIGPERMARLASRGGDVLPSFSSGAGLPWKASCDHIREMSEDSYTLDIEIGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVVGMVHISYRVRPAESLWALACGGPMALLPLTVSNIEMDNLEPILGDVSLSLATSSLSVPIFRIISLAIQHPGNKQELCRTHGPELLSQVLQYLLETLSKLESGKKEILSDEELVAAIVSLCQSQINDPGLKVQLLSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMYDANALQMLLDGCRRCYWVTREGDSIDTFTLTGTERPLEKVNALVDELLVVIELLIGSASSTMASDDVRRLIGFVVDCPQPNQVARVLLLIYRLIVHPNSTRAHMYAQSFISRGGVEALLVLLQREAKSGNNNTFNNCDVPQNAAMWNGSSQSKSTNSGSLLKPASSEANCNHETLSVDSHESPSHDGNSELESTSKWCLLKNQFLKNLSGMDLPSITDNVQNNVYNIDNGDGIFVGIVHILGALVASGHLKFASLIAKPKLPSGFLITANGEGNTMFEDRVSLLLFALQKAFQAAPRRLMTRNVYRSLISAVINISSENYNLNLYDSDYRFQHIPLLLVLLRSLPYASRAFQARVLQDLLFFICSHPENRSTMTSIAEWPEWILEVMISNHEMGDNKDSDGVSIYELEDVIHKFLLIMLEHSMWQKDGWKDVEATIHCAEWLSMAGGFSMGDQRIRREEVLPIFKRRLLGSLLDFSAQELRVQQSEGITAATSDVEVETKEPKIQAEKAADLSVVLAENAVVLMMLVEDHLRSRSQQFFTSCLIDSALSPASMASSAASRSLSRTGSEPLEAGGSRQSLSSDAGGLPVDVLASMSGTNGQISAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRSRLWYGVCIPSKANTFGGGGSGWEAWKSVVEKDSNGNWIELPLVKKSVAMLQTLLLDSGFGAGHGSGEGSASGIGVMIALNQLLDSDQPFFCMLRLTLISMREDDTGEDDLFMRNISMKNDISERLGCQTGNAIELDGNSCSPTIKPQSALLWRVLGPILNVPVSESKRQRVLVASSILYSEVWHAVSSDRKPLRKKYLGLLMPPYAALLKRYRSVLANIHELASSDIENPLLVGDCVSAADALPVEAAVSMISPGWAAAFASPPVAMALAMIAAGASGAETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQRPPDTTPVLPASAPKDKASAKVAALAAARDLEFSAKIGLRRGLSVVAMATSGQRRSAGDIERAQRWNTTEAMAAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERRTQVDILNRRCASVGVRAWRRLLHCLIETNRLYGPFGELLCTPDSIFWKLDFTECSSRMRRFMKRNYNGSDRFGVAVNFEEQMLLCDGVESNACHIEEGDTRSTNALPTTSLIIVAEAMSVDRGHEDAEHIESETICSSVDDQLRNSLPPDPFKGSIDSRSSDFSGVRNLVRSTVIAPGYRSGEEDKRIIIELPSLMVRPLKTVRGTFQVTSKRINFIVDEHTSDSDSYMDAVASTSGQYDQQDKDRSWFISSLHQIYNRRYLLRQSALELFMVDRSNFFFDFEDIEARRHAYRAIIHTKPPYLNDIFLATQKPEQILKQTQLMERWAKWEISNFDYLMELNTLAGRSYNDISQYPIFPWVVSHYQSKTLDVEDPSSYRDLSKPIGALNPGRLKKFQDHYSSFKDPIIPKCHYSSHYSSPGTVLYYLARIEPFTTLSIELRGGKFGDDNHMLSDITRTWNSVLEDMNDVKELVPEMFYLPEVFTNVNSVDTGTNELAKRLGSVELPPWAENPVDFIHKHRKALESDHVSAHLHEWIDLIFGYKQRGKEAVMANNVFPYATYEGTVDIDKIADPVQRQATQDQIVNFGQTPSQLLIVPHIQRRPLADILQLQTIFRNPSEVRSYLLPNPEQCNVPASAMLVSNDCIVVVDANVPAAHVAVHHWQPNTPDGLETPFLFHHGKNAMNSSGGAIRRIFKGPASAEDYHLPRAIAFAASAIQPSSTVAVTCDKEVITGGHADNSVKLISPDGARTIETASGHTAPVTCLALSPDNNYFVTGSRDTTVILWRIHQMSSSHWKNAPEPPPSPMTPSSPLANSISSGSSTIRTLETSRKRRIEGPMHVLRGHLGEVTCCSVSSDLGLVASSSHTSGALLHSLRTGRLIKKLDVGEAHLICLSSQGIVLIWNESEKRLSTFTVNGIPMSTSVLSPFSGRVSCIEVSRDGQFALIAACLSSNCARDTSTDEDHMIENCNDDEDVPESKETKLYVHAPSICFIDLHKLEVIHTLKLGEGQDVTAVALNEDNTTLVASTSDKQLIVFTNPSLSSKIADQMLHEGDGIL >SECCE3Rv1G0194650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:783767620:783770139:1 gene:SECCE3Rv1G0194650 transcript:SECCE3Rv1G0194650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKAEPRLACLAMVSMTATKKQPCRGTAPLSPASSSSASSWPWGSRIAPSRARTQGRKKKRGRSGSGDAVVVPARRSSIYKGVTRHSISGRYEAHLWDGHCRSTAQGRKGKQVYLGSYKTEEEAARTHDLAALKCWGSDCGLLNFPVDTYRQERERMQRVTREEYLARLKRNSSGFTRGVSKYRGVTRHHANGRWEARIGHAAGTRYSYLGIFDTQEEAARAYDLAAIRIRGPGAVTNFDADCYVDTSQPLLCKVDPDPEPAAARPGPPALLPMPTPKVEPKDEPENDFEFEREPPPAPVLRDDADHVDRAIAEVLQALCVDRADFDARYPPRRARVAAAGAGWPTPSHSDELDDLPVPGDVGFLQDDIESVLFDAPGDAEVPRAATISSLASGRWW >SECCE4Rv1G0284040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:838143309:838144592:1 gene:SECCE4Rv1G0284040 transcript:SECCE4Rv1G0284040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTQPQVPPGFRFHPTDEELVDYYLRKKVASRRIDLNVIKDVDLYKIEPWDLQEKCRIGPEEEQSDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYAKHCLVGMRKTLVYYKGRAPNGQKSDWIMHEYRLETNENGPPQEEGWVVCRVFKKRLPTTRRDLDHDAPCWYVDDDGPFMHDLNSPMRGMPPHHSMALQEQHLQMLNSTYKRELKLQYQMPNHHHVLNTIPHELESPSSFHSLLVSPDDHQFNVHHAQHHHVQLIDHAVDDQAATDWRVLDKFVASQLSNDAAKGVAYAHEGDILQLNEKAQELATDYASTSTSSSQVDPWK >SECCE7Rv1G0500820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:675436550:675437170:-1 gene:SECCE7Rv1G0500820 transcript:SECCE7Rv1G0500820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALLKLWSMIENSKSARVTDNLNSALTIHQLTEEKNKLDANYDKLVKDVHQLVDMQQDRVVDFSYLQSNLTYQHQCRVELVVGMKAEMAKKDADREKLNQKYELMCNLTSAQATVIQNLKLKNMKEKELVSEARQNLELKNAEFTKFEEKLTQQKLELKFQVADLLKGKEKLDEEKYMLHLKIGELMKADEKLKEKIKGIQAIL >SECCE7Rv1G0492850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:558214712:558216422:1 gene:SECCE7Rv1G0492850 transcript:SECCE7Rv1G0492850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWVKTAVADVDELITKAAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >SECCE2Rv1G0070760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:37517240:37517890:-1 gene:SECCE2Rv1G0070760 transcript:SECCE2Rv1G0070760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTITGQTLTLEVESSDTVQSVKAQIQDKQGIASTASEDPHQLPSLVFAGKQLDEEDGRTLADYGIGKESTLHHVLGLLGGFRQRSWYPRINPNLLALALRYNENKMICRKCYGRLSPGSTNCRKKKCGRTNDLRPKKRFDGRAGLRGK >SECCE7Rv1G0497540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:626784065:626807491:1 gene:SECCE7Rv1G0497540 transcript:SECCE7Rv1G0497540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPLFLLAPQPPPPLVSARHCRSPLAGRTPPRTSCRAPRLRRRQSCRCSSSPSSSSSPSSGPRERSPRPRQQKQRTEQRSGRGSAVDPVGFLVKTGVSDRAFAQFLRDRHKALKDRRWELYSRFIDLKEGSSGYELLGMHRHRQHRVDFMDWAPGARYCSLIGDFNQWSATENCAREGHLGHDDFGYWFVILEDKLREGQEPDEYFFQEYNYVDDYDKGDNGVDAKDLIRRMNEEYWEPGELKSRKSRWETVAKLYEQMFGPNGPQTEEELGEIPDAETRYKNWKATQKNESSSSSPSYDIIDTGQEFDIFNVVTDRASFEKFQAKATPLAYWVEMRKGRKAWIEKYVPAISHKDKYRIYFNTPDGALERVPAWATYVLPDAEGMQSYAVHWEPPPEEIYKWKFGRPKVKGSLRIYECHVGISGSEQKISSFQEFTSNVLPHIKYAGYNAVQIIGVVEHKDYSSVGYKVTNYFAASSRFGTPDDFKKLVDEAHGLGLLVLIDIVHSYASADEMVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFKYDDVDVLHFLLSNLSWWVTEYKIDGFQFHSLSSMLYTHNGFSTFTGAIEEYCNQYVDKDALIYLILANEMLHELHPDIITIAEDATYYPGLCEPTTQGGLGFDYWANLSIPEMWLWHLENVPEREWSMNKIMKVLISSNHHMLSYVENHNQSISGRKSFAEIILNTGMCSSGSVDDDLIFKASSLLKIIKLITFTTSGGAYLNFMGNEFAHPKRVEFPMSSNDYSFHLACRQWELLDKGVHKHVFNFDRDIMSLDENERLTSRGSLNVHHCDDTNMVISFTRGPFLFVFNFNPEVPHQLYRVGVDEAGEYQLILNTDETKYGGRGELESSQYMKKTSDKRADGCRNSLELALASRSAQVYKLVRILRI >SECCE2Rv1G0122520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:816808046:816809814:-1 gene:SECCE2Rv1G0122520 transcript:SECCE2Rv1G0122520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQETKPDLLNSGSDTRMMAEARLPKARKPYMITKQREKWTEEEHKLFLEAMHLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVTRDSSGDSGGSSSGAGPPIQIPPPRPKRKSVHPYPCNLRSAQPGKHAHALLRVDKPQLSMCEQGNGSPTSVVTASQIGLGSESFDSDTSTIDIEERCPTTSTGTAEVAVQAPPTDDAKESKDSSSNSGEVVCDNTSDAPVLKLFGKTVVVNESHQLPNPGTCNLQTAADMELDASAETHTSAPEANTWSPWVANSQQFMYYVPQGAVFFRYNGGSVPYPMPSSPKAEQQHQHQASEAAAELRRREASSNTTSSSVAETTARNSAESCTGAVGGDDEMVHAAGLRKPVTPTFVQQRGFMPYKRCAAETKALQPQAPREEADREMTRLCL >SECCE4Rv1G0267710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:737087182:737088613:1 gene:SECCE4Rv1G0267710 transcript:SECCE4Rv1G0267710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23310) UniProtKB/Swiss-Prot;Acc:Q9FMX0] MLLLPMRGLPAAPHRPLAHPHSSPAPPPPSLLAPRRRRPSRRLSKVVSFYGLTTPPYKTDALEPYLSRRAVELHWGRHQQDHVDGLNRQLAISPLYGHTLEDLIKEAYNNGNPLPEYNAAAEVWNHHFFWESMQPDGGGSPEAGVLQQIEKDFGSFFNFREEFMRSALSLLGSGWVWLVLKRSERKLEVVHTRNAINPLAFGDIPIISLDLWEHAYYLDYKDDRRTYVSNFLDHLVSWHTVTLRMMRAEAFVNLGEPNIPVA >SECCE2Rv1G0069660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:30760631:30761812:1 gene:SECCE2Rv1G0069660 transcript:SECCE2Rv1G0069660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAVAPGSPVFSPSRLGLLEQLQLHHHHGCSSSGASFLPSSPLRPFALRARIHPEPSPCAAPSPPPAAKAADSSCAAAAASKAPVKRRRPAPLLVPAAVTVAPAVLEAAAASGEDEVAEQGDGFAAFCRRGKGRKRVEMEDRHVAAVALGGDRAQALFAVFDGHGGKSAAEFAADNMPRIVAEELERSARGGGAGRAAVEGAVRRAYLRTDEEFSSASSKNREQAGGGACCVTALLREGGRQLVVSGAGDCRAVLSRGGRAEALTDDHRASRQDERDRIEALKGGLVLNCRGTWRVQGSLAVTRGIGDAHLKPWVVAEPETRTVAVGADCELLILASDGLWDKVGNQDAVDAAASSSGDLPAACRRLVEMAVSRGSSDDISVLVVQLQRPLR >SECCE3Rv1G0149590.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:26555628:26556203:-1 gene:SECCE3Rv1G0149590 transcript:SECCE3Rv1G0149590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSPLLSSYAGNNKRARNADLEVGSSAEADAAKRMRPEDLLDLLDDDTDAAAAGDLASVMRSLEEEICADDLTPPQPELGFLLEASDDELGLPPAAGSSSSSDDAGSCEQEEPAGMFGEQLWAFEDEIEGAYAFGGVASSPEAAAASAAAAAEWGDDGFDAVLFGFGDESFGPSDLAVLRQETMPAV >SECCE2Rv1G0120980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:803753839:803754240:1 gene:SECCE2Rv1G0120980 transcript:SECCE2Rv1G0120980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSKPRSPSAAAAAAGDHLRFLRPGALARLRDARLRRQKRASSRPAPASPQPSPSPPPAPAPAAGGDGESGPFVPYFAPGSRLLAPRFPQRKKLTAGKVVALFSPPMPSPDLPFEAVMEFFNAPDMVVAAH >SECCE1Rv1G0057910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:696053643:696056361:1 gene:SECCE1Rv1G0057910 transcript:SECCE1Rv1G0057910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASHDQHRRHLSGDFQFHDELASLFAQRPADAASPSPMMHMQQPQTPWFFADYLQTPGLDYDDPFARDFIGSPAGGAGGGEEVKREMLASDGAAGAVVVPGGGPGGTAHSVSVSSTSSEAGVGGGGGGAVEEEDGKCKKEEGEGDDESKEAAADGEADKTKKGAAKGKGAAKVKGEKRPRQARFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTQKCPVKKRVERSFQDAAVVITTYEGKHTHPIPATLRGANHLLAAHAHAHGGHGLMHPGMFRMPAPPGAFRPGDALGSFLQQQHAAMQQQQHQQQVAAAGMAMRQANAMASSHMQQAPPADRGLAAAMAGGTTGNNTHTVSSSSGADPLRMEHLMAQDYGLLQDMLMPPSFAHSDNSNNNSNRR >SECCE2Rv1G0108360.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:662839460:662841461:-1 gene:SECCE2Rv1G0108360 transcript:SECCE2Rv1G0108360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGDGDVPENANEHCPGTQSEAAGKVESCAGCPNQQICATAPKGPDTDLLAIIERMNTVKHKILVLSGKGGVGKSTFSAQLSFALAEMDYQVGLLDIDICGPSIPKMLGLEGQDIHQSNVGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGDIDYLVVDAPPGTSDEHISIVQYLQIAGIDGAVIVTTPQQVSLIDVRKEISFCKKVSVPVLGVVENMSGLRQTLSDMKFVKPSENGETDATEWALDYIKDKAPELLSLIACSEVFDSSKGGAEKMCHEMGVPFLGKVPMDPQLCKAAEEGRSCFTDQKCSASAPALRSIIKKLIKTQ >SECCEUnv1G0550410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:216787717:216790812:1 gene:SECCEUnv1G0550410 transcript:SECCEUnv1G0550410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYVRDDELQCKTYNFGFDDGETKQLTYGARESGKVHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSSAIPLYRIMHQGKSSVGLDAQEDHAYPFAGAANVKVRLGVVSSGGGEVTWMDLLCGEPNGAHGDEEYLARVNWMHHNALAVQVLNRAHTKLKLLKFDIATGKREVLLEEEHDIWITLHDCFTPLDNGVSSKHPGGFIWASEKTGFRHLYLHDKNGESLGPITQGDWLVDQIAGVNESSGVIYFTGTLDGPLETNLYCTNLFPDWSLPLQAPKRLTHGTGRHSVILDHQLLRFIDVYDSVKSPPVILLCSLLDGSVIMPLYEQPLTVQPLKKFQQLAPEMVQFTGKDGTSFYGTLYLPDEKKYGPPPYKTLVNVYGGPSVQLVSDSWISTVDMRAQYLRSKGILVWKMDNRGSARRGLHFEGQLKYNIGRVDAEDQLAGTEWLIKQGLAKPGHIGLYGWSYGGFLSAMCLSRFSDTFCCAVSGAPVTAWDGYDTFYTEKYLGLPSEHSDAYEYGSIMHHVKNLRGKLLLIHGMIDENVHFRHTARLINSLMAERKSYEILLFPDERHMPRQLDDRIYMEERIWDFVERSL >SECCE7Rv1G0477540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:221230792:221233321:1 gene:SECCE7Rv1G0477540 transcript:SECCE7Rv1G0477540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAGGGRGMAGDEAAAAAAASTNQKTESFGGCSSSNSVKKIYPIDSPIKKRKSQYDLSDTRLSSLKYKFQDRPTSQEDETARTESLGGDDIFINKNCNVDMVNVYKGLDSCENTQSLYGGCIEVDSINGIESRSMRKRASASSSSSNNISSDTCSSFHSYGTKETDSWVRPHLEHDGSGLLLQAYDDDIEKICNVMNELAGGGVHGSADRIMDETLYSNGVDDFMILPAVKNGEKKKKLTIDQEFEQYFSKLML >SECCE7Rv1G0492310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:549113941:549120428:1 gene:SECCE7Rv1G0492310 transcript:SECCE7Rv1G0492310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPASSGKAASDSSAPPPAPSPVVTNGNGTPQKPPPVAGFDMPKPNLRGLNKPKCIQCGNVARSRCPFQCCKSCCYKAQNPCHIHVLKQNNTLPEKTPPAPIPLSDQPLTNSPLTGSSSRLSSLQKLPHHFLNSIRTRKSLAKKDIASINKWRFVKLKEHMQGDIDVENEAYDRYTQNIGLLEETFYLKEDSAGEHETEATSSEEMMEIMVSEAKVRLKSDCANAAGFKERIATVLDQKLKKLQERNSAYEDDNSSDQNLDDHTKLVKLNIKQQTVRNAKTNELLGKLTKAQSEDDLKPCLNIMAQLFGKEIASSSMGTSNKSSDQESTPAVAPSYSFPKLTTRLEVDENMMSKINELSSLSQVVQL >SECCE5Rv1G0361730.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:780774598:780774879:-1 gene:SECCE5Rv1G0361730 transcript:SECCE5Rv1G0361730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEIFEHVREVDCYPSISIAYRILFTVPVTVASAERSFSKLKLLKNYLRSTMTQERLNGLATLCIEKKLLDDIDIEPIISEFASRNVRRKF >SECCE2Rv1G0077930.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:103108586:103109463:1 gene:SECCE2Rv1G0077930 transcript:SECCE2Rv1G0077930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNSTCGWDESATSLLPNYLQRFYIELLRIFNEDKREVAICDTYHVAYARKAFQDLSAYYLQEAEWLHQNHKPSFKDHMSLSAMSIGSPTLCIGLMVGMGDLVTRESFEWAAGYPSVAISCGKIARLMDDIAAFKGGKAKGDMATSIECYMVEHRVTSAVAISKILSLLEDEWKTLNQALFQHHAQLPVVRRIINFANSMPLFYAEKDAYTFNIHLKDTVESLFVETIPM >SECCE4Rv1G0226750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:113355935:113357365:1 gene:SECCE4Rv1G0226750 transcript:SECCE4Rv1G0226750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQMQAPSLARAPAGSPARLRSAFCAPPLLVRVRLPAPCRATSAAAARITMRFGRVETKQAYICRDCGYIYKDKTPFEKLSDDYYCPVCAAPKRRFRPYEPPVAKNANATDARKARKEQLKKDETVGKALPIGIAVGIVALAALFFYLNSVY >SECCE7Rv1G0473850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:162091235:162092620:-1 gene:SECCE7Rv1G0473850 transcript:SECCE7Rv1G0473850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEVHDAGLALGLSLGGGGDGPSSAHRSSHNSGRLTLWEAPPSLEPSLTLSLPDDTTMTGTASGGVSSMSVGGAVKRERAEEADLGEMVSSTAVGAEEDDDGSTRKKLRLTKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQRELQELRAIKFAPPPPPNNASQHPGTPSSAAAPPAPFYMQLPAATLTICPSCERLGGTAATAAGKVDPDRPKAATHHFFNPFTHSAAC >SECCE4Rv1G0272640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:770810401:770813192:-1 gene:SECCE4Rv1G0272640 transcript:SECCE4Rv1G0272640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVTSQLATSGTVLGITDRFRPAGFQGVRPRSPADAALGMRTVGASAAPKQGRKAHRGSRRCLSVVVRATTTGSGGMNLVFVGAEMAPWSKTGGLGDVLGGLPAAMAANGHRVMVISPRYDQYKDAWDTSVISEIKVADKYERVRYFHCYKRGVDRVFVDHPCFLEKVRGKTKEKIYGPDAGTDYEDNQQRFSLLCQAALEVPRILDLNNNPYFSGPYGEEVVFVCNDWHTGLLACYLKSNYQPNGIYRTAKVAFCIHNISYQGRFSFDDFAQLNLPDRFKSSFDFIDGYDKPVEGRKINWMKAGILEADKVLTVSPYYAEELISGEARGCELDNIMRLTGITGIVNGMDVSEWDPTKDKFLSVNYDVTTALEGKALNKEALQAEVGLPVDRKVPLVAFIGRLEEQKGPDVMIAAIPEIVKEEDVQIVLLGTGKKKFEKLLKSIEEKFPSKVRAVVRFNAPLAHQMMAGADVLAVTSRFEPCGLIQLQGMRYGTPCACASTGGLVDTIVEGKTGFHMGRLSVDCNVVEPADVKKVVTTLKRAVKVVGTPAYHEMVKNCMIQDLSWKGPAKNWEDVLLELGVEGSEPGIIGEEIAPLAMENVAAP >SECCE7Rv1G0464580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:62629569:62631017:1 gene:SECCE7Rv1G0464580 transcript:SECCE7Rv1G0464580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSLDRSAKPEPAPNGIIQGNQVTNLMSAGWTDERHTDYISSMEASFINRLYNHGNNVNKKDSGTGGFKVLQGGAGVWKKVEFERPGACAQVGAKQSLPASPWIQHFRSRDCSSSSSSARGDGAQTLVGDHESGIQTIPGRTPLSHGRELGACKGENLLDENSEVSDQNFADDDETEVGAESSKACKKRRLSSSSTYCAQMIR >SECCE6Rv1G0453230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:881430779:881436208:-1 gene:SECCE6Rv1G0453230 transcript:SECCE6Rv1G0453230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFVLRSGVRVGLKREFAFAIASQAALAPSLGRTRSSRSSTAAAAANPKPSRSSTAANPKPSRSSKRSRPSDSAPAKRARSRPPDPEPAAADPPRDPPPSTPPPPPAGAPVSATTPIANGPQPPPDGDAPVRALLAAATPTANRPQLPPVTIAGDEPVLALFAANAPTASAPQPPPQQQEEAFFIPPETPPRRVTRSMLSPAPAPATAPPQPTRPGPKPKHQPKPMLKPKQEPVDEPTDHLQSHPGGLAASPRRFTRSLLQQVKQPPADDDGDNDESGTTTASSSPKSTKRKAKSEGPDGIPKNLRELFATGLLEGQPVNYFMSKGKRPVLRGVIKDTGILCSCTSCKGRNVVSPFYFEVHAGSHKKRPSDYIFLESGNTLHDVLRACADATVDTLESAILGAIGPVPKKRTVTCQDCKSSFKTFRAGKFASLCDTCLESKEVQSTARRRGRPPKNLSPGTKTTSAASPTSSYGAKTTRAGSPTSYGRVPKNFYPVAKTISAASPTSSGRVPRNFSPGSKPTSAGRITRKDHGLHKLVFMSGVLQEGTDVGYYVQGKRLLDGHIKEHGIYCHCCNTVVSPSQFEGHAGRAARRKPYHNIYMSNGVSLHELSVSLSKGRKPSERQNDDLCSICSDGGELLLCDSCPRAFHRECVGLSCVPKGAWCCRYCENRQQREGYLAYNNNAIAAGRVDGVDSIQQIFTRSIRIATAPQGAFGGCALCKQHDFGKKKFSERTVLLCDQCGREYHVGCLKEHNMADLTALPEGAWFCSTVCVEICEALKDLVSRGAEPVAAADFDLIKRKRAEKGLNDDGDLDVRWRVLKDKSSEDSKVVLSKAVGIFHESFDPIIQAATGRDLIPAMVYGRSVRDQDYTGMYCAVLTVGKTVVSAGLFRVMGNVAAELPLVATSRDNQGLGYFQALFGCIERLLSSLKVKHFVLPAAEEAVSIWTQRFGFGKITQDELLEHLKGGRPTVFHGTSTLHKPVPVAEVEAVAEARGPEEEEEAPVQAPAPAPVASE >SECCE2Rv1G0090800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:290844633:290846141:-1 gene:SECCE2Rv1G0090800 transcript:SECCE2Rv1G0090800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWILRGCRDECSATDQLKQARDVFVAKEAVLQNKISQEMERAKEFTKSGNKQAAMQCLKRKRYYESQMNQVGSVRLHIDTKEKMIADNMANK >SECCE1Rv1G0044250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:601282047:601282964:-1 gene:SECCE1Rv1G0044250 transcript:SECCE1Rv1G0044250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTREMRRLRVGSGSSSKANASGGIGDEWSSGGRGIGARIRGVNVGILDEQVLALVFRALNWDPQALCSVARVSRRLRAVAERVLWRELCVSRAPRMVSALTTGPSASSGRVGGGWPALAKLLLFCCGAAGAGVRGHFAPVSRFSKTSGRSFLSRRCGGDLLYVSDPCEHAAAGEAADEDVGAYRGVFRGFMRSRTRACLVGGRAPLEPLLRCPYCGARVWSVTAAGLAPRSACRRLGAHQGRLEYFVCVSGHLHGSCWLARLSSSDGDGDGERERGRGGEDTDSDEDGFTATADSDDGHMEL >SECCE3Rv1G0143890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:2295817:2298131:1 gene:SECCE3Rv1G0143890 transcript:SECCE3Rv1G0143890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKHWFCESFVPNSGTLHSFIMLKALMVSSFLAVLATNVGGSPCPPFSCGDLRNISHPFRLLGDPPECGVEAYELICNGHKATIQINTGTYSVTEINYKDSSFRVMDANLDINSSCPLPRWDEIPYPDYGWYNFNHTNTDDWPIDSGGRIDLVTDGNFWACFVNCSRAITNFSMPVTCLRASDSYVYVYIGSVNCPIASLGPSCGYLGMIPLDDQDVMPHISPYQFPPGYDGIRQMMQKGFPVRFPMDGKPRTSSQIIMTCLNDSISYFHEQISMAGIMNKSRAFVWSEIQFLVCVDDYSYRTKLFWVAVTIVSIIDVVKYILALVVLLRFVFMPLTVWIFLGHKFWRTKISIDAVEKFLRMQRMIGPTRYAYTDIIAITGHFRDKLGQGGYGSVFKGVLLPGNVHVAIKMLEGSSNCNGEDFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPGGSLDKYIFSADKSISWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPRNNSFVPSNALRGTVGYIAPEMISRSFGAISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQSYYPSWVYDKLTAPVVDAISPVAGMHELERKLCIVGLWCIQMKSHDRPTMSEVIDMLEGGFDGLQMPSRPFFCDDEHTALPDSYPLLSELTEISEEDE >SECCE3Rv1G0160300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:103942783:103945466:-1 gene:SECCE3Rv1G0160300 transcript:SECCE3Rv1G0160300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPPPSWVILGSVPRVLSAADADADLPPGAADFSLALPAPPRVALLTIPPRIFPCRTTPKNFPSVLAADASTGLLLLHADQGRATGPTIIDTPGRQEFSWRPLVAGYFVLDAAAGSALPLPKPELIAHPGHLGLIASPDGEGYMVAELQPFLGGDTAFLLRFSSQDGEWVSKSVGYPLPARQLSPNGVVSCSGRLWWVDLSWCLLTCDPFADAPALDVVPLPDGKALKSMEAWGLLDKYRCVGVSAGKLRFVDMYRNRNSNGAAQITVWTLADYPESTDWTLEYEVTFAEICNDATYKATGLPRKIPVLALIHPTNPDVVYFFQDEHLIGVDVRARKVVGCEVYELVAPPREDIASRFVHAWQLPPALCSGPAEETVDGAAEELQQLNLSDYLKKHKLIC >SECCE5Rv1G0351660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:701636829:701639045:-1 gene:SECCE5Rv1G0351660 transcript:SECCE5Rv1G0351660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPANGGAGPSSGGRHGPPAATAVVKRNRPRYHAFTQQQLPACKPILAPHMVVPMLVFVGLLFIPIGLACYAASNKVFEVVYRYDTKCVPKNMLHNKVGYIQNASINKTCTINLKIPNAMKRPIFVYYQLDRFYQNHRRYATSFNIAQLSDPKEEANADIKDCKPEAYAGNGRPVVPCGLVAWSLFNDTYSFARGGEALRVIKSGISWRSERERLFGKHVYPKNFQNGSLVGGGRLDPRKPLSEQEELMVWMRTAAMPRFRKLYGRVEAELGAGETVAVAVRNRYNSYSFEGEKAVVLSTAGPLGGRNAFLGRAYLVTGMACLVLALLLTLVCLFFPMTEEHLRLR >SECCE5Rv1G0375640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:869173290:869174488:-1 gene:SECCE5Rv1G0375640 transcript:SECCE5Rv1G0375640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPYLVLLLCLTTFLQAAANYPPPPPPPFELPESEVRERFSKWVTKYSKHYSCQQEEEMRFQVFKNNTNAIGQFDQQNPGTVVGRGFRPNAFQVGVSGGVRMNRFGDLSPREVIQQFTGLNTTSVNATSPTYLPYHSFKPCCVDWRSSGAVTGVKNQGTCGSCWAFAAVAAIEGMNKIRTGELVSLSEQVLVDCDTRSSGCGGGHSDSAMALVATGGGITSEERYPYAGFQGKCDVDKLLFDHQASVKGFKAVPPNNEGQLAIAVAMQPVTVYIDASNFEFQFYSGGIYRGPCSANVNHAVTIVGYCEGPGEGNKYWIAKNSWSNDWGEQGYVYLAKDVPSSTGTCGLATSPFYPTA >SECCE4Rv1G0278650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:809971812:809985818:1 gene:SECCE4Rv1G0278650 transcript:SECCE4Rv1G0278650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVKIVEVGHVVVPPPEDLVLRLSALDAPWVAIPLIQRVLLFVDEAGGQQQHPPFESIVGSLRASLAATLARLPPLAGRVVFLPSTGDAAIDCSSGPEGGGGVRFVVAESDDADARRLAGDADHDVDTLEALVPELKVDALPAEVLAVQVTRLKGGVALGVALHHAVVDGRSVWMFLQAWAAACRGDAAAAVAPMTFDRAVVALPGGGEELARSTLRKYAPNLPLDANMFPSAPIKLPRRTFTVTAKQIHHLKQCMSGHTTSAKAATTPMSSSFVAIAAVAWASFVRSKHPSAISTNDEVYLFFYIDCRGRPGIDPPVSENYFGTCITGCLVRAMAQDLLAVDGVAAASTAIQREVRRAAEDPLALWDWLDILSESLVSWVPLDKMVSINGSTRFKAYEVADFGWGMPSRTELVSMSDGRVVLVAAKNGGVQVFRVYAPGSWKGVQLTLP >SECCE5Rv1G0326220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:474553033:474553407:1 gene:SECCE5Rv1G0326220 transcript:SECCE5Rv1G0326220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKDAPVPEGEEPKSAVQIVEQVLKTEVKQSTFLRNVGLQSSRNYSGKVTTKVAVHVRELEQKLERSELQAEVMQEELAAMKMKAKESEAAHDKELELLRKKSQEQEEQLAHLMALFRAKVV >SECCE7Rv1G0495450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:598485918:598486824:1 gene:SECCE7Rv1G0495450 transcript:SECCE7Rv1G0495450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFFDALAYLGLWQKDAKILFLGLDNAGKTTLLQMLKDERLAQHQPTQHPTSEELSIGKIRFKAFDLGGHAFARRVWKDYFAKVDAVVYLVDAADRERFNETKQELDALLSAEALFGVPFLVLGNKIDISSALSEHELRYYLGLEYHTTGKGHVDLSHNGRRPLEIFMCSVVRKMGYGDGFRWMSQYIK >SECCE2Rv1G0069960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:31912474:31913547:-1 gene:SECCE2Rv1G0069960 transcript:SECCE2Rv1G0069960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNAAPTAGAAGEKEEEAVAPTVTATPHAHLPEIISSLPTAPGFPPFRLRRYAGFWLSDRTLKFVGASHARFRPRPTDVLLVSFPKTGTTWLKALAFSALNRAAHPPSSGADHPLQRNNPHELVGFLELAGEDDDGLIYEGIPSPRLLAAHLPYSLLPHGITDGSGGRIVYVCRDPKDTLVSFWHFHEKTTATLQWMADVGGASSAMPTFEEAFELFCKGQNMSGPQWHHTLEYWEASRRSPDQVLLLRYEDMLRDPEGSLRKMAVFMGCPFSPEEEEAGVVRDIVGLCSLGTLKGLEVNRSGSTMLGLKNEAFFRSGTVGDWSSCMTAAMAARLDGIVAEALEGSMLTFGATSKD >SECCE4Rv1G0244790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:520928513:520929310:1 gene:SECCE4Rv1G0244790 transcript:SECCE4Rv1G0244790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSGIFAVAMLAIAVLSSPALAQKTKSPPAPPAAVLPPSPAPAPAPRHVDLADLLSVAGPFHTFLDYLQKTNVLETFQSKANDTKEGITMFVPKDSAFAALRTTTFANLTSDQLKSLMLYHALPKYYSLAEFNKLSSLNPVATFAGSQYTLNLTDNMGSVRIKSMWSNPKISSSVYSTRPVAVYEVDKVLLPMQIFKSDPPLAPAPAPAPVDAKSSDDAPSPASGKSASEKAKAGSKSASHRAGVSVASYLAAAVSCGLMMLL >SECCE4Rv1G0216710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:12210804:12211868:-1 gene:SECCE4Rv1G0216710 transcript:SECCE4Rv1G0216710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPLLLALLLLCAAGAAAAAAAAPLPRFREAPHFTNSASARCPAPLPPASGKDAACSPRAAVHVAMTLDASYLRGTMAAVLSVLRHASCPESVYFHFLTAAGSPTRTAELVGAVRASFPSLAFRAYPFDESRVAGRISASVRGALDRPLNYARSYLATTLPPCVRRVVYLDSDVILTDDVAALAATPLPGNAAVAAPEYCGANFTAYFTPGFWASPALSRTFRGRRACYFNTGVMVLDLPRWRAAGYTAQIEGWMELQRRVRIYDLGSLPPFLLVFAGRIAAVDHRWNQHGLGGDNYRGLCRGLHAGPVSLLHWSGKGKPWDRLDAARPCPLDAVWAKYDLLRPHTAIDGIL >SECCE2Rv1G0112620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:711632136:711633250:-1 gene:SECCE2Rv1G0112620 transcript:SECCE2Rv1G0112620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGTELKGCVCRINNCAIELFSMEEDLEIEDEDSWDLVGRDLRLKATFLYIDLSRVISSCENDERKKTLTGLANKFFYFMDELGNAVKDRSAPLAQVCYSDTAHVLREVVAALGPSH >SECCE1Rv1G0010860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:66475840:66480993:-1 gene:SECCE1Rv1G0010860 transcript:SECCE1Rv1G0010860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolycopene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06820) UniProtKB/Swiss-Prot;Acc:Q9M9Y8] MPPLLSPLAARCLPAPVAHRPSRPRPLGGEARGGGRGRVAVVSERQAAAAVVAAEKSLGEGGDGERYDAIVVGSGIGGLVAATQLAAKGARVLVLEKYIIPGGSSGYYRRDGFTFDVGSSVMFGFSDKGNLNLITQALEAVGCKMEVIPDPSTVHFHLPGALSVLVHREYNDFIEELVSKFPHEKEGILKFYGTCWKIFNSLNSLELKSLEEPLYLFGQFFKKPLECLTLAYYLPQNAGDIARKFIKDQQLLSFIDAECFIVSTVNALKTPMINASMVLCDRHFGGINYPVGGVGGIAVSLANGLVEKGSAIQYKANVTNVILENGKAVGVRLSNGKEFFARTVISNATRWDTFGKLVKAEELPEEEKNFQKNYVKAPSFLSIHLGVKASVLPAGTDCHHFVLEDDWSNLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEGLPRKDYEQKKELVANEIIQRLENKLFPGLQDSIVLKEVGSPKTHRRFLARNDGTYGPMPRGKPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIDLEQRSPILDTGLLGVLRWLRTLA >SECCEUnv1G0562330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:365112783:365113667:-1 gene:SECCEUnv1G0562330 transcript:SECCEUnv1G0562330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVTVSATQMSCSWDLENNIVNAEKLVRQAHAKGAQVILIQELFAAPYFCIDQSPEHYSLAQELDNSALIRHFSALAKELEVVLPLSFFEKCNNAYYNSLVMIDADGSVLDVYRKTHIPNGPAYQEKQFFIPGDTGFKVWNTRYAKIGVGICWDQWFPETARCLALQGAEIIFYPTAIGSEPAYPEIDSQPHWTRVQQGHAAANLVPVIASNRIGTEKSKFIPDYEMTFYGSSFIADQTGALVEQANKTEEAVLVHTFDLDAIAAQRASWGLFRDRRPEMYGAIATSDGSTRK >SECCE6Rv1G0449080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:859997863:859999480:1 gene:SECCE6Rv1G0449080 transcript:SECCE6Rv1G0449080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDAYYYLLALLPLLYLIRLYRASFGPRNQGLRLPPGPWKLPVIGSLHHLFGALPHRALRDLSRRHGPLMLLKFGENPVIIASSAEAAKEIMKVHDIIFCTRPMSSSVKVINELGRGIAFAPYGDHWRQMRKICHLELLSAKRIGSFQPIREEEATRLIRSISSASQSQQVVNLSKMLAMYVTDTTVHAIMGGRFKEHDTLLRYVDEAVRLVGGFSLPDLFPSSRLALALSSTLRKAAVFRDSLMAFMDRVIGEHLERKSSDEQHQEDLIDVLLRIQGEDNLQLPLTMSNINAVTFDLFAGGSETATTTLQWAMAELMRNPSMMSRAQAEVRAVFMAQMKVSEEGLGELSYLQCVIKETLRLHTPGPLLMPRECQEHCKILGYDVPKGTTVLVNAWAISRDPECWDEPEAFVPERFMSSVRDYKGNNFEFIPFGAGRRICPGMLFGIANIELALASLLFYFDWSLPDGILPSELDMTEAFGVTARKKVDLLLRPTAHVQLPR >SECCE5Rv1G0334910.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:568689800:568690456:1 gene:SECCE5Rv1G0334910 transcript:SECCE5Rv1G0334910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASRSPAAGSRRAVATKTVKVIGLDGSMAQYAAPVTAREALVGTARASSFLCSSDELRLDAPARALAADEALQPEWLYFVLPVSMLRRPLSGQEMTALAVRASSALAVASGISSPTRGKKGAAVAGANGKRRKAAARVAPFADDGDVAERDGRWDQHLAYGKYGGVRKRVLAAGDEADAKARKGDGYGRRRSSSRHRRRRAGAQRLSAILEADDF >SECCE4Rv1G0227940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:129674703:129676604:1 gene:SECCE4Rv1G0227940 transcript:SECCE4Rv1G0227940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase RKF3 [Source:Projected from Arabidopsis thaliana (AT2G48010) UniProtKB/Swiss-Prot;Acc:P93050] MSRRLSLLLAFSLFPATLLAQAPTAPQQCPLNFTALRPFLAPPLPSDDASRCAFALQAVRLLLSLHLAATGSFLVPSSPSSSSSCLPPLRDALPFPLPPPDACGLAGIDALLSAPGCANISTRAEFDARVPLSARRDINASCYRELGAVPVCTTCTTSLSKAAAAYLLPGSPGGGNNVTGCVPYPFIYAGAAASLRGPDDPDTANCLYLLKANPEPSKGSGAPAWLYGVVFGCVAFVLLVAAAAGSCFLVWRRRRRAAAAALAADSRSKRSLAMESITASTTLLKFTYDDIKMATGSFSRDSIIGRGGFGNVYKGVLADGAEVAVKRFKNCSAAGDAAFAHEVEVVASVRHVNLVALRGYCIAATQREGHQRMIVCDLMHNGSLHDHLFGAGECAMAWPVRQRIAIGMARGLSYLHRGTQPAIIHRDIKASNILLDDDFEAKVADFGLAKFAPEGMTHVSTRVAGTMGYVAPEYALYGQLTEKSDVYSFGVVLLELMSGKRAFMSLSEGESFVLADWAWSLVRRGKTLDVIQEGMAEPGPTKVMEKYVLVAALCTHPQLHARPTMEQVVKILEADSAPGPLIIPDRPLPVVANLAEIERSVSSTGSGQLFSPSGFRSFIHRNEDATPESPKET >SECCE4Rv1G0262610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:708745325:708745648:1 gene:SECCE4Rv1G0262610 transcript:SECCE4Rv1G0262610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKSMSSKMASRKKPAPKLDVTFCCPFCNHPDSVACTIDLKLLVASAVCYICEEAYHTTAHYLTEPVDIYHDWIDACEKANQEVDVREYHKRQRRVGSDDDDSDT >SECCE4Rv1G0264740.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:722090346:722091614:-1 gene:SECCE4Rv1G0264740 transcript:SECCE4Rv1G0264740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRAQRLFLVPSSSSPSTSTARPRPRPRRSGAATCRAALRLPSGIRTAQDNLSLKLDWIEAPRVPASPSADVSLEKLRAIAEAAADRAEMHDIIGKQRDNWNHLLLHSTNSLTLAASVMAALAPDAPAMVALKASAGVLLASAAVTMAAVNKIQPSQLAEEQRNATRLWRELERDVRAAIALGGPTTKADVQEAMDRVLALDAAYPLPLLPGMLEKFPKAVEPARWWPSRRPAQRKNSKSFARRGGAVVASGNGWNQDLEDEMRGILRVIKAKDEHEFLTVGKLVLSLNRSLAVAGPALAGTAALATVFIGSSEPATWASGAAVFAGALAAAVNTMEHGGQMGMLFELLRNCAGFYRKIEEDIEANLSEPDVERRESGEVFATKVALKLGRSMSDLKQFRKLASPSFRDEDIKEFAGKLF >SECCE6Rv1G0417420.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:657879763:657880536:1 gene:SECCE6Rv1G0417420 transcript:SECCE6Rv1G0417420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRSHDALANAMHLDAFAASPQAAKQRRRRHPKPASPPPKVVTFAGSSPPKPALLASPVTKQAPAAGRRSPPAKPARKQQPSPTKEKPRQQPIVMEAVRILKRGEEPPAPAPAPSPAPAPVQARPQAPPADRRVRSPSPASPPVVKARPQAPPADRRVRSPPPASAPTTARAQAPPADKRVLRTTSRIGPQQPAVVPTKKMVPVAVAATYAGPAFSAAAPEPSSLPLPGFFFRRAEEEATRGLRCLLRIGELS >SECCEUnv1G0560360.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:346646979:346647449:-1 gene:SECCEUnv1G0560360 transcript:SECCEUnv1G0560360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSKQPFLKSKQPFSKSEQPFSKSKQPFRKSKQPFRRRPRIGPGDRIDYRNMSLINRFISEQGKILSRRINRLTLKQQRLITLAIKQARILSFLPFRNYENEKQFQAQSISIITGSRPRKNRHIPQLTQKYNSNRNLRNNNQNLRNNNRNLSSDC >SECCE5Rv1G0354080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:721545987:721547616:-1 gene:SECCE5Rv1G0354080 transcript:SECCE5Rv1G0354080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVTTLAGAAALFIFITSLLRGGKSKSTQLPPSPVAIPFLGHLHLLKRPFHAALSGLAARHGPVFSLRLGSRPAVVVSSAAGARECFTDHDVTFAGRPRFPSLELVSFGCTTLPTSRYGPYWRNLRRVATVQLLSLHRVGCMTADIASEVRALARRLSRAAAAAPGGAAPVELKRSLFEVSLSALMETVAQTKTSRPEGAEDTDMSPEAQEFKKSLDETVPLLGGANMWDFLPVLRWFDVFGVRNKIVAAVSRRDAFLRRLIDSQRRRLGDVVGEGEKKSMIAVLLDLQKTEPEIYTDKVIMALCMTMFSAGTETTATTAEWAMSLLLNHPNALAKAQAEMDATVGTTRLLRADDLPRLGYLHCIISETLRLYPPVPAMIPHESSADCTVAGYHVPSGTTLLVNAYAIHRDPAAWEHPTEFRPERFEEGRAEGLFMMPFGMGRRKCPGEALALRMLGLVLGTLVQCFHWDRVGDVEVDMGEGGGLTLPKAVPLQAMCRPRVAMADVLPGL >SECCE5Rv1G0368010.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:826160339:826162434:-1 gene:SECCE5Rv1G0368010 transcript:SECCE5Rv1G0368010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAACNRGIEVKPRAASQAMRAAPRVLLLRRPLPRRCSGSAHQRRALTFSPARRRCASQGSSSSSGLEEQEEFEVLDAESGTVRCAANYAPLTPLSFIERAAAVYGDRPAVVYGETWSCTWREVRERCVRLAAALATRFGVARGDVVAVLSPNVPAMYELHFSVPMAGAILCTLNTRHDAAMVSALLKHSGAKVLFVESGLLDVGRAALNNLAGDESSATALPVLITISHDGDCINSAYTDYESLIREAPLGFHIRWPLNELDPITLNYTSGTTARPKGVISSHRGAYLNTIATVLTYNITVTPTYLWTVPMFHANGWNLSWGIAMQGGTNVCLRRVTVKVIFDKISQHKVTHMGGAPTVLNMIVNAPTGDRKPLPAIVHIMTGGSAPPPNILAGMEELGFIVYQMYGLTETTGPATINTWMPEWDTLSTEERSRLMARHGFHHHVGIHDIDVKNPTTMESLPRDGQTIGEVMFRGNTITSGYYKDINATKEAMAQGWLHTGDLAVSHPDGYIQLKDRDKDIIISGGENISSIEVEAVIFSHPAVLEAAVVARPDDHWGETPCAFVKLKNNIDATEKEIINFCRDKLPHYMAPKTVVFAELPKTSTGKTQKFILREKARAMGSLVKT >SECCE5Rv1G0297130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:124880:127937:1 gene:SECCE5Rv1G0297130 transcript:SECCE5Rv1G0297130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALEGSEPVDLTKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVASCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMRQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQ >SECCEUnv1G0539260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:75449323:75455030:-1 gene:SECCEUnv1G0539260 transcript:SECCEUnv1G0539260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTIKEIRIEEETEAVRLTKTGPEDKKPRRKLCDFILHDGDGNPQPFEMSRSDGISITAVVMPFDADMKRPREKGIRCERFGPIKDWAISGYKESTVIIWLSTELADYECVKPASSYRSFFDLFSQKAHVCVEVYRKLVRSVGGNPALGLEELLASVVRSINSERSFSGTVRKDFVISIGEFIHNQLTALDHTANNDDEILSTLTALVALRNECKSRVGFSRLAAMTSNGTLTIKDGQCKEATENEDEDEKLARLLQDEEEWKMNKKQRGKRENSQKNVYIKISETEIANDYPMPAYYKPSSVEMDEYMLDGEDAMHVEELPTRILNNWALYNSDARLIPLELIPMKAGAENDIVIFGSGFMREDDHTFCSTAQRTQSSSSSSKSDQEDQGIAVYLSPIKEWAVEFGGDMICILIRTDIAWYKLRQPTKQYAPWCDTVLKTARLAVSVMALLKEQTRASKLAFADVVKRIAAFEKGHCAFISTNAALVERYVVVHGQIILQQFASYPERTIQQSAFITGLLAKMEERRHTKLAMKKKTQATRGENLNPSANMGPILKRKLMRATTTGLISKIWSDYYATHFPEDSKEGDENDEQKEIEEEQEENEDDDAEVEVKVDEEAVFRTPPSTRSKKPSTNACKEIEWEGQTVGKTLSGEVLYKCARVRDLSIAVGGAVTLEDDSGESVMCFVEYMYEKHDGTQMVHGRILKKGSHTVLGNAANEREVFFTNGCLEFETSDIKESVSVNFQQIPWGHKCRKEHLEAMKMERAKAEDRKRKGLPVEYFCKSLYCPEKGAFFSLPSDKLGTGTGRCSSCEEREAVGDEFKILSETSFVLKNVTYSVDDFLYIRPDFFSEVEGQGTYKAGRNVGLKPYVVCRLLSMKASAGSKKANPESTKVSVRRLYRPDDISSAKAYSSDIREVYYSEDIVSVPVVMIEGKCEVTAKNDLPNPNLPVVVDHAFYCEYHYDHKTGALKELPANVKLTTLTRTTLAVKKNKGKQICDDEQADSKKQKDATPKNCLATLDIFAGCGGLSEGLQLSGASRTKWAIEYEEPAGQAFGENHPEAVVFVENCNVILKAIMDKCGDVDDCISTTEASERAAKLSDEKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKINLPEGKYYAAAKSTAGGAPFRSITVRDTIGDLPPVQNGASKPSIQYESDPVSWFQKKIRGDASLLSDHIAKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSTGQTVELIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDGYHFAGNIQSKHRQIGNAVPPPLSYALGRKLKQAIDAKPQLA >SECCE3Rv1G0173250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:323514005:323525814:1 gene:SECCE3Rv1G0173250 transcript:SECCE3Rv1G0173250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASPRTETSADDTDENLMLEPGNAALAVVSDSSDRSRDKNGDQKTMRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSADQSHSMSGNGALAFDTEYARWLEEHNRQVNELRAAVNAHAGDTELRSVVEKIMLHYDEIFKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSELLKLLSTQLEPLTEQQLSGICNLQQSSQQAEDALSQGMEALQQSLAETLAGSIGSSGSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >SECCE7Rv1G0518220.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:858111798:858112859:-1 gene:SECCE7Rv1G0518220 transcript:SECCE7Rv1G0518220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKDSIPAVSLAVAAAALYARAASSLLRPGLPRLAALLPVLGLLAAAPLAFASSAIVRGVAAFFLAWLGAFKVALLTAGRGPLDPALPVLPFLFTALLPVKLRRAGAAGGASEAKSVSLVSCAVKVAVMAALVSLYRHNARLHLYARLALYGVHTYCFLDLLLPCIAAAAAALGMETEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRARAGNPAGVLASFAVSGLMHEGMVCYLSLRRPDGGMTAFFLLHGACCVAEGWCARRWAAMGWPSPPRAVATVLVGLFVAGTSFWLFFPALLKDGVEERFLEEWAAVAAFFQDAGGKIASLYGQRSTVMKTESAVDS >SECCE7Rv1G0513600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:824049310:824050197:-1 gene:SECCE7Rv1G0513600 transcript:SECCE7Rv1G0513600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVVCLQAQRVAGLPASVGQIELTCRLVQHPPHVHPLDDDDGDDGVEDYQEARAAQRASASVSGGSGDFCSQVLLLHCGAITPSLKAVLTVSLRHPGRRHHQHQQHLQVHLDAERPGVLTYPLTGAAAGALLTLALHRKLLSLPPPGTATGCCLPLPACCRQRRRGINTTNKSHNQQQQQQQQHGIQYDSGDESSAGFIIIEKELRRPPSESLLTTTVDGNIDQDNMKLDQEELDKVEDEFLAMLEQSADTTTCVDLDLHLYLDKLVREAEAELGNPSTTHLPNAAAASHVNY >SECCE1Rv1G0038080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:534840057:534841896:1 gene:SECCE1Rv1G0038080 transcript:SECCE1Rv1G0038080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPDAGAKIFKTKCAQCHTVDAGAGHKQGPNLHGLFGRQSGTTAGYSYSAANKNKAVEWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKKATSS >SECCE2Rv1G0099820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:527347954:527350638:1 gene:SECCE2Rv1G0099820 transcript:SECCE2Rv1G0099820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50280, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50280) UniProtKB/Swiss-Prot;Acc:Q9FGR7] MAVVSHPLLSCSFSVASTNHPILRLLRRPLKSSTSKCFLHSPRRRNQPVARQPDDDEHDGEEDMWSPATSPPRAHLVDTLDDEKGGHGWGPPNDDGAEEGEQLQEYEVGEWDPPASPSRAQAQEQHRQDEEEEEEEEEDGLDYPWLDPSYFLRSQEGASTNTTAEMEEILAFARSPVAADGPGLAGFLAGYSGGALGEDECVELMRRMGEEGLALGCLHLFRWMRALEERPISLSPQAWLVALVVLGRARMADEILEILGSWPPVRGFREAVLYNAAMSGVAYCGRYDDAWKIFESMEKNNVQPDHITSSIMLMVMKRRKASAKDSWEFFQRMNRKGVRWSLGVSASLIKIFCDEGLKKEALIFQSEMEKRGIPSNTSIYNAIMNVYCKCSQIEEAEGLFAEMKVKGLKPTRVTYNILMDAYSRRLQPEVVESLLLEMHDLGFQPNARSYNCLISAYGRQKKMSEKAEDAFLRMKNGGIKATSSSYTSLICAYAVSGQYEKAHTAYLDMKREGLKPSLETYTALLDIFRRAGNIEKLMETWKSMADEKVGCTRVTFHMVLDGLAKHGLYVQARDVICEFGKIGLPPTVMTYNILMNAYAKGGQHYKLPQLLKEMSTLELKPDSVTYSTMVYAYARVRDFSRAFYYHKQMVRNGQVPDAKSYRKLLNTLDVKSARENIKDKSAIAGVVKGKSSLKHRKEKKDEFWKNSRKRSMTQVNGYQRKRFL >SECCE4Rv1G0288340.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:859784452:859785306:1 gene:SECCE4Rv1G0288340 transcript:SECCE4Rv1G0288340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFPFSPRLFVFKSEELVQYQVMEGSKDMQQSNTEPVVKVPREPAIIINGVPDMPPEFASGSQLAVRHAPRSRVDHRFGEWLEERKVRKRFEDVYYVGKVVKYDSQRNWYTVVYEDGDQEDLEWHEVEEILLPLDITIPLKTLVMDKFKHQNAVPNYRTKVARPRTNVASNQVVVRAANGQRSNNLPLPGLVQASASAGENALVCLKPGDQPKKRGRPRKDRSTSGEVSRQISTSGDVQPKKRGRPPKEPGEKSIDRCKLDTVRAEKLKRESMLLRGAPPGSQ >SECCE5Rv1G0376690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:873734087:873735568:1 gene:SECCE5Rv1G0376690 transcript:SECCE5Rv1G0376690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTPTLVLVPEWGTGHLMSMLESCKRILLCGGGVGGGRARSFSITLLIMRPPTAQATSEVEAHVRREAASGLDIRFHRLPAVEPPADAAGVEEFIARYIQLHAPHVRDAVAAMSCPVAALVLDLFAAPMVDVARDLGVPSYVFMSSTGAMLALMLHLPVLHQVVTVEFSQVDGEVVHVPGLPPIPADSMPCPVVDKKSPNYTWFVRLGHSFMDATGIIANTADELEPGALAAVADGRAVPGRPAPPVYPVGPVLSLAVPKEDAPHQCIAWLDAQPPASVVFLCFGSMGWFEAAQVVEIMAALERCGHRFLWVLRGPPSSESGAGAPDGSEHPTDANLEELLPEGFLERTEGKGVVWPTWVPQKDVLAHPAVGGFVTHAGWNSVLESLWHGVPMAPWPLYAEQHLNAFELVADMGVAVPLKVDRKRDNFVEAAELERAVRCLMGEEGRKARERAAEMRAVCRNAVNKGGSSDAALQRLSEALHHGAVPPTM >SECCE1Rv1G0005840.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:28440950:28450748:1 gene:SECCE1Rv1G0005840 transcript:SECCE1Rv1G0005840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGATAASASDVLLHYWWCYWELSKARLRCTAASTSDVLRHYGRCYWELSKARLSALVVATSGARYVLGSGSIVDIAGLCYTCTGTMMVAASANTLNQVFEIKNDAKMRRTMRRPLPSGCISPVHAVMWATSVGAAGTTLLACKANYLVSGLAASNLILYAFVYTPLKQIHPVNTWVGAVFGAIPPLLGWAAAASEVSLNSMILPAALYFWQLPHFMALAYLCRDDYHAGGYQMLSFAYPSGKRTAWVSLRNCVYMLPLGLLAYHWGLTSEWFCFEASLLTSGLTVGALSFVLDSTHKSTRRMFHGSHLYLPALMARLILHRLPNEQKEHKLIHHTSEIAGVLSGAELQDNEERARDKCEHYHAHSRPLVAYAFVAPFPFLPVPMYISPPAHEL >SECCE3Rv1G0158070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:85382201:85384958:-1 gene:SECCE3Rv1G0158070 transcript:SECCE3Rv1G0158070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLARKHRKASPSPSPSEASPNSGSDSELHLDDHSDPEDSFFSTRSAADDDVQDSSSDEEEDDEESLHEEEVDDEESLHEEEDDGEMGELEQQYRTVQANQQSILQTLKQHRDDDVSRGQAVKNQKAIWDKTLEMRFLLQKAFSTSNKLPKDPSKSRFCSHDHEIEQAYVDLLDSSKQTLGCMLELQKALLEQNQAAKGADDTLPDLNGESDEWLQVQKLHTRITPFRNTEIDKWQRKTQVTTGAAALKGKLHAFNQNISDQVAGYMRDPSRMINRMYLRKSDVGVFGESAAEPATSVEGKDVEGDPELIDDSEFYHQLLKEFLESCENGASESAFYALRKKQNKKRKLVDRRASKSRKIRYSVHEKIANFMAPVPMTVPPMASKLFENLFGMGNLQKSAAV >SECCE2Rv1G0080670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:131663814:131664395:-1 gene:SECCE2Rv1G0080670 transcript:SECCE2Rv1G0080670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTATRPLLRRVLSFREPLLLIPHLVLFLGTFASAFFHSYASFLQSFARSIVVPSSAAPHVAPCCEAVDGVEEEEEEELRKEEVEAIMARIGLGVAGAGEGLRASMGHNEVSRLFDAEEPSFAEVRRAFAVFDGDADGFIGAADLQGALARLGFPEVDAAACRAMISSSCGSTDGRMNLFQFVRFLEDGLC >SECCE3Rv1G0198430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:832223735:832225543:1 gene:SECCE3Rv1G0198430 transcript:SECCE3Rv1G0198430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRSSPQAQPQPRAATKKSPPRATAAAADAVAAGADSPLSSLFHPAPYGVNGKEQDLYAILFKGQNGNAQASMTDGKSQWSPARGRTTYTKDNKYDSVGTSSCFGSSVHYGGREYYGSSAPKQAAEYSDYKVDKKDPVSDSHGDWWQGSFYY >SECCE2Rv1G0111290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:699055776:699057548:-1 gene:SECCE2Rv1G0111290 transcript:SECCE2Rv1G0111290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALGVKATPFTYAAHALGAAAAAMVLVWCIHFRGGLALEAVNKNLIFNVHPVLMLIGFIILGSEAIMVYKVFPGLSHDTAKLTHLILHSIAIVLGAIGIYCAFKFHNESGIANLYSLHSWLGIGAISLYGIQWIFGFVTFFFPGAAPDVRRGALPWHALFGLFVYVLTLATAELGFLEKMTFLQSGGLDKYGPEALLVNFTALVVVLFGAAVVVAAVAPAAKVDEPEGYAPIPVIG >SECCE4Rv1G0291630.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:877239897:877242116:-1 gene:SECCE4Rv1G0291630 transcript:SECCE4Rv1G0291630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLANLLVSLLLIATFSPAPIHGANNKSVQHTYIVLVQPPPSPLNADEDMYRHWYESFLPKSRDGDSGKSPIVHSYTEVFSGFAARLTARELSAVAKNPGFVRAFRDQTRHLRTTHTPKFLGLRNGTGIWSDASYGKGVIIGLLDSGIYADHPSFDGDNIAPPPARWKGSCMATRCNNKLIGAQSFLEEDDDPSDQQGHGTHTSSTAAGNFIIDASYHGMGAGTASGVAPGAHIAMYKVCADGECNDSAIVAGLDAAIKDGVDVLSLSIGHIGSLRFDKDPVAISTFSAISKGIVVVCTGGNEGPDSHSVTNDAPWLLTVGASSVDRSFDIGVNLSNGKYIHGEGLSQVEKPRPKMYPLLYSKEPGKLDYMDSHVVGEKIVVCETDTTRENIDNIMSAGAAGVVLLNGESNGYTIELEDYNSSVVQVSTNDGAILRAYATSTTNSSAGAIFSYHNTLLGVHPAPVVASFSSRGPSIDIPGVLKPDILGPGLNILAAWPPGTDSILGPFNILSGTSMATPHISGAAALIKSLHPDWSPAAVKSAILTTADAVNSTGGSILDENHRKADAYAKGAGHVNPARAADPGLVYDMGVTDYAGYICWLLGDEGLATFVRDKRSTCAKLPKVKDVQLNYPTITVPLKSTTFTVNRTVTNVGPPASTYTVRLDMPRSVMVRVAPNKLVFSKAGEKKTFTVSVSAKDVHGQGHVEGSLSWVSAKHVVRSPIIAVPNLPESPPPLEKV >SECCE4Rv1G0269410.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:748857632:748859230:1 gene:SECCE4Rv1G0269410 transcript:SECCE4Rv1G0269410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARTDHQPPSGDPSPRQPRWVLLQGNMLYEDPDARTTAPTASDDLDAETVAEALTSGGRHVRVSFHLAAPPAVSRLRVDIPGLPDGTQLLAQIIAAHADSVLIQIETSRPGRFDTDKRDSSDYFVYNAGDAAADPPRPPSLSLLPSWHEGAPRRNRYMPAESTALLRRGDEDLLVAWLTLNGTEGEAPLEAELCLLRSGGWEWKPKRLPVLHGDDKRKDVSFWETDAVIPVGDRFLYWVDYYRGVIFSDAWEEMPQLRYVSFPVEPFLERRPCDHAPGSSYRRVCATDGGGAVSFVEVFPRCCCGCPGETFCSRSNYAFNITTWTLRMDDDMATWDKVGVVDSDELWSLPGYGGVVPRIRPEYPIVSLDDPDVLCLMVHKLPYHMEDVDGDHAIRMIEVDMNRMELRSVVCYDERDENFSSPPEFIPCMMSRYFDASSHSFRPQAKRREQGPTSAVARTVKLDSMDNKAAMASPGEMLATLREIGDLARDDMLRTYSVLVCDGSQFKFKSLLALPKDMRKDYCLLLMENRL >SECCE6Rv1G0399980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:445618256:445627500:1 gene:SECCE6Rv1G0399980 transcript:SECCE6Rv1G0399980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPEAVGGAGAPSSSGILPQDISDVSKSTAGQLQAADQKGSAQSSQQAGLPRMNPPDQLSQPKLETGYSENENFIKQEEHFYQPEQEQPRSENQLQQAETNSFQLAEKGTDYVGQQSLTGSMEDVAQPSGDQQHANPVVGQQAPHGAQETRKRGYQPSIPFNMLIPILQAHLDRDKDMQLQSVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFAQMQAQAQRNNQGNANQHSLSSQASSIPAKLPDQQIRMPIPPNQGHKSQASSSPQNFVPLSGTQMQNSMHYFAHDNSIQKPDVKGVHAVPNRPPGMSLPIPLQTSNKQLQPTEIQQASQQLYGTTNSPQSYPGPTSGSMPLRPQSQPSETRPPFHPHGRIPAKIGTVPTHPSMQQNASARQMQQNKDIKNNPSAANTKQGSEPAGKARQVGTGGPSAKLQGKQAAPKTSTPAAARTTKKSGGQKKSLETAGSAPPPSSKRQKTAGAFQEQSIDQLNDVTAVSGVNLREEEEQLLSAPKEESPATEAARRIVQEEEENLFLQKGPLLKKLAEIVLKSNLKNAGADVGRCLSMCVEERLRRFISTLIRVSKQRVDAEKTGHRLVITSDVGRQILQMNQKAKEEWDKKQAETDKNKKQTEDGSSGGAELEKEKEESRPKNAKPNKEEDDKMRTTAANVAARQAVGGSDMFSKWQLMAEQAKQKRAPAPRPSNVSGKGSAERSEASKRSHLAAFGTGGTDRQGKGSFANRHSHGPQRTVSVKDVICVLEREPQMTKSRLIYRLYERLPGESTTD >SECCE3Rv1G0189780.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:730942724:730945408:1 gene:SECCE3Rv1G0189780 transcript:SECCE3Rv1G0189780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSCARTGSRVTRCFPCYDGDRTGDFNGCNVPNDLLPSLGATAAAQPTAGKLSKYLVSPYGRRYRVWETFLILLVVYSAWICPLEFAFLRYLPRAPFVVDDVVNGFFAVDILLTFFVPYVDTKSYLVVDDPKKIALRYLSTWFIFDVCSTVPFRSITHLFTRHEHSLGLKFLNVLRLWRLRRVSSLFARLEKDIRFNYAVIRCTKLISVTLFAVHCAGCINYLIADRYPDPARTWIGAAHPDFREDGLWVRYVTCLYWSITTMTTTGYGDLHAQNAREMLFGISYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDMVQAATEFAARNQLPGQIEEQMLNHICLRFKAEGLKQQDTLDILPKAMRSSISLYLFFPVVQGAYLFRGVSPSFIQQLVTEMVAEYFAPKEDIILQNEYPSDLHLLVTGEVDIVAFLDGTEQVYGKATEGGLLGEIGVLCNKPQPFTFRTTKLSQVLRISRPKLMDIIQENAEDGETIRVNLEQINV >SECCE4Rv1G0258820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679630336:679633089:1 gene:SECCE4Rv1G0258820 transcript:SECCE4Rv1G0258820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVILLAVKKIGNALANGAANKASAHFAKYGTQLLEVQGSMNRVVRELRVMHDVLCQMDIRNRNNQVYQGWLEEVRKVAHVMEDMVDEYLHLVGREHDIGCCFYLKKGFRKPRSLLSLNQIAFKVKEIEKDLMHLSETKNRWVPMIINGDTSSSNYIVKRSQDLANISRSLDEEDLVGVDKNREKLGRWLAGDDLECSVIALLGMGGLGKTALAANVYRKEREKFHYHAWVSISQTYSREDVLRNITKELFRDKVSVLSNTAAMDITCLEETLKKFLEEHKCLIILDDVWTPEAFNDLSRALIQNDMGSRLVITTREGVVAALASRGRILTLEALPEDKAWDLFCKKAFPRDRNHECPAELKPLSEEIVNKCKGLPLVIVLVGSLLHVRDKTVEEWRRINVQLNWELINNSSLDHIRNVLHLSFIYLPTPLKSCFLYCSLFPEDYLFKRKQLVRLWIAEGFIEERGESTLEEVAEGYLKELIDRNMLQLVERNGFGRMKKFRMHDILRELAVDLCHKNCFGVTREANCGGYVDTDGRRLVLHKLNKDIQQSFSSIHRLRTVVTLDNNMPSFTLLPLLCKESRYMTVLELRGLPIKTIPEAIGDLFNLRHLGLRNSKVKMLPRSIEKLSNLLTLDLFASGIHELPSGIVKLKKLRHLFVEKVIDPYWKGFRCSSGMYIPNGLGNLTNLQTLQALEAQDDSLRHLGELRQLRSLRLLNVKQMHCGRIGESLVQMRCLSNLYVNASDENEVLLLNVLLPSLQKLSLRGRLAEGALDESPLFQAVGGQNLYSLILFWSQLREDPLPSLSQLSNLTSLQFTRAYNGEQLTFLMGWFPKLRILYLTDLPNLNRLEIQQGAMASLEKLFLVNLNNMTEVPPGIEFLIPLKYLALYEITSDFLTLLRQCSAIRGTRWAYSLRD >SECCE4Rv1G0261390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:701328852:701332712:-1 gene:SECCE4Rv1G0261390 transcript:SECCE4Rv1G0261390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHLALLSCLLVLLLSLDKFLLHYLKRWLSGGGGSIIPRIPTSAFRPRSHRPMAAAGKWSETAMLVIDMQKDFVDPAMGSPMLVAGGEAVVPAVAEAVAVARERGIFVVWVVREHDPSGRDVELFRRHLYSGGKGPTVKGLKGAELADGLFIKEGDYKLVKTRFSAFFATHLDSVLKTQGIKNLVIVGVQTPNCIRQTVYDAVELDYEKVMVLIDATAAARPDIHLANIRDMKTIGVETPTLEEWRR >SECCE4Rv1G0292570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:883087126:883087508:1 gene:SECCE4Rv1G0292570 transcript:SECCE4Rv1G0292570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHTAPVRAATLLLIICLLATLAQCRTMDGGGGEKISLPYGLCVYDKRSVACKGERCYCCRVGVEVCYLSMAECQTECAKAGGGGGGGGGGGNASPIA >SECCE3Rv1G0161490.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:118207930:118210523:-1 gene:SECCE3Rv1G0161490 transcript:SECCE3Rv1G0161490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGVEAAAGLMAVRSRIAFGDEDSNEEKRRKTESGSARAVASAGAPRSRVPLGEDGRPLDGVMRASLIRHLKHGDGSISRSNGYWTKVYRLQDTSETCLEPMMMTEPLASCMPDGMVCERQHFRTMLQIFSLKLAHTSSHVTGPVQLYGYVAVRDLLNPMRNYIFNRTRDDPFVVERDGLIQMTGPKRGIRMGAPVLIEFDMKVKRGGGEAEDDLQLVDCVACLNDITSRHATKNRRRIDGDCGAVDITYALMRGAAEATVQVGISELAQDSGLIRLNAALFYTKELSAQIQLFDGVVAAEASELSRTVLAVVKGGQLLVSLMLDQTGGSGDCAFSRSCTFPVQKHGNHVSVFKLGLATIEVKVTWSTLDIPISLLGPNCFQWEFMAAEDVEYEGD >SECCE5Rv1G0321250.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:399652568:399663193:1 gene:SECCE5Rv1G0321250 transcript:SECCE5Rv1G0321250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDRA [Source:Projected from Arabidopsis thaliana (AT4G05530) UniProtKB/Swiss-Prot;Acc:Q9S9W2] MEVKCRRLEGKVAVVTASTQGIGLAIAERLGREGAAVVISSRKQENVDEAVEGLSAKGITVVGAVCHVSNAEQRKHLIDTAVKNFGHIDIVVSNAAANPSVDSILEMKESVLDKLWDINVKASILLLQDAAPHLRKGSSVILISSIAGYNPEAALSMYGVTKTALFGLTKALATEMGPNTRVNCIAPGFVPTRFAGFLTTNETIRNELIERSILKRLGSVDDMASAAAFLASDDASFITAETIVVAGGTQSRL >SECCE7Rv1G0455270.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:6096991:6098559:-1 gene:SECCE7Rv1G0455270 transcript:SECCE7Rv1G0455270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT4G22790) UniProtKB/TrEMBL;Acc:A0A178UU74] MDPPPPPPPPPCHHGQHEQEHHQDGCPAAREKRRGWAAAVAGEARAQRGIALPLIGMNLTWFAKQAVTTAFLGRLGDLELAAGTLGFSFANATGFAVLTGLCGAMDPICGQAHGARNAALLRRTLLMATAMLLAASVPIALLWLRVDTVLLRVFGQQPDIAVVARRYVMCLLPDLAVASFLAPLKSYLSSQEVTLPTLFASAVGLAVHVPLTAWLARTRGVEGVAAAVWLSDLVVAVLLSAYVLASDVLLARKSAKTASTAAPCARWWWPEETKTAAADWLRLIRLAVPCCLNTCLEWWCYEILILLTGRLPDARRAVAVIAITLNFDYLLFAGMLSLSVSASVRVSNSLGAGDAGGARRAGAVSILGGLLAGAVGGLLMLASRRQWPRLYTRGAEVRDGVGKAMKVMAALEVVNFPLNVCGGIVRGTARPAVGMYAVLGGFYLVALPVGVALGFRARLGIEGLLAGFIVGAAASLTVLLVVIARMDWGAEADKARLRAGAGADDERNGHHKEAPQNAAADV >SECCE1Rv1G0030540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:428705319:428708779:1 gene:SECCE1Rv1G0030540 transcript:SECCE1Rv1G0030540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSASAAASLSVTATALRLRHRQLCACTRGPAARPPPLLKLNRRSYAVSASSAAAMSPLSLWEGQGIRAELDAPGGVASGDVMGLLLRERIIFLGNEIEDFLADAVVSQLLLLDAMDSESDIRLFVNSPGGSLSATMAIFDVMQLVRADVSTIGMGIAGSTASIILGGGAKGKRFAMPNTRIMMHQPVGGASGQALDVEVQAKEILASKRNVIRLISGFTGRTLEQVEKDIDRDRYMGPLEAVDYGIIDGVIDEDSIIPLEPVPERVKPKYNYEEMYKDPQKFLTPHVPDDEIY >SECCE2Rv1G0064990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:6121577:6122140:1 gene:SECCE2Rv1G0064990 transcript:SECCE2Rv1G0064990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIILLLLILAPLCVSVTGRLKCPGVPYNGAVATCYHGCGTKLIYDLCIHAMQQGHMDLSPSHKEETTVYAILVLNAAVESTRTTSNMLTYQLQKNTSISVQERAFYGACLTDYVAALNSLDHTLVVMLPGCFFVGINDDYLSALASLNSCRDRFIGPVMFTSPVYPMVLADRNMAVLAYSIGKLLL >SECCEUnv1G0548070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:179856736:179860306:1 gene:SECCEUnv1G0548070 transcript:SECCEUnv1G0548070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVERSSNKRTMAADPISRDDPLAEKKKKKVVDLGSDSDEDLPDLSQWAGVNPDSFSDDYLAKVEKARLDEESAPRPVRIPTLDYYKPATRFHTVELFPVSRSGSKAVLLAAKFLLGISSSLDGEPLRRCSGFWVDWDEEKKTGLVLTTARLIRTKDAPYSVWSGGEEYAIDADVTVHLLNGTSAKGQLVYLQPHYDLAFLSVQVDQPVNLPYLNEKDVEFAQEVFRLGRDNSLNLRITYARAEYLNPTMFQRHHNVYFRSPDGHGDNNEFDYGGPVIDLRGEVVGMVNAPKRFGSFIPSSILLNCLDSWKKYQHIARPHLGMMFKDIKLLEPAHVDMLWRTFNIDDGFIVQEVSGGSPAEKFGIQKGDIIESFNGKPLYSTIELENTLMSIYKGTLDADVHISVGVFHTIKEQRSTVELTAKLSELGEVITRGTHLLF >SECCE2Rv1G0086700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:214918701:214923741:-1 gene:SECCE2Rv1G0086700 transcript:SECCE2Rv1G0086700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FREE1 [Source:Projected from Arabidopsis thaliana (AT1G20110) UniProtKB/Swiss-Prot;Acc:Q9ASS2] MQHGSGDYASPAPAGHYYPHQYAPPGPTPHPPAADPPIPGGYASAPPYSAGGYPEQPPSAPSYTQPPQYAGYPPYNPTPYPPEPSPAPYYSYSQPTQPVPPAEPRPAPLPYDAPYYGGGYQQPAAGYGDDDYLNEGAYAYTGDAGPEPYGARGTAPTRSGAAMFDDYGRSIGLSSGGAEQPQGGGGGSVGGSFGKIARAVPKAETHEDASGGAQKFRVKLLPEGAGSPTDVLCQVGLDGIRMLDPSTSRTLRIYPLDTLTKWEVLDSTVFAIWAKTSVDFEAKRIRLKSNSYTSNTLLDIVTAATVQFKEIGEDARAKVTVDAAKSSIQSNEKKKGFDWMFAKPVDEVKDHWVPDEAAKKCQSCAGDFSHFNRRHHCRNCGEIFCDKCSQGRIALTAEDNAPLVRVCDRCMAEVSQRLSMAQEAANRSTTVQNHGDLAKKLKEELERNRKSSGTASGGASGTRMREVACPTCTVHLQVEVPISGSETVECGVCQHAFLVSAN >SECCE2Rv1G0088290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:241949544:241952963:1 gene:SECCE2Rv1G0088290 transcript:SECCE2Rv1G0088290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVAGGGEAAVKGKFWGIVVCFFLGNGSLFAWNSMLTIEDYYVSLFPNYHPTRVLTLVYQPFAFGLTCFFAYYEATMNTRKRNLAGFALFFLSSFALIMLDVGTKGHGGIPAYIGVCIISAFFGTSDALVQGGLVGDLSLMCPEFIQSFLSGLAASGVITSALRLITKAAFENSQNGLRNGAMLFFSVTCIFELACFLLYACVFPKLPIVKYYRQKAASEGSKTVGSDLAAAGIKTDQDRQVEEDPQKHERLSTKELLMQNIDYALDIFLIYVLTLSIFPGFLSEDTGSHGLGTWYALVLISMYNVLDLIGRYLPLIKCLKLTNRKGLTAAIFARFLFIPAFYFTAKYGDQGYMIFLTSFLGLTNGYLTVCVLAEAPNGYKGPEQNALGNVLVVCLLAGIFSGVVLDWMWLIGKGW >SECCE3Rv1G0151150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:36997128:37004174:1 gene:SECCE3Rv1G0151150 transcript:SECCE3Rv1G0151150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLQPLLLLQLASLLRPATSTTGSNGSCAPESCGDLTIRFPFSLAGVQPLYCGYPAFDLTCDNGTRRAYLGNTFRENIFRVDNIFYANNSMVAAVQTAFAGDSGCPVPDFNVSASLKLFPFNISATNKRLVFFYNCTVPDEFRLPRQCGPGNHTMGAYISGHWHDGDEGITLPAGVPTNCSSVSVPVRPGMEPARRHYGKLISNGFLLELPAPPGDCDGCTHMSGGECRFDQFAFQCVCPDGKLCPNSTETNSTTPDTDRGADNVGMKFVTGITSAVLFVIILGLVCHLIQLNRRKNKKRSASLDGLIREASPLASLRKEFNLAGSPCTHIFTYEELDVATDGFSNANELGAGGFATVYKGVLRDGSVVAVKRLYKNSYKGVEQFANEVDILSRLRHPNLVALYGCTSSCRDLLLVYEFVPNGTLAYHLHGQDGGEPLLLAWPARLGIAVETAAALAYLHAHQVLHRDVKTTNILLDDGFHVKVADFGLSRLFPADGATQHVSTAPQGTPGYVDPAYQRRYQLTDKSDVYSFGVVLVELMSSRPAVDMAQAGTDVNLACMAVRMIQCCEIDRLVDPRLGYGSSASETKGTIDMMAEVAFRCLQPEQDVRPSIGEVLDVLRQAHQRITDAAVLLKKGTDGSPDSVMDEWISPSTTSNYSS >SECCE2Rv1G0112600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:711557700:711558372:-1 gene:SECCE2Rv1G0112600 transcript:SECCE2Rv1G0112600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01918) UniProtKB/Swiss-Prot;Acc:Q2V4B2] MAMALQLAIQSLAASLSRSPNKPATKPPSTGHHHPQEPTGSNSCSGRRSLAAAAAAVGTALLASQLLPPTAGIAGAFDLDLRITIPEQSSEEAEAVVRTHARNLVRVKQYIDARSWRELQMALRASAANLKQDLYAIIQARPPGQRPELRRLYSDLFNNVTRLDYAARDKDEPQVQECYGNIVAAIDQIFARIM >SECCE4Rv1G0260670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:697852765:697854542:1 gene:SECCE4Rv1G0260670 transcript:SECCE4Rv1G0260670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQPQGDRAAATEEMEEEPHVERLPADLLAHVLSLLSSFHDLAMAGGVSRRWRQAVGRSLASRRRLSFAGQRTGDDSAARLVRAAVNLRDLDVSRGCWGCHITDGGLLQISTAECVGKLTAISLWGLAGITDKGVVRLVSRAHSLQHLNIGGTFITDESLYAVANNCTNLKSIMLWSCRHVTAAGLVALVSKCPELACINVGGMRVSPESFAGLLSISPALRIRSVPEIVNASVQVS >SECCE5Rv1G0329470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:516318423:516322035:1 gene:SECCE5Rv1G0329470 transcript:SECCE5Rv1G0329470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAAAATTAAQELPGHGQTVCVTGAAGYIASWLVKLLLERGYTVKGTVRNPDDPKNAHLKALDGAAERLVLCKADLLDYDAICAAVEGCHGVFHTASPVTDDPEQMVEPAVRGTEYVINAAADAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLEFCKKTKNWYCYGKAVAEQAAWEKARARGVDLVVVNPVLVVGPLLQPTVNASAAHILKYLDGSAKKYANAVQAYVDVRDVAAAHVRVFEAPGASGRHLCAERVLHREDVVHILAKLFPEYPVPTRCSDEVNPRKQPYKMSNQKLQDLGLQFTPVNDSLYETVRSLQEKGHLPAPRKDILPAELDGATA >SECCE5Rv1G0362920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:789765164:789769925:-1 gene:SECCE5Rv1G0362920 transcript:SECCE5Rv1G0362920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLQKVGNALKRSAGSGSAMLQAVRSMSSSKVFVGGISYGTDDQSLADAFSNYGQVTEAKVIMDRESGRSRGFGFVTYTSSEEAGAAITGMDGKDLQGRIVRVSYAHDRGSRPSFGGGGGYGGGGGYGGGDGGYGGGGGSYGGGGGYSGGGGGYSAGGGYGGGGRGGGGGGYNSGGNYNSGYNSGGNYGAPQGGQGGYGGDAGFTGVGGGGYNAAPANNYGGDSLNQGGEAPPAFGGGAGFTGVGGGGYNAAPANNYGGDSLNQGGEAPPAFGGGNYGLGNDSYANDAPVDLPPGKLNELLKDLKFDVGGKEDGAGEGAGLVDADTKTSEGQDDFLDDDFSKDEDDYANKRS >SECCE4Rv1G0241820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:462076847:462084104:-1 gene:SECCE4Rv1G0241820 transcript:SECCE4Rv1G0241820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGGGALPALMARAVPGPAAIPTAAMASAEVAAAEVVRRVQPTEASERRRAEVVAYARRIVGTALGCEVFVFGSVPLKTYLPDGDIDLTVIGNTSCGSTLIDDVYYILGSGEENGDAEFEVKDLEHIDAEVRLIKCTIGNIIVDISFNQTGGICAVSFLELVDRKVGKNHLFKRSIILIKGWCYYESRLLGAHHGLISTYALETLILYVFNLFHKSLHGPLEVLYRFLEYFSKFDWDKYCISLNGPVALSSLPNLIVESLNVPGDDLLFDKEFLENSVEKASAPPRNSDARCSKFRVKCLNIIDPLKECNNLGRSVNRANFHRIRTAFSFGARKLGQILMLPPELIPDDIFAFFKNTLERNENGVRSDIDHVGTFHCQPFLGPSNQLLDGMSCMQISYKEDDISKKLAEHAVSVRINVPTQGGCFSGDDSFALSTDLSARLSHCVCHEPDVFHENRKDTTEQYYVAPELNGQESRYAAEAYMGDKSLIQPQHHAHYSSHTLSSIHGSDLGLPKPGPIKKEKLTGTSLHVEEHLHALSLLNLSDLSGDLESQLRCLRQVQYNLEYLFDEFSQSVQEASSDGKVDKDLFDILNRGILLSTDTALPGLLLPSYAETDGMKLSPVSSHSTEVSQQSQDDDNWGVPFQLNACGIDVPSNGLSPSYIADSDISVSWWHRSEAIPNTRETVGYIREKHMTFIGEKGKSLINQPVKIKSIQATIPKRSFVPCQEQVAPDSGTKEIRISRPLGPLGIGDGLNGYTRLGIKTTEKHSGHTRKESVKPHYEARHTRSSYGEVGSNKTFFQKRNYDTGMECARPASAKYQPPEVEGTPDECSYMNNNLARNQSCDTPKGYGTNRRLASEPLKLQSSIRGRGFSKKNLPAKQKYDNHSGHPYSFVRDTKHAPNGQVVTILNGSTKEVALNEELVENGTKPMPNVFIPRDSNGNNQRMLLASSTCHPSFPVTKVYSQSGVLETQPDRIIEFGSLGPFSLTSPSPKSNKASPKACADASSLALQSYRAGTTQSRSSGFYSIGDEEQFPPLHARTR >SECCE7Rv1G0509050.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:776992433:776994634:1 gene:SECCE7Rv1G0509050 transcript:SECCE7Rv1G0509050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLRSASSSSDKAVMPFHGLAVVLLLLCFASPTSSCMEHASTTLSDFLGGLVPDGNGGLNVSWVNGTDCCKWEGIVCSSDGTVTDVLLASKGLRGVISPSLANLTGLLHLNLSHNSLEGGLPVELLFSTSIVVLDVSFNRLDGHLQEVQSSNPDFPIKVLNISSNSFTGQFPSKTWEATKSLVALSASHNSFEGPISSSICINGASFAMLDLSHNKFSGNISPGFGSCSMLRVLKVGHNNLTGPLPDGLFNATSLEHLSLANNGLQGVLDGSSIVKLSRMTVLDLGLTGLSGKIPDSVGQLRRLEELYLDNNNMSGELPSTLGNCSNLRYITIRNNGFIGDLSTVNFTMLDLRIADFSMNLFTGPIPESIYSCSNLVALRLAYNNFHGHFSPRIGNLRSLSFFSITNNSFKNITNALQMLKSCKNLTSLLIGTNFKGETIPQDETIDGFENLQVLTIDDCPLVGKIPLWISKLAKLKMIDLSVNQLSGPIPSWIDELGFLFYLDISSNNLTGNISVALTKLPMLLSEENVAKLDPKFLELPVFWTPSRQYRMVSAFPRILFLDNNNFTGIIPPEIGQLKLLDGLNLSSNSLTGEIPQEICNLTNLQMLDLSDNQLTGAIPSALNDLHFLSTFDVSNNRLEGPVPTGGQFHTFLNSSYSGNPKLCGPILSLDCTSTATDQTSASRRRTLRFALVTGITSGGLIALALLACFLIARLAYDDHTENVVPLRSRR >SECCE4Rv1G0287030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:853048386:853060310:1 gene:SECCE4Rv1G0287030 transcript:SECCE4Rv1G0287030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDAFASYVQNMLTEMVKEEVSMLLGVGDEIEKMDAKLGDLKNFLADADRRNITDKSVHEWVAQLKRAMYEAADILDLCQLKAMERGPSTKDVGCFNPLLFCMRNPSHAHDIGTRIKALNKRLDTIKEQSSAFSFINLGSYEDHSSKVHGSHSGDTRRETSGEFDRSGVVGEKIEQDTKKLVEIMLSENEGNTNIMVVSIVGVGGIGKTTLAQNVFKNEALNARFEKMIWLSINKDFDKVELLKTIITQAGGVHGGEKALAVLQPILAATLKEKKIFLMLDDVWNHEAWDNVLKAPLANAVARGSRVLVTTRDERVARGMKAVLPYHHVDKLEEEDAWLLLKKQVVSSEADARGIDILKDIGLQIIAKCDGLPLAVKVMGGLLCQKDKKYHAWEMVLHDSIWSVSEMPEELNHAVYLSYEDLLPCIKQCFLYYSLLPKTALFFRNNIIGMWISEGFLHSSADLEELGREYYKELILRNLIEPNAKYADHSVCNIHDVVRSFGQFVARDEALAAHSGETGFVSKLKAQKYLRLSLESKASESDGIDWSSLQAQQTLRTLISVGPINMKPGDSLVNFPCLRTLDIKSAHVVALLKSLHKLKHLRYLSLEKTDISSLPDHIGKLKFLQYISLKGCKEIVQVPHSIVMLEQLRYLDFRETNISGIPKGFNALINLRIVRGFPACEDGDYCTLEELGPLSRLQDLGIDGLENVTISSSTAKAKLDEKEYLTSLSLACGSRPGDNGIVKEEEQHRIEKVFHELCSPPRLEHLSIEGYFGRRLPWWMMSSSDVPLKSLRFLFIVDLACCTQLPDGLCHLPYLEVIQINRAPAIKRVGSEFVQSYHHHSPSSSQVVVQFPRLHRMDLVGMVEWEEWEWEEQVQAFPVLLKLMLAQCKLKCLPPGLASQARALNKLIIYYVQGLISIENFPSLVELDLIENLDLERITNLPRLQKLTIEDCPKLRVLEGVPALQRLILSDEDMKTLPEYMGGINPKHLELYCSLVLLASIAAGQSGTELDKFRHVEHVKAYAREGDNSKKWYVLYTAKPCNLETNVSLSAFMSRGTLTSFEDTQRFESVFKMTRKTFSYICSLVYVPSLEDMNNYTFDDGRLLSLEDRVAIALKRLYSSDPPETIASSVSVGEPIVLLVTESFVDAVFKRARHHERWPDSSKMDKIKSMFDKVHNMHNCCGVICTTHIPFGPNWDTETNDSILVQLVVDPEMRFRNIWWGWISSMNQSSILHESNFFKECQKGALLNGSKLKVGLEGSEVGEYIIGDAGFPLLPWLLTPYQEEDLSEAMAEFNRRHNAATSCAHKALARFKDTWKYLQGETSCPVNPDTLVETIHACCYLHNIVIDMEDDAARSSVEEPDDSDDDSAMSSVEETDDSDDDADSDDSEELRQVANEDAVLARDMLSQYFLTTMLSESGVVPVDAEEDHEVAASGSGDEDKEQEVQTRRADEQILN >SECCE2Rv1G0091390.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:315509805:315510020:1 gene:SECCE2Rv1G0091390 transcript:SECCE2Rv1G0091390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGNKGRERKASQERSDRKSGSGMSGDAKKGGRGGKFTWEGADGYTDEDLDLVTNKGTGTGASASGNNKS >SECCE7Rv1G0475200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:183132887:183133696:1 gene:SECCE7Rv1G0475200 transcript:SECCE7Rv1G0475200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGDIKLLGMVVSPFVVRVRMALHMKGVSYEYIEQDLLDKSELLLRSNPVNKKVPVLIHDGRPISESLVIVQYADEVWSGKGASILPADPHERAVARFWAAYVDDKLFPAYIGIMKAATEEARAEKAKDALVGLANLEEAFAQCSKGKPFFAGDSVGYLDLAVGCNLFWLEAVRKMFGVTFLDEGKTPLLAAWAQRFAGTEMAREVVPDADSAVVFAKKLQARFGSNTSAK >SECCE6Rv1G0452190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:875697482:875700967:1 gene:SECCE6Rv1G0452190 transcript:SECCE6Rv1G0452190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPTGAAHQTADDEAAAFFREAPPLRDRDAVAASLAAFVARHHSRSAAGAGGGGGGGPPAAAAGVVCVTSGGTTVPLEQRCVRYIDNFSSGQRGAASTEYFLKAGYAVIFVHRRGSKQPYCRFLPEDSFLDLFELGEESQIQVPESHTTVVKTAISSYRKAIDEGLLLKLPFTTIFEYLQLLQLVSTAMNCLEHHGMFYFAAAVSDFYVPWESMAKHKIQSASGPLNMQLNQVPKMLFILRNHWAPSAFCVSFKLETDPDILIQKAEAALRKYGMNVVVANELANYKDVVVMVTSTGKTTVSRQSKEDDLEEQLIGLLVKMHSDHAKQSNPDQET >SECCE4Rv1G0290470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:872825571:872826284:1 gene:SECCE4Rv1G0290470 transcript:SECCE4Rv1G0290470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERKRAKLCKSLQLCISRKLKKIPPVHVPTSTIPATIASSRRLLSTCRFPRTPSLDIDQAAAVANNSNGGGHAATLSDIDRFLFDNFRSLYIHDRDDNVRLPSSSGTSSTSAVDETQPIEGTSSLKNSVTEDTGEAGRGDDTGDDAAIVLFSMDPYTDFRMSMQNMIKMHHGKESRSLDWDFLEELLFYYLQLNDQSVHRHILKAFADLTAGTHKDIPARGKPHWADKSVRNRKY >SECCE7Rv1G0518940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:862821757:862823052:-1 gene:SECCE7Rv1G0518940 transcript:SECCE7Rv1G0518940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTLPSVREIRRLQRAEGPAAVLAIGTANPPNCVSQEEYPDYYFRITKSQHLTDLKKQLKTFCEMTSTEKRYFHHTEELLDAHPELLCRDKPSLDARLSIAAAAAPKLAASAAAKAIAEWGRPAADITHLIVSTNSGAHAPGVDLRLASLLGLRESVCRTMLNLNGCSAGAASLRLAKDLAENNRGARVLVACVELTVVAFRGPEEDYPNRLISQAVFGDGAGAVIVGADAVQAERPLFEMVSASQTVIPGTDEVLTMQLTENGLDGHISTKELLPLAAQHIEQCLKDAFQPLGIMSGGMEWNDLFFVVHPGTRGIMDHIDGALQLDHGKLAASRTVLREYGNMLGATLIFVLDEQRRRMEEDGEAGEWGVMMGFGPGFTIETMVLHAVASNRHNKN >SECCE7Rv1G0478190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:234747180:234750166:1 gene:SECCE7Rv1G0478190 transcript:SECCE7Rv1G0478190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPMMLRGGRVMRLASRHLGSHGFSTEIFVSRLSFYTTEEEFKKVFSPFGAIEEVRLVRDNQTGRLKGFGFVRYSSQEEAQKAIKAMDGRILRGRLIFAEMAKEHGTG >SECCE7Rv1G0477100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:214366198:214368907:1 gene:SECCE7Rv1G0477100 transcript:SECCE7Rv1G0477100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLERDDALDTVLEVPIPEEMFSGGGGGSRGSRFGCTGVKAWMRSHAADRSGAGEPCSMSRGELQLMLGVIGAPLIPLPVSHAKQSPSSVLCEQLKGDPIESSSAKYIVQQYIAASGGEWALNRVTSMYAMGKVRMTAAELNSSDADGSSSNGGAHRGGKKGGGKGGGGGGEIGGFVLWQKKPELWCLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPMLTASLFAEDSVCIGERSIDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSGGGGSVFWETTMESRLRDYRAVDGVNIAHSGRTAVSLVRFGDTHDGGNTRTRMEEHWDIEEVDFNIWGLSMDCFLPPSDLRDAKESHQQDLAVVKATRPPPLRIPAVAVVRVGPSQVAAVDLDETESLLAR >SECCE6Rv1G0429700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:737235847:737237625:-1 gene:SECCE6Rv1G0429700 transcript:SECCE6Rv1G0429700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDVASMFAVALFIGAFAAVPTSVQSIGVCYGVIGNNLPSRSDVVQLYRSKGINGMRIYFADGQALSALRNSGIGLILDIGNDQLANIAASTSNAASWVQNNVRPYYPAVNIKYIAAGNEVLGGATQSIVPAMRNLNAALSAAGLGAIKVSTSIRFDAVANSFPPSAGVFAQSYMTDVARLLASTGAPLLANVYPYFAYRDNPRDISLNYATFQPGTTVRDQNNGLTYTCLFDAMVDAVYAALEKAGAPGVKVVISESGWPSAGGFAASPDNARTYNQGLINHVGGGTPKKREALETYIFAMFNENQKTGDPTERSFGLFNPDKSPAYAIQF >SECCE4Rv1G0265480.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:725986403:725987803:1 gene:SECCE4Rv1G0265480 transcript:SECCE4Rv1G0265480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMEAEKSITLLPTHFVLVPLMGQGHTTPMADLACLIAGCGVRVSLVTTPVNAARLQGLADRARNAMLPLEIVELPFPPADDGLPRGSSNNSDNVLRLFMSLYRLAGPLEAYVRSLPWRPSCIISDSCNPWTVGVARSVGVPRLTFTGPSCFYSLCDFNVAKHGLLHSGDVVPGMPVRVELSKDTWAAAFLTCMPAWGKFVEEVREGIRTADGAVVNTFLGLEEQFVTCYEAALGPFVLNSQNEEARAVEQSAATAWLDMMDQSTVVYVCFGSLAQMLPKQLYEVGHGLKDSGKPFLWVVKESETVLPEAQEWLQALEARTAGQGLIVRGWVPQLAILSHRAVGGFVTHCGWNSLLESVVHGVPVVTWPHFGDQFLNERLVVDVLGVGVPVTPFDDDKVVNPVMRGHIARAVSELMGDGAVAMERRRKCKEYRKRAHGAIANGGSSHENLTRLLHSFMPSGSKEL >SECCEUnv1G0537120.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:67497560:67506391:1 gene:SECCEUnv1G0537120 transcript:SECCEUnv1G0537120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAHSTSRHNGRAGAANGAEPPLPSRFSRFRSRLRLRRHRGRDRCGAAGDASDSGKGVAADEFAGIARIRIVKADLRFKDKFFACLSLGERTYRTETSDSTHRPVWNSEKKVVIETNGPHIARISVFETNRFSKNTLVGYCEVDLFDLLTKDLEEEHAEDLALLDPSSSTTTMGTISVSCYIEDPVETEQSFARRVLAIVDYNEDGKLSLSEFSDLMKAFGNGLAVNKIEELFRQADTNGDGIVDMDELAALLADQQEKEPIISNCPVCGESLGKYDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQAAYGWMFKLSEWANFSSYDVGLRSGSTASHILVFDRRTKRLVEEAIDGKIVLSMRALYQSKVGLTLIDSGVKDILKNLSEKQGKKMNSPESAKDIPKFLELFAGQINMDETKDPIESFKTFNEFFIRQLKPSARPIAHIDDGGIATCAADCRLMAYSSVDESTRFWIKGRKFSIEGLLGADAHYNAFKNGSLVIFRLAPQDYHRFHVPVSGTVEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVISIISTSEFGKVAFVAIGATMVGSITFVKKEGDYVHKGDEFGYFSFGGSTVICVFEKDAIQFDADLLANSERSLETLVEVGTTLGVSKRNRGLKVSELQKCSIE >SECCE1Rv1G0000820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:2560788:2561570:-1 gene:SECCE1Rv1G0000820 transcript:SECCE1Rv1G0000820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDELKLLGTWASPWVSRVKLALHLKGLSYEYVEQDLDNKSDLLLTSNPVHKKVPVLIHNGKPICESVVILEYIDEAYGSTAPSLLPTDPYERAIARFWVDYIEQKLVIPWKVAFTANGEEEKTEGIKQMLAAVHMLEGALKECSKGKPFFGGDSVGYMDIALGGLLAFLQGTYELCGTKPFDIANTPLLLAWVERFTMLDAAKVALPDVSKLVEFAKTRRAQMALSIKK >SECCE5Rv1G0374680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:864228525:864229076:1 gene:SECCE5Rv1G0374680 transcript:SECCE5Rv1G0374680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRATSRMQSRARVDIPVFICPRCRASVDRRVSHTTRNQNRPFYVCSENGVTCFFLWVDALAKTLMNELQEEHEEWLHMLPRTAVAATRAPEEEMEGKEHTDRELVVELRMLQKKVRKLEDQAQIAIPICNYFWAVVGMVLALVIMLKMYGKA >SECCE1Rv1G0059510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:706298000:706301462:-1 gene:SECCE1Rv1G0059510 transcript:SECCE1Rv1G0059510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEPRSMESRWRELQGAGSWDGLLDPLDRDLRASIIAYGELAEATYDGFNSQRRSPHAGACFYGHSDLLAATGVSSAGHYAVTKFIYATSGFFLAPTTGTSVPDAFFVLPLPALLEEPWCRESNWMGYVAVATDEGVAVLGRRDIVVAWRGTVESLEWVNDLDFTPTSAAPVLGPAAGDFDSAVVHHGFLSVYTSSDEDSKFNQQSARDQVMEEVRRLMEVHKEEVTSITITGHSLGASLATLSAIDMVTHGVNAPPSSPQQQPSCPVTAILFASPHVGDDNFKSAFASFPDLRALHVRNAGDVVPLYPPIGYVDAATVVLPVDTGRSPYLKQPGTVQTRHNLECYLHGVAGFQGADGGFKLEVDRDVALVNKGADALKDKYPVPPNWHVINNKSMVKGPDGHWMLRDFEET >SECCE3Rv1G0187330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:697717054:697718235:1 gene:SECCE3Rv1G0187330 transcript:SECCE3Rv1G0187330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLRRILCSTPAGASLSHRLPFATSSRRTPHRFRRSHRAPSQSPSPDAVSAAIASLPSRLTPAVLASSLASTSDLRLLFPLLTHSLGRPTFRPDPGPFLVAIKRLGTAQLYHEFDRTCALFFSLLPSLPSPGPLLRAALYFYCEFRKLGKAFHVYTLMRASADPAARPAADTYHALFTALLSRGGNDSMVHYMYMDTVSALFRQMLEEGIPPDTRSLNVLVRGYAQSLHLNDALRVFHQMAPVYGCEPDGLTYSYLVRGLSAQGRTKNARELFEEMRGKGMMPTEPACNAFVSALAVAGEAAEAERVMWDMARAGAVVDHITRRAVVEELGRAGKREDAERVVREMEEMGMLRVAERRELLASIQDDEDGDDGLDVDESTRGGDRRRRQSS >SECCE7Rv1G0513320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:820986712:820990556:-1 gene:SECCE7Rv1G0513320 transcript:SECCE7Rv1G0513320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQRWRPTVNEREFIEQALQSDLRVDGRRPFDFRKLTISFGREDGSAEVLLGETCVMGYVTAQLVPPYKDRPNEGTLAIFTEFSPMADPAFEPGRPGEAAIELGRVIDRGLRESRAVDMESLCVVAGKHVWAVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGDDGQQVTVHDPEVMDPLPLTIHHLPIAVTFAYFGDGNIMVIDPTYKEEAVMGGRMTATVNSNGDVCAIQKAGGEGVMSSVIMQCLRIASVKAADITSKIKTKVDKYTTEKALQKVKRTPAIVAKEVNIPDVTMKESTHSAMENQTLKAPNDGQQISEGDENHQNTKMISTLTADRTVKHKQTSTFVGGPSNWDPYSKGVSLSSLRISQLPDPPAVANEEKHEDAEPMLTESNPEVKSVSNSGSAGESDEVKESRSPESLIDAVKPKHKRKKKQHGKS >SECCEUnv1G0533620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:31917816:31919616:-1 gene:SECCEUnv1G0533620 transcript:SECCEUnv1G0533620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGQRRLSSLPAAAAVPLLLLLAVSFGSQAASAAAPAWTTDLEKHVAFFDTDNDGIVSFSETEKGLRAIGLGALEAAASATLINGVIGPKTRPENATSSRFDIYIANIHKGIHGSDSGSYDAQGRFVPAKFNEIFTKYAKAKPNALNEAELEAMRTANRKEGDFKGWAASKAEWGMLYNLAKDKDGFLQKDTARAVYDGSLFVKLAKKNGASSGN >SECCE2Rv1G0099480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:521866493:521889240:-1 gene:SECCE2Rv1G0099480 transcript:SECCE2Rv1G0099480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G45890) UniProtKB/Swiss-Prot;Acc:Q7X6P3] MSSSHSLILLLTSPLPPPPRIVAAIPPSSRPTTASRFRHLPRLTANLCLPPLATSASGGFGDGSFPGGDGGGGGGGDWWQGGRGDPPDKPGDGWRLWLQSLHPELLLLFLLLQSDAFLSIPSALADALGATGGGVWEVRGSARTLLVPNPTGTSYLVAGDDKRKQEEGMEKTGAGPANLAALRRQLERSWRRCTDVALQLLLPDGYPHSVSSDYLHYSLWRGVQGVASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKILLSKFGRHFDVNPKGWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQAATRSCFFAGFAVQRNFAEVIAKGEAQGMVSKFVGIMLGIALANQIGSSVPLALISFAGVTVVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQVPSVKEVNDEEPLFPNLSIGGRVKQSEILSAEAKDAADTIYRRLQLGSKLSEIIENKEDAYALFDLYENEQYLLTDYKGKFCVVLKEGSSPEDMLKSVFHVNYLYWLEKYMGFKPFNVASECRPGGRLEASLDYVQREFRHVKHDGSNGGWVMDGLIARPLPVRIRVGDVP >SECCE3Rv1G0154220.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:59286823:59290269:-1 gene:SECCE3Rv1G0154220 transcript:SECCE3Rv1G0154220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPAPHTAARLCRGLGLLASVRYYGAIPVPLSFSAPRRRFPAPAPRHVSNSVRRLAAAAVSVPQTDSESGTATVGKGRIYHETYGCQMNVNDMEIVLSIMKKEGYNDIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRQWKANVAGGRSKSLRPPKIAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGHKGINTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSVVREVGELWDAGVKEVMLLGQNVNSYNDTSEVEELEPGKNWQLSEGFSSRCKVKNMGLRFADLLDQLSLEYPEMRFRFTSPHPKDFPDELLYLMRDRHNICKLIHLPAQSGSTEVLERMKRGYTREAYLELVQKIRNVIPDVGLSSDFISGFCGETEDDHADTLSLVRAVGYDMAYMFAYSMREKTHAHRNYEDDVPNDVKQRRLAELINTFRETTRKIYDSQIGTTQVVLVEGPNKRAPETELFGKTDRGHRVSFTSLPVPHTSEGGVARKPVVGDFVEVKILRSSTASLSGEPIARTSLGMYCKNHASDAAVGA >SECCE4Rv1G0265790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:727430223:727431048:-1 gene:SECCE4Rv1G0265790 transcript:SECCE4Rv1G0265790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQPSGKKNPPPEKKAPLPKVVTLNKALKLAQTWVDKMSALEPEELNDKDFEGRPSGLGLGARVAPNAKRAAPTDPVERRLLGKVNAQKRKSAEEEKINTQEMNEASDDDSGEPQGRTSACSKKRELPSVTSMPLGKKTK >SECCE4Rv1G0283240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:834611841:834613397:-1 gene:SECCE4Rv1G0283240 transcript:SECCE4Rv1G0283240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSSPEPHHLIVSFLALLLSLLFCFIKLRSSKSVQTLPTEWPLVGMLPSGVANLHRFHDYTTAFLAAAGSSFVFRGPAMHFFVTCDPANVRHIFVSNFTNYPKGEEFAAIFDAMGNSFFNADGESWRRQRGRVQHLMSSPQLLAYMTRCCRDKVENGLLPFLARMEILTSFDMQDLFTRFTFDMTAISVFGVDPGLLTADMPPVIVPDAMEAVMDVGFIRNMVPSSCWKLMKRLKIGPERKLAASQSVLHRFVTEMMKKWREGPVHMDMDKEQDKKKVEIVSSYIHDPEYTDNHRKPNAFLYATLINYMFAGRDTVGTTLTWLFYNLIKHPHVVSSIRRELAPIAMHKATTTSGNHMMIFEPEETEPLIYLHAALFESMRLFPPGPIERKTVMTDDVLPSGHKVQRGETILISLYSMGRMEGVWGKDCREYRPERWVNQDGKLLYVPSYKFLAFNAGPRSCLGKNISVVQMKSVVATMLWNFDFEMVRGHVVEPKPSVVLKMKNGLLAKVLKRRIE >SECCE5Rv1G0322720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:423366021:423369423:1 gene:SECCE5Rv1G0322720 transcript:SECCE5Rv1G0322720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGLFANDGSFMEKFKQMQQEMQDKEKPVAPAAAAAVSSAPAKHVNPKTPLVIAANKRPLEVKKVGSVLSGGKLAFSLKKNKIPIVPVKFAAEEEDDDDVAGVERGDHAKRHKSIDAPSAAAPARVVASAPPNDMTVRQVADKLASFVAKNGRQFEDITRQKNPGDSPFKFLFDKNSSDYKYYETRLAEEEKVHAQTKDAQASKIVNSSTASSIAHSGTQRSSFEQRSNYQTPASALYGAYEGSSSQGSSSSHGGQSMSAPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPCLIQGPPKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKQDHLGVGAIQPGQVSSEDDIYEQYKKRMMLGYRHRPNPLNNPRKQYY >SECCE5Rv1G0344240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:644354044:644354646:1 gene:SECCE5Rv1G0344240 transcript:SECCE5Rv1G0344240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFHWSLATGGALAVDDDEPHICYAIMVGMVSMLIFCVLLTVVSPARACAITSLIDFLFGFVGLLALIVRAHRNESGLGVRQPTPTVRLVHRYTGGLTDAAISTLPTFAYNNKGGGDEPRGSCQLLCTVCLEEVQGGEMVRQLPPCRHLFHVDCIDMWLHTHRTCPLCRCELPSRNVAARAAAAAATGSSADVLASPPE >SECCE5Rv1G0340450.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:614895214:614896083:1 gene:SECCE5Rv1G0340450 transcript:SECCE5Rv1G0340450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVADAASPSGQDQQGHRTVSSEPPKRPAGRTKVHETRHPLYRGVRQRGRVGQWVCEVRVPGVKGSRLWLGTFNTAEMAARAHDAAVLALSGRAACLNFADSAWRMLPVLAAGSFGFGSAREIKAAVAVAVVAFQKEQIIPVAVAVVALQKQQIIPVAVAVVALQKQQIPVAVVAMQQQQKIIPVAVALVALQKQQIPVAVAIVALQRQQVPVACPATSGPGSALFYMSSGDLLELDEEQWFGGMDARSYYASLAQGMLVAPPDERARPEDGEQSGVQTPLWSQSHLLN >SECCE1Rv1G0007480.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:40520105:40530626:-1 gene:SECCE1Rv1G0007480 transcript:SECCE1Rv1G0007480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAAAGDPRQVRNTCIMAHVDHGKTTLADHLVAYGGGGLLNPTMAGKARFMDHLREEQERAITMKSASVLLRHRDGTRVHLIDSPGHADFCSEVSAAARVADSALIVVDAVDGLGVQTHAALRKAFAERLRPCLVLNKLDRLITDLRLDPGEAYERLHRIVAEANSVYSTLRSGSYFSLLDGLAPAQQADDGEDTFVAQKGNVVFGCARDGWGFRVQDFAAVMAMGRPGQASKLVGWLWGPYYWDKEKKKAMPLAYGMKQQPMFVEFVLKRLWKIQQYDHGLKVDGASWLRDHVVQTFNLKVSDRELQSKDPSKAVLEAVMRAWLPLAETVMTMIVECTPDPVAAQRFREPTLMPERELPTGVSAEHAGIIAEAEKVRRCVVACSASSSAPVVVFVSKMFVVKHKDLPPTLNHGQETAGEPEECFLAFARVFSGVLHAGQKVFVLSPMYDPVKGDTTGKHLKEVEVQQLYEMLGEGLRPVASVGTGNVAAIKGLGEHIMKTATLSSTTNCWPFASMAFQVSPILKVAVEPANVADLAAFREGLSLLNRADPLHVLAAAGKVHLEHCVKNLRERFAKVELNVSEPLVSFKETIQGEGAGLIDSLKNPQGYVERIAPDGKFAVRVKVIRLPDALVKVLEENEELLSRTIKGQMARSDGAMGSQCPWGDDGHSVAVLRQDMLGAIESELEALSVRADEVKLGWYRKTLLGYLHKISALGPSEVGPNLLFMPGVKLSSGLTTIQNGREGIVVRGRCHVSEKLGFVSVSDDAEISNGIIDDNSEPSTDVPDPEALRNIIISGFQEVTNAGPLCDEPMRGLAFIVEPYIFTGSPDSVNSSYQHKAAVREACRAAVLQSKPRLVEPMYFCEVTTPIERLGGVYSVLGDCRAKVQEAEMQLQTFLYMVRAHLPVAESSEFSEKPWNASSGAATARLTFSHWEAIPQDPFFVPKTKEEIEEFGDGSNMGPNLAKKLIDSVRRRKGLHLDNKVVKHGTKQRTRAKKV >SECCE2Rv1G0109590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:678773739:678777272:-1 gene:SECCE2Rv1G0109590 transcript:SECCE2Rv1G0109590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 7 [Source:Projected from Arabidopsis thaliana (AT1G65610) UniProtKB/Swiss-Prot;Acc:O04478] MHSQNHWGGAFDIDGAEDDQSRNMDIDRGALQHQHQLDETQQSWLLGPPEAKKKDRYVDLGCVVVKRKLLWWMLWAVLGAFVLIGVPIIIAKNIPKKKPHAPPPDKYTEALHKALLFFNAQKSGKLPKNNGIPWRGNSGMKDGADLPDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVVEYADRYKAIGEYDHVRELIKWGTDYLLLTFNSSASTINKLYSQVGTAKINGSTPDDHYCWNRPEDMAYPRPVQQASAAPDLGGEVAAALASASIVFRDNAAYSKKLVKGAATVYKFARANGKRTPYSRGNPAIEYFYNSTSYWDEFMWSASWMYYATGNNSYISFATDPRLPKNAKAFFNILDFSVFSWDNKLPGATLLLSRLRMFLNPGYPYEESLMGYHNVTSMNMCMYFPKFNAFNFTKGGLAQFNHGQGQTLQYAVANSFLAALYADYMESVNVPGWYCGPNFMTVDDLRNFAKSQLNYILGDNPMKMSYVVGYGKKYPKRLHHRGASTPKNGIKYSCTGGNKWRDSKKADPHVLTGAMVGGPDKHDKFKDARISYAQNEPTLVGNAGLVAALVAITNSGRGTGVGAVDKNTMFSAVPPMFPAAPPPPATWKP >SECCE4Rv1G0215660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:5928053:5932375:1 gene:SECCE4Rv1G0215660 transcript:SECCE4Rv1G0215660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLDALASYVTSMLAEMAKEEVAMLIGVSNGIKDLSIKLGDLKKFLADADKRNITEESVRGWVGELKRAMYLATDIVDLCELKAMEQGPSKDMGCLNPLLFCMRNPLHSHDIGTRIKALNKEMDSICKRGKKFQFAKLEAYQDSKMTRSLATDRKTDSLMERSGVVGEKIEEDTRALVELLTSEAGSDKTGHHMVVAIVGVGGIVKTTLGKKIFNDEAIKGKFTKKIWLSITQDFTDVELLSTAITAAGGDQPGGGGTRDRALLVDALKNAIEDKKFFLVLDDMWGADAWNKLLMTPFGYGGPGSRVLITTRSETVARNMKAAHCHHIDKLGPEDAWSLLKNQVFTTDENEHEVDVLKEIGLQIIAKCDGLPLAIKVMGGLLCSKEKSRSAWEEVLNDDIWSVSPMSDELNYAIYISYQDLPSYLKQCFLHFSLKPKKSVLSVREIVSMWICEGLLQGGSSSLEEEGKRNYKELILRNLIEIDSSFPSQLICNMHDVIRSFAQFMARDETLVAHNGDTAKENLKSSNLLRLSIETKGVGSDEFEWIYLREKKLLRSLILTGTLKSQPGDSLTNFPSLRLLHIESANIAALVESMYQLKHLRYLALKRTDMCRLPENIHEMKFLQHINLEGCESFMKIPDSIIKLQGLRYLDMDDTRVSSIPRGFRTLTNLTSLFGFPAYIDGDWCSLEELGSLSQLKELSIRSLENVSSALLAAKARLNSKKQLTYVGLKCGGRVGDGLVQGGVSESKEEEQIIEAVFDVLCPQPCIEYIAIKSYFGRRLPGWMTSTAMVPLETLKILVLEQLPCCTQLPDGLCRLPYLEWIKVDGAPVIKCIGPEFVQQYNQWHCPSSQSQVAATFPRLQKLSFDGMEEWEEWVWETEVKAMPLLEELCISRCKLGRMPQGLMSHAKALKKLELWNVQCLNSLENFVSVVELDLYNIPELAKISNLPKLQKLQIEYCPKLETLKEMDALRRLELRVSPWEYQLPVYVQTVKPSHLLLSCNLAVLTCMAEGESSSEWDKFSHIKHVEAYAKDGGDEKKWHMLYTSESCSIQTNIHQGRLVEEEE >SECCE2Rv1G0089610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:258457701:258460559:-1 gene:SECCE2Rv1G0089610 transcript:SECCE2Rv1G0089610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFEMDEKPPKERDIEMGRQNSKNKSDYGLEDFFKEVKEIEMLLDKMSNIVQKLQEANEESKSVTKASAMKAIKGRMEKDIDEVGKIARSIKVKLEQMDRNNLANRKKPGCGKGTSVDRSRMSMTIALKKRLKERMNDFQNLRQTIQQEYREVVERRIFTVTGTKPSDEVIDNLIETGSSEQIFEKAIQGIGRGQVMATVEEIQERHDVVMDIEKKLLELQQIFADMAALVDAQGEILDNIESQVQNAVNHVQTGTEALRSAKSLQKKSRKCMMIAIIMLIIIAVIIVLSVLKPWAK >SECCE3Rv1G0155650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:68051197:68053434:-1 gene:SECCE3Rv1G0155650 transcript:SECCE3Rv1G0155650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKCDQLETKTELLPLLVDTIKGKSVFLVLDDVWKSDVWIDLLLSPFMRAFNFHVLVTTRDLDVLSEMHAIYIHQVNKMNYNDGLELLMKKSFQSSKQISEFKNIGFEIVKKCDGLPLAIKVVAGVLSTKKTVAEWKSIRDSKWSIHGLPKELGGPLYLSYNNLSPQLKQCFLWCALLPPNFGIHRDAVAYWWVAEGFVRKEHEFSVHKIAEEYYLELARRNLIQPVPKYVDKAVSTMHDLLRSLGQYLTKDHSLFMNAESNNAMSNLRRIGISHAVEEIPALEEHKCLRSLLLFNNKNFKSIQKEIFRKLEHIRILVLNGTSIQNIPESLGNLVLLRLLDLSYTEINTLPESTGSLISLEYLSLLGCHHLDSLPAGLMRLSNISFLQLEQTAVDHVPKGIAKFQQLYNLRGVFESGTGFRLDELRRLPNIQRLWVEKLETAAPGAELVLKNSHNLRELGLRCTVASRQERTCYQTDEVERIQQVYDMLIPSPSLVYIFLEGFLGIRFPEWLVSEPELNMPSLCHMHLNECVSCSELPPAGQMPELLVLQIKGADEVVTIGTELLGKGVKSAAAFFPKLELLHIIGMCNLEKWSLNRNMCDDMEDNSQQLSLMPCLKRLLLLDCPKLRALPQDMSMIVNLKRIHIEGAHKLHDVVNLPAVVWFKVKNNTCLRRISNLSKLQDLFAQDYPMLDRAENLFLLKRVYMIDCPHAQEFIDCLGEEEGILVHVATDGHNIFPDESLYN >SECCE2Rv1G0130910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:879444059:879448448:-1 gene:SECCE2Rv1G0130910 transcript:SECCE2Rv1G0130910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWMGLAMGVAAGVALIVAFARCENSRSARRRKLAATVATFSKMTVEDSRKLLPGSLYPSWVVFSTQQKLKWLNEELNKIWPFVNEAASELIKASVEPVLEQYRPVIFAALKFSKLTLGTVAPQFTGISIIESNAEGIVMELEMNWDANPSIILDVKTRLGVALPIQVKDIGFTGVFRLIFKPLVEQLPCFGAVCFSLRQKKKLDFKLKVIGGDISAIPGISAALEETIKNAIEDSITWPVRKVIPIVPGDYSDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFATLYIRPLPDKTKRSKTINNDLNPIWNEHFEFIVEDADTQSVTVKIYDDDGIQEADLIGCAQVSLKDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHLELVYYPYNMKDETPNPFKQHFSMTSLERTMTSNGNGTASKDYGRLSSRKKKEIILRGVLQVTVISGEDLPAMDMNGKSDPYVVVSLKKTKTKHKTRVVNESLNPVWNQTFDFVVEDGLHDMLMLEVYDHDTFSRDYMGRCILTLTKVLIEEDYTDSFPLQGAKTGKLKLHLKWSPQSIKRDSGEAA >SECCEUnv1G0552780.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:257662671:257662871:1 gene:SECCEUnv1G0552780 transcript:SECCEUnv1G0552780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPVAVSALIPEALGKSEERALILGWAYYLYAFSSYPLRTWLPSVYRRHDNWYTRGASFPVLSY >SECCE4Rv1G0266880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:733842124:733842465:-1 gene:SECCE4Rv1G0266880 transcript:SECCE4Rv1G0266880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEPSDDPSKIRGEILSSGDPLTLPLTYIWGADTPARITGDGYKNGGEVKSTRRHSGMNVDPSGGDNHSGPGRGGDTSLS >SECCE2Rv1G0142760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:942666280:942668316:-1 gene:SECCE2Rv1G0142760 transcript:SECCE2Rv1G0142760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAGGGLGSMRAVLAILQWWGFNVTVIIINKWIFQKLDFKFPLTVSCVHFICSSIGAYVAIHVLKAKPLIQVEPEDRWKRIFPMSFVFCMNIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFEWRIWASLVPIVGGILLTSMTELSFNIFGFCAAMIGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAMLLEGGGVIDWFYTHDSVFSSLIIILGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISPMNAIGCAITLVGCTFYGYVRHLISQQQAAAPGSQGTSSPRSRVEMLPLVGDKEDKV >SECCE4Rv1G0251600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:605349397:605350635:-1 gene:SECCE4Rv1G0251600 transcript:SECCE4Rv1G0251600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVSSSPGSSAPAAAAYPYPVARRLHGAFVARDELPYSYPAAAAAAAPPPPAPLPQAQQGSSGGGGGKISPAVLFIIVILAVVFFISGLLHLLVRLLMKKQHRRRGGSASAAAQGPGEADAALQRQLQQLFHLHDSGLDQAFIDALPVFSYREIVVGGGGGDKEPFDCAVCLCEFDAEDKLRLLPLCGHAFHLNCIDTWLLSNSTCPLCRGVLFAPGLTAENNPMFDFDEGLEEGRLSECCEDGFGLPTQKSSEGLQTPVAEKRVFPVRLGKFKNVGTQGAVEGGNADSAVLRREEGESSSSSLDARKCFSMGTYQYVLGTSELQVALQPGRPRNGAAMRSRPAGISCVNADIMDEKKICARNKGESFSMSKIWQWSNLKGKLPAGSDDCSEAGSLPWMKRGGTGDNLNM >SECCE4Rv1G0271000.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:758461418:758462402:-1 gene:SECCE4Rv1G0271000 transcript:SECCE4Rv1G0271000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWPNSNESSDDDEYMSEFSSMQMEYFQTPDTVIDPSFSGLVTESDRRCILHRQTAGKFVAFEGTDTGRRFIGCATEAGVNCGVLEWVDAPWPVILQRCLTKLWDMYHEENLGRVQDKEAHEIEVEKLKKELYSLGNQYTQLVDDVSKLFDYQDGQKSHDMDYTSQAINELKKKKDQLEEQTKIELQMEKLKLKKEQRCILQSQADIIQNTRKAMKEIQVERDLLKEEKKKLEHIIAELLKAGHGCKEKLDKIKEVVMEE >SECCE4Rv1G0240470.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:448031963:448032376:1 gene:SECCE4Rv1G0240470 transcript:SECCE4Rv1G0240470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFPSPPPWGWSTGFITTPLTMGRLPSQHLDPALPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVADLPSFAMSFATAPAALANCPPLPRVISMLCMAVPKGISVEVDSSFLSKNPFPNCTSFFQSIRLSRCI >SECCE4Rv1G0252120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:612456797:612457987:-1 gene:SECCE4Rv1G0252120 transcript:SECCE4Rv1G0252120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTGDAGGGRRPNFPLQLLEKKEEHPCSISPAAGLGTNGSATGELQVRKAAPPKRTTSKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSMLSTIPAHLRAAGLSGSRFGGGPRADAWDRFVGLGFGGGLESPASTTTSSSSPLLLSFHSGSVGLDVSPSSATAAANTELSRKRRWEQEMQQQQQQHHQHQQQQQQQYQQQMAGYTQSQMPGTVWMVPTSNAQGAGAAPAGGGESIWTFPQPGSGGGGSATVYRGVPSGLHFMNIPAPMAVHAQQLGLGQAGGGGSAAAGEGHMGILAALNAYRGQHAAASEVTVAGHNGAAEGTTHHQHQNQHQQHGGGEPNESMSGSDS >SECCE1Rv1G0062090.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:718427467:718427824:-1 gene:SECCE1Rv1G0062090 transcript:SECCE1Rv1G0062090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAARWVALLLVLASLLAAAPPHCCVDHHVWGKASENKGCRREDKDDECDAWCQSACRGGQCKRRHKLHYCHCYC >SECCE1Rv1G0000430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:1563063:1565334:-1 gene:SECCE1Rv1G0000430 transcript:SECCE1Rv1G0000430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGKKPYVVAVAIQAIYTGLFVISKAAFDSGINTYIFIFYRLVAATALLLPIALIDSSCRRSRSTTATTAPALSCRLLFKLFLYALLGNTFTLNVYNVSLKQTSATVGSAAVNSMPVATFLLAVLLRMEAVKLRSCSGLGKLAGVALCLAGVLVIAFYAGPSIRPLAHNPVFAHKPNSVSSGAAWIKGTFLLILACATWSLWIVMQVPLLKEYPNKLMATALQCLFGALQSFVVAVVVERDFTKWKLGLDIGLLAVLYSAFLGTGALMYLQAWCAEMRGPVFVAMWSPLALIFTILCSSFFLGEAVHLGSILGGILLVGGLYSVLWGKSKEKESNITSVVPEESQVQGDGVAIQEKHEEGELTSQV >SECCE7Rv1G0505600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:738331225:738331923:-1 gene:SECCE7Rv1G0505600 transcript:SECCE7Rv1G0505600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGDSRMEADQHNHDEGSAEAGHRRHRWKGPRYDDRQRSSPWVWIAVILCTLLAIGVLVVSATMLVVYFIYKPQMPYMEVTNAQLQRLVYNPADGVISDMHVTVDVLARNTNSKVDTSFSGFNIDVKFNGTTLLQLRAKTFTVARESSVPLQYTGGSIAVKLDPAGMQAMDEALKSELVPITLSGKARTRWKKGVFLKVGFWTRLNCPLDFYYRTGVVAPIDHESCRSRSP >SECCE6Rv1G0384440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:63395275:63395634:1 gene:SECCE6Rv1G0384440 transcript:SECCE6Rv1G0384440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVQVRARFVTEVAPSQLVPVVRRRKVPRSLDTIVEDDRELQQPAYGDHHHQATASAASMKRALLAGARTGGGGFMRELSSCFSGNDVHGQAAGGGRESTRSKGHGRRAVFSHRMHGN >SECCE4Rv1G0269510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:749219278:749220707:-1 gene:SECCE4Rv1G0269510 transcript:SECCE4Rv1G0269510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRGAALFLLALLLAAAAAVAGEASADEAAQLPLVIQLPAAEDKGAEEAWTEVRCASWRTAGEANNLAPWAAVPEDCVPHVRAYLTGPAYRSDLDLVAREASAYARSAAAANTNEATAAAWVFDIDETLLSNLPYYAQHGYGLELFDHREFDRWVETGEAPAIPSSLRLYREVRDLGFKTFLLTGRSEAHQGVTVDNLRRQGFHDWDKLILRAPADRAKTATDYKSEKRKEMEAEGYKILGNSGDQWSDLLGYSMSARSFKLPNPMYYIP >SECCE7Rv1G0491500.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:527969437:527970823:-1 gene:SECCE7Rv1G0491500 transcript:SECCE7Rv1G0491500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIEEFEEAWKMLVQKYNLHDHTYMTQVYEIREKWAKPYFKGVFSAKMTSTQRSESANSMLKSYVPPCCAMHMFVKHYMRLQSARDADESYKEKRNKVEGVVLKCNMSIERHGSKVYTRAMFKQLGGLLYQAHAYRIEEIDKGRLYKATHTQGKLREKWARVVYDMKVLEEGGYFECECGLFEHMGMPCCHMLKVMDVLGYTEIPEKLILKRWTKDARDVLPGHLEVYQRDHARSRTMTHRHTSLYVHAMELVRLGDASVEAYEKGMNIIRDGIAVLAAYDELREGLGLEDRHEEHSGANERGDDAGGGTCVDKQPMNVHILVVLEAPEKRRKQGRPTSSCEKAPYKELSKRTRFCSISRGKGHKKTTCPEHEDLPKNPRKQAKCSNCGVAGLKRSTCTNRSSVKLQTTAV >SECCE3Rv1G0144730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:5327618:5329258:1 gene:SECCE3Rv1G0144730 transcript:SECCE3Rv1G0144730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMEIPEEVTVKVSAKMITVTGPRGTLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRKTMAAIRTAISHVQNLITGVTKGFRYKMRFVYAHFPINASITAANRGIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGAIKEE >SECCE7Rv1G0471280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:128862032:128866540:-1 gene:SECCE7Rv1G0471280 transcript:SECCE7Rv1G0471280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARVYADVNVRRPREYWDYEALSVQWGEQDDYEVVRKVGRGKYSEVFEGVNVTNDERCVIKILKPVKKKKIKREIKILQNLCGGPNIIKLLNVVRDQQSKTPSLVFEYVNSTDFKVLYPTFTDYDIRFYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYFPEKEYNVRVASRYFKGPELLVDFQGYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLFKIAKVLGTDELNAYLGKYRIVLDPQLEALIGRHNRKPWSKFVNAENRHLVSEEAIDFLDKLLRFDHQDRLTAEEAMAHPYFHQVRAAENSRGRT >SECCE5Rv1G0356670.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:741789802:741790374:-1 gene:SECCE5Rv1G0356670 transcript:SECCE5Rv1G0356670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASFLAMIMAFALLSSSTCHGARNLTDTTPPAAPTAAPIPGIPAVPTLPAVPPMPAVPTVPAVTMPPMPTVPTVPQVTLPPMPAVPVPTVVPAVSVPPMPTMPTVPQVTVTLPPMPAVPSVPKMTMPPMPAIVVPKVTMPPMPAIVVPTVTMLPMPAIVVPKVTMPQIPTIPSINVPMPFLAPPPSA >SECCE4Rv1G0288490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:861069986:861071128:-1 gene:SECCE4Rv1G0288490 transcript:SECCE4Rv1G0288490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRECVVSRLLSPAISPLLRLLSAAPISPNPGGFAVEEYLVATCGLTRPQAVKASTKLSHLKSPAKLNAVLAFLSGLGLSGADVAAVVAKDPQLLCAKVERTLAPKVVELTGLGLSRPGIARLISLTPDRFRSRSIVSKMQYYLTLFGSFQTFLRTLKRSPHLLSPDLDKLVKPNVAFLRECGLGDCDIAKLCIAVPRMLTTNPERVRAMVACAERLGVPRGAGMFRQALQAVAFLNKEKIAAKVDYLKNTFRWSDAQVSIAVCKAPMVLRKSKESLKRRSEFLFSVVGLEPMYIAHRSIILCLSLEGRVRPRYYVVKFLKQSGLLDLDRSIYSAVMVTEKVFMEKFICPHKEAAPQLAQNYATACKGEVPTSSIIFR >SECCE4Rv1G0217170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:14503692:14508342:1 gene:SECCE4Rv1G0217170 transcript:SECCE4Rv1G0217170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRAAAPAAARAEDSPLFSFIDSLSPIEPLKSAYSGNGLQAYHQSLNVTSVSSIFTSPHHNAHKESKLSKSSFADFTENELCMEDGTDKNKSSTSSTPVRLFACTSTITRESHTAITCSVNEGIADPPKGPNDLPQPGRFDSGSPDHNTAPCHGVSASVRSDLKQDKCPKLETVQTTNNTVEKRKCLFSTDMQLQDGCQPAKENNEVMGCEWDDLVSVTSGELLAFDSSMDQHHTGVQLAVNNAESCGYLLSKLAGGAEISDRTHPTTSSQAYYHEMVAGEDKTENGQLFPEDKKTILSEEIQDNINEENACIPLGCKVETQQRGVRRRCLVFEAAGYSHRTLQKESVGDLSFSTCKGESSAQNHRNSGKTPSPHVFRGIGLHLNALALTSKNKMACQDPSATALVPSLKTEQDVHMNLLSTGGNFVHSGSGLLNLQMDNDDCSVGGFLGNDHNSSQSSSPPKKRRKSDNGDDESCKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRMSEAGQEAQEDPKNTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSNNCRCETCKNTFGTRDVAVSAENEEMKQEGDQTESCGNEKENDQQKANVHSEDHKLVELVVPITPPLDVSSSLLKQPNFSNAKPPRPCKARSGSSSRSSKASETVQSRKTSKAGDSVFIEEMPDILRAPSSPGVVKTCSPNGKRVSPPHNALGISPSRKGGRKLVLKSIPSFPSLAGDANGGPAMCSSDSATALALGPS >SECCE6Rv1G0413860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:626880907:626881092:1 gene:SECCE6Rv1G0413860 transcript:SECCE6Rv1G0413860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCSPSTPRQLLSTVALFAFGAGLFTYGAHLSYVHIEPQRARTLARDQFVRDYLRRKHDK >SECCE7Rv1G0455760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:8196018:8202820:1 gene:SECCE7Rv1G0455760 transcript:SECCE7Rv1G0455760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAPPMKRPKLEKDDGWSRHPSPANGSAAPRPPASASQAPPPDGDEDDDAELPEEAVLALIAHHERELEAAERKLADTRSRLARHRGRAPDRNHPPPPPPPVQKAAVPDRRTPPPSQREAPKPSAPIQKPPAPQARPQLVIPGAGHSRPAPRPALPVPKKSPSSSSPSLVQAPQRKADKKPKREIVQREHQNLVQSVKKSSAPTTLRFYGGTLVSSQHKRKLRCLELCPADDQLVVTSALDGMVTLWQVQSSGPSISLLSTTNCFSSKQRWPEDVAWHPDGDTIFAVYTADGGDTQVSMMNLNTSGQKKVTYLQAKPHTKGIINNINFMPWSDTCFMTGGSDHAVMLWQEKDDSWNHKKVHRDLHSSAVMGVAGLQQRKTIISVGMDKRIISYDVSAERAEYKNMIDSKCLSVLLNPSDFNLYMVQTGSPGRQLRLFDIRLRQTEVHAIGWKQTSSESQSALINQSWSPDGWYLSSGSADPVIHIFDIRYQGQKPCQSVQAHQKRVFKAIWHQTFPVLTSISSDLNVAIHKY >SECCE5Rv1G0322880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:427086260:427090790:-1 gene:SECCE5Rv1G0322880 transcript:SECCE5Rv1G0322880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARLLARATRQCAAAVVASAARRPLGGVAAAAARPFASSYGPARVPYMLNQPLRYSSNILQRFGFSSSTPQQNDKEVHESKDQESNVHESNGEALKEDGGSSGSTEDVDLSKEDLVKLIFEKDELLTSKDEEIKDMKDKVLRSYAEMENVIARTKRESENSKKYAVQNFSKSLLDVADNLARASSVVKESFSKLDTSEDSSGAVPLLKTLLEGVDMTDKQLGEVLKKFGVERFDPLNEKFDPDKHFALFQIPDPSKPSGTVASVVKVGYMLHDRVLRPAEVGVTEGGPSEEPEEKSSGD >SECCE4Rv1G0264570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:721311557:721315188:1 gene:SECCE4Rv1G0264570 transcript:SECCE4Rv1G0264570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMEGAVGAWAWWLGLLFGAVPLLCLAVWHCTDAWHCAAFALKYGSRRRLPPGHMGIPFLGETLSLLWYFKLARRPDDFINAKKSAYGGGAGMYRTHLFGSPSIIACSPAANKFVFQSADSFGARWPVPELLGITFVGNVEGASHARLRRFILAAINKPSSLRTIANVVQPRIVGALRTWADKGTIVAATEIRKVTFANICKMFISMEQSPMTEKIDQWFRGLIDGVRAFPLDFPGTASHKGRKCRKKLNAFFREVLEARKNMDKGYDDLMSGLMHIEDEQGKKLSDEEVVDNIVSLVMGGYESTTSAIMWATYHLAKSPTILAKLRGENVAMINSKDGSTSESLMIAHDDIPKMKYTSKVVEETLRMANIASMVNRVANKDVEYHGYTIPKGWPVLVWLRSLHADSRYYEDPLTFNPDRWDEPAKPGTYQVFGGGYRICPGSMLARMQITIILHHLSVGYEWELLNPDAKINYLPYPSPVDGASMAFRKLSS >SECCE6Rv1G0391210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:212243519:212243806:1 gene:SECCE6Rv1G0391210 transcript:SECCE6Rv1G0391210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFTKHFVVVPTEFRLRDNTVCSWKVKVKLMNVRVTLDEGWATYAAVHQIKIGYMMTFKLLTPDTLKVIIFDDDGIEVVNKCGKHDEAFAAKD >SECCE3Rv1G0187400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:699127180:699130345:1 gene:SECCE3Rv1G0187400 transcript:SECCE3Rv1G0187400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMISSSLCTVAALSGRPGRRAGQPGGNKRAVVAQPLAAAAVTEAPPAVIAPPPARPVVSAPRRRGGRGVDGGADELVAWKSIRQERWEGALEVEGKLPTWLDGTYLRNGPGLWDLGDYGFRHLFDGYATLVRVSFRDGHAVGAHRQIESEAYKAARQHGKVCYREFSEVPKPDGFMSFVGQMASLFSGNSLTDNSNTGVVRLGDGRVLCLTETVKGSIVIDPDTLDTVSKFEYEDKLGGLIHSAHPIVTDTEFWTLIPDLIRPGYVVARMDAGSNERQFVGRVDCRGGPAPGWVHSFPVTDNYVVVPEMPLRYCAANLLRAEPTPLYKFQWHLESGSYMHVMCKASGKIVASVEVPPFVTFHFINAYEEKDEEGRVTAIIADCCEHNADTSILDNLRLHNLRAFTGEDVLTDARVGRFRIPLDGSPFGELEAALDPEEHGRGMDMCSINPAHLGKEYRYTYACGARRPCNFPNTLTKIDLVEKTAKNWYEEGAVPSEPYFVARPGAVEEDDGVAISMVSAKDGSGYALVLDAKTFKEIARAKFPYGLPYGLHCCWVPRDK >SECCEUnv1G0570710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:480296654:480297031:1 gene:SECCEUnv1G0570710 transcript:SECCEUnv1G0570710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQAVAAQCPEGHAFRRAGTGRADDGHRWHLGPPGFGRRRGRQQSTPRAERRTSKSRSAYGRGASPAPIRFPPGNFKHSLTLFSKSFSSFPRGTCSLSVSRLYLALDGVYRPIWAAFPNNPTR >SECCE6Rv1G0448560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:857238072:857239013:-1 gene:SECCE6Rv1G0448560 transcript:SECCE6Rv1G0448560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMAAMVIGTVRAAQCGSQAGGATCPNCLCCSRYGWCGSTPEYCGDGCQSRCSGCGGGVTPVTPNPIGGGVSSIISRTLFNQMLLHRNDPGCHARGFYTYDAFVAAAAAFPSFGTTGGIATRKREVAAFLAQTSHETTGEWPKAPNGPYAWGYCFKQEINPTSNYCTPSTEWPCAPGQSYYGRGPIQLSHNYNYGQAGRAIGADLLGNPNLVATNPTVSFKTAIWFWMTAQPPKPSSHSVITGQWKPSATDQAAGRVPGFGVITNIVNGGIECGHGHDNRVADRIGFYKRYCNMFGIGYGDNLDCYKQSPFA >SECCE1Rv1G0007060.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:38516463:38525143:1 gene:SECCE1Rv1G0007060 transcript:SECCE1Rv1G0007060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGLIDFELLHTVSGKEYITSDHLKHEIKVEIKKRGRASLVDLSDTLGVDLYHIERQAQKVVTEDPALMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVLNILEPRLGTIVQGRLEGGQLYTPAYVSRITAMVRGATRGLTVPTNLPSVWNSLQQQLQEMHGANGVSVEGSFFQSIFVALLKEGAVLGSVRAGVHWTPAVFAHAQKESVDAFFSQNSYIGYEVLQKLAIPQPKQYLEARYPDGIALEAVFVHPSVVDMLDAAVGDAIENGQWIDSLSILPSYISGPDATKILSLCPSFQKAAKSSKAVLFGESCVFSNVFIKGIFDQLEKEIDSFGIKHSAGQGTPVNMNSSSEHRAGSVQYSDTKDFGDNDASSTGASSDRVPKKKRGKATGSAKGGAVEKDDDEEIIPVKGKKAHRKNKDAGSSGDAKRGGKKAPEKPKEENTNIFPDELIEQKVLAVAPELEELGGSDDLNGPIKLLSSHLRPMLIDAWKKKRNTMLSENAERRRSVLDNLQKQLDEAVLDMQLYEKALEVFEDDPATSGILHKHLLRTMGTPIVDKILSSLDRDNKLKNGMEYEDSEEQHAQLSTTDRTFLAKDLPGQLSSKAQALVEALEGKRFDSFMDALRDTAEESGLLFKKLDKRLERSMLHSYRKDLIAQVSSETDPVSFLPKVVALLFLQAHNKGLQAPGRAVGAVITLLKDKLPASTFKVLTEYHATTVKVLALQAAATGDEDDCASDRMLEKKEDLEERLMPELKSLALGTSKDQ >SECCE2Rv1G0120330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:798290203:798291189:1 gene:SECCE2Rv1G0120330 transcript:SECCE2Rv1G0120330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGATLLRHLGPRLFAAAEPAYGLAASARGIMPAAARIFPARMASTVAGPHAKQEGDAEKPQGATTPEQNKKAVASYWGIEPRKLVKDDGTEWPWFCFRPWDTYRPDTSIDVAKHHEPRAVADKVAYFIVRTLRAGSDLFFQRRHASHALLLETVAAVPPMVGGVLLHLRSLRRFEHSGGWIRALMEEAENERMHLMTFMEVTQPLWWERALVLATQGVFFNAYFVGYLVSPKFAHRFVGYLEEEAVHSYTEYLKDLEAGLIENTPAPAIAIDYWRLPADARLKDVVIAVRADEAHHRDANHYASDIHYQGMTLNQTPAPLGYH >SECCE6Rv1G0419400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:669328256:669330824:1 gene:SECCE6Rv1G0419400 transcript:SECCE6Rv1G0419400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RID2 [Source:Projected from Arabidopsis thaliana (AT5G57280) UniProtKB/TrEMBL;Acc:A0A178UNY0] MPRPEVQAPPEIFYNESEARKYTTSSRIIEIQARISERALELLALPDDGVPKMLLDIGCGSGLSGETLTEHGHHWIGCDISQSMLDVALERETDGDLLLADMGEGLGLRPGVMDGAISISAVQWLCNADKSSHEPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQTEMLVSFAMKAGFAGGVVIDWPHSSKAKKSYLVLTCGTSSIASLPKGKGEDGEMCSSDDDDESNDEQTVGTYGRNRSNKRQKVNKKNGRGKDWLLRKKEQMRKRGRDVPDDTKYTGRKRKTRF >SECCE7Rv1G0479080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:247276131:247278124:-1 gene:SECCE7Rv1G0479080 transcript:SECCE7Rv1G0479080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDIL5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) UniProtKB/TrEMBL;Acc:A0A178WDX4] MDPAPRRLPIRLLLVAVTLLAALAARSGAEVITLTEETFSDKIKEKDTVWFVQFCVPWCKHCKSLGTLWEDLGTVIEGTDEIEIGKVDCGASKPVCSKVDIHSYPTFKVFYDGEEVAKYKGPRDVESLKTFVLNEAEKAGEARLEDEL >SECCE6Rv1G0402200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:481495001:481495489:1 gene:SECCE6Rv1G0402200 transcript:SECCE6Rv1G0402200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHITGDDGEGCNSSESGWTMYLASPMQSGDDDDGGSRKGSGSDGSNVDDGYGYTYIVRGRKGGKEYQDDGDDNDSLASDASTGPAKVKVQPPSPDAGHRKNGYNDGGGEKAKEEDDEEDDRRTRFSTSSRKKAGNKAEKGGEGKSSKKKGSSSRTSFFW >SECCE4Rv1G0216400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:10636287:10637889:1 gene:SECCE4Rv1G0216400 transcript:SECCE4Rv1G0216400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLETMDDSSLFMQWAVDTLQHEHPPVAAAYAGGDGGCTFPSLQELRRSALTDGTAPAGQDGHRHLAADSWSSGDSAGGHENTSASPAVVENDVWSSNSAKCATTCSVGSSNNYLPMSWNFTSAMAQPSNEAAPRHATAPSGALDGQGVTVTEQAHVSPPSRRASPKSTTRAGHAPYAQDHIMAERKRREKINRRFIELSTVIPGLKKMDKATILSDAVKYVKEQQEKLKALEDRNRRSVAVESVVLVKKSRTAVAVPEDDCPSPSAGAVATTTRSALPEIEARISESDVMVRIHCADGKGVLVRLLAEVEGLHLSITHANAVPFPACTLIITVMAKVDEGFSVTAEDIVGKIEAALPAPTTRS >SECCE5Rv1G0302410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:38545314:38549578:1 gene:SECCE5Rv1G0302410 transcript:SECCE5Rv1G0302410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVADSVSGDHRADEQQQKQAAQGNQEEAPATSIGSQAMVATPSTDYVTPYGHQEACHAMGQIAYPTVDPFYGSLYAAYGGQPMMHPPMVGVHAAAIPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLIKGRKPYLHESRHQHALKRARGAGGRFLNAKSDDNEEHSDSSSKDKQNGVAPRSSNGQPSSSQSPKGATSADKSGNQP >SECCE1Rv1G0024990.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:338215178:338215723:-1 gene:SECCE1Rv1G0024990 transcript:SECCE1Rv1G0024990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSSSRSMVSAHRLFAPAPARPLQHAADPALELDEADIIWGGAAPASSPPADTYGRALSASTPSRASKPRAAAPRDGAGGGGGVGVPASLPVNIPDWSKILGAEYGGGSAGAGRWPSDDRGDAYLDRGDRQWVPPHEQLMYRERAAASFSVREGAGRTLKGRDLRRVRNAIWEKTGFQD >SECCE1Rv1G0035760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:501294420:501305947:1 gene:SECCE1Rv1G0035760 transcript:SECCE1Rv1G0035760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MRRCSTPSRPPSYPTKSGTNSAPPPPTPHLGSLSAQLSRRARALPSLSLSMFAPSTATATATATTTCLLVPRPAAASRGLPLHSLLLSRRRARHRCAVDASSAAASGGGGAAKEPPRTLFPGGFKRPEIQVPALVLRVGVDEVLGSGDAVAAAVARGVGIVVLEAGEEGGGRAYEAARALKAAVGDRAYLLIAERVDVASAVGASGVVLADDGIPAIVARSMMMKSNSDSIYLPLVARTIRSSDSARSATSSEGADFLIVNTGTGDFSSVLNGAAAQHVKIPVFFTLNDLQSEGSYSDTTSKLFQSGASGIVLSLAGIQHLTDNIIETDFLKVDSTDRVPQATYSSASALEETNNVMVLTREKTKVAGFTKLDEKVMQLIATEKPILSEAVDIIRKAAPMMEEAELLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRKYLQEGVVPTTNEITLLSYSEVDSESIERCERHPDGQFTCYLSAPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTESEVGFLQYVQQWKKKVVFVLNKLDLYRNSDELEEATAFIKENARKLLNTEDVTLFPVSSRSALEVKLSYSKNNDREHHGEVLLSDPKWRSSKFYDLEHYLLSFLDGSTDNGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKAIDDLTSIRDLVSGANSYALKIEADSNSWQKQISSLIERAKSRAITLMESTLQLSNIDLIFTYMLTGEKGPSAKATSFVQNDILSPALDDAVDLLSEYSKWLSSSNTREANLYLECFHKRWDSLVSQEESFSSDRTDLVNAGEKVSIKALDGFSATAAAKVFEEEIREVATGTFGGLGVAGLSASLLTSVLTTTLEDLLALALCSAGGFLAISNFPGRRKLAVEKVSKAADELSRKVDEAIQKDISQSASKLVQFVDTASKPYQEACQRKIDWLQGVQGELSAVERKLQTLKIDIQNLHGS >SECCE2Rv1G0116890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:768186956:768190795:-1 gene:SECCE2Rv1G0116890 transcript:SECCE2Rv1G0116890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLRVCPSELKIPYEVKRQRSCCMQLINKTDKYVAFKVKTTNPRKYSVRHTCGILLPRSSCSVTVTMQAPRDMQLDHHCKDKFLVQSAVARDGATMRDLVPELFTRAPGRLIEEFKLRVVYIAANPPSPVPEEAEEEDASPRSEAAMGYEAKVSSASDAASRCMDNSGAKLSCTKGASVVSTLVGEQVYTREENLKKLQQDMGLLREAGSAQQGFSVMFVLFVFMSSVFIGYLMKHIKV >SECCE6Rv1G0408340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:571480186:571486795:-1 gene:SECCE6Rv1G0408340 transcript:SECCE6Rv1G0408340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNRAVVPTIQGYEDAGDFTVRERLKTSIHMNLLFYSIVGAIGLFGLILLLVMHRAWDGGLVGFLMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWTHRQKVLSHRVAKMAVKLDNAHQEYSNAIVVAQATSNQMSKRDLLRPYMDIIDRMVAQMLRDDPSFKPSGGRLGENDMDYDTDDKTMATLRRQLRMAHEEYYRCKSEYMTYVMEALDLEDTIKNYEHRDANGWKYVSSFRDSRSGTLGSLLDTMEFIWRCILRKQLQKALAVILGCMSAAILLAEATLLPGGVDLSLFSILVKSVGKQEVLVQVAAFVPLMYMCICTYYSLFQIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGRNVKTTFEKRMGNIDDAVPFFGRRFNRIYPLIMVVYTLLVASNFFGRVIDYFGSWKMFKFQREEEHMDGFDPSGIIILQKERSWIEQGYKVGEQVIPLARFNGAITDVESGKVENTVEMKAGTTLRVDGRAGQSKYAHSRETISNKYSSVRDQSRQTTKPVKKETVSTSISLLEEGNSEQRSAAGISQTWASMKNGFQNFKANMGAKKFTPLRQDPGFAPHSNISSPESLDDIFQRIKRRPAESPVDYLDDDGDDDDTGDMDPPFPGSRR >SECCE4Rv1G0266710.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:733028167:733035285:1 gene:SECCE4Rv1G0266710 transcript:SECCE4Rv1G0266710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAHTIVSGVGQLVGEEFWLLRAVGGEVVELRDELATMNALLRMSSEAEGGAVDHFVQEWMKQLRELAYDAEDCVDLYIFRIRCRRGDGFLAWSKRMVATIFPRRLLAGKIRDLRLRAIAISERHARYGAVNREKLSPSPSPAPVAAVSGQALRPAIGAYKLVGIGEQANSLAEKVAAMKSDRDKKLKVFSIVGFGGLGKTTLAMEVCRQLEPHFDRQALVSVSQAFDAGKDLGALLKRVLQQIVKPKADDEKVINEEDSLGSGIDRLSVDDLAGKLKELLMDKRYLIVIDDVWTTAAWDAIRSMLPDGKPGSRIIVTTRIKTVGKECIDVCATEEDYIHHMKPLKDKDSKELFLSRAFGLCKEKASPTEELEDIMEKILKKCAGLPLAIVSIASLLANYKYPESKDMWETVWKSIGSQMDSNPTLEGMRQILTLSYNHLPYHLKGCMMYVSIFPEDYEIPRDRLLYRWIAEGLVEEKRGLTLMEVAEGYFEELLSRSMIDLAFSIGFDARKRHSCRVHDMMLEVMVSKSLEANFISLLGGQYKGMSYDRIRRLSIHAGSNNHSPSIKGRASSGHGKRKSIERLEVQHVRSLSVFDLQEKKLFDRLGEFTLLRVLDLEDCKGLENKHVKGVCKMFLLRFLSMKGTNISVLPEKIGELEHLQVLNVDQTCLDKLPRTITRLEKLERVRFCNKKNESTMWTPSAGLCRMKVLRNVNYLIIEDVQVAKELGELGDLREVTIFIGGNCEDIQAVLDEVVNSVSKLYSLRYLGIGRFGETRLSFNNDMVSPPKLLQSLCLDGPMDKFPNWIPSLHNLVEISFIWSRLRNGQVFGVLCKLPSLKAITMWRDAYVDTELVARTAHNFPVLRTLNVASVHCGAPQVIKFEEGAMDTLEELSVFFGDRGRRIVGIQNLKSLKEVKLTSKKDNKSTLNDALDQLKRESKRRLESNQFQFTVGVAYE >SECCE5Rv1G0347670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:668931702:668940312:-1 gene:SECCE5Rv1G0347670 transcript:SECCE5Rv1G0347670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G35520) TAIR;Acc:AT4G35520] MQSIKRLPKSVHSSLRSSIILSDLPRVVEELVYNSIDANARKIDVSVNVRACYVKVEDDGCGIARDELVLLGEKYATSKFHDVMDDAESSSRSFGLNGEALASLSDISVVEVRTKARGRPNSYCKIIKGSKCSHLGIDDQREAVGTTVVARELFYNQPVRRKQMQSGHKRELHNVKKCVLRIALIHPQLSVRLLDTDSEDQLLYTVPSSSSLPLISDSFGNDVSSCLHEISTSHQSWALSGHISGPTNVFCNKDFQYLYINSRFVSRSPIHNILNSLAASFQSSIARTIEETDTQSRKRQKTDVYPAFLLNFFCPRSSYDLHFEPSKTIVEFKDWQSILFFFEQTITNYWKKHVPQSSKGKDIDDTCVPLKSDVKSNRAFLKHQNVQNKEDDADLQHHTPRKSSVRESNFGTGAATAPKDLEYFSFDMKPSPWHVCYPDRIRDVSEHSDNVARNDLTHFPERVSYQWLEDGSSELEDCGLSGANPTVWKKRRMEGIFHGHAYSCEVGNFEDVQAEGLSADNQESEIMGPEIDLQEPCFGVVNRPNRITCDFMHNETNINANMSGCDGLYTEFDRFDDDCLLNEVTETMGDISCPEMPHFSDGFYHKGCSTPIILKRCSTRKQLGTAAGYVDGLETNAIAQMNFPDIHAAWESDVMDMSSIKDNHLHSSHPFLLPDTPSSQSHARTGLELQGRSSNSFAYWNCENMDSDLRSTWDRFNSNSSRICEGSKHFNNLDDEPQSPNYFNLVDQFVSEDDEIPWKSKIGAPLSHIISPEKSTNGYQLNGSSSHLANNSVLIKDLPNQDNFGCDRRSRFSKGRSRSCSAPPFYKGKRKFPGLNQLRTKLTADGDKDIPIKDSEETAPAPENISHMSATQPIPETCSSEFSGLNFSLKGNVKMHEETCSDGLENFTAQITKWRDDSDQHTALESSHIPSACYDDILNISSGPLHLSSSSLVPECIDKNCFEEARVLFQLDKKFIPVISGEMLLLVDQHAADERIRLEELRSKVLSDEDRGITYLDSEKEMALPEAGFQLFQKYAEQIQKWGWIINNSSTSSHSFKKNMNILRKQGRVVTLAAVPCILGVDLTGKDLTDFIQQLDESDGSSSIPAAVLRILNYKACRGAIMFGDPLLPSECSLIIEELKATSLCFQCAHGRPTTVPIVNMASLRRQLARLGAPSGRGEGEEPWHGLSQHEASLERARTRLGQLRRLRRGTL >SECCE7Rv1G0484890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:350390270:350394892:-1 gene:SECCE7Rv1G0484890 transcript:SECCE7Rv1G0484890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP71 [Source:Projected from Arabidopsis thaliana (AT3G44600) UniProtKB/Swiss-Prot;Acc:Q8W4D0] MATTSEPTANSTVTSATVDGDTEREQEHGNGATAAPVVVQQEEEGEELIGPGPAPARQRQKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADFFITGSADGHLKFWKKKPSGMEFAKHFRSHLSPIEGLAVSVDGLLCCTISNDQSVKIYDVVNYDMMFMMRLPFVPGAIEWVYRQGDVKPKLAVSDRNSSFVHIYDTHSGSNDPIISKEIHAGPVKVMKYNHAQDVVISADAKGLLEYWSPSTLKFPEDAVNFRLKTDTNLFELAKCKTSVSAIEVSNDGTQFVVTSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQKSDVPLYHLDAIDFGRRMAVEKEIEKTENVPQPNAVFDESCNFLIYATLLGIKVVNLHTNKVPRILGKVENNERFLRIALYQGDKGNKKVRKIPSIAANVNDTKEPLSDPTLLCCAFKKHRIYTFSRREPEEPEDATKGRDVFNEKPPPEELLSVSELGKTATTSLPDNLVFHTSLGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMVQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPKT >SECCE6Rv1G0387690.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:119707800:119708072:1 gene:SECCE6Rv1G0387690 transcript:SECCE6Rv1G0387690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVIETHDAAVAGGGDVVFCVVILCMSVLSLVILAASAVGSGDGEGGGEGRRRRRSGSRGNGPVFVGGRGCACGGCSAGAGACGTYLS >SECCE7Rv1G0509560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:782086474:782087299:-1 gene:SECCE7Rv1G0509560 transcript:SECCE7Rv1G0509560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transport protein CCH [Source:Projected from Arabidopsis thaliana (AT3G56240) UniProtKB/Swiss-Prot;Acc:O82089] MASETVVLKVAMSCGGCSGAVKRVLTKMEGVESFDIDMEQQKVTVKGNVKPEDVFQTVSKTGKKTAFWEAEATPAPDAAAPEATPAAPAPEAAPAADAVPAPKAAPAADAAPAPEATPANTAA >SECCE5Rv1G0318580.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:363883898:363885043:-1 gene:SECCE5Rv1G0318580 transcript:SECCE5Rv1G0318580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLADATGGGRAAKRPRRRKHLYLVTEDWEQGYSIRKLDLEEEYDANIHTLDKEQAGNNPRKHPERRLPPAVFRLEAPHQGADHFTAAFGTKIMALHHTPRRYVPVFDVRTRCLSFGPRMRRDPSAPIYIPVADRLYGLDFDTFEMLHPPPPLVDPNCGMDVPKWRSWRRLPTPPFKRERVTSHAVHPDGQTIFVSVDGKTGATFSFGTMASSPRWTRSGNWKLPFKGPAHYDCDLDAWVGLTQDPDMLGHLCSCDVPSTDDDDGCRQPPAWKLSKEKLFCEDPDEKHIGAALVHLGTGCRSKFCLVQCLSLDDREEGVYKEYLPERERYLLRLTMFSLVYDKNGDLRTAARRRVRSFELPKSVTEYSAFLVDPVAFWM >SECCE5Rv1G0307620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:116307994:116309382:-1 gene:SECCE5Rv1G0307620 transcript:SECCE5Rv1G0307620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPKRSASAGLPEDSLVEILARVPARSVYRSKCVAKAWRDLIEDPLHRKKLPQTLQGFFFIDKETHRQRVRFTNLLTSRSVPLQIDRNLSFLKESPGIGTLDFSDSCNGLLLFEHKEKPWPYNLLGYVVCNPATKQWVEVPRDGPPLPLYLRQPKRFNYLVFDPSVSSHFHLVQFTWEFVRLVKFGEEFVVSHGDSDEDDEEENGSDGYCDDYWGRRHQQRYNYDEDEDEDEDEDEGEDEDEDEELSRTSVHVYSSETGKWTHTQSDWSQIQSDRNKHDLEGWRLQGLIPESFCCAVLNSMLHFIISDEGQIAAVDMQGVTRKIIPVPTMPERLHWLEPGYVAQSQGRLHYISQAVDGQLSIWVLEGYHTQEWVLKHSVSFTELFREKRCTGDKKVYSVVAMHPDGNVVFIVQDWNQKLISYHMDHKLVSIMGTLKNDASDLHVVPYVPCFSESPALPNKH >SECCE2Rv1G0072720.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:60679904:60687725:-1 gene:SECCE2Rv1G0072720 transcript:SECCE2Rv1G0072720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEAPALAAAPAPAAGGGGELLERRVMAAVKASEARGDPPLLRTVEIARLVAGEPGAGIPSADLAGILVSNLCFAHNSPSLWKLLDQAMTSRLLCPLHVLALLTARVLPQRRAQPEAYRLYLELLKGNVTSFSLPLLAGPNRDKITKSIDAALQLSKSYGASGMDFGHAVILFVLIVITKLIDSVLEDCGISSGMTQEQEGVYPTEEPQPMDLDVKGISAVKQNEHREQLRRKNTVMALEVLHMMAADTKIQAFLRLICLNMPDKFSVLSQRLTLIEAHKMALERLLPTSHKIDDLVMYIWRVSNLDYQTNNKRLVGVLGNLRPSNSMLGQLTGAGRAACWIIFDIYMENAMDGRHLGGISAIEIIKEMSKTAQAINEASWQETFKALWISALRLVQRAREPLEGPIPHLDTRLCMLLALIPVAIAAILKEETDACGAEGNKSLPRRLGLVSSLQDLVQYSGLLVPPSSLVNVANAAASKAAIFRANCKVVGGNPSMIGQSDSSTKAVGNMLHLIVEACISRNLIDTTAYLWPGYVVLPGHSKDTSLPQESPWVNFMQGAPLSDTLKNALIATPASSVAELDKLYHIALNGSEQEKSAAAKIVCGASLVRGWNIQEHVVRMVVKLLSPPLPSDSSLQGSMSHYLSQKSTLNAILLGVSYVDAVHIFSLYGMVPDVAAALMPLCEVFGSMPPPSTHRSTIVDETSVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLVLMHNSRIEFPNSSATSTNSGSSMGSFDEVPTQPIYIDSFPKLRAWYIQNQACIASTLSGLGNTNPVHQVANKILSMICRKMTKSGVVSGNLSSASSSSVSGSSLSTSDDSYQRPTLPAWEFLEAVPYVLEAVLTACSHGRISSRDMTTSLRDLVDFLPASLAAIVSYFSAEITRGIWKAVSMNGTEWPSPGAALHSIEDEVKEILASAGVQIHSCYPRGVPPMLPLPMAALVSLTITFKLDRSLDYIHGIIGQALENCAGGSSWPSMPIIGALWTQKVRRWHDFIVLSCIRSPFGRDKDAVAQLIQSCFSSFLRSSPSSRSDITASRGVGALMGESITGHQGLHFPMAPGFIYLRTCRTFHDTYFVSEMILRQVIDCSHKLANGWSSNGPPHLKSGRPPLSGAASMASQVAMLGAGLLCVAGGPLLVQVLYEETLPTLLLSAQEQMLEDPGPVASTLQGYAMANMLFFCGSLLWGSEKTSPIMKLSFLSRRPRVVGTHMDFIAGVLDGHILLGCDPGTWKAYVSCFVFLVVKFVPTWLRDIKLDTLKKIAAGLRGWHEHDLALSLLERGGPKAISVVVETLLQ >SECCE1Rv1G0058230.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:698260888:698263494:-1 gene:SECCE1Rv1G0058230 transcript:SECCE1Rv1G0058230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAASHISGGSESTTVALLEQLADVFGKLKSHTEASLQLQSGIQWEDIKVHFLNLEKSYRSKCDELEEKQKALEEQKAEGRRLIAEKEADLSAKERASVNKLQELKDAAVSTLAEVRKKHNVELSEILDANGSKDKKVKNPTDDTNTSLPSDEHNSAKGSGKPSEPSPVEVKPRPALKELCEQMDAKGLLKYISENSKKLAGFRDELCVALKCATDPARFVLDSLEGFFPDELPVDINHSAQGQRRSCIVLMEALAHSLGMKEPGGKHPWSSEIMEQAKAIANEWKSKIAEVDLDASDGYSLEAHAFLQLLTTFNVDLVLDEDELYKIVVAISRRKQTAELCRSLGLTERIPGVIEELIKKHRQIDAVQFIQAFGLSEAFPPAPILKAYVDEIKGSLNNKGDAGVTPSPDDLKNRELIALRTIIKCIEEYKLQKECPLGPLQKRINELKPKGAKRPSGSANRNYAKKQRVSGSGTSAPRRPTMAAPRRPAAPVGTWQQRAPPPVPAYPDRYGIAADRYHYAAPPAAAYDAATFAAYGGGGGEQYRAAAPKPYQYNPGPAAAAAAAAAASYNNIPQYKVVYGGPGAQPSTAAGGYAPYGGGSVGQQQPQPAASSGGYLSYAAGFGYRPSQQQ >SECCE7Rv1G0526130.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:894067440:894068746:1 gene:SECCE7Rv1G0526130 transcript:SECCE7Rv1G0526130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPMVLLDREVYFRLDEVASGVGGGDLRGFWEDGGLQTREAMSDQVMEYLRSFKARPVVENPPKPSFFDILVPPLSLPLPPPFMDLSSARISSTDKNLVALYAGGYRPGSSWLGGYLIYDASKDSLSAIPRLPDDDCQKALGHQSAVVMCDSQGDGGYLLAELVVPGLSHAEVWLWKSSASEWALLSESYPLPSRTLCVDLCFSYRGSTLCWVDLFQGILLCNLNQDCHNKFSFIELPQDCPTCDVNPEYPDIVRPEESRSIACVCGRIKLIALDECGSQLIVWTQSPQLSGWTKTCNYNVETIWANVNYLSAGLSKLALSLPVLSIHQDGVVYFVVNDDQVVDHRLVRDDQYLLRVDMKNNHVQVSANPTRSVYSQLLASEFSVYRPTTGSPGILLTR >SECCE2Rv1G0066860.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:16088727:16089206:1 gene:SECCE2Rv1G0066860 transcript:SECCE2Rv1G0066860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEEEALTVAFSWEQEQGMPESPRKPPVVLSWEHEPAVRKPVSTVEARGGGGMRESQRKVPALARRLSVPPPPGRPATRGYSRAVRPEDDPFLAAYLACTKSTGDGGKKKTGGAVREPKGQRRFAWGLGVLSCKRSNGVVERSMVRLAKLPELDPRDA >SECCE6Rv1G0421140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:681968228:681968991:1 gene:SECCE6Rv1G0421140 transcript:SECCE6Rv1G0421140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHQLSAIAACAVLFVLAAPSLAGDPDMLQDVCVADLASPIKINGFPCKANITADDFFFAGLKKAGNTNNRVGSVVTAANVLSFPGVNTLGVSMARIDYAVGGQNPPHTHPRATEIIFVTEGTLEVGFITTANKLFTRFVTVGEVFVFPRGLVHFQQNRGNCPASVIAAFNSQLQGTQPITAALFAATPPVPTDVLAKAFRVTNEDIDAVKELFN >SECCE7Rv1G0460690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:32861168:32867923:-1 gene:SECCE7Rv1G0460690 transcript:SECCE7Rv1G0460690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALANGDVRAGGGGAVPRPTNPMVTPLLTDLYQFSMAYAYWKAGKHLDRAVFDLYFRKSPFAGEFTVFGGLEECIRFIANFKLKEEEINFLRTVLPTCEDGFFEYLSSIDCSDVEVYAIPEGSAVFPKVPLMIIEGPVAVVQLLETPFLSLVNYASLVTTNAARHRLVAGKPKNLLEFGLRRAQGPDGGISASRYSYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFLGLDEIIDKALSSSDGSNKCEDFVSLVQNWLVKIQDASSLCGSFGETNQSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAGGIRLDSGDLAYLSIETRKFFCAIEKEFGVVGFGKTNITASNDLNEETIDALNKQGHEVDSFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKRCYRLYGKEGYPLVDIMTGQDEPSPKVGERILCRHPFIESKRAHVVPQHVEELLKCYWPGTSSVRREELPSLNENRSRCMRQLERMRPDHLRKLNPTPYKVSVSAQLYDFIHFLWLNEAPVGELQ >SECCE6Rv1G0426330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:712577905:712578662:1 gene:SECCE6Rv1G0426330 transcript:SECCE6Rv1G0426330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTVQQSNATESPIDQSNWPSHARRCRTDADIESGGQKKKGRGVLKGIKVAKKRFANGSSKLHIEFSKTLGGSIGENYRSFVDDVVVYMKRKAPLIGVNKWSAIDSSVKDSIVADVIAKWDLEDTYSTKGKILTIARERYRGWRSTLHSTYKAYDTDAQRRANKPEDVTPEEWDYMINYFGTDLKFQVSSM >SECCE6Rv1G0415960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:645706417:645707791:1 gene:SECCE6Rv1G0415960 transcript:SECCE6Rv1G0415960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMPVRMKAVVYALSPFQQQVMPGLWKDITTKIHHKVSENWISATLLITPVVGTYQYAMYYKEQEKLSHRY >SECCE1Rv1G0011730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:81656305:81664708:-1 gene:SECCE1Rv1G0011730 transcript:SECCE1Rv1G0011730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRARRWPRPRWFSSDTAAAGVDSGNPARRRVAALWGNGDHGRLGLGALESRWNPTACPFFLARPADPPTSLACGGAHTLFLTEGGRVFATGLNDFGQLGIGSSMPHTLDPVEVSGFHEKVVEISAGNHHSCAVTADGELFVWGKNSSGQLGLGKSAGKVVSTPRKVDYLADVRVKMTALGSEHSIVVTEDGEALSWGAAGAGRLGHGHKSSFLGFAMTSNEYTPRLIKNLDGIKIKRIAAGMLHSACIDEKGTVFTFGQKTEKVLGRSNDAPRPSVVEEIQFSEEVACGGYHTCAVTDRGDLYSWGSNENGCLGLGGTGMVRFPEVVRSSLFKLPVSKVSCGWKHTAVISGEDIYTWGWGGANGTFFEEGHSSGGQLGHGNDVDYCEPMMVELGKNARAVHVSCGFNHTGAILEYAEN >SECCE6Rv1G0412160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:613243292:613246285:1 gene:SECCE6Rv1G0412160 transcript:SECCE6Rv1G0412160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGWKVMNDAKPYLAMVLLQVGFAGMYIVAVASLKRGMSHFVLVVYRNLVATAVMAPFALYFERGLRPKMTITIFIKIMGLAFLEPVLDQNLYYMGAKLTSAGFGAALINILPAVTFVLALILRMEKVRLRSLHSQAKIAGTVLTVAGALLMVLYHGPAVQFPWTKGEHHAGGADTGADAAAWLKGTIMVIAACVAWSCFFVLQSSTLRDYPSELSLTLLICGVGSVMSTGVALVAERHNTQAWVIGFDARLFTAVYAGIVCSGVAYYVQGIVSRQRGPVFVTAFNPLCMIITAVMGSIILKEEITLGSVIGAAIIVVGLYFLIWGKSKDKVNQVSDDFVAGSSKGAAELPLTSVTNGNGKQHELGNGKFNRGYVLNVETPATNGNY >SECCE6Rv1G0384810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:68969851:68970162:-1 gene:SECCE6Rv1G0384810 transcript:SECCE6Rv1G0384810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVLRRMDSDVPAMGFLYGCMLDAKKEIAVSFDNDESRFKCVIDAIDKRWDNKLKLPIHLAGYFLNPYYYYPNKVVIERDGSFRAAVVHCITRMVDDKKNSR >SECCE3Rv1G0152980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:51935963:51936175:-1 gene:SECCE3Rv1G0152980 transcript:SECCE3Rv1G0152980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFLYRAILLHYAQGGWRAATGDGPFLGSDSPSASRYHYVRLTGSPDDSGRLGFAATPPASRGPFL >SECCE7Rv1G0519920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:866278523:866284623:-1 gene:SECCE7Rv1G0519920 transcript:SECCE7Rv1G0519920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATGAMGSLLPKLVELVKDEYKLKESVKEGVRSLEKEMKSMQAALRKVGEVPQDQLDEQVKIWAGEVRELSFDMEDVVDKFLVRVDDGSERAANPKKLKRLTKMMAGLFNKGKARHEIADAIKSIKKQVQEVADRRARYNIDNFVARQAEVTTIDPRLAALYTKVTELVGIAGKRDQELMRLLFEEDNLPKKELKIVSVVGFGGLGKTTLVKMVYDKIKGDFDCGAFVPVGRNADGKKILRDILHDLGLGESQLTMLDERQLINKLQNGLEKKRYLIVIDDIWEENLWKIVNLAFSNSNNGSRLITTTRIASVAKMCCSSNNDSIYQMEPLSDDDSKRLFYSRIFSHESGCPHEFEEVSRDILKKCGGVPLAIITIASILASDQKVNSHDEWHVLLESIGRGLTADPSVDEMLRILSFSYYDLPCHLKTCLLYLSMFPEDSEVMKNQLIWMWIAENFIKCETEKFSLFQIGETYFNELVNRSLLQPVYDEFGIVHGCRVHDSVLDLICRLSREENFVSILNGSMSYQGIVRRLSLQKINEEEHETTPLGSVSMVQVRSIATFQPAIGLMPRLSSFVVLRVLNLSTCALGDHNLQGLWSLVHLRYLGLSRTGLGELLEEVGKLQFLQVLDVTRNPDMEKLPSSVTKLRRLMCLLYERRCNRLPDGFGNLTAMEELSSIHGVSLSIVKEMGNMKRMRKFKIQFEDLSLELEEAFVKSLGEMPNLQSVTIIMDGRSKVMDHLGEKWVPPRGFRELKSRAGRVFRFSRLPAWIRENPSQMSQLSWLHIGVKEVQQVDLELLGRLPALHVLSLDSLRQGPLLFEADGFRCLAIFVLLSSWPGQVVFQPGAMPKAEQVKVRTSKEEAADNDDGDWFDTGIGNLLSLGYAHVRFCTSLLLRGEEAEKAKSALETALRAHPNSPAFHVSVEGT >SECCE5Rv1G0340090.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:612182440:612182973:1 gene:SECCE5Rv1G0340090 transcript:SECCE5Rv1G0340090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDSEAPASSVAYGGSGGAAAPAGTRADSPFETNVVIILAALFFGLLLIVALNSLARCALRYVGRGAAAAAGEGRASARVACSGSGIKRRVLRSLPVEVYGCGEDIDDVCAICLSEFADGEKVRVLPLCGHGFHVRCVDAWLVSHGSCPTCRRPVIEGAPAKAAETNTTITVVIV >SECCE1Rv1G0060700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:711408491:711414503:1 gene:SECCE1Rv1G0060700 transcript:SECCE1Rv1G0060700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRGGGGGGGGRGAQQQHQARGAGDGAEIPQAARKLVQGLKGILADRTEAEIYATLLDCAMDPDVAVERLISQDPFHEVRRKRSNKKEVKAPQETRSRPFYKPAYRGSKAGVDRGGRGYSGPGDSTASAKGPIKKETELLPPPNTSTSDAVKGSNPMETISEAGNLADAKSTSFQPPQVQHGWGGVPGRPSLAEIVKMGRPQAKSGARPVANNAAKPAVGGSVAANANLNTVLPSEGDRVTAEKLPNGTIQSPSVPKEDSVGILPPGQGSDVPEGIGAASANVSAPRSFTLEVKNDGAGDANEQTKETSASNASGLTSPGPLSPSDKGTVLNNDLIEKTDGYLSDEHSFEHNQNSNGDMSTTAYQLEDLTIHEENRPKPSDDNPAVIIPGHLQVSNADFAHLTFGSFVSGTLDASCSMMPANSDVEVAAVPDNQSGDQCDVRINEFENKETVTPAANEYVASAPDSNAENPDITSAQQPDVGRADLLDVTNNTEYNLSSDYATSSAVQPEPTVQTYLQDNRQMQNISPLSNFMQGNMPNGLLPPVMPPFRELDPAFSLLLTNPPLATMVHGTPQSSGNNATVSSQPQENVNQGGLSNPQLTHSQGSTGIAPGPPLPHHLAALHPYAQGGLPLGYANMIGYPSLPQSYAYLPPAAYQQPYMNSGLFHQGAAAAPNSGVKYPMPQYKSNVPLGSLPQPASMLSNYIGGFGTANGMPQNFALNQSNPSATTAPGFDGAMPSQYKDGNPYMSLQQGENAAMWMHGAGSRGMPPLAANPLYGYQGQQGYQGQQGHQGGLRQGQMPSQYGAALGQSQPGLGPEHRNPSDGNLSAAAAAAAQANQMWPNGY >SECCE2Rv1G0119600.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:792623673:792624889:-1 gene:SECCE2Rv1G0119600 transcript:SECCE2Rv1G0119600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLPLRLPSPVASTLPGAAYLLPGLARIPILRTPPRASMSAAASTPDAAPSPATAAAAAVGEEPRKEAEDVVVQYVVLRRDLADAWPLGSVVAQGCHAAVAAVWAHRDHPDTAAYCAPGNLDSMHKVTLEVKGETQLKNLAEKLEAAGVRHKLWIEQPENIPTCIATAPCPKSQVASFFRKLKLCK >SECCEUnv1G0562890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:370021268:370023718:-1 gene:SECCEUnv1G0562890 transcript:SECCEUnv1G0562890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQLQQTMATTSTSFRTSSPATVRCAAGPRPRRSANYAPSSWDYDSLLQLSPNNGVHADQVDKLKAGVRERLVAASRGDHQAAKLTLVDTVQRLGIAYHFEEEIESILKSVHREPHRCSWDDNASDVASAALRFRLLRDGGFPVFFPPESLKTLKRGSDDVHGLLSLYEASYLAFRGEETLDEARSFSKKALIKLLPYLDHHLRRSVVRSLDLPIHRRSPRLEARWFIDHYAREQSNSDPLLVRFATTDFNNVQSVHQEELVGLARWWKGTALSEKLGFARDRLMECFHYANGIAWEPKNGACREVLAKVANLILHLDDVYDVYGTLDELVLFTDAIGRWEESPSERLPEYMQALYSVMYNTSHEVAENVLDQHGCDARHVLQKAWRDMAESFLVEAKWHHENRKPTLREYLDNGSISSSAPLLLQHSFPLLHVEEKLTTMSLAKVGSYPKLVQSTSLVLRLCNDSATHSAELERGDAPSSIAIHMSENGSSEQQSREAMEDLTMEAWKLINEDAFKHCQISRSFAKTCLNLARISHCVYQGGDGFGAPDGHKKKQIRELFLDPL >SECCE5Rv1G0343420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:638052773:638055691:-1 gene:SECCE5Rv1G0343420 transcript:SECCE5Rv1G0343420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGLKHKGGGATRAREHLGWIVGNVRSCPNVPRNVREAMRECRDESKRKKREKQNSRLRIERNIMEGLYRQGGVINILDDEEEEIQMNIRDALRDPNVSRRVDRRIGKGSVGDVRVSVGKKSITAYFDKQLCSNKISMQPKISSALDPNSRDALVLAWSKFFHANDIAGRKADCPYFRAALKITQQLGPTPIPTAKEIDGKYLDANYDEPTSFLQKFKQDWKNFGVTLMCDSWTGPTGMSLINFMVYCNTRMFFLNTIDASGQTQNADFIYREIEKVVEEIGHEHIVQIVTDNGSNYKKACKTLIEEPKYSHIVWQPCAAHTVNLMLKDIAKFPDVDVIVKSAKTICRFLHKHNNLHDNMKKNIGGELIRPNATRFGTVFMFLESYHLKKDKFREWMVSQDWKECQWRYDPGYVFSEECLSSNVWWNALEWVLGSLRPLYKAMRYADTQKQCTLSGFKKSMMLAIQRMEAHLGPTSRLYLSFMRKVGKSIDAMEEDTFMVAAAVLDPYTHYSLNLCNHTDYATALTDAIAKILDPKSALSAIHDVSKFRECQGRFGTRLAEEVAARMEPTQWWFQFGGDVPALQKCAMRICSQCVSSSGCERNWSAFALVHTKQRNRLLYDKLHKLVSVRYNLKIRAEEDQEKERDIDKEVDPCALLIDTTIFYETNPIMEWLNEDEEDPIVDGADAASAVFEKIRRLNSSRKDSHVGTEANKKKRKRNHDEENDYVETESEDDDNENEYVDNESGDDDGVSEDDEDDQQDQQETQMQVEEETQVQVEKETQASVGNLETRRSGRLVRKKTKEVNSLYS >SECCE5Rv1G0338500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:598462818:598463969:-1 gene:SECCE5Rv1G0338500 transcript:SECCE5Rv1G0338500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARQWWQRAAAAAKDKKSLCLTRAAGALRSPARVRGGAAELDAAVIRATSHDDRFVDRGAAARVLDLARASSPSPLVWALARRAGRTRCWAVALKALMLAHRLLLLAQPRAGGRVPFDLEDFRDRSSAGFSVLVRAYFRFLDARSLFAAEEDDGAGANVDGEEDDEETRLLDRLSRRQHLLDLLMQIRPYGDGMERQSLVLDAMECAVVEIFDVYGQVRAGIAEYLVAVLGGSAPTTPTPRPRPGETVATARRRRAMQGVRVLRKEAEQSALVSSYFELCRTLGVLSAAEFPALERVPDHDIRDIEKLIMAHVEDGGCMVEDDNEAKALVVPMEDAGVASTTVATKEWMVFDDDAGTGVRQGHFGDYVNPFLTNLTELGET >SECCE5Rv1G0328300.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:501527507:501527818:-1 gene:SECCE5Rv1G0328300 transcript:SECCE5Rv1G0328300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE5Rv1G0306940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:102685586:102685948:1 gene:SECCE5Rv1G0306940 transcript:SECCE5Rv1G0306940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGWGDQAGKDGGGESTKYRGVRRRPSGKFAAEIRDSSRQSVRKWLGTFDTAEEAARAYDRSAYAMRGHLAVLNFPAEARNYVRGGSSSQQQVIELECLDDEVLQDMLRDGSAGRNN >SECCE7Rv1G0465900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:75355276:75357468:-1 gene:SECCE7Rv1G0465900 transcript:SECCE7Rv1G0465900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRASSFAAPSIRVKIPDQLPTLFEPQKATPNPHTTRSRATAEAEADGDAPSPEHRLTVLALQLAVLEKAASGLGTLGFVWATVVLLGGFAITLDPTDFWCISGLLLIEGTRILGRSHELEWQHHQASRARPASRAAVRAFFWMQLLSATACVSLSLARLIHQHYGGTEDARTNRVAALNIFYGLALAEALLFLVEKALWEWKVGHRRLLECVADDCNLAGAYGQVVVRRFFYDSYSRCLNGSILDGLHMGLVSYADDLITAGSHDEQSLGAGILVALAESDRFADDTLRKIGVSAPTIERLIEMLSWKGSSERDVRRSAAVVVSMLTGRKLVALRVTGIPGAIESVASLLYADHDELNILGLSILNKLAQDHDNCDKIGRIRGLLEKIISYSSIDHALASTTPRDMRLKAVKKSLRVVKRLAGTTGDTGKLLRRELTDIVFTVSNFREILQRHDKKVQSELHQLVIEILTSLAMDEGSREIIGGTGDVVSVLVTTFLPGAFTKECQQADAVRVEAGEALAILALENKKNCRAIIMALGGGIGRLVDALNDPVVVVGAARIMHNLCSYSGDEWQLPLRRVTVGAAKVLRSITVEKDKILNIFIGLAAQMLRFMEPGELRGSLAAARVVDTVLARSLVQVLREYNRPSMDVPRARRYTIELAMALMQSDARYVALFVELGMESELRRVAMTTSQLECFNVFSGSVGLSRRDTSVCSLVKSALELMNKGWN >SECCE5Rv1G0358720.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756917508:756919307:1 gene:SECCE5Rv1G0358720 transcript:SECCE5Rv1G0358720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLTATPTPLLPAKSKSPPSHHPLLPHLPHCTSLRAIAQLHAAAVKSGLGAHPALVTRLLTLCTDQGAAPAHLAYARQVFDRISSPGDVVWYNTLLRGYARSADGAAQAARVFVRMLEEGVAPDTYTFVSLFKACAAARAGEEGRQAHGVAVKTGAADHEYVLPTLINMYAECGDAGSARAMFGRVPDRDCVVSYNAMITAAVRSSRPGEALVLFREMQAKGLKPTSITVTSVLSACALLGALELGRWIHEYVRKAGLDSLVKVNTALIDMYGKCGSLDDAIGVFQGMEIRDRQAWSVMIVAYANHSYGREAISLFEEMKKQGIMPDAVTFLGVLYACSHSGMVSQGLQYFDSMREYGIVPGIKHYGCVTDLLARSGQLEKAYKFIDELPIKPTAILWRTLLSACGSHGTVDLGKRVFQRILELDDTHGGDYVIFSNLCANTGEWEEMNRVRKLMNEKGVVKVPGCSSIEIENKVHEFFAGDGRHPHARRMVDQVIEQLKLVGYVPNTSQVFHVEMGEEEKATSLRYHSEKLAISFGLLNTSPGTTLRVVKNLRVCPDCHSMAKLVSMLFNRRIILRDLNRFHHFEDGVCSCGDYW >SECCE6Rv1G0390510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:185839030:185848145:-1 gene:SECCE6Rv1G0390510 transcript:SECCE6Rv1G0390510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPQNRSHVPAKAGQSRQPPRQPGRRMASRDAGERAAFFARREAATVLRRVLRGDASKRSGGSIKSLVYSPSVRNKRATFALVCQTLKYLPILKEVLASSGVFSSKWKKQEELVYVTAYDILFGQETAVSGSVEEYIMLHKDTFRTALQTICVKRKVSNVKDLLSEKTAVKPKPRFVRVNTLKTTTGSVIEVLSKMHKVDKDDMVPDMLVLPPGTDMHKHPLVTDGKVFLQGKASCMVAAALSPKPDWKVIDACAAPGNKTVHLAALMNGEGSIIACELNKERAKTLQHTVRRSGANNIETVIGDFLNIDSNDPSYAEVRAILLDPSCSGSGISTERLDHLLPSHSIDDQDDAGSSARIRKLSAFQRKALSHALAFPSVERLVYSTCSIHQAENEDVVSSVLPLASSLGFELATPFPQWRRRGLPVFDGAKHLLRTDPEDDLEGFFIALFVRKAATADALEPSKDGGALERKRKRVCTTRSGGLRAFSSLRLSRMDVLCSIWGI >SECCE2Rv1G0086730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:215144110:215149105:-1 gene:SECCE2Rv1G0086730 transcript:SECCE2Rv1G0086730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G03900) UniProtKB/Swiss-Prot;Acc:Q9SRW7] MEGAAAPRPRATGCLTASTAGPQRASRWCPRQPRAATSSSSSSSSSSGADPAVARAGSPANLGLLPPSPARRIAGGAPPIRARDSRAECAGPRSSAEHIGVSLEGENRVLEMSSTVPKSSNIFWHDCPVGKTDRQNLLKQKGCVVWITGLSGSGKSTLACTLGRELHTRGKLAYVLDGDNLRHGLNKDLGFAAEDRAENIRRVGEVAKLFADAGLVCIASFISPYRRDRESCRALLSDGSFIEVFLNMSLELCEARDPKGLYKLARAGKIKGFTGIDDPYEQPLNCEIEIKEVDGVCPSPSDMAAQVITYLEDKGFLHE >SECCE3Rv1G0151110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:36736096:36737304:-1 gene:SECCE3Rv1G0151110 transcript:SECCE3Rv1G0151110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCAIHLGIPTAIHRLGGSASLPDLVTTLSLPPSKAPFLSQLLRLLSTTGVLASNEAGIYSLVPLSYLLVDGILVDGDASQAALVLCLTLRYHMEAAMGLADWFKKDIAQPVPSPFEDVHGATLFEESMAVLDPVSDKLFNEALAAHDHMGIGTILRECHGLFNGLQSLTDCCGGDGTTARAIVKAFPHIKCNVLDLPRLINKAPNDGLVNYIAGDMFHSIPPAQAVMLKLVLHFWSDEDCVNILTQCKKAIPSREVGGKVIVVDIVVDSSSGPLFETQMLMDMVMMVCTRGRQRDENDWSAIFMKAGFSDYKIVKKLGARGVIEVYP >SECCE5Rv1G0356160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:737451334:737451870:1 gene:SECCE5Rv1G0356160 transcript:SECCE5Rv1G0356160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQGQHDNPANRVDEHGNPFPLAGGVGGAPGTGGQFKARREEHKTGGILHRSGSSSSSSSSEDDGMGGRRKKGMKEKIKEKLPGGHKDNQQHMATGTGTGGAYAPGAGTGGAYGQQGHAGMAGAGTGTGEKKGIVDKIKEKLPGQH >SECCE6Rv1G0391040.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:203526525:203528294:1 gene:SECCE6Rv1G0391040 transcript:SECCE6Rv1G0391040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CcmFN1 [Source:Projected from Arabidopsis thaliana (ATMG00830) UniProtKB/TrEMBL;Acc:G1C2S2] MMGITKQKFGNEHEMSINEFSHYSLFPGLFVAFTYNKKQPPAFGAALAFWCILLPFLGLSFRHIPNNLSNYNVLTANAPFFYQISGTWSNHEGSILSWCWIPSFYGFLFCYRGRPQSHNVSKRRGYRETLIFSFVSNFVKNSILSLQQKSGAAPQLYTPFVRRTLVDSELRSQSKRPFNGPALFNAPLDPVLKMSFALLGAGRSRGSREGKRTNLLLHLARDEKERASSIDEQQIDGALGIALFFSPFLSASSDPFVRNFFVRTEPLAESNPVPQDTISAIHPPCIYAGDVASAMGFGLCRSKMMNGIVALHSPPMRKDAAEKNGTLLRSAGCVGSHIRSSLFTRSFKHLVGGAPALLLRSNRSLLMLLRRRFFAFSSLWTGALMDTGREQAKRVVRNGKKDTTTSPLCWTTGANMVVSDQDQEPIRIWILTCRLFLTIGISPGSWWAHHELGRGGWWFRDPVENASFMPRVLATARIHSVILPLLHSWTSLLNILTLPCCVLETFSIRSGLLAPVHSSATDDTRGRFLWRLFLLITGISMTLFYQMKQEASVRRTYKKEMVVARSTLVHLRHSARAQPRPVMLCYGRI >SECCE4Rv1G0246580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:545867714:545870799:-1 gene:SECCE4Rv1G0246580 transcript:SECCE4Rv1G0246580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRVLTALDHARTQYYHFKAIIIAGMGLFTDSYDLFCIVPVMKILGRVYYPSPAGDGRPGVTPPAVVSATVGVALLGAVVGNLLFGALGDRVGRRRVYGPCLLLLVCSSIGSGFSICRTRRCVLSSLCFFRFLLGVGVGGDYPLSATIMSEFANKRTRGAFIAAVFSMQGFGILASSGVTMVVAAAFDRFTGHPAPLDTPEAADLAWRFILMAGAVPAGLTFYWRMAMPETARFTALVQRDVLKATSDMGCVLTDLDLNAIYEGEDAAAMPRAPAPFGHAPAAQYGLFSRGFLREHGRDLFGCAATWFLLDIPYYSSTLFQSQIYRPWFPPASQQNVFQEAYNVARFQAIIAVASTIPGYFAAVLLIDRTGRRRLQMAGFFLMAAFLFALAGPYDHYWRGNARNAWYIVLYALTFFSANLGPNTTTFILPAELFPARFRSTCHGISAAAGKVGALVGSVGFLWASQSRDRGDVQAGYEPGIGMMYALIILGAISLLGLVVTYLCTPETMRRSLEENESERDQNQGGDGGMCFQELTLTPKSPGSLVSSHVSTSPIHPHRFSV >SECCE7Rv1G0511240.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:802112629:802113558:1 gene:SECCE7Rv1G0511240 transcript:SECCE7Rv1G0511240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTDPSKAWLGLPLPTDARVRELFMASVHFHLGDGQRICFWTEPWMHGISFCHSYPALFSVCTRKRLTVAQALTGDRWTRHLRGNLTPQAVREFTALWVQLQGVALGQQPDTVSWRWTANGEFSTQSAYAIQFEGSIRTSYKQLIWKSEAPLKCKVFSWMAVLGKILTGDNLEKRNWPHNPTCCLCNAQRETPVHLLASCPFTISLWGKIMQRCNLPLALVPSPGSENIWDWWYQSRQQVPAIHKAAWSSLVQLTWWTVWKERNARIFEQKNSTEEQMLDRIKNDLIDWTLAGKHKVARMIRRPREPD >SECCE7Rv1G0492520.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:551838429:551852835:-1 gene:SECCE7Rv1G0492520 transcript:SECCE7Rv1G0492520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATTPAAAETQHRHGGCLSVRTFMPPGPVARCSRGSSQAAGSPDEASCGSPRWIGKSLSCVCIKRKGAYERICVNLTPVQEQRLQRLRHRMKVYFDHSRRDHQEALRALWYATYPDQELEGLVSEQWKDMGWQGRDPSTDFRGAGFISLENLLFFAKTFSASFQRLLQKQSGNRATWEYPFAVAGVNITFMIMQMLDLQSTKPRTFVKAVFVQMLSEDEWAFDLLYCVAFLVMDKQWVEKNASYMDFNEILKSTRAQLERELLLEDVMRIEDMPSYRLLC >SECCE2Rv1G0117550.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:773756512:773757492:-1 gene:SECCE2Rv1G0117550 transcript:SECCE2Rv1G0117550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAGEADDFALDLIREHLLGGDGGVQATANHDQASPLCDDVAFPVLPPSAAEPQAYQQQPIFFPQQQEQMQGYMDLTQQYLNSYPPAAGVPEAVFRAPEPMMIQFGGEPSPVTAPSSTLTISVPAKGSFGWVATAAPAAPPAPAPVEDFRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTSVEAARAYDRAAFRMRGAKAILNFPNEVGTRGAELWAPPPSQTAGAKNKRKRSHEEDPDVEVTEVVMNKAPKNEAPSPSSVSRETASSTLTSTDTTPEGGLPPTPSSSGWDQYWEALLGSMPLLSPLSPHPALGFPQLTVS >SECCE7Rv1G0457070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:14055098:14057236:-1 gene:SECCE7Rv1G0457070 transcript:SECCE7Rv1G0457070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLPQLPQPWHLLLLLAGLLLPVFSYLLLMTRRGPEERGLRLPPGPAGVPVLGNLHQLGPLPHRSLRDLAGRHGPVMLLRLGAARAVVVSSAAAARDVMRAHDADCCSRPASPGPARLSYGRKSVAFSPYGAYWRDMRRLLTTELVGPLLGPRGVGAAWAARREQVDRLMSALGNATGSVALDEHVFCVADGIIGTVAYGSVYGAEAFARKYERFQHVLFEGMGMSASFSAEDFFPNAAGRLVDRLVGIVARRERIFRDLDGFFEEVLEHHLDPARPKPESGCGDLVNALVGLCEEHGFTTDHVKATSSVTILWAMSELMRKPRALKMAQEEIRAAVAGNGNGNRVQPDDLPKLAYLKMVVKETLRLHPPATLLLPRETLRRVEIGGYEVPAGTRVLVNAWAIGREPASWGPDAEEFEPERFEAGGRHGKVDFRGAHMELVPFGAGRRICPGLAMGVTNVEYTLANMLCGFEWAVPEGEEVSMEEDGALTFHRKTPLVLLPTPYVPPV >SECCE2Rv1G0084250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:173427033:173428409:1 gene:SECCE2Rv1G0084250 transcript:SECCE2Rv1G0084250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTCSVSRVHRRNLRSLVFRVPRLLGLLPPGLPKKFLKEEYVHGPLTEVAIAGLPELPQDVLMSIFAHLEIPDLMRACSVCTSWRSAYSSLRKLGHYQRRQTPCLLYTSESAGESVACLYSLLEKRSYKLTLPEPPIRSRYLIGSSNGWLVTADERSEMHILNPITCEQIALPSVITIAHVTPVFDETGALCKYIYSRDTAEHRSTTGPQHVDLGELRQYLQKKAFVFYDASAGGYIVVLIHDPDGQLSFAWLGDDKWNWLKPRCFFQDCVYKDGMLYAVALLGEIHAFDLRGPVVTTKLIAGWADIYTCPTIYIVQAPCGDLMQVFRSQQAVGCDPCADTATHEHYTSKIKVFKVETMAEKVVEINGLKDHVLLVGQNQSLCLSAEEYPQLKANNVYVNDDHKYLTFYQNNRRDIAVFDLANNSSEELVSPPLWSNWPTPIWITPSLTKLYPLDNL >SECCE1Rv1G0026790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:374584887:374585666:-1 gene:SECCE1Rv1G0026790 transcript:SECCE1Rv1G0026790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFLPGSSSSSASSPLSYLIPRQPPPSSVVAQGFSCGALAQQAGGFYGGNGVGAAGGVEAVEVRQGGRHAGHPPLPRPPPRQCPRCRSANTKFCYYNNYSRKQPRYFCRACRRHWTEGGTLRDVPVGGGRKNRRNGGGKGAAKNPSTVTTEVSTAATQGSGAGGPAGAVDAFIPAEILRQMLSQSGSFTAVGGGGGYGIDVSAWQQMTGAAAAPQGASDGGAAGVTAPATDANCGTGAQYWSGWQLQDDMPGFDGTF >SECCE1Rv1G0036970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:515272135:515278520:1 gene:SECCE1Rv1G0036970 transcript:SECCE1Rv1G0036970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPLYSEETEGFRASHPMLLVVAGDETNGLGMVQGGRLSALIRDTSTEPQTGNCISTPTVVRFYSLKSHSYVHVLRFRSAVYIVRCSPRVVAVALAAQIYCFDAVTLENKFSVLSYPLQGAPGANIGYGPMSVGSRWLAYAPNGPVLSSTGRLSPQNLTPSPGVSPSTSPSNGTLVARYAMESSKQIAAGIINLGDMGYKTLSKYCQELLPDGSNSPLSSSPGRRSGKLPSTVHPLEADNAGTVIIKDVTSKVVISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTCIANGSGSKRYDWASSHVHLYKLYRGMTAAVIQDISFSHFSQWVSIVSARGTCHIFTLSPFGGDSSLQPQNSHSDGPPLAPCQSRPWWSKPSFLMDQQLHPVPSTVTNSVVSRIKNNGSSWLNTVSNVAASASGKLSVPSGAITAIFYNSIYKGSLPAPSKANALEHLLVYSPSGHVIQHELLPSSGSESSDNSPTVGPGSHLQLQDDELHVTAEPVQWWDVCRRTNWPERDQDIANVVFHSQLNSMMTPDTSDCDDSDHSDFTPSNDGVSRKEVMKVKERSSWYLSNAEVQISSWRIPIWEKSKICFYVMDHPATESGEAVSIHGGEIEIEKLALHEVELRRRELLPVFKQFHYPEQNRNYASRQIQNALSGIDNTQYSSAKDSDAYGPKPVPHISGFYTDMRKTENMNGLAGQLFSGPIPAVDLLPKEKCNSIGSPEARNLTVNHKVDNGSIGCVSTPIGTNASTIMPLSREGVDCVPSHIRPLSNYSLLDGPLDNGSPSPASNGSCGPEITNNSSVSTGAISDIPNGGHTSVTTGQNETPGSQNSGEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGGVFAFSEEG >SECCE3Rv1G0149700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:27794192:27797865:-1 gene:SECCE3Rv1G0149700 transcript:SECCE3Rv1G0149700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGGREEGEPPPSPASWRLRMGSSDAMRAVPERLHRRPPFFSRLFGAACPSHGKQRKIAKYYEKQESLLKDFSEMESMNELGCLDQNSGPTKEELRQLAKGERLAINLSNVINLVLFVGKVVASIETKSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYSYPIGKRRMQPVGIVVFASVMGCLGFQVLIESGRELVTQEHTTFDTWKEMWMVGSMSSVAVVKFFLMLYCRTFKNEIVRAYAQDHFFDVITNSVGLVSALLAVKFKWWMDPVGAILIALYTITTWARTVLENVGTLIGRSAPAEYLTKLTYLIWNHHEEIRHIDTVRAYTFGTHYFVEVDVVLPGDMPLSQAHDIGEALQEKLEQLPEVERAFVHVDFEFTHRPEHKADV >SECCE3Rv1G0165280.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:172122959:172127023:-1 gene:SECCE3Rv1G0165280 transcript:SECCE3Rv1G0165280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRPPAPMPDTLSDAFAAAVLLSSNDKPDTLPPGRLSPVSPLPHSSSKHHHHTPSSSSGSSGSVSRAPAFASRRSHSGEIPLPSDAPPRGARPGHRRTGSGPLIFTSGSTSSSATSPLTNALPAGNICPTGRLAKPLPSFSAASTPPPPAPPRAIRHDVLGSGTGNYGHGSIMRSRSGGVAPEEDAAVRRAMSSADPEELKRAGNEQYKKGYFEEALRLYDRALALCPDNAACRGNRAAALTGLRRFGDAIKECEEAVRIDPSYGRAHQRLASLHIRLGHLEDAQKHLSLATPQPDLLELHKLQTVEKHLGRCLDSRKVGDWKNVLRECDAAIAAGADSSALLFAARAEALLRLNQLDEADMAISSASKLDYSSSCTSDTKFCGFFANAYLYYAHAQVDIALGRFDHAVSSADKARIIDPRNVEVITMHNNVKAVARARSLGNELFKSGKFSEACIAYGEGLKHHPVNPVLHCNRAACRFKLGQWEKSIEDCNEALMIQPNYTKALLRRAASYGKMERWAESLRDYEVLRKELPGDTEVAEAYFHAQVALKSSRGEEVSNLKFGGEVEAVTGMEQFQMATSLPGVSVVHFMTPSNQQCGKISPFLNALCTKYPSVNFLKVDVNESPAVARAENVRTVPTFKIYKNAIRVKEMICPSQQLLEYSVRHYGT >SECCE4Rv1G0258870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:679901616:679903515:-1 gene:SECCE4Rv1G0258870 transcript:SECCE4Rv1G0258870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTSGSWSPDALLDEPTRDRAPRTSAAYADGGDDDHEDVSAERVTSRLRKPGALRALCKKYSIPDGFAPVLAGDRSSCSTPPPGSVCVYLDSLDAGMRLPLHPFFAAVLNHFGLAPGQLSPNGWRAMAGFVALSRSAGVDPSLAVFRHFFALCPFPPHGFYTLRGKDANGLLFVRIRAKFIKGWKEDFFFLESLSAPWPCPVEWGEPSRSSTFDPSLTAQEKAVADSLLRARGSSPIDLFAYLHHRNMAAASIIGVPSPPPTAKAAPVHVAMEEAAAARALPGKVKVTVKSEPDYKVPPCAPSPGKKRKLPEDRADGESSASDPSCPPGFSSPWLSPPATSKDGDWKAARQLLQGIVTPSRERELAASKPADVVASTYVSLLQTANEVAFSLGYALELEEKLRARERKADALQGELHRKLKARELDETTKAATAAREELCREMKVRERDTDALREELSRAKAVLAETKADAGIAAAAARGLREELDKNVKARERDAAESDALRAELHEAKAELAATKAEAERAAAARWFWGSSREHARALAERELRGYERGLEDMRRAALRRYPYLDPSLLFVPVSGPP >SECCE3Rv1G0158190.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:86075437:86076696:1 gene:SECCE3Rv1G0158190 transcript:SECCE3Rv1G0158190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNYHHLLLRRWMLVMVVAVVLHAHGDLLAVQAAAQKQLVPCMYIFGDSLVDNGNNNNILSLARANYQPYGVDFPDGAAPPGRFTNGRTMVDLLAGLLGFQPPFIPAYAMAQPSDYARGLNFASGAAGVRPETGNNLGGHYPLSEQVSHFRSVVGQIAPAGKEKRLGRCIYYVGMGSNDYLNNYFMPDYYNTAQNYDPATYAAALLQEYERQLTALYALGARKFVVAGVGQIGCIPYELARIDDDQGDDQGRGRPPPTSGIGLAIPGISIGIGGNRTTGSSGGGGTKKRSGCNDKINSAIAIYNRGLLAMVKRLNRGQQTPGANLVFLNAVNSGKDLAANAAAYGFTVLDRGCCGVGRNNGQITCLPMQRPCDDRNKYIFWDAFHPTEAANRIIANKVFSSSSTSDAYPINVSRLAAI >SECCE1Rv1G0005260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:24722352:24723898:1 gene:SECCE1Rv1G0005260 transcript:SECCE1Rv1G0005260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSGAFHSSLTLQSLTVFSLVAVLEADHVQGRDDGCPPFTCGHLQDIRPPFRRRGDPLECGVEAYELGCTSSKATIHINIGTYYVTAINYTGSYFWAMDPNFNTGSNCPLPLWNHVPYLGYNGVVDSDSPGFGDFSIPSYNRACFANCSRAVTNKSAYKPVACQSAKNSHVYVWVSNYTYCAVEDLEPYCGYLAKIPFGNEYSPDWRQLQNASYAEITQFISKGFTVQFPLDTMRPSSYVKSIKTINICLNNSISYFREQVSGASIVNWTHAFFWSEVHFLECVTQSPEDHYFTTKLVLIVATILSAIGIPSFFFVLLCRFLLAPLAVWIFLAYKYWKTRIIIYAVEKFLCIQQMIGPTQI >SECCE3Rv1G0188120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:709837585:709838991:-1 gene:SECCE3Rv1G0188120 transcript:SECCE3Rv1G0188120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVLYPGVGVGHLVPMVEVAKLFLKHGLAVTVVLIDPQVESTDFSAAVARARASNPSVAFHVLPPPPADSNSDSAPTHTVIQIFRLLKAMNAPLLDFLRSLPSVDALVLDMFCVDAQDVAAELKLPVYYFYASAAADLALFLNLSSKLAGSKVKELGDSIITFPGVPPLKASDLPEVTHNDEVLTAILGMFGRMPDANGILINSFESLETRAVRALRDGLCVPGRATPPVYCIGPLVSGGGDKGHECLRWLDAQPDQSVVFLSFGSLGTFPVEQLQEIAVGLEKSGERFLWVVRSPRSPDYKYGESLPEPDLDALMPEGFLERTKDRGLVVKSWAPQVEVLRHRATGAFMTHCGWNSTLEGITAGLPLLCWPLYAEQKVNKVHIVEGMKLGVEMRGYNQAVVKAEEVEEKVRWVIASEGGKALRERVAAAKDAAAEALKEGGSSDLAFVQFLNDLDTSIVPTVQD >SECCE1Rv1G0023270.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:301838525:301846873:1 gene:SECCE1Rv1G0023270 transcript:SECCE1Rv1G0023270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARYSELRRAVEETAAVDAHAHNLVHTASSLPFLRCFSEADGDALAFAPHSLSFKRSIKDIAALYGCEASLEKVEEFRKAQGLSSIGSKCFQAANISAILVDDGITFDKMLELEAHKEFVPTVGRVLRIEWLAETIINDDSFSGSSWTKVVGLKSIAAYRSGLEIDPCVSKTDAEDGLRKELTGQRPLRITNKSLIDYLFTCSLDIAVQCQLPMQIHTGFGDKDLDLRKCNPLHLRAVLEDERFAKCQLVLLHASYPYSKEASYLASVYSQVYLDFGLAIPKLSVQGMVSSLKELLELAPINKVMFSSDGYAFPETYYLGSRRARDVVYRVLSAACEDGDLSIREAIDAVEDIFRRNASDLYKLNVANGSIHQKTMIADSRIASSCVEQDVLFVRIVWNDASGQHRCRVVPAGRFYEIARNKGVGLTFASMGMTSFCDGPADGTNLTGVGEIRLMPDMSTLLRLPWSTREEMVIADMQIRPGEAWEYCPRHALRKVTKVLLDEFNVTMKSGFENEFYLRRKLVSEGHERWYKVESLLRHLFWDGGSSSYCSTSSFDGASSILQEVYSSLKAANIVVEQLHAEAGKGQFEVALKYVMCTLAADNLIYAREIIKSVARKHELIATFLPKPDLNDIGSGSHVHVSLWKNDQNVFMGSDEYSHYGMSKVGEQFLAGVYDHLPSILAFTAPHPNSYDRIQPNTWSGAYLCWGKENREAPLRTACPPGVPLDMVSNFEIKSFDGCANPHLGLAAIVAAGIDGLRKGLKLPEPIESNPADYATKLKRLPQDLLESVESLAADKTLHELIGDKLITAVIAVRKAEIDHYSKNPGAFGDLIHRY >SECCE4Rv1G0216860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:13025956:13029432:1 gene:SECCE4Rv1G0216860 transcript:SECCE4Rv1G0216860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSPQYRSPPRRGYGGRGRSPPRRGYGGRREQSSGSLLVRNIPLSCRPEDLRVPFERFGPVRDVYLPKDYYTREPRGFAFVEFVDPYDASDAQYHLNHTLFFGREITVVVAAESRKRPDDMRNRARIRGYSGEPERRHSRHGRSRSRSRSYSPRYRGRPQSRSRSRSRSYSPAPRRRGDYSASPPRSHHTQSPRRLPKGHEEDKRRSYSPAGRGGGERDADTNGKRSPPSDIDGSPPRSRRSPRQSSGSPPRTRRSPRQSSGSPVGSRSRSPEASPARSD >SECCE3Rv1G0145230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:6017336:6017962:-1 gene:SECCE3Rv1G0145230 transcript:SECCE3Rv1G0145230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNALLKLWAMVEDSKSARVTDNLESSFTIHHLTEEKNKLEANYDKLVQDVHELMDFQEDRVVDFRYLQSNLTYQQQCRSEIVADMKAEMAKKDVEYQKLNQKYEVLLNLTRAQATVIQNLKLKNMTEKQLLSEAKMNLELKNAELMKGEEKLNQDKLELKLQVSDLLKGKAKHVEEKGQLENQIVELIKAEEKLKVKIKGIQAILQN >SECCE4Rv1G0235180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:321522693:321561253:1 gene:SECCE4Rv1G0235180 transcript:SECCE4Rv1G0235180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVMVNVDGLANTKDDGIAEKPSEAVSVTVVDMAEDGDEDEPLIQAAECRICQEEDSIKNLEKPCTCNGSLKYAHRACVQRWCNEKGDITCEICHEQYKPGYTAPPRVQPDETSIDISGDWTITGAPLDLRDPRILAVAAAQRRLLEAEYDEYGGTDANGAAFCRSAALILMALLLLRHALSISDNEGDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQREEAASIATAEVAFILQSAQGRALQFTIAPDSPTTPQHEPHQQQQ >SECCE4Rv1G0215860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:8108690:8109612:-1 gene:SECCE4Rv1G0215860 transcript:SECCE4Rv1G0215860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSQPPTTGSGGGERGRHGDEEEEQQQAAWAEAAGVQPLVMPEDGYQWKKYGQKFIKNIQKIRSYFRCRDKRCGAKKKVEWQPGDPNLRVVYDGAHQHGSPSSSNGQDGGDGAANRYELSAQYFRGGAPTPQTR >SECCE6Rv1G0384960.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:70855413:70862629:1 gene:SECCE6Rv1G0384960 transcript:SECCE6Rv1G0384960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPLPRWAPTPSPSRPLWRWGGGTPDGRTGPGGEGGAGWSLGRIFPWASAWQRRPPAGVDGRGPAGFDGVEAASTRAAVAPAPARWAGTDDDPGVFLTWEDVCVTVAGGAYGAQPVSILSGITGHAGPGEVLAIMGPSGCGKTTLLDTLAGRLGPGITETGSILINGRREKLAFGTSAYVTQDSVLMSTMSVREAIYYSAQLQLPGSMPAAEKRAHADAVIREMGLGDAMDTRIGGRMTKGISGGQRKRVTICVEMLTRPRLLFLDEPTSGLDSAASYHVMSHISRVAAREGMTVVAAVHQPCGDVFDLFHRLCLLAYGRTVFFGPASDATQFFTESGFPCPPLRNPSDHFLRTINKDFDEEIVESSKARRKTAAEAIEILTDAYQSPAYSEKTMDRIAEMKGIGGAPFRKREQASFLTKLFVLTRRSFVNMHRDIGYYWMRLGVYLGIGICLGTIFYQVGHSYSSIQSRCEVIMYTTALLTFMAIGGFPSFVEDVKVFRRERLSGHYGVAEFVISNTLSATPYLAVIAVIPGAMLYYLTGLTKGPDRFAYFVVNLCMCTLLVESMMMIIAVIVPDFLMGIIVGAGVQGVMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFIGQTFPSDQLVEKNVTISGLQVLQEKLQVEMGYSKWVNIAILCGMMVVYRVMFFAIVKIAEEVRPKLRGMRCKLCK >SECCE6Rv1G0452760.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:879346353:879346865:-1 gene:SECCE6Rv1G0452760 transcript:SECCE6Rv1G0452760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRMLTSTTTLMVIMCLLILSLSVNSTTANCGCCISFAAKTCCSTCILAGGSDFACKNTCCFPCILADSVVAKMNEMGMVSKMEGLD >SECCE6Rv1G0435980.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:775407388:775409359:1 gene:SECCE6Rv1G0435980 transcript:SECCE6Rv1G0435980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGLRKRVSDMMDGGKYKPKGYGMAAAGQRLPCALLLLLVLAAGALSVVVMHKVREQRAFAVVLKERDVQTVSLRIQLQKEKAYGKETKRKAEEMKATMSSLRTQKTDLKTKLKALEATATILKNAQKELEASLKERESRISQMEGKAANLHSTRKALEASLKERDSRIKQMEERVISLQKAQKEQEVSLKARDNRIRQLEEKATTESNPDQMAALMEILQRKEAELEEIRTRFQDYRTADRTVVSSKRTPARTIPTTPAVVVGGDVTNSSRNSTIPARSEEKRAGNTTVTESKLQKPKIRSLEEKQAKLAVAGNTQVDDLQEQETDFLDMDDIYGDSHGKKSELARRNKKVLTDSHVENKKSGHPLDQQDSHHIRYNKLLEKENIKPDETKKKKNSTVGHLEKISKDETKKKNSTVGYLEKISKDSLSDADLDRPRQGVTGAADVKLRVPVNDELQQNKKQKNKKPKSKKKVVDASTW >SECCE6Rv1G0418870.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:665043376:665048724:1 gene:SECCE6Rv1G0418870 transcript:SECCE6Rv1G0418870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAEQHTRKAVGLAARDASGHLSPLVITRRSTGDDDVVIKILYCGICHSDLHSIKNDWKNAKYPMVPGHEIAGEVNEVGKNVTKFKTGDHVGVGCMVNSCQSCESCNRGFENHCTGIIPTYNLVDLDGTITYGGYSNMVVVHERFVVRFPDTIPLDKGAPLLCAGITVYSPMKYHGLNIPGMHLGVLGLGGLGHVAVKFGKAFGMKVTVISSSVGKKKEALEKLGADAFIVSKKDEEMKAAMSTMDGIINTVSANIPMAPLLGLLKPNGKMIMVGLPEKPMEISPFALIATNKTLAGSLIGGMRDTQEMLDLAAKHNVTADIEVIGAEYVNTAMERLAKADVRYRFVIDIGNTLDKAAPAATTTE >SECCE7Rv1G0494820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:589956715:589959043:1 gene:SECCE7Rv1G0494820 transcript:SECCE7Rv1G0494820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDELCDQLLVDVDGEFHLPTDAEDLFSILETWEDCVSGAAAALSPTAGVSSRGGLLGGSAPGNKGSKRRARQDDCDGTAQTQKRQKCSPEEGGGAAPKTAHITVERNRRKQMNEHLTVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVKQSLEAKKQRKAYTEHVLSPRPPPSSYSPRLPLSPLHKSTPPLSPLLRSTPPLSPRLAVPISPARTPPTPGSPYKLRPLPPPISGSTYVSPAMTPTGYEPGSSYLPSLDAIAAELSVYANRQALQLPPSDPLPDVRVEFAGANLVLKTVSHRAPGQAVKIIAALESRAPALEILHAKISTIDDTDVNAFTVKIGIECSLSAEELVQEIQQTFS >SECCE5Rv1G0326200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:474508149:474508697:-1 gene:SECCE5Rv1G0326200 transcript:SECCE5Rv1G0326200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 domain-containing stress-associated protein 12 [Source:Projected from Arabidopsis thaliana (AT3G28210) UniProtKB/Swiss-Prot;Acc:Q67YE6] MARRGTEAFPDLGAHCDREDCNQLDFLPFDCDGCGKVFCTEHRAYVEHGCPRAADQGRTVVVCEACGDSIERAGADKTDAEILEAHARSRRGCDPARKRKPRCPARRCKETLTFSNTSQCKGCGQKLCLKHRFPADHECSRVSPAGAAAAARRAGDCGRDAQKTKDGGGWALPPLIRNFKMF >SECCE7Rv1G0471750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:133857825:133863769:-1 gene:SECCE7Rv1G0471750 transcript:SECCE7Rv1G0471750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLARLLPLLLSLLLLAGAARGKTVKRDVKALNEIKASLGWRVVYSWVGDDPCGHGDLPPWSGVTCTQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLKKLDLHNNKLTGPIPPQIGRLRHLKILNLRWNKLQDVLPPEIGDLKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRFTGRIPPELGTLKNLRHLDVGGNHLIGTLRDVFSIENGFPSLRNLYVNNNQLTGVLPDQIANLTNLEILHLSNNRLIGSISPKLVQIPRLTYLYLDNNNFIGRIPEGLYKHPFLKELYIEGNQFRPGSRSKGMHKVLELPEADLSV >SECCE4Rv1G0221030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:44155044:44159534:-1 gene:SECCE4Rv1G0221030 transcript:SECCE4Rv1G0221030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGEGGEKKGRRRRRWLPAACLPRPGCFTVSAADEGPSGSGSGSGADDGGGDGGSSRAPTHLIVTVNGIVGSAENWGYAAKHFIRKHPEDVVIHCSGCNSATRTFDGVDVMGRRLAEEVISVVKCRPELQKISFVAHSLGGLIARYAIALLYEPATQPDCHEEYEKDVNDARSNQPMGQGKIAGLEPMNFITFATPHLGTRSHKQMPLLRGSYRLEKMAFGMSWLAGRSGKHLFLKDVEDEKPPLLLQMVTDYGDLHFISALRSFKRCVAYSNVCGDFVVGWKTSSIRRQHELPKKEDFVDDVRYPHVVYVEKPKARDVDFSDEMIYQAKTTSEMEEIMLKSLNRIPWERVDVSFKRSRQRIFAHSTIQVKTYFFNSDGADVIFHMIDHFLY >SECCE7Rv1G0476940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:212870124:212871817:1 gene:SECCE7Rv1G0476940 transcript:SECCE7Rv1G0476940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMESIEPALPPVPSMASGFAPDIWQWIRSLPGQWRADESHSLQICNSPSTNQSLNLVITRQSEETHPFSLSFSICDRSRDHDPVSLWSSHYSKLKPANTTDVAAQFLVETICGVLRYGPYSSSRAIFRLPAVHVSEGSGKILGLSVLALAFLVCIYEAPSAPRREFIGAITARLTRDEMRHAARELMLALGSDLEEQWMRSLNLGVTNWAMEAHRSGAGASPLRFAVFSYALSASRLWKVQLYCPIVAMAMEHHPSHHHHQQQHQQQQQLVKDERLLFSLNYQQLESVIQFTYRVAFQENWIDVAVNVDNIRCDVIQLVSETLMARQGYGSDEKHFPSRISLQLTPLAQSDILSLSVSRSTDNPVQEVGTDKGIDTTLAAAPASIGISVSAHETVTRTMKPWKFEHSVHGNTASLSWFLHGSGGGGREVFSSDPPKLELFQPRSWFRNRYTSPSRPFTRSGGVIFAGDEYGEGVCWRMCAAAAGKTMEWEIKGRIWVTYWPNKKRTLHTETRRLEFRELLHLTIGEQ >SECCE1Rv1G0046730.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:619578583:619582538:-1 gene:SECCE1Rv1G0046730 transcript:SECCE1Rv1G0046730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGPAAALVPRLAVSSASRVEELERFSHYVARQIGFDHASECPHLCTLAYDYLRKNKGYEENIFAFFHNTADPGSLIVNFIEELDKCILGYFSFHWKCSTYMITQVLTVEGAPKRRLRNMVLEATRSQRFERVTRNLKVTRLFSTLVEELKAIGLSPQDQAQCSDVMVPVAHCDRSPVLLLMGGGMGAGKSTVLKDILKESFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTLSWEPFFQQTVAMARAVHRQRYRMGVGYKVTEDGSITEDYWEPVEARSTDEESEMRSRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVMSQLQSHKRFATAFRGYCGVVDNARLYSTNSMGAPKLIGWKDGESNLLVDPEEIGCLERVSGLNDEANCVDELYPEGQPSPSAWQDLVASPSRASVQRELKAAVQTSEARFRAASLADGALRGGDAPVQTF >SECCE1Rv1G0012310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:91129847:91135552:-1 gene:SECCE1Rv1G0012310 transcript:SECCE1Rv1G0012310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRAFNVDGGQPADGARGGRKGAGGGRGSVPFHRLFAFADGADAALMLLGAVGAVANGAALPLMTVLFGGLVDAFGGAAGGSGDVLARVSQVSLEFVYLAIASAVASFAQVTCWMITGERQAARIRNMYLRTILRQEIAFFDMYTSTGEVVGRMSGDTVLIQDAMGEKVGKFIQLMVTFFGGFAVAFAQGWLLTLVMVATIPPLVLSGAVMSNVVARMASLGQAAYAEAAVVVEQTVGSIRTVASFTGEKKAVEKYNKSLKCAYSSGVREGLVAAIGMGTVMMLLFCGYSLGVWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINREPEIDAYSTEGRMLDDIQGDIVFRDVHFSYPTRPSEQIFRGFSLAIQSAKTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVNIKELQLKWIRSKIGLVSQEPALFAASIRDNIAYGKDNATDQEIRAAAELANASKFIDKLPQGFSTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRVMTNRTTVIVAHRLTTVRNADTIAVICRGSIVEKGPHNDLLRDPEGAYSQLIRLQETSHASEGVSNQNKSGRKSDTGIRLGKQLLVNQSSSQRSSRDNSSHHSFSVPFGIPHEIDVQVGSSKNITDEIQQEVPLSRLASLNKPEVPVLILGSVASAISGVIFPIFAILLSNVIKAFYEPPQMLKKDAAFWSSMFLIFGAVYFLSLPVGSYFFSVAGCKLIKRIRLMTFEKVVNMEIGWFDDPHNSSGSIGSRLSSDAAKVRGLVGDTLQLVVQNTATLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMDLYNKKCEGPLQTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVEDKKTTFPKVFRVFLALTMAAIGVSHTSTLTSDSSRARSAVSSIFAIVDRKSMIDPSDDAGVNLEPLRGDIEFRHVRFRYPTRPDIQIFEDLCLTIQSGKTVALVGESGSGKSTAIALLQRFYDPDAGHILLDGVDIQKFQVRWLRQQMGLVSQEPALFNDTIRANIAYGKEGEATESDIVSAAQLANAHKFISSLHQGYDTVVGERGAQLSGGQKQRVAIARAVAKDPRILLLDEATSALDAESERAVQDALDRVAASRTTVVVAHRLSTVRGADLIAVVKDGAIVERGTHDALIAVKGGAYASLVALHSASAAS >SECCE2Rv1G0108850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:669076204:669081156:-1 gene:SECCE2Rv1G0108850 transcript:SECCE2Rv1G0108850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVMECSVCHSKVVAGTPRSISRAYDKHKSKISSKQRVLTFLLVSGDCVLVGLQPILVFMCKVDGKFQFSPISVNFLTEVAKVFFAMIMLIIESRKQKVGEKSLLSVSTFVQAARNNVLLAIPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMRRKFSIIQWEALALLLIGISVNQLRSMPEGTKTFGLPVTAIAYIYTLIFVTVPSFASVYNEYALKSQFDTNIYLQNVFLYGYGAIFNLLGILGTVIFQGPESFDILRGHSRATFFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISIVFISMHQFFSPLAKVKDDKPAGTLELGDAQKHRSSDSFVNMTAGAADDASHISDDRKPLLPI >SECCE2Rv1G0128660.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:864011120:864013422:1 gene:SECCE2Rv1G0128660 transcript:SECCE2Rv1G0128660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKTSSGREYKVKDLSQADFGRLELELAEVEMPGLMACRTEFGPSQPFKGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGAGGGPDLIVDDGGDATLLIHEGVKAEEEFEKSGKIPDPESTDNPEFKIVLTIIRDGLKTDANKYRKMKERLVGVSEETTTGVKRLYQMQESGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGIQILTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMNGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKASGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLTKSQSDYISIPIEGPYKPAAYRY >SECCE4Rv1G0219120.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:28365661:28366848:1 gene:SECCE4Rv1G0219120 transcript:SECCE4Rv1G0219120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAGLHEDFLLLLVPRLPSLDLRSFRAVCASWRAAAATFAEAGGRPRPDRPWLLLPADAPDPEFRRLVISRDREVPVVTLPARLGRAHDRRLFPLGSSRGVIVAADDRGAMHLLDLATGRRAPLPPVAALPLADRVESTPAGLSVHLKRDPCAYSIDGLVHNKATLIHKAVAVPTPGDGAMVIVIYLPECHRHQWATARPGDSTWKSVKQGSVPAVVDLALHRGLLYANTLCGMVYVYPELHGLSSASPDIIPYMTRRPTTHLQSSFLVQTPGGGALMQVEVVGSEAPAGGEELVVRVLDECSGTWEEEEEDIGDVALLVDASGAVAVSTRDCPGLRPSTIYYAKDLEGGETQVRAYSLAGKHKKVEFEVVESLPRAEGNNRQCFWFTPVYSQ >SECCE6Rv1G0439290.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:795814467:795818184:-1 gene:SECCE6Rv1G0439290 transcript:SECCE6Rv1G0439290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNVPAALLLLLLLASSGPLRPTLSGAAAPLEPVEVSLLAGAQEKGAVCLDGTPPGYHLQRGSGDGSGSWLVHLEGGGWCSTLKDCSGRRMSVLGSSKFMKPLQFAGHGIFDSDQIYNPDFYSWNKVYVRYCDGASFAGDAEGQAQDGTTVYFRGLRIYEAVIGELMEKGLANATQVLFTGCSAGGLATILHCDDFSARFPQQVSVKCFADAGFFLDVKDISGERSFWSFYNRVVQLQNVRQVLHKDCLTNKDPTECFFPTELIKSIRTPMFILNSAYDSWQIQNILLPGSSSPEKSWLSCKDNIGNCNSTQIKVLDEFRNTMINDLKVVNDKQDWGMFIDSCFTHCQTLFRISWSSPTSPRLGNKNIAKVVGDWYFGRSKGVKETDCEYPCNPTCNSLPPP >SECCEUnv1G0560040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:344053249:344054365:-1 gene:SECCEUnv1G0560040 transcript:SECCEUnv1G0560040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSTALDDLVAATPAARKSQRAPATPTGSSGRFHRLEVIGTGTFGVVYRARDRRTGEIVAMKCLRAGDGDDVGRYLSDFAAEVSALEACSGHPSIVQPRASGHLGSEAFLAMEFVGPTLRYVMKHVRLGRRHTELEVRLLMRQLCSGVRRMNRLGLMHRDLKPDNVLVDSQGNFKICDLGLSCSMADEPPYSNPVGTRGYRAPELLLGCTDYHEHVDSWALGVMMAELLAGKHPFHGRSDTEHLSEILDLLGTADIKDWSGYDGRRLPSGSQPGSFLRNKFPCPAGARIKGPPTLSEAGFEVLSGLLRCNPEKRLTAEQALKHGWFKEANPRASRSGYE >SECCE5Rv1G0356230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:737794612:737795280:-1 gene:SECCE5Rv1G0356230 transcript:SECCE5Rv1G0356230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKGLVLLDFWVSPFGQRVRIALAEKGLPYEYVEEDLLGGKSDRLLRSNPVHKKIPVLLHDGRPVNESLIILQYLEDAFPDAPALLPSDPYARAQARFWADYVDKKVYDCGSRLWKLKGEPQAQARAEMLEILKTLDGALGDKPFFGGDKFGFVDAAFAPFTAWFHSYEKYGEFSLAEVAPKIAAWAKRCGERESVAKSLYSPDKVYDFIGLLKKKYGIE >SECCE4Rv1G0252420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:614445537:614446105:1 gene:SECCE4Rv1G0252420 transcript:SECCE4Rv1G0252420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMPWFGGGRGGMDPFSSDPWDPLGASWLLDGGRRLSGEGGSRDDATAMARTNVDWHENDKEHIFSAEIPGVRKEDVKVEVEDGNVLKISGEKTREEEHKGDAWNRVERSFGSFMRRFRLPENAKADSIRCTMQDGVLRVVVPKDEQAQKQRNVRSIDIA >SECCE5Rv1G0316660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:327247378:327247860:1 gene:SECCE5Rv1G0316660 transcript:SECCE5Rv1G0316660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAMEIKAIGQETIAAIMMLEDGDDDEVLTSDGGSDSHGEMIVTDDDDELFELNITFLRGDNADGQDRHDTHSAGDGAHALMANCLLPVSSVSMAVPVADSNIVSSYCAFSTYNSSRKLGISGGGRRRLGRAAADGRNSTWARFRLSSRGFATVGNFQR >SECCE4Rv1G0262950.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:713744381:713745277:1 gene:SECCE4Rv1G0262950 transcript:SECCE4Rv1G0262950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSSLLQLLAVAVAAAQFLGSEGGGISIYWGQNGGEGTLAETCATSNYKFVNIAFLFTFGNGQTPVLNLAGHCDLTNDGCAYLSSHIKSCQNRGVKVTLPIGGGAGGYYLSSTQDAKNVATYLWNNYLGGKSSSRPLGNAVLDGIDFDIEGGTPLHWDDLARFLRGYNNSDRRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNAPCQYTSGSTTNLADAWKQWLTVPAKQIFLGLPASPQAAESRFIPVDDLKSDVLPLIKSTGKYGGIMLWSKYYDDQDGYNSSMKSDV >SECCE2Rv1G0133650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:898003761:898003994:-1 gene:SECCE2Rv1G0133650 transcript:SECCE2Rv1G0133650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSNRSSRVATCLVLILLVVSLREASAMRPLRPADDVKDQAIVDKYAPLLHTMLPRGPAPPSAPSGGTNEAGN >SECCE4Rv1G0291170.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:875988962:875995528:-1 gene:SECCE4Rv1G0291170 transcript:SECCE4Rv1G0291170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) UniProtKB/TrEMBL;Acc:F4I448] MENPDGQAGADAGLPPPPPPPLDAAGAGLGTDPAEVLPPPPPLLPGAAPHAGSAYPAEHAALNGTSGDAAGYHQAAENGGAAEAMAEPMVPEMAYEDAVGSAEEARLWDVVTADCLDFDAWTALIEETERVAESNILKIRKVYDAFLAEFPLCFGYWKKYADHEGRLDGVNKVFEVYERAVLAVTYSVDIWCNYCQFAISTYNDPDVIRRLFDRGLAYVGTDYRSNTLWDEYIKYEESLQAWSHLAVVYTRILEHPIQQLDRYFNCLKELTATRSLSEILTAEETSLYCLTVENSAQVLDGETHPNDVEKTAEPEVSSSTEAEDKAKYVSIREELYNKAKEYESKILMFEQAIRRPYFHVKPLDKPELENWHSYLDFIEKEEDINKVIKLYERCIIACASYSEFWIRYVQCMEDRQSLELANNALARATHVFVKKQTEIHLFSARFKELNGDTDGARAEYQHLHSVLYPGFLEAIVKHANMEHRLGDKESACLVYEKAIAAEKEKEQSQLLPMLLIQYSRFLYMVGDLEKAREISGGLHGLANLTKPVLEAVIFLESIYQSEKRIDLLESLVEKFLTTEQTQGEMASLSDKEELSSIYLEFLDTFGDVQSIKKATTRHTILFSRKRSSLPSKKRRADDAVMSGRDKMAKTGDGTQPSMGTDPNAHNPAVWPATSEASAQQWGAAYAQQAAYPAYGAYDYSQQMPQSAPQAAAYGAYPPAYPAQAYPQQSYAQPAAMPVAAPVPTPAQAPAPAAAYPQQPAVAAAPQAYYGGAATYY >SECCEUnv1G0538050.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:71239386:71241048:1 gene:SECCEUnv1G0538050 transcript:SECCEUnv1G0538050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFCVQNLAGTLGTPDVPTRYVVRGHQDQQLSTTVVAPVPVINLGLLSKQDGGAADEAAKLRSAIDSWGLFLISNHGVDAAVMDGMMAACREFFRQPLEEKQRQSNLIGDDEYEGYGNYEGYGNDQVSSPDQTLDWTDRLYLKVEPEDERRIALWPAHPESFRDILHEFTKKCGVVKDDLLRAMAKLLELDDNDYFVDQLSEKPLTNARCSYYPVCPRPELVFGLTPHSDGTIVTILMVDDSVGGLQVLRDGVWWDVPIIPHTLMMIMGDQMEIMSNGIFKSPVHRVMTNAKKERLSVVLDYSVDPDTEIKPSAQLVNEKRPALYRKVKVKDYIVAHYTYFSQGKEVVMGKLKI >SECCE7Rv1G0472430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:142391939:142394160:1 gene:SECCE7Rv1G0472430 transcript:SECCE7Rv1G0472430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKFLLATITTVSVLLYMFPHGNSESIALPPESAYGPAAESPDAGAPELSPRLAPMVFDVDDYGASAGGDATEAFLAAWKDACNSSSDPSLFLVPEGKTYLLMPLSFIGPCRATTITAMIRGTLEAPSNRSVWLDGDRRERWITFEDVDGLHVMGGGTLNGHGQEWWINSCKVNKSMKCVPGPTALYFRSCNHLVVDDLEVKDSMQMHVVIANCWKAAVSRLFVTAPGWSPNTDGIHVSNSREVSITNCIISTGDDCISIVSGSEFVRASGIYCGPGHGISIGSLGANKSRAHVSDVLVEKATLVGTTNGVRIKTWQGGEGSAERITFQDVKMFNVTNPIIIDQNYCDSKKPCSEEDSAVAISDIRYNNIHGTSSSKVAVKFICSNAVHCDGIVMQDVSLVGQKGAYLTCSSVNARVITPGFNSPYCTADM >SECCE6Rv1G0434930.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:768921960:768923255:-1 gene:SECCE6Rv1G0434930 transcript:SECCE6Rv1G0434930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDEVEVVESCMVAPSQETPRRGMWLSPLDLMLVNRGHTPAVYFYPYRSGTGDFFDVARLKAAMAKALVAFYPLAGRLGMDGNGRAEIDCIGQGALFVVARSDLTIDDFCSFLPSPELRTLFVPRVEDHSPSVLCAVQVTFLKCGGVALGTALHHVAVDAISAFHFLQTWSAFSRGGGGAALELPFHDRTLLRARSPPLVHPDAFSVFCPKLNLSVEPSETVVTQAFVVSKDQITALKRACVGGDGGRVSTFCALSAHVWRCVCLARRLPPDATTRVTFPASVRRSMRPPLPPGYCGNGIIWLGAAGKVRDVSSEDLVFVAGQISSAVRRMDDELVRSAIDYFELTEINSKPAPGRMPETELRVISWLGMPVYDVDFGWGKPLAMLRAVSERAGFVYLMDSGKGDGSVRVLMCTEAAILNDFQRLLFARF >SECCE5Rv1G0342810.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:633830824:633831795:1 gene:SECCE5Rv1G0342810 transcript:SECCE5Rv1G0342810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGAFFSGQVNRVKDVANQQQALARRQTASGQDFPGSGHRPADRKTWMAELGPERLRVHQVVWPGTHNSATAEIGVPFVTRPFAQCQSLSVYHQLATGCRLLDVRLQKDRRVCHGPLVASYTVDVVIDDVRRFLAETAAEVLVLEVRTEFGHEDPPEFAKYLVDRLGEHLIPQDDAVFRKTVAELLPRRVICVWKPRNSPAPARGGPLWGAGYLRDNWIDTDLPEKKFDGNLTSLAQQPDPAAARRHLYRVENTLTPQTDNPVLLVEPVTRRIHRFARFFVAQAFARGLGGKLQVLSTDFIDGDFVDACAGVTKARVDGAA >SECCE7Rv1G0522340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:877301066:877302696:1 gene:SECCE7Rv1G0522340 transcript:SECCE7Rv1G0522340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADVARNIVGIIGNVISFGLFLAPVPTFWRIYKAKDVEEFKPDPYLATLMNCLLWFFYGLPIVHPNSTLVLTINGIGLVIEGAYIIIFMIYAAKNTRLKMLGVLALEAAFMAAVVTGVLLGAHTHEKRSMIVGILCVIFGSIMYASPLTIMGKVIRTKSVEYMPFFLSLVNFLNGCCWTGYALIKFDIYITIPNGLGAIFGLIQLILYFYYYRSTPKKGKNVELPTVLTKNSVTSGNVSVAIEK >SECCE7Rv1G0504510.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:724427735:724428706:1 gene:SECCE7Rv1G0504510 transcript:SECCE7Rv1G0504510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVALRYILDKKSRCSTSNKRCEGLRKKAEELAIMCNAKACVLLYGEGEAVPQVFPSHDEAVPILNRYKNMPEGNFKKTVSHEGFLSQHFDKLEAKGHKLQGVCEDNETRILLHKAMLTSNLSSLDSLNIEELTNVDRKLEVIIQGMGESITKISGQPPVFQPQDPYITNTMDMGPPAMHHAPPPAPYVIDYMHTESPSTYQAPPPAPYITQNMDMGSSTMYPTPSPVPYVTSGMDLGPPTMFKAPQQQQEDSLDMMRYGGDINALVYGGYNASGRHDTSTSTVFSSGDINPKKSFEVGFGWQFGGADPEASSSSPFPPM >SECCE4Rv1G0253680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:628866854:628869205:1 gene:SECCE4Rv1G0253680 transcript:SECCE4Rv1G0253680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHYVSSALHMSYLIFAVVCRLCAPQMAGNPSNFPDPVPRNAAMLNQLYASSSSTPTATLSPQQEDVINFLIARARPLNRTSAFNFGTRTSDFAPQGTDSTLALAFANLSVGQQGSQQMAPRELMQNNYGTPTMRVPSLHQPGPAQLTPQGLMQNREALPAVDIKGKGPALEVGQQELRVHVACLTADDGTTGAAGLLIKRETWQFLCALCFPMRSTQETVLFAAACCEGIKVAQAYQPTSIVLESHLSHLVDLLLGGAHAPCRDMEELAVLLHLSRCRVQHITEDCNGAARRLAMHSLMTGEAEIYFSPPDWLVLT >SECCE6Rv1G0386240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:92833196:92838117:-1 gene:SECCE6Rv1G0386240 transcript:SECCE6Rv1G0386240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 [Source:Projected from Arabidopsis thaliana (AT4G30790) UniProtKB/Swiss-Prot;Acc:Q9SUG7] MSSGSAVTAGGSAGAEGAALGQKLMVNVAENGHSMEFECGGGTRVEAIQRAIEHVCGVPPADQLLLCGNIPLDGANHLAHYNLPRDDREVFLYNKARLHADSLPPAPESIDIPEPSIPPPPRPQDTALEVSADPALKALVSYEVMFRYDFQVANALYQSSVAKYEVCRRLLREWQVQERALDMARSNLEQAFRKPSLRYSNFMRSFAQQHRGYVEVLSTFERDVQRLRAIKLHPHLQCEGRRCLLDLMDENRLRKLADEYLSSQKAFEDFVSPLKAKFLELKRRVESLLNSMSSSAWKDLETLIKEHHRVIGDQKSIMQSLSKDVDTSKKLVDECSRSQLSASVRPHDAVSAVGRIYEVHEKDNLPSVRKFDRMLTNLLQKCKTKKNETNTLVHVCVKGVKSAQIDIKDMMMSQFILYEEAIDSRDKEFSYLKLLGGLGHAYKACLAEVVRRRHSFKLYTGLAGQLAEKLAAEREVEIRRREVFLRTWRKYIRGEIMGSMGLFGSPSQCDVNIAPFDSDLLPIDVDDLEKLAPQSLVGPLLNRERSQQHKSSSSDSSTTGNFTNVEQNSLNTDGKMDSQDFFGGCDTVDITGTSVLEVENAKLKAELASAIAVLCTFGAEYTHESIDEGQNDNVLKNAREKTAQALSAKDEYANQLQSMLKAKQEQCLSFEKRIQELEEQLANQYMHGQMVSGSKSASDSLLSTFKGNDCNLDGGRQTHLRDESSVAMDETSSTSEQPCKQTEGGDENMTDVSGALNLQLLNSAGCANLDAPMAEFSRDNEHKVVNIDKEGQMLTQLTMVDTSDIPVEGPLGILNSKTSEHHTLEFRNNELFVSELQNTIDKKSKQLDEAESKLSSVMGEVNSLKEELENARVLLDESQINCAHLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGMSGFADSLRSLALSLASSVKKDEADSTTQFQQCIKILADKVSFMSRQSDELVERYSRVEAAHGILIKELEEKKTLVNSLYSKFQLEKQASKEKISVGHFDVHELAVFVRNPAGHYEAINRSRSNYFLSEESVALFTEPHLPRQPAYIIGQIVHIERRAVKHADQSEASLRPGGHRRSAPNSNPYGLPAGREYFVVTVAMLPDTAATAR >SECCE6Rv1G0432170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:750014888:750016090:-1 gene:SECCE6Rv1G0432170 transcript:SECCE6Rv1G0432170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAFSLALPDDLLLEILVRVKDDMAALFRCSRVCKLWHSLVANPSFLRRCWPDDTSASIAGFFTREQRPGQGVGAPCFVPAPRSTMGRGRRALTTFVRPTGQILDHAEPLVSRHGLLLVRLPSHERGVVHLAVCDLLVGECHMLPPLKCSKIDPLPLYWSGYAILSGVDSCSHKEQPPPSNQPSSFKVVIIDLDYNLYTFSSDEGSWSTATRCFGDEATSNYAAVYHGPAVVCRGTAHWLFYEGMMRDLCIVGMDAKTDHVSLTRIPFEIEMDDMRCHPCLTLSADGTLSLIYIEREEARVEIWEILDETTNWLCTRSIELKEPGKKLTQRIASLHMLGERYGTMIAMGSNLRVYVVDLETETVDELIDWPKRHANRVDVVPLQMSWLTFFLTRLGSR >SECCE3Rv1G0203420.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:883280744:883283837:-1 gene:SECCE3Rv1G0203420 transcript:SECCE3Rv1G0203420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPPVAAAVAVLLLCFSGLCRAAERLGARECEELGFTGLALCSDCNALAEFVKDQELVDDCRKCCTEDSDDSISKLVYSGAIIEVCMRKLVFYPEVVGFLEEDKDDFPYVESRYAYGSPPKLIMLDDKGEQKETIRIDNWKREHIRQFLTEKVKPVKSET >SECCE2Rv1G0102580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:579799191:579799906:1 gene:SECCE2Rv1G0102580 transcript:SECCE2Rv1G0102580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTVSGLAGAALARRPAFSTSFTSGGRVSARNPLMTRNLERNGRITCMTFPRDWLRRDLNVIGFGLIGWLAPSSIPLINGNSLTGLFFASIGEELAHFPSPPALQSQFWLWLVTWHLGLFIALTFGQIGFKGRTEDYFQK >SECCE6Rv1G0418030.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:660777704:660778116:1 gene:SECCE6Rv1G0418030 transcript:SECCE6Rv1G0418030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSCLSLGAAVILLLVLASAMKSGAIRLDAETRASVSSSGNQMTVDKPTTNNAVAAIKGSASSTSETKRSVDVATAEVRAVAHKMPEFHEDYYGPSDHSPRHH >SECCE2Rv1G0094890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:407721019:407739689:-1 gene:SECCE2Rv1G0094890 transcript:SECCE2Rv1G0094890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWYEEAVGLLLRRPALAEMAVDVLLCAVPIWAAVMIGLFIGWAWQPRWTGLIFLGFRSRLRLLYVPPGLGARRLWLACTALSAFSVAPQLLSSAFRRHGKYQRKDPSNDDTDACGGASSCANGRSIFEGKHDIVAEKDLDHLVQLLDNKESGHSTWQHLMERTTSNMTYKAWRHEPEVGPIMHCSQTIFEDATPELVRDFFWDDDFRLKWDPMLVCFKTLDEFPHNGTTIIHWIKKGIPYPSLPKKEKPRRVELYFSSWRIRPVQSPKQDGQQPMACEVTLFHYEDMGIPKDVAKVGVRHGMWGAVKKLQSGFRAYQLMRRSENILSRSAIMARVTTKTCIAVSDGSLDQGPSSTEQASTEGDDSRAVQHGFDWKWVVVGGAVAAVCVLNTGILGKALLLGAAKRQAKK >SECCE6Rv1G0425970.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:710992077:710993252:-1 gene:SECCE6Rv1G0425970 transcript:SECCE6Rv1G0425970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANKQRATVHHHRLPGEIVIWEILVRLPPKSLLRCRAVCRAWCRTTNTRDFLLAHHAHQPTLPIASGYGYCSPSVNRDIITFDHRAADAQLQHVTKFDVGRFCVLQASCDGLLLLSCNTFAASCFYICNPATRQYTRLMMLCHLKVLGMYRHRPTGEYQILLYHKNDDIRLHPVGEEHDRYYIIALGSVEPPRNIRCAPGAEQVYLRGGEALVFRGNLHWHLRQCESRSNMIVVFDTTTESFKQMHAPVVFRHTTLFEMDGVLGMFDCNDAGTTINIWELQNYENQVWTFKCKIELPINEISVLCGKHDEYWFAVIVPGDGELLVLVQFAEWLLQVDMDGKLVTSFHQPELFATQLQLKQTLIPHTFFSKPEYKVVMCERSAFHLKAVE >SECCE5Rv1G0340650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:616446751:616446984:1 gene:SECCE5Rv1G0340650 transcript:SECCE5Rv1G0340650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPCFYDNVGTRVQPERNPSRIHAFLEAHREIEDASTHGRLRDDLVEHHWQLDGRRTGP >SECCE2Rv1G0112570.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:711337292:711347978:1 gene:SECCE2Rv1G0112570 transcript:SECCE2Rv1G0112570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMENESHEGKKQDEEGHHNNEVVRIEEYKKLIDVKTALRQSNLNPERPDASHLRTLDSSIKRNTAVIKKLKVINDEQKDGLMEELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQICSLLHQRYKDFSSCLIQGLLKAFFPGKSGDDADSDKNSRAMKKRSTLKLLMELYFVGIVEDASTFINIIKDLTSVEHLKDRETTQTNLSLLASFARQGKHFLGLQKHGQEAYDEFFMGLNVTDDLKTFFKKSLSSYYDAAVAVLQSEHASLRAMESENAKILNAKGELSDENTALYEKLRKSFDQLLRCVSSLAEALDMQPPVMPDDGHTTRVTTASELSPSGKDFSEVEPIWDDEDTKAFYESLPDLRVFVPGVLLGEAEPKLVDQHGKVHDQTGEPKLEQGTEVQNNAETSVPEHQLEGKTNVGSKNREKKDKTGKEISKEKEADQKGDIEREKVRVTDGVSLDSLLQRLPRCVSRDLIDQLTVEFCYLNSKANRKKLALALFNVPRTSLELLPYYSRLVATLATCMKDLPTMLLSKLEEEFNFLMNKKDQIKIETKIRNIRFIGELCKFNIAPSGLVFSCLKACLDDFSHHNIDVACNLLETCGRFLYLSQGTTVRMSNMLEILRRLKNVKNLDPHHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKTSVQHVLRQLRKLPWAECEQYLVKCFLKVHKGKYSQVHLIALLTAGLSHYHDDFHVAVVDEVLEEIRVGLELNDYAMQQRQLAHMRFLGELYNYEHIDSSVIFETMYLIIVFGHGTPEQDILDPPEDFFRIRMIVTLLQTCGHYFDRGSSKRKLDRFLLHFQRYILNKGPLPLDVEFDVQDMFAELRPNMTRYSSAEQLTSALVELEENEKTKQSESAASDASCKSSANRPDKNGRGHEEVADSESYSGSRGIYRDGSEDGDSLYEENSDGRSGNGVVDDDDDMPAGSDEEEIVQVRHKVVQVDPKEQEDFDRELRALLQESLESRKLEPRVKSTLNMTVPMKIVEGSKDSRATETESGEELTAEESGNAGVGSEVKVCVRVLVKKGHKQQTRQMLIPGDCSLVQSTKQQEAALLEEKQNIKQKILEYNEREEEEFNASSFQTGFWGQGGSSAGGIIGSTGRGSWDGSNRGGRGRQRYYIAGGIYHGYGRGR >SECCEUnv1G0534040.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:35633540:35634329:1 gene:SECCEUnv1G0534040 transcript:SECCEUnv1G0534040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVVLAAAAACCCIVLAATVSPASSAPVVYSVGDERGWAVPAGNGTETYNHWAKKNRFQVGDVLDFKYAAKDSVLLVNHDDYKMCSTVTPLTRFADGDTKFKFDRTGVFYFLSGVPGHCEAGQRMIVSVIGHSMLAAAPAKPPSVGVGGRAPSPSPSRAVSAPESPSYGSSSGGSTSMTGFGPSPSTEPSGASRRALSIVTGLVAGVVAMIVLA >SECCE3Rv1G0166250.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:184294348:184295382:1 gene:SECCE3Rv1G0166250 transcript:SECCE3Rv1G0166250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISAAASGNGKAKVVIVMGATATGKSKLAVDLALRFGGEVVNSDKIQVHDGLPVVTNKATAREQAGVPHHMIGGVHPDADYSVADFRREATRAVESVLARGRLPIIAGGSNSYLEALVDDEPGFRRRYDCSFVWVDADTSVLERYVADRVDCMVEQGLVGEVREFFRADGDYSRGIRRAIGVPEMDTYFRMEAAGALDGDDELRAGLLEAAVNQIKENTCRLACSQLRKIHRLRRLPGWTIRRLDVTRVLSLKVGKAKDEEAERALWEADVVGPAARVVEMFLRPLGGMVAEVSRDGKEQSTGAKHAAVVAGIVEAAERCGVQLLETGPSRGIHPRKAAAAV >SECCE2Rv1G0132330.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:889386808:889388328:1 gene:SECCE2Rv1G0132330 transcript:SECCE2Rv1G0132330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKADPAAAAGADPAAHHEKPEQKPRVGTIYYPITDDPPEPAAAAAALEDEEVAYDYEEEDVTKLLEPLSREQLVALLRAAAEASPATMAAVRRAAESDPASRKLFVHGLGWGAGVEDLRSAFSRFGELEDCRVITDKQSGKSKGYGFVLFQSRTSALRALRRPQLDIGGRLAFCHLAASGPAPPASQSQNPSSNANASSGAHNNNAPASSSSLPDNMQRKIFVGNVHADVDVNRLYEYFSQFGEIEEGPLGFDKSTGKPKGFALFVYKSVDSARRALEEPMRNFDGKMLNVQKAIDGRTKGSSGSNSSANNANATAASTQMTVPSIAAINPYDPSTYGAAAVHDMGFAQQAALLGMGAQQQAFAQPNTAMLAMMAAAMQNPAMLASLNPAFAAAALGAGGQAGIPGFGAQGFGTQGFGAAGVNFTNAAGLQGAAAYQGGPPGFQGTPGFPTSAGFQVGQAASQTGTTAAATGAAAGYQAGSAGQGQVSNTQIGGTGFQGGY >SECCE6Rv1G0417260.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:656369452:656370375:-1 gene:SECCE6Rv1G0417260 transcript:SECCE6Rv1G0417260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKIALRYIDNKSRRRRTLDTRMKNLASKACEVSILCNAKTCVLVYDEGNSAPKVYPSHTEAMDILNRYRTMPEGWFKKTVNQEDFLSQRLNKLQVNENKVRDTEIRILLHKAMLGSDLADLKVDELTSVSSRLEEILKSMGESIAKISGQPPVLQPQAPYAPDNMDMGESSAMYQASQPALYVTNNMDMGSSTMNQQPSPTPYVTGNMHMGSPAMYQVPPQQVGLLNTVRSGRDLDTLAHNGYSSNGHDDTTAGAGYSINGHEGVGTSANANSSGGMKSLDVGFGWQSGGVDPEESSWSLFPPM >SECCE6Rv1G0400390.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:451111209:451113898:1 gene:SECCE6Rv1G0400390 transcript:SECCE6Rv1G0400390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIAPDRTRIAPEIEKDEALEGDRESDPALASTREWQLEAMPRWQDELTVRGMVAALLIGFIYTVIVMKIALTTGLVPTLNVSAALLSFLALRGWTRLLDRFGVVSRPFTRQENTIIQTCGVACYTIAFAGGFGSTLLGLNKKTYELAGDSPGNVPGSWKEPGIGWMTGFLLACSFGGLLTLIPLRQVLVVDYKLVYPSGTATAILINGFHTDQGDKNSRKQIRGFLKYFGGSFLWSFFQWFYTGGDACGFVQFPTFGLKAWKQTFYFDFSMTYVGAGMICPHIVNISTLLGAIISWGIMWPLISKNKGDWYPAKVPESSMKSLYGYKAFICIALIMGDGMYHFIKIVGITSMSMYRQFSPKQVDNKAKNVDDTVSLEEFQRQEIFKRGHIPSWMAYAGYVLFSVLAVVTIPVMFKQVKWYYVVIAYVVAPMLGFANSYGTGLTDINMGYNYGKIALFVFAGWAGKENGVIAGLVAGTLVKQLVLISADLMQDFKTGYLTQTSPKSMMIAQVIGTAMGCIVSPLTFMLFYKAFDIGNPDGTWKAPYALIYRNMAILGVEGFSVLPKYCLAISGGFFAFAAVLSITRDVMPHKYAKYVPLPMAMAVPFLVGGSFAIDMCLGSLIVFAWTKINKKEAGFMVPAVASALICGDGIWTFPASILALAKIKPPICMKFLPAA >SECCE3Rv1G0170080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:247563919:247566180:-1 gene:SECCE3Rv1G0170080 transcript:SECCE3Rv1G0170080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLGLDAYRFSISWSWLTPDGRGEIKLKGLEYYNNLVDELIQHGIQPHVTIYHLDLPQSLQDEYNGLLSPRFIDDYTMYADTCFKSFGDRVKHWVTINEPNVETLVSLYRDKYQATQKGHIGITLLTGWFMHPLVYGEYPPVMQIRVGARLPDVTVEQSKKLSGSFDFIGLNHYAVFHMLADETAFNLKQRDYFADGGVANPTKYILAGQNEYAPWALGELLEHVKHKYGNPAIMIHENGYGDAPKNPGKIEYDDDNRSKILQNYLEVLCMSIRNGSNVQGYFVWLFVDAFEFLFGDRMRFGLCGVDMSSAGRKRYVRNSARWYSGFLNGGELRSVSPLSKAYGAA >SECCE3Rv1G0190750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:743141505:743144496:-1 gene:SECCE3Rv1G0190750 transcript:SECCE3Rv1G0190750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKVASKAAPLPAAWHGTSQGAQVRSSLAFGSAWGKASSRRARALLAATNNSAPVTRLEKQRIDQSQILTLDSIRTSLIRLEDSIIFGLLERAQYCYNADTYDSNSFHVDGFGGSLVEFMVRETEKLHAKVGRYKSPDEHPFFPEDLPETLLPPIQYPTVLHPIADSININKEIWKMYFDEVIPRLVKEGSDGNSGSSALCDTTCLQALSKRIHYGKFVAEAKFQESPEAYTPAIKAQDGDQLMQLLTYETVERAIEHRVETKAKIFGQEVNIGAEAKGSPPVYKIRPSLVAGLYSNRIMPLTKDVQVAYLLRRLD >SECCE7Rv1G0471540.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:131570368:131571603:-1 gene:SECCE7Rv1G0471540 transcript:SECCE7Rv1G0471540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGGEEDSGRRRPPQQQHRPSGGGSGDLAASAKLVAEAAKAALQDHNLGKVDKGRTAEAAADLLHAASLYGKLEGKPVGGYLNKAEDYLHKFGAKEGGSGGGKHQPGGHGGSGGRYEEEDEYRKKPASGGGRYEQDDDGYKKKPSGGGRYEEDDEYRKKPTSGGGGYGGGRYEEEDEYKKKPSGGGYGGGRYEQEDEYKRPPSGGGSGGYGGGRYEEDDDYKKKPSAGGYGGGGGRYEDEYKKKPTGGHGGGRYEEDDEYKRPSGGGHGGRYEEEDYKKKPSGGGRYEEDDHKKKPSGGSHGGKDESEGGGIGDYIKLAQGFMKKQDGEGGHKKTSGHGGGYGKEENEEDSGKKKHGGRPESGKDESEGSGMADYLKLAQGFMKKDGEGGSGAGMGDYLKLAEGFMKKR >SECCE2Rv1G0065200.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:7095359:7095922:1 gene:SECCE2Rv1G0065200 transcript:SECCE2Rv1G0065200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVLLLLILAPLCVSVTARLKCPGVLHNGAVATCYHGCGVKLIYDLCIHTMQQGHIDMSPSHKEETTVYAILVLSSAVESMDTTSNMLTYQLQKNASISVQERMFYGACLTDYVAALNSLYDSHDVMLPNCFFKGINGDYLSALANLNSCRDRFIGPVMFTSPVYPLVLADRNKVVLAYSIGKLLL >SECCE3Rv1G0189580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:727723233:727724865:1 gene:SECCE3Rv1G0189580 transcript:SECCE3Rv1G0189580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMTGAVPFWRAAGMTYIGYSNVCAALVRSCLKEPFKTEVSSREKVHFSLSKWTDEKQQKPTVRTDDE >SECCE2Rv1G0141620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:936050219:936051329:1 gene:SECCE2Rv1G0141620 transcript:SECCE2Rv1G0141620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLSDEGMARVRRKKDFRHMERVDGRMLNILQGLELHANVFSPDEQQKIVACVLDLQDQGRRGRLRERTYSEPRKWMRGKGRATIQFGCCYNYAADRDGNPPGIVRDEAVDPLLAAMARRLVLWRVLPPSCVPDSCIVNVYDVDDCIPPHVDHHDFLRPFCTASFLAEAPILFGKDMKVLAPGEFSAAASIPLPVGSVLVLAGNGADVAKHCVPAVPAKRISITFRKMDASKVPFGFRPDPLLQNLAAAAVRPAMTAPPNRAAWEQSNGEEAKHATPQQQQQQAAAPLYQAAAARAGQTQTQSPGGGGVPFSFSTDEFPALGASPASGRRPGRR >SECCE2Rv1G0110430.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:689799357:689800700:1 gene:SECCE2Rv1G0110430 transcript:SECCE2Rv1G0110430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLPRRLALFWVLASCIALALAAGTTRPSRRGLAVGDSQDGGASDVGVGGGGGYDDNLMPRPAPSSEPRACQFENERLYRAYLVIQKFRKTVICDPKGVTTTWTGTDLCGSYKGFFCGRPINVSDRTVASVDLNGYNLRSDSLQGFVDGLPDLALFHANSNNFGGAVPNLRGLQYFYELDLSNNKLAPAPFPTDVLGLTNCTFIDIRFNSFFGELPAGLFSSFPEVEAIFVNNNHFSGQLPDNLGDSPVNYLSLANNDFTGPIPSSIGRAGGTLLEVLFLNNSLSGCLPYELGLLARATVIDAGTNHLTGTIPLSYACLRSVEQLNLADNLLYGVVHDSLCRLAYDGRLTNLTLSGNYFTWLGPCCWDLIREGKLNVDRNCILWAPNQRSFQECAEFFHENWSRMTCPVSKYVPCHPKWYGVDAAREEAAAAEEYKYRTYSALHP >SECCE7Rv1G0457490.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:17511895:17513127:1 gene:SECCE7Rv1G0457490 transcript:SECCE7Rv1G0457490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLHPSNRRPSSAPIDSTAKDGVLPLDLLYDILLRLPVKPICRFRAVCTSWHSLLCHPDFIAAAPPGPRIAVGICHDGPSDVVVSVLDRDSGDLLKRVNIDATSHHGTPPPQETPDVCPERAVCVVDNDGRLHLIDPATGAVKLLPDPPASNHMSTSCTLGCAALTGEYKVLAIANAAKHMWQHVCKILTLNDNGGKHRWRETGSPGYSLLAPLPPWTYSREVAVVEGVAYFLVNLDDMHARNALDRQHAWIMAFDLGSEVWQEDALQGPRCQHNVALAMLKGQLVVCHDDRRAYMELWFLVDLDPAQWCMRLKIAMPSLKLSSPMSTSLMYFGKPLALLEDGTIVMWMRVTPAGCSNPLDTVLRHYDPRTRTFTDGMQVAKCNHMAVHTWSILYSGRGGIRRAALES >SECCE4Rv1G0221190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:46400719:46403813:-1 gene:SECCE4Rv1G0221190 transcript:SECCE4Rv1G0221190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPRLSLPPTAALPAVTSSRYRPDLAPVPNRRRLGLRLHRSPVVPAAAASSPSVPSSSPEPGSGIGDALGGVAIFSAATGEPVLIRDLWDQNEGMAVVALLRHFGCPCCWELALTLKAAKERFDSAGVKLIAVGVGTPDKARILAERLPFPLDYLYADPERKAYDLLGLYFGVGRTFFNPASVKVFSRFDSLKEATKNYTIEATPDDRPSVLQQGGMFVFKGKELLYARKDEGTGDHAPLDDVLNICCKVPVA >SECCE2Rv1G0083580.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:168540219:168541899:-1 gene:SECCE2Rv1G0083580 transcript:SECCE2Rv1G0083580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSQNVPRKRRIERCGVVDDGDEGIGSPIALDAEAGKKQERAKENHNKSLKKKKAKALEAKQDQEAEEMRQLESSLFGQIYAPLKFGTDRVGPLFFMDRSAGNGEDDLPAYEEDFGNEIDEDEMVNKARKPAWVDDEEERTEVDILKVARLRKLRKEADERVISVREYEARLRGHHAKLNPFTGWADMDRKAPRPGVCDGECDEDSGVDNILRNDYELVVKGTAKLLPGMLDFSRLVDANAQEPSSGPINSVKFHRNGQLMLAAGLDKQLRLIQIDGKINPKIQSIFIEDCPVHKASFLPDGSEVILSSRRKFFYSLICLENFEISPDSKTISFVGNEGYILLISSRTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLRTRKCMHKATDEGSLTGLSLWTSQDSSYFATGSSSIVNMYSRDEFLDGKRKPLKTIENLTAGIGQMNSGKERNGMRLVHVLSLTVFQNWPGPRFGLQYPRCPDFSPGSGFLSVGHAGGRVLLCRLHHNQNT >SECCE6Rv1G0416140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:647170636:647171151:1 gene:SECCE6Rv1G0416140 transcript:SECCE6Rv1G0416140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVASASSLNPEAPLFIPAALQQVEDFSPQWWELVKSTAWFRDHWHHQHQQLDAMADSLVAFEAEDAVDDDLLHGFAVAVVVEASQPPAALKTDAVLKALSLASPSPKGGFSEKPRYTEKPTKYAAGSPRSGGAPRFIHQPR >SECCE5Rv1G0327150.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:490268943:490272139:1 gene:SECCE5Rv1G0327150 transcript:SECCE5Rv1G0327150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRRRARSSEPAADLLTSLPPPLLDGILSRLDLRDAVRTSALSRAWRRRWVDLPCLSFCLIGKGGMPAVAVDSVLLRYPGNISSFSIRSLAHSARRVDDWLIALSNRGVRSIELHAPYQNFALHSSVFLCTSLVCLELHLCLIPPLPVEFTGFPVLEQLKLVVVKFRQNGEIQLEAIINASPLLDALTLSYVDTRSDAFPGWVIGGPNLRSLSICSRANHGWQVAELPNLQEATINLQCCENFSDFGGLLAGLAQVQKLVLNNCYPPFTEVHILETLRCTFDNLKSLTLSTHFKDTPTISSTFCLLRNAPNLEELDIMIDVFPYEENEANGEFHNAQWTDGMCPNLQFVRLKCVLCSSNEMCFIQLLLSKATVLHIMSINLGYGSLKSSEDALRELITYRRASPHAQIFFDGK >SECCE1Rv1G0002890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:11310019:11315568:-1 gene:SECCE1Rv1G0002890 transcript:SECCE1Rv1G0002890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFALGLTKTAVEGTLTRVRSAMEEEAKLKAQVHRDLVFFTGEFQMMHSILNTTSSERANDEVVRTWVRHLRDLAFDVEDCVEFVVHLDDRPAWWWRVVPSCISELVLPPQPLDEAAEEIKVLKARLEEATQRNTRYNLITGSGSKPISPAEQTATHTACVPPFHLLRGVWETAGNKRGFSIDNLQNLITSDDTELHVISVWSSAGGDLGTTSILSKAYSDPKICENFKNRAWVKLMHPFNPDEFRKSLLAQFYPDEQQVNAPMKQVNKEKYLLILEKVSTMVEWDAIRKYLPDSKNGSRIIVSARELWMALMCAGEPYLVSELRRYSDGHSLCAFFKKGCVHHVDTISITTDKKKRMGEARDWMEKNKLFGREGEMKSLEGRLRRKMPYISVWGIAGVGKSALVRTIYYKEMLKPEKKNDGFVMFSWVNVPDQFNLMDLSQSLLLDFHSDGLQTKENAAIGIMEGQDPIQECCQILCRHRCLVVIDGLRLAHDWDSIKDAFLSDARITKSCILIITNEKKAAEHVISYLPGSKVLNVKCLQDYAADSLVTMVAPKHINGSTPEEMARLSQLTMDKSGGLPKIIAALGKKLRSATNMRHACNILENYTTLDSLKGLFSWMQSYFDACSDSLKPCIFYLSVFPVGQDIRRRRLVTRWIAEGYSRDRFGSTSGDWESSKDRYDSTADENGEKSISALIELSIIQQLTTRNMCQVNGFFHEYIISRPMEDNLVFALEGCCKPNSQRTGQHLTIMSTWVREINVYERIDFTRLRSLTVFGEWKSFLISYKMKRLRVLDLEDADGVTDEDLKQIVKLATRLKFLSLRGCKKISRLPDSMDGLRQLQTLDVRHTFIVILPPDICKLEKLQYIHAGKTEPWYEGDDMVTIQPAADKDQITPAQDVDGTSTVLTPSSEADNIGIEVPTGIGNLTVLHTLGVVDVRGAGGKALLEELEHGKVAQLRKLKVSGIDRENIKHFFSAILGHRHLESLSVRLDEDVQHNTTLPVPPWKTLRKMKLYGNVLNLPVWINRFKSLKCLDIEMSITKGEDLLPLEELVVKRILRRLCVKLIEDGDLHLVMPNHQSSNFQNLKIDCRSKVKVTFGESKLVEVLKVRCSSGSDLQFSGLENLKSLKEVWLMGAYSDMLKQGLEQQLSNLRKKEKPVLKLVQPRS >SECCE6Rv1G0379430.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:13810891:13812378:1 gene:SECCE6Rv1G0379430 transcript:SECCE6Rv1G0379430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGSEDENAPLMSATDRIGALPDHMLHHLLSFLPAQEAVRTCALARRWRHLWKSTTGLRIVGLDDEDTVQVQDLRKFMDHLLVLRNRTDLDTVEIKFDLFNHDDEPYVNLWTRFAVMCKVRALTLHIHDEVEYLYLDDLPLVSQHLRTLSLHGVALRKAFLDFASCTALVDLKIDDCVVHFHKISSCSLKHLSITSCQSDLDCRVRVSAPGLVSLELEDFIGITPLLEDMVLLEAACVNLTDECKDVFLNYDSGVFCGANDNTCKNCVPLSDDCSSDCVLLGGISSAKHLKLISDTGKFIFTRDLKHCPTFSKLKTLLLNKYWCEAPDLDPLSCILNNSPVLEKLTLELSPEAQNPKLEIKGSYCCMERPSAISKHLDIVEVKCDVIDEIILKVLKFLCAFNIRKLTNDTSHALYILKLALI >SECCE4Rv1G0261650.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:703092107:703093838:-1 gene:SECCE4Rv1G0261650 transcript:SECCE4Rv1G0261650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFHDRQHVWLRSRVHGTYLNADSDGKSVSLRRRRESLKAAWAVHIYQGDVPYLLLYSAAYGRYLAATATPAPLAHLGFRAEQRNYDQPVVPAIMWRAAWTGFGDEVLLRNVGGRYLRANGKHTGKYLRWNNGVTVDDVDKVSTMMHWTVEPIPLRGPDMPQPGFAAPIPSRIPREVSVMLGRERGAWRLIRFVRASAEGEYSADGNGWTEFHFRGRYVYHLRNELVKRINAEVHLFELAMCVRAGRYGRLTPLITNLPHGCNGETLQIVVYLSETPAYDELRHPDVYSQ >SECCE6Rv1G0429330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:734538994:734543045:-1 gene:SECCE6Rv1G0429330 transcript:SECCE6Rv1G0429330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYQKGVDIEAGTSGGAGTAAPTRGLYPGMTESPELRWALIRKIYVILSLQLLLTAVVAAVVVKVRAIPHFFVSSSAGLGLYIFLIIFPFIVLCPLYFYRQKHPVNLLLLGVFTVAISFAVGMTCAFTSGKVILEAAILTTVVVFSLTAYTFWAAKRGQDFSFLGPFLFASLIMLLVFGFIQILFPMGKLSHMIYGALAALIFSGYIVYDTDNIIKRYTYDEYVWAAVSLYLDVINLFLALLTLFRAGDS >SECCE5Rv1G0369600.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:836531324:836532928:1 gene:SECCE5Rv1G0369600 transcript:SECCE5Rv1G0369600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGALLSAMDMHMDWLFVLQLLMSAVCLLVLLLRYRAVKPEKTRRRRSPGLTQWPIVGIVPAIVSNIHRIFDGVTGMLALSALNYQCRFWFAGFRYFITCDPANVRHIFTSNFENYPKGDVFAQMFDILGGGIFNSDGERWRRQRTKAQMLMTTPRYRAFVAQSSLDKAEQSVLPFLAHVADSDTTCDLQDVFTRWSFDTTCNLVFGVDPGCLAIGLPDVPFARAMDDVLRTVFVRHIMPVTCWKVMRRLDVGHERKNAAARRTADEFVAATIASRRAAYNKQGADKSAADLLSSFICDEEIAGDPEADVYIRDMTMNLLVAGRDATSSALSWFSYLIATNPRVEKKLLEELAPIAARRPVGPDGMVAFEAGELKNLLYLHAAVCECLRLYPSLPVETKAVVAHDVLPSGHEVRPGDKILVFNYSMGRMKRVWGADCREFRPERWISEDGKLRYVPSNKFVAFNSGPRTCLGKEMVLVQMKVTVAAVAWNFAVEVVPGHVVEPKLSIILHMKNGLHVRVKRRSGIPAMIGKQQ >SECCE3Rv1G0188620.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:715989124:715995234:-1 gene:SECCE3Rv1G0188620 transcript:SECCE3Rv1G0188620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRALWQASVNATKRAVVWNSEDLFPPSERFIFNFNSKDEVKKWHLYSDSEYGGLSSASLEITDSVSGGDTSSTGVFSGNLSLDMSEGSPWRIRRSGFCGMRSKKFDGYIDLDSYDTIAMKLRGDGRTYISTIYTENWVNSPGQEEDNSWQAFVYVPHGSWRILKIPLDSYLPTWKGNVIEAKLEMNPSRVVGMSLSLNAEGGVPGAKTGPGDFRLDVDWIKAMRAL >SECCE7Rv1G0465830.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:74185067:74185807:-1 gene:SECCE7Rv1G0465830 transcript:SECCE7Rv1G0465830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGPGYGYGGYGYGAGYDVTGYGNGGAYYTNDRYPTPAPASEAYEDPLAGRRQHDFPAPLTGLEFQPSDACPKNYVIFDQTYDRSRVMYNPSLANNFGSSGGYDQHCNNGGYDHGKGTYYGGGECSIRQKEDSGEIDALMGSEEEDDVLSTGRTSGCGGEGSPDSTCSPGSGYVVSVSPGGNGNATGGGGGERKKNRMKKMMKTLKGIIPGGDRMDTPAALDEAVKYLKSLKVEAKKHGVRGSRS >SECCE2Rv1G0109320.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:674402424:674404467:-1 gene:SECCE2Rv1G0109320 transcript:SECCE2Rv1G0109320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCTLYTALFLLVALSSRGAFAVTDGLLPNGNFKNGPDKSQLKNGTVVTGSYSVPYWETSGFIEYIESGHKQDDMIMAVPEGANALRLGNDATIRTQFNVTRHMYYSITLIAARSCAQEEKLNVSVAPNSGMLPIQTVYTNTGWDSYSWAFKAKHSDVCLSIHNTGIEENPACGPLVIAVAIKALYPPHRNHSSGNLLRNGDFEEGPYIFPDTPWGVLVPPTVEDEHSPLPGWMIMSESKVVKYVDTPHHVVPHGARAVELVAGLECALLQEVSTVPGRSYKLSFSVGDAGNGCSGSLVVGAYAGQETLRVPYESQGTGGSKLAELRFTAIANLTRVVFHSWNHHMTSEATLCGPVVDDISLVAVHAHAARRLLL >SECCE3Rv1G0198340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:830939829:830943751:1 gene:SECCE3Rv1G0198340 transcript:SECCE3Rv1G0198340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFVLNTGAKIPSVGLGTWQSDPGVVGEAVYAAVKAGYRHIDCARAYNNEKEVGLALKKLFEEGVVKREDLFITSKLWCGHHAPEDVPEALGDSLNDLQLEYLDLYLIHWPFRVKKGTSIGNPENFLPPDIPATWGAMEKLHDAGKARAIGVSNFSSKKLGDLLAVARIPPAVDQVECHPGWQQSKLHSFCQSTGVHLSAYSPLGSPGSTWMNGNVLKEPVVLSIAEKLGKTPAQVALRWNIQMGHSVLPKSVSEERIKQNLAVYDWSIPEDLFAKFSEIKQARLLMGNFIVNKDSVYKTHDELWDGEI >SECCE1Rv1G0014030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:109414242:109415021:-1 gene:SECCE1Rv1G0014030 transcript:SECCE1Rv1G0014030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVLEVTLISAKDLKKVTVFSKMRVYAVVSISGGDPRTPTHRTHSDRHGGRNPMWHAPLRFPIPAAADPRGLALHVLLRAERSFGDRDVGEVLVPMQDLVAVAPPAGEHRHLSYQVRSPMSGRKRGVLHISYSLSDAPAPTAAAPGMQTSAAAKGGADPVTAYPPYHQHQQHAVLPYGYNPPYGYAGASYGYGPAAAAPYGYGASSAAAARQDGGVGVGSGFGMGLLGAAVGGMMMGLGEGVGEIIADSDMSMDGCF >SECCE2Rv1G0123860.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:828243614:828244304:1 gene:SECCE2Rv1G0123860 transcript:SECCE2Rv1G0123860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVLHRKNLCTERLQLNVQSLASHTELTEAMKGSLCHDFETGLPAAEVWEIYGGLRIGQLVPELLPVMLKKVELLEGDGGVGTVLHLTYSPGIPGLEYQKEKFIKIDNENYVKEALVVEGGVLDHGFQKCLVRFQIIGQTDETSTIRSTIEYEIDDDKTDNASFVSTSGVADIAEAITKYIKAQKSAEQAREETL >SECCE1Rv1G0041260.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:570335358:570337987:1 gene:SECCE1Rv1G0041260 transcript:SECCE1Rv1G0041260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable apyrase 7 [Source:Projected from Arabidopsis thaliana (AT4G19180) UniProtKB/Swiss-Prot;Acc:F4JSH1] MRLSSSLQDLPTFSRIDTLERGSSIGGDLSSGRAKPVRTIQREGPVASFSKERTPPSSPTNRKKWMRTVGWAVALILLVCFIYASLRYFHVFLSEGSSEYYVILDCGSTGTRVYVYEWSINHNDGNSFPIALKPLGNAPKKKSGKLIGRAYQRMETEPGLSKLVHNETGMKKAIEPLLQMAERQIPRRAHKHTPVFLYATAGVRKLPTADSEWLMDTAWDVLKNSSFSCSRDRVKIITGMEEAYYGWVALNHHLNMLGTSSSASEMTYGSLDLGGSSLQVTFETDKAVQGDTGVGLTIGSVNHQLSAYSLSGYGLNDAFDKSVAHLVKMLGGTAGNGKVQVKHPCLQTGYREDYVCSYCQPLKQDGSPSVSAKTTGKEKQGTAVELIGAPQWKECSDLAKVAVNLSEWSNSSSGLDCNLQPCALASTFPQPHGQFYAMSGFYVVFKFFNLTPDATLVDVLKRGQEFCEKPWDVARSSVPPQPFIEQYCFRAPYITSLLREGLQIKDNQVIIGSGSITWTLGVALLEAGQALSRMDIQGYILLHREINPNILIVLFLISIVLVICAILCVSNSIPRSFRKSYLPLFRPNSGGGSALGMGSPFRFHLWRHINSGDGRTKTPLSPTVAGSEPHPFSMTHGLGGSSVQLMESSRQSLGVYHSYSVGSLGQMQFSSGLRNPTRGQTTLQSRRSQSREDLTSSLADLHIPKV >SECCE4Rv1G0259510.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:688189650:688195323:-1 gene:SECCE4Rv1G0259510 transcript:SECCE4Rv1G0259510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSGSAGLGVGRNRGQLAQLVLATLVQLIMTTKTKAICNLAVWCISVQQLEASVVEDRATPLLNAIVYALDNPFGSLSTTFEAAQATMKLASQHPKGMRNLSSIWVPPIYRRLLSADKPERDMAERCLIKVSSVILPPQSPLSKEVALDLEQKLLSSMLNMLNDPSKKIQAVKSWGWFISLLGASAVSTRHLLNKILKVPEQLFTDPDPQVQITTMVTWRNLVDAFFGPQALENMDQGTVMSPIEPRAQASAQMKKIRLIMMPLCGVLSRSHNIALSSSCLSTWHYLLYKLGDLINHLSILEAAFGPVLKIIFSIGLANQNKPLWLFCINLFHDFISVRVRHLISPEENVCVPLNQNLLSQTCTHLKALFDVHQIKWLPWDVTSFDFQLEILDSVVNPELLHNMSADMAVIIMDSTTQTFRLLLQGVRVQCNSKFADDNVMICITKVCKFVKKVFLDTVGKQKSHSSRVLVQFCLQFVKCTIEELDNSLLASGKYELCLDIEQIKEIEYAECSPKLFHPRIRPLAYLELVSPAVYLTALSLSIVAQFTGELSPEDAEQLASIICPSDLLENFHAAVAFLYMETMPPVDSELRMKWLVVWNKVSKRLNEQMISYLRVSCGASAHDILCQFFCYPLFAFVSPGRISTNWNAENSSEGYLNVTQDLEVELIIEVYRSFCTNSSYCSEPAYMVFLEHFFEYLIHRVDENMFSIQANLKYCLEKKFKNISILSVLGNVVIGLLENAQNFNYANKEREVTTNEEPAGCRGPYVELLEAWFMKLSGLAFKENPAAQHQVTSRYFSSLSDFVGHLTSTEDILLLFEIIGDQFTEWLTLSSTSYGIIRQGETIDQLEKLWLNTVTCLTTSRLISDCSFLEKHHLLLQAAINHPHNPISFATTAIRSSSGSSNTSLPHTGRWSVSKAGELSLDRSGKDPNCASDAERAFALEESNISRMSVAPVVSGRGTVRSSTTDRGQSNGESLRVSAGLGRKRLKIMRYSGKGKGLDKVTDASFSPGWAEGEICRKPELILEMLKRKR >SECCEUnv1G0535240.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:53365309:53366983:1 gene:SECCEUnv1G0535240 transcript:SECCEUnv1G0535240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRGVVEMDLSQNSLSGEIPSFFESFSSLQLLNLSFNDFHGTVPTTGVFGNSSQVLIQGNKNLCTNSQILQLQPCITHTFKRKRTAYVLMVVIPVVVSVLVSLICVIAIVHRKRETTNQPSNQHSEGWKRFSYHDLYKATGGFSSVNLVGVGGCGSVYRGTFLAEPCIAAVKVFRLDHDGASKSFIAECEALKYTRHRNLIRVISMCSTFDPSGSEFKALVLEHMSNGSLESWLHPVPEKHGSERPLNLGLRIRIATDIAAALDYLHNRCLPPLIHCDLKPSNVLLDDDMCARVGDFGLAKFLYDHPSESLLGARGSIGYIAPEYGMGSKMSTEGDVYSYGIILLEMLMGKNPTDEMFKDGHGLHKFVELAFPHKIGNILEPNLIPQYQGEDTSKPSGHATHVIVGMQECTMQLVKLGLKCSVDSPKDRPTMQEVYTEVMSIKETFLGLHERTNEISVIHLFE >SECCE3Rv1G0181640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:616559556:616560929:-1 gene:SECCE3Rv1G0181640 transcript:SECCE3Rv1G0181640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTVAKSPPALVPPAGPTPSGVLQLSSIDKTAAVRVSVDFIQVFPPSSDRGGDDKVATMRQGFARALVPYYPVAGRIAEPSPGDLVVDCTGEGVWFVEATANCSLADVNGLERPLLIPKVELIPRPPPEENLEDLVLMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGELARGFPAPSVAPVWDRETIPDPPKLPRGPPPSFTAFSFVTQVVEISPESILRIKDEFKAATGETCSTFDAVTAVVFKCRALAVELPDAAEVRLGFAASTRHLLQGVLPSVDGYYGNCVYPVGITRSSKAIWEAALPEVVGVMREAKEALKVRFTDWMHGGAKDDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGQPGYVFTLNDDVNIVASVIYLKPPAPKRGIRLMLRCVEEPHAAVFADELAKYA >SECCE7Rv1G0507070.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:757870343:757870679:-1 gene:SECCE7Rv1G0507070 transcript:SECCE7Rv1G0507070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHKVKMSGLCLVLLMAAMLLPGSEGKICKEYSKTYTVSECTSEPCVKHCHNEGFTEGGCKTSEYNLDDYRFCLCKKHC >SECCE5Rv1G0362870.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:789513800:789515260:1 gene:SECCE5Rv1G0362870 transcript:SECCE5Rv1G0362870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQNGHRDLAKKPVVVIYGPPGMAGHLVPTVELGKLLLAQGLQVTVLLGGGDPSFLDGVAAANPALAFHCLPPAALAPDVAAACSSSFEARVFELARASNPDLRDFLRSARPAALLIDFFCSAALDVGAELAVPTYFFLTTCIASVALCLYQPVIHEGTTFSFRDIGGEPVHSPGLPPIPADHLAAAVMDRESLSNKHFLELSRRMCDSRGVIVNSCRSLEPRAVDAIVSGICTPAGLPTPSLYCIGPLIKPDEETGTTKRHECLAWLDGQPEASVVFLCFGSMGRFSAEQVKEMAAGLEASGQRFLWVVRRPPPPGAERRPPADGDDDGLDLDALFPEGFLKRTKDRGLVVTSWAPQRQVLAHVAVGGFVTHCGWNSVLEAVAAGVPMLAWPLYAEQRMNRVFLVEEMRLAVPMEGYDKKIVESSEVAAKVRWLIESDGGSELRQRTRAAMRLAEEALGDGGESVAALADLTRQWKKSAGDDDS >SECCE3Rv1G0153680.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:56619464:56621635:-1 gene:SECCE3Rv1G0153680 transcript:SECCE3Rv1G0153680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTRLLPQANAGEEGSGVLRRSHRHRGRFRGALASPLEDEDLLREILLRLPPQPSSLLRASVVCKQWRCAATDSMFLHRFRIHHRKPPLLGVFHKLSYDDIIFTPILDHPDRIPPQRFDLKLGDADSRTKFIVILLDCRHGRILLTSKQRDDDVIVWDPIIGEQRRVVVPPEFKVYNNVSMAVVCAASNHDHVHGSCHSSPFKVVLVSPLGAENRLAACVYSSDTGIWGDIISMSVPCEIYYVGTSGLLVGNALYWLLDPISDGILEFDLDEQSLAVIKGPVVTNDFLHDSTWIIQAEDGAVGFAILSYHHLQLWQMNINFHGAVTWVLQKTIDTHAILGLPPQIEGWETSIVGCVEDADEILILVGCGVYMVQIKSMQCRKLCEIGHFTYCYSFKSFYPPGTTIPGGCDGAEMLHNT >SECCE4Rv1G0273400.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:776893657:776896626:-1 gene:SECCE4Rv1G0273400 transcript:SECCE4Rv1G0273400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVQSIMSVGASFLEAIHLSDDMSCLRMSLPKAHFIIDRAEWGRFKNKGLMVLLSQLKDTTYDCEALLREFDDQVLRQKMEDADRSRAGQLVSSSFNLFKCWIRGSKTRVKEVQSNLDKVMADTEGILNLMGLNVEPVQLGKPLMPETSSLVAEPIVGRAEEQDLVINMLGVQSTRCRSVKRLKGESTVLPIVGIGGVGKTTLAQLVFNNPRVKAHFDIRIWVCVSDIFDIKRVTKEIIASTPSEKSDTELSNLNALQVELEKQLNEHKFLLVLDDVWPNANQDWSRFIAPLRYGHRGSVILVTTRSLKVADLVATIKPVKLKGLPTDILWDFFKKCAFGKEHPESYPHLQEIGKSLVSKLCGSPLAAKTLGRLLNGNLTEEHWRNIKNSELWELPYEENDILPALRLSYFYLPQELKRCFAVCSMFPKDYSFGRDEIVELWAALGCVATEGSMRVEEMGHSYLDDLRSRFLLENDPQGLERNRYVMHDLIHDMAQSVSEGECFLLQDSSCQNEKKIPQTIRHMSILVSTEEALRIIPSYVHLNKLHSLRFQYRITCEIPWFNQLSNILFLSLKGCVRRNLPEGICVLNHLRHLDISKSMIITLPDKLWSLYSLQILDASDSKLRTIHQGVTKLVKLRRLALPVVLFDMLSKISELGNLSSLENLRYFTVGTVDGRRISELKVMNQLSGTLSIKGIANVQSMAEAVEARLADKKYIKELVLEWQESECILRSADNGVLKALCPPPRIECLKVQGFGGDVFPSWLNPEDLPAVRILQLVDCGSLKQMVLLAGDLHGQHTISSNNSNGIARLPFKNLTTFCLHNIKELTNLDQFLSPEYMPSMTSIEVQGCTSLVSLPVQNFGGFVRLQNLEIRECPSLVCPEEMKLPPSLQKLSISFCGELDKSFLPGGCLDNLSSLNLLRFEHCHNMESILLNSANTGKLKHFILLHLPELSSICGLNALSSIEHVVICMCPKLTQVQQPLVKNSSSP >SECCE5Rv1G0334440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:565943230:565947168:1 gene:SECCE5Rv1G0334440 transcript:SECCE5Rv1G0334440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMGYRPYDSSGTDDDLPSSQNRGPRGRSFSGNGRASAGPFPYARPHNDLESQVHLVEQEAYTGVLRAFKVQSDALSWEKESLISELRKELRVSDEEHRELLNKVNEDGAIRGMRELRQGGGTPSGLHRGSRVLHDGEPGPTAKRQRPSHLMPSHSSGLQSPVMSSHSVPSSSKWGPSSASRGKRAKSTTPLALPSMDPTSLISRKVFTRWPDDNNYYEATITRYNPATGEHALVYDMGKTTESWESVRLCDMAPEDIRWERDDQVISNRDGWGPSGPLLNRNQSNNGRGRLSQNEHPNKYGPPQNGINRNIGEIDVPNTQSVVIEVERVLSNPSMREIEKAKKLLTDQEQSLLDAIASLDDASDSESEDKAMEARMGSAGDHTGRNGIAC >SECCEUnv1G0536750.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:66094841:66096055:1 gene:SECCEUnv1G0536750 transcript:SECCEUnv1G0536750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTTTFLNGELDEEIYMEQPDGFVIDGQEGKVCKLLKSLYGLKQAPKQWHEKFERTLTAAGFVVNEADKCVYCRHGGGEGVTLCLYVDDIVIFGTNLNVITEVRDFLSRCFEMKDLGVADVILNIKLLRDDDGGFTLLQSHYVEKILSRFGYSDCKASPTPYDASVLLRKNRRIARDQLKYSQIIGSLMYLASATRPDISFAVSKLSRFVSKPGDVHWNALERVLRYLKGIANYGIHYTGHPKVLEGYSDSNWISDVDEIKATSGYVFTHGGGAVSWKHVQLRLKSVMKMKNSGVIALDYIQTSKNLADPFTKGLSRNVIDNASMEMGMRPTI >SECCE5Rv1G0354160.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:722179706:722182310:1 gene:SECCE5Rv1G0354160 transcript:SECCE5Rv1G0354160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAVHRPHLLAASPLGGRASRRPSTVRMALREDGPSVAIVGATGAVGQEFLRVITARDFPYRSLRLLASERSAGKRIEFEGQDYTVQDLAAPGAFDGIDIALFSAGGGVSRAHAPAAVASGAVVVDNSSAYRMDPDVPLVIPEVNPEAMADVRLGKGAIVANPNCSTIICLMAVTPLHRHAKVKRMVVSTYQAASGAGAAAMEELKLQTQEVLEGKPPTCNIFSQQYAFNIFSHNAPIVENGYNEEEMKMVKETRKIWNDKDVRVTATCIRVPTMRAHAESVNLQFEKPLDEDTAREILRAAPGVTISDDRAANRFPTPLEVSDKDDVSVGRIRQDLSQDDNRGLELFVCGDQIRKGAALNAVQIAEMLLK >SECCE4Rv1G0285180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:843115795:843118444:1 gene:SECCE4Rv1G0285180 transcript:SECCE4Rv1G0285180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHSSPTAAPPLEDENLLSEILLRLPPQPSSLPRASLVSNRWRRIASDPIFSRRFRRHHRPNPPLLGFFDIDGCRSFVPTLEAPDRFPPGRFSLQRGDDDWFWSLGSRHGLVLILNLNRPTSQLLVWDPVTGDKHWIAVPPGFKCDLDSSIGGAVLRSAQDDHHFLVALVSTIEEQGHTRAIASAYFSETNTWSDTISTPLPPASPPRFQAQDLPPFECPTTIYCRIPAVLVGDSLYWWLQDSSYNILQFDLYCGGLAVIPAPVDLYVSDINFSLMRADDGGLGFLSVSKFSVQLWKTKIDCDGFGSWVFGRTIEMDKLFPLDSKERKSPMIVGFAEENNVALMWTKGSLFMFHLESLQLKKLVETRIAFYHPFESVYTAETSIGGGHDGADLLPST >SECCEUnv1G0565760.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:396325995:396326306:-1 gene:SECCEUnv1G0565760 transcript:SECCEUnv1G0565760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCEUnv1G0536170.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:62792853:62793461:-1 gene:SECCEUnv1G0536170 transcript:SECCEUnv1G0536170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWCPETASQAFIAGVSAVSESEHGPAGSAGVAELVSAMAGGWNAQLVVEAPEVSAPDSATTSLALAAAAQRTGGRYARVLADADRAMAELDGVDFLVVDARRWDAAAVLAAARPGARGMVVVRHGDGRRRGTKALEASMAAGTRIVRSVYLPIDKGVEVLHVGVGKGPSIQGRRSTRASSRWIRHVDQETGEEHLFRRQ >SECCE4Rv1G0263030.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:714420746:714421462:1 gene:SECCE4Rv1G0263030 transcript:SECCE4Rv1G0263030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIVLFGDSITEESFGEGGWGAHLANHYSRSADVVLRGYSGYNTRWASMVTDRAISAIPASAAVAAVTVFFGANDASLPDRASAFQHVPLPEYRDNLRAICALLRARWPTAALILVTPPPVDERGRVRFPRNGDASGLPERTNESAGRYARACVEVAGQCGLRAIDIWSRMQEFPGWETAFLRDGLHLTPTGNRLLFEEVVFALRDANLSLEALPADLPLCSDIDPDNAVRCFEEE >SECCE4Rv1G0242140.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:470288090:470300723:-1 gene:SECCE4Rv1G0242140 transcript:SECCE4Rv1G0242140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGETGVEQPRKAHRVAKSGAKARKKGKGAAGDDEGGERKNPKAFAFRSATKAKRLQSRSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSDVRGPITVVSGKSRRVQFLECPSDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDQFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLADRFEDVTPSESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVTSLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHLVQFSNTDDNNASKKHGKGDDVGVALVKTLQNTKYSLDEKLDQSFINFFGRRPAAQSEDSDMTGNAISSRQNDQGDANILAQVGGNNLSSARTLESNGQSSSECSSDSEGDNDDDIQPSDHGVDLREEVEFCNGRMRRKAVSANFQDDDDDDGDDDEGSDNEDDSRNEDSDDDHLSEGSLSSDGSGEALDSDDGNENTSKWKKSLLARTLSRRSASLMQLVYGQASAELDSDGGEEGSSDEEIFVPKGQKKQVKNELPSFDDIDAEDYSKFLKVELRDWSNEDLIKSIRDRFVTGDWSKASLRGREVDENGEGDEEIDGDFEDLETGELKEEIELRKQMNISELNDLDEDTRVEIEGFRTGTYVRLEIHGVPYELVEHFDPCHPILVGGIGLGEENTGYMQVSLKRHRWHRKVLKTKDPIVVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQSLSSNKVPFRITATGWVQEFNNTARIMKKIKLTGAPCKIFKKTALIKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIESGDALRRKGENTEGIARCTFEDKVLMSDIVFMRAWVNVEVPTYCNLVTTSLQPRDQMWQGMRTTAELRKAHNIPIPHNKDSVYKGIERKVRKFNAIEVPRKLQPLLPFKSKPKDRPKGKKGSAVDMIPEIMNIGEKKIHGALQQLHLLKHEKTRKEKIKRGLQKKAHEVQKAKTDEITRKRQREDRRERYREEDKKKKRARK >SECCE1Rv1G0023130.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:296478992:296480413:-1 gene:SECCE1Rv1G0023130 transcript:SECCE1Rv1G0023130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSHPHPAASTGPRHRKPLSTAHHRRRRCTYTIAALILPGGGGPRGSPPNGGKLILPGSGGGGGGRGGGGGGGMLPRTPPPTAPPGQLYQPFHPPPNPLPENYRNLDLTERLAVLRDRMGRWYEYAPLISSLSREGFTPASIEEATGMSGVEQNRLVVASQVRDSLISDDFPDDLLHYFDSYGGPDLLYELRFLNARQRIVATKHTIERRLESKGVRELARSMKDFPQRRGDEGWDAFDRHSAGDCLAYARFRLSREAIANEDRIPELERSLDVVETESARARVELEIERAIKKAAGEEVEELEAEVDARPAVPVVRLMYGEISEASIVLLLPVVKETDGVKAVDLAPRRSQTDADLGIVEVDKGWARWAVLPGWAPVMAVADEAVVIELADGRVLPWRSAENERVLVVADRKRKEVVDEGIYVLEKGGKLVVERGKKLLEEGISQAAAEVVTVVRPPKDEEDIIVGDEWD >SECCE2Rv1G0067890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:20645188:20646299:1 gene:SECCE2Rv1G0067890 transcript:SECCE2Rv1G0067890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSEFNLVQAARGRKVALGAKLDSRKITKRDSPNYLKSRAQWNLELEKALVEILLQHNTPYHRGWNGWNGEIWNTMVEVFHARHTYVKFSKSQVQDKEKELKRDYKMLKEARKQSGVDWDEIRCMIQADEHLWDEMTISFGSRINKFKSKPFPLFNLLGDLYDVHIVQGRYNLTSTTEPSQISSVAHTETATEEVNQSFSHLLVK >SECCE7Rv1G0505630.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:738974618:738975029:-1 gene:SECCE7Rv1G0505630 transcript:SECCE7Rv1G0505630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLSLLLPLAFLLVVLAPAEARSDCTSQVIDPLGKQCDRAGCYFNSRVAYAQIGCHKPYISCSWTGECISQGCNFTYCEYS >SECCE2Rv1G0102660.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:580496338:580496865:1 gene:SECCE2Rv1G0102660 transcript:SECCE2Rv1G0102660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to H0622F05.9 protein [Source: Projected from Oryza sativa (Os04g0415600)] MRDMSGDAGGMGAMPMPPPRADHAATKAAAPHTMMGMMHMTFFWGDRAVVLFPGWPGERGAGMYLLCLLFVLALAALTEALALLSRRLARRGEDGGPATAASAALLTAVHAARMGMAYLVMLAVMSFNIGVLLAAVAGHAVGFLFARSRVRPRAARANITPPELCGVPPSHPSKP >SECCE3Rv1G0166970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:195251652:195256087:1 gene:SECCE3Rv1G0166970 transcript:SECCE3Rv1G0166970.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGDEMMMTSGATSRIVPVFRSVLSRRALLRLAVALHSLFLWLLLVVGRRRRPDAASPAEPGRLGKARSRRRAAEEEDVRRRRSLAEEVPMAEDDSDGRTSGTFVIAGARRNALFCRVWAPAAGAETRGILLILHGLNEHSGRYLHFAEQLTSCGFGVYAMDWIGHGGSDGLHGYVPSLDYVIEDMEVLLDKIMLENPGVPCFLLGHSTGGAVVLKASLYAHIRTRLEGIILTSPAVRVKPAHPIVGAVAPIFSLIAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLLHNLKKVTVPFIVLHGTADRVTDPLASQELYTEAASRHKDLRLYEGFLHDLLFEPERDEIAADIIAWMDRTLGLQAV >SECCE3Rv1G0148110.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:17842968:17843488:-1 gene:SECCE3Rv1G0148110 transcript:SECCE3Rv1G0148110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCGGTDGEARKMHMEGGASRNRIVQLYVCHGHAIDSIWVRIDRRGLEHWTDHCGGHGGHVADLRLRRHEYLTSVEGHYGPFKGQVVVRSLKFVPSLRTLGPYGKEHGMPFALPAGAGGRIIGVHGRSGGKYLNAIGTYVKMAGSS >SECCE1Rv1G0015410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:124473712:124474901:-1 gene:SECCE1Rv1G0015410 transcript:SECCE1Rv1G0015410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRAVSFLLTPRFSPRVIPRTAPARSLHSLKDLPSGNGLRAAAGVAAAAAAFAGAGALAVQYFRRGPNDDEPATRKKEEDIYIYKEEEMQERFENWMKRFNKTYRNEKEKAMRFQVFKETMKWIESQPPSSQRILLPGNCFADLRSEELPCSQSCIGDLDDPDSEEYRQNKFVTENVKGEAIIWKPAVKQGDKQASQVSA >SECCE4Rv1G0293650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:886325138:886325644:1 gene:SECCE4Rv1G0293650 transcript:SECCE4Rv1G0293650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACAKALCVPHDSGMLRKALHAVAFSDEDKITTKVDYLKKILRWSHNEVGIALPKAPMLLTYSKDRLQRVSEFLISEAGLEPGYSAHRPAMLNYSLDSRLRPRYYVVMFLKENGFLHRDRDYYGTVMITEKVFMDKFICPHKEAAPHLAEDYAAACRGEVPARFRFT >SECCE2Rv1G0063880.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:205599:205931:-1 gene:SECCE2Rv1G0063880 transcript:SECCE2Rv1G0063880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQCCQQLAQIPQQLQCAAIHSVVHAIIMQQEQREGVQILLPQSHQQHVGQGALAQVQGIIQPQQLSQLEVVRSLVLQNLPTMCNIYVPRQCSTIQAPFASIVTGIVGH >SECCE3Rv1G0163500.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:148667165:148668577:-1 gene:SECCE3Rv1G0163500 transcript:SECCE3Rv1G0163500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTMVSRALLLVAVVLTAQLCACTAYAGSGGDGFSVEFIHRDSVRSPFHDPTLTAPARVLEAARRSAARAAALSRSYVRADAPSSDGFVSEVTSRSSEYLMAVDIGTPPTRMVAIADTGSDLIWLNCSYGGDGPGLPAARDTDAQTPGVQFDPSKSTTFSLVDCESGACSELPDAACGTDSKCRYSYSYGDGSHTSGLLSTETFTFDDAPGARGDGTTRVAKVNFGCSTTFVGSFIGDGLVGLGGGDLSLVSQLGAATSLGRRFSYCLVPYSVKASSALNFGSRAAVTDPGAVTTPLIPSQVKAYYTVELRSVKVGNKTFAAPDQSLIIVDSGTTLTYLPEALLDPLVEELTRRIKLPPAQSPEKFLPLCFDVSGVRDGQIAAMIPDVTLGLGGRAAVTLKAENTFVEVQEGTLCLAVAAMSEQLPASIIGNIAQQNMHVGYDLDKGTVTFAPADCASSYPAPSPSGSV >SECCE7Rv1G0503310.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:709889507:709899091:1 gene:SECCE7Rv1G0503310 transcript:SECCE7Rv1G0503310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MADDVRELLLSTTADADPSTPLSAPDLRLLIDHLRHRSDRLHASALSFASSNREPLASALHRAASSAASSASLQSSLQSALSPLSSSPDLSDLRSLSDRLVAARRELRERQEHLAAASSVASLSARLRAARASANPLDAAAAAAELKPLLVNPEGSGSGGDEPVVFGLLRDEWEQLVDELQVGLSKNVEQCVEFAPEGGKVVVRAGPSCSSSGPPGVELRVALQALEIIDSLDYGMAKVADLMVKHVFIPAISNISVTVSVEVLEKSGSTYPASVLSIVPSEELQGYKDGSVLYSRIIDVIKFARKFICVENITWTQSFAKLTWSRISDLVITHFLSKAVPDEASKLIDFQDVIRSTTEFENTLRGLTFISPDRKDGKLTQFVDDVEVHFAVRKRNEILVKARYILVQYDYDNPLASDDHGDCVVDLLFQPEKCFISKSALNLMKLVHGALKDACLSSTRVAKEFCYAARDALLLYKAIVPVQLGKQLNSISPVAAIIHNDFYHLSQEILGLAFEYRADFPSGQQKLVVFVDLAPIFSQMADGILRRQIQLATANLSEAIDGADGFQNTHQSQHCESAKFSIEQVVFILEKIHIMWESVLPRSIYRRSMFHVLGPVFSRITKDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENDDDEKFLDHHTWVQLDESIPSLKKFRKLAELLDMSLKSITAAWENGELANCGFTASEVRNFIKAIFADSPLRRECLGWIVATRA >SECCE4Rv1G0246100.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:540463768:540465789:-1 gene:SECCE4Rv1G0246100 transcript:SECCE4Rv1G0246100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHLAALLRGGGGGRHPRAVHGAAAKLGCLASTYLCNNLLLSYISGSLHAEARRLFDEMPLPQRNVVSWSVLVSGASRLGDLREAFFLFSDMLRSGERGGCDRPNSFVLGALVAGCARAKDPVGGSQVHASALKFGVDEDESVAGALVDMYSKCGRVDLSWRAFALSPQRCVASWTSIISCLVNHGCSEHRDAAIALLKKMLLLKVWPTNATFSCILKVFDAPELLPGGKQIHGCLLKMGTEVDPALGTALIAMYGRCGGVNEMARLSCRIRHDAFSRTSLLVAFARNGCNMEAVWNFREMVMENMAIDQLAVTSLLQVCSSLGRLRVAKEVHCYALKTFFKLDTLLLNATITVYGRCGDVTSAEIIFNRLENKDIISWTALLTCYAQNDLALETLLLFREMLRKGLGSPVFCITSVLRACSSTTNYAVGWQIHSRVVKLGVDDANSVENALLTMYAKCGSVRIALKIFNSMRSRGIISWNALITSFSQHGNEVAAIQLFELMQEEAVCPDDYTFVGLLSSCSRMGLVAEGCEYFKLMNTKYNVKPKMEHYTCMVDLFARAGRFSDALDFIDAMPCHPDQLVWEALLASCRTHSNVELGRLAAKKILEIRPDDPSPYITLSSIHASIDMWEEKAWNRTVFDVQRVRKDVGSSWVAGEEFADNTCDVLQVGIT >SECCE4Rv1G0251790.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:607802827:607804371:1 gene:SECCE4Rv1G0251790 transcript:SECCE4Rv1G0251790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKGPARLSPRSLKQIHGNLVVKGIAARLQPLRDLLLACVASFRGTMGYARKVFDGIPHPDLFMHNVMVRGYAHGGAPAAAFALYRRIGAAGLRPDAFTFCYLLRASAGLPGSCAGYQVHGVVLKLGFLSDAFVRNALINMHAKCGDLQVAGALFHEAGEGDVVAWSAVIAGHAARGDLGIARQLFDDCRHKDLVCWNVMVAAYAKHGEMEKARELIDRAPETDVVSWNTIITGYSSKGMLKEALEVLDEMKCAGWMPDEATIVSLLSCCANSGSLDTGRVIHSMHLEGRPCTSIVLENALVSMYAKCGDVQAALEVFSRMKERDVWTWNSIIGGLAFHGQAEQSIQFFNKMIEEGICPNAVSFLCVLGACSHAGLVEDGQRYFSLMKDRYKIEPNAKHYSCIVDMLGRAGLLDEAFAILSSMRCEPSAVIWRTLLGACKIHGNAALGKLARARLLKMNEDASGDYVLLSGIYASCDEWFGVETLRGSMDERGIRKVVGYAQVDHKSTCLPAL >SECCE4Rv1G0242700.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:487180351:487186868:-1 gene:SECCE4Rv1G0242700 transcript:SECCE4Rv1G0242700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIVFSNRGKLYEFCSTQSMTKTLDKYQKCSYAGPETTVQNRENEQLKNSRNEYLKLKARVDNLQRTQRNLLGEDLDSLGIKELESLEKQLDSSLKHIRTTRTQHMVDQLTELQRREQMFSEANKCLRIKLEESNQVHGQQLWEHNNNVLSYERQPEVQPPMHGGNGFFHPLDAAGEPTLHIGYPPESLNNSCMTTFMPPWLP >SECCE2Rv1G0118540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:783325869:783327337:1 gene:SECCE2Rv1G0118540 transcript:SECCE2Rv1G0118540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDCRITSLPPPSLLFVLLLTLSTTTHCEARALRQSKKNSLMNVLFKLNFARAVEPTQPPAPSSLDASAGSDAASASLAAVDAPFCVNPPDAPPSSSSTPPFTSTAPSSTTPSVPDQLPPITPVPPSFEPSPPADGGGTTPGSSPGLGTPASTPMPINPPQFAPSPPGTAPPSPIVVVPSPPDEFGPGSGGGGQGGGVGGGGGGGGGGGGGGGGGGGVGGGGGVGGGGGGGGEGGGGGGGEGGGGGGGGGGGFMPPIIYPPPLAPPMAPGAGQALWCVAKPTVPDPILQEAMDYACGSGAECRSIQPSGACSQPDTVLAHASYAFNSYWQMTRANGGTCDFGGTATIVTSDPSYDSCAFNLV >SECCE2Rv1G0115710.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:755325085:755325690:1 gene:SECCE2Rv1G0115710 transcript:SECCE2Rv1G0115710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDASSPAPADASAICAQIAAVFASPSAHPPARAVLVSELAAASSRGGRVLVHGVGREGLMMRALCMRLAHLGLPAHCVGDVTAPPALSGDLLIASAGPGAFSTVDAICGVARGAGARVVLLTARPEGDFPGRQADVVAHLPAQTMADDEDGAAAQAKLPMGSLYEGAMFVLFEMVVLELAGVLGQSPAQMRARHTNLE >SECCE5Rv1G0354670.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:725797024:725803041:1 gene:SECCE5Rv1G0354670 transcript:SECCE5Rv1G0354670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase, Abscisic acid (ABA)-activated protein kinase, Hyperosmotic stress response, ABA signal transductio [Source: Projected from Oryza sativa (Os03g0764800)] MAGAAPDRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTMELVAVKYIERGEKIDENVQREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFERICKNIRFSEDEARYFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILSVQYSIPDNVDISPECRHLISRIFVGDPALRITIPEIRSHNWFLKNLPADLMDDDSMSSQYEEPEQPMQTMDQIMQILTEATIPPACSRINHILTDGFDMDDDMDDLESDSDLDIDSSGEIVYAM >SECCE5Rv1G0373640.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:860023333:860025836:-1 gene:SECCE5Rv1G0373640 transcript:SECCE5Rv1G0373640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPDQMHFFSVLLKLVGNKSTIEVGVFTGYSLLSTALALPAGGKVVAIDVSRECYELGRPVIEAAGVAHKVDFREGDGMLCEDGGARAGAFDFAYADADKLQYAGYHERLLRLVRVGGAIAYDNTLWGGSVAMPRDKPGSSDYDRLVRDSFLRFNAAVAADDRVEACILPIADGVTLCRRVK >SECCE4Rv1G0252450.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:614646599:614646955:-1 gene:SECCE4Rv1G0252450 transcript:SECCE4Rv1G0252450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMKVFVVIFLLLVATGFQGAVQVALARECTSDSHKFVGLCLSDTNCASVCLTEFFTGGKCNRRHCVCTKGC >SECCEUnv1G0559900.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:342709641:342711669:-1 gene:SECCEUnv1G0559900 transcript:SECCEUnv1G0559900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQAEADKDTTKEGIAKDVYEYLTTATGTSLKFIRSYSTRLAYLEKLKSHSDDLIEQIKWLNPATQQKEAQRLALEAGMYKEAAIENAEKFQKFLPNHFSKWIKDSKIMFEDLVQQNMSKLGFTGTFKNLDDIKKLQVYDSIILEAGHGKPVVTYTHEALGVVGVAVLVFTAAAMVWDIYTAEDKVEAAVRDSVNALTTVIDLAIGDVVTAAVEAGFVALDIEIASAAVTVIGGVVGFGIGALIGIAAGALLDLIFSSGTSKVKITDGLSGCRVAPMPNGLELARLVKHNYPDL >SECCE6Rv1G0386820.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:102303912:102326109:-1 gene:SECCE6Rv1G0386820 transcript:SECCE6Rv1G0386820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVGACDRREARLCAVTGGRGFMARHLVAALLRSREWRVRITDLAPTAALEPAEEEGILGAALRDGLAVYTSADVCDLAQLIQAFEGVETIFHTAAPDPSKNDFQLHYKVSVEGTENVIEACTTCKVKRLIYTSSSCVVFDGVHGLFDVDESTPYLDKFPDAYLHTKAEAEKLVMRANTNGDLLTCCIRPSSIFGPCGVLVPYLVSYAKTMFIIGDGKNYDDFVYVENVVHGHICAERSLSTKDGARRIGGKAYFITNTEPINFWDFYYMLLEKLGYKSRTKIRIPSYLIVPIACVLDWSHDKLFSHYGMCQPCMLTSAKIKYITLNRTFSCNKATQELGYKPIISLQEGVKKTTEHYHYLRA >SECCE6Rv1G0447340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:850228044:850233532:-1 gene:SECCE6Rv1G0447340 transcript:SECCE6Rv1G0447340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHLLPPAWVPSGYGHGPRPRALVVRGPRRFRGKGDAALVETAGSRVTLQIARATSVSSAKGLQTNLVRDDIQILERTEERPCDLDDYCVIPRTKFGQPLVEQVRMMLGSMSDGDISVSAYDTAWVALVPRLDDSDSPQFPTSLQWILDNQLPDGSWGDAALFSAYDRITNTLACVVALTKWSLGPDKCRRGISFLEENMWRLAEEDLESMPIGFEIAFPSLLEVAKSLGIAFPYDHHALQRIYANREVKLKRIPMEMMHRIPTTILHSLEGMPGVDWHKILRLQSSDGSFLYSPSATAFALEQTGDAKCFEYIDRIVKKFNGGVPNVYPVDLFEHIWVVDRLERLGISRYFKQEIKQCLDYVHSHWTEEGICWARNSTVRDVDDTAMAFRLLRLHGYNVSSTVFENFEKDGEFFCFVGQSTQAVTGMYNLNRASQLRFPGEEVLQRAGRFSYEFLREREVQGTIRDKWIIAKDLPGEVKYTLDFPWYASLPRVEARVYLDQYGGENDVWIGKTLYRMPLVNNNTYLELAKRDFNRCQVQHQLEWHGLQKWFIENGLDIFGVALRDVLRAYFLAAACIFEPSRATERLAWAKVSVVANIITKYLHSDMSSNGMMERFMHGGLYEGNGNISWRKGDSKEDILVGALEQLIDLLAQEALSVGEGPIYINNLLRCAWIEWMMQLINGEDDTSDSSVIQAGSCMVHDKQTCLLLVKIVEICGGRTSEASSMINSMDGAWFIQLASSICDNLHHKMLLSQDTEKNEPAMSCMDEKIEADMQELTQNFLQTHDDGTSSETKLTLLSVVRSCYYTVNCPRHVFDRHVSKVIFEHVF >SECCE3Rv1G0212330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:954958175:954960847:1 gene:SECCE3Rv1G0212330 transcript:SECCE3Rv1G0212330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGRSDKSGGGCCLGFLLKFLAFLQAFAALSAVLYAASILSRWARHHELHIHHLLPDLWFACAVMAAGLLYCAILLAGYVAAEISSGCCLCFYTGLATAMMLLEAAVAGRILLNDHWIQDLPYDRTGELENLVSFVNGNLDLCKWAALATVATQALSLFLAMVLRAIVSPTSSDYDSDEDFVVIRRPLLIAQGAPSYLPTTADPRGVHPNLWSSSMRQKYGLNSSGDYTYNTLDQNAVRPQ >SECCE7Rv1G0492350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:549290759:549294510:1 gene:SECCE7Rv1G0492350 transcript:SECCE7Rv1G0492350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAVVVPFPGSGNINPALQLAKLLHRHGVYITFVNTEHNHRVMETTEGAAAVRGREGFQFEAIPDGLVEADRDAGDYDLGLSAATSHRCAGPLRELILRVNGRAGVPPVTCVVPTALMSFALDVARELGVPSMVLWGGSAASLMGHMRLRELKERGYVPLKDETCYTNGHLSRTLIDWIPGMPPISLGDISSFVRTTDQDDFGLHFNIMEANGCTKAGALIINTFDDLEADVLDALRAEYPRIYTVGPLGSLLNHQLRDDDDAFASGLSLWKQDTGCLAWLDTQQPGSVVYANFGSLTVLSTDQLAEFAWGLAASGHPFLWSIRDSLVPGAGVGLSSLPAEFVAATAGRCCLTTWCPQDRVLGHPAVGCFLTHNGWNSTCESVAAGVPMVCWPGFADQYTNCKYACEVWGVGLRVDEEVRREQVAGHVRHAMKAEEVRGSAAGWKAKAEEAVAPGGLSCENLQSMVRALGCVNAAEAR >SECCE1Rv1G0061900.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:717839246:717840394:-1 gene:SECCE1Rv1G0061900 transcript:SECCE1Rv1G0061900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRKKEEEQQAVDGLPNDLVWEFLSRVPYRSLCRFKCVSAAWLALCSDPAIRRRSSQTLSGFFGLSRSGSNRFVNLSGRGRPLVDSSLPFLHGFENVKLLNCCSGILLCHGIRAGGVEYIVCNPATEEIWAVLPMPDRHETPRPLAYRTICLCFDPVVPSRFAVFVMIDNGRDITMTEVYSSDTGEWTSMSSRWGHRILLYNYEPGYFFLNGTLHSVAYDSRVETFDLEGNSIKMVVTVDTIGNTWRTTLQPHKVEFTFIGFSQGRLHGIEMEDGGGHSISVWILKDYATGQWTLKHTTSMQELLGRPCLENEEYYVIVALHPQRNLIFLSGGMEPEQTLMSYDMDTQNLHVICNLEDYEMEPFRPYIPCFVEWRPSNAP >SECCE4Rv1G0275610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:794767081:794768457:-1 gene:SECCE4Rv1G0275610 transcript:SECCE4Rv1G0275610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASECSFAHGVSLPAHRPPLASFTSGRLRHGAARAVAHRWGIAAARPISVGAVRRILGSARYGSAGAAALRADEAEVAVPPSVPVRVAYELQLAGHRYLDVRTEGEFAGGHPAGAVNVPYMYSTGSGMAKDPHFVEQVTAIFGRDDEIIIGCQSGKRSLMAAAELCSAGFTAVTDIAGGFSTWRENGLPVNGR >SECCE1Rv1G0040830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:566395712:566398483:-1 gene:SECCE1Rv1G0040830 transcript:SECCE1Rv1G0040830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVLLSSPLSTHATVNARLQQCSVSLNSVGFAVIRKGCLRLRCYAIGDAGRRNDPLNENKNGPISQELNGSSASFTTVGAEITQETGDFFSSDAEGDPDKPTEGFSSIEDAINSLREGKFVIAVDDEKGDNEGDLIMAADQASPESIAFMIRNGSGIISVGMKEEDLERLMIPMMSPVTEIGDISAAASTVTVDARVGISTGVSAADRAKTILALASPDSKPSDLRRPGHIFPLKYRNGGVLKRAGHTEASVDLVALAGLRPVSVLSTIIDPKDGSMASTPALKQMALEHDVPIVSIADLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHIAVAKGDIGDGEDVLVRVHSECLTGDILGSARCDCGEQLDLAMQLIEKAGRGVLVYLRGHEGRGIGLGQKLLAYNLQDQGSDTVEANVELGLAIDSREYGIGAQILRDIGVRTMRLMTNNPAKFVGLKGYGLAVVGRVPVISPITKENQKYLETKRTKMGHLYGSDLPGGLLKEFLNPTEDNATN >SECCEUnv1G0541520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:0R:98006205:98006477:-1 gene:SECCEUnv1G0541520 transcript:SECCEUnv1G0541520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISILGIRGILLNRRNILIMSMPIESMLLAVNLNFLVFSVSLDDMMGQSFASLVPTVAAAESAIGLAIFVITFRVRGTIAVESINCIQG >SECCE7Rv1G0502800.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:700821248:700821640:-1 gene:SECCE7Rv1G0502800 transcript:SECCE7Rv1G0502800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLLRKQHSSAAAGGSSGGPSMPPKGCMAVRVVGPGGRAEEEERFIVPVGYLKHPLFVGLLKEAEEEYGFQQQGAITIPCGVDNFRRVQAVIDGQRHGSSGRLVSGGHHHHGSSSGHLHFHLAACFRA >SECCE1Rv1G0002990.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:11626198:11635226:-1 gene:SECCE1Rv1G0002990 transcript:SECCE1Rv1G0002990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSRGSIACFATYRPPVPLDIYSCPADPPPSSPWDEVLLTDGQSYNHNCRPIPAAALRELLTFLARKNPKLAKQMVYTADDAAKGVATGLVFVSERDNGLETLHVALRGGKRPPIVRRLADIYGADTFGGARLEDSGCFAGGFMVGGRVVSSSIVYVSTKEPVSTRRSPWTVVYKTNLANGKTERLTPQGQYDMSPAVSPSGKMVAVANFQFNRWNGEIEHLKTDIVIMNVDRQAQGGLRRKIIIKDGGWPTWGSDKVIFFHRGIDKVVDDDKVKIIWVVFQYNIITEKFVQVTPESLDAMTPAAISETKVAVATIRQKSMQAALTAPRVEAQYRHIEIFDVTARERPVEITRKAIPKADHYNPFVLDGGKRIGFHRCRTDEFVKNGSVIPKNFHKLQSPESHKDVGLFRLSGVFPTISTDGLKLAFVDNEFKAVWLADSNGLRIIYDKRDSNKIFSTVWNQNPEEDTLYICVGPAFTIAEPLEIYAIFNASTTKINERKVSRLTDGKFNNAFPSSNPDGTKLVFRSSCDRLPGDDNPTTWKVGERKRKNLFIMENAKVGESGGSPVTRLTDGEWTDTHCSWSPQGDWIVFSSTRDKPKGAEDLDNGLDPGYFAVYLVKATDKKVVRVIRSGASLAGHVNHPMFSPDMKSIVVTSDLAAVTAEPISLPIFVHSVRPYGDIFAVNLRVSNTDEDIAKNEDIDEFHRITHSRYEYSTPTWTKFASVDPNTQWNMGKVTTATGAPFTPKCPYMHGDGEESWHMAGHLTIGKRCC >SECCE4Rv1G0272440.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:769241904:769248319:1 gene:SECCE4Rv1G0272440 transcript:SECCE4Rv1G0272440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELASGAVSSLLGVISNEALLLGRVRHDVQFIQEEMESMQSFLTHLSKKAPGGGEQDEQMRTWMNQVRLLAQDSNNCIDMYLYRGNPELHLASGGLRRYIAWLPWFVRKMVAQHRAAIQLGVLRERAHDIGERRVRYGVEVPAKEAAAALSPTAEEQASASSASSGDEKGKSTDQLMLALATTNHSGVRGTFYQPRTPEENIRMKLDEWIGDVAQRHTAEGEPIPSIAIVATDKEETLAIAKEASAMWATRCPDRRSVMVDIPAVHLFSRALRTRDILYYILRKLQSAEPQPQQQEDQVSEEEAEKLKTHIRLEKWNMLDEVTEQIDAMKVDNKFSEIKNTMEQMKGGQLQINLEQMDEDSLGLQESVKDEPLGVLLQALWQLKHKTAEGASATKQDQERKENTITHKAIIKGTAKQLKGHIEEDADAKAIRLNEAHYEQILWEVFPRVTSKERPQIQEQANAVSTTSTIILVEDQIKEMIRKVLQEKSVQKPGTELQEDKSMKKPGPAELQEDKSVKKIDTDDGIMDKIEDCLTSQDGQILVILKLDDDWVSRWEETKNAFNMFGRIAGALMLTFKDNIARAKEYCWPMREPIDYCLLGLYQDTALELTENYMLEDNPKIYLDILDKCKQHEFCMKIFIHALHANPKRSNEELRKLHKALQPVPQASHTSAHNSLDSNIIAKKMFMFSYSDLPKEYRSCLLYLAIFPRRQHIRRSTLIGRWVVEGLITKQDWTSSVRHANRCFEALINRWLIYPADIGATGQVKSCVIGDVVHGFITKIARKQRIVETRLSHYLARHFSIFNNLRIRRSDKINRFFEKLYEESFRVSIIKVLDLEGCKCFGGKNQRYLKDICRTMLLLKYLSLRGTDVKWLPSEINNLRELEVLDIRDNHVPESATVNVLLLKLKRFLAGHAVLNSSAGSTEIAFVPDKIGKMLNMEVLSNVKARNHQVLKDIGKLWQLRKLCVVIEDNDKLIRNLLRAISDLHECLKSLSITLPMTEQDPSNEDFSSTVLNYYKVPPKLLLESLSITGTTKKGQLLQLLTKHNNFFQLAKITLTGTRLKQDDLKVLNKLPNIVCLRLRDKAYIDGKLTFKKEEFKNLKCFLVEGSNMTDILFEGGALKLEKITLCSTDGIQSLSRVENLPELKEVELNNNNNKLKLFEKAEKVSKVTLSGTMPSQDDLKMLAKIRYMRCLVLKEKACAESEIMFNKDDFPRLNLLMVDGSAITKIEFASGSAPKLEKIIWSFTKGTVGSLSGIHNLPKLKELEFNGDLIPGELKEAIKKHKNKPNLIHNKPENQYQEMGNIEEMGKAARFPSCWKSQD >SECCE6Rv1G0408410.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:572208708:572209895:-1 gene:SECCE6Rv1G0408410 transcript:SECCE6Rv1G0408410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPLLAAAVALVVVVALGSAAAGAADAAAEQDELRLTQPPVARGLSFDFYKRSCPKAESIVRKFVHDAVRKDVGLAAGLLRLHFHDCFVQGCDASLLLDGSAMAPGEQQAPPNLTLRPSAFKAINDIRDRLERECHGAVVSCSDILALAARDSVVATGGPKYRVPLGRRDSPRFATRDEVIKGLPKPSSNVTTLLSVLHNLSHDLHATDLVALSGGHTIGLGHCTSFEDRLYPLPDPSMSPPFLARLKRTCPAMGTDASTALDVGTPKVFDNQYYVNLLNREGLFVSDQDLFTNDATRPIVERFARSQRHFFNQFGVSMVKMGQIKVLTGGQGQIRRNCAFRNPGTVDDLQLSSLVQTVVDAAAESQVLVF >SECCE1Rv1G0047800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:629648473:629652631:1 gene:SECCE1Rv1G0047800 transcript:SECCE1Rv1G0047800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:Projected from Arabidopsis thaliana (AT1G53320) UniProtKB/TrEMBL;Acc:A0A178WNG9] MALWRRSSSWLTSCSRAPAGIVGGNEAKVSPEVAPPEEVEQDQGDADEERWSRLLPELLTDIVRRVDAGAERWPPRRDVVACACVCRRWRDAAVSVVRPPLECGRITFPSSLKQPGPRDAPMHCFIRRNKSTSTFYLYLSLTQALTDKGKFLLAARRFRHGAHTEYIISYDSDDLYPGSNSGVGKLRSDFLGTKFVMYDNQKPYDGAKSLKSRSSRRFASKQISPHVSGDNLEVGQVSYRFNFLKSRGPRRMHCSIQCPVGEGTASDPSKEKPPSTSSSLSLKNKAPRWHDHLQCWCLNFHGRVTVASVKNFQLVAPAGTSDPWGVGDEETVILQFGKIEDDAFTMDFRHPLSAYQAFAICLTSFGTKLACE >SECCE4Rv1G0244190.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:512289020:512290523:-1 gene:SECCE4Rv1G0244190 transcript:SECCE4Rv1G0244190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQHQNQGAAAPMTTTGGGLRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDPHVVRLLDLKQGQNKEGQTILYLVFEYMDTDLKKFIRGHRQNHEKIPAHTVKILMYQLCKGVAFCHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRAFTVPLKKYTHEILTLWYRAPEVLLGATHYSTPVDMWSVGCIFAELITTTALFPGDSEVQQLLHIFKLLGTPNEDVWPGVGKLPNWHEYPQWNVSKLSSVIPSLDADGIDLLEKMLQYEPAKRISAKKAMEHPYFDDVDKALY >SECCE3Rv1G0210940.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:946459952:946461154:-1 gene:SECCE3Rv1G0210940 transcript:SECCE3Rv1G0210940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSQKKEKGVKEFDPTAKLTDDILVDIISRLPYKSTCCCKCVSTRWRDLISHREHRKKMPQSVVGFFHEGYNAFRSPKKARYFTNLLSWLHYPLIDPALSFLPNCGSLDILDACNGLLLCRCWKADDPLKLDYVVCNPTTEKWVSVPATDWSSQVSVARLGFDPAVTSHFHVFEFIDEEAWGIAEDELDTDCYARIQTLAIYSSKAGVWKYQTVEHGPFAIPKNSVSSFLNGVLYLPADYNLIVAVDVEGDNWWLVRIPKRPCYVDDINGIFPSQGRLYFANSPADSDGSELSIWVLEDYVTGEWTLKHNVSHAQLFKTEYSSYANDYSVIYIHPERSLVFLVGGDEKALMSYDMDSMELRFICQLGSECKVESPGCRDKTPFYPHIPLFSESLADGH >SECCE7Rv1G0484560.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:344096742:344097161:-1 gene:SECCE7Rv1G0484560 transcript:SECCE7Rv1G0484560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIIQDLQVSLRKGDDTTAQSKIQSYARLVKTAKKHFKKSAKKANALSAGCKTVMLLTKAREISVSLLESTVHLLSKEIGMPKQSLVSKAFHKKKAVVCQEEQLQELECSIGDLENGAGHLFRKLVQIRVSLLNILSS >SECCE2Rv1G0076940.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:95443231:95449005:-1 gene:SECCE2Rv1G0076940 transcript:SECCE2Rv1G0076940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:Projected from Arabidopsis thaliana (AT2G41680) UniProtKB/TrEMBL;Acc:A0A178VZE7] MAVTRLAVAAALSSAPPSSSRRGRATPSSCRPIPASASKSKALRAAAAPAADAVDEEAPASPPPSDPGRGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDKMRKQAERWGAELHQEDVEFVDVKSRPFVIRSSDREVKCHSVIIATGATAKRLRLPREEEFWSRGISACAICDGASPLYKGQVLAVVGGGDTATEEAIYLTKYACHVHLLVRRDQLRASKAMQDRVLNNPNITVHFNTEAVDVVGNTKGQMSGIQLRRLDTGEEKVLEVKGLFYGIGHTPNSQLLEGQIELDSSGYILVDEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERYLVSSDLLIEFHQPVREEKKKEIEGQDVEMGFDITHTKHKGQYALRKLYHGSPRLILVLYTSPTCGPCRTLKPILNKVIDEYDEYVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMIRTFSGVKMKKEYREFIESNK >SECCE7Rv1G0466520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:79477499:79477927:1 gene:SECCE7Rv1G0466520 transcript:SECCE7Rv1G0466520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHLLLIIFMASILQAATSETAYDILAQNNFPRALLPLGVKSYVNHGGALQVSLPNSCDFNVTVAGGQHKIRFDSLVSGVIQPGSITQLGGVRIQLEWDFPAFHTVERIGDKLRFTGGDHAALFDQSFPVSNFVQSPTCN >SECCE3Rv1G0192790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:762651987:762652446:1 gene:SECCE3Rv1G0192790 transcript:SECCE3Rv1G0192790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNHGAAALLIASLLVAVTLADARVAVQVRRDLNEGHVVPASDAKAAAAALTCSKVQGLKAGETCFSVALLGGLTLESFLVFNPNIDCGKTFVGQWVCLHASTA >SECCE2Rv1G0112890.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:716345904:716348145:-1 gene:SECCE2Rv1G0112890 transcript:SECCE2Rv1G0112890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENGHVAANGDGLCVAQPARADPLNWGKAAAELSGSHLDAVKRMVEEYRKPVVTMEGASLTIAMVAAVAAGSDTRVQLDESARGRVKESSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGNDGHVLPAAATRAAMLVRVNTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSMATAPDGTKVNAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANVLSLLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDLYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHLEENVKNAVKSCVKMVARKTLSTNNNGHLHNARFCEKDLLLTIDREAVFAYADDPCSANYPLMQKMRAVLVEHALANGEAERDVETSVFAKLAMFEQELRAVLPKEVEAARSAVENGTATQQNRITECRSYPLYRFVRKELGTEYLTGEKTRSPGEEVDKVFIAMNQGKHIDALLECLKGWNGEPLPIC >SECCE3Rv1G0181460.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:613370260:613376603:-1 gene:SECCE3Rv1G0181460 transcript:SECCE3Rv1G0181460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKSSAEAEFFTEYGDASRYKIQEIVGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDVWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKEPISFSHKFLNADPLALDLLQRLLAFDPKDRPTAEEALSHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYTNGTERTTFLYPSAVDQFRKQFAHLEENSENGPVVPMDRKHTSLPRSTIVHSAPIHGKEQPRIGPSRDKPSPDESYKNHRDSEKYSGNAQRTSQAPQRVPTARPGRVVGPVLPYENGGMKDTYDPRRVAAMSSGYPPQQQIPQIYGYYQTPAKSACSEPSQAEMYTLHQQAYACANSSTVPDVALDMRAPPFHQSAGPKRGSSDRLSAESNLYTRSLNGMAPTTTGVAASGHRKVGVVPYGMSQMY >SECCE4Rv1G0252470.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:614684141:614684498:-1 gene:SECCE4Rv1G0252470 transcript:SECCE4Rv1G0252470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMKVFVVVFLLLVATGFQGAVQVALARECTSDSHKFVGLCLSDTNCASVCLTEFFTGGKCNRRHCVCTKGC >SECCE7Rv1G0473800.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:161373435:161377059:1 gene:SECCE7Rv1G0473800 transcript:SECCE7Rv1G0473800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGCRLPPLLPGPASRHHRHLKPSPSPFNRHLHAPPPTRLLRAARRRHPDAVVVVPDARPWVSDLSGAASYRDGREEDGDEEDDADDDDDDRSLDLLARFLHSVFRKASRRARRAARSVLPPSVPAELVKFSVNGVLVLTFLWILKGLLEVVCTFGSMVFVSILLVRGIWSGVTYIRENRYSYIQQLKDDDSRWSRVQPAG >SECCE2Rv1G0131400.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:884308226:884312646:-1 gene:SECCE2Rv1G0131400 transcript:SECCE2Rv1G0131400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASGIAPPRAAAVSTCARARAGHRPGFLRSPPVAAAAKASVAAQLRCCASAVDDSVVAPAAPRPRLPRVVGMGSKLIGSGSATPSLCISNDDLSKFVETSDEWIAARTGIRNRRVLSGGETLGGLSVKAAQRALEMAQVRPEDVDLVLFCTSTPDDLFGGAGQVLAEVGCTNAFGFDITAACSGFIVGLITATRFIKGGGIQNVLVVGADALSQYVDWTDRGTCILFGDAAGAVLVQSCNADEDGLLGFCVQSDGHGQKHLHCSSSNADSILSKTNGVPGFPPKKTNFSSIEMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAANRLSIPSEKVISNLANYGNTSAASIPLALDEAVRGGKVKAGDIIAASGFGAGLTWGSAIVKWG >SECCE2Rv1G0095850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:425839818:425847453:1 gene:SECCE2Rv1G0095850 transcript:SECCE2Rv1G0095850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCAGPSATADRHGFFSTVSVAVLWRPGAARAEPPVPPPDSCPSICSSTSSAAPDLVTIDDFDLSSPSSNPNKPKVKRVQSAGLLAESVLKRDSERIKDLYTLGKKLGQGQFGTTYKCVEKATGKEFACKSIAKRKLVTEEDVEDVRREIQIMHHLAGHPNVISIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIIGIVEACHSLGVMHRDLKPENFLFVNQKEDSPLKTIDFGLSIFFKPGGIYSDVVGSPYYVAPEVLLKQYGCEVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPSISKSAKDLVRKMLNRDPGKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKTGLQRVGANLKESEIATLMEAADIDNSGSIDYGEFLAATLHLNKVEREDNLFAAFSYFDKDGSGYITQDELQKACEEFGIGDAHLDDIIRDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQGQMSFGLREALKIR >SECCE5Rv1G0301910.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:34154294:34156803:1 gene:SECCE5Rv1G0301910 transcript:SECCE5Rv1G0301910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAALLLAAAALAGAAEGLGVNWGTQASHPLPPKVVVQLLKDNGIKKVKLFETNLEAMKALAGSGVEVMLAIPNNMLHRIAGDSGAAKDWVKRNVKRFDFDGGVVIKYVAVGNEPFLEAYKGSFIKVTLPALENIQNALNDAGVGDRIKATVPLNADVYNSPAKNPVPSAGRFRAEISGVMTDIVKFLARNKAPFTVNIYPFLSLYLDDNFPLDFAFFDGGATPVNDNGVMYTNVYEANFDTLVAALAAVGHGDMPIIVGEVGWPTDGDKHAKASHAERFYDGLLKRLAANRGTPARPNRHIETYLFGLVDEDRKSVQPGSFERHWGIFRYDGQPKFAMDLSGQGRRGTMLVPAKGVQYLSRTWCALNPKASRDDLSKLLGAKIDYACSNADCTTLGYGSTCNSMDAKGNASYAFNAYYQTQSQKDEACDFQGLALPTETDPSTSTCNFTIQIDTSGSGAAPTLLGVVAALLVVLLHLSSLW >SECCE1Rv1G0009330.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:53615745:53616362:1 gene:SECCE1Rv1G0009330 transcript:SECCE1Rv1G0009330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARRSAVPISLLLLASLAAAAAAAAAADPEEGDLRISVQYATEEESRWLDRWAEKYKAQGSGEGFKIQPATTEESAHINSMSFTGGGYDGHIEFDDDHPFGRMVVDAFHSRPRPSTPTENDDLQKPNMEESHSRAEHDVKDL >SECCE2Rv1G0069610.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:30358316:30358744:-1 gene:SECCE2Rv1G0069610 transcript:SECCE2Rv1G0069610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGTTTDPMPPREVVKETGLSVSVAAIGGTAYYFLKGLRNSPKGGRLAGAAQTVRANAPRIGGWGVWLGVQAAIDVALERVNKEDDRFNTMISCGAASALVSVLRGPRAAALAGIKGAVFGGLAEIAIRGLKRLEADQPEN >SECCE1Rv1G0000200.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:870808:877554:-1 gene:SECCE1Rv1G0000200 transcript:SECCE1Rv1G0000200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASREGGEEAGAPPLPPTTGLAKYISLGTVPPAGEPRHARHAELRRALQAGDPPEELRRLRDSVAESSGKARDKVRSLEDAIQKIEKYKNVVTRRRQRSDAGAAKTAAPPAASFGAAVSRAGAQGYNNSSLGAISKRMRSSMTDGRLEGRGSVLTRQGPLANSAKTSPLEKEKTCARTSVTVSELSEDKSQGSPKVKRKRSLGTMLSRSNDADRDVKPVGQSRPANEARPRSSDGLAFRHGASAGAVAGTKMDTSFQQNNVGSRILSKIDVDYASLPNERRIRHAGPGKERAMIKGNKVHTSDTNLSPSPKTKACRSPRTSSLVMPPFQRSAGVSDECEEAPFSNKASPLRSMTNRKRSGGSTPSNASTPPIAWVGQRPQKISRTRRANVVSPVSNLDEALSDESPLDTAARSASIESCGVLLPKNVTGNNSQTVAKIDNISSPVGLFESGRLAATESKAKEKVKNELENEEATAVHNATGSIVSSNRAPSKEKPEHGGVLRHGRSGRGSMDVKGCSSISEENVDATGTRKPLKRGRAGEENNESKVGHSMMTKPSDCKTSPSHEQTLNCKPTIIPAEPDDDLEELLAAANAARSAIIGAYSGPFWKEMEPMLTFISSENLAFLEHQIDLVEELEMNMSYGGHDVIASTDDSKPQTMEEISSQMLPTSNSSLSPELSKTSGVRKKGPVRSCIPGEENLINGPQTVEPSEWFHEMAPMAHRLLSAIIMEDNFSGSSDVQRDMSVNISDSHIHCTANRYLTNELQVSDITYNSGMSVDFTNSSNSSVVNQSMCDGYTASSNFMSSSNQISIHCESLSDGFNGAVHPEYSHLHGLVPQISRQCGNSRKKISIPPHDYQYGQMSMDDKILIELESIGICLETVPNLDDEGDEDINKMISELRRRLHDQLKEKKYGLHKLDKAIQDTKSIEERSLEQHALNKLVEGAYSKLQGTRAGSRHKAVVSRAEKELAFSSAFARRTLARCQRFEGTKRSCFRKPSLWSVLSAPFPISDAKSTEGVTTRSKKGKRERDRSREASAKGSGGRSGRRSSGSGRSGDRRNKTKSKQKLVQLSASGDVLGRAAEPFPAPAVQKHPEPSAGPSGTAAISGQQRAAPGKASQRSAHPVMPASLPGLDDDMLDVPVGLDVQEGGDFSSWFPDGLDDSLPQDCDFSGVLEVPDDDLTQLGFM >SECCE4Rv1G0242850.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:490492918:490494708:-1 gene:SECCE4Rv1G0242850 transcript:SECCE4Rv1G0242850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSASFSVSEAPPTDVVKRMAVSKNAADDMMRVLSIYDARLLPMSPPAAGEAAGVAYSCDEREVEEQEQEEEAFEAAEDVIRRCNSSSSSSGMTDYLYAVDDALAAAALQGGLASRAAEAVQAAMPRLEEEARALLCSSSARRLSLSSDDLDDAATPPDASPRLSPRAAASVRGVADRMLRAGYGPELAQVYVTARRDVLAEAVAHQLGAAEPVAIEEVLRMEWTVLDQKMRRWNHAIRAVVRTLLAGERRLCDEVFASDEELGHECFADVSRGCVLQLLGFADAVAMSARATEKLYRTLGMYEALADVAPELEALFTGDARELFAAEVSAVATRLGSTVRRTIEEFGSAIQGESSRRPVQGGEIHPMNRYVINYCGLLADCRSTLDMILVNTADAVDGDGATSTPSGRCMLELLTRLLRKMDEKSCLYDDAGLKHIFLMNNLYYIVQKVMDSPLRELLGDDWIRRHRGQIRQYETGYLRASWITVLSFLRDDGGSAAPTVKDKARSFNAAFEELYRNQTAWKVIDPQLREELRIAVSERLIPAYRSFLSRPRPQTGSSSGGGRHSASKHVKYTLEDLEDYMLDFFEGVQKFVK >SECCE5Rv1G0324180.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:443973843:443975780:1 gene:SECCE5Rv1G0324180 transcript:SECCE5Rv1G0324180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVANSSTYLVIFMISMSYHCIAPLASTVSTNYTASDMLVTTSVHGRPVTNTTVTMAEGVPSVNFLEHEAPLLLPPSGSKGWGAVDDSNLATHRYRVLTSKALRDDPVTGSAPCRGNCTSRTDRVSPRNHIPSPFVPPVASNGSTAERLPPISRRVQRRVHRRHKEKGGTIKLRKLLGVYHHQTRLQSEPTGSRVAAVAVGSVVSVLLCVLAGLLPWCMCRNAATAASIHSESEEDEPILRPELLQQAAGPGRYNYCELAAATSNFAQSKRIGRGGFGSVYCGYLDEQDRHVAVKMFSMESLDQGSTEFEHEVKIMSQLRHRNVVQLVGWCDCRKGLLLVYELVSGGSLDKCIHDPEKLLTWQERYKIALGLGSAIQYLHTECNQCVVHGDIKPSNIMLDSSNNAKLGDFGLARLVDHGAEPQATQVIAGTVGYMDPEFVSSQKRGTESDIYSFGIVLLEIASGTRPVASGRPAEASVLLLRRIWDMYDRNSILDAADRRLGGDFDGHQMERMLVTGLWCAHRHKSQRPSIEQAMIALRLCKDDFESPVVPVPLPAMHLPDSEQIRSLEEQAYGDLSTGSSSSACVSAATIYHTLEYSSHLQVLDRCWQPCQSL >SECCE6Rv1G0383850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:58225166:58225464:-1 gene:SECCE6Rv1G0383850 transcript:SECCE6Rv1G0383850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPLFLRAVARLAACVASRPPAAVAATVLYHGGALPRDPRLERLVCDGMLDGGGGDSHILHFVVGVMRSLG >SECCE5Rv1G0358690.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:756767308:756771393:-1 gene:SECCE5Rv1G0358690 transcript:SECCE5Rv1G0358690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAFSTFLLPPPSPPLPRPAAAAASTSTSSSSYPVVAHRGRRPRAPRCRCGRSPEITPATTSSSGSGDRGSCEEGDASAPPPLPFELDGAAASADSLTGNATPRYQPTSTEGDSGSGDLGGWQTNQGSTDGLSSSKSMSGYSKAFGVDLSPDNVAVATVYFVQGVLGLSRLAVSFYLKDDLQLDPAETAVITGFSALPWLVKPLYGFISDSVPLFGYRRRSYLILSGLLGAISWSLMATVVDDKYSAALSIILGSLAVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGAIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEQRLRSEERSISLSSSGLIESSKQQIRQIWTAVKQPNIFLPTLFIFLWQATPQSDSAMFYFVTNKIGFTPEFLGRVTLVTSVASLLGVGIYNSFLKAVPLRKIFLVTTILGSALGMTQVLLVTGLNRKLGISDEWFSIGDSLILTVLGQASFMPVLVLAARLCPLGVEATLFATLMSISNAGSVAGGLVGAGLTQFLGVTRDNFDNLALLIVICNLSSLLPLPLLGLLPDGSPDADNGQTKVD >SECCE2Rv1G0080350.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:129257387:129258610:-1 gene:SECCE2Rv1G0080350 transcript:SECCE2Rv1G0080350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKSRCRSGWPDLPPDLLGLILHRLPSLVDRVRLRAVCHGWRSSARLQPPPPVQLPWILFGDGTLLDVANSTAHRLRLPSDAASCYSAGENMLFVMHGDGRCSLMDAFSGAMSPQPELAALLRHYKVDPHDGMYRTSIEKVVVSTPSAALPVIAVLTRCCRVIVSTRRQGGEVNSCLVLSEASILDIALFQGKIYAVSHDEELLGFELGNGRLLKPTPAGVRPDVVGGVTLIEGSRPHHSHDSFYYQMCYMIQRRSRNSVARLYLVESDGKLLMVKRNVRSGTDGPGYKRVERTQRLDVFEADLGDGRPRVGRWKKVDGLGGRAIFVCARCSKSAPAGDGAREDCIYFLRRHYLGMLPGDGSLGDSGVYDMRRKTIAALLPKSSVPASLPWDSPRFPTWFFPVED >SECCE4Rv1G0268790.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:744912978:744915000:-1 gene:SECCE4Rv1G0268790 transcript:SECCE4Rv1G0268790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDLFRLATLYLLLAATVGANDGRQFSYNGFAGRSLDLDGAAEVTPNGLLMLTNGTIQQKGHAFHPSPVPLRSARSFSTAFVFAIFGQYIDLSSPGMAFFVTTSKEVLATALPGQFLGLLNATNNTNSNAHIFAVELDTLLNSECRDINSNHVGVDVDSMVSRASADAGYYDDATGRFQNLSLISRQAMQVNHVGVARPKTPLLRTAVNLSAVVQQQDTAYVGFSSATGILFARHFVIGWSFALDGPAPTLNISSLPTLPPTGPKPRSRVLEIVLPIASAMVVLAVGIAAYILVRRRLRYAEVREDWEVAFGPQPFSYKDLYQATKGFSEANLLGTGGFGSVYKGVLRKPDMDTEVAVKRVSHQSRQGMKEFIAEVASMRRLHHRNLVQLLGYCRRKGELLLVYDHMPNGSLDKYLHDPCLGKATLEWPQRLHIIRGVASGLSYLHGDWEQIVIHRDVKASNVLLDAEMNGRLGDFGLARLYDHGSDARTTHVVGTMGYLAPELGHTGKATPSSDVFAFGAFLLEVTRGRRPIEEVEGNNRVMLVDWVAEHWRQGWVTKAADIRMPSFSLDQVSLVLKLGLLCSHPLPNARPTMRQVTQYLDGDVPLPEFSPEYLGSTMLELMYSAEFFNKHVASYVSSGVISDLSGGR >SECCE5Rv1G0299850.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:20319897:20323467:1 gene:SECCE5Rv1G0299850 transcript:SECCE5Rv1G0299850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFKNHQEGFAGQQLLAAAAAAASQASGGPPMPWWVGSQLLYGEPMGHGKAPPAVPMSVSPPEDACRDGQFQVVPRAQALLDAVPLPMGERRIPEALKFSMAHGKGGNSSEHSAPITLQSPFTEYNDHFELGLGQSVISSNYYSNQQYGLLASYGMRSASSGRMLIPLNMPADAPIYVNAKQYEGILRRRRARAKAEKENRLVKARKPYLHESRHLHAMRRARGSGGRFLNTKKETNGKDTGVGSKVMGGNLLMRPAASLSSEIQHSEQGNPSSVSSLSGSEVTSLYDHEDVDHYHNFEHLRTHFFTPLPSIMDGEHGAGNPFKWAAASDGCCNLLKA >SECCE3Rv1G0205440.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:900275791:900276756:-1 gene:SECCE3Rv1G0205440 transcript:SECCE3Rv1G0205440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSCFPLAARCSLLVTAALLLALSHGSHGHGGAGAGLSSSFYDESCPGAGDIVRRVIQKARVTDARIPASLIRLHFHDCFVQGCDGSLLLDNDLPAIMTEKEVPANDRSARGFKVVDSIKRALENACPGIVSCADILALASEISVELAGGPRWSVPLGRRDGTTTNIESANNLPSPFDPLETLQEKFKNLGLDDTNLVALQGAHTFGRAQCQFTQRNCSAGQDEETLVNLDAVTPDVFDNKYYGNLLRGRAPLPSDQVMLSDPVASATTAPIVRRFSGSQKDFFKNFAASMIKMGNISPLTGRAGEIRNNCRRVNRKPY >SECCE1Rv1G0051210.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:651813641:651814051:-1 gene:SECCE1Rv1G0051210 transcript:SECCE1Rv1G0051210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >SECCE2Rv1G0115020.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:745458385:745460649:1 gene:SECCE2Rv1G0115020 transcript:SECCE2Rv1G0115020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSAELLSRMAAGDGHGENSSYFDGWKAYDMNPFHPQDNRGGVIQMGLAENQLSLDLIEEWSKAHPEASICTAEGASQFKRIANFQDYHGLPEFRQAMAQFMGQVRGWKARFDPDRVVMSGGATGAQETLAFCLANPGEAFLVPTPYYPGFDRDCCWRSGVKLLPIECHSSNDFRITREAVAAAYESATSNGVRVKGILITNPSNPLGTTADRATLAMLATFATEHRVHLICDEIYAGSVFAKPEYVSIAEVIEHDAPGADRDLIHIAYSLSKDFGLPGFRVGVVYSYNDAVVACARKMSSFGLVSSQTQLFLAKMLGDEEFMARFLRESARRLAARHELFTSGLREVGIGCLGGNAGLFSWMDLRGMLPEKTAEAELELWRVIIRKVKLNVSPGTSFHCREPGWFRVCHANMDDETMEVALSRIREFVRQHQQQKAKAQRWAARGHLHLSLQRHGAMASQFHALSSPMAALLSPQSPLVHAAS >SECCE5Rv1G0320880.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:394081230:394086062:1 gene:SECCE5Rv1G0320880 transcript:SECCE5Rv1G0320880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPAPASASTEGLEADLSAATISKKQLKKDARKAEKAGKASQRQQQQQPQAEEADPFAANYGDVPVEEIQSKVISGRTWTDIGDLDEAAAGLSVLIRGSAQTLRPVSKKMAFVVLRQSMSTVQCVLVASADAGVSTQMVRFATSLSKESIVDVEGVVTLPKEPLKATTQQVEIQVRKVYCINRAIPTLPINLEDAARSEAEFEKAEQNGEKLVRVLQDTRLNYRAIDLRTPANQAIFRIQCHVENKFRDFLFSENFIGIHSPKLIGGSSEGGASVFKLEYNGQPACLAQSPQLYKQMSICGGFGRVFEVGPVFRAEKSNTHRHLCEFIGLDAEMEIKEHYFEVCDIIDGLFVAIFKHLNENCQKELETINRQYPFEPLKYLEKTLKLTYEEGIKMLKEAGTEIEPMGDLNTEAEKKLGRLVKEKYGTEFFILYRYPLAVRPFYTMPCYDDPAYSNSFDVFIRGEEIISGAQRIHTPELLRKRAIECGIDASTIASYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLAP >SECCE6Rv1G0418520.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:663379625:663380689:-1 gene:SECCE6Rv1G0418520 transcript:SECCE6Rv1G0418520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADGGEPSRSAIVADTTSGHHLLTIHGYTRTKVTPTGKCVKSRPFTVGGRRWRIEYYPNGIRPEVADFVSLTLVLDEDVAAGVRAQYDMCFAGGEEEALQVASMASRSVDDFTSWGGWEYATFVSRKDLESSDHLRSDSFTIRCDIVVIHDCRAVDDAAAFVSVPPCDLRRNLGRLLETEMGADVVFEVGGVTLPAHRCVLAACSPVFAAELFGPMKEGIAAGAVVRVEDMVVEVFKALLCFAYTGSLPEMRKEDEEVTWQHLLVAADRYNIGRLKLICEQKLCEHINVGTAASILALSEQHGCGGLKKACFNFLTAPANLRAVVATDGFQLLSMSCPSLMVELIAMSVAH >SECCE1Rv1G0053570.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:670273818:670274114:1 gene:SECCE1Rv1G0053570 transcript:SECCE1Rv1G0053570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKATLVCFMILALAAALLAAPGTVEAATCSPTQLTPCAPAIIGNAAPSAACCGKLKAHPASCLCKYKKDPNLQRYVNSPNGKKVFSACKVRLPRC >SECCE1Rv1G0061540.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:716378534:716380814:1 gene:SECCE1Rv1G0061540 transcript:SECCE1Rv1G0061540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADEAATRRGDGEGKDAGSAFVLESKGTWWHAGFHLTTAMVGPAVLSLPYALRGIGWALGLATLSALAAVSFYTYYAMSRVLDHCEAAGRRHIRFRDLAAHVLGSGWAFYLMVAVQGAINVGVTIGSILLAGNSLQIMYTSLVPDGPLKLYHFIVAVASVLALLSQMPSFHSLRYINLGSLVLSVGYTILVSAACIRAGLSSNAPAKDYSLSTSKSEKTFDAFLSVSILAAAFGNGILPEIQATLVPPAAGKMVKALVINYSVAYFTFYPLAITGYWAFGKTVRSNAIQSLMPDTGPSLAPRWLLCLTVVLVLFQLLAIALLYAQVAYEVMEKRVADATRGRFSWRNLLPRVAMRTLYVALCAFVAAALPFFGEIVGVIGAVGYIPLDFILPVVMYNMVVSPPRRSVVYVTNVAIMVLFAGLGVIGAVASVRKLVLNAGRFKLFNDHVVK >SECCE2Rv1G0129960.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:2R:871874914:871876329:1 gene:SECCE2Rv1G0129960 transcript:SECCE2Rv1G0129960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPRSTPHVLVVPFPAQGHALPLLDLAALLAARGLRLTVVTTPANAPLLSPLLAAHPGSVQPLVLPFPAHPSLPPGLENTRSCPPSYFPAFIHALAALRQPVLAWARSKSNSHPVVAVLSDFFCGWTQPLAAELGVPRLVFSPSGVLGTVVPHSLFRRLVKRPSDADDGFRVSFPAVPGEPAFQWRELSGMYRGYMEGQVGEQVGEAVKQNFLWNLESWGFVSNTYRALEGRYLESPLEDLGFKRVWAVGPLAPETSSSGDRGGAAALVAGDLEAWLDGFPQGSVVYVCFGSQAVLTPAVATALAEALEQSAVPFLWAAGDGAVLPEGFEARASAARRGLVVRGWAPQVPILRHAAVGWFMTHCGWNSVLESAAAGVPMLAWPMTADQFVNARLLVDEARVAVRACAGGIGVAPDAGELAAVLAGAAGEGGSAARARARELAAEAAGATKEGGSSREDLERLVLEIRKL >SECCE3Rv1G0177650.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:477263304:477263537:-1 gene:SECCE3Rv1G0177650 transcript:SECCE3Rv1G0177650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCVILHNMILEDERGLNLPYFYDNVGTRVQPKRNPFRIHAFLQAHREIEDATTHGRLRDDLVEHHWQLDGRRIGP >SECCE1Rv1G0050820.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:649484209:649484520:1 gene:SECCE1Rv1G0050820 transcript:SECCE1Rv1G0050820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >SECCE4Rv1G0291230.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:4R:876114349:876117144:1 gene:SECCE4Rv1G0291230 transcript:SECCE4Rv1G0291230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRQQQETKAIPVRSMDGRTTTVRLAAASSVDDLKAALRASNFPPPAGAPTFHLFLKGAKLLPQARVANLPIYAGDFVSLIPFTAKPTTPAPFGRQAFPPSPWAVAGTRRKLSGAWNGGDDDVYAPNKVARPSSSSSCSNHCGGTEPLDPAQMVEHLRRGLGKHGQIAHVKRMPGSEASFADDDLLLYLTDAVRSCLRSTGVTRLYAHQAQAVRAAVAGKHVVVSTSTSSGKSLCYNVPVLESIVSSLAACALYVFPTKALAQDQLKALLQMKAALLLAGAGDFGVEIYDGDTPVQDRARIRQQARVLITNPDMLHASILPCHGQFRRILSGLAHVVVDEAHTYRGAFGCHAALVLRRLRRLCADVYGRRPAFVFCTATLANPREHVMELAGVDEVELVDQDTSPRGVKHFVLWNSSSASKSPVTEVSRLLAEMVQHGLRCIAFCKTRKLCEQVLAAAREVLEEAVPVVPERASSVCVYRGGYMASDRRKIEADLFSGRLRGVAATNALELGIDVGHVDATLHLGFPGSIASLWQQAGRSGRRSKDSIAVYVAFDGAVDQYFMNYPDKLFGKPIERCHVDAQNQKVLRQHLACAAVENQLRPDHDEPYFGATMNDAITFLKDKGILTSNSTGGSTWKYAGPVRRPSQSVSIRAIEHEKFTVTETASKRVMEEIEQSKAFFQVHEGAVYMHQGMSYLVDRLDLSSMMAYCSISMSELCYHTKTEDYTDIDVAAPVDPRGIRADECTVTTRWVGYRRIFKTTDQMSDVIPLHLPSYSFDTQAVWATIPQTVRASMEQSKLWFRGGLHGAAHAILSILPLHMMCGSGDLGTECVDPQETRKRGDDRVLVPDRILLYDKHPGGIGLAAQARMLFGDLLAAALELVSACGCGNSDGCPNCVQSFACRDTNKNLDKAAAVALLKGLIQWHSELKPN >SECCE5Rv1G0327560.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:493469987:493472120:-1 gene:SECCE5Rv1G0327560 transcript:SECCE5Rv1G0327560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDEPETTVEVKLRAVGPSRPTTIRLPPLISVADLRRSVALDRRLPEDRLRLVLRGTTLPWGDDTHVNLRDGDSLIVAVAPKPPAKHLRGDDDDDNDDEEELKFKIPQTTTWWKKRIFIFLREKLRLPDILLMALFSVTMKAWIIITLWFLLAPIARKYEVGPLYILATGFLIILLNLGRRQQGDVSAYSIFNEDFREIPGTFNAERIDRDLRAGQL >SECCE5Rv1G0347620.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:668391398:668391649:1 gene:SECCE5Rv1G0347620 transcript:SECCE5Rv1G0347620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTGERSFADIIISIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTENRQGIPLITDHFDSLEQLDEFSRSF >SECCE6Rv1G0399100.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:431139315:431139828:1 gene:SECCE6Rv1G0399100 transcript:SECCE6Rv1G0399100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCTDCPRTAPVKRLTSKEEKNGNFGREFVKCESKPEGQIVKKCHHFEWMDDYIQRLQGLGLLDSRGNAIREFNLPHDSAAPAAAARPEYPTVVDVELKTELKKMNKNFKQLIELKKQSNLIALGILALGIFYLMAISR >SECCE5Rv1G0370970.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:845148610:845149358:1 gene:SECCE5Rv1G0370970 transcript:SECCE5Rv1G0370970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELWKDLSLPSTPAALQSYHIHSPAAAPYRAAVHPQDYLAGPVTLPRVPPYTALTLSSSVEFTLHGGGSGSGTPSGSSSCNDYPSAFPSASGANSSRNKGKRVQVNAPAAVDRQRRMIKNRESAARSRARKQAYTNELEMELAQLRKENQMLIKREQDFIKEHSATAAQAVLPDCSSSSSNNGGGGGGRSRSTLHFELEQRQRCRSAPVP >SECCE6Rv1G0417830.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:659666033:659668621:-1 gene:SECCE6Rv1G0417830 transcript:SECCE6Rv1G0417830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPGHQPWEYRLRKYLLLLATVVVTVTYGAGFNPPGGNWQEGAHGQLAGDPIIRDIHYHRYLAFFYCNATAFAASLVVIVLILIFAVRHDKEKDITWVASEVKPLRAVMVLDLLSLVGAYAAGTCRDKVSTIYSAVLAATVFAYIVVLKFMDWWFRDKNSGSGGTMSVEEKKRMKEEERLRKVLMLLATFAVSITYVAGVSTPGGFWDTTESSHRPGDAILKEHHSVRLTVFLLCNTTAFVASLLITTLLIIAGKKLHDKPARSRVLYACIVIALVSLIGAYAAGSCRETDTTVYVVSLVGAVVAYILLHIFWSSESTLLSCCFCSAQQSDDASALGKARSLVLLLATLAATITYTAGLDPPGGVWQVDSAQHMAGDPILLTTNARRYKAFYYCNSVSFVASLVAIILVQKEILVKHHVLEAAMILDLFGLIGAYAAGSCRDVSTSIYAMALAGAVLVYVVIHVIFFTLDHKDKKDMVQEHQLLEKRRKRLLLFAILAATITYQAGLTPPGGFLLQDDKFGQHHAGDPILLNNYPRRYNAFFYCNSISFMLSIALIILLVNPNLYRQAIRSNALSVCTAVGLFCLMGAYAAGSTQHLKTSIYVFALVVVVLFVVVVLLLVFLLKGPNKNGNNSATKEEDEEEGKKVGGKKGDEESKKHARRKYLMLLGILVASIAYQAGLEPPGGAWQSSRNGHEAGNPVMHDNRRPRYLAFFYSNSASFMASIVVIIMLLPQWLPKEEEGQWEKWSLRVMNRTIILDLVALLVAYATGSNRGWNTSVYVIVLIVAVLGYFVIHMTLSLWSESHHESGAGAAKETQSA >SECCE5Rv1G0352080.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:706484237:706487463:-1 gene:SECCE5Rv1G0352080 transcript:SECCE5Rv1G0352080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAAFAVRFPQLARESRGQGQGQARPARVGVAVRGGRAVAPRGVRCRAGGLIEPDGGRLVELVAPEEGGRRAALRREAAALPHRVRLGRVDTEWLHVLSEGWASPLRGFMRETEFLQALHFNAVRGGDGSLVNMSVPIVLALDDAQRRAIQASGATSVALVDAHDRPVAVLSDIEIYKHNKEERIARTWGTTARGLPYVEEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLHPLGGFTKADDVPLSVRMKQHEKVLEEGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDTKHNKMNFFDPSRKEDFLFISGTKMRSLAKNRESPPDGFMCPGGWKVLVEYYDSLAPPEGSSKLREAVAA >SECCE6Rv1G0389300.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:148455707:148458575:1 gene:SECCE6Rv1G0389300 transcript:SECCE6Rv1G0389300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTGSPSPSPPPAAAAVLRSTPPSCRAANHVMFRQKLSFMVAFQTQHLKYAPRLIKSVVKGIRSNITDGDNGTTEPARELMEWLLAKTQSLDTGASHDSELSVSIEVPKSEFKGALSILKKKQKDLRNAEKRVSDDQIRLSKTKQGLDQRERKRFTELINLKLLVEGQDKTIASSQALHSQKVIEVENLKRDMFKKNEEADLMPNEAVAQQEATLRELQSEIKRKTIDIARSNESRKTNEEKLKFAEHELEKQSLGWLAAQQELKELAQLAFKDTDDINGIITGFNRVRSLLDVVRCELIPSKDAFASSHKQIEDQDVQLQKQALELEDQRVLLMSHTHDLEAAQLEIQGKTQELKYAQSRCHELESQLLQEMEKVEQSLEHRTEEVGFLQKELVQKENECTKSQEVAKVKEFELSEARQEVQDMKLKVESIQLAVLENDSELFDTQNRLTEVSSEVVQLQQLLNNKKDQMVHARTELHDKEQHIETLESELDIIRLRCSHAESLVHRMAELTGDLASSLKSGEMDTYALLDDEISSTCTTLEECLRHKDMDLRAAHEALYAKDQELKALLKKWDVKERELHESEELPDPSATNELAGFSSKTTEGGIVGEMELSELQIEAAEVEALAAMTALRKLADMTKDFFKHGKADSGIDLVASKSQKISKCAPKMEVHQKMDVILEAEKEIASLFLLTKQMVTDDIINNVEE >SECCE1Rv1G0001680.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:1R:5928481:5929071:1 gene:SECCE1Rv1G0001680 transcript:SECCE1Rv1G0001680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMKKKGGTGRKKMDETKLIEDPAKRQVAFSKRRPTLFGMAGDLSALCGVHVAVVVFSRSARGNAYAFGSPSVDAVLKRYHHQDGGRDEDAALAVSHGDDDDAGALAALRRELDDTKARVGAEKKRMKKVEAKVERAMAARSTALWWQADVEALGAAELPEFETALRRLRDTLLRRVDALQLPLAAAPPACMNM >SECCE5Rv1G0365590.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:5R:808216752:808218097:1 gene:SECCE5Rv1G0365590 transcript:SECCE5Rv1G0365590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLLHICNPHVHVSSSRCFVPVVSLMLLAALLAASNLLYTTFPHPWRVFSSHTHTPTRRGQGDGCDIFTGDWVPDPDAPYYTNDTCSVIHEHYDCMRFGKPDLGFVNWRWRPDGDGCDLPRFDPARFVALMRGKTIAFVGDSLARNHKDSLICLLTRVAEPTTSWPSSKHTVYRYGEYNFTVASFWAPYLVRHEQIDDDGPAHTGLWNLHLDEADDVWAARIPELDYVVVSASSWFYRPSMLYEAGRLVGCHYCQLPNVSDLTPRYALRMATRAALRALSGADGRFRGTALLRTVDPSQYEGGRWDSEDGNCVRTAPSRRGERRVEGFERDFRELQLEEFAAAERSAVDGVRMMLMDTTEAMILRADAHPSKYRGWTPEKHFTLYNDCVHWCLPGAIDTWNDMLLHMLNSTTVHKIK >SECCE7Rv1G0507340.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:760804766:760816491:1 gene:SECCE7Rv1G0507340 transcript:SECCE7Rv1G0507340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPKPLKFLRAHYGTLKTCFERMQDSEQQKKHMADILSVLALTMSAEGERESLKYCMMGSLVDICSWGHEYVRNLAIEIGKEWKFNGSSTPIESEIEVVLEIVKFHMKHNAETEALDLLMEVGYLEMLSDKKKEEYLMMLLHLVDSTNYKRACLYLTSCSKYLSTPDHEATLGTAYDMYMKFRDLASALRIALLVDDHKYCGQNVKMKMVFEETKDFSLKQQFAFMIARYGLSVEIDDEMVADENEKNALQEVVCNTKLIEGYHILARDIGVMEPKSPEDIYKVHMIGSQGAKSSSLDSARPNLAAIFVNAFVNAGYCQDKLMTAIPDSLLFKNNELWKASAVASLGMIHLWNPDSGFAKLDKYLHSNDTHVVAGALLGIGIFSSGVKNEFDPAWALIEYSTGAASITRIGGILGLGIAYAGSRKDELKSHFSITLSNSQTPLEDLVFSAISLGLVFVGSCNEEIAESIISVLKNASKAEPISRLLPVALGLLYLGKQEMVDATAAKVSETFREQLKKYCGVTLTSLAYAGTGNVLKVQELLHSCSDHLKKGGPDQGPAVLGIALIAMAEELGAEMAVRSLEHLLQYGDRGIKRAVPLALGMLCISNPKVAVVDTLSRLSHDVDGAVSMAAIISLGLIGAGTNNARIAGLLRKLSSQKYGGYLYCVRIAQGLVHLGKGLLTLDPCHSDRLLLSPVALAGLVTVLHACLNMQSTILGEYPYMLYILSLAIQPRMLLTLDEDLKPLPVPVHVGQAVDVVGQAGSPRTITGFQTHNTPVLLAAGERAELATEKYILLTPVLEGFVMLRKNPEHHED >SECCE3Rv1G0166920.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:194670655:194671642:1 gene:SECCE3Rv1G0166920 transcript:SECCE3Rv1G0166920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Chitinase 10 [Source: Projected from Oryza sativa (Os01g0287600)] MHTRVLMSSKPPASTPAMAPHARGLRYLIAVAVVSAAAGVVSARYGAAGQCGPVGAIVTEELYSSLFLHKDAPACPAKGFYTYASFIRATRKFPRFGATGDLVTRKREVAAFLAQISHETTGGWATAPDGPYSWGLCYKEEISPQSNYCDAADKQWPCYPGKSYHGRGPIQISWNFNYGPAGQALGFDGLRNPELVANCSDTAFQTALWFWMTPREAKPSCHQVMVGEYRPSRADVAANRTAGFGLVTNIVNGGLECNIADDARVNNRIGFYRRYCQVLGVDVGPNLDCAHQLPY >SECCE3Rv1G0149610.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:27061408:27063113:1 gene:SECCE3Rv1G0149610 transcript:SECCE3Rv1G0149610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPAATAPAGSHPDAPAPAPVTVAAGERGLSVSEAMSKVMEKGKTAFIPYITAGDPDLATTAAALRLLDALGADVVELGMPFSDASADGAVIKASAARALAAGATADAIMAMLKEVTPELSCPVVIFSYFSPIAQRGTASFAAAVKESGAKGLIVPDLPYAETSAFRDEAIKNELELVLLTTPSTPPERMKEITAASGGFVYLVSVDGVTGARATVNPRVESLLKEIKQVTDKAVAVGFGISTPDHVKQIAEWGADGVIIGSAMVKQLGEAASPEEGLKRFEVYARSLKDALP >SECCE6Rv1G0400550.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:6R:453966696:453968612:-1 gene:SECCE6Rv1G0400550 transcript:SECCE6Rv1G0400550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRIAFSVFLLLAAAESAAATRSPSALVQNAIYSNRITIFSKSYCPYCMRAKRIFKGLNENPYVVELDLREDGREIQGVLLDLVGRNTVPQVFVNGHHVGGSDDTKNALSNGQLQKLLGKSQPQ >SECCE3Rv1G0209350.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:3R:938030343:938034340:-1 gene:SECCE3Rv1G0209350 transcript:SECCE3Rv1G0209350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAMAPPQAPSAGDPLYDELWHACAGPLVTVPRVGDLVFYFPQGHIEQVEASMNQVAGNQMRLYDLPSKLLCRVINVELKAEADTDEVYAQVMLMPEPEQNEMAVDKSTSTTGATPPRPAVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMDWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGESGELRVGVRRAMRQLSNVPSSVISSHSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESVKNNYSIGMRFRMRFEGEEAPEQRFTGTIVGSENLDQLWPDSNWRSLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSRVKRPRPNVPPVSPESSVLTKEGATKIDMDSAQAQQRNQNSMVLQGQEHMTLRTNNLTGSNDSDATVQKPMMWSPSPNIGKNHASAFQQRPSMDNWMQLGRCDASSGAQSFGDSQGFFMQTFDEAPNRHGSFKNQFQDHSSARHFSDPYTKMQTEANEFHFWNSQSTVYGNPRDQSQGFRFEEHPSNWLRQQQFSPVEQPRVIRPQASIAPVDLEKTREGSGFKIFGFKVDTTSAPSNHLSSTMAAIHEPVLQTQASASLTQLQHAHADCIPELSVSTAGTTENEKSIQQAPHSSKDVQSKSHGASTRSCTKVHKQGVALGRSVDLSKFGDYDELTAELDRMFEFDGELMSSNKDWQIVYTDPEGDMMLVGDDPWEEFCNIVRKIFIYTKEEVQKMNSKSSTPRKEEGSADADGANEKAHLATSSHLDN >SECCE7Rv1G0515980.1.CDS.1 pep primary_assembly:Rye_Lo7_2018_v1p1p1:7R:842727147:842730152:1 gene:SECCE7Rv1G0515980 transcript:SECCE7Rv1G0515980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin conjugation factor E4 [Source:Projected from Arabidopsis thaliana (AT5G15400) UniProtKB/Swiss-Prot;Acc:Q9LF41] MASPSAPAPSRPQRSPDEVEDIILRKILLVSLAPPSAPSPAVPYLELTAAELLSESRPLLALRDTAERVLIDRLSLPDASPPPFAFLAAAFGRAADEARKISTIRDPALQARLRASIAHVRGLILSYARIVAGNPDTFPTPPNAPHPAAELLVFLLAEAADPLDSAPAPGTPPPPGFLDELFGNADYDAVEPVMGELYERLRQSVDKVSALGDFQRPLRVLKRLVGIPNCAKALVQHPKWIPKNQIMLIGEGRTMEICSLLGAFFHVSAIPDREFASQPDVGQQCFSDASTRRPADLLSSFAAIQNVMNSLQDGLRDVLLVLLKNSDTREKVLEYLAAVINTNAGRSGMRVDPLKCASSGMFVNLSAVMLRLCEPFLDKMESMKGKIDAKYLFCNKRVDFKSLTAVNASSEEVSSWIESWGQDNATGKANKENFSFVCECFFMTARVLNLGVMKAVADLKHISQELARCEDDLEANKAIRDQGGSSPQLEQDITRLEKIVAALSQEQFCYESQILRDSAFLQRALSFYRLMILWSVGLVGGFKMPLPSECPMEFSCIPEHFLDDAMDLLALTSRIPKALEGFPLDDFLNFNIMFMASSSYIKNPYLKAKMVEVLKSWMPQRSGLKSTASLFEGHQLCLDYLVKNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRQMAKEEEKGVYLNFLNFLINDSIYLLDESLKKILELKEIEAEMANTVAWDSRPAQEREERLRAFHQSENIARFDMKLANEDVGMLAFTSEQIPAPLLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHIARGDKEAVFPAAISKDGRSYSEQLFASATNILWKIGVDPQIIQEFMQLAGKAKAAAAEAMDAEAILGDIPDEFLDPIQYTLMNDPVILPSSRVTVDRPVIVRHLLSDSTDPFNRSQLTQDMLIPDTDLKLRIEEFIRSQQSRKRRAVDTETGEPDGAADMVE